-- dump date 20170509_025105 -- class Genbank::CDS -- table cds_translation -- id translation EPUS_05776 MDHAEQDKESISPRVCILNPNSNMEMGIEPADSDSEIYSADSQP LEEESDALGRNEQMEDLSAHAITSSNFTDNLALSLLDRASFMDHITGSRTSVGALTYG CIDYATDIQRSSKFFLLHDALERRLTPTPSVAMCPHSPSSEKTFRSGFARTLTNLLAS EPUS_05777 MAEFVRAQIFGTTFEITSRYTDLQPVGMGAFGLVCSAKDQLTQQ AVAVKKIMKPFSTPVLSKRTYRELKLLKHLRHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPADVIETICSENTLRFVQSLPKRERQPLANKFKNADPLAIDLL EKMLVFDPRKRVSAGQGLAHEYLSPYHDPTDEPVAEEKFDWSFNDADLPVDTWKIMMY SEILDYHNIDNSQAQQAAEDGETVMGQNVLVENWDFVEVELVARSLRKAYAGHKNDHV LKPFINRLTTVSSPSNQVVLPPPMLVYTDPDGLDVPVVFGNPVQISEGMGTDDANSDT YGKMTDTPPKETYDIMQIVAAVAAMSASEVVGISEASSASLKQRRKDETETELV EPUS_05778 MGACGSTEVGEDLEQKKRSQMIDKKLEEDSRRLRRECKILLLGS GESGKSTIVKQMKIIHQNGYTQDELALYRLTIYKNLVDCMKALTSAMIQFGIEPRDPK VRELVEYIDEYNVDPDPHTPLDPKAAEAVELIWHDDAIPRVMEHQSEFYLMDSAPYFF DEVKRIAGPGYTPTEADVLRARTKTTGIYETRFTMGQLSIHMFDVGGQRSERKKWIHC FENVTSIIFCVALSEYDQVLLEETNQNRMMESLVLFDSVINSRWFMRTSIILFLNKVD LFKIKLARSPLSNYFPDYSGGNDVNRAAKYLLWRFNQVNRANLNLYPHLTQATDTTNI RLVFAAVKETILQNALKDSGIL EPUS_05779 MATAATQNPPRERKPSMGAPISSLMQGPVGPGYTRPKHKRTFTG FGAGDIKSVEASIPEPQREAWRKFSASGFKTKEEFEKEFVKHVETTLARSLFNCDELA AYSGTALAFRDRLVIEWNKTQQRQTFADQKRVYYLSLEFLMGRALDNAMLNVGLKDVA KEGLGDLGFRIEDVISQEHDAALGNGGLGRLAACFLDSMASLNYPAWGYGLRYRYGIF KQEIEDGYQVEIPDYWLDFNPWEFPRHDVTVDIQFYGWVRKYTDDNGKNVSSWEGGEI VQAVAYDVPIPGYRTPTTNNLRLWSSKAASGEFDFSKFNAGEYESAVGDQQRAETISA VLYPNDNLDRGKELRLKQQYFWCAASLYDIVRRFKKTKRAWSSFSDQVAIQLNDTHPT LAIVELQRILVDIEGLDWEDAWNIVTNTFGYTNHTVLPEALEKWSVPLFQNLLPRHLQ IIYDINLFFLQSVERKFPKDREMLSRVSIIEESQPKMIRMAYLAIVGSHKVNGVAELH SDLIKTTIFKDFVQIYGPDKFTNVTNGITPRRWLHQANPRLSELIASKLGGYDFLKDL TLLNKLEHFIDDKDFKKEWAEIKYANKVRLAQHIQKTTSVSVNPKALFDVQVKRIHEY KRQQLNIFGVIHRYLAIKSMSAEERKKLTPRVSIFGGKAAPGYWMAKTIIHLINKVGE VVNKDKDVGDLLKVIFLEDYNVSKAEIICPASDISEHISTAGTEASGTSNMKFVLNGG LIIGTCDGANIEITRETGDQNIFLFGNLAEDVEDLRHAHYYGKHELDPELKKVFEVIN QGMFGDQSAFSSLVNAIVEHGDYYLVSDDFRSYCETQDLIDETFKDQDGWISKCITSV ARMGFFSSDRCIQEYAESIWNIEPLDVEK EPUS_05780 MEGIAAAPDWTQWMQWDGDGDEQQPGTYQSDVSASTDVTLTSTA FAKIHPSQAVSPSSTAGSDLQPFLSILSIPKASSDGNPQSNGLPTPSHSSSSPETLHI PEPRLSGETLRSTSSSELSRLHLKRKSSHEQGPVPSIAATNDQQKPANKKRPHNVIEK RYRANLNDKIAELRQSVPSLRISATKGIKDGEDVEHDAEDPSGSTKLNKASILSKAVE YIRHLEIRNKRLDDENVALKQRLLKLERVLASDGNNGDERAVAFTSKSSVEVVDQETG KCSDQTRRATEHPPQGLIPVPESMKKLRQNQPQEHYGAIYDAPQEGHKGGRRWPAKFM IGSLAGLMIVDGLSESQDGSDSKAKGLFGIPLEFMDGWWFLRSPKAFIAAFMQYCRAG GVIPLIKGFLALSLLALIVFTYLFNSKPAEAEQAERPSKLRKVPSLASPIEVRRQAWL TSIQTLGLPHHSFFPEWFAVTIEWLKYTVRLVVGFQTYAWITGRIEEDELARVKAWDI AVDAQLAGGDPEISRSRLVLSIFASGTLPQTPTRLMLKALHCRVVLWRVGQDGGVVSR TSNKIAVFLAHRQWRRAQRLAADTNVKDENALPTHLAELLSLDCGDVMLDAVLQRAYN LMYDRSIDEVSHGSNSLMDVVVEDHAIRSPLDAIAAWWSCQKLHQALILSLSDDEKDQ ALFKSTLDLALTIAPPLSAAHTRALAVKAVFIEQDRASNAQKVLSALPRSSPTTSHRS TSGNPLPVFIDSSMPLSACSEVATVLDCASIMIALRNRCTTTASASRECTVVGLFAVL HIDPEKTSLLSFAPAYHVLLQSLRNDDPGSGVRWLAQTARNLAVWARAHPGSTATESS SAGIVRRLDLLCNELEAGQAHEVEHVRVHRYTRGHGPTRRYSSVSNDTGYGSLGDAEV DGTS EPUS_05781 MSWMDSWSRPSKHAATPPPMYLLPGGETTPYCHSCGRVIGSRKA QTSKRSKEGVKYCSERCRHRKTNQIDRNIENTFVSLLNGAEPAARLPDGASSGLSSRK KDTSLALWKRTKGEPRITVLCSTVEELIFGSRNDPDKVFGRRKNRAKRGVPDIGEWKS VDMEDSTSSVFEDYSKSQPEGEMHHHNIRPEDQAKDPFTYGAGKTRPAQSVSDVNFSV GGERGWAEKIEETEELKQKRLEGQRKAEEREAVRCAARRLCAFGIVISEVDEPKDKGN GGATRKQKEAALEDPARTISEHVETRRKCEAVLNEGTIVEASFAKGEWGIRWRE EPUS_05782 MASLAPPSSPSSRSSLSQEETDAQDRELQFDYRPVTPLSNTTPT VAQPILIPTTPVSRRDPDRVPTPVSGRGDRKGHLFPVKALSPTRLSHFASGQEDISQT LPPPSFDHTYHRRSLSLTLSPRSHPLAMMHADRASARYTPTSPLSPRLDGSTRPISPQ PSRTRRQASRPMNIPIPKFHPLHFQQRDMPAASTASSHNPIMNFTKHTSTTESQRLMK ERQRDLIDKAKMSSRFAASPHGVKPDAPRLDPLGSPKGPVTPLALEEGGDYFLLGSSG GNSPVVSPASRSEGSVKDIGDGARVKKASKKDGPCR EPUS_05783 MSLSEIPTLSVLYRTKALKAAASSAYTPNHTLNQKVSHIRYDIT KLHVDAIVNAANTSLLGGGGVDGAIHRAAGHGLYKECRRLDGCSTGSAKITGAYNLPC KKVIHAVGPVYHGHEKSEPLLRSCYRTSLDLAVKNGCKSIAFSALSTGVYGYPSPDAA DTAISEVRSFLTGPDGENIERVVFCSFEMKDVNAYTETLPKYFPPTKDDLPDSETSRD ASSEEEKGQTTSESLAASLPDAPTTEPKLLEEPTAKKQKVVTSSEEERKHQTDNEDEF EGLTDDQPYNERHAATQSQNIEEGWEEVGKDEDPAKSDHTPTPVDVEPVQVEGTKEKM AKVLGADGEVASQASGVPNKLTKDW EPUS_05784 MASTILIPPGGTWFDTHRKSFIDVPIDSGDQGIATTEFLEAAEA TTTLFDLLGSVAFTPVKNDMLGNIKKIRERQLDSPEQCSTLQSLVKSELATKKHTATE GLLWLTRGLDFTAQALRADIACSGSQELSASFRSAYKSTLAPHHSFMVKPIFSAAMSA TPYRKDFYAKMVAGGTDSKKLDDAKTVWLEALEQRVQILKTFMASKEAKW EPUS_05785 MAKEHLDPQSYTAQRLEHASPEHLHLTTRRFFIGPIPEGWLNSN RKSWYRKRLKQREHKSRTASFTAVADNAHRRALPSLEGPSAAARYAFSFPQPADVADE GLRGSSAAASDEDDFLQDEEEEEEDGEETSPQEIAPVSTNEIPQILGIADEDDPRAPK MLSVPSDIGFTPFGASGGERDEASGLISGKSPAQASFVTARERNTGETMSTTNEENVD ASPLSRGASNLSPESTMDNRPSISNLGGSQPESSNLNEACSTDPDQSGSTTALLPRKD REEKLTLSVASPRSLLMRSPAPVNDSEFEPASQVRTATGVRFNIAEGMVNGQERLKNK ISSVQTRAASAKFRRNTLQEGAVVKMGKMLVRVDVTMQPVSEEYDENDSLKVETRAHE KWREFMVVIRQSRKDEADFRLQMYTSRVIPEVENDKVKKKPHHEVLLNPKSTRVNLFS SLDKTVVIWHPYKKGTRIIIMRTRSTAHSVEWYTFLKENLGWARPSALQINVPDLSVS LLLDKPFEGLEAARRSFENANDEAALARTMEEEQAVADKIVKKCLDMLQNGSEWADVL DVWCKTEKMGLAWKRYDRLEWIHGPNQQKMYGSMAMQKSHDLELRPKKHYPTHSYGKK GKLHQEPAPVEGFLIRLTTQRGMQQRMGRTYFKRLYFSTHNQYLIFNKPSKITPPHPP RLPTIAGANVPSASEIIKQTPTMYDIEPFPTDKGEISWLKVGTPASVQDYDRKAAEEW QRTLDNLSQCDGYVNLCRVVKVRKMQWGTTPGDEDMESGSDVDFHQEVPDTTRDDGTT KTLDDHRTFELLMNNGLVIRLQAYDEATMGEWIRRLRLLVKYWKLRVAADSALFKIVR KCNLENLNIDEEMEAVIGQFARKWEVSRSEASPQLYNMCGISSCRSILISGQLYYRAR RRASFYRCGVLLANGALHIFQGSIRKRTGEELPHIHQEKQSSIPLQDCYIYSGLITED DLLYSNRTFDSNNPGRHALPRIYLEDGWTSIDEDTMTCFVIWRGLRKSFFRAREEMEG GGTRQKLRQVSRLGVPGRSIVFKCRSRAERDHWVMSIGMEIDRLQQGEDVRLEGQN EPUS_05786 MDEKSAYPRYPKVSLGQAIAIGLGAGAVGATIMTLSNKLEQAVT YRPNSYVPGHTTGALLNIPRDRHPDVLNQVHHYGMGIVTGPIRALMAYYGVIGPFASF LFTGLRIAADQVVENAAGTSALPWTWPINEQVIDLLHKGVFALMTGYICDRAVRGVDW FNR EPUS_05787 MAPRVVLITGANTGIGFQIVRSLCSSDQAYDIIVGGRSLSKAED AISSAVKEFPSSRSKLFPIQVDIEQDDSIKHAFNEVQSKFGKLDALVNNAGAQLEAQF AAGKLTERDIWNQSWNINTVGAQVMTSSFIPLLLQTPDPRLLFITSNASTLAGTENLA LPIDRSPPKGWPKRGFSIAAYRSAKSGMNMMMREWHRTLKEDGVKVWCLAPGFSATGL GGNPEASKKMGAGDPAVAGPFIRSVLEGERDGDVGKVITKDGVQAW EPUS_05788 MAPSEKPTQSWSTHDIACTHCRERKIRCGRERPQCAHCRRDGVE CEYSTPGKRVNHIKLLCNNIGGLEDRLSNIEGELSRLMTLLKAGVHQRPFMDNSTTEE SSATLSIKDSLADVTSCSLPTHDQGACRHNDPIDRHHGPCTLLALCNAFSDTILSEQW TPGPAPGKDQLPKSNKQEDFAKNEAVKDVLVRMCLEAGIEESFDLQPNHTPIRLPPKQ FLFMIQNQCFQQADYATDIFVQSSFWSNVERIYSQPFTPADKAWAICFNTIILLVLGS EVQIQNSDPIVESQFVLPFLSTVRTALNNPRVLMAPKLINVQALALLSLAAQQYYPPG FADSIFAQACVLARTLGLHQTPMASDNASPEEQQERFKVFKSLYLRDKSFTISRGSFC WLPGSDCSLSSQLDQSAIADPKLASRVQLAKLQEEIYRLFHPAESQRRCSIRHKTLLL RIEQSLERWADTHDIFTSVNTNPRNVDLQLEFLAARISVLHGSLEPVHCDQSMFQRPD GLSISKSPSLKGLNKSTSRRSSKDKTSSNDACSGSTEENANQPISLRSHRLPDTFSAH GFFLLARNLIQPISTDDESQADEDMNLLQKVCACYRELEGGSQANNHTRKVGHAFGRL LQVVNLVKNDQQLQPLPTMLHQASTAHTPPSTQNFFAGQQGLSDFTDLSTASSYPAPP MPLEGFSSKNNSTAATTSVSTCPSPGLLTPMELEFFSPHFEQPQSIMSPSGRKRVRLS GPNAVMDESPNSRLLSELLAASPMMSFDVAAQEDIHTAVL EPUS_05789 MDSAADLRGRGSWSYSAAEYPVVAVTACSVLLILWAIAHILYNI FLHPLRNHPGPKLWAATRVPWCWYQYQGILHERLLELHVKYGHTVRIAPYELSFTSDT AWKTIYGHRSVEMDKDPVFRLHTPTGAQNILVADRQTHIRQRRLLSHAFSEKALREQE GILQTYVSKLLEQLSLRYTAGPLNMVAWYNFTTFDLIGDLSSGEKFGCLDKGDYVPFV RATERIAKELTFTQMLIYFGVAGVRQFFLPKAVAGQRAQNMKRAIDTVERRIQRDTDR KDFLYYILAANDEKGMSRAEIHVNSFSFNIAGSESSATALSGITYHILTRSSAYSELI HEIRTSFTSEEEITIASTNDLKYLEAVISESLRLYPPVAGTLPRVVPAPGETIDGIHV PTGTTVGVHHFSCFRHPANFHRPDEFLPERWLPECKDVPPFDKDNRAAVQPFSFGPRN CLGKNLARAEMRLIMAKLLWRFDLELVPGGGGSGKGMGMGNGKSWRDGQKVWGFWVKP PLMCWLRPVSRD EPUS_05790 MDDSVQPNPSMPPILVGSTQASCQLFLFGDLTTSFEQDLRRLLH VKDNATLHSFFEKVSAAFRTEFGKLPARQQALLPRFTTITDLLANLGETEGKPALSFA LHCLCEIGQFIRYFGEGSRPYPSDANTYLVALCTGSFAAAAISTSRTLSELIPAGIEA VLAAFRTGLCSLELRNDIERPSSRIPRSWSAIVSASEAQAAELLQSFNSKNTLSGSSR LFLSAVSPNSITISGPPSILHNFLASVSLNSYCLPNESPFHAPSLFGSYEVNEIISYF PDELLGSYKPRIPLLSAASGKPIVAEDFKTLLHTVVSDTLCEQVRWDNILRSLVEDVF QQKSFKECTISPVLSNAAHLLSSAITRATKINVTTTNLLNLGVSDSQPARPTGKFEQS KIAITGFSGRFPEAASNEEFWELLSAGRDVHRTIPEDRFNWKTHFDAAGKVKNTSRVQ YGCFINEPGLFDARFFNMSPRESQNTDPAQRLAITTTYEAIEMAGLVPNRTPSTQQDR IGVFFGVTSDDWREVNSGQNIDTYFIPGGNRAFVPGRISYFFRFSGPSLSIDTACSSS FAAIQTACNYLWRGECDTAVAGGTNVLTNPDNFAGLDRGHFLSTTGNCNAFDDGASGY CRADAVGSVILKRLEDAQADNDPIFGVIDGAFTNHCGQTESITRPHEGDQASVFNRIM RNSNVNPLDVSYIEMHGTGTQAGDATEMNSVLSVFVPGRERMPHHPLYLGSAKANVGH AEAASGVSALIKVLMMMKNNQIPPHCGIKTKINRNYPLDLKERNVNIALKTVPWHRTD CVGGKRTCFLNNFSAAGGNTAVLLEDAPLPQSENTAIETRSVHPVIVTAKSVQSLKQN IESLITFLEKNPSTSLPSLSYTTTARRIHHNYRTICSGSDIESIRDALRQKASSSDIK PIPNVTKLPKVAFVFTGQGCLYAGVGKQLFETVSHFRADILRFDNIAQRQGFPSFLPV VDGSVANVGELEPVVSHLAVTCVQMALSRLWISWGVVPSLTTGHSLGEYAALYAAGVV TASDAIHLVGTRAQLLSKHCNKGTHTMLAIKASLDSIKPRLSASVCEVACFNQPASNV ISGPSEEISRLMREYQSDGFECIELDIPFAFHSAQVEPILELFERAANGVQFHAPSIP YMSPLLGRIISDGDVLGPSYLARACRNAVNFQGALQAAKASSVVNEKSVWLEIGSHPA CSGMIKGTLGTHALTIPSLKKATDTWKVLASGLESLYLSGIEIDWNEYHRDFESSHKV LELPRYCWDLKNYWIQYRNDFCLTKGDDPPPQKEIGAAPAVEQKPVPLYISPSVQRIL EEHNAADVSTLLAESDIHDPRLAPIFQGHNVNGTALCPSSLYADIALTVVDYMLKTND MQNDTTGLDVGDVKVDKSLIADSNAVTQLLRISASANWSTKVVSLALYSINAQGRKLA DHAKCQVRITEKQSWFQEWKRSSYLIKSRVTSLYKGVDGGDSHQIKRGMVYKLFSSIV DYDARYQAMQEVVLDSNELEATAQVSFQVDDEGFNFNPCWIDGLGHIAGFIMNGNDNV HSKDQVFINHGWDTMRCATKFRKGKTYRSYNKMQLVSGSLYAGDTYILEDDNVVAVIE GVKFAGIPRQVLNQVLPPKSGAAGPAVTKSRSSTQSKPVPMATANPLPKSTRTATATP LPKQAISPPNSNTKHTKASPTNSIVPLVLAIIAEEAGLDAAELGPSSEFADYGIDSLL SLTITGRIQEELGLDLPSSLFVDQPTVKEFTVFLDSSNNRPLLSSPSGNSTPVSNPEQ ETLSEATPEDYETDATSVTDGQSVMEIIRATIAAETGVSLEDLTPSTSFSELGVDSLL ALTIMGKLGEVLETDLPQTLLADNDNLSEIEKALSLKLKGPDLNRNPVATSPRADPFD PEMPPHATSVKLQGNPKNATKTIFLFPDGSGSATSYASIPKLSPEIVVYGLNCPWMKT PQDMKCSLEHLTSKYIIEIRRRQPKGPYYFGGWSAGGICAFEAAQQLASQGEETRRLI LIDSPNPVGLENPPQRMYDFFESLDFFGMNGKAAPSWLRPHFNAFISTLDHYKVKPFS GPRLETHLIYARDGICKHPSDPRPEIRPDDPREMLWLLNNRTDFSGRGWDDLVGKENL KIQVLDEVNHFSMVAPGPKIQELGAFIARAME EPUS_05791 MSKKLFALTICGYRKSGMDEDEYHRYLSDNHAPLLKDLLIKNKI IDYTMQHNTSETKKMMGQIFGSLHAGNVAECDCFVQIVFRDVQDFINVKEDPHYKKMV VPDHENFADGKRTTIVTGWFESHIPSRHGGAIENGVSINGH EPUS_05792 MAIHWSLDHLEKLLPKRLFAKLSEISCNPNVPIEAGGNYPIINA ETGDLLAGVPYARGFRVPRSKMRALCAEGIDVQYGKNLTDLAFSEKGDLVFASFSDGT IAQGSVLLGADGPRSKVREFAMSGAEAAQVSKFPICHHNMTVCYRDVDKAKYLRQRFP TSYLALSQKSHHAFQSISSMPDGQDHPETWLFHLAMAWLGDPEHSLSYEERLAIIKER AQGMGEPARSAFTWIPEDTLVHKADISYWITQPWDNRGGRVTLVGDAAHPMPPYRGQG LNHCICDSSHLLDGLELVRANKATIKDAITAYDEELVPRGRDEVKCSLENGLMLHDWQ KVKESPVFRNGFRPMTGHDGKEALSEHAQAQMKRDEEEQRDIPVAAT EPUS_05793 MTTFVESSISPLFGLWQPLPHLKHLYYGSSCVQKHLFATLPSHD SKVFIVTGNSIATKTPLLQQVETLLGDHHAGTFTKIRQHAPMADIDQATEIIAKNPLV DTILSLGGGSPIDSAKTISFRMHERNGKFLTHLTIPTTLSAAECTIGGGYTKADGVKT SVMTPEIGVTAVFYDPGYAKYTPLKLWLSTGMRAMDHAVESQYQPYASEMPWRAMSSW AVSTFFECLPIAKASHPSDEDVITRLLLAAFASLGFRDGETSCLTLGPVVQLKARAKQ EDAKQIARLLPWIGGTSSGDDLKDALEVGCKISALVESLGLHQSLTERGIGRDQIPIV VERATGGLKEGSLYDIVTLLVEGLY EPUS_05794 MAREANTATMLTLAGEIFGQTYQLEKFMKEKSIKEPSLAVGAYT ELWSSHTTEIATAQTSILDLTQKLTKLLYGPHGFLHEYVSSNWEYGALYTVLEFDILE KIPLDGEVHVSELAMLSGLPENKLLRILRLVACEQILEETSEQVFRHTVISEELVRNK KMKAWVGFQLFETRVASAHLADSLKHRNDFQTGSSAFKHAWGASMYDWHKAHPEKFAR FNLAMQGVTQSLDPGNGLFCDWFNSNKRNKHRNLVVYIGARDGHVYQYLAEQYPDISV EVQDNSEQLLTQGQKSLPPDLQPRITYTKREIFTPQPAPSSPDNQTLAFILRNVLWNL SDSDAITLLRTFIPVLEASPETVILVNDMLSPARGEFDAQTEKAYRRRDITVMTMHNA KQRTEGDWRQLFEAVSPHFVVRGWTAFTSHAYRGLWEIKWVLAN EPUS_05795 MQLTTTQYQAIGKGGPFAVVSVPKPTPGHNEVSIRMEAVALNPL DWKKLYFGAMVESWPTVLGIDGAGIVEAVGEGITRYKTGDEVFSLFGHETKAASFQEV AVVPEHYVAKKPGNISFEEAASLPICYCTAGSAIYYGLQIPLPFLPDGTTSGPQPKSI LVLGGSSGVGASAIQILRLALPSATILTTSSSKHHVYLESLGATQAFDQKSPSLIEDI KSATPEGKGVEMILDAVASSASQKDIFDTLSADGPKEYSEVFTGTPTLVPDGVKRHVV YGRKLFETPGGQNVMTALADLVRKGDYKIPIQVKNVGSGFEAIGPGLEELKAGVSATK LVVTI EPUS_05796 METVLKGRRRETASRKNDRKSKAKFKSRDPKITPASPKEQENAF NYKYSFAGTRRLTEEITSNTLVKRSWPLPSNAVTPDGLNFKILELRLPNPAGAEVNER TRQGLKSEQNELAKWLLRSTAVIEGLIHD EPUS_05797 MLRLQSQQALKPLRDPLRGPLRNALHIRHVHVEQAPFSGPPSYA TIARRSSSHPKAKEARERSELIDIHETGGASPNDSNILITDIDDRTLRSEVAELIGIP YLKKTTKVEATNKVDVIHGFIYSNKLRTPVFPMYFQAAQVLGTKRGPVPVTIAGYTHL VHVNDDTEWFQYNDAEFAHLQKLKAGTKAYYEEIRRLAREGQFVFLQRLRFVTAHRQN LEVNEKAMKKAHLKRLRMDLEKWRAAGSPPDAMPPQLRLKELLNHCKNQRILTNISGL YKLQQTGKLDLGGDPGQRMKGDQAGAKIPPLPVKKVIRRPPKRRKVGVYMNDTVVVDP KQGANVLIWHRLLPIGKSYLFTPLPIQDLSTGRLASAPKAIMNDDPQAIPFANFGDQA QMLLEMQPTAMEEIHGVGGLPQVLAVLVLAGILAVSEARSRNSDSCARHSIGGGASLI RHFMNKPDLETQKESPADKRIYIVQGTMKTLSTGYSLHRANTGVIIEPTINPMYEEQG AKRADSAQLTMLYLGIDENPTRASGINNDK EPUS_05798 MSGNDNPGNFANRPKEEVREAASKGGQASSGSFEPGSEKAKEAG SKGGHASSGSFEPGSEQAKEAGRKGGSAS EPUS_05799 MPMRKKATLGNSVDGKQSGVHAKSKQSTLAFGQKPALKKKASDD KEDDIEDGPMEKPTSNGDAENPSSDQNMSESPRSTSLKREKTDQEAEEEEDDSDDQPV VKRRKKSSDGPVSKRSGEARLNGKEAKKAPAAITMPATSEDPLENATLGADEPVSGEE ERSGDEVDEKSTPTTKKHEKIQAALKVSGKDPYPDWKAGDPVPYAALCTTFSLIEMTT KRLEISAHCSLFLQQVLRLTPGDLLSTVQLMINKVAADYAGIELGIGESLIIKAISET TGRTLAETKADHHKIGDLGLVAAKSRSNQRTMFKPKPLTVKGVHEGLLAIASVQGHGA QERKVNSIKKLLSSADAAAAAKSQKGIDITKDKGGASESKYLIRFLEGKLRLGLAEKT VIVALAQAMVKHEAAQKGNKLLSTEQMAKGEAVLKAVYSELPSYEVIIPQMLAHGIFN LRDNCKLQPGVPLKPMLAKPTKSITEVLDRFEGKDFTCEYKYDGERAQIHYVAPDTVQ QFPAAKTTLQKDAGVKGLSSIFSRNSEDLSKKYPDILAKLNTWIKPETKSFVLDCETV AWDMETKKVLPFQQLMTRKRKDVKAEDVKVKVCVFAFDILFLNGEATVKKSLRERREL LHEAFEPVEGEFAFAQFGDTKEIEEIQTLLDESVKASCEGLMVKMLDTEESGYEPSKR SRNWLKVKKDYLSGIGDSLDLVVLGAYFGKGKRTSVYGAFLLAAYNANDQVYETVCNI GTGFSEAILEELHSQLSEHVIDKPKPFYRHSTVKNDQPDVWFDARVVWEVKTADLTLS PRYRAAIDDMGVNKGISLRFPRFIKQRDDKKPDEATTTKAVAEMYRKQESVTKDSKGG VDDDFDY EPUS_05800 MPLDLTLYLVTDSTPNVLGDGDLLHIVEEAVNGGVSVVQYRDKH AETGELISMATKLHQVTKRMAVPLLINDRVDVALAVGAEGVHLGQDDMPIATARKILG KDAIIGITATSIEEAQAAHKGGADYLGIGAVFATPTKEDTKSIIGTAGTAAILQAIED FKLPSVAIGGINASNVQRVLHQTSIHARHSLDGVAVVSAIMAAKDPRKAASELKNLVQ NAATRIYPAIPPTAVAVKDTAALIQRVPNIVKKHGETNPLCHNMTNLVVQNFAANVCL ATGSSPIMSNNGNEAPELAKFHGALVINMGTVTSDSLSNYLIAMKAYNAALNPVLFDP VGGGATTIRKDAVKNLMAGGFFDVIKGNEGEIKTVFGGPDAKQRGVDSGPSTSTAQEK AKLVTDLARRERNIVLMTGSIDFLSDGDRTITISNGTPLLGQITGSGCALGSVVASYV AIHREDKFLAALAAILHYEIAAERASAQKTVRGPGTFVSAFMDELSLIKQESMDGKDD WCSGAAKIEMIQV EPUS_05801 MATVNIRRDVSDPFYRYKMERLQSKIEGKGNGIKTVVANLSSVA HSLSRPPSYVIKYFGFELGAQTNINPSDDRWIINGAHEASKLQDHLDGFISRFVLCKN CKNPETDVVIKDGNILLDCKACGQRSNVDLRLKLSGFILKNQTKKGKKDKATKKARRA AAKENGTKDENASLNGGSPGDSQSDNADENGEVEQEANSDDELTRRINAEAKGIDLAD GDANDDDWNVDMSAEAIAARQKGLPEDFKRALVLDDADEDEEGGANNAYDQLGTWIIE EAKTKGDVAKVDDVEIYKKAKDLGIETKHKTLIVLAQSMFSEDIVKQIPQRAGMLKKM ITSERHQKAFLGGTERFVGVEYPDKLIAQVPAILMGFYQEDLITEEVLTAWCGKAGKK YVDIGTSRKVRQAAKPFLEWLQNAESDEESDEE EPUS_05802 MASGFDPGLNLRATDVDIYCLPIELPFMEYSKQKAFEKLRPPCV NLSSVALKFRGQQASIKNLLLALEAAHAVIIDLGRHDALDEKLAEYAFFPLSTVFNES QKLSSRCLELAVQCLQVLVSRGWRRKLQPEMGKQLLILLSLLAGGSPTRSRDNAPSEE LVSTCFECMNTLFDFLSQVAQHVFDEIGAKTIVDQSVYLLLEALTDSPSESVQLSAAN ALQSLLSKISNRILLASLLPRVVSALTKALTRSTQIRRTHKVLVCLLELLSHILTDVL ADEIAFNETESSGSGNEVAMQRPEITEGSLILDDKWLKATASQVKLALANVVKLRNHD RQEVRHALFKLCLAMCEKCLKTLSDSLQLNIETMVMLAGRDGIDSLAQEKQLLKHLIL SNSALMDLLRSSLDTWIIALPRVIQGNDDRAKRQVLQQISIAFQIVLESGDASDLLND YLASSLVQSVSMAVEGSQAVSLLRVSEAPSPAQMVQFQEQYHTRSFEPVILDGKGSLN PLVELHALISQMRNSSASESLTRSMMVRIGQSSGTQQLSSLWLCLMFLSRDNPSFSIS DFLDIPSESYDATPYLISDLYSVTLPLLLASTTTFSIYDWRIPALALESTILQAKQLG RSYRPELIDTLYPILSLLGSPEPRLQSHAMTALNLLAMACEYANTSTMLVENVDYLIN SIALKLNTFDISPQGPQVLLMLLRLCGARLIPYLDDLIGSIFAALDNFHGYPRLVELL FDVLGVAVDEAAKNPALTITNGMEEPKHRKTAYQPSTIEDILQDIRAHKERKERRDAE DLVRPGDDNASQSAPHRPWTSNLDGPKTSKKDQETQETEFDDNEEQPSSQAAEEAKES PLSKPHTLLLSIARSTIPHLSSPSPRVRLILLQLLTRITPLLSRHENSFLPLVNDVWP AILPRLFPSESKSTATSSSEQEEPEQDPAYVTIAAADTMAAICVSAGGFMSGRIESIF PSLEKLYRRIWSEVKQDRLRMAQHRGPTRRLALSSENDAASVSSPGANLKGTVDLRIV ESPPIPSRTNPPIGSNSSNTSTTHQLHIQTSTTQTQTSKIHTSLLNLLTTILLHTSIP ATSEIGDAILALLSPIMDEPGREHVREALEVWNADAVWVVREIGAMEREMREGRRTVG DWTLRGQGGRGQGEEESGCEDGNRCGLEDVVS EPUS_05803 MVTFVAAVALFASVVLAQDPGSLPTCGQNCVSSMTGQFAALGCS SSSDVSCLCANPNFGYGVRDCSTESCPPGTDVSIITGFAAEYCSGPAASSGSFSNPGI AATAATASGTVSNPAETATSTSSSGESEESTTVTSTETVPAAGAITTSEIVSTITTDG STITTTIGSTTIFSSGAEGNATDAAGAASSTASDAAASASSEISSAASSASDAISSAT SRLSSALRTATSSEGASSTEAAGASSAASGAAYPMATVAVNGMAGIAGLAALLAL EPUS_05804 MSFHDRPSPPTIATPPPTILHDNESPTTSSSNTPIMTSTGNKLK KPLYLIVATAVEPHMGIGYKGGLPWAQLKSDMGFFRRVTIRGGTNRTRQEHPDGQENK SIWRRNSVIMGRKTWESIPKKFRPLKGRVNVVVTRNALRMREEIHSEQSQQEEEVIIV SSLQEGLSVLSELRQRDAEPTNGDDEGKDFVIGGSEIYRAALDFPTSSSGQGVQLHDS TGERGIILRILQTQVRKTDGKGFDCDIFFPVDLQGGSGQLAQRWREVDQAETESWVGE GLPQKDAEWVEDGEGQCEIRVVGWEKTD EPUS_05805 MPKSISSYFGPGGGDDHGNNISTPTTAPSSPLTFRRASRRPSVP NLRTHLPPRLVMENEANEQTSLLAAPDGVHKPYTSVPATPGRYTLSRQGSTAGSLLPS RNHSRANSWGLKIASMAGLDRKGPLSAMTMGSVFQDDRVWYDQFTSTDWVHDSIADGF RVQELRKRKGIKGRLWAWFDSSQGWILVAISGCVTAVIAYFVDVTESAVFDLKEGYCR NGWYWSRNKCCVGKEECSAWRTWSQIIRPSRIDSVWIDFITFVLGCILLSQLACYITL LSKTVVPSNVQLAPLDEDLGTDRRAKKDADDDKDTQQSPTTLPEANGSSTLTYYSAAG SGVAEVKVILSGFVLHGYLGVKTLFFKTIGLVFAVASGLSLGKEGPYVHIATCVGNIA CRMFSKYNLNDGKRREVLSASAASGVGVAFGAPIGGVLFSLEEVSYYFPPKTLFRTFF CCIAATLTLKFLNPYGTGKIVIFEVRYFKDWQFFELFTFILLGVMGGAAGALFIKASR IWATTFRRIPVIRKWPMLEVGLVALITGVTSFWNRYTKLPVTELLFELASPCAPNANT GLCQKEEDIPKVIRMLLVAFVIKAFLTIITFGIKVPAGIYVPSMVVGGLMGRVVGHIT QYLVLRHPTFVLFQSCPADGGIEACVTPGVYALIAAGATMCGVTRLSVTLAVILFELT GDLDHVLPFSLAVLCAKWTADAIEPLSIYDLLTEMNSYPFLDNKVHPVFDSELGDIVP RIRRNRIIDISNAPLVRASDLRQKLHQLHMAGELDGGLPILRHNILVGLIPAPDLEFA LDRIEHEENTLCLMASDGRWHGFDDQHYDGDGEDGDGGPSDPTDFTPFIDPAPVALDI HSPMDLVYQCFVKLGLRYMCVLRDGQFAGMVHKKGFVKFIKELEEEERKLGKVGRS EPUS_05806 MIFFGANDACLPGQSQHVPLDGYCECLKSLCLHPSVTTHNPSII LVTPAPVDEYQMMVSDALKGYTTPQRTAANTKMYADACRGIGEELNLPVVDLWGAFMR KAGWREGEPLIGSIDVARNEVLQNLLRDGLHFNPEGYRLMYNEVMKVIRERIPDQSPE RLPFVYPPWERAPA EPUS_05807 MESQFPVATREELWRLQEEIKDLFVTQGQHSERIMRLEKRRDED TRVKNVWGPVSPYPSSFGNSAQQESGFNPAAEAFRNFDADAQSGMITNLSLDHDDERR RGASRANSVRFDESANNHYGSTSRQSVDLLPLRTGSGLGSHPLSERSLSHRSDGKGSM SGISMRANSFGLEQSRLLGSMTSSPKAAGNPPPAFYVLGPVPAIIRCWLTETFSNDSL LYAAVCTGSYASCITTSLIRRYGLDDQTIEENGVRKIKLPIYLTEATIQQSSSRSASP APQVPTLAAKFVVVDSAVEDRCVQVVIGSDILRAHNADILFSQDKLMIFDEDRNRLAI PLVRPENDATYKGLFTQTSPQGLQGPLPSLTNGTPVAGIIGRPSQDLQAASSLASSSG VTSSSMESDQPKEDQVPTTSEQISIENSAPRPQEDSTYTTEGDRSFTTPTPTSRSNSG VWGNSWRSSSVSQTDPGKTASGYSRAGASRGMKVLRPGKSMASSSRAGSSVGPTGQEN NNPNDEGLRSKSGVKMTEPQSQPTKSNPIGQASAFGWLNSGQH EPUS_05808 MKFLLQVACNFTEAFDTLLDAYERIGESMPLVEQYRELFTGNSH MDEALAKIYDDILEFHRRALRFFNLPTWRQIFRSVWKDFNSRFEHILQDLRRHKELIE SQATALYFKQYQVDRQMFFEKLNHLETAERKRKYSEVLRWVLGSALVFRFCIDICKVR QEFPNSGGWLLKQPDYSSWRQDSAPRVSTLWLSGIPGAGKTVLASLVIVNCKEDVNAS TAFFYCKYNDPQRNTSVAVLRTLLSQLLKYDNDLLPWCYDLYLNSGQLSLSSGDLCKE ILKAVLTNGDKTFMVVDGIDECDKKEAKILINVLCDMVTVCDSQNPGKLRVMVVSQDE PDIRRNLSAFSELSLKVIGNEGDIHRFVDVWCGKIQDKFKLEEEERDYIFESTCHRGN GMFLFVKLIMINLYDQVCLMDLQEQIRPDKFPPGLKEA EPUS_05809 MIQMLVDHGADPTWVDNNGNTLLHEVATRFEGFAKDIALVEKLI ELGVPIRALNSQRRTAWHILRRFDAFNDSSRDKASRQSIFSILLRHDPDIDLNAKDID GYTPLHLAAASSEAQTFKLLQAGACISAKAFNLRTPLHCAARARKSNVLAMLLDHANE AATLDQALDVDAKDKGDRTPLHDACCSGRPESVRILLDHGACACQPYDHSASAFVDSR SPLMACTEFEQEDKLWSCLRSGTAPLDEFRPIAFKSGYCQSSKEDKEQHDTVRIGPIA SMLLDTGASDEFALQAAVKAKSTALVAAFRGRIPSISPSFDEAHLMFAVNNVKKVFDA STYKDLMESPALYISEVDEATLDEMIARGVDLAKDEFSGTALGVLAAKGMTEKMIKVI DKAKIYDESPSCKEIGEFRPLLHIACDRPVFNMEMVKLLVIKGHADVNACHQVKEKED GWQETGNYIPGPTALHVLAKGNYWWQVEAIKFLVQNGAEVDCVDDNGRTPLEYASSYL KFGSTNQGFFRPQCCEVLLKLGANPNRLNPEGLVPLNMAGPDADLIRVLLRHGADINA GTKGVLMSAVEAGDVDTLKAYLEHGADCNVADTSEDSSYGNRNWESGSISKRYPILKA AQLPPYSGWDSATAAKMISLLLKHGAKVDLPISDHDTLIHYLFQRVPTSILRPFLDRP GIDVNTRDQRGRTVFMAACKSRVDHEESSSPRFFPPEEKNRLRAEYTPAYMALADSEL YGDSIDYLATDNKGNNMIRYLMSQWNEKVAARFLPITGVRALILQKDSAGFSPLHCAL KSLKIKTCFQFIDEGNADLLEPDPNGDSALHHLYRCRHIPSHQNMYPLMERYLSNGGD INARNKTGETALHCFLANGGPPTSRYEIKLDKNFDPFQFSISHGTNFQATKNDGGTAL HAVAQRKFRTDRSILCDDSKEDGDINASWFRRLVDLGCDPLQEDKNGRTALDVAAAVG NVEILKLYQRKKP EPUS_05810 MAPSDSEEFELVDPLDIAKQIVLEKKPDLTKIRSWLIPTDYTAS SSEYRRHLSSQAPGTGEWIRQTSQFQQWYSSEDHGSIWIKAVPGAGKSVVAASMVDSL ARKEAVPVLYFFFRQIIETNQNSRSLLRDWLAQLLPSSEVLQVSLWELIEDDKVLDII STDQLWKILLLALRSVEKAYCVVDALDEMDLDEDFLGRMNAMGNFRPAHVKVLMTSRP KQYLQRALKDPQVIHVSLEEELVKRDISVLVQQRISQFGNLSQRSKSSIQTTVCDRSQ GLFLYARLMLDQIAQSIEEEQLDESTICQMVAKLPVGLEEMYNRMLFEHAAASQVNQE IQVLILQLVTQSARPLRLIEIAKAIEAIHGETGRDSKDVVRTACGSLLEIMEDEVVQI LHHSFTEFLLDAERLSAGTAQFPVIDPTIAHRNIATTCLASLRGNGFRDYPDGNRANG DYKGSGSTFHLDGFDFRSVFLQYPLLEYAARNWPYHAKRYDKNDPEFLVALTQFSDMQ KPQFRAWLGLVSRNDNQPIAAGNVTPLHVAASYGLSSWSEHLIKHGADVNATDSTQNT PIFWAARSGHALLVDLLLQNGANPDLDGYEGLKPLHVAASRNYSEVVKLLLIAGVSPT TSKTQDIGRFCGNAPTSVGHSPLRYASMGGHTESVVEMIPYITVAEDLEDALCWAAQG GHSQLVAALLDNSSVSPDGRLQVRHESVSVTTGGETALILAAKSLDPATVRILLDRGA DAKKSSSRSINKYRQARPQGDTALHSLVKANIKKESESAAKEILMMLLASGADLEARD HEGKTPLLSTIGGVFASGVSLISMNMLIAAGANPLAADNKGGTLLHKACETSVETDAV KMLLELGANHSQRRFSDGATPLHSAVANVHYADSMIKLLVSHGAYVNVRDAQGSTPLL CAVKDIFRQKQALGALLDLRADVNLQNDLGQTCLHAF EPUS_05811 MVAACKILNIPIYCTTQNAAKLGATVSELIDILPADTKEVDKTA FSMMVPEITTRLSQQAQAFSSAQYPGSSSSPYSPNQPSYPNQQPNTQPCQILLLGIES HICILQTATDLLSLGHRVYVLTDGVSSCNAGERGIALDRLRMEGCVVTTSESVLFEML GDAKSEGFREVSKLVREWKDQTKSAVGVLCQGLYGGLEEGKDDKGREQREGKGEGPGS LSRL EPUS_05812 MAYACIPFHVRDSELNDIKSSARLGDDAYGTSSRAERVASESGD TYSTGRSGGMGGDSGTYGAGGRQTGDDSDGFSGRTQDTGYGSGTAAGAGYGNKTGGYK EQELGDFQASEGLGDSTKTYTGGHDTYGSGATGGAGFGNKSSSHGGDSGEYRGSDGMG EHSKPYVGGHDTYGSGSTGGAGYGNKSSSFGDDDSSSKKDSTAARITHTTSTLLTRLE NILAIALPPTSTNPSSNNNNTDTTNPGSSAPNPALGASSNPSITHAGIAVESFNLDVE TTALVKAAEDLMGLGRGLKEIWLGGAGSGSGSGSGSHGGGLDGAAEGVDGEVGGGGVG AREEDVRAVLEGLGRLLGEG EPUS_05813 MTTAHRPTFDPARGKEAQRGVAYHQRLLPAHTLLKTRKLGQGGD ADNQPRDLRAELLAAEAANQAKKHGRQTDETANAGATSKRPLQLEEGRDNPEDEDPEA KRRRILEETRDIDADSDGADSDSSEEESDEEDETAELMRELEKIKRERAEQKAKEEAA KAVKEEEEREEGIALGNPLLNPKAFNVKRRWDDDVIFKNQARGTEQKGKKEFVNVRST TVGLPQKIHVQIRALITSIKLELSEKYRFIDIAINDYHRSISEHHTPIGIAETETLYS HTGKRYAQALLMVDGQHAPLSLSVLGRGSLRSKFWPFVGSWRADKATQVKEPARNLRA APARLASILVDTNGVPLILSTLARTWSASYLEPKIMKSTTREMIRYLVLDPESFLAGY ICPPTSLAMELAGADAIYRLLAHSTGSRRVHEALTDTAESTIRQFRVDLM EPUS_05814 MPREAELSNIERTFILEALAQNIRLDGRARDDFRNLDVSFGDDY GACAVQLGKTKVNTRISAEVTKPFEERKFDGIFTITTELSPLASPAFETGRQTEQEVI VSRILEKAIRRSNAIDTESLCIIAGAKCWSIRADVHVLDYDGGLVDASCISIIAALQH FRRPDVSIDGENVTIYSLAEREPVPLSLMHHPICITFSFYHGGETVLLDATLHEEQAR DGEMIVTMNRHGELCQIAKLGGVSVDALILLGCMNMTVTKVKDITELISTKLREDATQ RDVGGLIAELSAQNDR EPUS_05815 MENGRPAQTRAQSDPNDNDLLDLERTTPVYNSGQRPPVDDNQLL QRYDIDDSDQPQPRPSVSYDEFVGGTGGTINTNAQPTQTAQAGSNVARPYFDAGSRTY SQTSELNNYSRYSDVDDFPEDDHSTPRYWNGGGASDENIPGGGVNVIRGGRSRDRNSL LSLGGGIMGKAKNILGMGPQYSEMDLPLTEGGPRNVRADTAGTDEDPTPRQSKFSKDN FKFGIGRRKVDPSTLGPRIIQLNNPPVNAVNKWVDNHVSTAKYNIATFLPKFLYEQFS KYANLFFLFTAILQQIPNISPTNRYTTIVPLVIVLLVSAIKELVEDFKRKSSDQSLNH SRARFSRGSSFEDTKWVNIAVGDIVRVESEEPFPADLVLLASSEPEGLCYIETANLDG ETNLKIKQAIPETAHLVSPAELGRLTGRIRSEQPNSSLYTYEATLTMQAGGGEKELPL APDQLLLRGATLRNTPWIHGVVVFTGHETKLMRNATATPIKRTNVERMLNRQILMLVG ILLVLSCVSSVGDLVVRSTASTKLSYLYYESFNAAAQFFSDIFTYWVLYSNLVPISLF VTIEMVKYCSALLINSDLDMYYAETDTPAICRTSSLVEELGQIEYIFSDKTGTLTCNM MEFRECSVGGIQYSEVVSEDRRATDEDGNGVFDFPKLRQNLQSHHSRTAIHHFLALLA TCHTVIPERKDEKSEIKYQAASPDEGALVEGAVQLGYKFVARKPRSVIIEVAGESLEY ELLAVCEFNSTRKRMSTIFRCPDGKIRIYCKGADTVILERLHKDNPIVDVTLQHLEEY ATEGLRTLCLAMREVPEQEFRDWQQIFEKAATTVSGNRAEELDKAAEIIEHDFYLLGA TAIEDRLQDGVPETIHTLQTAGIKVWVLTGDRQETAINIGMSCKLISEDMTLLLVNED NATATRDNLGKKLEAIRSQIASGGEFDALALIIDGKSLTYALEKDMEKLFLDLAVMCK AVICCRVSPLQKALVVKLVKRHLKALLLAIGDGANDVSMIQAAHVGVGISGVEGLQAA RSADVAIGQFRYLRKLLLVHGAWSYQRISKVILYSFYKNIALFMTQFWYSFFNSFSGQ VIYESWTLSFYNVFFTVLPPFAMGIFDQFISARLLDRYPQLYLLGQKGTFFRMHSFFS WVGNGFYHSIIAYFISAYIYHDDLPLSDGTIGGHWLWGTSLYTAVLGVVLGKAALVTN VWTKYTFMAIPGSMLIWLVFLPIYATAAPRIGQGFSTELRGVISVMFTSPVFYALVFL LPPLCLVRDIAWKYAKRMYYPQTYHHVQEIQKYNVQDYRPRMEQFQKAVRKVRQVQRM RKQRGYAFSAGDEGGQQMRVLNAYDTTRERGRYGEMVSSRRPGSIS EPUS_05816 MPWKGLRSHAAGGIMSGRHSFLEQQDTHFPEGHETVSSSEPIAQ QTDTTDDRPISQPSSDSISENPIQIAQATPSDVDQTRENVPQRTRNGNAATVPRSHRF SLLRLRHASDPQLSKSYNTSASSQTPPLPVPTTPTIVTTPPTVNASNHNKKIKEKLKL TGRSQNPSTENVALRRQSFGVALGGALESNSKLHMFNARNANKHHPHISFEEPNRLSA VSARGAPPAYGDVANSSLSLPPSRLSESSRSDGSSGEHGIYAETTTTHTVSTTTTFFR LPRRKKNKGHLFPLPVKPSQESKIDGIRTPRLPDSGRTSMSPGRRQAQDRGPVTAIYA PKPCAGSGLEHPSPLPSPTHSAIALTNAPLSSTTPSLIRKDSITSYRSAKSSPSMPMP PALLGSRGRSSTMGSLKKAREAEQQSSPQVALAGRTSTSTSGRKSFGDIFSLSQRLRQ NSEPSNFGNGKTLSGAPGTPASTGSKSNSFQIPREPVIYPERAEGDTPATYLAKLEEA VNRGVIAAILCKGFDEFSKVCFRKYMRGFSYFGDSIDMAVRKMLMQVELPKETQQIDR LLQGFADRYCECNPGIFATVDEAYFVAFSILLLHSDTHNKNNKRKMQKADYVKNTQDQ VEVSHDILECFYDNVSYTPFIHFEDEVAINSHRLGAPKPKKGLFKTPSNDNLRGPVDP YALILDQKLELLRPSLKDVMDTEDTYSYTGTVSSLDANGLYQAFHKAGVLQIVSARSR PDAFLSQATISNPAEAQAGLVDIKAAKVGLLWRKDPKKKKARSPWQEWGAILTGSQLY FFKDVTWIKSLIFQNETQQKSGADSNPIIFKPPLSSFQPDALLSMDDAVALHDAGYKK HKHAFLFVKHGGFEEVFLANSEGDMNDWIAKLNYAATFRTAGVRMRGFIGANYEGQRP ELCRNDSATSTRSGHAISGEVHAHGRHINQQLAREILAYRRQIMTDRIAEANDKLATA QKELDNLLRNARHLQICSPIQAKSREALVLAAGRMSAKLKWTRVDMWRTRCHRDVMKM DLEQEIGLTSAASILRESVSLRSTPQKRAPLKLSSQSLKKSDTKSGDAAASPKSTYSV LSAISTSQAMLDKARTPDGLRHALSDDSVSRGHHSSIGSVEQFMAASGNSADAKNRAS SLSVQSPSAPSLDHRPSTSGSQFGRSDADSIARGSRLTTPTPSVDDEERLLREAGILD VERTLNALRRPGTSESDPNHPTVTSPDALPKDRTGVRRSLHRTLRDSQHGHHGSQSVR LRKAKEPAMHSSPAALRRSSQSGAEGLTRGTGSFIVHGKKASVITFGSEWQSMSNEDR IQLRKQSQPEEREADAGAGSSMLLSPKAEEMEEAHDDTPFDKSRTSIHNSRDMKLGVS TPLEADAAGVVVDKDNLDRDHTTDTEVKDKTQDEWFVHNEGVTGHRCGHQAGLERSSS DCTAADHLSTPPTDLRRSPTPQAVHA EPUS_05817 MNSAFRAGQVGVAGLSLGAFSTTFDLIQSVKSGLSVWERFSNLD NDVDFFKTQLLLQKDILDSWLRDWYGQPAHRVSMGKLRILRTHDNTIQSTLRSVQSEL SKLEPMHILGKDRGQATAGERMKWTAGQKHNAESTLAKVEALLRGLYMILPLQSPHPE ASILALLLQNGPPILTRMANELLGEGESLPLIERTIELCRLRQKLDEDLDKRIAEFKS SIPGRNLSNASIPTDLLVEEGAAGSRSWGKRDGKPVMVEWKNYQLSQGQRAILLRGRN DNLARMLHATPKPDEMVTLQCIGYFDDVQHKRYGFVFASPAVEGEEMVSLNRLLNKPP PEELPSLEQRYQVAYSLGMTLWILLSIDWLHKGIRSHNVLFVSRGHDICWTRPYLCGF AYSRPDKPDEISEKLEHSERFNVYRHPLAQGQPQESYRKTFDIYNFGVILFEIGTWAT AFPLWNHDAAAFRRELCKPSNQKRIAHRMGVDYRDAMIKCLDGSFESQETSVSKAFFV EVVEVLRRQLLE EPUS_05818 MSSFWKDSLRFSQGSPPLAEGVNPTRPRGRCTNFLEFLAVMFSD AEGRKIGMHPVMTSSSPTFSDVSMGVVYHDTGSPTCPRINPALVVEYAELGNLKSFQA DGLGRDIVDKFDICHDIAEGLCCLHQCGVIHGDIKNTNILMCKHKTRNFVAKVSDFGF AISVHDENPRLVGHTFYLEAPEAKSPLQPKHLVQLDIYSYGLLLYTVMKNGTMFYDSI PVDGRSENVAKMKNSNLLPALLQANLLQTMDRDKCLLLLFCKVLAYCLRTNPADRFGN MRDVLDHLKWANPRDLDLSLHRNEDLYKVLRLPTSLYTESKDRILKAFNQQLDKYCED TKLEMPLIEMLKDLYRRRMEREVEMIISKPTTSDATHYDICPNLDLTLWRFNIGLATY PQPPEKGVQAASKKIESPPTQILFVNQTMPDLSSHHDVLQKMSDAIKLFIVQELERLG VQDEDQGRAGIATYNLGYCYIAGIGVNEDIEVGIKKMEQAAKLGCERARKQLLRYYAS EKVLDNLQQTNFALWSEDSLEERDKPALRMLATVAPDVHSHLRAQWALDRALVLDNAS ATTTVDRPLMTWRAQLLHFAASNDMPELLEQMLQAEPAIINAKNHYGQTPLIAACQSA NLGIALYLLNNGSDVNARDEHGFTASHWLISFSHGEKQTIATSLGAENVDPNVYGLWP EALKEGPPNLHGGPAINGAPLHWAIACSDLAAVELLIGMGASASQLTQYRPTPLEYAC RLSEASILLRLLEDAATKLAVAEYLPLQGSSGMIQINALFWVLCGTSQLARLIRHGRD FDKKTEQTIRCLIEAGCSCEGVLLAQSSKMSAPFATAFHHCNAEIMRAGLAHGFAPYI DTTFGKAASGGPAMSLALAHRDRKMFQYLLTAGASLTWRNLNKQPPLSMAAKETDDEW FAERLLEKGVELDDTEGPITAFWTAVYCGNLNMARFLWEKGAKRDSRNKLNGTTVLGQ LVGFRTQNASERIRFILDLPDRDEGDGFEVLQSTDYNHDRISALHLACSPYASTAPFT EDPETEEGCRLVLSLLLRKFGAPEYVNSTLGPHHDVPLGLAVEIGNHHAVRLLLEAGA DPNAQDEYSRTPLDKLYWRYCYPATLDVLVEVRDDKRKVAQRLAYVNRNTSEVLSLLT SYGAKANVFRFPSWHQSDPGYRSPDWVLARLQENAERPPVDHTTPMWGGMPISIPERP MQFEARRRLAEQQEAKNEKAQA EPUS_05819 MADTPQPQVTTVVKPTASTTLSNGDVDNKHSYPRTIDIDRVMFI TLPGPKVLRLTDIRRPQSLVTVIPVLRQDAPVEEQWLKNFIAKYETCDDVFSNKFLNG IIFIAPFSLEVTPEARRLLEFKGNSWIEILKIKETEVLLPSGPHIIFEQDVFEIRRLY EDSQGAFVTSIVPGSSGGHLPLRLGGEQSQTFGIAVPSRFHHAIPSRLSGWRIAVKDI FSIQGIKTSVCNRAYYSLYPPALQTADCIKLLEKEGASVLGTTKLASFAATEEPVECV DYQAPWNPRADGYQSPAGSSSGSGVAVASYPWLDISIGSDTSGSGRRPGHWNGCYAMR PSHGVLPAAGYIPSFKHFDTPTFFGRDIAKCQEFADVWYGKELPGGREPLAPSLVYPT DYMSMISNKDQLNVIDKFTADLESSLGVQHTKLSFDKLWDVDPPEEAKGRTLQNYMNR ACRDSFFYDDYHNFDEFRGEYQRKFSKEPYVSPPVRWQWELSATITKQQRDIAVDRLA VYGKWFSEKVLKVGTMDTIVIIPIENISPRYRDEPLVYGLQSQTNPVLELRSPADPNF SSNRFNPEGVPMLFLSPILKAPEFTVPVISKLPNRPVTPPESTQIQLILNGSSPLNLL IGADHDYITKAMQAIKDTMLGSPAEHAIRTIEFLNANNAILSKTNAQLVATARARQQA KKTKKVIGKARLLSKDDADKLRMEAEAKEAADIAHKAAMEQKKREQTLKKAQEEADRA ERASLRAQAKNARETQAEMARLAKIKQCLFT EPUS_06446 MSFLDASLQLPSLTIDIRSQLLLHNAVHDRSVSEDERPHNNLPM VDKEPASDVEEPAWLLNLSAGAPSWPDYPPERPWPKSDIVMEVYDLEAIFRASLYLLK DHSQSTETPYFKETQINTNAPYTAVRPGHYRTKPTGPGCKNSGCYWGSGSIDCRDALL ALPAQTTAC EPUS_06447 MIELLDPIPPDMLSQGSRSSQYFYNNGQMKNIHQLHPVTLEEKI KLRKNKGLSDDDVAALSSFLRSLLQYRPKDRKDALGAALVPWLWRD EPUS_06448 MDIFSVLKNAMAGSITDIALVIAVVVFLTILVNVLQQVLFKGPN TPPMVFHLLPVIGSTVTYGIDPFKFFFDCQRKYGDVYTFILLGRKVTVYLGKHGNQFI LNGKLKDVNAEEIYSVLTTPVFGKDVIYDVPNAKFMEQKKLIKYGLASGSLRTYVSLM EDEVRSFLKRTPAFKGSSGTVNLPPLMAQITLFTASRSLQGKEVRQKLDSTFAAYYKA LDDGFQPINFMLPWFPLPQNRKRNAAQRKMTQVYTKIINERRKRGDPKDSEDMIWNLM QCRYKDGTPIPDHEIAHLMIALLMAGQHSSSVTSSWIMLHLAAQPEMMEELYREQLRV LGNPANLLTYENIQEMPLLRNVIRETLRLHPPIHSIMRKVKNPLPIEGTTWVVPPSHV LLAAPATMGKDDAYFPNADIWDPHRWESIGDPMDQEKEKADYGYGVVPTGADSTYLPF GAGRHRCIGEHFALQQLTIVASVMVRTFRLRNAEGKKGVVTTDYSSLFSRPMEPAEVM WERRSENFSKQDLLNGC EPUS_06449 MLPEDDPKLIKLMIDYLYQLDYEIKPESCNGVITNGEPEIPPIP EADAPVAPVIERFEDMAAPPLIAEEELRELTKPKKTKSSRKKRSAASYDGLSVLRYQQ AWIEESDSNSSKNSELNVHAQMYALADKYGIHDLKDLAREKFAAVASNEWDGKGFPVA VNTVYATTPESDYGLRNVVVDTLSEHRELLNKPEMEALVKEVNGLAFGLLKAAWGLYE HALSEF EPUS_06450 MSLLGPEGARLDPEQAENFEDMEKQFAVKAVQHMMTYWAILEKM PGSKLRLTRMDDEILQHLHEAFPEFDPAETIDEDKMKSKEGKERWRNFVNVYEKGDKK IEDFNFGTMLRRNPKFEYGEKETMFAVRMQFYAIEIARNRAGLNDWIYELAHSEEKDK EEGV EPUS_06451 MGKDLDEEEEQLTAQPAKTGTTKSEWKSLFNFSSHQHVPILIVA LILSTAAGITGPALALFFGKIFDAFSAYGSGAVDGDALMQKVSKDAIALCVLGCTVWL VKGGYFALWMVFGEMQAKNARDLLFQNLLEKDFEWYEMRTSGIGALLPRLQTQIRELQ IGTAQPLGFSVEFIVQAFASLGLALYTSWKLTLVILAALPVAAVIMSFINSMMQPSIL AQEVELSLASKFANSALSAIDTVKCFNGQAHELEQYRSVVMAAAKHYMKQALSNSLQI GFVRFVTTAMFVQGFWYGGHLVRTGKASAGDVLTTFWACLMATKAIEDILPHMIVLEK GRAAGAAMKTILEQMSRGLKVDCRTNQSTPKFCEGDLVVRDVSFAYPSRPDNLVLNES TFFFPAGETTFVVGKSGSGKSTLGNLLMRFYEPTAGKVFVDGTSIGELEINWLRNNIT LVQQQSILFNETIFKNISFGQRDHYRVTKEQVEVCVDLAALQSTINEMPHGLDTEVGS GGNALSGGQKQRIAVARARLRDTPILVLDESTSALDYISRKAVMEAIREWRRGKTTII ITHDISQIKQEDFVYVLENGRIIEEGYRHALPSLEKVASDTLTTPTIASSGHFDFGFQ ARPSLVPQHTRYSNIAVDTRRSMMRRDSIEMQLDSIAESSRNPRPPSMGTAGVHARPV ARKRSSAGNVLDAIRGRPVLPATPRTSAYLLTSNPLEIGQLHSANPRTLNLHRALSRS GRPMSMHQAMTMNSIYGDSMLPTNHSNLKRAPTFQTNRRVSARASLTPWSNWKPSEVG ADGTLRTQPVSSIRSILQTVWPTLSRPKRVQFILGFTSALVHAAGPPVFSYIFSQLLG TFFITEGQARKSLIYSMAILGIAVVDALSDGSMHYLLEGCGQVWVDRLRLQAFERILD QPKAWFDMQENSLSSLTSCLDRNVEEMRNLVGRFAPFIVVVAAMMTIATVWSLVVCWK LTLVGIAAAPSLYFVTKGFDAVSSKWENLTNEAGNEAGAIFVETFTDIRTVRALTLES YFHKKYNKATSQALIVGIKRAAYSGFCFGASDSAINFVTALVFWYGAKVVQEGDFSVK SILTVFSMLLFSTANATAVIAFIPQISSSTDTATRLLRLSNLPLHKSHEHSGTIKLNT ADPDIVSGPIKFINLTFAYPMMKPSRGPSAPALSNLNLTISSGTSTAVVGASGSGKST IASLLLGLYPPTPNPTSRPNSTFSPPPPPNLLISGHNITTLHMATLRTHISIVPQTPT IFPASVRQNISYGLPLLSPLTTTCSIHTAATRAGIHDFILSLPRGYETLIGEGGLCVS GGQAQRIVIARALVRRPRVLVLDEATSALDGESADVIRHTVVDLIAESRREVRTGAGD GGKGGGGAGMTVLIITHSKEMMACAENVVVLEKGTVVEEGRYRDLIRREGGRLWSMLR SHGYDDGGIA EPUS_06452 MANQLNMNGLSLTDSKHAATMPNGRPAYIPPHLRGQPVRSGPPP MDGPATLPPGGDSGLDGSAWGNSSALGGGGNNWASAPDFTPGGKGGAANGWGGPPAAA PSGGFNPNAYGNPAARAQGGSYGRGYGGGGGAPSPRGSGDGQWRDGQHIPGPQNLKME HELFGVPDDPTKAHSGINFANYDDIPVEASGRDIPEPVTTFMNPPLDDHLLNNIKLAR YTVPTPVQKYSIPIVMAGRDLMACAQTGSGKTGGFLFPILSQAFQYGPSAVPAMGANA GFSRQRKAFPTSLILAPTRELVSQIYDEARKFAYRSWVRPCVVYGGADIGSQLRSMER GCDLLVATPGRLVDLIERGRISLANIKYLVLDEADRMLDMGFEPQIRRIVDGEDMTKL ENRQTLMFSATFPPDIQMLARDFLKEYVFLSVGRVGSTSENITQKVEYVEDHDKRSVL LDILHTHANGLTLVFVETKRMADTLSDFLINKNFPATAIHGDRTQRERERALEYFRTG VCPILVATAVAARGLDIPNVTHVINYDLPTDIDDYVHRIGRTGRAGNTGLSTAFFNRG NRGIVRDLIDLLKEAHQECPDFLGNIAREGSGFGGGRGGGRGRGGGGGGGRGASATRD MRRQGGRPGAPAYGGGGFGGPPSGGYGGGGFSGGYSGGAPAYGGGGGGYGGGYGGGGG GGYGNPGGANGPSSWW EPUS_06453 MTNFRNLIVSLMLILTATFLFMGQTASAAKGPKITHKVYFDIEQ NGEPVGRIVMGLYGKTVPKTAENFRALATGEKGFGYEGSGFHRVIKQFMIQGGDFTNG DGTGGKSIYGTKFEDENFKLKHTKEGLLSMANAGKDTNGSQFFITTVITSWLDGRHVV FGEVLEGYDVVKKIEATKTASGDKPVDPITIAKSGELEVPAEEVEDEEAESKAPVDPA TEAMTGDTVPVEGLIAASLLAKALLVSIVLGLLFFILRRRRRSNMVVNEKSLA EPUS_06454 MVFLFSVNFPERRMVKKALQSFFGIGPNVSARLLAKHHLHDTCR VGELANTQILEINATLTEMKIENDLRRQVLGNIARLRDTGTFRGKRHAMGLPVRGQRT KNQTITAWKLNKIDRKL EPUS_06455 MAETGGLQTQVRLQLTTRDRKLALPESLGPILVPSSLRRYALST LVNNLLGTEKPIPLEFLINGSYLRTSVDDYLTANGFSTETTLAVEYVRALIPPTHVAS FEHDDWVSSVDVLSLSPSNARGDEMRIWNTSSQVLATSPSPSDGGHTSSVTSSKFLSP TKLVSSGLDRTVRVWNYRADGAEASGKVAPQLELYGHRGSVNSIAVHGHTNRILSASA DHNVGVWSTSKSQAPEAPSNLLPSASARGSKRRKLDQSVTVPQRGPLSLLKAHTEAVS EAVFDSKDSTVGYSASWDHTVRTWDLVTSALVDTRTTSNALLSLTQLPELHLLAAGSS GKDVKLIDPRDTATTVSAMTLRGHYNAVVSLAKDPESEYGIISGSHDGTCRVWDVRSK RSGKDGMVGESIYTIERESAKGKGRIVAGEGVKVFGVCWDKALGIVSASEDKRVQINR GSGIVSQRSQTTA EPUS_06456 MSIPLIQAYWDTAKPGFKLSLVSLLMLLVVYFCALQFSNLEIPR LLFEKRWKLPPGPPGLPIVGNLLQMRKVRGNTGQIATYLTSLSRFGEMATLRMGNSTW VMLNSSRAVQEIIAKRANITGERPYLPVASGLVSRHKRTVLRQTREWHEGRRVMHHLL SGTALKEYEKVQDSENIQLLANYIERPNQWYLHHYRYAYSIIYRIVAGERPRQTQEQL DDFQRVTVEFIRSINNSFVDFFPVLAGLPKLLQPWRKYWEAAGQDHYNVFKAWWAPIK HDIAEGAAPPSFIKDVLLRTSAKFPEMTKKPSICYPDFMQKAREEIDRICGSNAQRLP ELRDTQRLPYISAMIKECLRWRPTVPLIPQHHLTQDLKFECYHFPAGTDFVINSLAIS QEFVDAAQFKPERWFDGKEDSVTQGLWQFGGGRRICVGYKVAQQELFLAYSRLVYCFD FIANGKSDSRQLRHDALDEPFPVKITVRSKAHENLITRLAERLGN EPUS_06457 MAMPHNLAAPLNYGKRLLPVLVDEIAATDPERTFVSTPRSSDLA DGFIDISYCEFAKAVNRCAWWLRKELGEHTEQKTVLYLGPLDLRYLIIILETAKAGHI VFLSSHRNSLEAHLSLLQRSGCQTVRLPSRPPIIIKQILNARHMQTINTPGVDFFMND FDSVEPFPFTLTWEEAKNKPFCILYTLGSMGIHKLVFVTYGTFACNDAHQPVPSLGGK PTLISFYKGKRLFLALPLFHAASLNFSLGLNVLSGFTCVLPPPEPLTADVANQIFTHG SCETALHPLEVNEDPADWQYLTISRFLGHTFRLGRDGLYELVIVRQKQCEPFQCVFAT FPDMQEFAVGDLFEPHPRRPESWIFKARTDDIIAFTTAEKLNPITMESVIFANPKVRS AIIGGQAEFQASLLLEPKVHPKTAAEQEQFIKEMWPSIAQANRDCPAHGRIMKGFVML TNPDKPMPRAGKDTVQR EPUS_06458 MRPHISAVSNKLHGVVKASTDASEPHVTAKESALENSSAPPASF SHGTQMHAPADLDARIEEVLSRILPGALAKYLQMASTQTLANFLKTNQSSQTPIQPPK PATTAGNKQPLTTGHSTDAPIETLNTTSPLDTSQVHYDSIPDLRKLIYDTHADNLIIE NITDDSDLFQCGLDSLQVPGLLNALNAFVIKFRPGVDLIEAKAVYDNPTVNKLMSILR EPUS_06459 MASSLTDGVPSALNKHLPGRGVVATQEPLSSSLPAKSTHNDPFA HLPPYTPRNKGLVSHLPSALVPFAELMRLHKPAGYYAFYFPHLSGTFIAAILSPAAPS ISSLTYVCAVHAVGNLFLRGAASTWNDTLDAPLDRQVARCRHRPVARGAVSPTTAHIF TIAQTAVWILTLSWLPWECSLPASLFALTMAVYPFCKRVTNFPQVVLGFSLGFGQIIG MTSLGLNPFSRTSPHVRAALACVYLSTVVNAMIYDTIYAHQDLKDDLKAGVMSMAVAC TPHTKKVLSVLSVVKIALLASTGYLLGFGGCFWAVAVGGTVAVLGWMIATVRLEEPAD CWKWFKWCIWFTGGTLCLGLLGEHAMRA EPUS_06460 MTTIHDPTMEEYTYFKRIKRPKASLKYAVDAETANAANSANAMK FVHPAPSTNSTPPANSAPPANSTLSANSALPANSALPAPPTPPANLTLLANPTLPANS ENKPQYLRKLYALDRYNQEISGSDNHAKLNSYYSKKLQKVCFEDLELFYGNGHHDLPK LAAISVLNQYQKIATRGIRGSKEIAGAAVNHAILLLN EPUS_06461 MTVSNAAISDALLISTRDGTYPESEEVLTTDLSAFALRPSLQLI GEAKQQIETDIRGLSQNSSSDVDEWIAQASHLQEDIRHSKNIAREIVKEYEDGQNLSA KIQDAKAKVELLQKEIFFNQAVTSSLEDIWSLDRDLNKAESILASGRPIELVAGIEQL SFRTERLADSNAKEINNSRVLRLREAVVESLTAAASSMVQLQKADGQQHLRVDHGTQE SLGVTLDLLLEALEQLDSMEGLLRNLSRAFHRRIFQPVLSPATGFNTCFIDEESHALN LSSTEKKKDAQGVLDCLSSVLGFLNAHLPKRLNSALGERLSEDLVDTLIRDWLTPSVP LDLADILKSDSIQTTVADLANQILSYHWPGDTELMGWLEGLPHIWLEKRRNSALDAVR RTLKARRGPNKEARRTERQNVSALDEAFAQNGYSDDNANSIEQLPETTTTFLTNPTDL EEEDLSGWDFDDDGEKNDSAVPSPTKSNGDIEDASDAWEWDEDNAEEKRRDHSSSGLQ GANLVHKGAPVEHREVTLTETYTITDIPDHLLDFVSGEVRDAEQMKTPEYIAFERISA STCLRSLPTLILAMFRATAPAHYSTIPSGNMHLYNDCVYLVDKLRDFAKSTSSTQLSV DCDVLEKFAKSAYAREMDLQRTVLSDILDGAQGFVNCTRFPYSAECETAVNSAIDRLR AVHRDWSSILSRSALLQSIGSLLAGIIEKIIGDVEDMEDISEAESQRLTAFCSQISAL EDLFISEQAAQDERADTEPVPLTAVYVSNWLRFQYLANILESSLVDIKYLWTEGELSL EFTADELIELIEALFAESSHRRSAIAEIRRSRP EPUS_06462 MGSLKIEPHFRVVQQFTPDYSPNCFTQYESQRTGMRVVVVDQQG PKLYGFFILATEIHDDSGAPHTLEHLCFMGSKSYKYKGFLDKLATRAYSSTNAWTATD HTAYTLETAGWAGFAQMLPIYLEHVIVPTLTDAACVTEVHHIDGEGNDAGVVYSEMQG VQNTANQLVELRAKRLIYPEKTGFRYETGGMLEQLRELPAKRIRDFHREMYQPKNLCL ALFGEIDHDHLLEILDTFETTILDDIPSPNAPFKRPWVDSVQTPPLSESAIETVEFPE EDESSGQIDVIFLGPHCADPLLNGALNIVLLYLAGSPAALLDNTIVEKEQLASGVYFQ TDSRPRTEISFSISGVETNKLEFVEKRFFEVLRDAMDKDLDMSFMQDCIDRQVRTYKF NTEATSTAFSDHIISDFLYGKRDGTTMETVATLEEYTRLSKWNQEQWRNFIKDNISHA HHVSILGKPSAALSAKLKADEASRIEQQKQKLGPEGLKGMQEKLDKAKAENDREIPTE LLAGFRVPSTESIHFVNTSSARSGPALDVGRPQNRYQKIIDADSWHNPLFLDFEHIPS NFVRVYLIISTQTLPLELLPLLSIYTEAFFNLPIQRNGQTISFEQVVVELERDTVGYD MQGAGDLGNIECLRVGLQVESGKYAVAIDWIKQLLWKSVFDLERLKAVTARLVADIPE RKRKGSHMLGAVISMIHLVPESIGRCRSTLVQALYLKRIRHLLKTDPEAVIARFEQLR SKLCRFENFRVVVIGDLDKLKKPVSTWETFLEELDTDRPLSPLGKRSERLSDAGKRPG KLAYIVPMSTVDSSFASSTALGPNSFDDPNLPALMVALSYLNAVEGPLWVAVRGTGLA YGTSMSYDLESGHIHLDIYRSPDAYKAFEASKKVVEDHTTGVITFDPLMLEGAISSIV VGFADEQGTLANAAQASFIRQVMRGLPTNYMETMLKKVRDIKVDQIKNVLKGVVLNVF NPDKANVVTTCAPGLKDGTKSGLESLGFVPQIKDLDSFQDDYGLQPAIGEEEDSDDVD EEEGDEEEEDDENEDGVEDGVGIDNTGDA EPUS_06463 MATNGDFSDDESQPGSPAPEEVQNGEHDEHDEIEDISEKPKSAL KKTPPSEPLAEVKRPPLPEQPDPEDLDLQSLTPLSPEIISRQATINIGTIGHVAHGKS TVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCDNSECPRPTCYKSFKSEKEVDP PCDREGCTGTYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNETCPQPQTS EHLAAIEIMKLKHIIILQNKVDLMREEGAQQHHQSILDFIRGTVAEGSPIIPISAQLK YNIDAINEYLVTKIPVPVRDFQAAPHMIVIRSFDVNKPGAEIEDLKGGVAGGSILTGV LKLGDEIEIRPGIISKDETGQTICRPIMSRIVSLFAEHNDLKFAVPGGLIGVGTRIDP TLCRADRLVGHVLGLRGKLPAIYIELEVNFFLLRRLLGVKTADGKQAKVAKLSKNEVL MVNIGSTATGAKVMGVKADAAKLTLTNPACTEIGEKIALSRRIEKHWRLIGWANIVHG NTIEPASS EPUS_06464 MSASPSPPNPGQPKRTPNAPPPPSVLRRATPGLLAGGAALTLAW VYFRASPNPAPNPLHTPGVSNIEKAYRGAGATGTHTPAYGGTEQGERNSEAFRGDAEG TQKKDGPPEAKSAGKREFKVSGPNDSKDGPRGEGSGSNDIGEVQRPPGSQAMGAGKLW NRAKYGNEDQK EPUS_06465 MAEGNDKSSNPMRELRIDKLVLNISVGESGDRLTRAAKVLEQLS GQTPVYSKARYTVRSFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKKNFSDT GNFGFGISEHIDLGIKYDPAIGIYGMDFYCCMSRPGARVARRRRCKSKIGKQHKVTKD DTVKWFKTRFEGIVR EPUS_06466 MATNKQGKMQNLINYRMRVTLNDGRQMTGQMLAFDKHMNLVLAD TEEFRKVKRKAAKSAPSAPGSAAAQAMVESEEKRTLGLTIVRGTNIVSCSVEGPPPSD PSARLGQSAPGGAPATLQAGTGISRPAGRGLPAGLGGPVAGIGGPAPPSGFGGFPPAG FPGGAPPGFGRGGPPGGAPPGFQPPAGFGGPPGGPPAGFQPPPGFQGPPGQDFWGPAS NFGIPVAAIMDTQKDPEIISGRMTGALTIYSGTFMRYALAVQPKNYLLFACHFINFNS QLIQGYRWYTYWNMGGREASLEAKAKAGISDAKAEAEGMVARAETLAVDAKAKTGQVV EKVKGKLS EPUS_06467 MFARRARLLSWLVDVASLAFCVSMIWIFVAYVYLDLPYYRSEIL ELPLAEKLSLWSSLLFFKPLPESLRGPCQYLPNQEWIRLVKIHNASDGGVTFTLEKFL LRDVPPYRALSYTWGPAEGVPEDRDTVWLPLLVNGRTRNLPKNLVRALIQFRDLKFDG YFWIDALCIDQLDIKKRSAQVSIMDKIYQRAEVVDVWLGRSYPDTDKVNDILRELLRL HEQYHGTRKFSNGPIWTDGSHILPEVDWETLVQILSRRLFHRVWTLQEFALGTKVNVW CGPHIIDLRLLQKSA EPUS_06468 MTPSYGKSGIAGTVISQRFLLQQAVHSAELLDFDFLPCFQNMGS NAGIEYETVLAWVFWQSTTTFATDPRDYVFGIVGIANAISNKRGLPYKPFETDYSLTT AQVLQKFVLRIMDGQLGVRAIALLQKSNENRTPALPSWVPDLASRQRFGLSTNGGIRP SQPSHSCKSVHGQWHSTGSPFSVHGQEVYLQSHHVGNITKAAYEYPSVVDMMGCPNFV LQLIPLLNELPDHYPWTNQPPIDVLLSTMSLDDDNTATSVSTQGRSDFEKWLFNSLEA LLYSKA EPUS_06469 MEVLNVKRYTLGVHIWDLTLEDVFRVGDHLKNYIFIGDMLYFFG IMFTKLSILMLYLRIFQPNKKFRYFTYVMITFNVVYLLLFFLLYAFECNPPALGWHTV TWTGGGTCIDTIKVSYAVGGINVFSDLVILVMPIPLLLKLNLKKSQKLGLLAIFATGT FTVACTIVRQVVIMKTLRDFDHGFSTVEEIVWLTVELCVGIICACLPTLAPLYHLRLW ARLVPQSVRIYLLSLRTATHGSTTYGSSKMSAKPSGGQSYESDVELVDNGKVSTHVGT HMPTMELVHTDPRRIYRMTDMEVSYSKHRDASNHV EPUS_06470 MSLLGQLTPQQWQNAPASPPPPGVLSNFVDPPSHKPEIIALEGV FLSLMLMAVAVRIFVRLRVIKMWGWDDYTCIIAAVGALVHMTVYIQMFKVGFGRHLWD IPAAQLFSTRNRRILSANTIVYSFTIGFAKLSILLLYLRLFKVDRGLRLAICFGIAVI AMYYTAIGGAAIASIVKCVGFGRRSAGFCDYSAKPVVVMSTVMNVVTDFYILALPLPC VMRLKLSLRRRIGVLIVFGCGIVTCAASLTRMIVFFVHYADPDVLWMHGRNAQFIVVE INTAIIVGCASCFPPFFAQTRIMGSAIYHFLRSHIGPNSHGSTASYISSKKHPSKASI KTSRNDSCHDSRTDLKPGGFHELRDIGPANGLAHVQADSPTEVGKRSERSLV EPUS_06471 MSQETHSRPGGGYGRQAPIRSSSDESGAAAAPAARGPTLPAQRR AASAWTSGRGPTDREHLPPPRRPPTDRANEPDKENQHRSGIVPARRLDRPAGLAYMEG NPPRPVNPDNQGAAIGRTPTRPTAQAADDISVALSGLGVRAGSRRTGRGQRTETDENA AQGIPTPIEALLERLSSMADVVADANKHAENAADVSNNAMARNKVLLEELRDVQRMEP DRIDAAALAIEERALLETGRAQRESDRATAEAEGATSEFWQAANKVEQAQDETMQIAA EQLVIRAAPSFALIIAEVRAASTQVAAHDAAARAAAGQTLQAARAAEALMEQLRRILA RQTGGPPRQEVGQQTNRQRRDPPGAAGAA EPUS_06472 MEHLKIPLGSSELKGHVQTIYTQSKYLESMPPEVHSAIAALARD RGFQEALKQRNQHHAGDNLDFFLDSIARLFSPGYLPSDEDILRSQVSTQGVTETIFTA EKGSQGDILKLDVYDIGGSRPCRKKWKHLFKEADLVLFTVDIGSYDQVFFENRSTNSM QEALMLWDSIVNSRWFTETTFILCFTKQAKLAAKIKDAPLALYFPGFVASDLPDLDSA TNYINHYFLSFRQAPERPTYTMVLPDLPTKEDWEAMKNFFWEVHERKMEKLSSGAHF EPUS_06473 MEPVSIIGITASAFTLVGRLRKTLNFILQLSTKLKYANAKITLL IGYLASINAAIFEIAKIIETLSECTKYEKLVDSLHTTLKCTKLSLSFLESKIERLRSD SQDDTSMINKITMILKDAEFNNRSLLEQQGTLNSAEAQSIIEAMEDETSSIFCIADSG SITSQRSKGSEFSAALDISFQFDAEILSSRIYAVTYRSHLRQVIASKKHEDTTLIIVA QESPRVRGGHTNIDLLSLDRQADIKIKKLTLGTPREHQQEYPKQLPLVPTIKKITSGK INSNLILNRTARNPQSQEEPKKPYPKQSSPTPL EPUS_06474 MELLLSAVSYLWATWPLSLLKRPPPYEYAPLEEPRQIRLLDFLP RKGGYLRCKVEVVDLDNAPPFTALSYTHGFPLDGDASDHEQYDRIPLIPLLCEGKELL IKPNLASALWRFDQLGKHGYCWIDQVCINQQDEGEVGTQVEMMGDIYWIAQRVLVWLG WEAWDFEVFMKLMEELLPKFEDLVKREGDKSDNSFHSFTNPQIYSRLGTEVIPDYIWN GLAEFLERRWFSRAWTLQEILLPRDIDIYCGATKISWKSLSAFLKHLQMSDWQDLLSW NNRYANRHSNLIPGNSTISTMKLRQLIFKRDPSYQIYLGNIAGGSDNMDLTIGYIDHL IYAIRSRSATDPRDKFFALYGIISRLCDIAEPKLPNPLIRPDYTKSIAEVYIANTKSL LAHSKSLLMLSNVDDRSLRKRTDLPSWVPDMTVAVPTALARHATGDIFNTSRRAVPEL LPASNQETLRLFGYWIDTVTDLGDDDTSAGIRGGPFEKSAALLLKMPKFYIRTGQDRV EVFWRTLIADFDAGGACPATGALGESFHEHLLMHNSMFLLRAQKRGEQAYQAAMQCMK PLTTLAASTDLAASLIPSFGETLQRKDVYISIGEAMEKRADSELHALPNDEDARTEAT RQKVLCEEAKATPFARQSSTVFAVRRIFRTAGNLLGLGPKSLRPGDHIFVLLGARVPF ILRPIAPAEDGRSSSAYYEMVGEAYVHGIMQGEALQRGQLEKIKISLI EPUS_06475 MWMKQVGYKPRSSSMSSATSDTEANKVSSSNDNQLSGTVKRGGT SDTPSFATNSNPSSPTSPTGAAGRRRSSAGNYAGLSGLKRNSQDGKLASYMEQKPGGG GVLSGLWENFTRK EPUS_06476 MSKTFSQNDVASHNKGDNLWIIVDEDVYDLTKFQEEHPGGKKIL QRVAGKDASKQFWKYHNDSILKKYKSQLQVGSLDSKKAAAAPPTPPATPPPTEKKEKV VPSAESGTVAPVPGPAAVEEAEALDSYGSLIPFADPSWYQGYHSPYFNETHAALRDEV RQWVESEIEPNVTEWDEAKKVPETIYKQMGERGYLAGLMGVHYPTHLTSTRVKSVPPE KWDLFHEMLLTDEISRCGSGGLVWNLIGGFGIGGPPVIKFGKKELVRRILPGILAGDK RICLAITEPDAGSDVANLTCEAKLSEDGKHYIVNGEKKWITNGIWCDYFTTAVRTGGP GMNGVSVLLIERTEGVSTRRMDCQGVWSSGTTYITFEDVKVPVENLIGKENQGFKVVM TNFNHERIGIIIQCLRFSRVCFEESMKYANKRKTFGKKLIEHPVIRLKLAHMARQIEA SYNWLENLVYQCEKMNEMEAMLRLGGAIAGLKAQATVTFEFCAREASQIFGGLSYSRG GQGAKVERLYRDVRAYAIPGGSEEIMLDLSIRQSMRVHKALEHDGLKEDGTEDKRVGT GEFAHGKVDPSEAGKKGGETGGSAGGQASGGTSGSGTSGSGQFAGGKVDPVEAGRKGG QSS EPUS_06477 MVGLISAAGLIGFLAEPDPELRVFALKQLDTQVDLLWTEIANSI SQIEELYEDESFSERELAALVAAKVYYHLGEYNESMAFALGAGKLFSLDQTGEFEDTI ISKCVDTYIALSASRDPSLAATSSNQQPLQLSTAFPQHGDGAANTAASLTSPTTPFSQ SALPSRSLLSRENTASFDQAPTDTGTGLHGSPESIIQQRGIQKQLQRIIEQLFERCFQ SKRYRQVVGIAIEARNLDILRRVILRASEDEKKESGEVTRYGKELMDYILDICMSVVQ ERGLRNEILQLILELLNDIPSPDYFSIAKCVVYLDQHSMASDILRQLVERGDARSLAV AYQISFDLYDNSTQEFLKKVRAELEELIPSEEGSKPVEENTDDKMEAEADDAQESDRL LQQPEDQPAAPKSSSLENDAKLSKEARAAVRAVRQILDGVNTIQLSLEFLFRSNRAEM SILNKVKDSLEARNSIYHTAVTLSNAFMHAGTTHDKFFRDNLEWLGKAVNWSKFTATA ALGVIHRGNLTQGQKLLAPYLPKDNPSGAPNTGSFYSQGGSLYAFGLIYANHEGWAVD LIRDHFKKATEEVVQHGGALGLGVAGMGTGDEGIYDDLKGVLYTDSAINGEAVGLSMG LIMLGSGNLKALEDMIQYAHDTQHEKIVRGLAMGMALIMYGRQESADELINGLLSDPD PTLRYGGIMTIALAYCGTGSNKAVRKLLHVAVSDVSDDVRRVAVMSLGFVLFRKYNSV PRMVELLAESYNPHVRYGAAMALGISCAGTGLDEAIDLLEPLLKDPTDFVRQGALISL AMVLIQQNEAMNSKVGTIRKTMQKIISDRHEDAMAKFGCAIAMGIIDAGGRNCTISLQ TQTGNLNMQGIVGAAVFTQYWYWFPLTHFLSLSFTPTAVIGVDQKLEVPVFKFHCNTR PSLFDYPPEQQAKTDEAPEKVKTAVLSTTAQAKRRAQRKEKQQRRESMDVDQPAPPTP KISTGDKDEDKMDTDEVAVKEDDAKETEKKDPKNGEKESAPAEGLKKKMEKEKVGYEM KNMSRVLPAQLKYLTFTDSRYVPVKRPTGGVILVLDTQPEKEREIIELKATKVETKPA PAAQGPASQAQGSDHAAPQTPAQQPTTNTGGAAAAAGVLTAIDEDEEGAEEAPLPDEF DYHSDNEGDGEQ EPUS_06478 MSAEQSLLRSSTDSALTPHGSDDDLSSLSSPLGFRLSYLGTPLK DQSLSAMLQHVLSYVATLTRICLRSSAALLPSFMRDWKRVESTHLPLSRGKQALAALN GLRGVACLIVFNYHFIFVWTHSADVSWGTVIDTERLEEKEVIKYQGNDQKSPLQLPFI RLIYAGHAMVIVFFVISGYVLSHKPMRLMRAGKFEELQRVLSSSVFRRGIRLFAPTMI GTFITMLFTNAGYFEAGKGERTDPVTYQGTNEEHPVLYSTIYEQGSDWLRSMGTLVSN VWCWDLYFNNYDPHLWTIPLEFRSSIVLFVTILGFSRVRPIPRLTLLFGLVSLCLAYW ARWEVFLFLAGMMFAELDLISGIFTETPLLDEEKDTSRSQRSFGWAWGAMMLVGLFLN SCPSAQPYNAPGYMYLSTFVPEPYNWQPGRPLQAIGGCLMVWSFMHLPKIQRIFTTAV AQYLGQISYAFYIVHGPILHGFGYGFVLRCWSLTGKETNVGFASGLALAVLVILPIAI WAADLFWRAVDTPCVELARWIEMRLSSGLNNTNER EPUS_06479 MDLKSMLNESTGARPSPTPPQPLHQPTRHQTYPSYQGTIPSSLS HQASQRERPSQPPPLQPPEAFSPGTVCNSAQSPSQRTFASTSSINSVGLHSSIGSSPG QGQLTTVRDGTAYNNQYPTSFVPSPSSHAPNQGPFDQYQPIQGPPSGFPAGASLTHSG LLRESPVSLTGPQHSAARQFSPHPSQPSLPGTPLGPPAVFQKPSPQATQRAASYGLEH TRTYSGGSLGSQHGYDYGDRNISQHQRTASRESTVRQYSYEREREKSVSVSPKTIPQP SPLRQASMERLQPRMTPPPKQLTGPKADHARLVSSAEPRVDSLPSSTSTTPRDLDRRS SSQQSPRPAVQHSTPPSNHAMPPDKSSPTPHRHQALKRNASAISGSSSSPQPPRKRSK RAEIPIFARTARPNKPPLKLIMKGNIRSIPHVPPAVNGHPSTNGSGNHTAPIRAVPVA APVPVEPSDWEPSIMGSTPYEELTRYICDMIYNTIGNAEPPTDGAVFEIEAKLGEIHN IEEGKRIRLPVMTETIFDKNQFGPPTRFESSMNVEQHKALNSYLNTLCAESQQAPRTP MFYDHPRETDTFYSLTPAGEASLPLSIRTYLNPKHRPRVRITTSQPPPSAAGSPAAVP VVKRRIIKSRIADFDVLCPSLAFDFRISISIESPFDGPDDVLVKVNDAGAGGAGDREK DRVSYRHLAYQIDLTQVSYPNSSKREHELEIEISTETIRQELANLREGRPSRYEDLVR GFVDNVRILARKGTLRMQR EPUS_06480 MAHNRPRGGPPAPQKPTLDGLPEHLLQIIVEMIYEDSQRFVPID RREFLSIESFPPVPPDTSTLSSFRLVCTQFSELGLPYQFGRVTTRFSWQGFQRLDKIS SCSRAAKCTRRFIYMVPYFFVEGRQQLTELFQQHRPRNISPNETNTLHVRNEHQRALV SSRDDVRSLTRAFKAFTALQHVQLNPVLDTAMVHLLNFLENGQIQAGGLGHLVQLKWA PACRHGLYSLGQALATSDSKCNKISIPAMDQEAALALCSTRVPPLSTFAHNLTSLILQ FATADAESLSRLTPYFGRFLSRTTNLEAIHIGFPMSQPLDVRLEDVFHGIKWPRLRAL GIQAWRLAPQEIIGIARRHRKTLRGLRLREVLLKEGRWSDILQMLRNEMGLLEWVSLR RIDYASHFDNVQAGQGFEIGDEDDEPDHQAFGLMNIDASSSDEENDAESEGQGDDEAP AVAGEGEGSGDDSGVSNEGSDHNPVADQLDLPLSSGSTAARPLEPSSASANGLGDNGV AVAGRQTTKAWEVWVTAGSAH EPUS_06481 MDGTISALLLLPRPPSTLSSPNARAAYRPAIEQALKHVNATKTS RLDIAISVPPSWLDGQEPPRTDAFERAQKALAQTYSLICATAASQQIELDCLGGVDVR AFYLLSAPEPAGELADGQGAEKPFSGPFVDLPTLVESRCAYETLLGVQSEEGERLLKS FLVFHQSKHRQSPDCQRVPGGISISQSSNASPATPTSDKAHTSVAVGGTFDHLHVGHK LLLTATALLAEPKGSQRNPSQRVHLIIGISGHNLLTNKRFAAELENWDERQRRVADFL ESVIVFSTPRRESRKLVRINEHSSTAKYVRVEFDASLTIDYIELEDPFGPTISDEALS ALVVSQETRAGGAAINAKRQEKGWTPLDIFEIDVLEVTPMGVEPSVTESSPAAAFESK ISSTEIRRRIHENKT EPUS_06482 MPFNIHQQHHLSSTGQSNAEQNQFTTTNALFQASQTLGSVGAPA SHSRDTGSAPARSDGALFSPDDTADANGSDAMNPTYGSSIGPAPITPGGRQAAHYETA QRAPPFPRQPEELHMEGRHYQAAPRYNAAEGLSVHLQPSTPQYNNASAGTSIPGALQP GSLNRPPTLSSNTAPSSIPTLPQLSTQMQQSSQAPRSVALNHTHSYSRSSPAGMDQQK YKTFTNAPESNKFGSQTPTYASQMSQGAASYSPLGLADIRPRADTGYSDGPMSPTVFA DADAPQYPTNSNYLTPWPIYAVDWCKWPSKQNSMQAGKIALGSYLEDNHNYIQILDAQ RTQPDPDDPHGERGYEFVKTAEATHSYPVTRILWEPPSSNKSTTDLLATSGDHLRLWS LPADHQQHLSNSINRSTNSRDPPLQKLSPLALLSNSKSPEHTAPITSLDWNVVSPSLI ITSSIDTTCTIWDIPSLTAKTQLIAHDKEVFDVRFCAQSVDVFVSCGADGSVRMFDLR SLEHSTIIYEPSEKNDKNASLNSPTPHSPTTPSATNNSTLTYPPPLLRISASPHDAHL LATVSTDSPLIRILDVRQPGQALLELRGHGAAVNCIEWSRGGGADAE EPUS_06483 MQSFLLDRIFPTSSPLLPQHERNSAHTSSPNGLSHLEPSRSPLA SSSTILRAAPVSTSSATNFDPLIPLERAAKSLQRTIQSLLDAQSEGLLSGLRPGNDQD EISSNGSLTPTPTMFSTSTMRKRVTIPVRQPPQKKISLRAARRGLSRSMHDFAALKDE EERVLELQVQEREDALHQVEAFTYKREGIESHIASISSESGAQSAGRLRAEANRLGRQ IQELEDRLFEMKARHRHLADKAQQLESSVQSKLSSYNASLELLDKDIKRFIENPPILQ PSSRTTRNPIDGDSSAESFYALKPNRRTLQMAKDHWHDEREDLIRRKEAIQLEKTALE DGGQVWREVVTKIQSFEKFLKAQMQQFSVNPTSQRERDEGMASVLNSMDKVMEFLERH VQEAEGKEWKLLICCIGAELEAFREGRQVLIEASRFRNGQIHEEEQNELLDYGENGET NAEPFLDQLQDRSEDDANMNSSHRTESAILSNARPAAEDDEERSPKLLPDSPRPKPTL SAESRSSESEDDDPGPDFLISHT EPUS_06484 MSCQLAGGVDDTRTLWDFCAQAKDSTSPIPKTRFNAGNFYHPDK SKKGHFNVEGGSFLERDVGLFDAPFFNLSEAETKSMDPQHRLLLESAFLAFENAGIDI NSIAGRADVGVFAAGSQCDYQDRLKLDPYTSSLYTATGIAATMFANRISYFFNVRGPS ITIDTACSSGLTALHNAVESIRSGQCSCAVVGGSFLQLSPHFLSFMCNLGTLSKEGKS FPFDHRANGYGRGECAVCLVLKAAADAISANDCVRALVRNTGINHAGRSQGITFPNGQ AQSELIARVYGFVGLDPRETVYVECHGTGTERGDPIEAESIAAAFDGVARGLDNPIYL GSVKSNFGHLETVSGLVSVVKCVLMLERKMILPNANFENSNPLVNLEKLNLRVPTECI PWPSGTIRRASVNNFGFGGSNAHAILEQASDSHTKPSAEDVMVVCMVPNGKEEPTHRL FTVSAKDEKSLKSYLQSLVRYVERVPKDSQTFLADLSYTLCCRRTPFQWRLATYASTQ AQLVERLTRSIPIGRANLSQRLCFVFTGQGAQWPRMGISLMRYPTYAKTIKEAGRILK DLGANWSLVDELSKSPDSSLMGEAIVSQPATTALQIALISLFASWGVAPVAVCGHSSG EIAAAYAAGLLNLQSAMSIAYHRGRLCAQLHKLKSFIPGAMMAVGAGPHFVDPLIGSL RDGLATIACYNSPSSVTVSGDRSALLELNEKLDKTGTFNRMLQVDVAYHSEQMTWIAK QYLHEITPALTSNASKDATATFFSSITGDSLASHVVRSPWYWATNLVCPVQFAKAVPA MIAAQASSHPRHSISLLEVGPHSALQGPVRELLQHHSSKATGNSPEYFPSLKRAEDGC QSVLGLVASLVARGFILKTDAIHGETAGESSATLLTDLPLYSFNRARSYWQDSRLASS FEQDGSPWHVLLGHKNTSTVGKSLEFRNVFSLDDIPWLRDHRVDGNVVSPMAGYLSMA IEALLISTSKLQSQAIDGYCVKEMAIGKAFILSDGEQNELFTVLDSQVLGTRGDIPSR WHDFRILSWTKESSYMEHCRGSVCLMMGNIADSISEQWTTSTKLQRVEQLRSRVGGAS PREVDANLCYERVAQKGISYGPAFRQLTGLRTGDDCAVGTVTSVETRPLMPTGFETPL KVHPATLDACLHIGLFSMGGNNGDPDQIRPRVTTFLREAFISNHMPQDHRSCFHVYFH DRQTESMSRSTTGSLTIFSGDEKMPAIELRDLHFFEISEESAPEPHADVLDPLKFDWR DHTAFINSSSLDDVEYPGVPLAEQDAEIMALEQQAYYLMKRAMSACGTEIGSSRHLSL LRKWMEAVIAHVESPDASTREQEWTLLNESKRTDFIEAVSSRTITGKWNRDVGKNLYS IITGQVYPMSILLAENSLWRIYEESFIFGRSGHQLAELVSLVARQNPTMRVLEVGAGT GGYTSKVLSALSSTPLPGVQCEVYDYTDVSTGFFEFAATKLERWQRVLKFRKLDISRY PAEQGFALGSYDLVIAADVIHATPDLEQSLAHVRQLLKADGIFAMVELSRFNNSLFPF ATLPGWWEREAGAVVPECEWHELLLHAGFTGVEAAIKDFPTLNFHKVYHTKVRGPPPQ PFPRTVTLINNDEDLSVDSLRADVGTVLRRGLGLNVEHSSLAAGRSSNGVLVILDDSE HPLLTNLDRARFQSLKKLLDKANAVFWVCRAPHAGADQEPHLGFVSGFLKTLRLENVG VKFALIHLDASRHEKSAANVGRVFKHSFLMNVSDGETDLEFREERGRIQVPRLIPDKS IYDSVDRESNKTRLEEQALWQEGRSLEVAMSTVGLLSTLHFQDSNAVAQEPADNEVII EIKATGMNFKDVLIALGTLPWQGLGRECSGVIVAAGKEAQVRFQKGDAVVHWGGSLFA THVRCKTSSIVRMPETLSFEEAASIPIVFATAYESLVNSARLRKNETVLIHAAAGGVR QAAIMIAQWLGAEVFCTVGAPEEKDHITHLYNIPETHIFSSRSATFAGALLAATQMKG VDVILNSLSGDLLRSSWGCLAPFGRFIDIGKRDAVANASLEMAPFDKGVTYSAIDLSL YIDRRETELCQLMGRVMNLVGTMAVRPAQPIQCLSIADLETGLRTMQAGKHMGKIVVT SNREARVEARCKPSYHTGLYPDCSYLISGGVGGLGKSLVDLFLRNGAKHIILASRSAS EKASQGAVDELLKGAATKDARILPVKCDVGSTVDVQNMIATAAQQGMPPVRGVVHGAM VLKDALFETSTFDEWEAVLRPKVKGAINLHTVLDGNPLDFFICLSSLVGALGNAGQAS YAGSNTFLDAFSVQDVGYIAESVARGVTSFDDDIYGFLITGADLQFLVKAIVHGGLMD FKQNGNQVLVGPLRRPEVGNHPRMRHAFFTSVRHSVTAQRPNQNLGVATNASSRTGFR TEILVDKEVLKHAVDIEEASKIVFESLAQKLAKEMAISPDDIALETSLTELGLDSSIT VRIRNWIATELKANMPVMEIIGGGSINDLVAKIMQRSTLLVKFTDKAQMEGSE EPUS_06485 MKVPDILSPNCDCSRGESMTVEHVLLNCPKWSTEREELIHPLRT TDIKKILTSKRGAKAAIRMIQRTKILDQFKRVVDQDIEQRPRDENREEGEEARTKEEE EPUS_06486 MGAPEYRVFQLPKQLPLALIQSWEAELQDPHHCYLVLVAPNFDT GAISLEEGQWVGVVFCQGPLSPQAYNIFDSENLLAGMTGRQTHWIGSVRMYAFLSDSA WRTVAVVGELRLPLTGRRLYLKESHPSARAFLLLNQTSIDLVKRETSAVLIPNRHDEL TTTLHARIQFGAFYGTPAHENHTSSGARPIMEVPLSQILYHSGYLQDVPEGFLEKDDF FKPVGTLFEYVHEFTAK EPUS_08453 MEGYDNGLPAQGFYSQAANLWVRHPKSCSPASSFSSDRTAFHNI PSLRLFFSWTSARPRPISKYTTEYIEMFPSIPIMIITTTLTDLAFKSERSKQSALLPV VDYIISRHLDQNIHVHCFSEGGSHKAIQLAEAYLYTTARKMPLTSLCLDSTPGDHQYH RIARAFKQSLPPNYLLRVLGLIFAYLMLTYLWCFYVIYGPKKNLMSRIRRGLEDQRLW DTHHIPRCYLYSKKDTLIKHQDVERHAVKAMRKGIPVVLATFERSEHCHHVREDKEKY WLAVKWTLQRRRVQSSDGVRVDVKIERDNSAAERASAPVVSTREVRPRDPVAQRGS EPUS_08454 MVRTGLGSLGVGVFGPLVGGLFVQHSTWRWCFYINLPFCGIGLI LVHFFVRFQTDRSSLREKLVRVDWIGGFLFISSLTSFLMGISWAGIQFPWSSFRTITP IVVGTVGILLSLLWERYGARQPFLRRCLFYSSSAVAAYVCAFCQGLVLFLALYYVPFY FMAVHFATATKAGINIFPVTCFLLPSSAIVSVLITHLGRFRWALYLGWTITTLGSGLP ILMAVRGENIKTAEWVGIFVVFGLGNGIVLTSVNFAIQSIARGEDCARAASMYAFFRT LGMTIGVAVGGTVFQNMMSYKLEQLSQPTSINHYLLYSPLYS EPUS_08455 MATQEAHKPSSPTHSCPPRSREAHTVSSTDRNDLPKESSSTSLP PSDMEASTSSSSTEWKPQRQEYLIMLTIAFISLVVALDATILVSVLPTLAVDLHGYAE EAFWAGTSYLLTSAVFQPFIADLSDVFGRRQLLQASLVFFTAGSIICARAGSFPVLLA GRCLQGIGGGGIITTGQVVFADIVPLRQRAKWFALVLVAWALGSVFGPLVGGLFVQHS TWRWCFYINLPFCGIGLILVHFFVRFQTDRSSLREKLVRVDWIGGFLFISSLTSFLMG ISWAGIQFPWSSFRTITPIVVGTVGILLSLLWERYGARQPFLRRCLFYSSSAVAAYVC AFCQGLVLFLALYYVPFYFMAVHFATATKAGINIFPVTCFLLPSSAIVSVLITHLGRF RWALYLGWTITTLGSGLPILMAVRGENIKTAEWVGIFVVFGLGNGIVLTSVNFAIQSI ARGEDCARAASMYAFFRTLGMTIGVAVGGTVFQNMMSYKLEQLSQPTSIAQQAQSFIT RLKTYSRDDPTRHDILEAYGYGFQGVFMVMTVISAVGLLSSLVVRRHSMDKILESKHR IQR EPUS_08456 MAATHDAFTTGKDDGDLRRRNIQTHEKANGGMVYKIEAEDTKKL QKQKSNLVAFLDEWEFVIAPLIFTTLAFFTRMWRIGLSPIVTWDEAHFGKFGSHYLKR EFYFDVHPPLGKMLVGLSGYIAGYNGSFEFKSGERYPEELNYTSMRVFNAFFGAVCIP LAYFTARELHFKRPTVWLVTLMVLCENSYATISRFILLDSMLLCFTFTTTLCWARFHR LQKESFSPEWFFWLFLTGLSIGCVCSVKWVGLFCTALVGLYTVEDLWNKFGDLKMPKV ELASHVAARVVGLIVVPMLVYMFSFYLHFLILENSGPGDAQMSSLFQANLRGTEVGKN SPLEVAYGSKATLKNMGYGGGLLHSHVQTYPEGSNQQQVTCYHHKDANNDWFFYPNRA HMDFDPETAEVKLVANNDVIRLIHAQTGRNLHSHSVAAPVTKADNEVSCYGNTTIGDD KDHWTIEVVNDAVSSDYSKIRTLTTAFRLRHTVLGCYLRAGNVNLPQWGFKQIETTCV KENRPKDVYTHWNVEAHWNDKLPPGEAKNYKSPFLRDFIHLNVAMMTSNNALVPDPDK QDDLASQFWQWPILNVGLRMCSWDDNTVKYFLLGNPIVYWGSTASLGVIALLILWYLI RWQRGYEELSQHDIDFIHYSAIYPVVGWFLHYLPFMAMARVTYVHHYYPALYFAILSA GFVVDWTTQKLERRLGTEIDEIVVGRVRIPNTPNPTSSLSEESQTPKVPRPSFSSSPS VDRAASGQPYITGTIAPSRSRADPIIHLRTLSSHVRPSLDDQHSTALLSESEKQPTQE GSRRPSFSSNDDTDDFSLWSDTGDIAEQLAEEEDPLRIQLQPLNHEGRTVDGHGGRNK KRVHYQDQDPLERKTTHPGIDKEAISIPEPAQRPISVFEKYFAYIMAPGDRETARRRG LVGKPLLFLTSVFVSLGVFLFGYDQGVMSGIITGSFFLDYFNRPSRAEIGTMVAILEI GAFISSLIVGRIGDMIGRRRTILYGSIVFFVGGACQTCATAMPMMLLGRILAGLGVGA LSTIVPVYQSEISPPHNRGKLACIEFTGNVAGYAASVWTDYFCSYIQSHYAWRLPLLL QCIMGALLGFGSLVICESPRWLLDNDHDEEGIVVIANLYGGGDIHNEKARQEYREIKM NVLMQRQEGERSYGDMFRRYHKRVLIAMSAQALAQLNGINVISYYAPLVFESAGWVGR DAILMTGINAFTYLGSTVPPWYLVDRWGRRPILLSGAVAMIISLSLVSYFIFIDVHYT PTMVVIFVMVYNAAFGASWGPIPWLYPPEILPLSIRAKGASLSTASNWAFNWLVGEVT PVLQAAIKWRLYLVHAFFCAVSFVVVYYLYPETANVRLEDMNALFGDATTAMPTPATQ AERGSLFGIGSPDSIDIRRNMNPSAFSADAAIPGLDINPPSVEYGDNGRPIHDRGRRK ESEVGEGLGSWIGRMVTTPGPLSTAHHVTSTKFKNIWTRLFLRATYIMASSTLLPPVS SAKSRPGGIGRALTAPDKMAVNGHFASAGQNGQGSFEHGIQVIDEDKEFNSDLSKYLQ FTRVSSAGFNYHLISVFGSQSTGKSTLLNALFNTQFSTMQESDRRQTTKGIWLSNNKS SQAADAKMADNILVMDVEGTDGRERGEDQDFERKAALFALATSEVLIVNIWEHQVGLY QGANMGLLKTVFEVNLQLFLKDRKSTHRSLLFFVIRDFLGTTPLQNLRNTLMQDLTRI WASLSKPPGMDKSQIQDYFDFAFSALPHKLYQPEQFTKEVARMATRFKEGHRDGRRDA IMGEFEGGVFLPEYHRRIPADGFSHYAEGIWDQIVNNKDLDLPTQQELLAQFRCDEIS REVLVAFDEAIVPFENKQVEGTRTGKPQILGGLGPAMRAARSKTIKAFETEASRYHKG VYQRKRTELESKIDNRLKALFAGQLAAAHKQGVNDFADAVTAAVKSGQKKGASYDFAE IVTEQKKIALAKFEREAKAIMIEGAPWSTYTQQMSLYQRELDEISTRLRRDEMRRLAT RVERWVRSRLNDSVGLEFNALGSGRGGSGAPDSPEKPASEKAIWDRIWTLFTTTVREA ERRFSTRARSFDASPEEVEVGVWRLRRKSWGVLRAKIEEEMMEGNLLLKLRENFEDKF RYDEAGVPRIWRPTDDIEGIYTKARESTLSLIPILAQFRHSETHAPPPLNEWIGHTPP AATSADEEDLVPIGGVDEDEAAGSLEEETTMLNESKRQDLVVRFKKAADGVYVEAKRS AVGGITQVPLYFYGLLLALGWNEIVAVLRNPLYFIFLILLGVGAYVTYTLNLWGPMIN MANAASAQALEEGKKRLREFLENSESGRRVLEMEGRHGHGEAYEMKSMNGGRSGKVQV EGAGSGEDEGVAEDI EPUS_08457 MAGLDVKIPLMKMPDGFSIPMLGYGTGTAWYKKDASSSMDKSLI EATTKAAQLGYVHLDGAEIYNTEPELGAAIKASKIPRDKLFVTTKVTTNIKDIPAAID GSLKKLGLDYVDLYLIHEPFFAQSDEELQQKWRDMEGVKKSGKARSIGVSNYYKHHLE ATLKTAIDPPAINQIEYHPYLQHGDLISYHLQKNIAISAYGPLTPVTKAQDGPLTDYL DRLAHKYAVSPAEILLRWCLDQDIVAITTTSKEQRMSDYLRALTFKLTPKEVREISDL GKTYHYRAFWKKKFAENDRS EPUS_08458 MHYKKKATVTFPWNDPRLRTEGGKRPSGSDCSDSTVSQKNSVPV NRNPPASEKQVQGQAASLFGVGVEDTDGRAWPGSTEERIVDRDRPLFDLRKTFKSSGT LNPATLGELATDLEEKLEIETRRNAGAATATKSSTTTNTASGQGSSKTGIFGFRVKQP APSPHANAWLDSSRSIHALSPPPANEARSTRPTEKTSQPQKSTTVSTEDSFLSKLCAA ASSAPAQEAGLPATVSARSNYSAQCSQFDGQVHLSDGIVHRPPLRRSQRLRNRTSSDI PRTGPLVESKKFMTPSRQKTRVRTLEKDSTQSASMQETDIRGPQLSELQRLHGSDSSA PITNSSASYTLRLASPKIMVHRPDTISSEEDSHRHSSASSQIAPYMPLQKISTRPYPT VEDGDYFGSSSPIKEESDNEDDSFSHRSKSASPERKLGPISSALISSLLPETAGHFRS GSTALIRTPSPGPVDAVWKRVITKNREMMLPAFFYIVQAFCPDLTVQQIPSGYIYAFK AKDSRAKNYVRIGVTKDIIDRMKQHKRCYREYKLIYPLGGQDLIPVDHAHRVERLIHA ELVEYAMKLEQCPGSDPKCHGHGEWFDVKEDHAIAVIQKWCHVISCSVYEQVPLPEKI ERKKKKTGRGSLQASSPNDGKTNANSPDRTGGSPRPSNCQSPGLVAETKWRLIPLCLQ DMMAICWPLHLRAPTADDEDVNGDGKGKALIEQ EPUS_08459 MHLSAAAVLSLGSSLLIMQPVLASALLPRQDTPPAYYLQTRTLD SSSDKNGLFAIASQTSFGVNDVILNSNITNANQGYFNDTHQYFNLDPAHTWGLDLGLD TDHDAWEPVTIRFGQGTAGFRIGDNTLQWGDRDAGYGGWMACDWIHGVPQLFWRYAYF AYPIPGSCADVTMELTNSSKFHQRAKHELLISPPTTEVAATGREKQSARAMWSTIEET PLYLEKIQLLVEPEKVLRSAGYVVQPLEPGVIESKKRCVYCGARVAKPKKVKAKPTQN VGKPDIIRKENKASPCPPNPADRNIDKGLADGALEALAQAVADVQVEEAKNPPKLACR FHPGRVYGKHFSCCQQHVAAEGCAAHQYHTAQSYSKGELEREWMFHPTPEPERLDEKQ YLTPRPRRQKQRLGDFLRTAAKRKAVALDCEMGTAKSGETELIRLTVVDFFTGEKLID SLVRPDVPMAHYNTRYSGVTAAAMRNAENSASCIWGRDAAREQVWRFVGPETVVVMHG GSSDLSALRWIHSVVVDSHLLERFNEPVEGGKSLKNLSLCRLGRRIQNGRGHCSFEDA LACRDLVHWLVCQIPD EPUS_08460 MAPGRILDASPPKLLNRDERDTVDDESEYGFTRTISEVLVTQQR LPFVPDATKTRLEHAGTARANVAASAEHPDGTKEDDWAARHQHQTVLQQHVDFFDPDR DGVIWPLDTLIGLHKLGFNWVLSTIAAIVIHAQFSYPTQPTYLPDPLFRIYSANIHKT KHGSDTDTYDNEGRFIPQKFEDIFAKYAQPGKDTLSFWDLWNALKGQRLHGDPFGWAN AFAEWGATYLMLWPEDGEMKKEDLRRVYDGSLFYTIAERRAAKQKNH EPUS_08461 MDYQGGGGQSRGCFNCNSLEHQARDCPTKGNPKCYNCGGELFRL AAFPVRATSPANVPKRQNPSPATDAAKKVTSPANAQTKSLMVVAGVPGVAAAADTLAV AEDKNATNVVKLDTSLATATKVEEVTRVVAVGVAMAEATAAVMAVVEEAVVVKLATPV VDLDTCHVIVPKARSATTVAKLVISPATARLNPRASASATSASSPATSRRLAPTKPHI TMIGINSIPTFLE EPUS_08462 MPKRRRLEEERPAQPAKKPRLRFDYDISLLSDEVILKILTYLPI SDLATCQRLCHRLQRLAGDSELWKEKYYARWVRPRALRIPGLKDTIASSEAFRYSSKL AKWLNDRHLIQEGRRTDWKWQYRLRDNWWRGHCKVREVEVAVPPAPSVFVRIYRGVTF TADAKSGLRAWTTKKNPLLIASLPFGVDTKTGWPECRPTCLSIDTESSTNTDVCVGFV DGSFKIYRLNGGEFYSRYAHAPSSNGAISGIAMFYPYILTISDKKILSLYRFPSKDHI CKHLLDPHLLASLQSSCVFAPISLSIRPSSGGLVATIAYALTRLTSGWSVGLQELRMT KDGETLDSRLTSSSEEEITAFHSIQDQDTTVSARAAASQPFVLHPESMARPTALSYSH PYLLASLPDNTLMTYLVTSNADKLEIAPGRRLWGHTSSVSGVRVGDRGKAVSVSRQGD EIRVWELEDLLSSSFQQRTSVCIQPENPDLGIIADAIRRRGDGLSLACGEMEVEQATW RKWIGFDEEQVVVLGERWRRQILSCYDFT EPUS_08463 METVQRQKELVNGSIAILFQVWEEQLRDKTKECDLLRQEVQTLQ RAISTKDVESARLEEENTLLKEEATTLRVTSGFVDSTRLEALIEKHNDLYKEHERLKV TAAANQHDLIKQLRKEREKLRSWNNFSSPGPGSPQLAATRTFDTSLNRLHAKDRSNAR GNRSESRDHAGGLAHAQGNVSLQQIGPVPAESELPPNGSEERRSLDKLAEADSSITWK GSQASSESQLTKQPPDATDFESSFVDAHLRNEPRILSPELPSIQRKHQRGFHSDRDSS TGIERAPSRGSLPQIQRSDSTAPPSEGPATGAIEQAPADDVPSSDTPEFLGARSVKKR AARDVASHGDIPAGNANEPVTIKSDPDTSFVMDKPPQFTRQESSIHESLDPSASRVEA RSPRKHRPRPLLTTAPLEENVPQNRADLETMASQRAASEPTSFKEPISLVDQPNNSRA AVNINARQATPLRRIDGNIRRGLKKADLSSDLKSRKRKHEDSRGVEAIPTIAEDGEEF TTKTRRTSTNRGCSPRLVGPGVHRRLDSLLTRPPASRECLPQISRKTTEEMDRSLSLA TLNKTLGRETPFNELLRSEEKDWEWWDEAQELLTKHKGRAPETSAVHPRWHHYKQQDR IIDNASTPNDSAQEHTRTPHHTWSSISSPALSSAHETTNPTPSHPPYRTLPPSSLNPT HFKLNPAANHNLSYAYTSVVRNQSERKRLPGCTRPECCGRQFAALAQTLPPTTTTTTT TNRSPTPTLTAEDEAALKSYLGAARYEGLDRAPSPPGFWNVDFPATQEREEDRMEAER REREEVKARWREAMKNPDPRVKGKGDGSGSESGSGIGKGEMGLWMFADE EPUS_08464 MRKPDSSQEQGHSSAPMSNKEVANWDVEAQSLASQTTANAEELE TLPPTNISASCCPPTFPESVYATGLNASTSITTPLEIECELPRQKHVPVLRSLRWTLL NIYHRLCLLVLVPNLIFIVTSAAQHNLFNIPLPDMATAVAANITLAVLMRQELVINLL FALAAKCPRRAPLRIRRVLAKIYHMGGVHSGAGIAATVWFTFFNAALLWRWRTNSIPG LSTKQFPLLVAFTIVIDVFLICIVVFAHPSLRRRSHNTFEFVHRFAGWLTVFLFWVDL FVLTDVLEMAREPPRPLGAALLQSPALYLLIIVTVSLILPWLRLRKVPIRVEHLSNHA SRWHFQHTNVELCSASRVTDRPLWEWHSFAGIPEHNGTGYSVIVSNAGDWTKRMIQNP PAQLWVRGIPVRGVLHIATIFQKIVVVATGSGIGPVLSLLTARDLPCRVLWSARDPIR TYAKTIIDEVFVADPAALIFDTKAMHPSNRPDLLRLAYGLYRESNAEAVFVISNQKVT EKLVYGLESRGVPTFAPIFDS EPUS_08465 MRIFLLNYNSRERYTYERLMIELACASAGTAINAACRAIATGEC TSAVVGGVNIFNRPETFQDLAAGHFTSQTGACKSFDAAADGYCRGEGAAMVVLKKLTT AVRDRDNIMAVIAATGVSQNANETHITVPHGPSQMALYRKALRLSGLNAHDIDFVEAH GSGTPVGDPIEANSISTVFGGANRAEILYMECLLASTVELSSLKRKRLDVTNAFHSAL TEPLLSSVGELAEQLNFRDPLIPLETCSKGHSWQTATPKLVVAHTREPVFFTQAVRRI TTQFGPCTWLEAGTGSGMPAMVRRALGPAASSHHIQSLNLGSSDPLDSLARATVDLWK LGHRLQYWSFHSSQRHEYRSLNLPPYQFDKPRHWLEWKQLGQQPVESLSIGPTAPTER VLVSLTKKNANGAEFIIDSRSREWQLLVTSYVVLGMPMCPPSLYIEFVTRAAAMLQES PALEGSPALEDMVFHIPLRGDLDQNISITLESMRVPCSWKFSVDSQATHDSKATHYSS GLVSFVEADDRKVKAKFSRYQRLMSIAHTKELIGDLSAESLRGKSVHRSLSGLIQIGE LYQGLKAVSAKDAEATGQIVLPPNDIMRDFVTNPVATDNFVQVASLHFNSLGDRTDNE LFVNTALGRVQAAPVTNISTTESTSWIVYSNSADTGDTEFTSDIYVFNPHSSQLSMIL LGAKFTKVAISSLRQMLLQGLGEQKQVAVAQENKVSAEPIEIFKPEPVRIQTVPKRTP SAGSEADTELDDLPNLDKALYELLSRVADVDTDEISNNTLILDMGMASKTSKFSRANT GSTAALSSNSDITRSESAAQSTGPTNPPETGPGSGEKLDSITIPSLKSAENVIEESQG SRAAQIWPSSCERFWTTAYPPQAELVTAYVIEALAKLGCDLRSITTDQVVPEIQHLAR HNRLVNQLMNVLRDAGLVVRVKTGGKSRESSTFRIFLAYQSVPENLPNEPKLWPWVVE TVSFKETGQNLLYADVNIPDQLEKTGKKRPIALLIHGGGFMLSSRKDVPPAQIKLLIE KGVIPVSVDYRRGPELNILDGAMTDVCDALRWARLELSNLQLPQSNLCLDGSQAVAVG WSTGGHLAMTLAWTAPALALKSPDAVLTFFTLHQTSKMNITTYKLNTESRLLLPDPRS RIVMHMNREVQVVPILRYGLPSIFCASTSEAALSHELPMPSAEEVAGISPLAQTQRGE YRMPTFMLHGAADGSVPIAQSK EPUS_08466 MPSLTSMAKSLLARAQEIDAELEARGIPYPSFDVDTLEKLPFEA QKKRWELVDASHEFRQLTRGAMLSAWDIAFNWTDALTLRIIWRYKLASAVPLDGSASY EEIAATSGLYKPLVFRAIRAAIANNIFDEDDSGRVRHTAISRLLATHQGFYDGVGLQI EDLGPASTKVIEAWETLCSPYWRRPAPERARRFDSAMHFLTADESWNFRHMLNAFDWS TLDTPGARIVDIGGGNGQVSQLLAQHTKHLTFTVQDLPHVVAEAPGQLPDEFKSRILF EVQDFMTPQPAANPPTAFLVCRCTHNWSDKYSARLLANLTPALRKGSKVLIIEYVLDD KPVKSLGDKLGLQTDLVMATILNAQERYAWDFKRLLELSDERYVLEGIRKPEGSKLSL VEVSWKG EPUS_08467 MTQDITFEDIASLNATLFEWAESYDTKDWARLRRCLAPTLRPVD YRYTYGQLWESMPADAFLA EPUS_08468 MVGKRYHVDNPPKTSIQNKASTSKNNCTNPNKGPKSLNANANEF TPHTDLNPTSGSKTEVVDSLSPLQRSDTNPYDESSSSYRPRSRPSGCHDLEEAFQTAT TAYQQDIRRTSSYFPSDGYQEEVRRPPEEPVKEDYYYPACLPLPAPCPRMEKAWQTGK IAEAIRASYRASCEAKGKSAVRQ EPUS_08469 MSLSSMNCENLVWAGDMPGEVAWTNHVHNLHTFEYKGFRHLAYS HSKLDADGLNTGENTILNSQYEVVAHFKKPDSVEELDPHEFTVIDNGTKIIQLGYIRH PSDWPLVPNGIVREGVVQVVDFATRKVEFEWRALDHVPLCETCLVIPNLDYFHINSAV RDSRGDLFIIAFHTCTIYKISGATGAIVWRLGGWKSDFKMLDGYELRHMHHLQIQDLN EVKLPTALRGRVTNKTHLALSILDNAFNPIFRRPLSANSSSAMVILLDLVAMTGQVIE RYTQPDGMFGTIFGSFQFLPKGERFISWGGQRSFSQFTQNNELICRTLLTNQENRI EPUS_09387 MEKILSLTSPKFCMVHWRPPRLCEPIGQNKDRYHCMLQNLKKIV ETCVNEHIECSQIRSGQNPSIVPTRLIEVGATNPPQPARLIKPKNASDLDAPPRSGKL SGYVTLSYCWGPIQDTDHRMFEDTEDAMMQSLTNLPKTIEEAISICQRMGVQYLWVDA LCIMQNRDGHSEEWESEAYKVGNYYSNSIFTIAAGRAATSHEGCLPLKSTRSDTEFAV VGHDWTVSRSYPQISRKSPLWKRAWVLQEASLSTRILWFTDRTVLFLCKTDSRDCRDR PASHASRAYRPSDGGYRYPFEPLPKEPDINIDWNDMVEQYSRMRLTREEDRIIALAGI TRYFNPKGEHIYLSGVWINSLPEGLLWRSVKTGYIFSDISLSEVPGYWYDALRGRATR RDNKPEFDGRDDRGVIIEWSFCAEKEKCVRRSHIHRIPGMPSWSWASLNTQVRYDLTR DLKEQLDLGCKSRDCRVRHLKFLQSSLDDPAEPDANGRTKDPYLRVSAAFGTLTFNPR SNTKQDWRVGGLDAKIFLDLCSHFSMQRPDGSITADCILAVHVCETKKPVLSHAMYVI LLETDLERSGHCYRRIGVATIMMPVKSFVVGSFLREASRTEFRELLVSHFEDDSLRTI DLV EPUS_09388 MAPSPERADASRRPSRPVINIEGVTDPPQRRDSADRGSARPVKP RRRRQHSFQGRPWAPDENMSPSIEEKPAVATAPSAKSSEWRLKTRILHPTESKPSHSV DIVVVYMYNGSQGDQGNADLTLFQFSEQAQQAEREPPSKQHRADAEGELKKERMANPG LAKSLSGKDGTLHAPDAIRSTPTTKSFVRPSPASRGRSASNADGAFMTSKLLPMPGKV NWLKDSDMLPNIIPEARIIGVGFDIQNNADVPIDFETAAEQLCNHLAVVRANCSTRPI LFIGHAYGGIIIEQALVKGACQDTKSKPILENTAAVFFFSCPILGSDTTSRLLAELYG LSPDARFFADMGASSAKLRRLTDEFNAKVMQKDTRMKSDSGDQPKTKLRVRKGVVKSG DMSRRTGFPIIQFVTSAESSSQRKAERAAEKLKVGRAIDRLDDPESILELTKDFRSAI QFSGPEDRDFQNLAKWILSAVHTRQFLDAATGRLEDMESLINKQGVNVNLRDRWYVDH SDISRPFHWLSCGQRAGSCIYFKLTRYHLGAKQRSISRYKELVNLSLWYGYYCVKVPL ILRLRIDDLNTPLHYAVERNDITIVLELLHADVNAENGALETPIDLAARHKSSRRIAK LLRQQSLNLVEGPAVGPKQSSGRKPTPPQSKEGKLACKSFQVTATEVFSFEGTDHHWS LPVSVQEVIYGPSTLENLLRQVRPKDVAQKTPLCRWLHIHENNMMWVEHLFAKLGYCG SIWAGRGHMSQAPHSRAIAPHVAPPRNQDHQNELLAIFMPYLSYEVNKRQAKMSEFTR ITQGDYEFDDSRRKLFEKLYMSHGLKSREDRLRERTDDASGSADRNRGGSESDNARNV EGSDSASQHDIPEERKATLREPISDLESESSHSESDTSIVDEYGLDEEDKSLITAYLN DPPSLHVRRTLDQYYYHMLASTKQRDKDQVVTRWAKSERQETHNILMVDQLWLWLFRA EDETDIIISSFPDRTGFGSRDTSMRSMDKLRDLVLDPIGHMRDPIQSTAGLVFRILAT CSNIFDRCQEFHMLQFLQAFEISIGEVSDKETSLFKKFQKRSTKLHALSKEYKDFEKW KRDLLEQLLDIGKETKLLVEIKDILDEIKIILTILQTQRSVLLNSGLEKFVLGLCGDD RMSQFEDARRIIETSTADFKRMQTQAEAVQSSLNSLMDLKQKAANAWEARSAREGALA TAKQGNTMLVFTVVTIIFLPLSFMASFFALDVASFPQDPKTGQTNWPLRLVSGFIYYY SIFWGFYEDRGSRSSTGNASSGPGSSDVAKPNHGRALLSDSPYSSGSTSVSDVDSDHS GLCQRAIMRIVRASKGIRNPRQRAEDAEESVGKRNRLRRFTTRMKTRVADNEWNG EPUS_09314 MISQGQQASPPSKKLANLRDDPAFREFFKLQQSFQCNVAIRLIS CLERLMGKGSNGQNDLLIISTLQLLQGVLLLHTPSRELFAREIHMNLLLDLLDPCNCP AIQSSTLLTLVSTLLGQPGNTRTFESLDGLLVITALFKSRSTAREVKMKLVEFLYFYL MPETPQVQPQMSASASNTAVLGGRGRELVAAFERNRRETIGGVDKANSESSQTRNTEE KQKMLGKYLGNVDDLVEDLRESGGPFMGGAL EPUS_09315 MSSLNPPTDTMSKGPSQIRYMDTASAYDLWSQVYDTDGNFLQAL DTIEMSSLLPNFLRQIRPPKPWKIVDLGCGTGRNTLSLLKIPDTSIIGLELSPKMMEV AHARVEKELEQLHKSDRCRSIELKLFDMVEQRHPPDCAMETDAIISTLVLEHVPTEAF FKTAFQILKPDGVLLVTNMHSDMGNISQAGFLDPKSGEKIRPQSYAHSVEDVVTEAKK QGFALEGDGKEVDRSNGMVCNVLSES EPUS_09316 MPEENEELRKNEIRAVGGRRPVYDLFQLPSPVKRIFDKFPLKTY PANDLPRRSPPIGHFDTLHIFTTQEGALQHAPSFNPACLKWQAYLRFSEIEFKTISSN NHASPTGVLPFLTPKSSSPSASSSQPISSNKILKWVSSRSDIVPERVSGMRYEAYRSL IDHRIRSAWLFTLYLDERNSEVVAKQLYIYPISSNALVQLSLYYQLKQAARDELLKTA SYIREDEVYLEAENAFAAISTLLGDDVYFFGNDRPTLFDANIFAYTHLLLDESLNWQE TRLADSLKKRENLVQHRQRILTGYFDRHNAL EPUS_09317 MSLEEFFSPVDHNPNNIGSISTPTTSARHLDTFNGSIVPKGLLN AYQAFHPENLLVPVQPQLPELSPTHFSYDHFFPPYSNTAINSTNHTRHHSADNSPLES IHPTFHPSPTFASPTTNAYR EPUS_09318 MKIDFILSFVALLALAAARPIPKEAKLLRREVPQEHSHEQFITT VRASLNLNNPNGFGDPVFALLGNEAAAEGLGQLADVECLQQAIADQAFTNAKAANDVQ GMASALIYRALERNTAKVGQVSNTCTSIQAINPEIAVLQQHQDPASQGAAEGNKAIVL ELAKQISAIGGDPQEALKSGTFQPGDLADASGKGNTCDDLNDPEGCIFTQNLLVEDAT ADEITAVVGGATGGGFAAPGIDPAAAAASVSAADTNPAPATSTGSGMAAGGDFAASTV DPAASAASVSAADTNQATATDMGTGMPADTNPATATDMGTGMTVDAAAVGIAVDTAVT VASEMAVDIAPEMAVDMAAEMALDMAAEMRVDMAAGSGNAQGAQQIATQPVANANQQA NTGTVQNNTTSTAVQAADVPLAAAGGQNGTAATTQTGAAAAASAGLDFGSCPNAGIVF GPGFDGRKEDSFQPADTTQFTHGSAQNIDIITDFMCDRFGDRCGANEATNAACMAATA ATKGKTGQAAATAWNEALGLTNVPNLTGA EPUS_09319 MYIEKLYDKDIKIFPCVSSSRILRKSLPKPGPNYNVSTPRATIE SKQWCGRCVWESDNDVLDDQIVALTWDDDSRSVSGKEVPDRGPNTAVFHMVAFTEAQC EQRGKISGIHGGIHYNSKEIQVYTFDKFLQPEAAKIFTPPKTAEGHDGGNGGLMNSFS KAVEAVIKGELSVEQAQAKYVSCTLKEAFMSHAMVFAAEEARLGRKIVNWRNWWAKLE QRLLSR EPUS_07656 MAGSRYVSRLSGGIRSGDPPPLYSLDKASKSVANEKIKVRGWSV TGWNWAFDGSFRRQPRTRFSEIEVGEETNNDTVLRENTMAKQKQRIDTDQVKGQDIVD MSIFPLAYAEPNLVNRLQRRGETFWKCRNRRLVSYMEKVVDNTQNMVEERYMIDFKTF CSLHRNNNFPESSLDELGDDAMAKDEPPDEKFSYLLPPTIKGYNLRLKKWFDLEVDRI SEVKWNVEAFETLVLERKARNLILALVSNQIAAEKSTDLIAGKGNGLILLLHGGPGSG KTLTAESVAEIAQKPLYRVSCGDVGTKAEDVENLEDLERNALVSVFLRVLEYYEGILV LTSNRVGTFDEAFRSRIQLALHYPNLGQYQRLRVWENFIKRLESFGDDRVETQDLRDH LEDLSKEKMNGRQIRNAITTARQYADWQSEQSKDGVVKKMTYGHLKDVIEVASRFDKY LDQLHGGLSADQLAEDEGLRLPSTNNINKD EPUS_07657 MSTSALGNLVRIVPLELSDLPPHPSIPQQSQAASTTTPSDSRLI TFLLAILDEASTFISPSTFSTTFTSLSTKSSPPSASPVEVYKREISASDVSSIQWSAN QRVPREPPAKVEDENWFARRSVHKNEPSKTSEGSASWSEFVFGLKEQHSKHEQDFTPT LYDAHYVLDWNEEIRNLPKQGGTNEDWIEGENGIRYTDITMEIFEMCHSLPGPLGPRC FPVLVLTAFTSPTSFLAVTVPVNLLSPAPLEAAYYSTGRNATDPSASEQQQKRPVVGV YAAVETVKLLGNGEGEEGGIEVRDGDGRDIEWIMAMASDAKGNLPMFLQKPNLPSAVA KDVGLFMQWIKGVDVEKERQGGKDVL EPUS_07658 MYYHLLFVALLSLLLESSTARPLDLPDKADAKPDQSPSSKRSAQ EIITQLNLIPNPERGWYSQTFEDPETINNRSASTAIYYLLEKGEVSYWHRVLDATELW HYYSGAPLRLSLSFDDGQPVRQPTLGPDIFDNQAPQIVIEKGQWQRAQSLGDWTLVGC TVAPGFTFGGFELAAEGWEPQG EPUS_07659 MHNSHNGARTSALLRFLISTIYTSPYVDPSAFSSRIGVHLKNWL ILRNMVEPFSMAASSFAVFGLTDVVIRAGKEIYHHLIAITDAPAEVESLRCCIHDNLL LVKDLKLYWQELRASASFPPSATTPALNRALPLFRSALETLNRELTSLVAFYECHDGI TKSKGRFKWVLDEPRILKYLQRLESSKSTLVAALVLVGRRQDHLYQRSVESKIHQGFQ NMTTKFMGHLQRLEPGMHNFRKSVSARQTSLGMSNSHLHEQEAGMQKHPLSGSRNALR EDNKNRHQISRGNAQVIDTTCSKLQDLRGNLSPTYKSANKHSRQIRFNGHSLESTLLP LLLLKAELRGAILMVMSQHSGQVSHKHLCWLESEFENLVSSATQEVAATSQGSTATSF DDWIYSQGVTSYYIAQHGHRYPPMSKKTPINEHDSSRETTKSTHVDTRKRSRSSRQSF SFMLPVGTIVIVMPRRNCGWPNASDSYSIHEVGFSFSPQSRICSTSIQGRFMKVKSLR PEPRLHAQLNAFNMVRNCSLHIELISCGTLKEIDAAIRNGRISPYDVNKDMGNVCLYI AAYYGRLDLFHYFNSQGIGPSNLDGEHGALFGLWDSWIFLLKEEEYDFKQENECGRTP LLDQLATKGCLSLEMVRLLLEFNVNIHATDCDGQNALQCAMWSFRREEQRDIMEQKLY LLIKAGADANHCDEFGETPSDHARKRACWKEWCGALESNSLKVDEVLQADEERRKAFW QQRAQGSGSRVQAEQTPEDCSKDWAEEWIEMYTNEGAERDRRRVSKRAS EPUS_07660 MTPISQDDDEGRQSDVSTSTETKIVGNKFDRQAPPPYSAFSPQR RRFILGIVTAAGFFGPLSGAIYLPALPLFESIFSASATAINATVSMYMAVFAVAPLLW AASADYGGRKTVYLVSLGIFNFANVLLASLPPNIGALFFLRVLQALGSCGVTSIGAGT VADITEPRRRASALSVFLLGPQIAPVLGPIIGGQFAVPERWRWVFGFLAIACFPVYCM IIFCLPETLRCLVGNGEVHANRGWISAPRFRQAQVVDSKDFPRMPRPNLKSFYRLLKY PPNLIVMISGAFLFAAFYSILITFPRALGDTYGFSEAEVGYAYLASGIPAVFGSLAGG RTSDFLRKRAVRQHGSENIPPERRISTQIYGYILSAAGLLMYGWFCRFHIHVSAALVA SGIASFGMTWVFVTSSSYQTECFPTQAASQMALASLLRNVAAAIAAVIIDPLTSSMGF GWCFTGLALLNLLGVVGVLFIMNGTNFQKKFGREEAKPTLSGSKQVDQRK EPUS_07661 MARSTAENIAHKHRDPLNNHELVRVVQPNKPVLTHDVRDLRVEY AEWATKEQENSAIFIFVDETYCHFGGHCRNKPKITKPKGADPHLYARFDPAEQFQLMV WGAIGLYEDEIKFPFWIWEPETEEDK EPUS_07662 MLLTIFLIGILLTLFLCFLALPYAFLIPPRDFPKNIPTIPFYVS LLSLFTDVDQADLYGKYLAGPLQQYGAVKIFFGARWNILVTRPAFVAEVFKCEDSLYP KSGNQKKIPYSVLAEYTGDNIISAHGENWRLYQSVLKPGLQREFEVEPILKNAHTLIR LLFEEQKLSGNGSVLLPQLLQRYTLANLSESLLGADFQTLQNPNAPLHTLQLAVKREI FKPFFLNFPFLDLLPVKSRDRARKLVVKFADELCATVLRGHRHQHDDPSTDRLGCRMI AGQFRDNMVSVFLAGHENPQLLLTSMIFLLGENQDAQARLRQEIMSVGPSDQLDYTAL QNVPYQTSVIYETLRLFPPISQLLNRKTTQDVMLGGKIPVPAGTYLGYNAYATGRDHG AWGANANDFKPERWGSTVEEINANYRRVNSRASFIAFHGGRRACLGQKFAMFEARLSM ATVLREVKWWIDPAWVRKMTLAGPLYPRMLRVRFERLQGTRLDEKQGFDLL EPUS_07663 MAPLNSVKEAWPQIWKGIETRPYTSEKLTLPSGGQVERLQLLPS YFAPQDGSLLKLSPLETEDAVFSIQELRRPGEERIVGMLIMVPASAKETAEFRNWAGL FVLAETRFEPLRRLETATSDDTKAREAARLITNVFEQKLQNIAPNDQWITGGGRNYFE DRVFGFTERQARVELCLPAFPCKSSNPQKTNGVYPDRAEKIALDVLRSFTQSVAEVYE PGAKIWLISDGHVFSDCIGVDDDIVDMYGEKLNEMKRGVLEDTIDNSSIEFLSLWDIF FASPEVTSLFSREWIENVDVPHVVTTGLTEEAELCRKLLMSTCSIDPNVLNDLIKGGD QSLVALYRGFARFMLEDLAFHPDCAGKSTSQRKKLSTKVAAEMIKRNQAYSNLIELLF PSTIRLSIHAHDNRGPKFGIRLFPKPKVRAIESMTARHEPKTADLLHTPTPWHNCIIE VEGDRTLYLAKSRVAQDALAGDGYEGAWIGGDQERSGEYFLRAKLCVPDSCESPMSAG IASTHRQLVKV EPUS_07664 MAVLSHSSLSALLAAILSFVLLFSSPVAMAESNREVQTIAPPKH YGMLLFQAFETLDVFGPLDALQILSRNHTMNLSLISSSNTLDPVTTRPRAPGMNPRNS SFSQSVLPTHTIKDAPDLDVLIIPGGLGTRAPDLNAMIDYIRTTYPKLQYLITVCTGT GLVAQAGILNGRRATTNKSSWASTVALGPKVKWQGHARWTVDGNIWTSSGISAGIDVT FAFIQHVYGDDEATDIANLMEYERHQDPKWDPFAGIFNVTPP EPUS_07665 MDMSTMTMSSTGSMMMTSSASAAAATSTTAMHTAGGSGMDMGGG MGPNACKISARRSLTYYLPLMLPLPRMLWNWYTIDSCFLARSWHVRSGGAFAGSCIGV ILLVISLELLRRAQREFDRYLRRSDAQLSSDSEAGGVSGSSESGTAKSSSKIKVAGFG SSSSAWSTTFAPKKLKLWQQVVRSLLYMVQFAVGYFIMLLAMYYNGYIIICIFIGAFL GAMIFQWDTYGARCDPEQRDSCCT EPUS_07666 MPGMQDPSPEEMIELARKTRELIETIYAHPNFNTAQPERSPKML WHTGDFARRTFTDYIAPLLPPSMASLKPSIAFADPRCEDPRRGMPGAPPRSDQVVTGE AANAWPEVNKEKYMDAVMRNMMVSMIILDPSGPKVQMMFPGAESGFDFGEEIREMARK LKE EPUS_07667 MRSTASGRMSPSVLFLLAVFTIIGISRVAAQDLPTLTISTDNSI PTASGPASDSDASTEDTASNTLPPLTSLAGSSVETTGTPPSLSTTTDNPGLPKLTDLP TLSGQYSYPPPSVPPTAKAPYMQHSNLPEGTVFIAVGAALGFFALVIIAWRGLVAWSI NRSVRRSASKGYTAVGDSYDKSDKRKSGLYGSAAAMGSTMSLEKLVSTSRTGTAGSKP RENSNLFFSPTAGAGMQTPGSRNSGYLPSGYYASTNAAPGGGAGMTTLGEPGDRASRL RPHSGIHASPRHLDPSPPESPDVRPSTAGLSAGDSRSSLNLSTTTGNRAPSTYLDDLM NVPPMPQHTNLSGRPKRESTGRGSYRD EPUS_07668 MPKSPVPPALNLNATNDPTEPDHTQHISPSTLSPRSSRSARSNP GSPVFQEKFENPSGQNSTDKAGKGSTGDNGLVSPKVTTIPQYPPSPPRASPKHGRDAS RSFFSNLMASKSSHRLQSLDQTAAEVADTDRTNGRGRTSSKERGVYSSKDRGSNSDLL KNVKAPEMQRDQSSDHKMSGSDISTQPSEGGASPNVASKKPKPRFGILNRTRSIKTDD QTRPKPSAPKKLDLSSSTANSNRDPQFSEPMKTAPLRNDHRERAFGDSVGSAHRNRSA DRPQLSRDRSQENFPPRNNKPGGSLANSNSFKEGASTHLLSNLHQSGRGAADRLGKAG KGFLGRITRSGSNHERELVTDDNYICSSINLPLVKQTRRTRIAKRLELSKDKTEFWMP ALPWRCIDYLNMNGCEEEGLYRIPGSGKDVKHWQRRFDTEHDINLFDEPELYDINTIG SMFKAWLRDLPDEIFPKQVQVKIAEQCMGATKTPQLLKDELSKLPPFNYYLLFAITCH LSLLHSFADKNKMDYRNLCICFQPCLRIDGFCFQFLVQDWKNCWQGCWTEKEFLEEEY RVQAEGEDAESQAASYDPDERAVSSSGSSQHHPNIPPQSQQQPPQQPPQQQQQQQQQQ QQQKAPNLLRKERQNNPRPAPRAPATTKSSDNASSVGNRTHSSSVSTEDPKSNTSTTT TTTTTTTITSDSDTVRVRVESKLPALNPLSPIKL EPUS_07669 MALVSGPGRGGVPAGRDPAQEPYLVTEKHVNYIKSLDTRKDELE YWLTEHLRLNGVYWGLIALHLLGHPDALPREDIIKYVMSCQSENGGFGAAPRHDAHML STVSAVQILATVDALDELDELESEGHGGRIKIGKYIAGLQNRETGTFAGDEWGETDTR FLYGAFNALSLLNLMYLIDVHKAVAHVQACRNFDGGYGVDPEAESHAGQAFTCVGALA IAGHLDLVDRDRLGAWLSERQLENGGLNGRPEKLEDVCYSWWVLSSLAMIDRLHWIDG KKLSAFILRCQDYEGGGISDRPGDMVDVFHTVFGLAGLSLLGFPGLVAVDPVYCMPKH VIQRCIQKSR EPUS_07670 MASTLLKCSICPKRPSFSDVSHLLTHVGSKGHLSHLHKLQVRSH QEISAGHELATYDRWYQQHGLGELLSERMLQKESKKGTKRGRSTTQLHIKNDPDQQDG KTRIPAPSNRHPAMKTRQLHQSRPTRKLQLPSLDLDTDDNSDYDESPTRQKGRKARRF RKSPIKRSWSLEHSHSSEADCPAITDPITPKAGDESPRLKGVFWHGMNLFDAATEEMR RRRNQKKDGSALKRMERTSELVMPSEAIYSPGGTWLKTRVITGNVDETSPLRGETPVP KPARRRRPPLAERDSNIPGALNGKGKGRKRKPRARGLKEMSQEASPYLPSSPVAGLYR PASRFAPTEDENLEFKLTVGNLKDRKKRGNFAIFNDDGYARVQHQSQSLVSDLDGTRS RPPLAQSYIQPSPNRQQMQFMTTPWLQPQYQQSASYHSMYTSIGHHSLHRESGDGLGM GKENVEPSFAATTSSSTGYAKAAPMGQAPVSAQDHFRSIQAPRLGSSFGLGGLNMFDD PFGYSANPLTAAFQQMPSTMETPFFTGAYPYSADAVADNTKGVMSPNGTVSDGDGHEN GQNLFATSE EPUS_07671 MANLQKAQKSISSFFTKAATQRVPSDENAALSLPTGRLNGNNDD FELPAAKRVRRSSPTGGAEVDSLVQDQPLIAISPNKQISASRTSKFVFSSSPQQENVS ELVSGEDLQQKERLHERFVKKLGKPDSIADIKRRNRALMEDEAGEEEVDEEPPEEAKP APRGKKGVAAKKGSSKLTPMEKQVLEIKRAHMDTLLVVEVGYKFRFFGEDARIAAKEL SIVCIPGKFRYDEHPSEAHIDRFASASIPVHRLHVHVKRLVAAGHKVGVVRQLETAAL KAAGDNRNAPFVRKLTNLYTKGTYIDDVEGLEESGAGPPPAPATGFLLCLTESNAKGW GNDEKVQVGIVAVQPATGDIIYDDFEDGFMRAEIETRLLHIAPCEFLIVGELSKATDK LVQHLSRSKTNVFGDKVRVEGVPKQKTMAAQAYSHISSFYADRMRETREDGGKSSNLL EKVLTLPENVTICLSAMITHMQEYGLEHVFDLTKYFQPFSARSHMLLNGNTLTSLEIY QNQTDHTIKGSLLWTLDHTSTKYGQRLLRKWVGRPLLDKPKLEERLGAVEELLDTAKT AKVERLKSVLSKMKIDLEKSLIRIYYGKCTRPELLNVLQSLQLIASEFAHVSSTTSTG FSSSLISSAITALPSILSEVVAYLDKINLEAARSDDKYAFFREEQETDAISEHKFGIA SVEQDLDVYRTTAAEKIGKKNPVEYSTVAGIEYLIEVSNSSTLLKKVPASWIKVSGTK ANSRFHPPEVIKFVRERDQHKEALAAACDDAFRDLLADISTKYQLFRDCIQNLATLDC LLALATTAQQPGYSKPIFVDENVIQVEGARHPMVEHLLLDAYVPNDIQLSQDSTRALL ITGPNMGGKSSYVRSVALICIMAQIGCYVPASSAKLGLLDAVFTRMGAFDNMLSGEST FMVELSETSDLLKLATPRSLVILDELGRGTSTHDGVAIAQAVLDYIVRDSKAFTLFIT HYQTLATMADGFPNKELRNVHMRFAEQEGSDQVTFLYEVGEGTAHRSYGLNVARLANI PERVIEVAGEMSREMEEMMRLKKVKALARILAEGGEEDRLDAIVEGIERL EPUS_07672 MSEAPPQSTLVPAVSKPDRQPVTVSKPTPYTFDLGHLLATDPNP FPSTSDITNRNELLRSTARDGAQSLLNELLSTRPITSSLDGLTLSLPPTTTQLPRWKP LPKPKPPTKWEAFARRKGIGKFAGAASGGAKLEDRRKNMVYDEESGEWVKKWGYKGKN KEGEGNDWLVELDEKKLKSEEKHGQPEGRNVRMEGKRERMERIRRQERRERSNARRGG KQART EPUS_07673 MIGERKALRKRIVISNTNALEVQGLRDLNVKNMVDETQIGQMLA LNEELLDHLRDVRAFKPTQNWNMFRKPSTLMRRESVEMGRTILDVSAGQAEERPRTVR RIIAGERGTGKSLMLLQAMSMAFLNDWVVINVPEGQEYTIAHSAYAPMTINSARQLSP DQAAQTASKQSQGSQEMIYNQPTLTAELLQRISKSNENVLKRIPLAHEYKFGDYEPKN LYSLAVVGVEETSLSWKVWQTLWEELTSPSERRRPPVLVAIDGIDHWMTLSKYRSADY KPIHAHQLAPIRHFVEMLFNQNGAGQLANGGIVLAATTGSNAPSVPAFELLLKQLDAR ARGLKMGDNEFPMPQPYKTVDQRVLNLLEGSAELNVQRLKGLEKDVEAKGLLEYFARS GVFREVVSERTVAEKWSLAGGGVIGELARFGSRLRV EPUS_07674 MAVPPKPISKPSTIVAGRPPRDKATVRPTTDTESLFRRELGLRS ELDRAPNPVQTGIYKDIQVNLHRAQKARALQELRHQGSNAVADTAKYVRGIGASEQRI RNKDKVDRNLLADRGGRHQLLLRKTEQTNHDYNSKAPQAIADNHDQVARANWDLLPEI KRASGDVSRSLVAVTTELSRLLQWSCQRLDEEMRILKPKVLEEAETRRVMKAKKEDSE HAEAFSQREARREAWWAHIEDTVILENDKVRTLADICSGLSLLHSSYKSLYEKLSKSL SWYYYSDSSTSRAKELRSLFYATLMNMIEGNRYLILEAHFLRYYQRNRYYDCEPGAFS HRVRHASLYRVARMFKISAEILRLYAVSWTHIFPRRRISRLELPDAVYENRPVDLSFI IQKNAAVSTKLTRQFSFGLLSNDHDPAIQVRRAQAAALMPFHVVIGGMNSLINEFYSK NETIDPGKYEQRLGFETRQLRLLVDLFTICNWRSLQHRVSTRTIFLKPSSQSDALFSP IQFGVPLGYPRSRSWNYNDYRGPQGEKVTVFCARESTSMESCLAQLQHEGVVSVDVRW APDREKSSDALHDWFGHNVSVVTLATESQIVVCHLALLTRYLSIPVSLRLLLEDPNII KVAINIDELQTRFEKYLGIHMTGTCNLTSLDASFHSTLLEGAPHHGIRTIADLVKKHF NMELCGLRQSTKIWLYSFSLRELQCVCSGAYACLRLFFHLSEKMFDRKTEQLIPLNGC SGRTVQNLALLFPAATRGINKISSERVEPSATEKTHQHATSVIQLPYEETGTIKVSRQ RIGYQDLVGKWAAQLARELIKTRRSSNFQQSSLRLYYLWHTFELQCDDIDIYFPQPHA NVPAAILEVIESAGLPYHPESFKTLQKMALVQFYAGQKIWLLEEAWMHSWMQDLSPAD LSQRISDLMAVIHGKRTAENWIDVAARSYHSQTTQNPHQDLLPFAMWHIGDVSVHNIS NLLLRSRSSIAESILNEVLQSKSRAGSMQLGLEDIAEMTGDPPLWMKRLNLMSKRD EPUS_07675 MFDYLSSVIECVKAKKRTGNPANVHQMKKQDKTEKQSGTRKQDR MKKQDRMKKHAGVAKQEGKNEMPERLAAADVPALIKFPTRQPASYVPYHASTYRFAAF LTIPLAISLLLFWMITHGSSEAVASWEILPAMYLLLLVVCFVLPHVRLSRSGRYRFLS TLKRVSVGGLAEAQDGKFGDILLSDVLTSYAKVLGDLAACACMLISKGVSSTGMPNRG CGGSFMVPFIISIPSIIRLRQCLTEFLRARKQRQGLSGSGGQHLGNALKYASAFPVIA LSALQRGYDPAKINMSEAGLFRLWMFCVFLNSFYSFYWDVSKDWDLSLFSSSSKRKDP EHPYGLRKHRFFPSDKMYYAAIVVDFLLRCTWSFKLSPHLDHFNDLEGGIFTMEILEV VRRWMWIFLRAETEWVRTHFGPPPDDILLGDFGNKIDED EPUS_07676 MGTGDAAELVPSLERFTTASCAFDSAARVLHLGTTPVTNASSTT DLNVAIKITNASHSNDITSYALDPSRHSEPKFRPPVQDMMLVRTPSPSLSSNKKGLND QGTRESHSDTARIVRNPAPDFPLPGTQVSKTPTSMDPLNRIQDHIALKVNQAEAAERS AQDQLQQSSCHLKKAKEDAQALQSRAKLAQKELEKAEGWQAIWKITKEKHTATVQRYR DSQKDLEQLARMHEVQAEGLRALNVPSSETIPAASLDLMVKGVVDKYQDILADENLAT EKSPS EPUS_07677 METDFESKEATIAAMLRSLCQDVRRKYVFGETAIPDTSNIGLAQ PQHMDEDTKAKLKTVLHGLRSHDAYQAFAHPVTEEIAPRYFEYVKHPMDISVIRKKLE SDQYNSVAEFIADAKLMFNNCRTYNDPTDPYIEAADRLQHRMQQGMTKQGLKWD EPUS_07678 MSKSHSEASDDFEFIETPAAPTPTPPTEDYGVRTTSYPAIKNAP LPADASGSETFNNTLLFSLLAVVPIYLARQLYGGLWTALFLAVLTTIPILMAFWTIAS TISPRKNEKARYPGRPVQDYLHFHDENDRIKYHGKNRIPMETFHEMYFDGKVDFKGDC LELMEYRHDWANFRFTLSLFKFFLFGMMPELIMHSRSQDEEQVRDHYDRGDDFYGWFL GPRMIYTSGIISDINREESLEQLQDNKLAVVCEKIGLKSGEKLLDIGCGWGTLAKYAS VNYNARATGITLGRNQTAWGNNGLRKAGISEDQSNILCMDYRDIPVPAGGYNKITCLE MAEHVGVRHFSSFLNQVYNMLDDDGVFFLQIAGLRKAWQYEDLIWGLFMNKYIFPGAD ASTPLGFVVDKLEGAGFEVKGVDTIGVHYSATLWRWYRNWMANREKVEAKYGNRWFRI WEYFLAYSTIISRQGSATCFQITLVKNINSTHRVEGIPSQFGLAGALAAGQVHNAGHK ATDVTSGLGNKVKDALRTD EPUS_07679 MTNLYPSPPTRPAPVPAPHANPVRSQDAFSTSSPPHTPRNPHRR LVRSQTGYQVSTRTSKRETFLDDVTPVEGVRGNDGGDEKDPHDLAFSPKHVTRASVVD NMLIALDQFSNPTPSSISTREPPNASLRYNSVIRRRRGNTFSSDVSSDNESRMDEGDA LQSFQRNQRSGSNSTFHFPRTLQPVPSLCEEEESATRARVFDPQKAFAPSRKHYRRKS GKSSGSSSIDLGQPLSSSKLGRAGNRRSQSFDFGSYRRSVLILDNGTNGSSASHNLAN EMEAAPTPIVHAGPSRGQSPTQHITTAPLHPVYDPALAGGRNMAKPCKNPYTRKGRAG TMGAASSKGRDELRDLHGHVENLPSMPTYLAPLPQSPTFAFHKPSIGSSAELPLQPKD RPGFFRRVFGSKNASATPFQVVGSEDGVFREPMTVSPTEEVFRASASSSKSHKQSPKD ANSTTSPLPKGQQMVTKKSSAFFRRRKKSAAGQMPTPLPLSLQTTTVEAAEPSPVSSL RQVMNPYLGGQPLPSPKFESRKESPQGFHTARTSFSHHNNASPNTEEKAPVDWNRSEQ VTSQSVRPESAVNQDLKFRQQDHQDSTFLADSSGTEDSHTRSSQRTPSESSDGRSRTS PLIKSQSQGSEGLLPVRFPFTSANGSAVTTPSSEIGTPHSPSSQTPPNFTGANFVVPS TTTRPSTLRLQPETLSSVRRGSSSKDHGASPVTNSPLPSDSEPSLYKSAPSTPLASFF ENAAAADASPTVNITGSPENIQAPPLSEDDEEQALKIFENRDEKLDPGEVSAWLGDAD DGRERIRMAYMNLFDWTNVDILSALRGLCARIALKGETQQVDRMLDAFSKRWCDCNRN HAFKSSDVVHTICYSILLLNTDLHVADIGQKMTRTQFIRNTLPTIRRTASDSKVDGAA TLRAGTWPKPDPSASTGHSPLPRTSTLPVESRAGRRSVDEAIPVIGSGRSTEKLMRES SGDADSAPNDPGPLVTTPFVGSIRAWESQIESVLKRIYTSISRERLPLFGAKAETLDI PSHLLSITGNMLRRTPSTLSKAASDHSRGRGGEHRVGTGRWASKPRGRPHLNRVSTVG STRTSLDEGSSTWSPSMSSTWSKASFGKTWTSMSVGSFNSEGLHGGFQKSVGFANALS QAIIREGSIGNINDHEEGMKAAPLLDDESLELRGAPWAKEGSVKHKHHLDGVEKRAKS RNWNDCFAVVEKGWMRLFSFSVNAKSLRVKAKDKAKAGGIVGGGNWMDSAEEVAKFLL RQSIASALPEPGYSKVRPHVWALSLPTGAVHLFQVGTPDIVKEFVSTANYWSARLSKE PMMGGISNMEYGWSDAVVNRALINSEPCASIPVSGSRPSMQSSIRSSFDPVGGTTRPK LPGDKVTINEWCPPQQSMMASQLMEVDQLRTLQTYVKNVEEELAKHNELRPAMLLAFS PRHPNNNKAMANWEKKSSYLLREIVKFKTYVDSLVLAQKEKEGVSKVKVKAKDKN EPUS_07680 MAPRGRGGKFSKPTRGGGKKFSRDLQPLDKDGNPVGMWRDQQEA KEEETSSEEEEDEESSDEDASDGPSSKLATTPQQEMTREQRREAAKQKKLAAIARKNK KAAAPGDMPSSDSEDEDDAGEEDEDDMPANPNHTAKSRSQASKVPQAQAPEASSTSTP ARSKKAGDTANLSRREREALQAQQAKERYQKLHAEGKTDEARADLERLRLVREQREAA NARKLAEKEEREERERAKVDERESKLRAAAVGDGGVKAKGKGKK EPUS_07681 MLLDKGAYVNAQGGRYGNALQAASKRGHEKVVQTLLDRGADVNA QGEDTTVVQTLLDRGADVNAQGGYYGNALYAALKHDHKKVVQTLLDRGADVNAQGGYY GNALYAALKHDHKKVVQTLLDRGADVNAQGGYYSNVLQMASERSYEKVVQKLLDRGAN VNAQGGHYGNALQAASERSYEKVVQKLLDRGANVNAQGGHYGNALQAASERSYEKVVQ KLLDRGANVNAQGGHYGNALQAASERSYEKVVQKLLDRGANVNAQGGHYGNALQMASE RGHEKVVQTLLDRGANVNAQGGHYGNALQMASERGHEKVVQTLLDRGANVNAQGGHYG NALQMASERGHEKVVQTLLDRGANVNAQGGHYGNALQMASERGHEKVVQALLDRGGTS KRGHEKVVQTLLDRGANVNAQGGYYSNILQMASKRGHEKVVQTLLDQGANVNAQGGYY SNALYTASERGHEKVVQTLLDQGANVNAQGGYYSNALYTASERGHEKVVQTLLDQGAN VNAQGGYYSNALYTASERGHEKVVQTLLDRGANVNTQGGHYGNALQAALECGHKKVVQ ILHNYKQSIDH EPUS_08669 MSANNTSKGLQGWTSSPDGRGTLDIFWACLVTVFLSTWSAICLN VPEPNDTTWTRIRRKMWITIISLLGPECLLGYALGEWQSARASVAEFKQLRQDDEWTL KHAFFAIMGGFVLRTSDDVRFFLDEKQILWLLQRQAISTAQFEKSFLLDSKTISDRNN SDTFIRLIAVGQALWFCINIIARASQGLAVTTLEITVIGIIVDSILVYYFWKDKSADV ESIEVISINITLGELILLEEDEAARTRPYFRTPLDFVSRSIWYFNLIYQYLINTLKSL RPHAWQRSKKKSLGRRSDNDVLPVTGVTMVIGVFFGLTFMGINFIAWNFHFPTPIERL LWRLSSCGLVVIACLGMPVAELLYTKRGIKRMQEKVQKRRKALEGFNLPDKAKWKDRL VYRFRTGVMKIRNNSPGNDPSLDVSLLFVLGIVFFFGTYFLFRAYILVEDFIAFRAMP ADGGALLNWNTTVEADVEESLGEEVKKLRERLREADPVKEGAHDNSEVTRRVVTGHMA TRLKLVSQVLSPISPMPLRAMTAE EPUS_08670 MTSNTQSAIRYSGRGGRGGTAQGSGGQHYSSSGANGRVGGQAAG RAAHPDAEDVGNIPGPIPREGPYNMERYIRELEEMTSMVRRTGVQQSTHGGSGIEDDT TGNVRMPRQLPNTCIPRGVPGRVEVNNERQLRRSNLRPSPAERERAAATAVAAISAAP RQNAAARSGGSKPVRTAANAITSPFLAAASSRNPLRLMPGTDPSQRGGAAATTFNPSR EPUS_08671 MLIERRPYDLDWNSRNEIKSYATKAAVPYVKDDGRPSFTGAQSA VYRATITTTTGLLRRIHVDEFAIKEIRLGDIRARERLRDEIKHLRLCDHRNILRLREA YTIDEEQWTDTTFLVTEPWAQASLQRFIENVANSKDGGSSLCPWYIPQNLEPWPDVVR QCILGVKHLHEKTIKHKDLKPDNILLFDESNGDYSHPKVRPIIADFGISKGYVVGART SFQGTYQYLAPEQVAEESSTQQSDIFSLGCCFAWIQSILCSKPWKAGSEKEQGILRLD SEVCVGFSKAAASIPNLLKELRIEVSPKRPEKVQFLFVMEDMITTMLVATPSQRANLG DLLKELDLYEATCRQTKQQKFLDLCITSGRNTIAMEIDISDISTDTELFKAIKRRYIA LDRHSNLRWFIRLLGISDITDVRFVKFALSNGVFGVLDGPNSMPPVSLVGLQEYDYSP SPMTEVPIPRNIFIPLLSAATIHPPTEGLWNWFACRLTGTRVPDKSSSTHFWLDRIPK RLYVTGHRGHAAEATERQSDIVGWGIEIVQDIREIFLMNYPSLCWALNLARIPDAIII HTSPDPGAIDLAQPIPPRVRLVAVLAADLEVGVDFVAVAGRVAHGAVRVVQRRFAQPA PLAVCCHAGVGDAGGVGLHDDAAGERAAASGSASGSGSGSGAGSASRVRMTVELAQRP PAGEVPEERASRFVMVSEGKGAAGTPLLKRYSARAHVANKVDGLDSMVL EPUS_08672 MAGSSLEDDEQQTLVKQVISTAATPIHIATSRPALKAYLSTLLF LAASLILLAISTTAYLLFYYNFIPQVDLIKVIHLQYADGPFPHSTTYLASPSSTSSAL TSLQPYDITIHLHLPRTPTNIAAGNFMLDLSLLSPPSISEQPISASSVLGPSNATTLL ARSRRPAILPYQSRITSLTNTFLSLPLHTLSLRDIDAATLSIPMFEKVTFARGWRNIP TSARLEIQTQAHTQATLLGQPADVQQHVPLQVYSASIEFHARFRGLRWLMYNWRIFSF LTFTSAFYSTALISTGVAWGLIALFSPSLMSGKEDADEQKKIKKEADVGSDSKVVNGH AKRPIKNEPADEDENEGDSSEESGLSLSNLSETATTFPTLSRHMPIRYPIQQSPAQSG FSSASGSTSGGNRIKTEEPRNDIEATRIEATTAGEFAEDEDEEGEGEGEGEFEDISRS RDRDLDRDRDSGIGTSMEESAREAVGRLQRRRNGTGTGTGMGSGAKR EPUS_08673 MNSFPSPQPLTTPGSENTSASRAARAQPTAWGLSSQQPPSRRGL APLSTNLTSNSALGPSFRRATASNSPGPHNPPASPLGTTFSSVLTSSNRLGASRNPSS GSSTTSPWSLFQAGSQQPSPSQPGQSLTSPRSRTLTSSSHLASNTNSLNSSQGGGGGS GGGGPTSTSRGGGTFSPPLSGTNISSPTGFASEKPNNLGSGSGSSVAGQASLSKISVA QVLLLLDSISEKEGKPKWDSKADQIRKLVDSNGMDVFSKYFRRLVSGNASQIFPSSST KSSENAGNYPILVEEMRKVIRDPSQALKIAESIDGSDGDIFRDFDLVTFVDHFKLDPI AQSTLGFAFRRCGRNDLRTKADSLLKQTSATVLQSLARPQDSNKDASLVVIRRLIESF AITSPITLNEEGKIALDWAVRQRFSVMQQETPVEVNSALQLLWISTEAQEVVKRLHHN GTRSTASVEAAEDLLTSVGIEKLSEAEVSSALLYIAFTSDYLHHDAGNFLSAVKSKLN SRSFTWQLVLEGFDQGGYRVTSEQFLFLFRALLPIALEDEAMDLQRLWSGKWQETDTQ LSFLKAFLFTSAESFSFWEAPRYRPAYTLELFEGASEEVLLRARAGLMNQYSSLAAVT TIFDLALVADDVSISVPDRDDIIGHFIQNNLAVFVVSALSIPQPWTSNQTLFLFKCFR VFMLKQNSDYPFALEGAWRQSPEWVFQQLHQLFVYDPMTTNTIFDLAREFGWMDYLLK FMVPLSLDLACRLHRESELDIEDWVKKKGEGHPAEHVAATLKRFLQIKAEDETRVQRR DQPGPTSVTMAVKTVFALLNTLEDFVSDPEILTPIQQKCVATYPRLINYGEGFDEIID RNGEGGNGLSEDIDKQMQELLGKMYREELSFREVLELMRRYKTSQDPAEQDLFTCIVH GLFDEYNCYHEYPPEALMKTAVMFGGIINFRLISGIPLKVGLGLILDAARNSQADEPM YKFGVEALEQLTGRLPEWAGVCNILVQIPTLRSTTIYQKAEEVLREQGHDFDAESDLN GVNGLPDALALTNGNLDELLAPDMSANNFRALHADPPTTRYVEPEESAQEAISFVLNN ISPDNLEEKLEAMEEALKEEYYQWFARQLVENRAKTQPNYQQLYLDIVEKLENRPLMS EVLRTTYACAIKLLNADSTISSSQERAHLKNIGVWLGLLTIAKDKPIKHKNIYFRDLL LEAFDTQRLVVVIPFTCKVLVQGANSTVFKPPNPWLMDIVALLMELYHYADLKLNLKF EIEVLCKELKMDPKNLEPSTMVRDRPNQIEEELAVAPNMPDGLDMFEDLSLASINRTG RNERLSPTAIMSGLPQLENRIKYPPSQEPEAVRTIVNEAFTQAVEEIIAPVVERSITI ASISTAQLIQKDFACEIDDEKIRSAARQMVKALAGSLALVTCKEPLRMSISNYIRRPR VDVSDQGLSEGLTIMCVNDNLDMACAFVEQAAEERSIIEIERFLEPDLEERRRHKATR PNEPFVARNLSRWSMFIPEPYRQGSQGLNEAQREVYESFGRQPRAIVANHMQSGSADS TGRQLPDVLQEPFTTMPNLSTPGEQPAVPHASQNQAEARLNLPALPAPNPQSHMNGFT DTVSPQEKIQNLMEEIQLAARSGSAESVKDLSPEDSLLSSFQQVFQTLTTSARPNGEI LARFVAEKICTSLYSDAESKLEIEVLALMLAKICQLSDLVYRDVFRWMTNQEESHITN VPVTVALIKAGLMEFSRIDTILSKAILQNSDHALNLLSDLLDQLLFTTEPVALRADFT CSLEAMNQWLAEEPSLTVASEITRKLRETGVPETINGAVSDEMSAKQDQLEYIFSEWV RVYQNSATSDRTYAAFLKDMHQKQVINNQGDSAAFFRFCIDICVATFEQEALSPAGSL SDAYLHTDALGKLIILLVKLQGEANGAVKIKKGGYLNSILSVVVLVQNHHQVMRGDRF NQRVFFRLFSSLLCEYSLENLHATPEHKDMMLVLAETFLALQPAHFPGFAYAWMNLVS HRVFMPALLNQSDEAGWDMYCLIVQTMIHYIGEQLKPTRFTPITQDLYKGVLRILLIL HHDFPEFVTENHFRLCNAIPVHCTQLRNLVLSACPSSFQELPDPFTGGLKVDRLEEMK KPPVIAGDVRAPLEEAGLDTVVEAGLRKGGDLDTAVSKICEVIYDPRDKHTGVEFVTI NVDVPMMNALVLYVGQDALSVSSARFDPASPHFQLFSKLAKAFSSEARYHFFNAMANQ LRYPNNHTNYFCNTLLHIFGVERVDQQESDIRQQIVRVILERLIVNRPHPWGMLITLL ELDRNTAYKFWELPFIAASPEIKRLFQTLSSHVHQTRPTILG EPUS_08674 MTTTPPLRIISVQEPPPTPRHGPAHDDFEPRFPTRSSQRIASRE AKTTPEPQSGSRALRSVTTPESKRSTAQQSRYNLSPPISPQKSPKHNSAKRVQVLSPT SPSTRTRSHDALSSNPSFTSTSSHQQSFLSSSTVMSDSMLPTPVKTPRKNKKAASNVN LAARMLFQEQPTAGDDIMPTPKKIRKSTRHNGLSLGSFATADGAGTDHVQIFTDSRDN VPELDRSEDNPFVEHASEKAGPSAKLFSGTSKRRKLSIERKKDPQVEEAIKNDEGMVY VFRGKKVFKRFDDGSEEEETTVDPNDLGLLEHSSADADTLKLLKPMTRKSIRPTRLFQ TEEQKRAREAEKAEEEVTDIDEEPSVGAAESSASTQAPSTNKRQSRRTTATSSTETPK EHTPNDTEHPKAEGTGDGESSVVGKTKTTKRGSPFDSWKRVKPGSSASTVAAGKGRKR TSSAMEEGALSSAGKKVKNR EPUS_08675 MAQDELDIDDDLGASPSKPRLVPHSSQKNAQPRNRETPQEKQDA REEGLRQELQTVRKVNEAIEGAIESLAKARNSMKTISTTVHSASSLLQTWTAILSQTE HNQRLILNPSWHGSSQDLADSEQETLLKQQAAERRECEEQERRAEAARKAEADERRRA ESAAAKLPRSGARGRGRGVGSRGSGAAGGIYQTADNTSYVSEGGRGRGSGRGMPRGSS TTSRRTTSGIGRGVGSKLEDVSCGLDSLIEQKGPWFQTSRAKKSMQLSASPKYTEKDM LNKA EPUS_08676 MPAKTKKVANAPSTSSKPHPSSNLNPSQPNSKPAPPSGVSGKSQ NGPSGQGLQSTKPSEAVEPPSRSSDNSASLPTVNRKKQKRREKEAAKRAAEQQAENES LAQNGYAPTIRGSARGYFREETEDFHHGYVDEAVDGDDAFYSGAEEAGYGPDDVLRDG LEQMEQVANGLTGKKKKKSKKAGQMPGLSQNYAVDTSSILRKTTPLARPHLAPTPSLS AAALRSAHQNFTHDQIWNTSTQAERENIKQFWLELGEEERRSLVKVEKEAVLRKMKEQ QKHSCSCTVCGRKRTAIEEELEVLYDAYYEELEQFANHNNGLSNGAAIMSDPRAYGHL RTPRHPMAGQFPIETTGHGSIEEDEGLDDEEYDDDEEPYSDEDIEEIPRGPPDFFTFG NSLTVKDGILTVADDLLKNDGKHFIDMMESLAEKRMQREENVHFPSAAVAHQTSHQGH NHPPLDEDDDFDDDEDDEDFDSQDEDDLEGDEMDAMTEEQRMEEGRRMFQIFAARMFE QRVLTAYREKVARERQRKLIEELEEENRLDTQREAKKAKEAAKKKERKKMQKQAKDEE KAKKEAEKAAQEAAARALEERKLEEQRQRKEEQRKKRETEKKAAEEERLRKEIEKQRK VQEERERQQELERKQREAKEKEKKKREEARKREREEKEAKEREARERRVKEEQERKAQ EAQAKKDKAAAASAEKEARDRAKQEEQARQNAKRPPIAVPPGIHAAHPPIQSPQFQVA TPIVPAKAPTPARPRQTSQQGPQSHSSSPRSQQAATTETTLSSTPSSISIAIPNPSAY TNAPGKQQSQGPPLHHPQPSAPLSPLSTQNRSGHPPHGYTGMPGPSTNGTVPTGLGML PGTMPPMPIFQGPPVGGPHRGYGPPNGMPFPPGMNGNRQFAQGPSGHFPPQPSMGPPV PNPPKQPAKPQTHSRQQSTSHDSTNEYAHQLTPISRPAPTGQSPNSTPDKKENRKVSD PEVEHLATQLGSKALLDDSDIPLNTSNSDHLSLSGAPGSGRAPFSNFLDAKHDAFQPG HWGGFSPNGNFAGPPNWGAPGLTPKQSGGWPSHHQPSTNAFGIIGGGVHASHRPHASR PVAIRLMVAEACKKLSATPGTSADGFHPAKFLLRQVEQMKPPHEPVITLNEMLEICDT EGNGQNGGGSFIVRKDSFQGQSVRFEPSSGTSNHRAVGDIGSPVVAHSQLATFGGIGQ PIGGASKGH EPUS_08677 MSQDLVIIGDGACGKTSLLSVFTLGYFPTHYVPTVFENYVTDCR VDGRSVQLALWDTAGQEDYERLRPLAYSRAHVILIGFSVDSPDSLENVQHKWVEEARE RCPDIPIILVGLKKDLREDPLAVEEMRKKSQKFVSAKDGENMAQMCGARKYLECSSLT GEGVDDVFEAATRAALLKFDKGNGSGGGCCVIL EPUS_08678 MASKTHSLPSRTEGGFKGPQKPSLSRQLAGLAVSGTERHSQGRH RSANQPQTVNSLRSQPTRLSQLHEKPTSSRNNIPESPFNSRAAVRGCSLTVSSILPRR NVSAASSFPDSIELEWLSSMNRGDSDLFIQVNSELLITPNSISTKDFEQTFINTHNGE VSTIHPRMRLRNFGETDDPDSWELIPLEAENRQPSLAAPCKYLLRTPYFNPSEPWKEW YRATWGYFGKYYIVDGFNGIFPPLSIAVSTNKRALDFKRIVQALIHFNQLWPRVFGDD NKDPKQAEPQICVTRSYISYHPDYWCAVPFNGSVFFNIRRESEVGERSTVWIQFVLAF VRAAVASPSLALIESYPVTLVGLNDFKNRGSPRPDGEPPLPFLL EPUS_08679 MLAILVGVASAVIATGLTILAIYASVLASHFSLPTPALGHILSI LLPISALFGTYFPGYLKKHFIARQQPAVLSTLSLLDTVLVTLASTLLQPNLLSCELEH RWRTMFQHHNANAIRGIQDALECCGLRTPLDQPWPFPDHHGAAACKTNFGRERSCEAL WRGKEKQVLAIWIVVGALSLATKAMFVLLQRNRPGWFQDESARRREYPQGRVIEHTDE QVSSGRYLDQPSEETPLRSAETDVENEQTR EPUS_08680 MSSISAHLLSRSASGRPLGFTRLSSFISSISHLTDAESQLYFEH LDAKSRLRAQQPPCRISQGRPAPRFLRPQIHDPRSSSWVALEKTKVQEGLGRGKLLKV VSGNIECFGPGPAARASAALGHLTGLFGEEPGPLVVMLQEVQRESLQAIMDNSWVQRN FVLSNVEPPESIYTDTAGDSFVLRDIEWGAHPYFTLMMTPRHLAITSCFRVPFVTKMG RDALVVDIPVFSPGRCTQLKESFRLCTTHLESLWEGRVYRPGQLALISALLKGAPATE SKITAGLNTSSTSVWEDVPAPPIPVFKPFQKDLSYGQARGNTWSHQSKSSRERKRMDK FFYTGSLETVAIHEAQDITGRLGRLGISLKTEVEAWEFEYKRFKKSSLRRGKYVEEPH KIYFSESAVAKFRDEEQLVRRELVHTKINAWVSDHFGIAVGIKVL EPUS_08681 MVVVKYRNASADPGDSDGESIRAIQKHSSLWVVSYIGAVTDPSV PLDARLRDDGKTKAMVVGLLHSIDKSLRRLDAFNPAVEQEKKIV EPUS_09237 MGPTDSFTDMGLHYRDILLGLIVFTVLIILSLVAWALAVPKRPK RTNLPVYHVTMGTDAAKILEEAHKEYVLWVLKPKSANTNASQCPDTPFVLSQFGLDAV ILPTSEIETVKSLPESELSIKHHHYNVFLGEYSYMGTKADEFDATVRHTLARNTPVRL ESFTAEVKHAVETCIGRCEREWTPVRVRHAMSRVASIMSGRAFVGLPRSRDPEWVEAT TSYTQDVSRAYIYLRALPWFVKPFLAPFSAQVKSLLRHRQINVEKLAPLLAAKQHKAG NKQIESKVHNPPGGEMLDWFISHYSKPPTVHQLARDQLLATFASIYNLSNALSYIVFD LAAYPEHIEELRQELKEVVGENGMIDKVTLPKLRKFDSFVRESQRLSPPSIANIPRYV KSPSSFRTSTGHLIPHGYTVMVRAEPINRNANLWPEPDKFDGFRFSKLRDRPSNEMKY QHSSTGTDNINFGHGIWACPGRFFASAEIKIVMAFLITHYDIKLVPGKGKPGKFHYGF AILPDTEADILFKRREAVAL EPUS_09238 MLKSRFNELGLQAEINRRLHDSEKLPDKKIVPLAAKAVDVLHEI EQMLQPAQLVLAHHFSGTSASTLALASNAEPNIGYVSSKCLVAAVSLKIPDLLFKNGD MTVLELANAAGALEGRLRQILRLLYNNGIFTYNAENDSYANNFRSEMLRSDHWTQWHN WVDLYGNEFYDIARGIPASVHKGTTRSAAQHNFDTDDNMFTYFDKQEWVPRLHRTLGS GAIAQAPGLVADYPWHEVGNRTVLDVGGGGGALIASLLRHNASMRGGVLDQPTVIEHI RPFFKEGGQFADIGARVSQDDLISGDFFSKLPSYEVYVMKWCLHDWLDPEAIKILRNI REAMIPGEKSRLVVLESVMADGEMGRLSRYGDINMMMTAKGQERTADDWQRLAKAAGW VIKGIYPLRNAWVSAIEMRPV EPUS_09239 MASPTKNYDVLVIGAGPAGLSTVLGLARQTYSAIVFDSQQYRNA YADHMHNVVIWDHKSPVEFRASARANIRSKYNSIHFQNSTIVSAAKKEDGTFEVTDQH QIKYQGKKLVLATGVTDIFPDIKGFGELWGKSIFHCLFCHGFEERGAISAGVLALGFI TSPPMILHMARMASPLAKHVTIYCHGNKELSTQLQKEFQGKPLTIEPRKIAALERRDE HVTVHFEDGGSRKEAFFVSVPPTKINGPFHEQLRLDVDPTGFIKTKPPFSETSLPGVF AAGDCGTMMKAVPQATAMGSFAAAGLVAQLGATGKL EPUS_09240 MPIVPFRKRSSRDPSSISRTRKFLSLFSRKHGGRRKDLLAEEQA AITCAQGCQTAHLNPLIANGKVGEDESRSRSEPDLPSTIEDGPQHIISEPAPVPSPGN ADRCYCRHGLQILDSSSRSSNQLPLCVGCGKCQHCASLSLEGTYPRCGICCAKSRLVE LPTELLMQIIRYLDFPASWLLKLTNAAINVSSITRPLPNFSTHGSNRAVTKCNSVCVT MSRQPSHPSHPVLTSGPLTISASTAAMSASTPVAQSAANASPAGTACSIITTVTLPSF AFHAMVAAPDVSMYTLPVRPAEDVAFAINARAA EPUS_06278 MYYDYDYDSDYDYDYECEVCDRSFSSQRALNDHRMYAAVHRGRW CKRCELQFDSRAELDSHKAVSFNHSVCAVCQVDCESGYELDEHMNEEHFQCKGCLKWA PSASDLSTHMEKAHYYCAQCERFFENENNLRQHRKVHAPLIMECYGCERRFATTSAML IHLESGKCDSGVNCDRLDSIAYRCYQSRYYTNGWNEYYQYKCPDCQTKFRYISALFQH AESDACNQRCNGTSLEKLRHYISISV EPUS_06279 MRKELEYIINHVFLPPKLPQKDDTNVTNGVCLVKQLLAALKSFR HHLPEQSRSEWMPCIKMVGNLLELQDHLGGLVATKLETRLVEMFDGDILACHIRAQNA GLIVRRTSKQYLFQSFEASPTNKAVIRAKGRLIRCFPGPAFAIGQDRMAGPSFVQPLT QLLTKLDAETPEEALPVVTKAGSEVNETRDTVHPKFVTELLSGILRAIGEPADVTRIY KHTREDVLWNHTLDPWHRSPLWLCLRVALQTSLMRKDDQDQHLRYKSFMLFFMAFVLK CALKASISSDMLFIMTAKISGRALKLGAIRATPWLQYVETVVSSAQQELLRRWNLVEK PPGASRAQRDWFPLQLSFDHDTGLTLSSLRPYLAKVQARSESNLPRLPLTLDCELRIS QHSSRLPDMTLLQGAKAGQIYLQLADLELWVQESLKGWLRTNMECEQTCSALAEVINV YISAASAAYAGNPEDNSVMLLTVMELWVALDRSALHHCSLLRDYDVGFPPSLFESLLL PKKSQMERLLRVEQYLAKRRTDAKSICPPIFQSVWTKDSFAVRYYEQSPDHQKLHQEI EAEASTQRSETTRELANKLQQYEKLMDESNGLTCEYVERWWYDQRVSDHSQSCQKCHL KSRAKHLKIDVHEWPLPNRDLTAKAVVFELNVPTVVLKWRHTTYSILVDVLSVESRAP QRDKGRQQGIYTLQEYFGLQSYIKSGAARPQLASKAKPFVISHYRTLKISQATASKVC VNNGLVYSMYDSKLCSWTEDVLNRCDIQGKCTLRLPSGPYMELQYAVDSTTHTSNEVI ASQANYSNALTLHELYAFGTLRSGHRLQWRNIARELRSRILNFNCHETNILFTQAAWQ AGPASEGQLCRGSHVDLEEGKYGESLLLALNDTLRVVEGNWQGAAAARTLITLATRLL SLSPYKSVHQDCHRFLRKARAVSLRWTRELDHKLRKGQEDQEMKTLGVRALEMALTCH GTFDVDPQHLPDLLQGEEDVAVLIECAIIVHDRCPAVTDTLPPPTRMLLRRHQRLLCG LESLLRKRILLVPSGLDSTIKRIWAGYRSGSPWRALKTPEERWLVTEIYNEGATSPRL VHYNLLNGSLLVDGSPLTRLPRSYEAHSTYHRLFGDKVLDVIPSNVRGMAFETRTQFC DHQVYFGMYDSELIVQAVKDHQIYELLPSHALSGDFPQDFIDNYVHWLEINTGSIEWR PLLNAWTSCTSDNWKMPSCHSGRSLLIRGSLRLIDFHSPTANSVAKVLSPLEDATNIH TTFNCKTEMLEVHLPRMNLDFFLRKGATQLESKQFRAMTVDANQSFGTLTGLANKLVL RGDTDSSRSVIIPYGAVRFKAEGDHLRVDIDTSSVPQVPYHLYHIDRRIGQLVDNGRL KSKLFKAYLHAITSHCLADELTGRTGTEEALTILGSASVRSFDVLDGQEIDLLKLIGK LSPRRHYYPPHLRVMQQVEWTGSLSPLSQHNSLCKSVLSIFAQARSLSLFRYDIAQIP DSNICGDQNLLERALIRDSKNHVYGFGAEDHTTEHDDLYDGRDRVTNSAREAQVHHIA KLVDDWSADLRGCSQLLQEIHAWRAELCGERTEDFYPLGYDTKWLDPLATILPKDWCT LQVTLSSSVPERDKYKIMLLLSTLAYSPHAKQDLVQTLLAFATVPELRAIRPPAYALF RISEGFSPDKRILSEIARKKAHRFSERPESKKPELHDETWADAEERRRNEYEMALEEH TTTFVDALVHQWPQPHIHNPTGIHLDTYIAVSDATDAARVCFESWYRNAEFKEYIRQT QDLLDNLNDGDQELSGYAFMQPRYSCFSKRCHVDLVEIMERPAPSLSPACPVNFDSWI CQQDEDRQDHAKLESLLERLCAESSGHYEELYAGDLLKSFNALQHRTAERLSEPPKAL KPLLEKHLSLCKNRVGDLFQTICHCLQTESSATRRLAYGAKMWPRLSTTSLLQNLAGC KVDSLRDDWKDCLIEYGLAISTLQRAERLLACVGNDVELLNELSNPGRQGWDPKSHPD WLLLEIENNILIRRVQAQTASEMILPSSGGNSVMQLNMGEGKTSVIVPIVAAALADRK KLVRVVVLKPLSTGMFHVLLRTLGGMLGRRIYHMPISRSVQLNIHQARQISDLCRECM DTGGILLVQPEHLLSFELMGLERCLSGDLELGNVLTETQRWLDCNSRDILDESDEIMS VRFELIYTMGLQRASEFSPDRWTIIEHILGLVPHFAELVHREFPQGLELRPGVSGSFP RVRILQHLAAVKLLDMLARKVCEAGVPGVPVWNLPQHVRDVLFRYLTDPNMNEADMEP LQNHISTVESMKSSLLLLRGLFACGILAFTLQQKRWRVNYGLDLSRTMLAVPYRAKDI PATRADFSHPDVTIVLTCLSYYYGGLSEKQLRTAFDQLLLCDHAQEEYDHWVRDAPEL PPAFRQLTSINLSDHEQCSSTIFPSLRLAKGAIDFYMASIVFPTEMKEFPDKLSSSGW DIAREKVHHTTGFSGTNDSRYILPLSISQCELPTQLHTNAAVLDCLLRPENTFTPFMQ TSFDAESLLDMVATSEPSVRVILDVGAQVLELKNEEVAQRWLLRVSTSEAQAVVYFDD GNELSVLSRDGTTEPLMVSPFLKQMDQCLVYLDEAHTRGTDLKLPTNYRAAVTLGPDL PKDRLIQACMRMRKLGKGQSVMFCAPMEVEHKILERSNKTSANTIEVADVLLWSIRET YINTKKCIPLWATQGMRYQHRHAAMSECPEIERGGAILNMAESLLEAEAQTLQDRYGL RARHSEDLTLLHNMKHLTLSARAGPIEAIRTICRDFELTSFGNAALQEEQERELSPEN EQERQVERPPYQEPQQHSVHRDVKRFIQEGILDRDSDVFQPALGIFLNTSAARFFEQN AWPKQLLVTADFARTIRCSSDHQLLDLFLRPVHWIASKKTPHSTDLVVLSPYEAQELL PSIRQCRHVTLHVYSPRVNMSTPALDDLSFCSIPAMPQSWSRLAPIIMQLNLFAGQLY LGSYEEYLSVCRFLGLSFRPPSDEQIEVASDAFVSPASRWRFDALMQKECSFTTSPVE FVNRVMALRRKGQGFQRSHFGRILNGELLDRGRFGD EPUS_06280 MATIGFESHCGRFDIYITCRRLKSGSIRHFWMFAVMPMYGHRGY TVYSVLTRDLDDSLDREIPPRIDRGQLLDDTDLVLSKDVLLEKIHASHPFFKLIRQCH HENRSFIMNILTQLRESSNIPYKVLIKWYSDWYRQLAPPSAGPSAAGSSRARPSIANL DAAVSAAGLSRASARTAGPATADPQAAASSWGNSEGFISRRGPPSASASATKPSTTYA SHNAPDEDPPLEINLSNIDVSAEVLALAGLTPADRPWLRRMRAKYATIGVTSENIWVS DSSFRLTGISHPEGATPTTKLSETDPPVSRNFRDKLSALGIPADAVSIMQSDSTPVIP LLPADTSVVGSSESFDPIFDAVRAKMSELKMPTDRFSLSEVVTTGIPRPSFFVRRSPR PMHDSASDSSSYYTASPRSSSASTESPSAAYPGSAGPSSFNPSRPSTPRRESASISFS SAAANPWLKGSQRPYSPVAAIKAAGALGKVLYVPNGQTGASVSAAYAREVLSPAADWA PQPGRGSSTGRGLKGGCKSKRGQGSKNARGFEAGPPQDSPIAALSTAAGC EPUS_06281 MAPLHHPLYSRGSSRRSSDPLFLRSQSYCEFPSLDNFSSSSFLS AKGWPAPRSKASSAPSVAAPRDWSDHEHEHEHKGIGGSGGVVG EPUS_06282 MKFFLALTSAILGAALAAPGQEMQKRQITEVTLFLYGAGDAGYS ITVPTNGASIPIDNPLSVSRVSTVCGATCFLTGAQGSSVMVRCNQGADIGPPQPQVSA ICFRN EPUS_06283 MSTPGALAGLQTFDELNIDYEKAYHDNPFKIACVKKVISLLPLG SRILDVGCGTGIPVSELLSKAGLEVVGFDISPKMVELAQTRVRGFFTVSDMLEYKPEG KFAGILIIFAQLQLSYADLHSVVHKLADALEEGGLVALGQMPGDSHVKDEAHWDETKT YVEDYDAPFMGKMLPTLMLSAQGQRDFLSSMGLEIVSETIDMFQPKNEKCVPEEQQYI IARRPNEQPLAEPMPLPRVKKQPRTTIN EPUS_06284 MAIYLVLGLQTWRSKKAVVGAFASRLPMIVIIAFRLATFDEAGF TTHPTLLEDLFVVWTQAELNYSTISATIPSLRPFMNNLNTQFGGLGQTSNQDDYGFGE TGSYQMSNLQSGTTWNVKHISAPTFDGNGDAYGCDAWVAVEVINNRDGKERDTTRRTS GDETNYDSSDSDRMVIKTDTTFLVAHGD EPUS_06285 MSTVSDDSSGEWLVHPSGNDQAPPYTEMPESPEAPEPSEAVYEI LEFLPYELIQLVVLHVSTIKDLHSLALVNKLFNKIFHEVAEPRIYKDILIRTGGQAEK LAYAVMSRPARATLIRNLQNACQYRHFDGLPELAKVVPMMTKLEDLVVETPDCNSVDP VDRLPWVAQQLEYNRLFVKAAQPSSNILPKLRSCTLHFVDRDSSLYHLGPYSIIFLHP VLTHLTISCANIDPPAHLHPKLQGDNLINTTPLNTLNLIECDIDPSGLYHLLRLPRRL TSLALTEANHYARYIHDHYYSGLTSPRALHPIATFQPQLQHLRVARTRSNIGYLLSVP PLDLNPFPNLQSIEFSHVQNPRATTLEAPSWCDLVHRAGPQMNTGNLDTTTMLTYSEV PRQWWENMIQFFKCAFRNKSSHGIGSLKTLKLILIDDELLLGMRDMARRLRATEQQIR KDKVARVRRLVRELGHLGKEKNVGVRVVVEWVRPNGKTIPPYLVGEDVPEVRGEYDSA ECEGEGYGCCLRSRDLAIPGSNLGQT EPUS_06286 MSQPFQPPAVSTSQPSSAPKDRDAIFSKIYNYPWAQDSDFQTGF SSIAGDTGRNASDNPVYHETDLLLQAQCFYYARKYNLPDPVDVAAYKSWLSTEARSQP TVEQTRPNLHEPLSNQDTAAEGTLPQIDGTSSPNSNSKSDLDPSTRPTTSSTSSSNPS QQTSTATSTDETTQPAAPYPTNFSSIVDLITRGQPIPGIENIPDTVLDPSLSQPDSTP QRRKPWEKGDEEKEKGEEEEKKDGEGAGPEKETQTLCNGKTKRCTVR EPUS_06287 MAATLSTGSPKLGKKSSANFVILDQYQDDQKETPPSSASQGSVS GAGPAPLQSSMLVNTQGKISTTRVTPENNGIPKHDDPAYVENILDRMNEMQVRGKQEG ARSTLTKEQAKKLKEKLIADLQVQNGEVKKQELDNKGQSGPNVQNEQDRSSASDPFAQ ATESFRMVSPVDVKEPNTLNLNGGDILRVQQELAAAKSVITRQEQELAESRNLQHTID QAMGPASEADFGDRGDRNEATINHLQGPFNANARPFAARPDPWHPREDYRSDNIDGVA AGNYNRGRGNWNGPNQPTYGTNIPQPLPQPTNIMDNRNTMGAWPAVTGMQANQGAIPA SQRVFSGPTLPTYGFEGRFGFGDDNARFNAGGDMGRRTASQYNRPTSGYNNRAGPFGV SLGTAIPPVPTSAMNSLGFSGPLGYQPRPIGSPLSPTASDFSTIPNLGNAWSQTNSTV GQTYVTPLEPMNYRRLLDKSVSCDWKYIVDKIVCSNDQQASIFLQQKLKVGTAEQKFD IVESIVNQAYPLMINRFGNFLVQRCFEHGTPDQVTAIANAIHGNVISLSMDAFGCHVV QKAFDSVPETHKADMVRELLRRIPETVIHRYACHVWQKLFELRWSGEPPQIMLKVNEA LRGMWHEVALGETGSLVVQNIFENCRPAIEEVIASIDLIAHGQFGNWCIQHLCEHGSP PDKRRVIDHILANAYSYSIDQFASKVVEKCLKIGGVEFMDRYLGVVTTAHPDRPRIPL IDISGDQYGNYLVQWILMNTHHHQREQVANHIRKHMVSLRGSKFGSRVAMLCCNPASI TRPGPGVIVNPFGAPGQPRGGAWGRYR EPUS_06288 MALVDSPVPELQFSKRWHLLGPFRIGTREAVWGANPLEAYGGFH RLPVDSEAKFHSSLGIDGLVKWSTLEDVLSCGSFTERLSIELNIAFPSVNWTFQQSVY GWPALQYQAYARGFIDLTGHSSHRVAFSAEHVLELAVNDSPIFGGDVYGFCRAPMILD LVPGKNKIDLRLIRDVRAMGGIGSPSIFVRLSFGRCDRGLNVVDKSTILPDVINGKLT SPYASVILCNAAEDWVSIVAVRSYNDSSNIRLLSPSSVSFAPGQSRPVGLYFSACSVD VVQGIRIAFVYKMTSHQFEQATPFLQLNFCQRLIQSPHKFTFLHPSGTVSYAILRPPS SNVIKPRLPILMNLHGAGLESDSHQVRHMLDAVPELDAWVLFPTGMSPWSGDDWHVWG YADVTAAVSAIPQWIRNMNWSGPGVDTESWFVTGHSNGGQGAWFISTHQPDKVIATAA LSGYSSIQNYVPYLMWREVSPLVESIVQNSLSSYRHELLVENMKGIPIYQQHGAEDDN VPPYHSRLIHSLLHESGCPSTYMELSEQGHWFDGVMTTGPLRAFYMSILDSDTSRRQI PKDFRFCVPNSGDTGYRMGILADQLESPDYLGTLSVHRDDEERLWHIKTSNMHRMHLC FPVTGVQQPKALLVDETSIQIPTTNATEEVALVRQNDKWKMVNGQNWKCLFTRYGRQR GSLDAILRTSSPFKMQVCSDGAQEAALQVSRNLIQYYRADAEIVTPEQYSEGPNCGNV ITLVLGNAVLFPLLADFPIQVHANGIEIRRPGVDKLKRIPSHAGLGTAFLRPLHNENL ELVLWGYDSVGLQQAVRMVPILTGAGQPDFIVLDNDARWKGVGGVLAMGFFDYAWQIS QASYIP EPUS_06289 MDHVGEKFPSFEDTRLTDKQYPITQPQDTSPQVAPHSVKIWPAR RSSQTGWENRNGGLHKHRSKRSVSEALDNFRQRRGSLSVNTHELAEALKAPVSYKLIA LCIVWYMTSALTNTSSKSILNAFPKPVTLTIVQFACVSLWCLVFAYLASVFPSLKTAI PALKNGIRYPSREVISTTMPLAVFQLLGHLLSSTATSKIPVSLVHTIKGLSPLFTVLA YRLFFRIRYARATYLSLVPLTVGVMLACSTDFSSNLVGISCAFVAALVFVTQNIFSKK LFNEASRADAEGNSQHSKKLDKLNLLCYCSVGAFLLTAPVWLLSEGFTIITDIWRDGT IDLSGKKGSLEHGALFVEFVFNGIFHFGQNIMAFVLLSLLSPVSYSVASLIKRVWVIV VAIIWFRNPTTPIQAFGVALTFFGLYLYDRNSMDDAAERRAKADHFRNKAALLPLTEE PETKSANGSLPRPRTESNERVYEFPGSLFKKEGDAVGTAAPRNSFQSSWLPPGTKQES TWDPADTGNTGKHGEK EPUS_06290 MRSQLLRASIRVRRPAGVDVFRSFSSTVRRPAEVELTVDGKKVS IEAGSALIQACEKAGVTIPRYCYHEKLMIAGNCRMCLVEVERAPKPVASCAWPVQPGM VVKTNSPLTHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGADRGRFHEITG KRGVEDKNIGPLVKTSMNRCIHCSRCIRFANDVAGAPELGSTGRGNDMQIGTYLETAL DTELSGNVIDLCPVGALTSKPYAFRARPWELRHTETIDVLDGLGSNIRVDARGMEVMR ILPRLNDDVNEEWINDKSRFACDGLKTQRLTTPLIRRDDNFAPASWEQALTEIGRTFQ QLDVKENEFKVMAGQLIEIESLVAMKDLANRLGSENLALDQPGGSEPIAHGVDVRSNY LFNSKIYGVEEADAMLLVGTNPRHEAAVLNARIRKQWLRSDLEIGLVGETFESTFGFE HLGADAAAVKAVLSGPFGKKLSSAKKPMIIVGSAVTEHPDAKAIYESIGAFVDRNAAR FITPEWKGYNVLQRDASRAGAYEVGFTTPSPEVAKVKPKMIWLLGADNFNEADIPKDA FVVYQGHHGDAGAQIADVVLPGATYTEKSGTYLNTEGRCQITRAAVSLAGAARDDWKI IRAVSEYFGQPLPYDDIEGLRDRMEQISPVLRCYDVVEPSSLGSLSKVQLVDQNKGAK ATGKPLKKPIENFFFTDVISRSSPTMARCSAAKATGNPETNFMAQGEPNPQNEYGPLP QVSYG EPUS_06291 MVYTITVHLTAKSDPECIEKLKSKLIEASRVYSQDKETLSWFVM QSVFDKREFTIVERYERESSQQFHLNNPYWKTFDPYVLPLLEKPMDLRRFEELDTSQD VRVE EPUS_06292 MSKSWVLKFPRSDGDGYLLLQASTTSEAALDLNLLATEGAAPYR GKIRQRKIPDLRAKNFHGTDGEWQNILLHVFKPQSAACLPSDQWKGLEVVATIGSGKV TITLRNRIDKITQRLGSVDLSQDDDAEIQLFDWCGSAIDQRSVLEAEQSDLRSKYESA QATVTSLEAKLEELVKAKADHEEELISKFVLLLNEKKLKIRNQQRILSTAKVDKKKLQ QMQKTLEGKGRRAESSRAGKRRADDQTEAAEEDSQNDSSDAFEEMDVDKQEGIVAGSQ EASSERQTTPETGSETEVDSDVDAAPEPTSKTAGPVPKASTSHRAAASQQKKASSPPL KSGKKRQTPTRNISPLPPVRVLPFAKKGAKVKKAAEPEPSKPPTEAGKRDDGKANDSG EETASDSDDDEL EPUS_06293 MEPLTLKPRQPVNDEIERWDDDADFDGVDDLQIRTASTATSSTS FSAAPHHRDSMSSRMSMRSDLDSNNGDEDWQVLLPDDDQASAKDAIAVAKSKGIPIPS DVPKSALQGGTIRRLGGKKIKRALGDDWSEDLELPGFGSELKLTKTEGKEYPDTLRQI SAAFRKSPVGSPFKSMAQNATSTKAIAAPVSLEQFRDNDDDDDFGDVPTIKVAKSRGP NKLVQITQPQTKTTKKDNENIEEGLEIPTEGELKLAPRKEPPRTPQQDEEIDMEWAEG SLGTRHGGTRRDLRSDPNSSVSALSPSVSSCLTAESEDDGLDCLVLPSGPLKFDEVLK KRQQNHSPDASHYCDEQHAGKRAVAKDDFFADFEIGDGDVFDSGKLTLNRNVKHQTQR TTSPPKRPATTLTFTNNKSQANSTRIPRPHGGRERPRSTLEPVSESGPTAARFRRPES RLSGHIAQSLVSSIPAPSLPSAPSTPSRRGLRHRDSGDILRTESVTTTNAQLLRSKRS MPVMRGMQSPTKQHPYPRPPSRNEMGGITSRLNFPPRPKTPTDRSESRLGDARKPAFL PAGVSNSQSQHGSMKTTTTRNIRRHDSAESHESGAIPSRPFSRLTHRPETPGRARNVA PLELTLAAKKPITKPTRRRNFGDGTELEIFDDLPTSATIESKFIKQPIGRGAPKSIRN KLGQSHIGGSSSSLASRTETPMPSTPLSPTKQMYPDFTPRFARETAASRNAREQRNPS VSINAALREPLHSIREGGPLAPLSTNWKAHVMARSNANGLASPTLRKKHLNNKKEPQK PQLIKPMGSGIQEPKAIKGMQYNPLLFKWEGNENALAPFDVPVSSSTGGSPVRSRDSN GHSPKHSSVGQPALITNVGQVATGVQVVGGMVFDPKRMCWLKMAPSQGQGPGSRSQGG TTGLRGGLAAVGSVQLEEEEDVFAGLEDLKEEDELTSGSFRSGGFSGAGAAGANGGSG RKVSDGSADGKDGSGSGDDGWLVSEEFDVGPEFVKRQRNEEEKWKRKVEKWLRPDANV EDRGTGSWRWAIRDVVSSLQQGVQ EPUS_06294 MTSYTPPRSSQDLNHFMASPSLAIPHYTNNDNNYNYSPHPQYEN VSPTHSTYAASFISSQHQDTHYLSENLQMAAQYPYSTPLLNNGAYHHRPLAQTTPYSL QQLSQHPLAQSPRYSNHHDPYQHSDVESQESANERTMLSEPVLPPLDGFPDIKEFDRL INFYVESLSSKKQDKALIHARRARNIKAVLIDKKTTAVESAQFRFWVKKMFTLMPDDS KIPESKRKICHEGKPVAIREKLFKILTRAHKQCQHGGRDKTSAQVRRVYSWVPKELIS RFVKICPTCKARRGCNSHVSPPISPKHSSSVYSSPQSPALLSPPASRRDSLLSRHSSM LPSSPVGTHVYGSQYQGNQWLSSAQNVHSPEPVHSGSSSTMVSGSSMSSIGQNGLSLN YTSSGLSNGNVGSHRSYSNGYDASDNYSQPHQY EPUS_06295 MALRVDLFWSFTNSAWNTVFKIIFLCSSAYTLYLMLNDYKPTHD PNLDTFKVSYLLGGSAVLAVVFPYKYTPSEILWAFSIWLESVAILPQLFLLQRTGEAD TITTHYLFALGIYRALYIPNWIYRYFTEDYFDQIAVLAGIVQTVLYSDFFWVYYTK EPUS_06296 MPVVHADLPGDVPQPKERTVLTHSHHQPAMSKPQMVREYFEHCL TALRTYFHQIETRSEELASTSNENKILKAENERLRAENRNLKENATKESAELAVLEGD KGKSKMAVEDLTVKLNKARSRHRHYRREAGELERSKVGALEDKVGGLESKIGGLEAEA SKLKRVNRDLQRRYKVMLPLTWAACQIRLGRLETIARFWIDGRIHKPNDNIIRWRNSI AHDGNVTLDLAAVNFMEIYPNLDGGLHHFDDFKSSLDQHKILMRRQFAYGILKVTKLI ENKNVMELLTARGTIWGNKVISSSVRSDFLVKTFELLIKYETAASEKISLDPFHENGP LAAELEEIRTKAKEVLARY EPUS_06297 MRSKFKDEHPFEKRKAEAERIRQKYADRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITVSREIILDPATASLSFHEHADFVNLERIITVQLLCQNYHYHLRSKGGLDTLS RAQILPNLSVTYQHLSQTMHHHLILIVPPRNQEALGKAPWLMLVAQHRALLAVDIDWA P EPUS_06298 MAENMTGNTVENMTENPVEITTENTAENSTEDMAENPADLPKGE RIELAIAECQKENGISARKAAKKYNVAPSTVTRRLNHSVQSASVAHQSRQRLTPQEEK LIIRKALQHYESGLPLGIRHLHEFANEILCSKDTEAPEIGHNWHRRMLARNPSIKRIL SRPPARVRTAKLMRKDTLDEFFALYSDLRKTHAIASTDVYNMDEKGLLTGANQQSSVL IPMEKRQAYMRQEGNRQWASVLECISADGEALPAWMVFKVVNQPNSGFKHLGTGESSI AISEKEWTSNVLALHWLQEHFHPLTEKRRHGEYRMLIVDGHGSQCTPEFVDFCVKAKI ILLILPPHNTRLVQPLDAGTLQPLVQGYSNFLDDHKRFCKTWLNKEDLIKYYQLARKQ AINNANIMAGWKRTGLFPFNPQEVLHQLPNLFSGPSRSPGASSEPPEDPF EPUS_06299 MWRLKGYDPYWNVFRTPSTRSRRKLGSPKPPAPRPFSVSRRVLA QQIDPAKRRTVWRHYLQISFGVVFVGAIIYNMATASSTELDSPSPVKFSKPSTTLAEV DEVTKRRTRITRLSPMRLRMEALINDHQNRIVFALENIDGKKFRRDNWSRPNGGGGTS CVLQEGNVFEKAGVNTSIVYGTLPRPAIEKMRADHKSFVDADVESLDFFAAGISLVLH PHNPMAPTVHLNYRYFETSDPRDPVNATGQVTQNWWFGGGTDLTPSYLFEEDAQHFHT TIKTACDKHDKEYYPKFKKWCDEYFRIPHRDESRGLGGIFFDDLDASSRPLAKNPQED IFQFVTTGLEAFLPSYLPILKRRKDMPYLPEHKLWQQIRRGRYVEFNLVNDRGTSFGL RTPGARIESILMSLPLTARWEYMHPWSGIGVEHTQEEAGGETGIKERELMQVLKKPRD WIT EPUS_06300 MKGKAQTKPQLKPSTKRKRQSDANTDAGEPKKRQRHDSDQAIST PNHSETTLNKTAHSFPRPHALNRLSKIALQPRANNEVLTDGGESMQEQRNSLMFAENE DKVEDNSLKTQCDDNGGCENDLLNASIPRSSQEAVKESLKLKEVEDMTNEYFGRENLH EKGLAIDVSIESNAAQIWPRCARPCQNCRSTHVAIPGSTISQDCVKISMFIYKEPASV TDQTKRQNSLVPTDEYELAVLGWLIWSTYEHRMALRDATLGMHPKGNRWKHYIRTELV RSGNKSCWDHLSKAQFQNWWNGRKNLKSCSGMRWLNVTFDWDMGDTDLPLASFGSMGR LFSLPQAKLSHGICSKTPTDKRVKPPTENQSGCVEVAEGIQDNKSSHGDDDTGDRDSK VDTATAEDKEAKHGVEKLGVGDLEKAEGQSSRTGSTIHQFREKSPSSSDTSSQGDDDD FM EPUS_06301 MSSPPNITQSPSDIATPSPPLPCILKRKAATEKDMFKPNKRPAY STPQKSNFAQPYQEEQRKVQNDLPMCPDILNDIVIPTRVIPAGIKHPFAQKVVAGDET KMYRWAEKHRDKAHVRQNKHLSPEERERVTARFPKQKAAKTEERKARVPENAEFIRAI DVSITALHDKLYKTGWTKHETKEEVQAAKFLHRCWKELKVAAVFQHGSFEHKDLDRYG LVFSDYWHEVDNKDNAKFWIPRWTKDKTHGRLVLGWQYLRN EPUS_06302 MGLDVAEIYGEEIVNIGSQQEYTPYQPEKGYGWAGALPDKQGLY DPSLEKDACGVGFAAHIKGKASHKIISDARNLLCNMTHRGAVGSDARDGDGAGVMTSI PHKFFIKNFARETGFELPPLGQYAAGNLFFKPDTEMLKYATISFEEVATSLGLRTLGW REVPKDSSLLGPAALSREPIILQPFVVLASAYGEGGKPDITDPEQFDEIEFERKLYVL RKMVSHDARYKPWFYLCSLSNKNIVYKGQLAPVQVYQYYHDLVSVDYEGHFALVHSRF STNTFPSWDRAQPLRWLAHNGEINTLRGNKNWMRAREGVLRSEFFGDELEKLFPIVED GGSDSAAFDNVLELLVMNRVLSLPEAVMMMVPEAWQGNSAMSAEKAAFYEYAACLMEP WDGPALFTFSDGRYCGANLDRNGLRPCRYYVTDDDRIICASEVGTISIDPERIIQKGR LQPGKMLLVDTVAGRIIDDSELKHTVSSRQGFQQWLDANLLKLPNIYDQLSQQLDLSY RLDDKNIQADPMLRAFGYSFEQVTLLLGPMAADSKEALGSMGNDAPLACLAQQPRLLY EYFRQMFAQVTNPPIDPIREAIVMSLECYVGPQGNLLEMDQSQCHRLLLPSPILEIEQ FNALKNISSLYKDWTVRTIDITFEKMKGIQGYMDALDDICAAATESIHNDDKVIVLSD RATSADRVPVSALLATGLVHHHLVRNKWRSRVALIVETAEAREVHHMCVLVGYGADGI NPYLAMECILKLKREKSIKKDLSDEKIIANYKASCDGGILKVMSKMGISTLQSYKGAQ IFEALGIDDSVVDRCFAGTATRIRGMTFDLIAQDAFAFHEKGFPSRSINEIPGLVESG EYHWRDGGEPHINDPVSIANIQDAVRTKNDKSYEAYSLSEYEQIKNCTLRGLLDFDFE QRTPVPIDQVEPWTEIVRRFVTGAMSYGSISMESHSTLAVAMNRLGGKSNTGEGGEDP ERSLRMENGDSMRSAIKQIASGRFGVTSNYLADADELQIKMAQGAKPGEGGELPGHKV SGPIARTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRSRVSVKLVSEVGVG IVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLRGRI IVQTDGQLRTGRDVAIACLLGAEEWGFATTPLIAMGCIMMRKCHLNTCPVGIATQDPE LRKRFQGTPEHVINFFYYIANELRAIMAKLGIRTVNEMVGRAELLKTRDDLRSAKTEN LDLSLILTPAHSIRPGVATYNVRKQDHKLHVRLDNKLIAESELALEKGLPCRIECDIV NTDRTLGATLSYQISRRYGEAGLPQDTIHANIKGSAGQSFGGMLAPGVTLELEGDTND YVGKMLSGGRLIIYPPRSAVFKAEENVLIGNVCLYGATSGTCYFRGVAAERFAVRNSG ATAVVEGVGDHGCEYMTGGRVLILGGVGRNFAAGMSGGIAYVLDMNQDFHSKINMEMV EVSGLEDPQEIAFVRGLIEDHHHYTGSELAARILLDFTRALPRFVKVLPVDYKRVMEA EAKKAEEAKKAQYSLPILPGTAVRTMHEEHRKKDFAEEEKQKKKDMLDLEDSVGDEKK EKKRNALILDKTRGFMKYTRRAEKYRNPQTRIKDWAELSSRLNEDELKFQAARCMDCG VPFCQSDTGCPVSNIIPKWNELVFQNQWQDALNRLLMTNNFPEFTGRVCPAPCEGACV LGITEDPVGIKSIECAIIDRGFEMGWMVPTPPKSRTGKKVAIVGSGPAGLAAADQLNR AGHHVTVYERADRIGGLLMYGIPNMKLDKRIVQRRVDFMAAEGVKFVTGCLVGPDSEV SLQSLRDSNDAVVIATGATVARDLKIPNRELDGIHFAMQFLHKNTKSLLDSELADGSY ISAKDKHVVVIGGGDTGNDCIGTSVRHGAKSVTNFELLPQPPPERARDNPWPQWPRIY RVDYGHTEVKTHMGKDPREYCVMSEDFVGDDEGHVRGINVHRVEWTKSATGGWDMKPV EGSEQFFPADLVLLSMGFLGPEDRLLGGDIEKDKRSNIKTPPGQFDTNVPGVFAAGDC RRGQSLIVWGINEGRQAARQVDTYLMGVGSQLPVTGGIIRRAQIDSVPRPQDVSRPEV SAAA EPUS_06303 MPDIIDFQFREAIAARTQLSKFFRSESPPGLEDRETINHEYFTK SLTKIYVGLCECCARPRKDCERPNLGRSHKDNQPALPNRFALLGLHDSDDGFDPTFTQ AGRQCNECARDIQAAVTESSTTPRLVDDHLGDAFDLCEKIQKICDLQRVALAVWEQAA QGTTPVTLAAFITHAAFAAFEDIEQRLKILCNISSPDVLRSKFVQINHDLETSQDVGN EMDSSKTRQVEALKEAWDLLSECKQDHQDRDSDEKFCTVPRPSQIVLRRGSDSAPMDH ECLSMLLHNIERHVKTRCRPTCIVRLGTPVYADVGYFLTHKEDSSNSLRCSFGLHLLL ETYKSYLLASPRGCTPSCCRLQALRFAQEAVPYMGAVLEDSSMPCRCCHTLAFHLQNL HLDFKAFLGEKVFDLYFQSPWVSGSHILEMLELLFFYGLRLFSYRHYVGSVVHVYNVL RECTGLVSIPLLEKLCDTFSDILFPGGRPRRSFKACCFRYMGGRLRFDPHASHHRSGS HKVCIPPRAAKATAGFPLQKEANDARFEYRKISLLHYIKERGYHLDHSLWDRVYAITN TKKDAPSSGKGTKRHHHQCSHSEEHAHPKHDSSQPHRLDSLHDALLPEFTGPFPIAKV NFFAVYLSCIRIVSLISDRTHQAGEPKAPTGQNCLCFLDAMLDAADRYKENEHKMQLF GCRELVKICGESMLEVLGGKDVEEFLWKGL EPUS_06304 MTFSNLLRHNVRDANEKTNCTNGQRLRKSSSCSSQASCGFCEFI EASRWESGPNDPSTPKSASACTLCTNEDTASRTYPSPSKKRKILPPPVCIDPDCSEVT CKECPESVCDECSNHEESCEECVPHCVSDCVSDCRISLCGDRPESSLHDDYPFDNSYF ELFGDQATQMFDLDGSIPQLPVNTLPTTFDDLGLCCGNPTASTPASVGAFENSISSYG EPEHPRVAMQVQRQYRALDPAQSTFLDNMSVPAPAPAFTDGWTPSSAVNTGGAADGAA SALVSSSSASLNNEQIEAGVSNISSKHDNISVRNSVHSFQAKTMVTAPKNSALPQNST LIPNQASNRPFRPSAPCQWTDPGGQSCGKVFELGSDLHEHLKTAHNVNREVFCLWRGC RVGALGSTPHKYANSVERHTWGHSGYRPYKCATCSEGFAAANVRDEHIANIHQKRKMF SCDICSHQCTSARNLKRHKDDTHKDERFQCEFCNRNGKIRLFPRGSNLARHFRKCKHV LALFPNAAGAAAGKIEDDWFPTGYRGGHQGMDKAKIVPPQYLPLS EPUS_06305 MRWPTLLLPTLVAASLPIQLEIKRALNSGLANIHVTYTALIPSE TIFTYGSCEAQTPGEAHHLIARTTDRRHDRLVWLIPADAASGGCLSAWDQHQNLLGRS KSLSLVPSPRTTRSRLKKRQEEMGIRMDNSSGIDAEARGLQPTRNSPLLSYRWRGHGW LDDLRLGGRVHTAYFGDPSERQYQEMGPLRFPFSIQYSATNETLQIQDHKLVFDLDDE INRRNGNNPNFTVSFIPWLQRSPNGLYAVGGIRLPNGKIPTQADILANSSLVPELVTD PVTTAAEGAISAIYRNQTFLREVATNMFRAHKSWLDTGLNGLGGDDWSEFAYLHNYLG YALNTTLVALSGAGGNSFWDFVFGSVYFAATTWQTIDGGLSRLPFAFHPLVDDITHMD RKVQRVSYNQSTKRVTLQWKTKPLDRTFQNASYDYAVVTAPMALVRTWRLPAFSPLLS TAIDTYGYDQVCKVALQFQTRFWEQLERPIYGSCSTITDIPGIGSICYPSYDINSTGP GVMLASYTGSDDGLRWASIPEEEHVQYVVDAMAEIHGDVVYEQYTGRYNRRCWLLDEY ETVSWARAEIGMRKAFMPAFFQVEQGIIMSGEGTSYTSAWISSALESGIRASVQLLLE LGLIDEAKAVTEKWMARWISI EPUS_06306 MFLPASSSLPLLPPPFTSILSTHYPSSPANTHDQYSNNQPSQRR SNLHQSNPSSLRNPNSLHSLLVDESVIASRKAAISTYGYSWLRPAGVAKTMLGRREEE AEREELERQMREQEEMEAEIERGEQLARQGRLRGEQLLEGEGEGEGEGAGAEGVGQRN LDDDIPDADEGLPTQQENGGEAQEDAGDVDLDDEIPDADADDADNSLLEDDSNVEGET GITDEVELTNIRETDTLPRLAPTTTRPAPGTQNRGALPSPTVTQQTTPQARQAIHQAY LRRRELEQQQAEQEALAHAMLDEDEQALAERDLDAEIPDEDLDENEIGIQAPDLDDDI PEADDDGIGEGEWQHTDTELEEDESAVAMLMEDDEGGDVSMEMSVIDGGGGGGGRRSV AGNVLATPASLEGSSMLHTPPTTGDVGSGARGWLNRTSIPGRGGAGNLFARITGSGRG GGSGSGSPQAPATRLQPQTEGTSVQEQGQGQGQGQGLQQVRRRSGRHGLRRENRSVRD SLD EPUS_06307 MASRNPYSSIHPRSSSSLFDNYPGPADARSRPGGSHSPAKSGYG YGANGAGGPYMNGGAQSGSGGFRPATPNSKGQYSHSVLESLESQNENENTSVLSSKVS QLKQLTIAIGDEIRDSSALADQMNDSFDNTRVKLRGTMRRMLRMAERTGVGWKVWLGF FVAVWCLFAWVWLF EPUS_06308 MQDLVRETIFGRIVHLVSGGKLFPPAEQRDPSRLQRYIVPKSAS TSETSVSGSSNVPLVEKRNPEKGNDYELVDWLENDSENPRNWSTTKKVFVTFQICFLT IAVYIGSAIYTAGLQGVMQQFGVSDVAALLGLTLFVLGYALGPMVLAPMSEMPFFGRS PIYIITLVLFVVLQLGVIYAKNFGMLLAFRFITGFIGSPVLATGGATIADMYAPKKQA YGISIWGIAAVCGPALGPVVGGFAAESKGWQWTIWELMWLSGACLIFLIFFLPETSSS NILYRRTMRYRKLTGNPNLKCEAEIEAEGMSSNEIVQMILIRPFTLSFTEPIVFVLNL YIALIYALLYLWFESFPIVFIGIYHFSLGTLGLAFLGILVGALVTLPPFFWYLHKYQE PLFNENGDIKPEHRLTPALVGAFFIPICLFWFGWSARTSVHWIMPIIGSSFFSIGAFC LFISVLSYLGDAYPKYVASVYAGNDLVRSAFGACFPLFANAMFRRLGLGWASSLLGFL SIVFIPIPFVLYYYGERIRAKSKMARHDL EPUS_06309 MAQNLLLSSYPSSQSVPPLKEAQPPAQATASSVRSLHSNSQNRP RKTTLPSCNLCRRRKIKCDRADPCSHCVRVGAVCVFSTPSGAPRGRQGGRRKLDSELL DRVAKLEQLLKQGTSGAASVMAKPPSATAEESRNASRELESHVFRGSTVDAGLRAPKE GSDLYLGGSLWSNLGDEASFSSVHRTLVHGMREALNQPSDEEDDGRLTPNSDSTGIAQ STQSNFVIRTADVLGDTENHVKHPSRSQAYALYLSFMTNVDPVVKLLHGPSLRRHFTG ETDGLDCSSGPKGWDAVKFAIYYTTTTSWTPDECLEQLGEEKAVLLHRFRSSTELALA RADFVNTEDVSTLQALVLYLFAVRSNENSRRTWTMTSLAVRIAHALGLHKEKFGGKYT SPYLPFEREMRRRLWWQICILDRQASIDRGTDPIITAHAFNTRLPLNVNDEDLHPNDP NEVQPREGYTDTVLSLICHEVFEIERRLTYIPADEFNRSLETSDDFWAQRKGWVSVSQ RRVEDKYLRHFNTDIPQQRYAMLLSHIMNATMWFFAYRPLQPHSDSPTSLKIPQPGIL HLAVEVMDKSIKLSMDPCARPFKWISSIWVQWYALAVMIAELCVQTEGPTVERAWSIV DPVFEETAQHVADSDKGRLWRPIKKLMTRAQEVRRKHLADAAAALRSRSIGAAPTAAE QAVPWLITQHSDTNGMDMEAEPKPLLDNSSTLNPPQQIGTGPEPMSVDWASSFATGAK DQIDYNDEMNQMAWTNWASFIDDFQSHEAYLSGSDNAPPPSFNTW EPUS_06310 MAILLTGGTGKTSVRVARLLQEASIPFLLASRRGGDAAPLGMPA TKFDWLDSSTWGKPFEHKFLNGESISAVYLMAPVVDDPETSMNAFIDYAIKEHNVKRF VLVAGTSAQPGKSGVGKVWQHFLDTGIDYCVLCPTWFMENFSEEAQRFVIQNQSKLYT ACGDGKIPFISASDIAAVAYRALTDAKSHNTQYELLGPELLTYDEVAAKLSSCLGRKI EHVKLVEEQRVQGLMSHGLPEHYAKFLTALEVSAAGGAENRMNDTVEKVTGRPPKNFD TFAQENKAVWQ EPUS_06311 MSGASNRLTKIQDALTMNKTATTIPWDPDCAIFPTRKELPTIPG APPEAAWVWGEDDHIGRLNLLTPTRIKAASAEIKTGEVIPLDLPLNVPEVPGFSREQF KHKIKVLAEGIAYDDIYELNTQSGTQWDGFRHFAHVSTNTFYNGVRAIDIVGPSANHR DSIHHWANHGITGRAFLLDYYSYAQSQNITYSAYSAYGISYADLAACGKAQGIDIRPA AQGGDIKVGDILLIRSGFVEQYHNLSSAERKALALRQHTVDPATDGQRYAGLKQEEPI LDWLHDCYFAAVGGDAPAFERWPTTEPWYMHEYILALWGMPLGEMLDLERLSKMCHEK KRWFFFFTSASTADYINAINAKYDFLRPKSHVQVWIVPFGAAGPEALEDGSVES EPUS_06312 MAEAFGFSTGDFINSINLVKDIIKALNDSKGSSREYLEVIAELR GLETVLILVKTQYNTTAQISQRTALRQAVEDCHTCIDNFLRSIQKYHGHLTTLGSNNK WKDGLRKIQWHLCKADELSSFRLRIASQVQNIEMLLVTIQASALSLSTQSAQAQEREL KCQTGELSSMTFSMLQKIQDSLPLQVMLQKPVMFLDALDRLAPIHLEWINSHEAFFAV LKVRFKHVGLQMIKSGQFALQATKRKRDVDLNRPWDSCLYPGQAYDMTCHYLCFDNPG EEITCKNCQTTFQRVLKCLRTTVATKKKLYLFRDTKTWLIYN EPUS_06313 MAVPTEDSERAPLLAPSSSSTTTTIADESVSTASEDDFTEGSKI SALRGIVLGACIAILIFLQTANFSMMTTIQSAIAVDLDAFQNASWFTSTYLIAGSSIT PLAGRLCHLFSPRAYILVSCLIMAAGALIASLSPRLAVFLLGRAIHGVGGAAIFPVSL ILIIELTNVKRRGLYIGCVNTCYTIGVACGAIITGALEPVTGWRGIFALQVPLSITMG IGIFLAIPSSIFSKHTNIINPGHLPNRLSQIDFLGVLLLITTTVLFLYGLSTPQITYS TIACSLITLLAFLFIESRPYLSTTQPILPLPILKSPAVLLTCLSTLSAMIARWSILFY TPVYALAVRDFSPAKAGLILLPTNGGFALGNLLLGYFHVKRAGSFYTSCLVVYLLFSL SLLVIGSITTREVEMGWYYLAAGVNGFTIGANMIYTLTHVLHRTPKESHFIVSSLLAM FRGLSASFGSAIGGGVFSRILTQALREGFEDRGFPLEGKEELIRRLLGSPALVGRLVG VEREVAVEGKKCSCSALLGPENLHGGSSTSLTRGMSS EPUS_06314 MGTNPMENSIHTDKHILFALPFPEPTIQQALAALTTAHPSYRTT YRETAFKDPTMDESAWSDVDILVTFNCLPASPSLCPRLKLIHFISAGIDAHLSHSMLT STDILVTTSTGIHGPPMAEWFILGLLAHQTRLPDLYDLQKKREWGNPLKFTSRKSLQG QRLGILGYGGIGRQAARLAQALGMEVLAYTASAKKTPESRRLTTYTIPGTGDVDGTIP SEWFSGTDKSSLHHFLSQKLDILLIAVPLSTSTRHLLGWEEFEVLATNSPSSAPNGGG PFVSNLARGDVVVQEDLVSSLNNGVIRGAAVDVADPEPLPSESTLWDAKNVLVTPHVS GVTADYLKHVVDILNINLGKWEGGESLINLFKRRRGY EPUS_06315 MQAIPPKGRGMLDYQAPSLFQPHRARQAIRDAHEGKIGPLVGIY LGLSSIPVARHVASFGFDAAWVDWEHSSCNVETMTTMVHELMFMSGGRTIPFVRIPGH DHAAVGYALDAGASIVVPQVETVEQAKHVVSAAKFGTKYGGTRSAPPFRLIPGLTDGL VDPSLSLHENLNHQAAIIIQVETLEGIRNLDAILTECPQIDAVWLGTLDARVSMNLPG NMGFGGQEQEWQDAVALYEATMQKHNKPKSGFALGPPELRKQMAKGKSFVISSGDVVA YMGFSQEIAEARELFERNQPQVAKEVEMTNGEAKKEARENGTAVTVDVKA EPUS_09078 MNVQHTPFTHYAILIGINAYQDKALKGCVRDVQAIKGYLESVLD PLHLQIFTATESADPESSSPIKDSMIWPTYDNVTSAFDTIISLAKPGDFVYIHYSGHG TRAFSNKSTGDLALVLLNEGKEVQYLWGPRLAFSLEAMVNKGLVLTLVLDCCFSASVY RRDDPIICFLPYHAEIGSRYLLDPEISLRDETCYPASRDACMRPNWLIDPDGYAILVA CDSHKEAIELKADGQSHGALSYFLLKTLKEREGLTKKHKDIYDHLRAAFQKSTLPQNP ALYGNKGQGFFGHANAEITVETVLIVVKQDGSLELLAGQAHGVSDNDQFALCPLASAK CDPRSQGDSVFARVVHARAFTAELKRLDETTLNPKTGWLATALTRSSVQRSLIRINIG LLHQNEWRTALKQRSLGFYIDMDKPPYLFYIILNSNKEYEILNEFDQKILNLPIISQD RMDISDVCNIIEHLIRYNLVKDLANKVPVDPFQESFEVHIISSGELFDPGRVVEVEQD DETKFTLELQAANKGNNDLYVYIYNLSPC EPUS_09079 MCVEMEAAGLMDGFPCLVIRGICDYADEFKNDAWHRYAATTAAA YAKDFLLYISPQQIIEHTNSLAKASSFRNKPSRYNASTFKPSVNDIATTLLKQVPMKS IKPTILRESRAHANGCSTIVSFEHGNKAVIVISCGSRPTRDVANPSSQSFWSIMSLVM QISIVSATFSSKTMNARTTLTLLYAPFSTNFLITNRHYCVMRCPHGIRSRTRYSKKAG PIICVLDTLDECRDEDRRQLISKLCGFNQRSSPALSGAWLKILVTSRPYDSVQRWFEE TTSHLPQIRLRREDKNDQIHEEINLVIDLQIDRLAAEFRLSENHQERLRQSLRQMEHR TYLWLYLAMEDIRTTYLNSPDPEEEPTNTLPKSVESAYERILQRITEKQKSQARKILL IIVGARRPLTISEMGLALNAASAHELGQSYMKEPNVQQERHVRQWCGLFVFINHSQLF LIHQTAKEFLVAQSTNFSFVSGRWQSTFSQTEIKGEMTRLCVAYLCLRQQDRRPIDED RQGYKRANTIVTVIPSSTWEEQNKFFEYCAEHWTSHLREDVVTKDWKVLDRVLLLYKT DTDQFHAWFPIMWKALYPYKSTAEVQIQHVVSMSGHAFVLNEIFHKAIFELEAQDSTG RTALHWAAERGHGAVVDYLIKLRANVNAQGGEYGNSLQAASSRGHKKVVEMLLAKGAE VNAQGGEYSNALQAASSRGHEKVVEMLQKQ EPUS_09080 MGLPPNDSYEVGWICALYEEFAAAQAMLDEEYGIIQHKDAKDVN TYAAGRVHKHNIVIACLPAGVDGTTAAATVAQNMLRTFPQIRIGLLVGIGGGIPHLEK DVDIRLGDVVVSQPDGEHGGVVQYDKGKIVTTDEDLGLGMLRAKHEIEESQMASYLRR MMERKPKMKKTGYGFPGNDQDHLFGLRPYRLNESRADTDTNVIATKDLVEVVRPHRGH Q EPUS_09081 MAVVEQVKKLTSQGTTMAVVEQVKKEYDNCAVNYNDYSSLPFGQ LESQLIKIALGDCAGLTVLDLGGGTGRYALEAIDLGAAIVDVVDISPGMLKIGHEIEK SLSRENTIRYFEADVSKPLSHLPLHENGYDVVMANWIFSHADSMEVLEGMFRNVVGYL KPGGRFVGVRDSNPTSPAILNAKYGAMYKWVKKIPGGVKFLVMLSCTPPIEFEGAALE IIYSGSTEMHERFGLTDVEVVPYESTEVVQKDPEFWKLFLERPNLAVVKASKKME EPUS_09082 METPALPPVPPPGSTHLLGNSALENMPPELRIHILESLDFVSLR SLVRASPSYHATYLNVGREGVLSHIAVQQLDPRIRAHALAAVRSATFYETRRQLVEVK RTLTFFDDHNRARTDSADSSSGWLSFRSMAEVLDLIHLHETVKHLVFQYCLSITSIMP QGQQPFNLSQMEELRLHRAMYRFWVYCNFFGNNPPMFEQSLLPHGARDQHPRMRFLPS MPTWEVVEMACIWQYFYRLWAFVQPGSSKGRLGGCGNSRLYLLELAVRRRRGHGGSDE NSAPGPSHDKANQAKPCDDQDERLGRYFTHVRPHFLSKIRWKESQNWAFRSGQDGRVT VCMPFDLHDPYPLDHFVHPADKYAHPDILARLPQMPESEQPSHGWKWFCHEYKVPALG VGPWAWQTVGKYIAVDEKGRELMWGCPFWDKERLDRRGVVTSSSCKEKKNENENENEN EADESYGLGEDGCFLFGEDGS EPUS_07931 MNRPVPQDTYLLLERFSMPHGNSTADAVSLSRGNGLILISLYTL MIGVTIRQFWTLIVLIGVTIFMRKKHSHNRGAATVGVWNAQASPSNVAMLAARYVLHM KGEVWYPLMWTLLATVVVGAISAASILLPRLVNIGTVAPVNPRAVFVPLRDGSNQTAT ALTYALEGPSALRAVGAADTIGSEASVVVEQEEEVGGSGQDPGIRINYRYGVTASDFG LQHAPGLVMNVTGSCVTEYSWLQETFYERTGENNSEIALVEDTYVPWNNIAAQRRVSN LDNGPPFAYFVSNPATQGEGLGNTTFAIVPSSLWRDSFTASTDPWYLTRNSSEFYAVN ENPFIVVPGRPALSCWETAIWSYGDSSVDLAQLHQVPGLHLPDVLINIFDAFLIRPMM PEMGIRLGRSSLASATAALGATFNAGGSSIQNDITRLVNASYIATRNVLADTTLFSNP IGSGIINIARQTNGEPQEGVGDFVVSSPDIMTLSVRALIIVPVVLLGVFLLTYLIGIL PAPWRMTEALHATVLYSHLHEKAGDGAQAEWKRQSLAAYSRRTGDAPISPLYDENNGE LLWAQNERQTQAAAVPASEVDSGKSSSAVLGTTEKSGGGTKTLGGLAGTTVAGAVA EPUS_07932 MIHILYYLTAFFLLCPQSRAVALPQAPAPSSQLLPTTAAEITST ASSTTVEATLTSATSSASTLPQNDGATDSNNRRCDFETMQDFFNHAAMERLNITVEVQ NCQNLCLLTYGVGNPDLSGIGMMSAYTIQIILTVLIGPAYRLLYLAWTPPSKPTETVT FRSFVKELERVQTAFSAANGFFVLACAVSSIVRLHQNPAIFEIAEMQAMAFLQVNSIL VMFFCLIRSMPRRTARVLLYTVVFAFVLAVLSMSHLNGGRRTNWRLASDGCAQESTDY DVITPILYPPWAVAVIAVVGTVGFWLQSLKRKFQRRPSHQVLFQILMSLWVLLIGLMI AGMVTGLVMMWRQRNHLHSVAGEQFEDDDWGFGQIAALFIWAPIPVEIFFVLHDLVQR SYPKEHFWKIPLIGNFLHRNGVNGEQTTPHDSQNEDKNLSQSTEVGGG EPUS_07933 MIGRALSWGGWDARKVEQVLYGYYYNADTVLYISTAQPEPQLLA ARVKKVYDLTIHSDLNKSIDHGQPFHNIVNYRLHQEFYSHLPSHGWSLANLPTQIKDQ LPPVPAELVSEGVLITWLYTLENPSIYKDINSALVADDEKRLQPWMPMILIGIQYAGD QPVRGRNYDVSRLQNHQIPIRFLAHPRRLPRPQICFDLFI EPUS_07934 MNHARRKPRVEDKGKAVGRLEIPDEERANLKRGPKVLDTEESVV FTRDYVQTLIDGANVQAVSISSITQSSTGVVDEPPPPFTEHAEPPSLTDELDLAKMKL TSEGQAQTDIPDTEDIISLAETDLPSTSIADDPAELGTNVGLWSPLKEDDSTLWLPIS TSNSLETQKQIDATCYTAIHQNPL EPUS_07935 MSFVLSGMPWHKGEEKMHQLTRTENQENPSSPYLVPGAAQMLQR APLLALGTIDETGRPWATVWGGETPLAQPVAQSIIGIRTTVDSRSDPVVDLLYGGKDD GEVVKEQGAGRMVSALSINLEKRKRLKLYGRMVAGALARIGPETDQDSAGPVGEVQLV VKIEQSLYNCPKYLNCKRIYPVLPEPTLISDSRILPRQALELIAKADTLFVSSCDHDK DMDMNIRGGSPGFVRVQSNGDSGTVLVWPEYSGNNLYQTLGNFQTSPKAGLVFPDFDT GDVLYVTGDTEVLIGKDAGAVIPRSNLAVKLFLTGTRFVQKGLPFRGESLERSPYNPS VRFLASEKHLPETQKTGDNSVTAKLIKKETLTPTIHRYRFSISDPLASGPWKPGQYVA LSFHDELDMGYSHMRDDDPRSLNDDYLRTFTVSSRPGEGIHGEEFEITVRKVGNVTRY LSMQSERSGLEVPLRGFGGELRLQQNPGGMIAFVAGGIGVTPLLAQLEDIDISRLRLF WSLHVSDIGLVYDTFQHHASLPKSCVLYLSGQIADIREEDLPKKEMIFNSGASIEQRR VQANDLTSVDAEAWYLCTGVGLRKEILDWLAGKTVHYEDFNY EPUS_07936 MATAKMNSHTSSGPRTPQLVTEGDALDNMASLSPEKFDPGWLFY TAFISLCIITLAVALDATSLSVALPIIASSLRGDALSAFWSGTSFLLCSTVFQPTFAS LSHTLGRKPLMLLALCLFTVGSIVGALAQNFTTLIIGRCIQGTGGGGIISLTEILITD LVPLRQRGKWFGFQSLTWAIGSVTGPIIGGAFAQEASWRWIFWINLPFCALGFLTLPF CLRLHHRPGRIVHKLLRFDWPGAVLLTASTTSFLIPISWGGVLFPWSSFRTLVPLIFG IAGILGFILFEAFKAESALIPMRIFANRTAAANYFGTFVHGIVLWCLLYYLPLYYEAV KAYSPIIVGIAVFPETFTVAPASIIVGVLVSITGRFRWAIWSGWALTVLGMGLLYLLG PETSVPAFVFLNLVPGLGMGLLFSSMNLASQAAALERDVGFAAAMYIFTRSLGQGVGV AVGGVVFQSQFAIQLRKYETVAGNATELARDAAGLVQVIKTMPEDATERRSIVTAYAE ALKVVWVTMAGIAFMALVFSLATKGLSLDRKMETEQGLKEKKRGGTYNESGQ EPUS_07937 MLALGGWWNWLCQSYSPWQVELVVTVFVQLIGFWLPATLYQLVD ICFPEFSKAHKLQPEPHRQPTRAEIIHCIRYAVLVTLADIAFQIGLGYLTDFRPIFVI TTTLPTFKEMVRHFVWGNIAHEVLAYYVHRILHLPRFYARYHKLHHSFPAPIAFTGLY SSPVEHFFADIIPTVLTLALVAHYYEPVHILSFNCFLISVLFVGTVEHSGYDFAQPPL VKGARSAS EPUS_07938 MFTNFTLRHVPPLFVATALTFGGLMPFFNAEYAILEFGLPERIA SSKAAQSIMIISSARITAIGLSIFTFCFQEKFAAVDTKLTILGYVGLVDGYVCWLEDV PSTAIVRIISGALIAAWGWFGMTAVQ EPUS_07939 MRSQPSPYPILPFHALRACSLVCSLVVAAVLSYFVYHLKRGNFK IPWTFLVLFGVALLSLLNLTLTLALHLFRVLSPLFNLVFNIFLLLLWVVGLSLLGWNM SGTLSHVCNSANWGSAAGIMICRLYKTLFSFGLFSTLSAIALVVLDLKVRKDQNSLGK YNQMRDSAYDLKPTSQAFSTGALGGHHEDRPEPWLSAGQEPADYNSRNVSRERVRSEH FGYTSPLEQTHYDAGDYANQR EPUS_07940 MAPSMSLHSVIAVLVLSPEAADPRLFAKYYNGPHTSNNSTEPKQ PYANLKEQKAFEKGLLEKTAKQSSDVILYDNKVVVFKTEGDVMLYVVGGAEENEILLY NVALALRDSLAILFKNSTDKRTITENYDLVSLAIDEIVDDGIILETDPVIVSTRVSRA PAQDAPNMKNIDLSEQGLLNAWEFGKRKLAEAARNNM EPUS_07941 MKQRFSSLDVKVIVHEISKAVVNLRVSNIYDLSSRIFLFKLAKP GTREQLLVDSGFRCHLTSFARTTAAAPSAFVSKLRKYLKSRRITSVSQIGTDRVIELV FSDGQYRVFLEFFAAGNIILTDKEYNILTLLRNVSEGNEEVDVKVGTRYPLAAKQNYA GIPPLTEERLTETLRNQVARAETLAEVNAKKAKKKGGDDLRKALAMGFPEYPTHLLDH SFKETSVDASVKSAQALKDVGLLSKLMKAVELCDRIFRSLGREASSKGYIIAKAKNDG ANEETGGSERDATVPPREDLLYDDFHPFKPSQFEGKTGTFIIEIDGFNKTVDEFFSSI ESQKLESRLTEREENAKKKMEIARLEHEKRIGALQQVQELHIRMAEAIEANAHRVEEA IAAVNGLIGQGMDWMDIAKLIENEQSRQNVVAQMIKLPLKLYENTVTLLLDEASFEQE EDDGGYETDELEESDDEEVTVKIKSSTEKRLAIDIDLALSPWANARQYYDQKKTAAQK EQKTLQASSKALKSTEQKISADLKKGLKQEKDVLRPARKQFWFEKFWYFISSDGYLVL GGKDAQQNELLYRRYLKKGDIYVHADLQGAASVVVKNAIDTPDAPIPPSTLSQAGSLS VCTSTAWDSKAVMAAWWVHADQVSKTAPTGEYLSAGGFVIKGSKNFLPPAQLLLGFAV AFHISESSKKNHQKHRFQHSDTTTPNTQAQGMSDETGRLSIEEEAESSDHELHGESDQ EQAKDNEIEPKGGPQNSEDKEGSEQESANESDPEHDSEAEREDRTQATNPLQTEAILE NAQPLGEDSESSDEQSEEPAQAIEDIEDIEDPTETTTFNTATSTPTHSQPTTTQTPST PSTPASQKQTPAPRGKRTKAAKRAAAKYAHQDPAERALALQLLGSTKGASEKAQAATM SKAAREAKLAADKERRKAQHDRAAAAEKRRMERLEKGSAAADGQDGEDEAEEDKEAAE QERRKLANLDSLVGNPMPDDEILAALPIAAPWSALARYKYKVKLQPGALKKGKAPD EPUS_07942 MTQRKTRVLPVTAIQPPHVDSETSLLDWWYDKKDSLPQDQVSRL LEAARVLQTSSVPVAFPTETVYGLGADATRSEAVRGIYAAKQRPSDNPLIVHVGSLGQ LRALLQPPLAAEDDRCSNISHVNGTIPTTSDQNDDPIPPIYQPLIRKLWPGPLTLLFP LPKTSPFAPEVTTTLSTVGIRMPSSPLARLLISLSNRPLAAPSANASTRPSPTTAAHV LHDLDGRIEMILDGGSCEVGVESTVVDGLCYPPAVLRPGGVGFEEIRRLGGVWTDVVV GYQDRDQWASVNGDGKVDAYTDGSAVQTNTNGMHGRGHVPRAPGMKYRHYAPRARVHL FEDPTSSENEARSRILSLCNDHLASPSQPAHPDLNIGVITTQTWRPDLGLGLSLSPPP NLSNSNSNPKDSVPTLAVSTFHIANSSPSPSATPPNQTSNPNPNTTTTTTTTTTPPTP PSKHHQMHSLALGPAIEDVARGLFSALRELDARGCDVILIEGVEDGEEDAEESAGASE GL EPUS_07943 MHSFGPGPKPTVERSPESSRTPAYEVFPFGSRDPPCAQPQHQVD FWRLLHRLNDIESGEERWESRRKSGDGLLKYWETREYLDTQPLLPLWEFRPKWALEDE IICEMLGWPPHDEARREEWCARRRREWQDHVMTPQSVSSFTENGSTGWITPPDMKPEF LHALKPVRISDLVCHANPGTTSLPLSPSPPTAETVSSSHLRPSYREYGYSPEY EPUS_07944 MPESALIGTPPATESDYWIVRYFLLAAGIPAENVDPSQGASFPP FRPPPDQYVFETRGPEMITGASIAIGAMLFFTITRILLRSFKSGLRWGADDYLIVPGV ILAVAYTALQIAVAQYGGCGKHLYDITYHEFYISTMLGNIGKMFFFVSVGVIKMSIVM FNRRLTGLTSSRWMIAHWTFFALLVIYTLCAVLMVVFQCNPQEANFDLIAAGKLNSPK KCLSENQIGISLSTIHVVMDFCLLSVPIIVLWKVQMRWSAKFRFFVIFGVGAMSCIGS VLRQIEQANLKTDILYNYVDLFTWTSVDLTCGVIAANLPALGVIIPRTRKDFYKTFSY FSYFSSTKTSGSRPSFRGGQKPLGDSTVVPRSTSDDSQVGTLYHPDDFELLQSQTKGS DVTKFPYASETEEWVQDGGYGRHHMGNDAKV EPUS_07945 MHGEPRSFGPTTCLVTARYCPLLPKLDPLSSIRSQKHPSSRLRF RTRMEGKQSVSTNNLLETKVPGGGVKRKLDPRSFVPTVWYNQYPGFLGPGDAFDTWFD STTKSGSAEQFPPLAGLADWTWSDRFDTIDRISYLKFTRLNDASEPYSSNTIR EPUS_07946 MRSILSLLLVVFLVMQNGGVTSQQVKAQPTILYVPGAWHQPIIF DKVIAILSNEGFGSRKISLASVGRSPPVTSLEPDVEVIRNTALAETQQGRNVVVVCHS YGGVPTNQALRGLDKPQSPGGGRVLAIVYIASYIIREGVAGSEAVEAQGGSPNSLEYE FLPDGNVFFKNTSNPAEVFYSDLSAQEGKFWVSKLQPQSGATYTAAANYAAWKDIPSW YLVTAQDKTLRPEVQRGWIREAREYLDQLGGPGTGNQMIISQEINAGHCPFLSRPLET AQFIKRACDACKK EPUS_07947 MACEPKRILSPTEYTVACLCPMGVELAPVEGMLDRIHKSLPTNR DHNVYTLGEIDEHNVVVAVMPEIGNNIAAAVATQLLNDFPSIRFGLLVGIGGGVPSAT GEPDIRLGDVVVSQPTDTFGGVVQYDLGKRLVDGRFERTGQMNKPPAFLSASVHKLQA QHFRQGGNQIFENLSEMMQQNVRMQAQYSFPADHQDQLFLPSYAHQSGATCGQCDPSQ TVSRPVRPDHRPRIHYGTIGSANMVVKDSATRDGLKKDMDILCVEMEAAGLMNSFPCL VIRGICDYADSHKNKRWQPYAAAVAAAYMKELLMVIPAQRVVQRRSAAVSTSSGRQFS GSQRHADTGDRIPEQPRLATGQPSPDPRGDRYPGLSHSHSQTHLEMSTIREYRLAKRT ASNDEIESMDNDEIDQRMIKRPRLDNAGVLCNFVARQKCTREQNQPGERYGDISVVGN STTHTGDRIIQSCDHDHDCGQSRREALLESLTFDRMDARLRNVTRATPKTCAWLFRHR DFEAWLQDSRIAEHHGFLWLKGKPGSGKSTIMKNAWDWAKRRRPKNTIVLSYFFNARA PSALEKSCLGLYRSLVHQILCEVPESKPVFFDRFLSKERNGTVDEWTQEELQDFLIEV VKTIDAPPLQIFIDALDEGDENDVRKMMDFLQELGRHSQSSSMRLRICLSSRHYPHIS IRNGLSLIVEHQPEHQQDIETYVQQNFVGEDTPRMVGLRQEVCFKADGVFLWVVLVVC MLKKEYDHGGGIDAMSKLLEGIPKGLEGLFADILKRSSEDKETCVSLLQWVLYSTRPL SSGELYLAIQSSQTATSQLDTILPGKDQLNRRILKYSRGLVEQTKSQPPVIQFIHETV RDFLTGEKGLKQIQSALTGKVQGWSNDKLRYGCLRYIRHCGSPELDNADNDSNPKQKN PTLLKSTFPLIDYAVSSLLRHADTAEKNGVSQTTFLQQMQRTGQRDLCNWIQWRNAFE RYEVRKYRNDVPLLYILVEYNLLSLIKALVSKSGDLDVVGGRYGTPLQAACFAGHEDI ARFLLQNGASVNKLGGEYKHALLAAIYSKKPNIITLLRQHGASPAPEMLTKPLFEMIA RNYTHGAQIIMEYGVDVEAKNRRGETPLHLAVIKANIVLVDLLIQNGKGNYGNALEAA SDSGHEKIVQMLLDASTDVDVNFQREIFSIALHAASRSGHEKIVQMLLDAGANVNVNV QQGFYGNALQAASFYGHEKTVQMLLDAGADVNIQGGHCNSALQAASEHTNEKIVQMLL DAGANVNVNVQQGFYGNALQAASFYGHEKTVQMLLDAGVDVNIQGGHYGSALQAASFY GHDKIVQMLLDAGADININNQGGFYHSSALQVASKSGYEKIVQMLLDAGADVNINGGS YRSVLWAASSNGHSKIVQMLRNAGAVE EPUS_07948 MDYQQDLQRKRKSIEGKLPPTSSPFFYDGMAPPSYHSFDGIWAD AATRPRASLGKEFHYRGREHGAAPRHQRSRNEELTINHRSREDQTKHHRPHRSSSSHH HNWTAKLVFQGLKCNLFGSGSTTESGRDSKRRAPVSRTTKLDFCSNTRDIHYTVHNHW YDSRPSESISRTRTSPCHESVSDGAQPITNIMSGALPAFTFGPQYANQRMAGGLPCQD DPTSFDGLFSGFSPPVHEELNDSSIWGVFSHWPYHPSHDAAYRYPVYNSSGTGHSEAA KEVPHSIPEWCRHCPGSAANYDSTTQPSFGGHRSCSHDRESRHSSTRPNSQNGRPDAA SALTDYNKRWDYIDSVQRPHPHELPWPTIRPDVPFDHMKCDVFSFFAQACGLRPDRRK APKLDFKLSPRSPYPSYDQRQQECERKMLKMFKQQMQREKLRWHEDKLRRKFPEVIGR GSSGERDDEKRKAVWAAIAEGSAVCDKRLASML EPUS_07949 MATNGASARTTSTSPTRQTTSTGNSSNGAMRPSASRQSPTPISA RAAARKPAARANSGLGGRTKSTDNGYYDDDSRAEHTQLVEDLRLQVQRAEIASEQYRK ELEILQRRLQEAVGERNVLEEQIAQKDNEAEAVHAETKDIMRQKKELEQAHNAEKTMM LKERENQASKEQELQAIIQRLNDTIRQKEMRAQIEGDRPAISRSASFRSRASPDLDPG QFAPSAQLERSPSRNNSKLLLQKDKMIESLRLELAEVQIKVAEMEHVGDGRLQQIEKQ LLDTKMANARLMEDNESFQLLLSEKTLKGDFMQESRPETANGIGTGSLADELEVARDV GECEGGEAYKKLEAELKSSRDSNKALTLYIDKIIGRLLQHEGFEHIIHEKDEPTKASV KSTDKALPPPPPGEQGASLLQRARSVVAGKAGRPPPDIRPLSFMPSAAMEAAPTAHEN PETAPSIPLAKGHRHSRSDQATENPMPAAIVGQMRRGSPLRAASGGPSSPGISPSINS GISSSRSPFFASSNPPSSRVTSGSGLPTGERSSRTNSILSEHSSEVKSQDTPSPPREK QGPNALPGAVMKQSQLRPLRLVRENTVVEDDEAARKKANRGSWMPAWFNRAGGAENEP MKPPNTT EPUS_07950 MSETDRLLKDIAEEEVVESEQDSFLPPVDGGKDAWLFLAGCFTI EMLLWGFPFSYGILQEYYTAHEPFSASPSAIPIIGTSATGIMYLGSPFTFIVLMMQPQ WSRPCTILGFMILILALLLSSFAQAVWHLILLQGVLYGVGGAMSYCPCILFMDEWFVK KKGLAFGIMWAGLGGLLIPFLMDWGLERLGFRIVLRVWVVILGSFLGPLMYYLKPRLP ISSHDTSRNISFEFLRRREFWVYQIPNIIEGLGYFIPPLYLPSYASAIGLPQIAGPLT VSLFNGTLVLGTIVLGAQTDRLHVTTVILISAIGAACSVFILWGISISLPALCAFSIV YGFFAGAFSTSWSAIIQEIRDRHPDADAGMVFALLAAGRGVGNLASGPQTALKPVAIG PLPKTLEIDVNQLPDLPAYKPSLELRFERSKPLLEGLSELDTFQKLLTPAIIDRIIKS TNSYAKNACELNLDKDDPESFSRP EPUS_07951 MQEKHRQKRFLNLVASENFTSEAVLEALGSVMQGGNSEGYPGAR YYSGNEFVDQGERLCQKRALEVFGLKEEDWGVNVQPLSGTPANLYAYSALLNVHDRIM GLDLPDGGHLSHGFQSANKKVSASSKYFESLPYRLEPSTGLIDYENLQTLASLYRPKM IIAGTSAYSRKIDYARMRTIAESVGAYLLSDMAHISGLVATGVLPSPFLHSDIVTTTT HKSLRGPRGAMIFFRRGVRRRDKHGKEELYALEELINSSVFPGHQGGPHQHTITALAV ALRQAQTPEFKAYAEAVVANARLLAKCLGTSQHEGGYGYTVVSGGTDNHIVLLDLRDR GVDGARVQRVLELVSVVANKNTANDYIRVAHVIDRAITITQRVDMRIREEAESRGYKT PGGIKTFLKVLGDGTDVLEIGELRKEVEDWVETFPVPWENCP EPUS_07952 MAPKKAKKTADSINSRLALVMKSGKVTLGYKSTLKSLRSGKAKL VIIAGNTPPLRKSELEYYSMLSKTNVHHFAGNNVSTPPVDHPAKKLLRGFGGSKR EPUS_07953 MATPNFNTTPRVSLPVDSGPSFNTTQPHAPASTTALSSSITSTT PINTPTTLQRQPFFTVTRKEGPVEEFLAFQSIIFLPEFSKHSFEEVRLADYEKGAGPI VNNSRRGLFGGSGFRGSMFEQKSFAGSGDAGSRNTFSGIASGKTGGMSTNPPASSTLD ESSPPLAKRLKYGDNDIFKVLVGSKKVSFNVHKNFVCGVSPFFHGTCNSLSKEGLKDE VYLPEADPEVFDAFMEWVYTGKVKFSAWPINKTSKPECNAWWSFGTKLYLLAHYLQCT TFGNAVIDSISRAVTNKQVMLFPGPNLIDLLYKSTPGDCGLRRIFVALNVWRSGAGYW RGDDEWRTRLSGLPVEYSNDLVVQLLRRNHQFDQNPFAGDTACHVFRDKELGSQAVE EPUS_07954 MPSSRKRRIPKFVIRDVDEDVLYGPKPQDEDQELAIGDSHYETV SPSPKRLRYQNTSAVTVFVGQQATPFVAHKEFLCEVSDYFKAALTGQFAEAKEDKVNL PEQKAHVFDDFLTWLYGGSLDSLALRKLKTGLGHELDWEHIRDLYVFAKYIQCPKFSN HLLSTVWKSSISVLIIRSPAPEAVTLIYQDTAESCGLRRLVVGFFMQNRDKSDWTDPK ESKDWISKFPTEFCCEVLVQTTRQVMGLEKQILVPGGSSDECPYSD EPUS_07955 MSRPPKRPAALDSNLRQLMSRRGVMMPSNHRLGSYSGLPALNKK NDIAAVDQSAGASSEPPRKRLRFAEDAVTGIVGSEKVSFTVHQRLLCDASKFFKDALS GGFKEATKKQVRLPDVTPTSFESFLSWLYSSFPGQTHMIEELKTSVPYLCWSLALQLY TLAHYLQCPAFGNNVITCIRTRLDDDLPITEPNAQQITDIYSQTLGDCGLRRLIVLMH TIVPSDEALLSTAEQISAWIENVPAEFTRNLNVRLMIENVRWVSGFWAQGWVVERVED DEVGYSVENNDLGKPAAH EPUS_07956 MSKANSKNLSTSKTNDLSPPPAKRLKFEDETVKVFVGHEEKAFI IHKLLVCQASPYFKAALNGSFEESVDGKLYLYEQEPELFNCFVEWVYSGNIDLLKLCG EQRHDSKVWTKFSKLYLLSKYLQCPAFGNCLLDTAPVISPLDNRKYTLPDTGIVKMVY EKTVGQCGIRRYLTAAFVWLTKDIVPKDTKLFGEYFAGLPADCVLDITNLAVGAAHNG YRNPFKEWSPDMKTYHDK EPUS_07957 MADSANEVTENVANLHLDEVTGERISKTELKKRQKLRAQEERKQ QRAAATPAKTEKKRPAEDDESNLTPNQYFEIRSNRIKRLRDSKDPNPYPHKFQTNVDL RDFLERYASIKTGEHEKDTEIRVAGRVYTKRASGSKLVFYDIRAEGVKVQVMCQAQEA SDNAQFEAQHEHLRRGDIIGVVGYPGRTAPKNRPEGELSIFARQVILLTPCLKQIPSE HYGLQDVETRFRKRYLDLIMNEKSRNVMITRANVVSYVRRFFDERKFVEVETPMMNQI AGGATAKPFVTHINEYKQNLFMRVAPELYLKMLIVGGLERVYELGKQFRNEGADLTHN PEFTTCEFYWAYADVYDVMDLTEELVSGLVKHVTGSYETTFHTQDGETYNVNWARPWK RIEMIPALEEATGEKFPPGPELHTTETNEFLRKVLKKCNVECSPPQTNARMLDKLVGE FIEEKCINPTFITEHPQMMSPLAKYHRSKPGLCERFEAFVCKKEIVNAYTELNDPFDQ RLRFEEQARQKDQGDEEAQLIDENFCESLEYGLPPTGGWGMGIDRLVMFLTDNYTIRE VLAFPFMRDERIKDKETAAEIVGIEPMPVEEIKHK EPUS_07958 MADPSQPQQPPLSATQASSDPTSKQKPLSPAPMASATKYDIAPP HPQEAGSSSGPTLSKPLTQASPTTPGTPSVMSATPSSLITPAAASLTTPSGSSSKMTN SAQLLANARAKLAAAKKTTTPSASKPSPDANVQVFEITHLKTIKCDVCEQKNGEILYK CQNCPDHHQICSRCVKNTEPQPAGITMGRKDWSVHAKLKDAHADYMQPICMHKKEDGG YEYDGVKFIMAQGKRSGIKKVRKATPKTKATAVERNSAVHRALGGNKTTQQGRRIEAM ARAKLERSQDQNLRARVAAARARRAKQDEADGKVQPQAGEKKRKADVLDEDDDSSTDV DIENASELTTEEGNDEDSEATQNDGEGGWDPVETAAAMKESLRDIGRE EPUS_07959 MSPYRMQTQFSRSVTDQPEQNKTSESKMPYRHFSSDSTKQDDKL NRPEQTSPFKMPTMFSTEQDSTSTPKVVERSQQRAQAQQELQHMEALGAGQEDPYAQD ALGIGMLLQAAKVIDDRERAQELQTGDLTQLDGSSDSDVHNAPSEQPDDNDSRFKDAS ELDWLSSTRRTPRADMLIASPSIRGRPATSNLHSPNEPHRHKRRRSERLTSASSPMDL NPQSPSPFPERASKRARSEQPTSTSRSRSKSTHMSTTTATAKPITAESSTAPDPSANS TTHQDMIIRERIKAIYDKATAMSKGTWKPNFPPLVREYIEEQRLRKEKTMLWLERQEQ GQPDEVAGEEEEEEGEEDEDEEPEDEGDRDEEYDEGVEGGYWIESSGSESQESHAPGP RESGKGKETKHAQLKIQDSQSGSDHDHDLDAPINVGVNDNKNNTAKNNNSNTKSEGQH LSSDPIIPPGPARPQHAADEAKQEVAHDDTEANGNDDAASDCAGDTINVHSQSSLRGR GRGRGRRLVRGHRKSGSDEV EPUS_07960 MAPTVVYNGIQNDQPNAEALFTGQKIWFSSTVPQRTRFIEFVKV NGGEVVQLEKQADICLYDHARKNPPPGMYSYRYVEYSVRKGQLEDLEAHKIGGLSSRA ARPVGSVTLASKGARTTFTEADDQMLWDWLKPYKGMKGSAGNVIYQQLEAANPRHTYQ SWRDRWLKHVQYQNRDAMSSNKTGQQAMAPGEKKPSLTRTPLKALRSGIDGTSDFPRI AVEVPVKESPNRKRGRPPKVRQGGSNGDTIHVASPVTTTQSPTPMKSMRKRSDSGPQL KKTPIAKQKSDAVSWKFSREERNLLLGAAEAILEVPKDESGPAWEHMAEVHRSHTAEQ WKTYFHEVVVPYYHKQQKKSQERKKTTSIDTASTNGRQGEGDTNKAQSTMRKKGKSQN IEMSSENVRQNRNGDTVSTPPRPSRRQRSPSFTPQSPADWKSEADGSRQAPNQSRKRS PAKTNSQESAGSPNLGSPAHKRQPLRRISGVQQHESEDTEWTPLPSAKRRKLSLADNP VLEIPSTPEHTQESETLEDLPGTPTPRARKRPHHELERSFSPLCVSSDTADEEDNLPT TSDRTPRRKETMDPETRTSPISVHLVSDHEPGITSSLSGARAHNVDIASSGSPTPEFE TAPDFSQNHEDTDQDEFETAAEQPQAVQKKSQPSTQALFSNTTQHGEGSFDFGLPDPE GGWEAMDPAPNLGERSNRGERTTSPTSSTTSTSTSDLDLDTWVQLRVSEGKNLKLLVT AAEATNLQNTLADSVYESLERGRGVPRDVRGVWTKEDDELLMGTDARGVERVERKHGA RSVAERWECLAIWRD EPUS_06847 MSKPQEAPYGTWRSPLSADIFAAGAVSLKEVVVSQPNGRIHLLE VRPAESGRGCIVEIGDDGWSHRDILPKEYNALTHVHEYGGASFTVRSNTGHIIFSDFE SNLVFDLDPATLKAEPIIEEDFKNYYADFDAHPTDSRWVLAIKEDHHSSRIEDIENTL VAIDSSTRTVHTIVRDADFYAYPRFSPDGRRVSWTQWHHPNMPWHYNELWVADWQQGK VVNSKVVAGRDIKESITQPQWGEDGSLFFVGDRTGFWQLYQLVDNEVRYVHVKGLEEA EFGAPEWSLGSSTYASLSSEKLVAFYNLDGSQKAIIIDVTTGDFTDPAIPINEVNSTA VKRTSPKSFAVIGSTASTPKALYHIRLDNPLTTRTLKPSISLSFPLTFLSTPQPITYP RTRSGPQSGHAHALFLAPTNPNYTKPPSALPPLIVAIHGGPTGQTGIGLSLRDQYWTT RGYALVQINYVGSSGYGKEYASLLNGQWGVADIADAASAVDYLADKGWIDKSRVGITG LSAGGYATMQALATYPQVFHAGAAESGISDLRAMFAETHKFESRYLQPLCFPPNASLD DQERIIRERSAIHNAERITSPLLILAGKQDKIVPPSQATGLKNRIDEVSKGRVECEVV VYEGEGHVFTSGENVRDSVQRMERWWARFLLGNRD EPUS_06848 MTDLPTILPQFSTRPYTHLIPSLERHGITVTDLLSLDALEIAKR ATLPILDLRRLAQDIAQAIQYDLNIGVTAHNTSDELFTSSRNSGLEKCNRPAKDVLKS WNTVSFLDTALDEAMGGGIPTGYITEITGESAAGKTQFLLTLLLSVQLPAPSGLSRNS IYISTEAPLCTTRLAQLLESHPRLAQLPATEKPSLSQVLAIPVQDLESQDHILAFQLP HAIRKYDVGLVVLDSVAANYRAEHGSSVPRDLANRAAQLAKLGKSLRDLAKEENIAVV VANQVSDRFDELHATVRQDRHSSSSPIISSSPAPPSTQGVPPSLAGHAEDSARETSLH LDFQQRFFTGWGDETCGSSSEGLKTPALGLGWTNQIACRVALKLEAGKAIPCNDKGAM VSYQGGNIWKDRKKRRFLRLVFAPWTPATADPIEYEIRPEGITACKVGDNPT EPUS_06849 MAIRGLTEKLIGLSTASRSSRPHPLARLTSPEIDIARQVVTKAR SGQLLLFRDIFAEEPAKADLVPFLEAEHSGQLTEETSRPPRLARVQYDKIGDDGSHAY TESVVDVTARQEVLHRVVEKDVQPSLTPAEFKRFQDACIASPMWKEAIEQFELPDGFA VCIDSWPYGGPDPEETIPRYTQGLCFARDTRKGEHSNHYGYPLPIIPVMDTHSSKLVR IDKLATGGTEDALEYNTHPKNVLDHCTSAEYVPELLSIDLRKDLKPLNVVQPEGPSFK VTDESLIEWQKWRFRLGFNPREGATLHDIHYDGRSIMYRLSLSEMTVPYGDPRPPFHR KHAFDFGDGGAGRAANNLSLGCDCLGVIKYFDAVVADTEGKPSVSKNVVCLHEQDAGI GWKHTNFRNNRAAVTRSRELVVQFVVTLANYEYVFAYRFDQAAGLTVETRATGIVSVV HIDKDKTSPWGNVVSPGTLAQNHQHLFALRLDPAINGHRNTVFKEETLPLPMSPSANP HGNGYRVVSEPITKSSFLDASPFTNLTIKLSNPHILNAISRKPISYKFIPSPSQLLLA DPKSTQAARARFATHHVWITKYRDGELFAAGEFTNQSYSERGGVADAVARNDDVGDAD VVVWNVFGLTHNPRVEDWPVMPIEKHELHIRPADFFEWNPALDVPGQRNEASVSVDGD GGKEDADCCKGEGGSVQESAETHWQGTRDVVPNGVVNGDRNGDGDGNGVNGH EPUS_06850 MSRTAAVLAFSIPLAFAQSATGVQSNVTFCNWAGLRSGIIRDTI YLDGGGLWWQTATDSNGLPVPVPDVDGTGRMFSLNLSYSFDTSTFNETTSLLQPLSKT GGTAGNNIAPDYEDGALFANEGEFYLYGGLTRLTDAYTPPDAQAVLGYEAYQYGPERI SWSRGFIQGTLPDNVTRYVSNGASVSAPSENLGFYFSGLRGAGWGPTTESTRDWYLAD TLIEVDMSTMRGEQWSNNTLPDDIPPRAGAELVWIPVGGRGALVAIGGMTAVEYLLSS PLNSSQSSDAEEQAPGFMTSLPVYDIVSQTWYMQNTTGDGPGQLTSFCSVVAPARDAS SFNIYIYGGYDGLNYTSVPFDDVWILSIPSFSWVKAYSGVRSHGRRGHRCERIYPDQM LIIGGVNPNPQQCLTDGPIQIFNLNTLKFQNLYNPREWAEYAVPDVVTAVIGGNGQGG ATRTASFSNNTLESLFQTPYTRTINQYYPYSPAGGSPGTSPVPTAISQSGGLASWVAP VLGVVLGLIVLSIIVVLILLYRRRKILRRKSFTSSHAGSSSNNRILNWVNGMPNQPSE HKTDTSDTTTEADNETSVSSPVGRLEVAGQQRYEVEANEREKAPAELATPFNVEADVN TSRTIDFAHESGTSSDQPSQSQPSGSASNYSQPQIHGLGFIGSPPISPQSPHYSPNYL HSHSNDSRIGNNSSNRSDAPSPYVHPQRPEDVGSRSLTASPSPTSSPARSPDLRAHSK NVSADIPPANAILNDGGIGGEGAANESGLQRRPTHQRNISSLSSDLYQLNSPDGALTP DEDSRRSQLLGGLASHPVQNQDPSQSHGRMGALDGRMEAYREELVSPQEESTSPAGVE RKRTAGKKSSFGEMLDEDEKEKK EPUS_06851 MKLPAAILVSGIVLLAGVNAQNGTYGAQMYTPASSPARCSPDGT ITVTQVNQLYDTQYETQWKTTTYTENRAARTITTYTELLGAEGQRGGYGPPTTVTRTT TVYRNCIPSPAGYSHRNRRQADKNNPPALYCSTITQPAIITTRIITSEKHLTKTDKQI LTDTETTEVTRTNTETLLVTITDVSTTTSVSTTTYTFPVTITITNTETTSLPPITSTF TYTSIQEITVTSTFTSIYTSPTTVVSVTVSPTTVTQPTTTTERTTERTTERTTERTTE RTTIPSLTTQTDTSSTTIYVTSISITTATLIQTSTFVSTQTTTFTTVSPTTLTATVTS TNTVTTPTTITTTVPTTITSTVTSTSVSVSTTTSVSVRTFSTSVFVPTTIFNTTTLFV PTTITNIVTSPVTITNVVTTTIPTTTSVLVTTTVSVPIVTTSFVTNTIPITTVSTILI PTTVISFVPTTIISSITSLVPTTITQVITSLITTVSTSIINNTISTTFTVTTPTSFTV TLPVTQSVLVTVPTTIPTTVTVLSTITTPTTVLVPTTIISTSTSLVTTTSISLITSTA TSTVTITTPSTVLVPTTVISTLTSLVTTTSISVETDTTTSTLTITTPTTVVVPTTLTS TLTSLVTTTSVSVISNTVTSTLTITSPTTVLVPTTVFSTLTSLVTTTSVSVSTTILTS TLTVTTPTTITVPTTVVSTATSLITTTSVSLVTSIITNTLTVTTPSTVLVTIPTTVAV PTTVLITTLVSVPTTISVPTTIPTTVSVPTTVLVTSVVTSVVVSTLPASTITATSIFT SVLPASTVFSTVTLPPNTVTLPPATITISGSTVTAPGLTSVLPGTTLTLPGSTTTVTG SVVTLPGSTVTVGPSTITLPGATTTLPGVTITLPASTTTLPGSTITLPGSTISLPANT VTLPGSTSIIPGSTVISTSVLPGSTTTLTDVTTITIPGSTITSSSLVVITAPPVSNPA VTIPGTTLPGTTQLGSTLTILSTVTIANITLCPTRTVNPTYTAPSALPTDYTWGCPPG WLCRPRREDCNFEVGPPDPGYYCSPDECQPVVPRPRPPVQYWGTPVISNDTPITNTSL RYNTTNEFFNCNPLDFGLNYSIFAASEVVTSTTIAGAVYPTARALFGRQALLPVPGAC YDDCNNCAVEVQTTGKTPAICEEDSAFQISLGNCQTCISTKQSASTSDFTRIEPNFRQ FLDFCNVIRVLGAANESPSGGGGTAQAQTTGPTGPAPSSATQAPSSATQAPSSATQAP SSAAASSANPGTSSNAAGSGASLGTSLGVGTASSAGPPGNTGVTPASTTVDSTGQSAA GGSASPTGDSAGASSPGSAGTGTPTSGSGFGTTTSTSVQTYDPNATNAASYGLRPRSL SATTTWSWFAILFGIFWI EPUS_06852 MTSFSPFLITAYTSLLTTSLQKAQDLSSFLCAQKLHGRSRVDAT ITKIDKVTAQQANVGTDVPASKYEDLQKQRLSLGQSVAGMAMMDAAFDNYIFDVTLLS KKIEDAKGQLEAFDTPSAKKRKRTNGTTVNLGGVGKPSRASDKGSSEKWCALMETVQQ VVAETGSLASAIEGTVRVEDDDDNLGRTSGAEEEEVDDGTSTSSDGETVELKRKASEE LTAPEDIAAEQHEYEAKLRDAWLNNPTWKLTKSIHDFTWGEEPAEKAYEEVAAFLNRI FRDLSQLQVTVDMLKAAKRKSLLYIGLFPFWDMAALSGWRSQLLIEPFLLWKILSEKQ PPTDAYYILILEEVRASAKKVLATKSGVRTTNRVETNAQVGATDDSGVTGSTNTLGSG SANMKAKDARAMRDDVLLAAEDLIQKSTGLPPELATVFRNLGEAFRKYTESLE EPUS_06853 MNATSMCLCRLVQTITRNQNLNTRGQMCEALEAHAGWLNDNVNV SDGAIFLVGLAGALSDRTDIEGPRHALDVIKNLERLPSDAQDDETTTYWGCNRQTSDS QNGIDFSNSPRLDTDIISHDDFDGKHSVLGFLELPNTVGAGETTHTGILVNTMSSQEQ QAAQMCNKSSTKASSSAKMASTTTEDSGFQKRPLGRKDIWDLVEELCQRLDKNDPLRR EAEEEIRLKRTTKRQKNARQNRKRRAGARKGIQDSETVMNNTAL EPUS_06854 MQGIKGDTTIVEASGEDVNTLREARRNLRCLEEKVAKLDKHQQK LAEGIAFAEPYLKTLVEYGEARRKKHEGGQSITEWDM EPUS_06855 MATAAMVFMDEQSRLLSSAKVLESRANSNTKGLILLRDRIENRD FINSFNHTVSGSFGLANRHKAQPLSPGKSCVANAWITQVSVQAVDFNILIISIVVLLC IRQQKLASQPSMKRTVLLCVIAWIPGLITSFTGLILNAYGPVSGNWCWIKPDLLGLRY LLTHGWRIAIFLATIGIYTYVYIHLKRVYGNFTALTTANAHSTVFNDQDLVDNKYTLS TSSHDGRELLVSGHIMGRTSCAVSRDSERQFRECKEGMISISSHTQVLGSKSQSLETP QTTRTEQISPLRQPKGQGQTPSIEKILLLNGYPILYIVLWIPGMFNRALESTIGSPDW LKALQSTTQFVGLANAFTYGYNEQLRQRWRCWLKPSQIDDEISLTQRPDLQSRDQAWS V EPUS_06856 MLLLSTAQNLTLTAEDIKHLQYDEVHGIGSHTSSEATLGLARTW LNRCRRKHSSCKPLSSKEEFLPARLIDTEPRQRSEWRLRITAKHKDEPATYMTLSHRW GTVPFLNLTTKNIRELEAGHPLSALEKTFREAVEIVRRLGERFLWIDSLCIVQDSLRD WQEQSAAMRDIYTHSVCNIAATGAADSHGGCYFERNVSDILPCTIPKNSQGSPSIDFA VVSMDLWTDDIERAPLNQRGWVLQERLLAPRTLHFAAQQIFWECNEMNACEMYPKELP STYFLEDRQPSIRCHHPLFSANAGKPDENFGRQTSSAQDPYMFWGRIVYAYSHCRLTR SEDKLAAASGLASQLQQMTKDNYYAGLWSKDLAGQLLWSVVGCAQADGSASRRPEPYR APTWSWASVDGIIKTNDLPFDGSFGKPLFDVLDIRTVPLTGDVTGQISKGAYLRIKGV LTKASIVCGPGGVTRLKIGRIDSDIVFRLDTRSGESFSDLSCVPIGHYMLPPYQDRPS IQGLILHRSEVEGHSYIRLGTFRVESRAHCQELGLEFEGDESLGSYPADSAQRSITIL EPUS_06857 MAPGCACPWGPEGCTIEPHFRPSASPAENAANALEQLKVVQEVT ENTCNTLKVIKTNIVAKLEEAKLEGLTAAMETTKVDDKANTNIESKPDVNMGTNAEAN PGTTTEIAEAPTNDANTTKAAPNNKSCYEQKLARLSKDLKTVDAQLTTSEHNLVVMGK LSEAARKFRAEPTLQHLGEMLEMREKVLHDIAELKKLATMVKSFSEEYLD EPUS_06858 MSKDGATSKLQDSSTAAHNGKSSPTPPWSQGRALRPSDILELQN ETRSHLESLKASSVDPRPNLRNDPCEAKSEPNKKDHAKYVIPQKRVTQLRSLEPAAIT SAQHPSGLLEAPSKFKSGREKVVGGLSKEDELRAIWTKFDEEEQRQRFRPAAEGGAGN VSGNEVLGAWEEDHALHDLY EPUS_06859 MLTFGSRQQKPEVKQLLGDNHSYIRGNIATRGPCPGLNALANQG YLPRNGNNITLSEVETALMSALHMDKPLASALTNSLKPLVHKDKTFNLADMRQHNIIE HDASFTRLDFRQGDNYTFQPLMLQALLADADGGPVTVKSLAKTYVRRLCVAFEYGGDR GEVVEGADGYVLHGGEVSGGGFEE EPUS_06860 MESGPPTFKPTLSQRIFIGIFTFVNSYVPWHKLPSLLGALNLES LRTELRAHNLHDTYVSGDLQGNTINQPLEDKRFIEARHSDGKFNSLDLPLMGCTGMRF GRNFPRKFCQKPTEEDLLNPNPRLVSERFMARRSKGFVPATTLNLLAAAWIQFQTHDW FQHEISDEVINVPLPPGHNWPKEDMKLRRTKPDGILDPSDIKCPGYKNINTAWWDGSQ IYGSSEAVTQSLRTTHPDGKLLFTKKGKENFLPRDSAGNPKTGFNDNWWIGMEMLHTL FAMEHNAICDMLRRAHPDWTGDQIFDKARLINSALMAKIHTVEWTPAILGHPTLQISM AANWWGIVGETLTKLVGRISKSSEAISGIPGSGVDHAGTPYALTEEFVSVYRMHSLLP DNIAFFSATTGKHDSTVPFEETTFNKAQKPLDSGLSFADTFYSFGINYPGAITNNNYP EFMRNLSTPDGQLRDLGTVDILRDRERGVPRYNQFRRLLRMSVPKTFEELTGGNVELA RELREVYGDIELVDTLVGSHSEPLPQGFGFSDTAFRIFILMASRRLKSDRFIAGQWNA ETYTKEGFHWVQNSGMKDVLRRHFPELTVHLETSKNVFAPWERLSESMKYGGIETNIP RAESPLHVQ EPUS_06861 MAYNVAKLLTMTESELGDLFASVEAGPIPNGEAEGTAIVAAGTT FTPEIAQFINLFAWQGKIFDAQKMVLVNKITVFGLAAILARIIREPSWVDGKECIVLD YSQTSFVAHWVRDEIRHISPGLYLGRVFWNKTHLIYFALQF EPUS_06862 MGETGLTQHSVERGFFADLGHATAPPVGVGRGRDDAVSVKSGEQ ISVGVEIAAVAEDLLHTGSSELDKRAQQIVCNAVAIGDEGEAQ EPUS_06863 MAANDKAANIQALADRGFSSLTGACYLLLRIKKRALAKPWLRTL DIASLTQAPTQHLPQVCQIAFTAAGLCALSTKVTLRAGFDRQRTPLASARRRRRQCPC PLAQGHRLAQATCSAAQAASCMVISGNTLTTTTTPLSRKPFGFADSVSQPNYNWDGTL TPGGARDRDYRNLLAMGELLLGYPNEYGFISDYPHADELGRNGSYLVYRQLAQDVAGF WQWLACQAGDGMVGHMLDGAPLPGLESATIMGTDDPRNAFLFGEDRNGCVCPIGAHIR RVNPRSSDDPEGRHGFLRDLISSVGFSGASTACPAAVAPTAL EPUS_06864 MEYCKSKVILDTTLAELFAQDSQVNDRRTVLVPYYWCSDLDYTD SISKTSKYLYQGTSPPNTSSEIRELVIQCLSLESQRFTFAAGKMETVLFDFEHQAGRL DAIRTLSVLPESQRPEVTFVAGIDDLMRKKSKSQLVIVAPHERFSPHRQTIDPDVLYQ LLSKRCLALSGLPTPQTAILDLDDCSGSVDRKLSVAASWIRGFGLPRVFKTQQGMSSF GTFLVRTELEREDLIQSLLGGVLRTTLESVNSANIHLKPSTLLSQQMISQCSACFSTS FFVRKNGDFTFLGACRQDFSESNIWLGASICYLEQDWLQQRLCTIICQTSRYLHERGY HGPAGADIIVEDADGLQPSRQWIVDLNVRMTGSLTLAFLRGHFSVKRGLHEACITQRF KLPLKRVEFCKAFAREIREGRLIIVAWFHDTTSGFSWANLIVGAEDGLELKRLMGMVK ARAV EPUS_06865 MQETVCEDLRPYTQQSTTCIDEGNPLIPKVLTSLSQQCPMRDKA LDEASDENISPRKLKWIMASVWIGTFCAGLDGTLIATLGSSIATEFQSLSLLAWLATA YLIATAATQPLCGKLTDIYSRRNGLLVCNIFFAAGNLTCGLAQSPSIIILGRALAGLG GGGLNTISTIIASDLIPLRKRGLWQGISNVCWGLGNGLGGVFGGFLSDIWNWKVAFLI QIPLTMASLIMVYINVAKPKLRAEKALIHRVDFLGSSLLVSTLVLLLLGLNSGGNIVP WTHPLVLVSLPLSACLFGAFVIVEEKMAHEPVIPVRLVLDRTVACACLTNFFFIMIAY ALDFYIVIFFRVRGLSSTAAGASLIPFSIMTAAGSLLAGYITNMTGRYKQLNIAILLL MLLATILIAMSTLSTPVWTTIFSLGIAGLAIGGMLTVTLLAVITAVAHEEQALVTSLS YAFRSTGSVTGVAIASAVFQNVLNRELRLKLGRRENAAELIGRIRDSLDEVNFLPVEE QQVVRGSYMLALQAVFLAMVGLAILGLVSGLLMRELKLHKRLNRQDDEIAE EPUS_06866 MGPKIANQTKARTIAQHDLLFIQTLPACVWDDRLSYAQKSSLFY QECQPSVARLVANLNDRKAKLTTKRATYVEILLHPQSATVTKDTSQDYLKEVVSKLND IEIFLVNLDVALLSCNEYSKSLREHAECWRKVESGEEQISNAEKVNREFRFNVMSGFI WSQFIHFGDLVNGVPREHLS EPUS_06867 MASLKDILHKSSSYLSTTARLFGVDPWPLEHMPDKKPESLPELL QAVKSLLAYFLRQWAEVEDHLNQATTNSAEVKSAKVQNYVSGDKSTAGFHEEQKQCER AIEDIDRQVKTMKKHSAMHMEDINFLKDLQANVVELVVLDAKVASGFATINESSEHAH LTKQTKEMYYSFVAGAVKRQDEMEDDDESGDEEFVDCVSDEHEAGEEGLKQAMEKLEC EPUS_06868 MRMKTNGTNRPNQLADEGETTMNGVNTVSSVKVKNKSWIASVIK ESTNSLQSSIDEAAETHRMLIHMKGISALTKNTIEKKQPSPDATEIVDFCLICEKQVS RITHLLSLRQQKVQQEEKRFYKVLEYAELWKKNRRGHATLYEQRLATTISAEFNAGGR NAMDEAREAEIEVAIEGLKALADDFRRAGMALDFS EPUS_06869 MASHLTNGQTNGATRPLEGLCSLANLPKSNNFTSKLPPDPAFPT PKDSHDAPRVNLGPRTVRDALYTFVRPVPVDKSELLGVSPRAMQDIGLKQGEEETQDF RDLVAGNKIFWTQENGGIYPWAQCYGGWQFGSWAGQLGDGRAISLFECTNPQTKVKYE IQLKGAGKTPYSRFADGKAVLRSSIREFVVSEALNALRIPTTRALALTLTNDMVARET MEPGAIVARFAQSWLRIGTFDILRARGDRQLVRQVANYIAEDVFSGWESLPSALPKDK TEAHIDPPRGVSKDSIEGSKGAEENRFTRLYREIVRRNAETVAAWQAYGFMNGVLNTD NTSIFGLSLDFGPFAFMDNFDPAYTPNHDDHMLRYSYRNQPSVIWWNLVRLGESFGEL IGAGDQVDTEVFINDGVSDDFAPILIERAETIITRTGEEFKALFMTEYKRLMTSRLGL KTQKDSDFKDLFSNLLDTLEKLELDFNHFFRRLSSVKVSEIVTEDQRKQKARIFFHKE GVSGLGETNDSAAQRVSTWLASWRQRVVEDWGEQPDADNEREAAMKLVNPKFVPRGWV LDEVINRVQNQDDREILGPIMKMALEPFAEEWGWNREEEERFCGDVPREGRGMMCSCS S EPUS_06870 MRRRSSVDATANAALAKMGYASELPRNLSMISILGLSFAIMAVP FGLSTTMYITLTDGQSVTILYGWIFVSLVSLAIAASLAEICAVYPTAGGVYYWSAMLS TRDWAPLMSFIDGWLTLVGNWTVTLSINFSGGQLILSAISLWNEDFAPNAWQTILMFW AVMLVCASVNIFGSAYLDWINKLCIYWTGASVLIILITLLAMADTRRSGAFVFGHYDA SASGWPDGWAFFVGLLQAAYTLTGYGMVASMCEEVQNPDREVPKAIVLSVAAAGLTGV IYLIPILFVLPPVELLLGVANGQPIGLLFKTVTGSAAGGFGLLFLILGILFFAGIGAL TAASRCTYAFARDGAIPGYKLWSKVDKRLNVPLWGIILSATVDCLLGLIYFGSTAAFN SFTGVATICLSTSYGLPILINVIRGRKAVEHSTFSLGKFGYAINIATLCWICFAVVLF CMPVSIPVTPSSMNYASVVFAGFASISVIWYFARARREFKGPPIMPDAPDEDAGVVSG KMGPLDNRDKGSGERILVGDRK EPUS_06871 MTMGAAADKLERKRLREVSRYYCATQFKVDNTFNGNAAAGSSCD GGLDPLPASQNILRPAQLSPDPALTAFAQLGTLKLNCERAFIGIIDHKTHHVIAEATR TISLQDKDKHAEGDALYCGLQALPIHWGICPSTIHVFSDPQSEYAIETPNITANTTRY VIRDLALEEAYRDRPYVVGQPWMRFYAEVPVKSPAGFVIGSFAIVDDKPRHVFTDADV ETLQEISRVVTQHLETVRLQHDSKHAGRLMQGLSSFVKQESSAKGLASTSSTTSDRYE TLPPPAKLRRCAKIPNPYRSEIGPDTVFSRASSLIRQSMDLEGVVFFDARRSVSSSAS KQLVSENLGFSTKSDSMTREALSMPQELLQQISARYSTGQIFNFDELGLVVVDQDVDQ DEESSEATSCKGIPGTDAALLSATFPGAHSIIFFPLPGAGDRWYAGCFGWTFDAKRAL QTEEITYFAAFSNSIMSEIFRLEAVAMDRAKSDFISSISHELRSPLHGILASAELLQA CSSGSEQDSLIHMVDTCGRTLLDTMNHLFDYAKITNTSRSGSSVSNKDTSAPMSGRST LDLSELVEEVVEAVYTGHKFARTSSMTSPNTNGVHNAQPPVSVILDIAAASDWVFKSE AGAWRRIVMNLFGNALKYTEAGTIRVSLRANGFQNQPEGSQVTMVELCVADTGRGISQ DYLKNRLYTPFAQEDSLSVGTGLGLSIVRQIVTALGGSMDIQSEHGKGTTVTVLIPLE ASSAGTDDPQVGHRKIAKELKSKNLTYAFVNSVSSLDTLNEPVMRCAVQTLSDWFGLQ KTNLSSLSMPDLVVGDAQVVEKLCVQLERDSVDYEQPCNADTNMTKCLFQDSVPLLVL GTSSTPQLLPMRDRQRRLSQPFGPHKLANAIYETLSPSDSALTSWETNGETPKRGSLK RSYSSISTSLSPYRVMEGPETSNSMQYSPQFQDSADFNGDRSLLSLLLVDDNAINLKI IATYVRKLPCRFTTASNGLEAVELYQKAYQEGTPFDVVLMDVSMPVMDGFEATRQIRA FECRTAIKTPARIIVLTGLTSGKSHEEALASGVNLFLTKPVQLKKLKGDLGLS EPUS_06872 MPSSPEISGRRIKRVSKSKVRTGCVTCKIRRIKCDEGKPECVRC TSTGRKCDGYLPPKTWLFELNSESESSSSSSMPSSSSTGAPDSSEERQALQFYEDRTA PTLANYYSPQFWKVTVPSTGLLHPPIKHIVVATAELHASIEEVTDQTGLSRLRFMQHY SKAVSLLTKGSTTLPTEVVLICCLLFSTCENFQGDPMAGLLHIEGGAKILREWRAAVP QGLSRTEPQVHRSDLIEQEVAPIIDSVEALISTSRSVIPGQPQASQLKTPGEGLLAQG SSEKPLISQKYDTFCVARDQLNDAIQWMRRTWNWEICGTTSTVIDPAVTIEDARALLS GWLVAFNGYTPQPGGMHEREFLRTECLLLRAHHHAAVIMIETLPSNSEMVFDEHVDKF RTLLEECSAAAIPPISPAITFHFGFSLGLIPPLFLLATRCREPSMRKQAVAVLRSLHR SEGCWDSCSAALLAEHVINIEERGLAVIETASDITAFSRVRLMGAEVDYANQQLVLRV ARYPFSGMSPFIRHEEPIEWRTIARGQNRDTLEWISQPHAFDPRPDEDTTYFPTSTAA SSLSAADSPTIQPLDRILGAAGFQGLIRPERGICWHTATMNRGGGDTATVTGSVETGA EPUS_06873 MADGQAQPSGGSFAQPQVPHHPVAATTIGPLHNKPKASTYVDQD EGGQVDELCEMQEELPPYDSVSAERFVMDLNEIHECRCSDMIRWLSNQRSCPSIKECP TLGTYDDFILFPSSSSCSSEQDSSIASCFTDDLSCSTECTDTLSANPSMDPSLPSSQI LRKLPLQGCCQDLGQGRNMSFANIVNWLCDGGKDFGFTNLQDSFLHGAMNSTNTNKQK DPSVEEPAA EPUS_06874 MATLPSCVLRRATVSREIHTESVDTSPGQLYLQRQDQYQPEEQQ GHQEHRKEQEEQEEQEEQNRQEQQQWEATQTLCGPLADLHGDLIASPSPQASNMPSTT VTAPALILPNFGLPTAEAEILEPQTDNTSARHLPRDQPLIDDYEADTNHQGGADDIKE DWHLSGQG EPUS_06875 MAPTAEAYVVEIPIKLASKALNILKPVLSTDGRLSNSYPFLRRF VTTPRLPPTLGDGVLESPDDHATIHLLIPPPLPLNSDDITTVLRPNIHSESLPIIRTT LVPLDPPTTVEQAALWSERYWPCTFNPASQTIQKAPPLRILRTTQAELDKPANLKSYF SLAERAAAECADGALGRRVAAVIVDPEKLEVLAVASDARWYGQRHDPSTAENHHHNLA EGRPEHHALMRAIAMVAEKEAHRGNDNENSRNTAAEEHTVNLGGRAVTLIERLYAQAP HFRNHPHQSLQPVAPNPQSAPRPDVYLCNGLDVYLTHEPCVACSMAMIHSRFRVCVFR RRMPKTGGLWAEKDDLGSDGKGLGYGLFWRRELNWRVLTFQYLPPLQGGGEDGCGEAA GVGENGAYDGGAAVFHA EPUS_06876 MLLKCITLTALAATPTLAQTVHSVLVFTRHGDRTAKYYPGYHMT NLGANQLYSSGGFYRQRYVDEGAPSRVAGISADEVIPSQIWASAPDQAILYQTATNFL QGLYPPLGELNSTLATEELANGTSSQAPLNGYQFILVHGEGDTDPDTIWLKGDEACPA YDTASKSYRQSEEYQRTLSQSADFYSRFTPLLANIMGAENVSYSHGFDVFDLLNVAAI HNASIASGIEPEDLDQLRYLANEREWNHNYNATQPDRSIGGMALAGGFLRQLRSVVDS QAKTKFSLMAGSYDTFLAFFGLTNLTAASSDFQGLPNYAASMALELYTDDSNAQFPAT PEQDLMVRFLFRNGTDEGDGLDAYPLFGGSEESMPYGRFVEALGSRSIHTLADWCTTC QSEQAFCATATRSDAAAGSASNEPGSSGLSDGAAGAIGAVVALAVVAILGGAAFLILR RKTHRSDAALTRRPALEKRLSDSERSDSV EPUS_06877 MSSIIVGSKPVHPATVHFPIAFLTTSWTLDLLYSAVTNVLPRSN SLAKSLSPHLPTLTVISHYSLILGILSGFVSIVTGGAQLSKMISNGGIYEADGKTMRQ KVKTAFVHAGMNDVAILTAAFSWWVRRGNVDVLTGAVVPTTTNVLISALSLPSLLYSA DLGGKLVYNYGVGLSMGKKGKSN EPUS_06878 MPSSDDQSLASLLTAEQRRDLATLIATTTVQMRTSITRGFESQN LTAGQKTTQSLESSGQDVPKEQPAVSENASSSDHSNLSSTEFYKLQSAALAFFDTWRD KVIHRVKEVLESESQSSKSKDPAHAQEVANAAAEEGPPSIPSEKAATDALLAVYPPIS TPLSHLSYGERLTILNAILLLLLSLESYTAHSRTLLLRLSSSLHIPAARLTQMEKDIA VGLLTAASQMDASSSTSAAQQANATARKWKVGLASVAGAALIGITGGLAAPLVAAGVG GLMGGLGLGATAAAGYLGALAGSGVLVGSLFGAYGGRMTGKMMDEYAREVEDFAFLPL NDEQHNHAHLVEQARHKLEALNKEAEKQKEKEKRRLRVTIGISGWLTTKSEVLTPWHV LGDGGEPFALRWELEALLALGHAIQGAVESYAWSYIKVELLKRTVLAGLMAATWPLHF LKFSKVLDNPFSIAKSRADKAGAILADALIHKAQGERPVSLVGYSLGARVIYSCLKTL AERKAFGLIDSVVVMGAPMPSDVGDWKMIRSVVMGRLVNVYSEKDYILGFLYRTSSIQ FGVAGLQAVEGAQGVENVDVSESVTGHLRYRYMVGQLLREVGWADLDDTAIAAEEHAL RKVKEEEQKIEDEAKKKGEGQDGGKRDEQQAEEDVQRLEERLAAKMKLGDEAESDGKG ETAQQGPA EPUS_06879 MYTQYPPVSTDSAAQTQRTPTIVRPCIASTHLPTYNIIIASPRP RLPENEETPKTIRRSLSISSKSSASSSSSFRSLSSSSPPPPSSLAGISKHAQITQENL LKVAMTAARDDHLDIPPSYSRTVSSASTNSVNSSCLPSIHEEEEEENV EPUS_06880 MSSTTDLRMRISDLDSVPAMDAYTISSNAAQAASPLDEAVIIPP PRSSERINRRTVGSESLCPSIQSGPNPLKGDLRGPTSVKSCHATLETPTTLINDGTRF TMCHARARSDGIPSVHQTQEAPPISKPNDRPAPPHIFTNYGHGENIEDHSAHGLYGSS SSTINSTQHMIRKPLAPAPLTPSPLSIVKQPAPKCRSATREISLVATVCLAHFLTQAG LSQTIAPLPIITSSFTTTTHKPSPAWYSAAYSLTVGTFILPSGRLGDIYGPKRLFLIG WLWFALSSLGAGLYPRIRAVGGEQGSGDGGEIFFCFCRALQGIGPALLMPNGLAILGR TYDDGNKKNMAFAMFGASAPVGFVIGSVMSSLLAQKTNWCWAFWGLAIACTVMAVISI PIVPYLPGTIASAAPKDDSESLENGSTMKTPLWKQLDTPGTLLGITSLILINFAINQA ALVQWSTPYTYFLLLLGLVILSIFLFIEFTPSLTPHPLIPRDLLSLDTCFVLACIACG WATFSIWIFYIWEFLERVRNLTPLLAAAQFSPAAISGLLAALSTGFLLSKTTPQVIMV LSMFAFLIGTTLIATTPVKQIYWAQVFVSVVVMPWGMDMSFPSATILLSSKVGKENQG VAMSLVNTVVNYSISVGLGLAGTVQMKVDHGDATRLLDGFRAAWYFGIGLSGCGVLIA GAFLGVGWWKGRRGGVLSGEKEGSNGV EPUS_06881 MKSLVSILSLGLLLIRSHVSAAPQGSPSSSTSDLAAPVSTDGIN ESFNETAFAAFEVEDPSLDAPQPTLRRMKRKYQRYIVRTLRKRPRSHSCTLRNLAVRK EWGSMSRRQRIAYTDAVKCLYSKKPPLSSLSNVPGARNRLDDFVASHIQQGQNIHFDG YLFAWHRHFVHLYEKALREECGYRGPTPYWDWTLSADDPRKSPVFDGSATSMSGNGES IPHGGTYVTAFGLNVTLPPGTGGGCVKDGPFAGLQVNLGVNPTVLPAEPVDLTSNLTS VGVYASADRSDVLGDNASNSSTSSTSSNARLAPVASNDLPPAVREALNYNPRCLTRDI NLFWANQVRTKDVEFLLGCGNVDCLEKRSDGWELDRTLPQPLLHAAGHFAIGGLQNDP FASPSDPVFYLHHAAFDRVYSIWQGQDGDTRTYQVGGTRTPFNIPPSDKVTLDDNIDF GVLAQGVKMRDVMSTIDGPYCYMYD EPUS_06882 MAHHDSTGKPFTVAIVGGGIGGLALAIGLRHQGISVQIYEAAPA FAEIGAGIAFGPNAISAMYLIDPAIRDAFRRLATKNGFDEEDETWINFRSGFGEPTLI AKVQTGDQEKTGLSSVHRARFLDELASLIPKDIAHFGKRLLSFQEEASGKLTLVFEDG ETAFADVLVGADGVRSRVRQLLLGKESVLDGLSFTGKYAYRGLIAMDKAREAVGDELA MNSQMYLGKDGHVLTYPIDHGKTMNVVAFKNKEDGPWEHDHWVLKSKGEDMRRDFANW GTPVRSVLDLMVDADMWALFDMLPAPTYYKGNVVLVGDAAHASTPHQGAGAGQALEDA FILSMLLGDKKTRCAGDIPAAFRAYDAIRRPRSQKVVSTSRTAGATYALQGEARDNLD EVGEELLQRYKWIWDEDMQVQAEHARALLGQ EPUS_06883 MAPFLDVDYVTECGTTPASKHTRMDGSTPPDSVQGELDDIAVVG MACRVAGDNDTPEKLWQSLLNKQVASSEMPAMRWEPYYRRDSRNAKVLNNTTQRGYFV KDVENFDSTFFGISPKEAVLMDPQQRITVEVTWEALEDAGIPPSSLAGSNTAVFMGVN SDDYGKLLLEDIPGVEAWMGIGTAYCGIPNRISYLLDLRGPSTALDAACASSLVAVHH GRQSLLTGETDVAIVGGVNVLAGPGLTRVLDIAGATSKDGVCRSFDNDANGYGRGEGA SVIILKRMSDAIHDNDRILALVKGSAVGQDGRTNGIMAPNGDAQESVARTALGGIDPS TIQYVEAHATSTSVGDPVEIKAMSKVYGANRKATSPCYIGSIKPNIGHLEAGAGAMGF MKAVMAVRNGVIPPQANLKSLNQKIDWTTCGIKVPFESTQWPDTRTTRRAAICSYGYG GTVSHAVIEAAPMGDNSPAEMDNGDHAPTVLLLSAPQEKRLPADAVTLGTWMAGDGKG TSLSSIASTMATRRAQHDCRAAFIIDSHAKAAELSEKLATGGNSADIITGRILGKNEN TGAVWLFSGHGAQWLAMGKELLANEPLLLETVEFLEPIIQEEAGFSALEALTHEDFKS SDKVQILTFVMQVGLATILASKGARPSAVVGHSLGEIAAAVVAGALTIAEGALVVCRR AVLYRQVMGQGAMVLVNMPFAQMTETLGDRSDITASIESSPSSCVVSGTIGAIKEFST KWEDAGHKVLKVNSDVAFHSPLLNVLTKPLYASLCGVLNPKVPTVPLYTTTLEDAREQ APRDAHYWVQNMLKPVLLNAAMKVAAEDGYRIFLEVSTHPVISHSVTETLMDMGIEDH AVIPTLLRNKPAQRAILKSLATMWCRGVAVDWNMLFPGVNWADNVPKTKWRHQAFWKN IGTGPANSAITHDVDTHTLLGQQIPVAGESTTLFTTKLDDNTKPFPGSHPLHGTEIIP AAVLFNTFFHATGAKSLNNVNLRVPVAISAPRDIQVVAQGGEVRLMSRLIEASEDTQV KRNASWLTHTTANAVTQSYSDSPNCGVDIDLASIQARLNVELKPSFSIDYLAGVGVPA MGFPWAVTKHVGNNKEMLARVDVSPATAANASLPWSATSWAPVFDAATSIGSTLFYET PRLRMPAQVASTVVYEGAAPPKIAYIYVQDASTSKTSLAVDVTITNEAGKALAKFISM RFSEIEGTPGAKDNADGLVHQLAWPPARLSETPSLLKHVVLVSSENNPLMRDYTTQLA QRHVKTSCIGSAEGLSSALLQGGSEGTIIMYLPEQVESMDAVSAMANTYCKNLLDVVK YVAASGADLRVFAVTLGALTGSATPTALAHSPLVGLGRIIAAEQPALWGALIDVDSTA FPVQAVKYISGADVIKMEDTIAKVNRLRPMPQDRLYPLDKQNQLHPRAEGTYIISGGL GSLGREVADFLVERGARRIVLLSRRALPPRIEWASQTGELLESVQKIQLLEQAGATVF SVAIDLGAPDAAASLLAKLDMLSLPPVLGVVHAAGVLEDQLVLSATEDSFNRVLAPKV TGALALHKAFPPTTVDFFMLFSSCGQLFGFPGQASYASGNAFLDSLADHRRGLGDNAV ALQWTSWRGMGMASSTDFIEAELESKGITSITRDEAFRAWDHVAKYDMSHGVVLRSRV LEPNEPLPMDILEEIAIRRSSAPSEGGANNNAEAGTSVSSSSSKETIPPAGPERNAYL TTKISECVASVLDLPDVGDVDPKVALPELGMDSVMTVALRRQLQTSLGVKVPPTLVWG HPTVSHLVKWFADKVGA EPUS_06884 MWLINTTTLKLEAFTSCPLGEYAILSHTWEDEELDLNTFASGHG REMKGYNKIQNCCMQAKTHGLDYAWVDTVCIDKRSSTELSEAINSMFSWYAAAAVCYV YLADMCSTGSVSLQAVADPLKNCRWFTRGWTLQELLAPKVVKFYARDWSLIGTKEELA SVIHTITGIDENALASVKDMRKCSIAQRISWASGRKTTRIEDLAYCLLGVFDVNMPLL YGEGMRAFMRLQRTIIENSDDESIFAWSGVGDDGSGMLAKSPDCFAESGDIMTSIEGL GRLPYSMTNRGLAIECELTRYRMNTYITPLRCFRYQPDSKPGILGIYLCRTNVDDQYQ RVLVDGTDLFAIATWDPCNATKRQLHIPDEAHVALAPRIGLPILSFQPQTSPVHPVIQ HEHAGRSERPASVGTAGFPRQHDCHQGPLLPWRNEWWLQTKQHDLESGMISVMFPSTP MAVENCHTEPVHREVILQNFEEGFQCFDSRLLITDNMRVGFNVDFEPVCVLYTPWLPR YWFTGPQYQLFSFGNNVSYNKLDGKLALSNPSSPDLWVLRGHRSKGLEAVINTRPPHS YIKRQLVISMRPVPIDEDNVNGLVWYLKTEVKEIDHEFVSRLSESESERERERERERE SERESESESERERERERERESERESESERERERERERESERESESERERERERERESE RESESERERERERERESERESESERERERERERESERESESERERERERERESERESE SERERERERERESERESESERERERERERESERESESERERERERERESERESESERE RERERERESERESESERERESESESERESERMQANKSRGVVKEPHSCGTMADLTPILA MTRKEK EPUS_06885 MADTYRPEYRRDYDEPGREKDRGRRQGDPSWADAGSRDRRLTSP QALQRSRSPYRAGSKQDLPSRVTHPASPSSLDSRRTASAEPRSPFPSTISTHMDAEPV FDPRTTTPWPPTAKSDTRDPRLLNRLSTSHVATKSNIPGPSIIESPSTLSSLMDGTND RSKHTAKASGAQTTRKGLPFQPENLSSTPGTAAEHWVDPATHLVTLLSSLLENASTSA ALKHEHNKIKARASHQANLERKVGDLSKTFPAFAETSSKAKKDTEKELSLLDQKLAEH QKTQCDILSAVPEILQTSRTPTRAEKEREQVDLVKRCLSVHEEFKSNVDDLRQRFENH KSVSSNMDEAHQSMDSRINASGVEAKNISLRIDSIQVQCCQLDTKQNELRDDVKSFSN ETRSSLAAMKIDLKQCSEQNQQLNVATDTTRDAVDNLTKRLGLLESQSHRSSELDASH LSRTKELETRAEDHDVHFQEIRAAASHKENLEDEVNLMRSQIQELRQELLDAKNQSSS HGVEELLAMKTDLVALKAESLELKNNMTELQSPGQAGQKFFPTNAVKISPNGNDDISS ETEARLKDLENQLKNCLPVIIDIQQRLLAKQKEEDARDELVAAQVDDVRASTVKAQEE IRQRIGDLERDVQKQRAEDLEKTQKLNEPFSQLHKPGNQMSTPGISPPSAPPTPQLHR LPQPQAQSTSPQPLFQAFPVEMNRRLDSMESLLSVTGQQLHAVHMAYQQLDHRYTNLT TEPIVRAMVHQMQVMYPFAAVAQQEITNLKQMVEPLNNALVQLDTLRQLVDKHSARLA SIEPRIEALEKEKTKNDARHDKLIEHVKEERAKLVDEVKIQKETVDGLGHRLNRLEEY RNAEPDKLEYLTETLAKKWEEQTTKKVEGLTKRLDVLESDSNRQSLLDTFTKKLPASK TIDHIKELQAVHDDDSDDSSTPLVRKSSVVKLKVPPSSAPPAEKGKPSLKAKTTANRS GRKRKRFGVPENNDCSDDDTYTPAAHHSSPISRKFGRTRSD EPUS_06886 MATINMHETYWHAAFWAMLLSPVNPDGAAIHRLESCHFQRYPAE VQSLAGGVFNEATQFGNAVGLAVTAAIVASVTEHSGSDHTDALMQGYRAAFWTVSAAT ASVIVVTFFGLRKGGFFGKKDE EPUS_06887 MTAQITTISESKEVRGLNLIAAHSHIRGLGVDQATLEPRPSGQG LVGQEKARKAAAVILQMVKDGKIAGRAVLVAGPPSTGKTAIAMGMAQSLGPDVPFTML ASSEIFSLEMSKTEALTQAFRKSIGVRIKEESEIIEGEVVEIQIDRSVTGGTKQGKLT IKTTDMETIYDMGTKMIDSMTKERVMAGDIISIDKASGKISKLGRSFAKSRDYDAMGG DTKFVQCPDGELQVRREVVHTVSLHEIDVINSRTQGFLALFSGDTGEIRSEVRDQINT KVGEWKEEGKADIIPGVLFIDEVHMLDIECFSYINRALEADLAPIVIMASNRGNTRIR GTTYRSPHGLPLDFLDRVVIVSTQPYSPEEISQIIAIRAQEEEVDLTPDALALLTKIG QEAGLRYASNIITTSTLLSQKRKSKDVGIEDVQRSYKLFYDPSRSIKFVSEFEKNFIG EEGKVNLAYTNGDAMEIS EPUS_06888 MAHLARPKRAAEDFARTHHEDADLGQASKKPRFDLRNPSALAPD APEDDVTLDADVIGSRGQQVRRNAVNIDGYDSDSENEGFDGQAAAKARAKEDKTQDEE TGDMFADLEDEFRDGDDSEGDLTQKGPGKRKAVRFLEADEIEGQVFNSKSGGHVSANF SADGSTNGIANGLDRGRTEAQEVDSSSESDVDDETRAETGEGVDEELGAGAKKTHAPK LDAFNMRSEQEEGRFDEQGNFVRKAGDPDAVHDSWLEGLSKKDMRKAKEAADKREEER RRADTQNDAVLTSDALKTLIPLLERGETVLEALARLGKGKERRPKWQTKNRNRRKQDI EGKDAEMEDEDQAEIKRKAAVEAITESADLILGRDQPEIYDAERELLMRLYRRETGEE WVDPPRHETEENGVDLGTKMWEYRWSDARDGGEVHGPYEGSMMVQWNDAGYFGDGVEF KRANDPGEWSRVVDFL EPUS_06889 MDYYPGEMAGFSSYLRRPGAGISNRRFDEYYRCYPVVMMPGPDR EHTNFGGKVFLPPSALDKLTRLHITYPMLFELINGKEDKVTHAGVLEFIAEEGKIYLP QWLMNTLLLEPGDLLQIKSTDLPPGRFVKLQPQSPSFLDISDPRAVLENAFRNFACLT KGDIFTFSYNDQTYDIAVLEIKPDNDQHAIVTMETDLEVDFATPVGYEPPIRTSGTST PRSGAGRPPGGPVHYQGTMAQSINYAAIAPNSQTAAAGAKAVSSNFLLGGQKLKGSKA PTPKASTPVAGASTNPEKPLPTRRNNGPQPLRLPPGKLFFGYEIKPVRKKAENGEPIP EDEKKTRFQGQGQKLRARRDDRSGSGTGSAVGSDAEGKPKGAKTKDQDLARTLGRKGR EPUS_06890 MPPPVDPAILRALSLDPSTTKIASHGGSGFSSTFRLATTTKPLK SFFVKTSPSPDAALMFEGEHASLNAIHSAVPSLGPQSFAWGKLDSQPNSYFLATEFID LSSRRRSTSKTGGGSGMTLAQKLAKLHTTPAPIPSNYNTPQCGFPVPTCCGDTPQPNQ PYTTSWPDFFAKNRLLFILERGEGRNGKDPELRSLVEKTASKVVPRLLGEGHLGGPEG IAPVVVHGDLWSGNHSRGTFPGRQAQASPDTNGADGEVEAGIEDIIYDPSSTYAHSEY ELGIMKMFGGFGSGFYREYHDLVPKTEPVGEYDDRVALYECYHHLNHWAIFGGGGYRN GAVTILKRLVKKYAGDG EPUS_06891 MAELKHPLPSERIESLRDHDNAVESSRSIDPESGVKRGLATRHL SMMALAGIIGPGLLVGSGGALSSGGPASLIIGFGIVGILAFSIMQSLGELTTLYPSGG AFMHLADRFVDKAFGVAVGWNYFIIWFAVLANEYNVICSIFVFWSDKVPLWGYFLIFW SAFLGFQLLGVTAFGEAEFWLALLKLIGLVVFFLFAIIYASGGLIGQDEVLGFRYWHN PGAFPNGFRGVATVFVFCSTFYAGVESVAVAATETKNPSKAVPLAIRQVVWRILFIYM GSAFFFGLVCPSNADGLVNGASRALKSPMTIAIQNAGWEGGVHLINAFIFATCLSAVN ASIYIGSRTILFMAQDGKAPRFLGRTNERGVPVYAIVFTNLLGALSMMNVSTGAGKAY GYIVNLSGVSTFLVWGAISFTHIRFRQAWTYQGRTPAELPFQSFAYPWNAYFGLAANL FLVVVQGWQTLSPFNAGNFVDAYILLPMFPMIYFGFKIWKKTRYWRLDEIDLDSGRRK DLDKAVREVTEGFSSENDEGNANGGAKKSLWKRLWRNF EPUS_06892 MQIDGTYLNLGDCFVQGIMDGEIMGMEHFRVEELIDSVRILAKI QSDCNGLNTLTTSRYSTNAMELTLDALDSPETVAQAITLVDARFRAISSLQEIIVEVY EDGPSDDIRTEMKSHGWTISATKKGKELGSDSSFDDIGVDYDRYDDYDIDNDSDFWRR AAD EPUS_09454 MVFLYHFEDRSNRVLQGGKSHYFRHLAPDPADPLPGQEYPQPLP VETEEEETWEVKDIVDVKRVGKAWKYEDLQEKMSAKLLREIGDRIFYNAGTIAY EPUS_02576 MRFAILLVVTTSFLNYAFASPAAAKHIEERGTEVSIVHISNALT IFVALASKSCHRDNLFRSFIDTKYSSAASVFCSTFIQPKITSTTTSTSTLNVLAAVTV STAVTTISTTTATLSTATITAGTTTITGGTTTVTAYTVTYTHFTSVTVATVTQTQTNT LTGTVTLTTGTSTASTLLPRAVTTPIMPPALIEERAVTTSPGWHTYPSSRLSSACSCI LNALPSPTTILSTATVSVTVTSTSSITETNSVIATKFAVETTTVTAIQTITQTDLATS TVAVTSTCSVTATETTTISVTTTTTRLPCPTLVGNLMQNGDWETGTNEGWEIFRYGAT DPSVSPEAAETCQFGFKARAGGGGTYFHMFHKVAPIEGKSYTFQGSYKFLTDDDCILK VSAPGVPETDIYNTPAFAGKWQQFTFTRIAPVPGSDIRTPGDVWLLIDCRINSGGPID IMHLDNWSMVPI EPUS_02577 MRLINTSTGALEEFIGMNIPPDAILSHTWEEEEEEEEVSLRDMS DQSCKSKKGYRKIEMTCQFAAQEGINYAWVDTRCIDKSSSAELTEAINSIPSCIRISG YGAPKLPTPTSSIETGTTEARNKIWSERSKITGIKPAILSHAQPLSSVAVVQKMSWAA NRTTTRMEDTAYCLLGIFDINMPLLYGEEEKAFRRLQEEIIRSTPDLSIFAWTWLPNT KNTGKPNSLLFSGVLAKSPLVFFQGISLEKRPGHDWRELSVSNGSIKTQVQIVSERIP GKRGTRYLLPLDCSREPNQLLAVRLRKCGPDQFIREDPWAVVEYSEDLWVNAPKEQYL LTELPKVSRPPESPFLDMSLFIGQTRSHFVQVQLRDELEIDDTWPWGRRFDSQDRLFF VSGDPRWDSGAMRVGANFSLPNGRRKTEVEFECMLYLVGWSSLDISHLQCTVMDYRAH ATALKALQSRIAAWDHNRMQVLYQLAYHDIPKTSLAVFKIPGIKASAVVSFTPTVVND PRICQNRFWGVEFFCEVYEEKYLPQVQHGEWQF EPUS_02578 MPNESDAYHKETERTPAAAIAAALHDGKKHLLLAASGSVASIKL PLIISALANNPNLSIRIILTKSAARFLAGQSPEQPTVASLSLLPKVDSVH EPUS_02579 MTSPIKEYLTKSLLLLALHLIGVECNIDGCGVRYATFAGCREHC KVEHEGTEVAYNARAKKAANTTTSSTSRRHIAAKRAKAIRGLCTVYLNCANEAVVDAG GMVPACEEHHERYLKMKATGGRYALSKAKRTGWGVDVGNPDEMTVCPSAVPVSSAVVQ LARFIESANRLGRVYCSDTEFATVPIKNRKKRKLIPVELAVYDLNGELVIYTPIKYDQ SVEELLADAPRTGPPTRFSWGVMQIIYGEGPQTRGITIDDIRDILLDAVQSTLDHSSQ NYKETLHIQEQLRKECGVDEDYDVQSENETDLLDIRVPEKRAVKEMTDEDRELIALFW KSRQILEPVNEATGMPRAKMAYEMADGNDQDTLEYLQIFASVKDEAESESDDEGPEGG EAGDDSGGDTGDE EPUS_02580 MSCPDCFKGAVHDHAGEAQGSMERMYGYPCYIASAPATSHSKST IIFLCDAFGLNLINNKLLCDRFAAETGFRVIAPDIIPGGPAPVSLMADMESIMAQTVP WWDVWGQLKRIVTFLRVVSVFGPFLIRSGPATGYPLCLKFAPAKLGVAGFCWGGYSST NLCKDPAVEGGTERLMDAQFCGHPSGLSAPKMVVDAIKTFHTPYSMAIGDSDIAYSEK KVLETQAALRQTVGMPEESDYEIRIYGGRKHGFTVRALPGDKEAMMAMEEAREQAVDW FKRYL EPUS_02581 MRSLAEAQTNWVCNLANASSLLWHMYHSLGSPSSSVNWAGFYVV DRMDRRQLILGPFHGKVACQTITIGKGACGKVAEEGKTLLLTDVKEFPEHIACDGDSK SEIVTPIKVGTEVVAVIDIDCADLQGFDTTDQDGLERLAEILRDCCDWEDLLPPVSPI APY EPUS_02582 MPPFSRGGSHLLRRLQTRASSSKPPTNKPPSPTSKLPSKLLPKR PLNSRPTTLESRRTARAKPSAEKPVAEKKVSSFDPLYGFTVLRISLSQSLASFLRQVH KQHARLPQPIRYTINFIYLLGVAFALIVPPVIVFRNHFYDIIRVTGPSMSPYLNTDFE DGATSVHDITRSTDRILLKLYRPRYDLRRGMVVAFRTPHDPERWAIKRIVALQGDRVY PLAHYPGLEALCGKGLIVPFGHMWVEGDVSDSNKKDSSMDSNIYGPVSTGLVLGKALN VNTSLFGRWIPIDSDHFKLPGRVQIDAVTLQDPDEEHQSQQFEAIFQNGKAIEILEAL KRGLREEEGVQRCKENPELLDLFHIIRTQAKRQTYKRDSQTAEVATSLLEVVDDLLAD EPUS_02583 MGDQKLGEVRPVALQSKAHEDLLNIIDSLRSQGISRYIDLPQLI VCGDQSSGKSSVLEAVSGIRFPTKDNLCTRFATELILRRGPSTSASVTIVPNADRSES EKAKLQRFQHEISDLDQFESLVDSAKSVMGLDGDARAFSNDILRVEISGPNQPHLTLV DLPGLFSAGNKTQSDADAQVVKSLVLSYMKKSRSIILAVVSAKNDFANQIVTKYARDI DPQGLRTLGIITKPDTLPPGSDSEKSFVELAENKDVHFRLGWHVLRNRDYETRDYTTE ERDQMERDFFSKGIWTSLPSNHILTELPSLIEDVTRGIKDCKDIITRLGESRATLSDQ RLHLVRLSQEFSRLAKSATDGFYVDEFFGSAMQDVGKSKRLRAVIQNTCSDFSEAIRR KGHAKQIVDDSMEVGTQTMGPFKTHRSDFIDEVMTLMRRSRGRELPGTYNPLLIGDLF YEQSKPWTELVEQYTGTILNATRTALNAILDHTSDETTGQGLLRYIINPKMDALQHDL EAKVDSILEPHKRGHPITYNHYFTDNIQKAKAQHRKDSLIEQLGSFFGVDFERGKIKV KEHSFDVKSLLDHLAQGPETDMDRYACSEAIDCMQAYYKVAMKTIVDDFSVLAIEKCL VKRLPDLLSPETIVGLDDATISSIAAETEESRLERSRATEKLKVLESTLVVLRSLDRH QPTRTRRQAVNTILTSKLPEDNEESDSSADEDSWLPYSSSEEDDCLGGRKQKLPPKRG DGSSGSFGTPKFGKPIASEKSAEATPVPGIFFGMAKPEKTIASERPAVSRTEKSAATE KPTDAAPAFTISTYTRPPNSDTTWGAPVFGNPPSSANPTFSFGNSQPSSSSGKVTQNE KKTTSLFGQKLASSKV EPUS_02584 MPGLESHLVIRFLLPLFMILGHLSLLGATQLITAAHLTIHRRGG ALADREPANLTQLVDLLHDVERRYSRVKREVKGNKLVRSWRARSTGATNDEQLLAEPG KEGRWYTNLPVGPQPNTIEVDLDMLSPDFYTVQTTSGKGSQYNVFSETHVPNDARVHP LCKHPSDTIHLTDLKNTTSVTISLPMCSPSRSSRATLFKSGSVLGLSPSSSSSSSSLS RLDSPPLLQQLLSAELLTDDIFSLTLLDSTSGILSLGGTLARQAEEASTRTQVEMDSI GDPAATTETISGKVNDRLAATFPADIEDQYRWAPIRGAAEGWWTTLLPGLWINGLKML KNQPVLLDIQSPFILAPPLAARRIYESIGGCSRLAVPYDMFWKFPCLNRPKIMLEFGG WFFPLMSSQGGHDEAVWGPGGGRLSLGKLSMGGNGTSSGYCVGRVVETRMGLQSREDI DWEGSGLRDVWVLGEPAFWGVGVVFDRQRQRVGFRNY EPUS_02585 MSTSPHHNPAVSPPPSPRRPGGVRGTSHHAPTTPSRLRRAIAAG VDPSSPQQDEDKLTPLSSPLLGSVNISAEPEPIASPSEQGIRGGLIEPSQREADARTR LLEDYHKGSVCGTKDCNHGTFSPHARPLDSPSSNVSIMKGFGGRHEDDIDDDDGETRD RTRGLTGDTFAAGLFGGSRRDTSMSTTKWLATKHGVKNQRAMYISYYFPFVNWVRQYQ WKWFRGDLVAALTMASFYIPMSLSYASNLGHIPPINGLYSFVFNPLVYAMLGTSPQMV VGPEAAGSLLVGTVVKESVDRNNTDENDAVLNAQVAGVVTGMAGAVILIAGLTRLGFL DSVLSRPFLRGFISAIGFVILVDQLIPELGLAKAAQVGVAHGSCVNKLVFVVTNMGSV HRLTFAVAAISFLIIMMFRAMKRRMQPRYPAVAYVPDRFLVVALSAVLTWRLELDQKG LEILGHVKSTGNNPFPFHWPFSFAHMKHIRTAMSTSFLIALLGFFESSVAAKSLGEGT HGLQGVSLSPNRELVALGVANLIGGCFMALPAFGGYGRSKVNAATGGTTPMSSIFLSL ITLFCVLFLLPYFYYLPKAVLSAMISVVAYSLIEECPHDIKFFWKIKGWTELSLMFII FLATIFYSISLGIALGIGLSILALIRHSTKPRIQILGKVPGSSDKFENVEDDPERIEF IEGCLICKIPEPLTFANTGELKTRLRRLEYYGTNAAHPALPRVRAEEHNQNIIFDVHG VTSIDGSGTQVLTEIVEAYVKRGVRVFFCRLPTRRSKVYKDFEMSGIVDLVGGKGHFV DSVERALQLSELESLTEYFDNRMDGL EPUS_02586 MSLTNCRFYEEKYPEIESFVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRIGRNEVVVVLRVDKEKGYIDLSKRRVSAEDIIRCEERY NKSKSVHSIMRHVAEKTNTPIESLYQAIAWPLNKKYGHANDAFKLSITNPSVWDEVEF PNDVVRDELKSYISKKLTPHPTKVRADVEVTCFKYDGIDAVKDALRTAEAKNTVDTQV KVKLVSPPHYVLTSQCLDKGHGITLLEEAIKTINSNIHGAGGACVVKMAPKAVTEHDD AELQALMEKRERENAEVSGDEDLSESDEGPEIA EPUS_02587 MAKSQEGNQNSRGAPSRAGSVVCEDQRSSMNHRVKFSVGGSDKA QTPQDPPKKPLPQMCVPSTSQPSKSYFSNSIDAKSADVAKTPLLASSSDHYGKVTEVS QLSANERTMQPGNKLGSASAPVSRRDSHEDATVTLLPTKGTHGYPVRVDEIPLADMGK SIDRRPYSLYDDSTDEDEEGPSKTADRKKTVVPAEDNHTDNTNCLRTNAEAHRLVRAM TNRLELERVWANDPDREELRSGHTTPAHERHQQDYIPRPTEFKPSILSMLLKVHDQHS AGIMINRHSASGPFHTEHHDARPWRRRSGAKLLSSSPSTAATPGASPPSSEISTPQTS GKSTPRRLKWYDKPSGSASTTSLSGLLDASMVAGAPATSKAAPAAHQRTASRPHLTRP RSTGMLSSAMHKLTPKHENPNEILITVHIAEVLARQRYLLTLCRALMLYGAPTHRLEE YMRMSARVLQIEGQFLYLPGCMIVSFDDSDLHTTEVKLVKVDPGVDLGKFRDTFDIYK EVVHDQTGVEEAMQRLDEVNKRPEKFNVWIKILMYGLASAFVGPFAFGARPIDMPIAF LLGCVLGLLQLWVATRSELYSNVFEITASIVTSFAARGMGSIRYKDGTFVFCFSALAQ SSIALILPGYIILCGSLELQSRHLVAGSVRMVYAIIYALMLGFGITIGTAVYGLMDKN ATSVVACPAPKSLDWWTNNVYLSHFPWVPLFTMCLIVISQAKWKQAPMMLFIAFAGYQ VNFWSAKRFANNVQIANALGAFAIGVMGNLYSRMRHGLAAAVLLPAIFVQVPSGLAAS GSLVSGLTSADQITGNATGISVINNGTQGFADAQKNATTAETSGVYSGTVFNVGYGMV QVAIGISVGLFLSALVVYPFGKKRSGLFSF EPUS_02588 MAKEKDPTLSKEERKALKRAEKEKTKRSDSNGVHKPKDSKKEKK KERAALAEKVTNAVESGKAVIVAEDEAQVAVKSGVEEDESKEKKGISTRPVGALVPFA NPLADEKVAKKVFRGVKKAAASKSLKRGVKEVVKALRKSPTVPSSPPPGVVVLAADIS PMDVISHIPVLCEDHSIPYIYVTSRAELGMAGQTKRPTSVVMVTGSVGGKVKKEGEKE KEGEGEWKETFAGLVKVVEREGRHVKI EPUS_02589 MGQPRYATSHQIATSSTGASTHSTIELVPPTSFRTSIASNQSLT HRALPGSQPQKGVTSYTLSPNRQRPLGGAFHAAIFNTTRRTKDQILFWLAPMVIGYAV MDWAIQKNEYYNSKPGRMAAEEHGAETEINMKG EPUS_02590 MAAPFGFSVSDFITLGQLAFRVYRSYQDAPSSFNEVSEQIKILH INLEEVADRLKEDDRRILPSQRATMLEVAASCQSTLDRLEGVISQYSAMDMPRQLTWE RLRWQAQDQLQIKQDLTVKLIALSNLNQSLSGSSTAKLLLNLQRLVIQAVAAKKEGTI VSALTNADTGEDHLWASIGRDLQVAGISREMVTVNRDVIRQQIEYLFGSGLIASDSIS EAAESRGKAARSAEPPASPAPQPLVAPRAPVPVYTGTPEAAADVSVAAIAARGQTKRE QLPLTNDDATYRPYVVEIKLVVPPSKSTIHERDGFSFKRNYVSEHSWVFLRLSQHTRD GIRSLSTPDPYNPVQDHGRQFSQKWTEFAFSQAFRDWRHMLLKPDQPVYVCLPSSQEN VLDLLARDHSLAFPIAFSFDLDTDAIVFWKLARRWPGSAALQYRAKSMDEVPRKGLLQ YIKRISLRKQARTLNLEGAQGQGQMLLMLFTQRAIDQSRAWANTWIMEQEFSNKGFGA SSGPYSNYPDSLNSSSTFIGSNTSDARQGNVPYGSLPSTNLQSHSLPYLAKASAVCSF EASLPNEMSFTSGDEIWITQYVESELWEGINQRTQQKGLFPNTLVKIFLVKKMPSREK MPLEIDSSPHSFGGSPIENKFLSPTSIGGRAASLSNRAHRSVSTSDIPSVANVQELDS TPINPASKPSPMAPEHDLFLNQRGQVARITSSASDKGPGRAASQSYSQLQQNWAQTPL DMANQSPRAGSDIKRSQSQRLWAPTPLSPAITPAGFREYTVSPFVTPIGSIAGGQLND IRSLSADITPETTPEPTQAADNYESLRAQSSVFQQLREAAEAEAQMMSGFDSWQTAQG SEALPNAGSNPVSSPQLPTAPVAFTNNGPTDQPEDPPSIQPDWPLPSSPKSGTETPPV SNDMEQKIFNLSIDANHVVQPRLSTESPTATTTGSSIHT EPUS_02591 MDEVPRKGLLQYIKRISLRKQARTLNLEGAQGQGQMLLMLFTQR AIDQSRAWANTWIMEQEFSNKGFGASSGPYSNYPDSLNSSSTFIGSNTSDARQGNVPY GSLPSTNLQSHSLPYLAKASAVCSFEASLPNEMSFTSGDEIWITQYVESELWEGINQR TQQKGLFPNTLVKIFLVKKMPSREKMPLEIDSSPHSFGGSPIENKFLSPTSIGGRAAS LSNRAHRSVSTSDIPSVANVQELDSTPINPASKPSPMAPEHDLFLNQRGQVARITSSA SDKGPGRAASQSYSQLQQNWAQTPLDMANQSPRAGSDIKRSQSQRLWAPTPLSPAITP AGFREYTVSPFVTPIGSIAGGQLNDIRSLSADITPETTPEPTQAADNYESLRAQSSVF QQLREAAEAEAQMMSGFDSWQTAQGSEALPNAGSNPVSSPQLPTAPVAFTNNGPTDQP EDPPSIQPDWPLPSSPKSGTETPPVSNDMEQKIFKDSCN EPUS_02592 MAAPLNAISRWAVPVGIVFALGQAAMYDVRGGTRAVIFDRLSGV QEAVVNEGTHFLIPWLQKSIIYDVRTKPRNISTTTGSKDLQMVSLTLRVLHRPEVQKL PKIYQSLGQDYDERVLPSIGNEVLKAIVAQFDAAELITQREAVSQRIRTDLMRRASEF NIALEDVSITHMTFGREFTRAVEQKQIAQQDAERARFIVEKAEQERQANVIRAEGEAE SADIISKAVAKAGDGLIRIRRIEASRDIAQTLAGNPNVTYLPNGEGGEGGGKSTGLLL GLRS EPUS_02593 MFKSSGLFKEVRCPQGTNCALLSCIFSHAGFKGNAEDDASVTPA PHDLTSAREPDEPPHKKRRLSPIRQGTDGDRDKTKLAPITQSTALKTSASARNSEPEI KLATREQADQKSSRVRSPPASVDRTVSPPLSHPSRKLGDAQEQKTPSTRNGTEIAARL ATLPKESLTPRLLNPNPAPHPVRLAILKKIHEQVTRLNDEALRDQGTRRELVLSDNEI ITLALDEEEKMGRENPQIYKNVVGHRIQRLKKMNLEQWKSSVLAEFEKKYLPPKKPTA SKERTIETGLTAQQEIAVLAHIITPLKGLEAHGYVTTAPTAAEIKTAEDGVIAAGGFE RCDRCGTRFQVFPGRNSDDTSRTFGRLTSTENGCTYHWSKPFRPPRSSAGVGEQESYY LCCRGAIGSKGCTQAGDHVFKTSDVKRMASALQFEQTPWPKDLDHPRGPVTFDCEMGY TTLGMELIRLTAVSWPQNQQLLDMLVRPIGEVIDYNTRFSGVSQEHFSKALPYGAEKP EQDDSSSEDGELAGEPLRIVETPMAARKLLFDLLTPETPLIGHAIDNDLNTCRMIHPT IVDTVLLFPHPRGLPIRYGLKMLTSKYLERSIQTGGALGHDSKEDAIATGELVRFKVG EKWKHMRLQGWTFQDAKLVPPAEPVKKLPDVSKTLKRPASQLDSAVEANESVG EPUS_02594 MTIWVHDSAASILPFSLASISRQAVFYNMEEETRRNFSDASRKA DHLCVLVHGLWGNPSHLNYVASALREKYGEDQLVVLAVKRNAGSYTYDGIETGGERVA KEIEEALDELAREGYNMKKLSMVGYSLGGLVARYALGLLHSRGWFKKIRPVNFTTFAS PHLGVRTPLRGYANHIWNVLGARTLCMSGRQLFMIDKFRDTGRPLLSVLADPDSIFIH ALKKFENRSLYANIVNDRSAVFYTTAISRTDPFTQMESLDLNFVKGYETAVLDPDTPF RLRKDQHLPTFYTRLAGGSQTFLKNLPVYVALAVIFPIAFVVFMVNSSIQSVRSRRRI RLHEDEHKIHGFARYRVPFIIQDVQQAVEDAYENVNAAQSQEYLPDGSEEMAAAMESP QESTESLPSSLADHSGTSMTSEKSENATLNDGGKDLPQRSPEFPTLALTPAQFAMIKS LDDCGFQKFPVLISKSNHSHAAIIVRIIKSSFDEGKIVIRHWLNENFKI EPUS_02595 MKLKSKLFSCSLIFEIGRKIKQIILDLCMSKHGRLGTRSETLDE GAPVFFSHNGVIWATSYDFVRIGMEALCASLEVMFRVMTGEELRTIAFVYFVGDTPES DIRGTNDFDGSVVAAVTGIPYSSGSKLSRKERNLRSCPRLQSIVSRRCQHGMQRESAK SLIAADVLAPLTEGIIPEEAAAVD EPUS_02596 MRSPSFCIAQLLIARQYLRAAELNAASIAAPSSAVRVNGFAYFS SGRHARVATNTWKRVAISENITPQAKCLTCTILAPNPSKAEPLELIKCLPKLLFPFHR SLRNLTFFITPDFLPWFNEKDDFMQKALAHMHQEWSASIDSISAAYEGYRHVIQSRVA VVDRIPFPDGNSSGCAGISAAITPWSGRWLRESDLPVGFSSSAKGSPAVPQLSLKVPF THKKGEMKHMRILLQPANTIFLNGLQSTMFVESWVLDDKVESGPTFSRDTAREHVNRF SMNHLAEASTLTVPLKRLTETREISMCMGNIISKLVSKSEAESMSASLELEEKVSAFM RSNDATGGTLSVYALIIPKASDHKRYEEGPVVPTEFLGYHKSVESTDTTDEKQGVLDS IRLAISKGAHLHKVTSGGGGWGKKQGLLSLEPAFDHQGSGFSSTQAFEDVKDRLDKGE PIWGQHTPEIARPGDLVEFYGFFLSNKEEEALIRKESLRTALKVSDLQQWSAQEWAKQ EVSSVVWGVIPPQDSNSASSFSPFGNNLVAVPNHFGMLSEKGMVLQRLDRGSDKRALG QSAATSVRMSSISRIDVPHTSLTYSIPTRAAARKDSKKKKPEQDAPTFTRVRYTLGQT DVTSREEARVRRAKKAQMPRPGRKGIGRVFKYIANSSAKLT EPUS_02597 MASIDPNFPCSLVIDLPLPTHRLASSALQALQVDNELSPLVKRE FSLTWSNDASGQSNGVAGEGQGTDDQLIILRTRYKATTNRMLRVAVNGFMESLGVVLG VMEELDVDVLEQTSPP EPUS_02598 MGPHSVNYGQVIEYIQDRLYLASYTHAPNENTPFPYPTETRKSP SKKSSKSQHGPIPVSRSRVPPVYFTIDDTLLYNAFHADFGPLHIGHLYRFAVQFHEIL GDPANNDRAVCFWSRADSRSRANAACLVACYMVLIQSWPPHLALAPIAQADPPYMPFR DAGYSQADYVLNIQDIVYGVWKAKEENLLGLKDFNLEEYEKYERVDMGDFNWVSPQFL AFASPQSDITTPIPVTSPQYASLPTCIPDIFTANIPNPFKNVLSHFVSRNIGLVVRLN SPLYCPTYFTALGINHIDMIFDDGTCPPLPLVLKFIRLAHDMIRRNKGIAVHCKAGLG RTGCLIGAYLIYRHGFTANEVIAFMRFMRPGMVVGPQQHWLHLNQGQFREWYLEDQFK AKHEAAAKATTPRKASMKTPRRLASNGQIATPPPNGSQSANNRQASRSALGEIDGNDV SNTATAATTSYQDENLPAPTPGQPRKYARLGTETRHHPYARSASAGFTLAEDKEEMQQ PGTGMETEIVSMKTHRTSECGNGECESEEEYTLRMLAHKRSMSRSPVAGAGVGAGRNR SASGKGTGKASGGKGRSVSYTTTTTTLTKSYDVGDSIFDEAGAGVDEKAMGMDTAIWD EVAKAEERSPTRSRTMQKSGSGSSAGSAGSGMIGVQKTRSNTVAASSSPRRSGGEEKY RVRKTSGRIASASASTSTSAGLGIKR EPUS_02599 MQYAHHQEDLEANLVVGEPGYEGICNLDNDIHPIWRRENFRVAD IADEARLLRKNHALWDRYTLPLEPKIYQRIEPGLRLASLLLQQSAPFFSQVLYGTLQP RRVRRLHPLGEVDQWRYRWVDVIGKPHFSKQEIAAQLNRFAEHVAEKSLIYLSSHTSL DNAWGETRTQLNERNRYAVGIGLSLGKVICQPQWNKCSAQTRRYYNFQLATTLVHELA HVAWICRCWDDFLEDPELVREDEEAIFSPSEVQMELGQSWENWFFGCELRPIEAEDTT PPKWLGYACSPFTLDSGNPGSIQYRNSRYGATAIPAKCINQFFQKGRWAAHMDGYEHF SIHLTPLNSLCNDVWNDDLDDGFMTRMTLNHENRIDPAPSFRDTTLQT EPUS_02600 MTITSPSNWRTNVANFSPSWFTATMGTGITSMLLHDLPYNAIWL YWLSIVVFTFNVVLFVSFLALSLARYICWTGTWTNTVHHPEQSLFLGAVPMSLGTIVC MICYVCGEAWGMPARYLAIALWVIQVVLSVLCALILPFLLISRDHEIDLPMLTARHLF PALSCVVASASVSVVASVLLNAQHALWTVLVSYILWGIGVSMAMAILVVYCHRLVVHK LPRPELIVSVFIPIGPLGQGGFAILNLGSLATSILPQTSTLHDSTGNFLCDAGFFIAL LFWSQGLLWTFLAIASTYHSRKGFPFNLGWWAFVFPLGTLALCSNALGKELPSQFFKV SGMIISVLVFVLYVIVTVEHVDQTIRRPVNRTFKVEYSFFTSPTPGVPSINSPADAAK SAEVCLPHILPLIPNHDAFLVACYSQHPLVAMLRSECTKFPSGATGGEQGAGKYVNGI FEASVTTSLSLILEEQSFGIVSTGKVWEKALTQAVHEFVGTGESGSDKYIGCETTGLN ATELHDLPSDEVRAKMIAATKRLLHRGEQGEARAVQAICLGCAGMVGLDEAVRQACIE VLGDERGRRVSIVDGVKAGVGSLVTLVVGGF EPUS_02601 MPLLALNPKNRVILGLMTFGPDESAGARITSLSEYNKCLDYFQS QGYDEVDTARTYIGGQQEAFTREARWKERGLKLATKCYPTEPGMHRRDQLKGFCYKSL SELGTDCVDIFYLHAPDRSVPFEESLEACNELHKEGKFVELGLSNYAAWEVAEIVITC RKNGWVQPTVYQAMYNAITRSIETELIPCCRKFSLSIVIYNPLAGGIFSGKYNNISHT SLPSQGRYSNTATAIGSMYRDRYFKPEVFECLALIEPVAKNHDLTLLEIALRWCVHHS QLKIKDGGDGVIIGVSSLAQLEGNLSDLEKGPLPEEVVQALDRAWLVSKATTPLYWR EPUS_02602 MTSTTNEASPDTAKAHSDTDSSGEPILTPPDSEARFPTTHLPEP SQRRTSPSPLRISSLPQDIKEEFRPENRTEAVDWSEAGEEALGSDLDFDEDDMAGIRP SMHRPTDGRSQQPLLKDEGRGRSEFESTNGSARPALASRRNTFRSRSPSYTDPANATR RKYIYASFFLLLSLISFVVQTETAVYIQHTLGWKKAYCMLWLTHGSWSLLYPAQLLIL RIQKRKLSWPAFWRRHKYQIRTTAQMVEHQQLHLTSSAKNKSPTSYIIRKTVFVSTAL TIAGASWYVAVDLTTPSDLTAIYNCSAFFAYVFSIMLLHDKLRLDKAIAVAVAIAGVL VVAYGDKKDPKHAGPHGGASGGGPQDDDGATEAKNRTAGNLIIGIGSVLYGLYEVLYK KWACPPEGTSPGRGMIFANTFGSLIGLFTLTVLWIPLPILHVTGLEPFEIPPPHTAGL LLISTISNAVFSGSFLVLMSLTSPVLSSVAALLTIFLVAITDSFLHPERSLSAAAIGG GSMIIVAFVLLSWATYREMDQERKRMEADEGVITDDEED EPUS_02603 MCGDEAAAARSMLQISYPMENGIVKIWDDMQHLWDFTFHEKLRV DPSGRKILLTEPPMNPLKNRERMCEVMFERYNFGGVYVAIQAVLALYAQGLSSGVVVD SGDGVTHIVPVYESTVLNHLTRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQI KEKLCYVSYDLNLDQRLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDVE QPGIAEYLFNTIQTADVDVRSSLYKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLQG NPERLNKFKVRIEDPPRRRHMVFLGGAVLANIMADKEDMWISKQEWEEQGPRVMEKLG PR EPUS_02604 MSPRRTADRRRHPTQQVRVKCLSPSDPQKRMIARSMYKEAKDGR TIGLLGHTQSIELFLILSMKCSSRPKTKHNALKAFVGQEQVKPRFSQPRPVKRAVNDL GGLISELDSLAAAHYQSCISGMIDDGSNSSCFREWEDAQVALSLTFKGRLQNLLEEPS TPMEEAPETDVQRLASEPCPPHSFLFGHLKVLGEVMQTLPKDVHPQVAMAIVREKYDL PHVFYMDLWPIATSFLMIQDPDIAAQITQTKNHPKHTLLKEFLRNMTGEQSIVTSEGA EWRKLRSMLGPAFSSHHLSTLVPDITDHVLKFRERLQELAKSEKIFRMQDLACNLTID VISQIVLGRSFNSQTTFSSITHNFRKFISWAGSSMDIISRNKSKLPIWWYCRLLDREL TAEIQERHAGSTTTNTTTAGRAVVDLVFQAYQDEKLGLYTLKEAQKPDVDPEFMLLAV NNIKTLLLAGHDTTATTIAYSYYLLSQHPDILSAIRSEHSTAFSPSIKTTASLLKSPQ ASKLLSHSILPLTTAVIKEVLRLFPAGSTIRMSSHPATETLSYHSQSLPLTNHVLWVS HYGIAHREDLFPDPAAFDPYRFMPGREVPKDAWRPFEKGPRNCVGMELAMLEIKAVLV LTLREFEFESLYGKEMDGEGLREAPERHGGRAYQMVAFGPKPAGGLPMRVRLRAGSEK EMEKERWEEEELIQMAGKARELIRLWEYETSLVWRDEVNRSMLQADVEDMGLGKAWPT EFYNGTAGLPLIKAADETWLLGQFLDYAYPDGGIIFLSNPERLPDDMKKENFWKRLQQ FLSQITMEQASPYFCRSRLGKRPIKLSEGLPTLTSNMEYLRGRDRQEGERAYG EPUS_02605 MQVPLIRLQCGVNSYDWGKKGKDSAAAKYALATPPDGFSIEEEK PYAEVCLLLTSPVKHQTDQAKLWMGTHPSLPSKDLETGRSLLDLVSDNQALMSTEISK KYNNKLPFLFKVLSISKALSIQAHPNKKLAGELHAKDPKNYPDDNHKPEMAIAITHFE GLCGFRPLKEIAHFLKTIPPLRALVGEDRASSLETTAAAEDCDLVTSKSSLRAAFTAL MKSAPEDVRAMSKSLVSQAESQEISPGPSLAPGQAMYLKADDIHAYVSGDIIECMASS DNVIRAGFTPKFQDVNTLTEILTYDHDPPAQQLLQPVDYPYVTLNTAAYGSGSDSLLY DPPIEEFSVVRTALKADGAKATFEGIAGPSVVICTSGQGSISVGPKREELKEGFVYFV GATAECVIESRGADMVTFKAFCEIEDGKGERETNGH EPUS_02606 MAPRLPPTKKGPQRTHQPPPSNIPAAAAGDVVAQPSTPVRNISD TPRRHTRRSERKVDVQTLPGTRWDKLEIERQRHRQREQESGSGITETPVLNELSLHEK TIHASIHRASATKTRDLVSETNPIEVSALQLGSQSLGSVKEANGRVLATTSSPIKKRR PNQSRLSKHRLSTGRQRQSKPEAGFTSQSPSSTLEAVIERDPLLSMARRPGRNQVDFV AELKETIHESLSKLSDIQDKLAPLGQQILVLEEELKAKEADNSVTLDDTKRLDELYRA KVKLTEQETGILHQPPDDLINKMGILNALAAREDTQAPGRGSAASKQRGSKRSAVESE TAVVDSPGPSPSDVRSSDQLRRVKGQGQRSSSVASQTPRDGTSRLDDGPDGPRSGVAD RAKMLVVHAEVAYRQSKATHDSDGERIHCTINAIRKDKGSGRTIYDIKDKDESENLLY TARAEDLLPIPPPGSQLPTFSPGKHIIALYPGTTTFYNAQVIGLFTKRDVYSLKFEGE ENEKEVKEVERRYVLDIKTK EPUS_02607 MGFVPEEMAARTGEDGIAEEDGPQPLERPKQHEMSPDEDKPKPP LVRIHSECYTGETAWSARCDCGEQLDEAARLMSLHGGGVIVYLRQEGRGIGLSEKLKA YNLQDLGSDTVEANLLLRHPADARSYGLATAILLDLGCGGDQGIRLLTNNPHKVRAVE GPNREVIVRERVAMIPLAWKHGGKRGIHSREIEQYLSTKIGKMGHMISD EPUS_02608 MHFARLLAAASATLILPTIIATPVLSPIASVGLARRGEVLAREN AAHDLYLKDDDDHKIWAELEKVFSVIEEIPDEVLEKGDDETDKWLVEHGYRAQHDKRD LEDRDFFDVAKCVAAITAFIGSNAIAAAKILRIKKYIDSLGGIRKSAELLLKASNAEE RLKYGGETLALLAGEILGTAIIANNC EPUS_02609 MWPGQAMTLKVNQILHHEKSKYQDVLVFESSDHGTVLVLDNVIQ CTERDEFSYQEMITHLAMNSHPNPKSVLVIGGGDGGVLREVVKHDTVESAILCDIDEA VIRVSKKYLPGMSISFQHPSVQTHIGDGFKFLADRKNEFDVIITDSSDPEGPAETLFQ KPYFELLFGALKEGGVITTQGCTHCPSSFTL EPUS_02610 MDQQGTPIGANHGATKVKTESAPPIQESTGPVASDSLAAESYKN HGAFAENKDAAPSSVKGASSTFNNQDTSGAKVLPPALDAEARESQEAWNESSKLKGAA GLKYPEGAGAQPDFTGVHNKDGYYGGPAKDKQEINTGSGEYASGMSKSGSGHTGGEDY RASTKADPAPSYVMNATGDGLDKGTLKPKGRNITEGGFDSDDSKNASFNAEIGTENDP GRAAELKLQRENAETAGDAGSGSRQKGIANDGVGYETLKTDEEA EPUS_02611 MSDATQHVTSEESRGKESTDSRAHGGNTPTGSEPSTTNSQTEKV EPKNEQIERVKANLPLPEDPPAASDWNSADSRTVNVGSGAVGDDVSKIGSGGESGLRE PATAESSVRVDGEELHKPTAP EPUS_02612 MILDNLPYIAAFLLTASIHMAWPSVPYAIHQQFCLLVSNRSSIG LDVIKLHTFALILLLSSLSFRTTSAAASTAPPPSHQFNQTYNFDDLPTTHGLGPIASY NLLTFTGFSVLAPKDPNLPYILPSDRNCATSLPNALLGSRYGPQLLRPTMAINATAAR LEGVHAYFELLSVYIKPLAMPVDPVPVIANVTIRGWGAGGDDDAEAEAKGDPLVFWLE WTSGYTEPLLVDFSRKRFTKEKWKGLELLDFAVDFGPDQLDWEFCLDDLTVGFTRCGG EMCEDSGESEKGSRAEGIERGNYDL EPUS_02613 MPRWEEMLPDIMEALERSRGEWQIDPKYYEEHMRWVAEHEETVR RNNLARALEAGFTCIEEYDGARRKEEEERVANFRKRVEEETGKTWEEYWATHPQRAKT PPEPFLGCDCEDHPIPMFCPKTLVDYRTQDALDLSCCFEANGDQDIRDLKIDPEDKSK RLSQNAIEKYWETDTQEGPADWPPWLKGDAVLQGIAQLDQNNDYKPRIPMTLGEGQKV GESPPSLIFTESQSDPEISSSTRPLASHNSSSNDENSFNMPNAEPFIEIQGAAHPSRS NQSGMPDNQTVPYSRPKRTKKSTDKGHARLTRTSGVRKKTTNSKKRGRKGISKITG EPUS_02614 MDLSEPDDTLPNTPEDFSDVTTLEATDAFALLRPVSSTAQNAFN ASMNYILKHAQQFDEEHYRQFLHAERAVPRAASIYSETEDASAEEHHSEPAFKRWNGA FKFSLRKSPRDAKAGWYLGVRDSKSRPPNQGVDLLLAPPTREWIGKGISESHARLYFH PESYRMVLQARHTVVVGHIGKTIRNSQVEVLNDPDLIVLDNFVYSFEYTSHFRSPEFE VELSDYLRRFYKPQRTINKLLSPVSIGAPTTLGKYYCSPKAFAQGTFGEVIAGWTRDG SSVAIKHFKNPNERKIQAHEELMQIIGEHPNVLQLLECVAAFQGPIPTAHCIYSPLAI MTLRDIINSFDTNTFTQHILFENYLSGLSYLHGKCIMHRDIKPENMAVLSFHDPRGVL LDFDAATTSLTSADHMQGTIPYLAPEIIALKDRRPSPYAVLIPYDKSVDIWALGLSMF AFITSRPWSWRYFQGERGPDIYSELEYDRFRAHLIKLTKAGDARYESRRLLFDYIGFM TESHPKNRKTASSLLKLARSYIANSVNESISITPKRTSKHKLEEENPETKMSKRPLIE EPUS_02615 MAIALGKASVAFLVLRLIGAITVWRKRFLYGNAMLFVLVSISSC VVMFVGCTPPRALWEPVPGARCWNPKIGVTYGVFQSAYGTLLDFLLAFIPITIIWRVK LSRKKKLGLCILLGLGVIAGVCAACRTKQAAKIPDHVDYIWETFELFVWGTAEASLVI ICGCIPTAKPLYDRLVTGKRIGPVKFHYPNSRSYELQTEYSQPGRTALLDQSGNSTNV FSTTDNAECANKDSQPHVGSEGINVEQSFVVDLHDHVPESMPRSTKGHRMV EPUS_02616 MPRDPLIGLVGKPSSGKSTTLNSLTDATSKVGNFPFTTIDPQRA IGYLQIDCACARYNLTDRCKPNYGGCVNGRRSVPIELLDVAGLVPGAHEGKGLGNKFL DDLRHADALIHVVDVSGTTDAEGKATRGYDPSVDIEWLRGEIVRWILGNLMEKWGSIK RRHVAVKANAVDTLQGQLSGYGSTSTVVARCLDKMQLKDPLETWNDATIEKFVNTFTD EKFPTVLALNKIDHPDADRNIAKIAKMQDPQRIVLCSAISEVFLRRLAKQKYIRYTEG AEYVDAREDLIEAGEEDGGGLKEMDEKLRTRIENLKDMVLYRFGSTGVVQVLGKAADL LGLVPVFPVRNVHTFGSGSAGASAVFRDCVLVKKNSTVADVARKVMGDAPIAYVEGAG GTRVAEDQVVAVGKNDILSFKVGR EPUS_02617 MTSETSRKPDRFCSWNGILKELARAASLEIPGFGEIILNESPPN KTSRNSPPPASSAKPRSTTSSSTTSTFSKSEDFTMPIPRISLPRPAVLRSPLLRTSTT TLRPLSTTSPALGAWSGRGPDEHAVNRTDEKDTQSKPAHQGMREKDEGDSQALGISEK GGKDNKKAEQDKQEAPTPVIGMNDERGGKGR EPUS_02618 MRRTTIPVENLFAWGKLNGVEFINVDVKSDITSQDGVFRGAGLV STCDRSSKDSAAVLVSVPQDLILSREQVDRYAAIDKPLKSVLDAAGAFGKTARGAILI FLLVQITNASPDFDGPISVTNPHTDYVKLLPETVLLPTYYTDEEKDLLYGTSLDAALD QKLRSLENEFEELQGYTRDIAWCQKYWWDRDSGKMSFDDWMLVDALYRSRALNLPGTG NAMVPCIDMANHMSGIETIASYETGADGGAILQIRPGTELAADEEVTITYGDEKGACE MIFSYGFLEPGMSGATAIFLDFQIPDDDPLRMAKKTVNKEAPGVRLFVNSNGKLDWEG NYIWWACVNEEDGLDFRVTQENDGNRRLEVLWKDQHIDPSQLSKTLESDLKWDIFQLR AIVMLQDRVSRQANNLEASEESFMESQGQSGIRDGVRILIGQLRVREAGLLAQFDRLF EEQKTDLLSSDTVRRYLEEAQANVDSHLPPETNEDDFA EPUS_02619 MDLHHIQKYCWLNCLELETRKKVFWCQYTLEKCISASSGAPTLI RELEVSTEYPSDVDDGNLIEQGFLPGLSGELTKITSALAFFKICRILSRALDQLVPAS VAYWLSISDMKSISDELDQWLKCIPTHLRMKFLNDEPSTGVITDRSPLLPKLRRRPLL TLSEGSDGKFQQRVGPELRGYGQLEVACVRWIVERRLARSDLPIPSGVVVSTLTNPHD WLDQEWPVGAIDLPTKGLASQSVLSTSQESMTSGGEDFSGCGSNDGSSSPEQTDVLDV GRRSLKGIPMLKHDKFPN EPUS_02620 MGYGDIDQLAINTIRTLAVDATFKANSGHPGAPMGMAPIAHVLF NKCMTFNPKNPNWVNRDRFVLSNGHGCMLQYAVLHLCGYKISMDDLKAFRQVDSITPG HPECHDTDGVEVTTGPLGQGFANAVGLAIAQAHTGAVFNKPGYDLINNYTYCFFGDGC AMEGIASEAASTAGHLQLGNLIMIYDDNHISIDGDTKCAFTEDVLKRFDAYGWHTQWV EEGDTDLEGMEAAIKKAQEVKDKPSVIKLTTTIGFGSKLQGTGGVHGNPLKEDDCKQV KQKFGFNPEESFVVPKEVYDAYHKHAAEGAAAEEEWNQLFSKYKEEHKDLGADLQRRL DGKLPEGWEKKLPVYKPTDGAQASRKLSEKVLEEIHEAVPELLSGSADLTGSNNTRWK NAIDFQPPSLGIGEWSGRYLRYGVREHGMAGIMNGIAAYGTLIPAGGTFLNFVSYAAG SVRLSALSHARVIYIATHDSIGLGEDGPTHQPIETLAHFRALPNMMVWRPADGNETSA AYYMAITSKQTPSIIALTRQNLPQLENSTIEKAIKGGYVALEAEKANVTLVSTGSEVG LCVEAAKYLKDKHDVTARVVSMPCFEVFDAQPKEYRLQCLPDGIPSMSVEVMSTLGWE RYSHEQFGLNRFGASGAYKDVYKKFDFVPEGVAKRAMATIDFYKDTKVRSPINRAFTQ LI EPUS_02621 MSISVTVNLTLIPSSVMAKSPPIIRSFFEPIPSPSQSAHKGHLP TLQTTTAANEGGLIEPKARKNTARLSPGHWQPRHDYEEVSIGELAPGPRRVSFIARVV NLYDDQNVHSKKSDSAKGCLKVLMKDDSALIQTNLWYADVPYQIRLGDLVAVWTTYVT SASSNPASADSSQAATLVTHIFPEREAGCAIRNANRSGLDQSVCNTPLGYVCGRSLFG LVSLTSFFDDKGDEVLNAKILVCVKAIGSMPTSTSKEGDPVRTLSVVICDESSEATLS ISGPVVSSAILWKEHSTILLLSSPAKRLGHNLTITARTLIEINPNVSEAEHLRKWIQR ENCPINEPFPVALFDIKAIAHAPIRLQFTLASLDSFIRAFPSQPHTGYLSVILTKLNL LSLWKTQQLFSMQCRCGQAIYANARSGHCAACNTSEIKLRINANLVSEMTDETGAISS TFLASTSHPPNPSKTTHTSSRTHSRKPSKILWTDEAWTQLLGRSPEQLAKLVDTCDAA QAQHNLSILRYLEQRLSFMRVILLVGWTGDDELGGRLAVLGVVG EPUS_02622 MAPHAETADRAVDGWYASNSKATPDPLYTVDSPNVVYTDSTIKT KYTYRTTSVTKSANGPYVASPKETVYDFQVDRAVGRVGMMLVGWGGNNGSTVTAGIIA NRRGLLWDTREGKQAANYYGSVVMASTMRLGIDSATGNEVNLPIHDMLPMVHPNDLVI GGWDINSMPLDKAMDRAQVLEPTLKELVRAEMALMKPLPSIYYPDFIAANQEDRADNV LDGARACSAHVEKIRQDIRDFKTKHELNKVIVLWTANTERFADIIPGVNDTADNLLKT IKTGHEEVSPSTVFSVACILENAPFINGSPQNTFVPGVIELAEQHRAFIGGDDFKSGQ TKMKSALVDFLINAGIKLTSIASYNHLGNNDGKNLSSQKQFRSKEISKSNVVDDMVAS NDVLYKKGEHPDHTVVIKYMPAVGDNKRALDEYYAEIFMGGHQTISMFNVCEDSLLAS PLIIDLVVVAELMTRISWRAHVDGAADKDYKGFHSVLSILSYMLKAPLTPPGTPVVNS LAKQRAALTNILRACVGLSPESDMTLEHKLF EPUS_02623 MAAAPRAGSFLPAIKCSNCALEIELSMMGDHVCSAASQVNSNNG FDGAPPAVSRRDRSFSRPGPRLRVDSSAATSSDTASPINGSNGRKSPFTISERSPIAY PPQGPPSPEYTNLDCAFPPFPITAPRSATPVQQPLNSDSHFGSEYVREHAEPYNQSGP TSPSFPQHSRTRSVLSTGSRNKSGTMGNRPEILTRPSTSDGRRKPSLASISGGPKTGK KQPPPLPDAVFVNAAPLAAPKARRAGFLGLRPNPSQGEALMDQASQRPPLSPNRSQTF PARNEVRMSGNSSNNFISRRPSEPSSVGLGGRPPVASETTHQAYIPPNQSSISQQLPG MYPPRTASRNSNRTVPRNRPYPVRTTSRTDIKFDFATSSRPPLPAQHAINDLDPANQS HMSSESSSSNASSTSMAQSGSSYASPPTENITLAREISETKHENDAAHTPPPDLALPP QPALPSILPDSPTDPFCLQGCLSPIPKPSMNRPPFSTASSSTVSGRASSRNLSSRELP NRRGTRGGNKGICRGCSQPIMAGQKSISSKDGRLSGRYHKQCFACHTCHGPFETADFY VHDDHPFCSEHYHALNGSLCTGCGKGIEGQYLEATKTNGKEAEKFHPKCLTCSTCCVS LHNDYFEWMGKAYCERDAKLAAGVPVQSPDDAFLPPRPSPSPRPTLSSDITPLARHGL PAGPRAGLRQSGPGPAGTPGNTFLFPFPPNPAYQMLDGLRDYYNEIMSYINASRFIFS NLAYLLTVAISYSFHSTR EPUS_02624 MPTLFFFILVIIVLISALSFIGLWQGKRQLGSVSPRCRGDNRGL KTSDPKSLLDIEERESFATRQEGDGGDTLLETGQEKQSPPPTSSLGLPLREQWLHALP PPHEDLHIQPAFCPTTAQFGITSGQLAMMVRQQDGRDHRDEITFQNSRSGSPLTSLSP SQPCPNVDEKENKNVELKFVRYYLEADVRQVWKRKILACAGSY EPUS_02626 MGCTQSTEDKEGRARNEEIETQLKKDKMSQRNEIKMLLLGAGES GKSTILKQMKLIHDNGYSREERESFKEIIYSNTVQSMRVILEAMESLELPLDDPRAEF HVQTIFMQPPQIEGDVLAPEVGTAVEALWKDAGVQECFSRSREYQLNDSARYYFDSIS RIAQPDYVPNDQDILRSRVKTTGITETSFHIGELTYRMYDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDESVNRMQEALTLFDSICNSRWFTKTSIILFLNKIDRFKE KLPVSPMQNYFPDYEGTHSWFAGHPFVPDPPKNCPSDWETGGPDYAAACDYILNRFVS LNQHDTKQVYTHFTCATDTTQIRFVMAAVNGKPSTLWTHMTSAIAPLSPTARVVLTKS SSGIGSWIGKGDSQQIVLMLQRQVSALHTHAFAFRHLVSSVTGIFGRHQQPRL EPUS_02627 MAYEMSSMKHVGSHPFNHNQSHEAEREYDRLRDLARQEAAKRSS CFDKAHQAYERRDAGAAHALSEAGKRHGAQMDAYNRQASEFIFRENNAEGRVASDTID LHGQFVEEAEDILEERIRYAQSTGQTHLHVIVGKGNHSPHHIQKIKPRVEKVCQELGL QYQTEANEGRMFINLTGGPAHSPPPTHAGGQQYPTHSVYPGAQQQHHQAHRPQHQQAH GHQGQNDEVEKMVKKFLPRILRKLEKQCCVVM EPUS_02628 MNSKQASFDYAKFNGHQVSKAVLMQGFGITKPQWDNIAKMVIKD LSKHKFTARDTFQAKACKEVQEQVAVNIIKEFPELSLHVSEPWLKKGTLQIVRSVHYA RRVKKSTPLKSRPSTGKASTSPLTKTIASPSTVSFDAGLKRMESQDEPGLSFASAIAA AYATSQKPAAISGDNPTLSNGSKDAHAQRILFDPRVAQFKMPDTSPAPRATAWEKNPK PHGDTPKMPSQITPAPKKDVHPDLKKIQLIATIVQDRTDSSLINLGELLLKQDRLGKA ISYSSLEDITDEDWEYYCYTYLPSDLERGFIPGTDKIYFDNGGDEMVRVKNAANWRGA MVAMQKAGLTQFKFYIKQPVNRVYMKIEDD EPUS_02629 MPKSHSSSTATPPKSRSPPATTTAGSSSSSSTATKRLLHEITEY THHPNPALLHLGPTSDTNLLQWEAVLKGPPSSLYAGGLWLLSISIPPSYPLLPPKLTF LTPICHPNINFSTGEICLTLLTTEHWSPLYTLSSTLSAVQQLLLDPVAESPLNVDIAN LYREEWGRRGW EPUS_02630 MSGVEVAGAVMEAGTCVKALVHYWKGMDVEQTYQQFTSKPQEEL KLPNALISAITQFKGLVQKIKNKFSGRTRRIKWLALRSDINNNIKAIMECYAIFQLSM QTMHMKLQQQQSTKEDVSKLQEAVDMNQRWSIFRDNIDWLFEPGSVVQEPEFRNELQS CRYSEPRWILEQKGYEDWQLDSPNTSNWLWGLGRQGAGKSTIASFLAHELRHRKALTR KDSRLEVPRYTNPRVTIFYCSYSEQSKQDVDMMFRCISRQLLTQLRTVDPSKTLEKSE LVNQLRDHSIRQAEIAHSDEKEFRPVDTKSLLVELLHDFARPYIIIDALDEYPGSIGE LLDQLVQLSATSVRLFVTSRNGDGGLMEDRAAKLRANILHITPSDEEICSYVGPRLEW ICDGKDNYLSARSTLVERLQNEHKRKAIAQKITSSADGSFLLATLQITTLRDSRDDDE LEERLNDMPSSLEGIIDDAISRIEKQNDRVSRFVGQKALLWVTCARRPLTVPELAQCL ATYRYLGLGLGPANIQTKDCPKAESLVEATGYFLHIEENTTTIRVHEAIRGYCKQSGV RERYFVDAEYEMAQVCLRYWTLDSFSSGHCTSEEEWRRRCQQYPFLDYAASHWGSHMK LSPQRRFLNDPRYPILEFLDMTGPIASAGQALWPNPNTSALPLYAPQAHDWPSLKQNS HPIMPALHLLSYFDLPRIAEKWIDQAKKEVDQPSHQGVTPLFLACQLGRQAMVELLLK HKANPTRGTGHKPSSLIPAVRHGHVEVVKVLLRHEPASQLVRLGNAWQRQPLSIAIAN QGKEMVQIILGFLDTLDDGEILLLHQDDQGYGPLHVAAKWNKTDVIGILTKRPGGEAL LHQRGRYWQDTPLHLATVAANGESIKTLIKLGAGLAATQSQGKTPLHLATQYPEVQHA SIVKLLLESGADPGLQDKNGRTPIHNAVNHGHAGILQTILDDGHTKTVDVQDLDGRTV LHCAVNDRKGDYLRMTRMLLQAGSDALEQDGSGQTALYYAIASRDRGLIDAFLDHPQY KNEILFIGIRYMASSPPRPDDGPHVAAQSGSTEAVHLTLRRDTNKESLEKGNACGRTP LDAIHPVFPSRD EPUS_02631 MRTSRVSKDTTKIFNALSPNRVRRSTRQAARTISTFTLGHAGSI NIKSEDTQESSSLESAPETNFSTDIEDSLTAPRCSHKRKRGSDSPATTIASTATGPTT SRTRISPRKRLKPDTSQPNNDDAATATTSSPSSSRAKALPKTRARKTTLPDGSEQIQP PSNWSTVYDMIKAQRQSNPTAPVDTMGCEATTS EPUS_02632 MKRKRKKSRGVVKVETKGGDEEMTVEMEEEVVEGKEEEIQEVKR EEEVER EPUS_02633 MHSSNHRSQASIASSLPWAADTTAAIRRGKIEISSPMPLPRKIP NEPGSRFDHGGHTYQTAPTSLGNTDTWPARGPGPALPDFNPQHLEENRALDGSGSYNN SNRTSAALTNTQQSMSSLPSSGSVRKDGGIRATFRKMFGSKRRRDALFTGTNDQRSNS VSLPNNPVSANHHALRKPSLLFEELIRGRALNSHAPNIVIPEPTRALKTGVETFRPLI DGYSRRNSLPSVVLSSQEAQRLGLTLNHQGTESDNAATHRPSKKATNRSFIDSVRRRS RSADELRDMARSQNTLQAQERRLSDEIKYWRNSVIETPIPPYISCRQEAKKGVENTRS TSSHTPTSPTKRFDFSGLMIGNVDASVEQRITTVEVKLVDLECAIANLQGHEVAPRAV LGKRPRRTQVLRELSQEDKASSRSSPNIIPQSSFDSFSTSSSTSDDSQRQANEHRNSV ANTLRPGTAAQADDSTAAASHSILSKQNSSEDEFARLVSILSREQEARQGLEIQVKDL QKQINELRYPGVHRGPPTPGHFSTPTSNLLDSPPISATRHTVLPFRTASPRLTLRMAS MQKENEGDETDTDDGFLDVYETPTEAIEYGFGIDSTRTPPLIGVV EPUS_02634 MPIKPAKLSPAAFAQAQLSLLNTEQSAEVAETTLLLSEASPTAL ARAGLAILNLNPVSQRTGLGGKTILELGLDPAVASAERGGSDLPEHGIRTGDIVRLGE QPGGGAKKKEKAEMKGNGVEGVVTRVGERAVWVALGKGSGGDEDVDIPSGKLWLVKVA NDVTYKRTSEAAVIVQDVRKEMDDKQASIKKTRNGRERRAIYADLKGLRKEYREREGK CVNDLLTASRVVLATLHGAGGFQLRTQQFDVVVIDEASQALEAQCWIPLLSARKAVLA GDHLQLPPTVKSLNIKTKSVAKTVGTALDERLQGLGLNDQDKETARSMRLETTMFDRL LSLHGPSIKTLLTTQYRMNEKIMRFPSNELYEAKLVAADSVKERLLKDLPYEVQDTED TREPLVFYDTQGGDFPERMEDHLVAERSTMLGDSKSNEMEAAVVQMHVGNLIDAGVRA EDIAVITPYNAQLASLSSLLKERYPGIELGSVDGFQGREKEAVIVSLVRSNPEKEVGF LGEKRRLNGEHMVCEHFAMIDNPFSGNDQTKKTSLRNRRFRDCQPVSKANISVDAEAL ADHGLRMLSQW EPUS_02635 MKTILLSAAAVVAGASSALAGIAPLQPRQSSLPAIEVRGNAFFA GDNRFYVRGVDYQPGGSSNLVDPIADIEICRRDIANFQKLGLNTIRVYTVDNTADHDE CMTELANAGIYLVLDVNTPKYSINRADPEISYNDVYLQSIFATVDAFAKYTNTLAFFS GNEVINDGPTSAAAPYVKAVTRDLRQYIGSRGYRKIPVGYSAADVDTNRLQMAQYMNC GTDDERSDFFAFNDYSWCDPSSFQQSGWDVKVRNFTGYGLPLFLSEYGCIEGERQFDE VSTLYSTQMTGVYSGGLVYEYSEEGSGYGLVTIDGTSVTENGDFAALSEALSGAPNPP DDGGYNATGGASGCPERDSPNWDVANDALPAIPEPAMKYMTEGAGTGPGLTGPGSQNS GTRSSGTATQGSGQVTSTASSSASGAAGGLVVPEFSWTPIICMSAMLLSTFFGATLL EPUS_02636 MLGEEKLDDMKLEANAGGDGRSQPRVYTLLSQIPAGRITSYASL ARALQTSPRAIGGALRNNPFAPEVPCHRVIAANGFVGGFMGDWEKAPSGINQGKKLEL LRGEGVEFNVEGRLVDRRKWWDGPWKV EPUS_02637 MFLPQTLVLSTLFLSALSSPTRPDQQQQQQRHEQEAAPTHGPAR LEEFQALLAEVDESSIHSALHLWSSKFKDGVFSHDRKTPPLATSLLHIAKRQSNNNTT TAPSSPEPSTASPTESDSPTSQSDSPSPSSDSPSSPSATEETQSPAPPPQTSDGVILP VSSRTTATRAPTTQAVPVSTPPSATPIATTDGRVVFSVSAAAGSSGNSGNSGSSSGTV AGGLVTVEASSELVSFSPSSSTVLSTFTLPDGRLSTQTSVTVVDAPVTGGGLGAHQPR PVPVEAAIPVYKRAWQARPEAYGRRSWLWLAARW EPUS_02638 MTSGEQNTASNGNTLADRISKPDPPVANDLSATATPFQPKSGKL WSEETDSPISPLAESSLPLESTEKSSTQTPPAEPSKPPNMPQSDGATTPFNGSVLEEP EFSVQVKLADLQADPNNPLYSVSTFEELNLKPEILKGIYSMNFRKPSKVQEKTLPLLM MNPPRNLIGQSQSGTGKTAAFTLNILSRLELDTPQQAATPQAIVLAPTRELARQIKGV IMVMGSFLPNLNVEIAVPVDTASRGRKMEGSVVVGTPGTVVDLLRRRLLDSRGVKILV LDEADNMLDQGGLTDQSIRVKGMLTRKDLQVVLFSATFPQAVVQFAGRFAPNANEMTL KHEDLTVEGIKQIYLDCNSEEDKFEVLVRFYGLLTIGSSIIFVKTRDTATKLEQRMTS EGHKVVSLSGGLDGATRDTIIDSFRMGHAKVLITTNVLARGIDVQSVSMVINYDVPTQ PNATTKRFEPDPQTYLHRIGRTGRFGRVGVAVTFVSNHQDWLALMDIQKYFGSDIQKV ATDDWDELEETVTRIIKSSRSGDNFKDGAAPMQI EPUS_02639 MAHAETVSFGAELKDGYKSTDAWVAHGIQWLEDIEQFYRERATI EKDYSAKLNGLAKKYFEKKAKKSSSLSVGETPSMTPGSLESASLTTWTTQLSTLEICA AEHDRFSQDLVGQVAKALEVYRARFEELRRSHADYQTKLLKERDSSYSELKKTKTKYD SICQEVENRRKKTESSFDYGKQKAQNAYQQQLVEMHNQKNTYLIAINVANKLKEKYYH EYVPELLDSLQDLSETRVAKLNSVWTLAVNLETTAMQRSKEHLDHLSREIPHNDPSLD SMMFVRHNTTQWTDPPDMVFEPSPVWLDNESLAVDEASRTFLRNMLAKSKPLAREMKA EIDKKSKEVENIKRIRQSVREGRDKRDEAELVKSLFYVSEEKHAMERKRLTAEIEVST ITAVVGDLSLGAKNHPFKAETFKIPTNCDLCGDRIWGLSAKGQHCKDCGFTCHSKCEM KVPADCPGEQSKEEKKKLKVERQEAAHAAMAVEVTSPNTNRHPASGGLARQDTMSSLS SGYATSAQRSVSGLPPRSAGLGAEESVAQPRSPIDPAPASKPAPARRNRVVAPPPAAY VSAPPPEPTSSDGPSRARGKMIYSYEARDEGELSVKEGKDVTIIEPDDGGWTKIKAGL GQEGLVPTAYIEELAPEPEASSIVERPVSVYSDSSATSTNIAKKKGPAVAPRRGAKKI KYCEALYAYTAQSDAEFDMQEGDRFELISMGGGDGWADVAKGGETRNVPANYIQEL EPUS_02640 MGSSAFRNESEEPFVATTHDANQPSNPKPPLLRETRTISNRGLV AESSPLEGRSINTIPREDTDVEDLFNPYSSRGLSNQLVESSHHTPYPWHNPPFANTGL HDRPQGVHMHNLCNNVHEAEQPDGVATESSHCQHVRQGMQVNGDFFIASNTTHVFPQN PDGSQMLFRSFSNTTEVFPFTTETQTADGESWSGPVMLHPPTTNQPINSASYDDLQTY IAYDMSRLQIDPGAGPREMLANPADLPDWMYKEPVQPSQDPFAEFPRTDSLGGNVDMH SWNCS EPUS_02641 MAFSQMPTVSALTSDLWPSFSNALSAPWKEMRRVRRGDISRRNP SEGKKHLHERSNPRVQPVSLIHEVAEIMSELPQKNSRIPLPECLQSVPMSALRLCPAV LPTTKHSSLWSTPPKWVEHYGGGTRKSTMLQGGYRRSLWTSAQVDRVALSASAIPKPV SAPPFLVRTFLLGPPLRGPRSALAQERSYSSSSQLASQSMLAHAERTANNNPTSATAQ AAFYSALLRANMAKIIVERYQTGRYASNSVADAMYLKALQQVGSVPVPGNSSPSTNMS TEPSGISAHKLQAVGQAVAAQSKNGQVGAVTGKNTSGTGAKEAPLYVVVEESTGAIVF RWAKMLIYAALSVYVALVVLTLIVEMTGSLRTRTAQNNEVQPQHQTVRFSDIHGCDDA KDELQELVEFLINPERFSSLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGCPVFYMSG SEFDEMYVGVGAKRVRDLFAQARAKAPAIIFIDELDAVGGKRNERDPAYAKQTLNQLL TELDGFSPSTGVILIAATNYPQSLDKALTRPGRFDRRVQVPLPDVRGRTDILKHHMKK VAVAPDVDPTVLARGTVGFSGADLENLVNQAAVHASRKRLKKVGPLDFEWAKDKIMMG AESRSRVIRDFDKVVTAYHEAGHALVNLLTPGSMQLHKMTIIPRGMALGVTSKLPDMD AVSRNYKQYMADIDMCMGGRAAEELVFGADRVSSGISNDLSQATSLAYALVTEYGFSE KLGSLDLHTNYDSLSSQTKQDIEQEVRSIVEGARRRADEILKSKRKELEALKDALIEH ETLTRDEILQVMKGEKLNRIEPELQQDGSKEEKTDDDEQGRGGPPQPKQKKETGKKGG VGIKLPDVLLPPGTRREGDGT EPUS_02642 MLLLATPSLLQLTYCSAACIAGYWLYWQSTTGRRHRQFVKKHGC RPARKVKNRDPVLGLDAVFAMWRWSDQHILFEKTSQKFFGSGAKTVEFAFLTANVILT CEGENIKSVLSQNFRAFGIANTPSELELLLRGGIFLNEGEAWHQSRELIRPSFARSQV ADLDMLEKHVNTLIDGIPRDGSTVDLSTLFSQLTLSTAIEFLFGENSDEEDSAADKVS NHAFTEVWDRVSRYLANAGEGEHGKLWIYNYLLDRIRMNPQYRRDCSTIHHYVDKLVE KSLSSKQLESLSTSTTKPRYVFVHELVSQTTDKVRIRNESLSVLFAARDTTAGLLTNV WFELSKRPDIWTRLRNEIDTLNGNKPNYEELKNLKYLRAVLNESQRLYPIIPAGGRKA KEDVALPVGGGEDGRSPVFVRKGQFVLFGIHAMHRRKDLYGEDADIFRPERWLDTEEK RGLRMGWEYLPFSGGPRVCPGQNFALTEASYVTIRLIQSFPGRLESRDPEPWRELITF IVSNLGGCKVGLFPRESEANPDKGERARLKGGFELAIKMPSPILGAGCNGS EPUS_02643 MPGQCYQRSNRLHWNRRQMFMYEFGLCKCAILLFGDVVCTVGPR HTSTATTNPLVTTISGAVESALTSFIGNDPITATFNGRPLLTGTCAQPYFAQATGSTG MITAFPQIGCSHNLDGCCPFDSYQNAVLTRCPQDYSTIASACCPSGWQIYFTDLGGQT PCFTRPTITHIPATTSTVAGLSVITEHVFTRKYDLSDGAIQGSRSLGTGAIAGIAVGG VAGLGVIGALIFFYLRRRKAQKAPQTLATFGGGEGEMVIASPATATAELASPHTLPNS PGSGRSAWISPSSPPAYEHNVDSFRAKARTVAQELPGSTFIFEHHPAYAGQEDQSVTV APSSPPRTPGKTPPGSPHAKTTSSTQVVSPVGSPLQR EPUS_02644 MSSDRVSPKSHNTYVLVTGANSGLGLATCCRLIDEFLDSRPPTH QLTLVFTTRDDRKGSATLAALKKHESNHKTILPRVRISHPPIFFRSENLELTSLLSIR ALTQRLLRSAIPRLDAIILNAGVGGFVGIKWPQAFWTAGTDLVEATTWPTFKLSATGL ITPPQLPSSTRPDREDEEPVLGEIFCANIFGHYLLTHWLMPLLRACPHSHPARIIWLS SIEAQPHHFNPSDIQALTSRNAYEHAKRMTDLLALTSSTENRATSKSVHSFLSLPSPT DRRLTNNPCPSKPTIHVAHPGICATSIVPLPFLLNLLMILAPSTLPASIPSPPTSAGP AKWGSAVTRWGRGSVQRTDVSGWGLSGDGGVVEWWQAGPGWLGGWGRKRGARDATRED VEGFVEEGQLVWRELEALREVWEGRIEEYERSEKKAE EPUS_02645 MPRRQLFVSRIFMWQSPATTSHPAATDQILLTTAGESPKVFVGS IDNGTTSSRFLIFDRAGTPIASHQIEFEQIYPNPGWHEHNPDELVSSVEECIEKACKE FGSQGHSLSDIKVVGITNQRETTVVWDSETGEHLHNAIVWTDTRTASIVRELKARTGA GDLTELCGLPLSTYPSSTKLLWLLRNDDKVKKAYEQGRLAFGTVDAWLVYKLNGGPAK NVFVSDPTNASRTMWMNIHKLEYDEKLISFFELDTKKLHLPKIVPSSDPNAYGQLADG PLKGVKIGGVLGDQSAALVGQCGFSPGRAKNTYGTGCFLLYNVGEKPVISKHGLLATV AYDFRGQNIPPVYALEGSIAVAGSSIKFLMNNLGFFGDSAKVNEVASSVEDNGGCVFV TAFSGLFAPYWIDDAKGTIFGITAHTQKGHIARATLEATCFQTKAILEAMEKDSGHKL EQLAVDGGMSNSDLCMQTQADLIQIPVDRPSSEQISTPPPSPPFSYLCGGRTCLTNPP LRFRRRHLEEFRRAKGDQSRWEDVF EPUS_02646 MFRPTALRSLPRSLPSYNVSVARPAWRSVTFKAQLPSQIPRVNT SKPLALAVQRPIMTSLIRYQSTINKAAEEEYSKGKLEANPDIVSSGSSVRHVTYEVGA EDSEADVDMMAGIKADFKTIIDTFSLKDVPREALYVGMAGVLPYLATSLSTVYLAFDI QHAANTGSGWLLSGGTAETLLHIIEPIQLGYGASIISFLGAIHWGLEWAGFGGHQGYP RYAIGVLATAVAWPTLLLSAELGLITQFLAFTFLYYVDARAATRGWAPSWYSVYRFVL TFVVGASIVFSLIGRGQVADLVTKPPGPADRMRALRESQMEQLEREEDERRSRIVEED EAEEEEEEEDEEE EPUS_02647 MLAGLVANLLNRFLGMYIKNFDAKQLKVGIWAGELALRDLELRR EALDQLHLPVNVVEGHLGQLTLSIPWSNLRGKPVSVKIEDVFLLAAPKEESDYDAEEE EKRAQAVKIEKLESAELLKERSTEGMSTEEQQKNQNFTQSLVTAIVDNLQITIKNVHL RYEDSIAAPGHPFALGVTLQEMSAVSTDANWTPMFIQSTSGTTHKLAVLKALAFYWNT DTKLFGTGRGSQVGAEAQGADPAELLSRFRGALEGDDEYQYMLKPVSGRAGIEMDKSG KIEKPKTKARLLFQELGFILDEEQYRDALMLVDLFHYFLRHQEYKKDQPEKTPKEDPK AWLRFAGNAVLSKIHDRNRRWTWDFFKERRDNRLRYIDLFKKKKKEQPLTAEETEEFN DLEYHLTYEDLRFWRSLARNQLKKENVGVKKPAPKQTWTSWVWGSKPQEETKEDEAGM TDAQRKELYDAIDWDEKKAIAESVELPRESVKLQIESSLKTGSFTLKRDPHGAANDIL KLVFDKFRLKALQRPDSFFADVALGGLRVYDGTTEHSLFPQIVKVKDALPQPKDEDGH ASADLQNLDGSSDESEKQTQDSLFHLIFENNPLDESADTAVTLTLKSIEVIYNPRFLV ETARFFKPPERHMESIGALLETAGARVEDIRQQTRAGLEFALEEHKTVNANLDIQAPL IIIPESITAHSSLCLIFDVGHVALNSKLVDKDTIKEVQAKQKKQYSEEDYRQLEGLMY DKFLLALHSTQVLIGPGIEATKTELYSEHQTGSMHVIDRINMDFTLETSIVPKAADIT KTRVSGHLPVLHASISDKKYKNLMKLIDVAIPQFDEDMDSEGDDKIARPASQTRQSRA QSQAFPLTTQKEVPVLDRDHDSDNEDAESRKSEKSDKPVNLHQRNFEFNFTVDKLQGS LYRSDPEGNNPDQLLVELVAEHFAFDFYLRPFDMVAEVLLSSLTVDDHIEADPTPEFK QIISSKGFKAETDKDLFNVRFVKVNPDSPEFDSTYESIATNLDVSISTINLVVTRKTL LTLLDFVLLTFTDSDTQDQKQGTPKKTKGIEGPETKEASEKQPSTDKIRIKANLNSIA LILNNDGVRLATLSLNSGNVGVFLRDGTMQVKARLGSLSLVDDINQGAPESSPLRRLI TIEGDDFADFKYQTYDSKLKGYPGYDSGIYLRSGSIKLNFLEEPFRKIMEFGVKFGKM QAIFNAARQAAANQASQLQENASKMHFDIVVKTPILVFPRVMVDDRPRDLLTAYLGEI YASNKFVTLPNQRDGPSINKLSAGIRHIRLTSEFHFEDGQSEELEMIEKVDLNFKIRN MEHQPGLERPDTEIQGSMSPVNLRISQTQFKFLMELTKTIPAAFATEEESDEQIAEEL PEETARPAKLLTKDTDHSEVTKSPSHQGPEIGTSDETWTTLNLVFKAETIGLELILAN EDGPTGDLDEASLSKFSLNDTNVKLRMVSDGALEAELLVHSFTARDSRSRDTNKFRKI MSLINNDVQQQFMASFSVSGGEQKHMIAMLTIDSPRIIVALDYVFALQAFANAALASD DVSPVDVELEEDDQEDEPAEESNEASKSKKEDETTSAGAPAMTTSFRVNVVDAQVILI ANPAISNTEAIVLGTKEVLVSQQNATTLQITKIGMFLCRMDKFETSRLRILDDFTIQM SMDSRSQGKNSSLTSIHVDIEPLVLRLSLRDILLALQIVSKASEMAPTDEKKIQDQEP KKLKEVKSASEPSSSKRRSGDAAPSTTARQSKKSGTVAKSKSKSGHQKPQHRESVVMK REEMTAEVQGIRVVLIGDLHELPLLDWSVKKFHVDVRDWSASMTADLNLNTFINVYNF SKSAWEPLIEPWQLGFHMSKDQQPEVLSLDLYSHKTMELTITSATIALASKSFQFLSS DEDMLSKPRIADAPYRIRNYTGFDLRVWADDNKGDEGAAAKLVDGEEHSWRFEDATSM RESLSPEGNAGMVGIKLEGSGFDSINRIAVVREGETLYNLKPRQDKILHRLLVEVKLG TDNVKYITFRSPLLVENRTQIPIELGVFDPDQGTLMKIEKIPPGEGRPAPVGAAFVHS LVLRPDQGFGYEWSNERLFWKDLLRRPTRTISCRGESGNQSPPFYFQTNAAYDKKDPT TQIYPYMRIAVSAPIEIQNLLPYDFKYRIYDKNTKKDWTNFLRKGGVSPVHVVELSHL LLLSIEMQDTVFKQSEFAIINSNTHEDFRRESTLSVKDRQGAELRLKLHYFNIRNSGG AFKVSVYSPYVILNKTGLDISIQSKAIFGSAKSAAGQGIRTESGSGGRKAVPYMYSYP TDDRKNRSILRIGDSGWSKPQSFDAIGSTFEVVVPSADGKSELHAGVSVAEGEGKYSL AKVVTLTPRFMVKNRMSEEIDVREPGSSSVMKLKPGDLIPLHFMRRTPEMQLCLCFPG VNNQWSAPFNISNVGMTHVKLAKHGQRQKLIRVEIIMEDATIFLHVSIETNHWPFSIR NESDTEFMFFQCNPNLSEDEEEDGQSSWKPIRYKLPPRSIMPYAWDYPAAKNKELVLT SAGKERYVKLAEIGNLIPMKLPPMQRGGPVKVIDLNIVANGPTQTLALSNYRPSRSMY RQKTGQSTASQSSSSQGFEVKQVDSELTFKAQLRLAGLGISLVTKHLKELLYMTYREI DVKYGESRLYQTLNTTIKWIQIDNQLYGGIFPILLYPSVVPKTGKEMEAHPIFHTMIT RVKDDSYGVLYIKYASLLLQQITIELDEDFIFALLDFTKVPGASWSEEVEGKLCDEDL GVPEPTKEHQGQDVYFELLHLQPMQLDLSFVRTERVNAEDTFQTSNPIMFFVNVMTMS IGNVNDAPVRLNALMIENARISIPALIANIQSHYTQEFLRQIHIILGSADFLGNPVGL FNNVSSGVADIFYEPYQGLVMTDRPQDLGIGIAKGASSFVKKSVFGFSDSVAKFTGSM SKGLAAASLDKEFQDQRRMSKSRNRPKHALYGVTSGGSAFASSMASGIGGLARHPIQG AEKEGAVGFVKGVGKGFLGLATKPAIGAFDLASNLAEGVRNTTTVFDAEGLDRVRLTR FIGMDGVVRPFSQREALGQFWLKTVEDGKYFHEDYIAHMDLGAQKDMIIMLTYERIML IRAKKMRVEWEVKLTDVQTISKERTGMSIVLKNGVAGPFLPVQDEDSRNWLYKQIAIA VNAFNDKYNAKG EPUS_02648 MSNTRECSLIVPWVYDTALWLLSILVDLFFREIHPRGAWRVPRK GPIIVVAAPHANQFVDPLILMRVLRRDANRRVSFLIAEKSMKRRFIGTASAAVGALPV ARALDSVKAAKGRIYLANPEDDPTLIHGIGTDFKSGDVEVGGLIVLPKIRGQTPSTEI AEIISPTELRLKKPFKTPEALESLAGKASPSDEGASGNSPSGDSDTRGTTFKVAPHVD QTKVYDRVFEDLRAGGCIGIFPEGGSHDRPDLLPLKAGVAIMALGALAADPDCGLKII PCGMNYFHAHKFRSRAVIEFGHPIEPHPDQIEAYKKGDRRNAVGSLLETVYQGLVAVT QTSPDYETLMLVQAARRLYNPTGKKLPLPLVVELNRRLVKGYTQYQDDERIVQLKKAV NDYNRSLSSLGLRDHQVEWGNVQRRPWYIILGTLIYRLGKLLILSIGTLPGLAMFWPV FVTTKLISMKKSKEALAASSVKLQGRDVITTWKLLVALAFAPALYVYYTAIVSVWLYY NRVDGYYTNRVPQWMIARTYIPDWVPLWMFAIFFLMLCTSITFAALRIGEIGMDIVKS LPPLFVALNPSSSNTLVRLRARREQLSAAVTELINTLGPEVFPDFDAERIVADPFREG AYQSKLKRMDRETASEPSTPTEIEGDDRPSSPTGKSFGLLPRNESFQNLGGFGFFATR PPSRSRSRNSSTGDLAMSGSMPIKAFSSLDHKESFDEVTRRIRGAMQERGKERSRRQS EGESSWDMASSGAATPGSEEDKKHQ EPUS_02649 MTARLRKWLLTSPPAEWAISQLRELLIGALRQGPVPRHIAFVMD GNRRFARDRRIESIEGHHLGFEALAKILEVCYKAGVTHVTIYAFSIENFKRSKYEVDA LMDMAKVKLNQMCQHGDLLDRYGACIRVLGQRELIKPDVLKAVDKAVEMTSRNGNAVL NICFPYTSRDEITTAVRRTVDEWSQPLPERTGASPFKEDRITQNIRKQNKGTGNETEG TYLSPPKSMLSPSSSTTSLSSNGDSLPDSRSSLSSSTTLHQSSSPPFFTADLKDPLSI PSPPPTTPTLQQQPQQQQQQPPQPTYHPSPEAITTSTLTSHMFTADNPPLDLLVRTSS VHRLSDFMLWQCHQNTEIAFLDVLWPEFDLWTFLPVLWEWQWRQKKMRSENEDGKGRV QGRERSDSRIRADRKKTVKRLVGEALD EPUS_02650 MKVASLPPNSPLEIEPWVLIAIAVAIVVLRCFARIQATGVRQLE LDDWAMNIALILFICTGFFARIVAAATNIDPRVNPNQMPIALTPGLSNNNMTDNYRAS LDPASVEHQIRVAGSKLHLIGWSLYVSTLWCVKLCIAVFYTRLIDGLFHMMIHIRIAY VAIGVSYVAVMATLLGACQPFHRHWQINPNPGDHCLPATSVLTSYVVVVLNVLTDLYL VHIPILILWNARISQSKKLFLIMLFSGAIFVIIASIIRVYFIQAGDRDGGESAAIWGL RETLVAFIIGNLPVIYGGIRLWRQRFENSEVHPRSRARIRGWPGGNRIRRLFSRPGRC EDPIMSEKATPGYDCATLTAMDAKESSSESGRQASPPLGPYRASSCFSTDMRGSHAPC AEMDSTFGVQVIRGSKVDIESAQSKDETVETARKHSRMDSKDWLGPLLMDPPSGKPNL GRVSQPPSEGWPLRRSILQIPIDRQQRESDTLDDPNDTKWFTTDTP EPUS_02651 MSHGYAHDLERLRRREYPTLKDVTYLDHAGTTPYAKSLVQRFSR DMVHNLYGNPHSASPSSDLSTQRVAAVRDRILRFFHADPEHFDLVFVANASAAIKMVV ESFAEIETPGFWYGYHKDAHTSLVGIRQLAFSSRCFESDREVDMWLKNIGKGESFEAW SDVSVGLFAYPAQSNMNGHRLPLRWAEEVRQKCLTSTSQRIYTLLDAAAYVMTAQLDL SNEKAAPDFTALSFYKIFGFPDLGALIVRKAAGSMLRRRRYFGGGTVDMVTVMKNPWH AKKDQTLHEALEDGTLPFHQIVALGYALDVHAETFGSMINVSEHTCALAAYTHSHLQA LRHANGRPVCTIYRDEASRYGDSKSQGPTIAFNIQNSQGGWVGKSDVERLAIVRGIHL RTGGVCNPGGIATFCNLAHWELRRNFSEGMRCGDDLDIIGGKPTGIVRISFGAMSNQR DADNLLRFVDQLFVEKQISVLRAQASVAPAECNVKSLTIFPIIGCAGWDVPPNVSWAV GGRGLAWDREWCVVPQCSDTPLDSVQHARLMLIKPELDIEHGILSLTGPVSSNDADKP SHLKISLWESPGEQDHMESEHRIKDSYQSEVIKAFFTSALGFPSTLARFRDHRRVSKA RRLPTPSLSSLEEHSFSVSLPSHASSTNLSIPYHPGWTNHRYVKINQFIFEKVVSHRN VWSFRHLHDAQDKSAAAQNPCIKVDDEVRSYAVLSDHYSMEKGVSVTCVDADQFVCVV WNCQKAFMSDVELADHLYCHKSKPETSSCVKEVIEWGLGRMCLPRGTKHQKVFVDTKA EPUS_02652 MAYNHPRDVIPDIEKAFNDVHLHNDIIDTFCWERVSVRVKDKTQ DKRLLESIDGAAYAGELVAMMGPSGSGKSTLLNVLAHRPFEPKFSVEGQLCINRAAAS TAIFRRASCFVEQEDSLIGSLTARETLDFAAKLGLPNSVSRAERKRRVEELLASFGLL RVADTIIGTAIRKGLSGGEKRRVSVASQLITCPKILFLDEPTSGLDSVASFEVMSLLK EVAKKNRIIVIASIHQPSTATFNLFDKVFLLSQGKLCYGGPVSFLSNYLEDIRLPMPL HMNPAEYILELVNVDFASDQIRAEQLIRITQLWQNPAKRPREAQVVDVSFDSSARPVS LMPLTKRSQLLIPITLLHRNWIKSYRDVLTYGIRIAMYLGLALMMGTVWLRLQSDQEY IQPFINAIFFGSAFMSFMAVAYVPAYLEDRSTFIKERANGLYGATSFLVANFIIGLPW LFLISVLFSIIAYWLCNFRPDASAFFTFVMWLFLDLVAAESLVVFMASIFPVFVIALA LTAFANGLWMSVGGFLVNPTVLNVFWKYWARYIDFQSYVFQGMMVNEFSQRVFECSPA PSGSQADCSCMYRSHLQDQCQIDGEAILDSYGYGRGNTRLWVGILLCIVLGYRLLTLG VLMLKKT EPUS_02653 MTGRLWPSFARLVVRRISGRAERNDSEQLNLVKKHKTSLRNGYG GTEASNGEQDTTFMTRYMVQKVLKREDIRELLHTYRWYHDSQLESIYSKFIRIIATLI TIEWTEWADFDRLFLSHKNGDRYTRCDDQLPFRSTSDLGFFSPQEAWRRFFHLEQFVY SPIVLKENDHSVYRADERLPFLKCEERSRGGYGVVFRVVVQKYQIEYARHRSPNHEKE VMALKVIRDERSFKNERAINEGFKKGFSSCEVILLSFGSFEHGSTLNILYPWAELNLA ELLSGDYDCILERGVELTPRALVSQLHQLSHGLQFMHNELYVNGPKKCSHLDLKPDNI LIFLGQKDHRSDAQGSKTVMHWKIADFGLSALLDRDESQNRVGGPAPGTFQRSSMIEH SSLPAPRPPGPFQAPEMQAGSTVNRSTDMWSFGCIACDVFAFILGGPLEEHKLFLQRK RGYVNDYFWTTGADDSFVVKPEVEEWLSLQEAKWGSESSLGWIWRAMTLVRKLLQIDK SQRLQATKTRAELLEILQMTEELSMPWNLPPVSDLTELRQSYQDSFATDKSNFDAMLL EDQTPSHEKWVPQASDAARPQLQPKSPLERLFPLHLKRSDTILHPDLARRVSWPAPPT SAQYYRVSVPSGTFQSVLSADAGCVSFCSDDYVYLYDLCSILEGSDLFMPDERQCLPA DGPAKLASFRARITHHYTSVLLAGPYVGLQMVSHQQEHSDRVEIYKRSGASFQTDPIA IIPLGPGCLEANLSARGGLMLMYEHKLDICLLDPFRLQALELENNQRLMSATFSPDGL SIGAWYITDNRDQWCMWEVGTDNITRKQWQYAPRNRADIELNTKTTNRRLIPGTDSHT FIAFTNSGQLYFLNDHGREKLDTCPVLCPGAYAAVYDPQSDALLLLSKEQDGHWSHVD LVRMISDNGDLQDRVKRVWFHVDKHSHGIAEGLVLFTNPGQSGFLTSFVDGFLVKQPF PHDVGTVSDKMEI EPUS_02654 MHARGLHRHAEVKSAHAGEAPGHESRWDRNGYNSRVASCPSQLQ RVLFASGLAKMTALGCWQQYSDKVIKPEGRADSERYGSLLTALANSLFDGKTEVEILD IGGDGTPVQSKCLSSSEEIEQHLQGDRPAFRLVSLSKKHSLKPFMIEKHSFLKVLTTH KIRPCFLDMFFGFRAQGELSEKSFGVWSQPAWRGQSTVICYQFRYIERNDHKQGSRWS ERQIGVYHEISSHSDNSGVMILLHAMPGSKVQRRISKELADGTERGGHAQRLRPFQMH LLIFSSYLDEWRWYLDEIGTTCREMEDEILTAELKHDQSLSFSTLQTLRHLESKLITM VTILRTTVETIEQVALLCADFEQNDGSQIVARTNTPSPTVLIHVDTKNLQDLARRAQS YKASAKYIQSRAEKLIDTMASTKKANTQQRTSVNRS EPUS_02655 MNASRQQDITWTVEYEQSLKTILRRTLRPWQIFSIVISGTIGIG FIQNTSYALRVAGPGGAFLAVALVGVVVISVMECICEMLSVWPIPNAMVEFVSAFVDE ELAIVIGVSYWIMQMLMYSALVTSAVSLCGYWHLSTSWRAVLHVTVPLVLIAINFRPA HIFGMFNVLVGLTKSGFLVVLFILMILRNNGWGDGNSIGSHFFNEGIEHDPEAADGRF AAVCIAISMSAFSYLGLETVMTTAFEVRQPRDLQFTLKNTPLLVWIIYAVLTLLFTLN VPWNDPELPRYSNQGLGGLIQDRGVNSTSPSLVAPIVALRRADLNVDVLNAFFVFTAL SAANVALYSASRTLFGLARSTTLLSFTQSLPANRNWLQKIHKQFEIALGTVGKRTSVP WRAVLLSAVMFCWLPFVGLKRTNSTFEFQQTLLNLGSVNGIVIWGSQCLAYIRYRYYL QKHQERLIDFYSQFLPSRRTNYFTYFQPALAYLGLLSCLLVVVGFNSASLWNGKEIGL KFAGAYISPIVGSVLWIVLKACRGKRLTLQFQLSSWNDFRKQLHRLTDLVYPTDYPTS AESKEPPDTHNKVRGARIDDMILTRPNLRTIPHRSTSGDDQGPPGDFVRVANGHLHEL PYDLHPCSTQMSHTDMSFDTGTQEKMSLDETPPLSTVSEVLVQDFTRKELKRPHSDIA VERVEMDSDPSVLRKPSADI EPUS_02656 MSYVALRKAGAGADPRGVRAHISMIEPLEVDVGSAEELICYDAQ ISFMIVGKGNDCWKAYCNVDSWFGSEKDVDAYLANDEDGPSGGARLASDLCLDPKEYF LLVLSQRFRQTGMEWGNLCDVLMARTDTYESRYLQTIDTADLRDDQAMSRTRSYSKAA SMLRQYHDTLSTTLDRLQDFLNRNEDTFKDQKDFQDQCGCYFRTIDIEFTHLSRWRQR LHERMQRFDMMRDGLLNASVLRESRRSTQQASDIETEHNIAGLLAGTTDSRLTTHGAL QTQRLARSLVQERAIHFTHIFSSDLSRACLTADAIHNAQLGEKSSERVKAERIVLDIL REQDFGSLECRPWTSKAAATRASSNLLDPDHPDFKPKETQEAMATRMNTFLNETLLPL LAADSEIGSKVAVVSHGIILSVLWRILLQQFGAGSVSLGPEVSASTGSRPLEYLPGWS NTGYLELDINCAQVRPDLDIPRSPNTDLRVSVLSGYKMLIRAINRKDHLNNLKRTRGG LGSTAFDAKQKSLEGFFKKPKIEVQNHKAG EPUS_02657 MVYLAAPMFSLGVAIAAWVSASFWVFAIIMGNPDGTERRDDGRA TVLAASVVLSSVEDTLRDQKTNITPTAYFAALLAILRQCSSAALIEGNAELVTSTVYL LDLVSSYVPPPLLRTQFSQILALIAPFFVSNETGAPLLRSALGCLESLLVAQDSAAWN LPSSQTGPRKAIPVLLTLAVDGRPKIRKRSLEALTNVLKHPPQGPALDHPVADHCAST ALTSLKEAVNTASQLRKQKDRHDNGHDPFLIHALQLTKTIAAASGGWPSKKIEPLVEA LMTISKSTNDYIVMGAFEVFEAIFEGMHDEISSSKLPRLLDAIADLKPAQNDSQLLPP WIAVLSRGYQLASEVSPEDTFIKLPDLVDMISPFLSQPSLNIRVSASECLISLFANCI PRRTLVEPSVYDEKVFEQLGRKASDLLSIKYQAAWMEIFRILSAFIQAMRWRGTHYLL SVVKAVGELRGNDSFQGKKEADEVLGHAIHNMGPDVILSVLPHNLDQNNDRHPGRAWL LPLLRDHVSNTRLAHFKSDLMHLSERIYQRVIDHGRAEKTARIKIYETVIHQIWATLP GYCDLPIDLQAAFDQPFAEMLSNLLYKQIELRVDLCRSLQNLVESNQAVLAAELSEED MLYEHRITKSGAAQNIQHLAGLSSNLLAVLFNVYSQTIPQSRGYILECINAYLSITSG EDLVETFNRVSSVLTTSLPEAGHAPPPKQQQPKDKLPPASHTLLDLTIALSTYLPRST FPSLFSLASRILNHPTATTTDPQLIKKAYKLIPRLATSEAGAAALRARNSELQSLILS TSDKTPVPARRDRLLAIHSLINYLPTEDLHFIPSILSEIVLACKDTNQRARQAGFELL IHVTNRITDSERNPPGTVIRNNLVPHMPDDAPAALATLEEVFTMVSAGLAGVAPHMVA ATVAALARLLFEFHTKLAKPVLEDLLGTVEMFLQSSNREIVRSVLGFVKVAVVVLPDE LLGEQRMSRLLKGCMVWSKENKGRMRMKVKGILERCLRRFGQEIVDGWLASDEAGRKM VANLRKRRDRAKRKKSANSKVEDESDEGGREAEARKTKKTEFDNEFDEAVYGSDDDSD IIIGSDDGEQAAAEDMTGVSFKHGSTTKGKAIASARKAHHRDRFIREDASDDEPLDLL APNALARISSRKSHPSGPGVKRKTKAKVNADGKLVFGGDPDADGDGDGDVLMGDGAAE SIGAVNAYVDAVDGPDAVRRGQKGKLKVGSANRKKVKASSGGEGGGGGGGGGGEEMEL DENEAREVGRGIRSPRGFGKGRLPLRRGLGVEKVRQSGSSGGGGGATRAGRVQKRGLE GAGRGGSRGAGGGRGRNNVRFRGGRR EPUS_02658 MASNGQPPARRSHQDSMRLSDAVAVLQSPELLARTALRNGQSIP ATRLAYEKLAAGIKEKEDGRGFRRDVTAAEDKFNPDWMKNEEKETMEQRRSRN EPUS_02659 MSSNSNSSTSPPPRVPPHIRLNSAQDDLLLHETTRPQTSGTSRS LIPPVGPIRTQSSQSQLYNAPANQDSQERLLPPHHSKSHRLREDDSPIKSPERSGFSS RRTSWSSERSRDSRGFENPFSDSRGPSRPDSRADSDDDNVNTQTVSEKYNILPSAGLL LFPEDVEKDDYLHNPDPSGKEDRDCDVFTRRGMINVGGLAFITIGILVLFIGYPVLSF VQNYTTKPDPCKINPDCIRVGKIPLLKNVRQTLIDPDTPKSAMRKKDHHGNTWNLVFS DEFSKSGRTFFDGDDPYWEAMDIWYGVTQDLEWYDPDAVTTNNGVLELRFDAFQNHGL NYRSGMLQSWNKMCFKGGRLEASISLPGRGDTVGFWPGFWAMGNLGRAGYAATTDGMW PYSYHDECDAGITANQSSSDGLSYLPGMRLPACTCKGADHPSPGKSRSAPELDALESS VHTLDSAGNQVGVVSQTFQLAPFDIWYQPNTDYMEVYDYSITKINEYHGGPFQQAVSG LSNLNNQWYDGNGYQTYAFEYTPGADGDSTFFVGDEATWRLTADAIGPNGNIGQRVFP EEPMYTIVNFGMSNGFSALNLTGIAPLLPATMRIDNIRIYQDPNAESVTCDPPGYETT RYIAQHYDVYTNANLTQWSQTNYDWPKNSLVNDC EPUS_02660 MASDEDYTSFLEKANQPTGAATASTTSAISKLPNQADLPSQSSN IPPALKSLDVAFTSESDEPFEPFAVPYPGSSLPDAAEFAKVIKHEKGQAGVEELSVKD FDPSGEYAEVLEKVAEAARNRGEVKCFRVDAGRSTKVLYYVVGLDREMGRLVGVRAVS VES EPUS_02661 MKQHSFSPPSCSLAFLPRKRASRHRGKVKSFPKDDPKKPVHLTA AMGYKAGMTTIVRDLDRPGAKMHKKEVVEAVTVIETPPMMVVGLVGYIETPRGLRSLT TVWAEHLSDELKRRFYKNWYKSKKKAFTKYAKKHSENKASSITRELERIKKYCTVVRV LAHTQIRQTPLKQKKAHLMEIQVNGGSVADKVEFGHGLFEKPVDIDTIFEQDEMIDCI AVTKGHGFQGVTSRWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQDGYHHRT SVNHKVYRIGKGSNEANASTEFDPSKKQITPLGGFVRYGEVKNDFVLLKGSVPGVKKR VMTLRKSMFPHTSRKSLEKVELKWIDTSSKFGHGAYQTAAEKRHYLGTLKKDLSAAA EPUS_02662 MSSHPQPSPPGGMPAHLGPMMGHPQVNGHIPVQKSNGPQIITQM NEQIWIQLGSLAELMGDLDGALQSYEHALRHNQWSVPAMTGIATILRTREQFAKAVEY LQNILKIDANNGEIWGSLGHCHLMMDNLQEAYTSYQQALYYLRDPKEPKLWYGIGILY DRYGSLDHAEEAFSQVMRMQPDFEKANEIYFRLGIIYKQQQKFQQSLECFRYIVHDPP RPLNEEDIWFQIGHVHEQQKDYESAKHAYRRVLERDSKHAKVLQQLGWLHHQQNNEDA QSWYLLGRCYMAQQKFPKAYEAYQQAVYRDGRNPTFWCSIGVLYYQINQYRDALDAYS RAIRLNPYISEVWYDLGTLYESCNNQTNDALDAYTRAAELDPTNIHIKARLQLLRSGQ ATGPNQHNAPIPQDVHPQAYQNGVGVPPGPQWGAAAPQAAPPQHPQPPQGRVADWTRN IADVSNPPQQPPLAKPYEQRDSMRAPPQRQPSPRQEPPRHYADQARHTPARKPMSPSP KMNSAVPSMYPPGPHTLPQLNGPDRNNQNYGPGVRPQPQPNGTPINGSHTLLPQGPLP PYGRPFSPPNELRPLRDERPPSPGPGYHHQPPQPHQQPYNHSQPFPGASSMAAGAPAP PAAHPMPDGMPRDAHDRPPSAMKRHREWESESGPSKKVANDETRARLDEHASRRASPP GRVPTPRDAHRRSSSEIRKENQRIADQNYHPSEAAHHPAPLAPPPSQMQPQHAIQQSP HTPLSQHPQHIPSMNTMYDAAKEERKEQVEGAARKVNVDEDYDDDGEDEKKAVLSGSM GGSGQASPRGMSSVTGQPKAESISA EPUS_02663 MPDVHIAPAGRQPDRERAGRGRDHAGAAPCRRSTRPPADENTGN DCKTLGTFPVDIKTDITVASDVTGLEDDVRIFIFNRNLKEGFVKYKAARSEFRGLQPV PHHARWEP EPUS_02664 MPQRLDHSRLIADQTKPLVDNPQIQSATLHTALPLTFHLYVWPF TFLWPAFLAIYLSPTRYATYINGQEWTFVWVGSILTLQALVWLMTKWNVNLNAAFTTR KATSVDDAELIKVTPITNAGSAAICRIDREKERDRLRISFLFQKRRFIYSPEKKSFAP LSYPLDAHQKPSLATFQKSQGLTTAAEVEAVHQHYGDNTFDIPVPGFVELFKEHAVAP FFVFQVFCVGLWLLDEYWYYSLFTLFMLVAFESTVVWQRQRTLTEFRGMSIKPYDIWV YRAKKWTEIKSDKLLPGDLASVGRTKEDSGVACDMLVVEGTAIVNEAMLSGESTPLLK DSIQLRPGDAAIDPEGIDKNAFLYGGTKVLQVTHPSASEDAPETVPTLASGVPPPPDN GAMAVIVKTGFETSQGSLVRTMIYSTERVSANNAEALWFILFLLVFALAASWYVWQEG VQKDRKRSKLLLDCVLIITSVVPPELPMELSLAVNTSLAALSRLAIFCTEPFRIPFAG RVDIACFDKTGTLTGEDLVVDGVAGLSLGKTSLASQPDGAQIEITKVPDCGIETTLVL ATAHALVKLDEGEVVGDPMEKATLNSLGWTLGRNDTLTSKPQTATKTKYTSYAASDTV QIKRRFQFSSALKRQSSVATVATTDRSSGKRSKSTIIGVKGAPETIRTMLVDTPPKYE ETFKYFTRNGARVLALGYKYLSTDAEIGQKRINDMKREEAEADLHFAGFLVLQCPLKD DAIKAVRMLNESCHRVVMITGDNPLTAVHVARQVEIVDRDVLILDAPENDQSGTRLVW RSIDDKMNVPVDPSQDLDAQILAKNDICVTGYALAKFKDQRALASLLRHTWVYARVSP KQKEEILLGLKDAGYTTLMCGDGTNDVGALKQAHVGVALLNGSQDDLNRIAEHFRTTK MKEIYEKQVSVMKRFNQPAPPVPIHIAHLYPPGPTNPNYQKAMAREAEKKGGAAAIAA KPEADEKQNGSIDTVVSPGAQALQQNLTPQQQREQKAREKAAGVADMFTSKMMEQELD DNEPPTIKLGDASVAAPFTSKLANVVAIPNIIRQGRCTLVATIQMYKILALNCLISAY SLSVIYLSGIKFGDGQVTISGMLMSVCFLSISRAKSVEGLSKERPQPNIFNPYIIGSI LGQFAIHIATLISLSNYVYSVTPEALTSEVDLEGEFEPSLLNSAVYLLQLIQQVSTFA INYQGRPFRESIRENRGMYWGLIACSFVAFSASTEFIPELNTKLRLVPFSSEFKFVMT ATMVVDYCGCWVVERVLKQLFSDYRPKEIAVRRPDQVEREERRKREEAARLEMERAEN VEKANGVA EPUS_02665 MATEDEGKKSLPERVKDKMESAVTDRTVKKQKAPKQPKPPKEPK NVKPPTTKPAKAPTTSTEPLDPDAMFKEGWLKGVYAERPAEHVFTRFPPEPNGYLHIG HSKAITVNFGFARYHGGDCYLRFDDTNPEAEDEKFFVAIQEMVAWLGFKPYKITYSSD NFDRLYELAERLIEYDGAYVCHCTDEEIKLQRGGPNHGPRFACAHRERPTEESLAEFR AMRDGKYKPREAFLRMKQNIEDGNPQMWDLAAYRVLDAPHHRTGVKWRIYPTYDFTHC LCDSFEGITHSLCTTEFLQSRVSYEWLCDAVKVYKPMQREYGRLNVTGTVMSKRKIAK LVELGVVRGWDDPRLYTLVALRRRGIPPGAILSFINELGVSTALTNIQIVRFEQSVRK YLELTVPRLMLVLDPVPVVIDNLPDDYVEEVELPFAPKDPAMGSHMVPFTKTVYIDRS DFREVESKDFFRMAPGKSVGLLKVPYPVKATSFKKDETSGRVTEIHAEYEKPPEGESF KKPKTYIQWVGKSQQHGSPVVAEARMFNPLFKSENPDAAGGGYLNDIRDDSEEIYPTA MIETGLTEIRRRAPWPEEAGEQHMEKGELTSEHGKDSRVPGLETVRFQGLRVAYFCLD KDTTTDKVVLNRIVSLKEDAGKAA EPUS_02666 MSRRTLGGGRVLGSGSSLSPATQSPQNRKSNSHLLSPSPSNLSL NSGLSTPPSSDGLDLASRISIDNGDTSAASVATSASSRLACPICNEEMVTLLQLNRHL DDAHRNLEDQRQDEVKDWFKTQMIKAKRFQPLAVLNQKLKGLDVFEANDEHQPQSSAR RGSDPNITPTPSPRPPPPPDPDEVVSKEHWQKRGPFDSCSEPMCGKRLTTPNGSVNCR HCGKLFCDEHTMYQMKLSRSAQHEPVRGIWCRVCETCYKSRNGYNDKTGFERDHMSEF RRLRQQTVDRNYLEVSRLEKRLTRLTQLLANPPTEIPQQIPNKRWSLSWSQIDPVKAL EQSVVTWQEDGSVPRCPYCQQDFTTYTFRRHHCRTCGKVVCGDPTTGCSLTIGLNVET TNPATEKPEGQVPIDVRLCKDCNTSLFFKQDFAAEVTQKPPSVRAYDNLVQFERGIRM LLPRFQKLLATLQDPDTPPTSAQVTEAAKVRKRLMDSFTQYDIAARRIRDLPTDNPTQ QKLQKAIYQQASNFLHLHMLPLKTLPKILKHATPHGSKSSLQLSNGRPPSALASIKHN DLDHFSQISSEQSSQISALEAEEKQLRERLIVLEEQKFFVQEMVADANKRRKFDEVAA LAGNVEEISKEIDGVQNMLAGLDFEGAYTGLASPRPKPLS EPUS_02667 MARDVAQSSPLQGHDFLCLYDVSWTLYRLQWPSLSNRPYSLLSS SRNRANRAKQLRDFLHIDVTGEEADNTFDEKAGAIQECSWDLLEASDREEGAILLDNE GVRIAEESGSGILINLTYDTATYKMILYGPTPSQPPDTTAFHQPTVMLPLLLTKTPVP LSKRLFTFLLDTFDIRISPLKLPQSLLQSTSESYIKMLYRHTMSVSIARRSVFLKDSL KDIKITISFSAPISPHLRTIDVEIPADTVCNLIEASMSSKISFMQALAVHLEHHTGMH LPLPANEDRQTEEVEPRICITKFVCHAFALSGDGRFKIVERAYSAAEVENLGSVVREA NAMVLSSLLAEAVKAPG EPUS_02668 MFNGVSSDRHSHRDELSRLLPSNRSDLPPSPFPAKEVTKVALRL KYQLEQVIPCELDEAQITRANSPVITRKVIKTAQEAGGEKYQACVVYCLLVCKRWFKR QALLELWDAELHEVRAVACEVMAKRIIESEEDQFYLMQEVLLKRYSIMRDGEETKPAN VIERAVDLHAVWVIGSSGYQKCVKFLWRGWLHQDDMNPANFVEYKEKTSSNYWDHLNP DRMRAPVYQNTVQIFFSLLYLVLYTIAVNTINATGDLDVVEGILYVMTAGFIFDEASK FWKIGRYYFGFWNAFNSTLYTLLSISFVLRIVALIHSPDTHDEQRIRYNQLSYNFFAF SAPMFWMRLLLYLDSFRFFGSMLVIVKVMMKESLIFFALLVVVVVGFLQAFIGLDQVD HNRDITTFIIQAMVNAVMQSPDFGGFENFQHPFGLILYYIFTFVVMVILLNVLIALYN SAYADITENATDEYMALFAHKTMQFVRAPDENVFIAPFNLIEIVFLIIPFEWWMDRHR YERLNNYVMGVIYSPLLAIIAFIEAREAKTIRLNRKRGEEDDDTVEEWEELQGEVDLE GEGWGKKVEVTRPNVEVETAVVEVRELQKQVKELKKMVKEMSAEPDGGNQ EPUS_02669 MDKKKAITARLAAQRLLDATTDAAKTLQKAGREIECAALLLWEE IPAWQQDGNQFMETGYRPPADGSYRKCLKSWTYIHNETGNIFFHLIGSLLFFTLPIGV YKELAPRYATADRADVFVFATFFSGVAICFALSTLLHTVMNHSEEGANFGVQLDYLRI LLLIVNCSFGGMRGWWRTSGGFEWGFAITLRPGFRSPHLKSDRVLENRHSGAELVFQV SLRLEVEYETPLNTWARQDDRPHLIARLARAAHELPSGGAINKYDSMYPRSIGQRTSI AVHARSDVPSTTASDNIPQLTRGDSSASSISSADTDLASALDGMRILESVDGVLQVPD TSRPHADLICPWQILDCEETFNDIRVWKTHVFAHFRGHQCPETATCFLCERVFDQSPR DDSARAWNEMLSHMATEHFRGMGQRLATIRIDFNLMRWMYNRRIITPVQFRATQLLPR PTVLAESTGEVVNMPEAPMAPSPASPSSSPPVEQSDVYTVQASPRRERRPRSRARTGM N EPUS_02670 MSVLFLSLLMLNSHATLSSTFPLHARGADITEEELVHGYVDDPK GRGTVGIIVSCLVTLALCVCSALQLNVPMKSETRTQRYLRYTKWILLGTFIPELVVLS AWKQWLSAKSMSSQMKKIFDEELAQQKEGGSGVDISSVNRLLALKLQQANNLQGYTTD KAVAAATGKTRWTFVHSMYAGMGGFAFEMDLLPQGDEAFIPNRDRLTLTAPGVLLLAR CRLLPVISLKHLEDKSKRDGIERFIVCVQAGWMIVQTISRAFSHQTITLLEFNTLGHV FTALVIYILWWNKPSNVKHPTFVQGDGMEALCAYFYMSSRLSGRKGLTRVKLRSWRKP ELAGFAWYDTKPTSATDVETPPQAVPQLLLSPSVFVPGWSWFENAKSPRTPGEPDKKS IVDEIMGRLRARPEPSAPPNDKSSTVIKRLATFETVAATDDTAAKLQTRIELASYAIR TFPAVAERFTPRTAITSTPSSSSNTTTRTTTQWSEPILEQLVLTALGDWPSDHFLPSI NDKLMGMAMWLASMGYGAVHAAA EPUS_02671 MAAFYQFLRQKYNPPALTEKDFAGKTVLITGATSGLGLEAAKKI AALDAWTVIITARDQAKGERAKEEIEFVCRERAMDDLDIQVWPLDMSDFSSVKRFADK VNKELPRLDAAILNAGQSNRTWSKVSADNGPWEMTLMVNTLATVFLGLLLLPKLLSTA RAKGADPTDPPHLTFVSSGTVMGEKPERYAKYEGSQNVLEAASQKDTYPDGRAQYATS KLFMEYGMRYIAGLPSVAKDSGEKTVIVNSTCPGMCETDIGRSWRGQSIFLTFLIWLY LSLFARSADHGSRSYVSALTRGVDGHGKMWKDDEYRESVYPSPSPDLTRRASANQLQS LGPMVDSEQGKKLGNEVWREMVEIFEKEAPDIKEIISSQ EPUS_02672 MENLTISDPPRGGTPHSGGQLGAAAGAPAGPPQLPPQMFTTAAQ LLDLTDKKLMLVLRDGRKLIGVLRTWDQFANLVLTSTTERYFVSSSNDRRLFADIPRG TYLVRGENVLLIGEIDLDKDDDLPPGYTEAHIEDVFALKKEEEARRKKSDRTRSRKAG ELWGGEMEGSGEILF EPUS_02673 MPTSRPKAILDDDLPPKETLLHLSIGRIHFQIDWLSWCFKALAF SALAVYLFSAWWLFSPWSPAWSSQNTMIPGVGHEALEEYFHTFSGNTECGIGLADLYV PPQKDDNGKYARGAFCPNREKLLEAMTGGGRHGFDAPYYPKGCHYRWYSVAEICMILE RFDSLVFIGDDTLQPIYSGLNMLLRQDLALGSLDPSSELSPSELSTTCRCDNQFIKDT CAKHALTSSDQVALSSNNNNQNQNPYLCSRTPHAFLSISGSPFDLSTLKSLRARIPRA PASNYHPIPIITSLTPPSTGNDNAPSATLATQTLSALLSFADATARKTPILWLNPPAT GHLDISGSGKQRNGNNNNNYNSNNDLWMFSEEMQRVAREKDVEVLGLWNMTVQASSWD GRRFGSSVALVQAMMVLNWLSRLESS EPUS_02674 MSWKKSEKLMSTISHYAGFPATGVSLRQMVQFGEKPSTGTLFRA SQFLSEELPIRLAHRVEELGTLPDGLSEMPSIKKVQDWYAQSFEEITTLKRPELDKDT RNRLLSPSSKGSKILSQTTQNPSLKYRNGNGSSNSRRYFAHIDDGNNWPPELTDYNKR FARTLEGIKRRHDSVVTTVAQGINEYKRKRQRMQIDSSIQSFLDRFYMSRIGIRMLIG QHIALTDQTGARHPNYVGIICTKTNVRELAEEAIENARFVCEDHYGLFDAPKVQLFCP PSLNFMYVPGHLSHMLFETLKNSLRAVVETHGADKEAFPVTKVIVAEGKEDITIKISD EGGGIARSAIPLVWTYMYTTVDQTPELDPSFNANTEQTSTSTSTVSPPRPNLYNNNQP SASGGVPVPVAPPLPPTTADPTMAGWTTKKQTTTTRTDTVRAIRSRLKSGTRREVSER KQVGDAEGMVNEERAGSGASSEGLEQL EPUS_02675 MSGSEGESRGASEDNNQGRTGLSGTTEARGPIDAGTDFPVFSPW PAPLQPSAQAEGEVDFFSAIPDHVKDAGEGATAYELQHLSPAMISNLCEVWFKEYQPW CPILDRHQVVASLQNASSQPGTGMDINLKALLCLTVSHSSPAISLGYDGRRRLSRHLR SEVILEAMRSSRSQSLQALLIIVIYHYGYGNLSEAWNLLSVCKRMCVSLGLRKHLLSR SFDWQDEGSRMSWLTAAMESTSTLGASWDVELASVGQPIDKLPSMVDADTIPTSLIEN LNLTVVSLHPLHDFHRNNHSTPRQESTSEQLNICERLYQNIALYRQHSHSDGSISSYA FAADGSISFDPNKVLTNIISNTAIIALYQPYTIPMINNSTASTPQPGRPQTQSLSLQQ TAAHRCLDAAYEMSKIISTIADADIEFICPFLGSFIFTAARFSLVFSKMSPSPTPVMA SPLTTSSHHHAQTNNNHHHRPSSTYVSGKRSPGFDLLMHALNMCGRRWPLARAALVED SSNTRSGATAPSALGMDQVSPIPPLPREFYDLRLSGLDVDDVLREWVEKMKSTVYVGS LNGPYA EPUS_02676 MGHEASGIVDHVGSGVSSLEAGDRVAIEPGYPCRRCNTCKRGQY NLCPGMKFAACPPDDHGTLTRLFKVPEDFCYKLPDNIGLEEGVMVEPLSVAVHAARVG KIQHGDTVVILGSGTIGLLSAAVARTFGAKKVVAVDILEHKLQFAQRWNGSNTFMPDP RSSPASNAHRLVKDNELVLGADVVVEASGAASSINLGVHTLRPGGSFVQVGVVGANVE FPIQQVAERELHILGSYRYGAGDFQTALEMLSTKQIIVKDLISSTVPFEEATVAWEMT KRGQGIKNLIRGAAL EPUS_02677 MAQAADQITELNVTQTATKPRRWWHRESYWVLSCSIFFAFLLPA LYGTLLKLWIANIDASRVVTTDVYTYIGTVAEVLNEGLPRAAWVIIGDKSSRSLDSRF GLAYTLIAFQSVLGCIMSIVFVAAAQNFANAFVPVEVRQSSLTYVIFYGVSAQLATIL LATRPKWNLYQSFVSNFALVFGGSLVFSFFDILLVLMLWAWRLPKAKMHLEVFHDS EPUS_02678 MKSLLWTFIKSDTQLDLEANRVKIHAKLRPDEIQYLNEYWGPKE SQFLRIHTQKYPNLGAHSNQRSESLHPGTKDILNKQLSIEEATRRLGVIVKSKFRQLS QEEAQNGGAEAAERDANHRQQAAAQEARQGANIALTASFVTGPTPSAGPPPPLPPPPE STASAVPCSVAAAATNPVRRSFLTPDEEEEEEEEEEEKEEGFIPPPSTAPAAMTQSRA GRKRAPTMKALEAEKAPKRGTRQGRNGAGRGAKQ EPUS_02679 MQTSLNSLPFDLVHVIASSLDVHDFVHLSRVSRRYQNLLQNESM ARRTLQVCHFLVPSSSAIFDAESKLYYTPGRDKSFTIVGKGSARPYSVSLLAYGEAFF YSEGVLCYVDGPLVRVIDIYSGSQVEDVVNVLALNQRLSLCVASEPSGLRPFDDTRIT NLSYSKRILVCVCESEVTDERWLLVVDLGQDLKRRVPFRHPLTCTTKLFIRHDRDYLY YGTHSGRRSDGHHEWLVTGLDLEKGCPITPKPFQLADFVGSDIGSTACFKIHEKHLYA VSNQTSFEVEEVDWTSYYHYIKIPLGDKKPDLKAHRIWQRQHGEGPINDSWTDLSLQK DEQTNELLIIECRKEWLGGGSANIRTYYTQPLRLNTEDDVVQTCHFPADDPLTRTLDE YSKPHFSEPQIRIRKYYHHEYEQSRLDPRNSAPRDFILAKTKFRAYSPSAMSFIDLVS DPAPVAAGSVRMRERLRLRIASRRQKSPLVDDPDCPGQMILRKPELDKNNDPIEGSEE DFHPTEIHLWPPDDAPTEIYDVLCPAGRVRNVEAIADERSIIYMTDAPSNATMGRGNA RAIVMISFDPSWGKKYKRLIMPSTSSAPPPKEMKLELENRSMSRKSYKRASPTPESAS TSASASSTFVSRPKRQKCDSDSSTTTTTTGTTTRNPDKERRFMWKEGAMYLSINRGYR LR EPUS_02681 MSLKGVGRSLTRAPQTIKQRFNIGDSTKDAVYIDAERRFEELEK ETKKLHSESKKYFEAINGMLNHQIEFSKAVQEIYKPISGRVSDPNSIIPEGNPEGIRA CEEYEAIVRDLLATLQPELEMIESRVIEPAQQLLEVIKVIQKVAVKRQHKQLDYDRHR ATLKKLQDKKDKTLKDEKAVFKAENDVEQATQDFNYFNDLLKDELPKLFALEAEFIRP LFQSFYYMQLNVFYTLHERMQDINIGYFDLTLDIEEAFEAKRGTIREQTEALGIVRFK TTGGIKGPRGSKYGRKPQLALEDRKNKPLAITDGSETLPPPYSASPSKSTTTPPLMGH SPSIGKSPSLGHSPSIGHSPSIGRSSSVGHNAMSAAIAAKGKPPPPKPKPSRLSGVPN AETVTALYDYEAQAEGDLSFSAGEVIEIVTRTANENEWWIGKINGRQGQFPGNYVKLN EPUS_02682 MSSNDNPSTLKSYVDSAAGAVQSGIASLTGNTSDKAQAEQTRAH ASAEHAASESAVKVGPLTLGSSGDRSRGAWDQTVGSGKETVGNLVGSEGLKREGREQN LQGQGQEAKGQLADFGQGVGDRVAGTMGGAVAALVGDRDEQRRWQERHDEGKTRQRGA EVDMDKAAS EPUS_02683 MLAPIPRLEDYGLSPNYGFLPPELPLQRLPHPVYDKWERIINNF QSLLLSKRLRTVIDRLPVLPTSHLDTEREWRRAYSMLAFMAHGYIWGGEKPSEILPPP ITYPLLQVSAHLGVPPVATYSAVCLWNYKPIFFSDNLTLDNLATLTTFTGSLDEQWFY LVSVALEARGGPAIPLMLDAINAARCDQPEEVMRCLRSFAEIIDDLGGLLVRMYENCD SHVFYHRIRPYLAGSKNMRDAGLPHGVKYDDGSGNPTWRQYGGGSNAQSSLIQFFDIV LGIEHRPTGEKRNESSESEAEPGMAPQARHNFIQEMRQYMPGPHRKFLEAVENVANIR DYVESHRSNTQLRIAYDACLAMLRALRDKHIQMVSRYIIVKSRESRSMSHTRHQDPSA MPAPTRGAGIASVKYDHSGGKKSENSGSRSGGKRLRGTGGTALIPFLRQARDETGEPA INAWARKLMSGARKSQADAEIEADSRAYARELGMLGYDIPADESGLVVGMAGSWNMED NGGGLCQY EPUS_02684 MRNRARIPLSKAKVRQSWSKYNLYNLSRIATPPYKTRTVFQQKW SAKSILRAYHSEQVREGMWERMFSRRLRSVVPMNPEYLAMNDGSLESAGRGSGLETLF AKRHPKAIPYMQMTFAPLERRLDTAIFRALFASSARQARQFVVHGAVKVNGKKMKYPG YLLNPGDMFQVDPERVMFATGAPKGLPDVSSPDDRAVSRSEDKEGAAPLENQTEESDK DEDEDAEEEEEKEEDPREVLKSLLAQAKSVISSPKGGKLSAKQKQNLRGFAKQVKRQL SRRDSTTSKSHDLETQFEELKAKLLRQPNLPASKPEDSSSSPNEDEDGEKKEESTTTL SISPPQLNSAKEEDYYDDSMLDPEDLTALRAALARLHLNPIDPTKPYATPWTPRPYMS AFAFIPPFLEVNQNICAAVYLRHPVARPGMAEVPTPFAQVTGGDAFAWYLRRR EPUS_02685 MNWTGGRLQRHSKAHANANLKAQKQHFAKARLQQQNGRRVPSPL QLSAFKVPLKQIGKLDQDQHRGIKRRNSPEELASSGLSTKRRRFDRQPNISPGHSSSG SELPTHVHQRYFTTESNAQPVSEKESRKSTEVERNTLNGVKRSLLRHSDWMGLNVARP LRMKFPSTCELESIGRRRKVIAEDKQRRAVAQTGYYLGPLPDPALHSRLHQHHSDDVQ KEVVSIRIGSNIHQSQTTTMPHPFKKLASIKRQSESSESMLLDREVPTCHNSIYDRSN SENPLTESMMLAERPRYASLNKSLVSLEQVRDSETFDEVKAKSDSVSKQSSQPHSQTV VKTMKRRAVDRRLASDGVRSSSIIMGHRLLRSTPPRSEGSYLRTTPKKQRRRPRIGEN SSQQIPGSLSSDFLPSNRRCDENHGVRNSRASSNQRLSFRELPEATEPGVNHVALGGI ENQTQDRLKFTLELQVEAETEAAGVVHAPSREKDHVGASPQNHQIELPLHSSHPNLFG DGTDKAIQPACAAGEERESNSTACSPAFGGDLHRDKSAQGIPRALPEMGQSLQPPTRM NETAGVHKSIARSPDMLAARQYSPIHVQLRQAKPRQENFMRQRLGQSQQPEAPQGDRR SGITARSDESQAWMRFILQDEMNAISNHFYENPPIKGHSVQGRPPRWLKYHSSEDGTL SVDRELLPSQLQNQRSSSPVQTIKTAANTTLRVANSDAESVASGKAGPPETDFWSQLS PMEGQLDDRLLNLSVYTNPARTERSYIAAPSNTQQERERTVENDSPEQSWTDFHDQSP VELTDDQFTLQAASVVGSPTPSSRSATTASHPPTNRNPLCPISNLFTQPRHSNFHPLR RSPSLFKKSNQTPSMMNHTPERGVSRYQWIDPPRSTMKSSLSARRHTSAFPMDYTPLN HAKRKQQQGYPASSRPPSSYRIAPQLGHDRFEIGDQTTHHISHRKTSFHIAASSDEYI PCPHTDTTTNHFKDDNASTHFTTDEKTSNTTTHRSFQHAASNATAFNGAAVVVVQHAE AGETSPGRLQATGSVVEQVNGSSAVM EPUS_02686 MSKVEGELQQASAHTKRLVDDRGLEPCLYNDKAGKNDDVLGKEE KTSAKRRGNGALSFMEVVKKDSAAKRRWQQAKCATSESDPGCLPSPPPAANFLSPGAD QDESNHCSYGQERSTGAS EPUS_02687 MPTRLVSKSSTQSRSTRDSRRSSTSQDKSSTTTMDNPDAAQPFF SLPTNLPQRHGDREREIVQWIAAKDEFSYTDPNYAPEQMYLTGSDASFLTEDLPTTTG ASWSTALPMDNLVLEQCQPFMNDEPYRLSSTSAPMTSGSQPFFDALAIEPAINLSNAP YSGSITLGTGMTDGSFKHDFASGCISYDSSSSIYMSPPVSPGLQGQTWPEASNEYGTS GDYATHGAAAFYPNSDGFSHPPSPPMSDGDSHAALVSGRQPLSGGQLPLDNTIQVADN CNIKCSRTGYRHLESAMIGNAVKTSGTTDQKYALPSRPAQRVLKPASEKPRGYDQGTQ LATSSAHSKLKEKPETAQPRNHHLYKASPGKDGLFRCPFAKDNQCAHVPTKQKCAYDK YLDSHLKPYQCKHADCLGLQFSSNACLFRHEREAHGMHFYGKNPHRCHYAGCDRAIEG FPRRWNLHDHMRRVHKHNPSQLLENKEDFSGCEVPSKRKGSDAPASCQMKRTSSSQSK ARVASASYSRDDHELIIITGYPCSGKTHRARQLVVALQDQIAGAVEPNAQSNPKLALV HIPSHHSSIYQLSTNGDYPNRDSIYSSAALEKTARAAELSTIKRALSKDAIVIADDLN YIKGFRYQLWCEAKAAGTRCCTVHCAAREDQVEKWNRARLEQWAGLKSGDIPTADNDT TRSDGHVENQANPEIRYPESHTALYGDKIPELSSRSRSSSVDADDGERVAFRPSILIE ESLRSFSLADQSSISTGKPSPPGTAEDNGFPSRNPEEIPASARFDFVPPSSPPYSQKT LQSLLMRYEPPSPFSRWDTPLFTVLASDLMPPISAIWDAIFPPRTPSTMRKGVVSEQK AQVKPHAATVLPQATGPDALQVLERVTLDVVTQIMKCAKEFPELTDEGGDVTVKCADV VTTLPVPPGTILSLPMLQRLRRRFTQIQRGGIAHGRGYVQGEEAIGEAFVRFLNGEIG A EPUS_02688 MDFGAADITESSLPSSSDRPNDATAARKELKGYEELPGTLGTKH DGKEVEQSLRRVRTLGRLRFRPADDDEPQDWWFASTAIPLIAATFAPMANVMSIAALV TSWRSDLRGESSVPAQANSTGIPDPRWCITLNATSLACGFIGNLFLLFNFTRTIRYVI CLPATVMSFYFATGILIGITSSMNDYVPPGPGEVYSQGFWHAIIAACLYLFCSMILMI NMLGYCLGHYPQHFTLTDEQRNLILQTMMFFIWLAGGAGIFARTSDEWQFVDALYFCD VTILTVGFGDYYAPNGVSRGLVFPFAVGGIIILGLMVSSIHKFARDLSYDYVIRKHME AHRQRTITRAVSSSTDLQRQNDIEKKIDWSHNHHPTISGPLAPPNQESRGVRRVTSNS DSEGLAPSSSTLERYHEGKIHRTIKAVSQPVKTLQRARLRQPKIILMREEKDRFEAMR AIQMSASRFRKWYALSLSVIAFGLLWCVGAVVFWVAEQSTQQLTYFQSLYFCYVSLLT IGYGDLSPKSNAGKPFFIVWSLIAVPTMTILISDMGDTVIASFKRGTFRLADWTILPK TGLHHPLLRKSPWIRDWLQGKVEKKRVEKGFPVGPDEKQENGPSIEELADPESYSDAE LTHLLALAIRKVADDLKNPYPRRYSYEEWVEFTRLIRFTSRTSEQLEDEESEGVIEWD WIGDDSPMLSDQSEAGWVLDRLCESLIRLLKKDNLIRSQPPSHLLSHDRTARYPLRYL RQHPPVNHCLR EPUS_02689 MNTHIDPTNNPTNFPLSLIPGLGNHDMADEYRANLDPASVEYQV RVAGSKLHITAWSLYVSTLWCLKLCFAVFYTRLTAGLTHMRIRVYIAYAAISVTYVAV MATLLGSCQPFNHYWQIHPNPGNQCTPAISTVNCLVVVVLDVLTDIYLLHIPLLMLWD AKLSRLKKFFLIVLFSGGIFIIMAGIIRVHFILAPGRKGGLAAAYWGKCEILVAFIIG NVPMIYGGFTVLLRQSKDSKVSARMRLKTKGWLGAERFTSIFSWAARTRSHTCHEKTA KPPISVVLGATESNASAVKQHTRSSTPWAHHGSENRFATNIDNSETQHAEMDSELGIH VTRGIRVEVESLESREGNPDSMGTSTQNSRTDSEAQLGQFMLDTPFGKPAAEDVAKLP SQEKQSRRDHQGQRPGTSDKRNVTKWISEDSSGETCERSEWF EPUS_02690 MSKLRFSKSTVDEMALLLATGVEPREIAYRFRCHASTVGRIRQN IDTFGEPRPAPQAKMGRPQKITPEALEGLLDWLLDNGSEKKLSYLDEMVAFLDEEYDI EVSKSTVCRTLAKEKITQKAVERQAAERDEDLRDYYRAQIYEVRAKEAIFVDESAAHE RTKDCKREHLASYRD EPUS_07623 MASRDGSTWDSLQWRRRLERFGDDDGPVMAAGPNGRETTLALGQ RLRHLYVDQLKYMPDLISSSDSIYLRSTPLSRALESVQQAFWGMYPLTARTASFPPPT IVTRTPADETLFPNDSNCRRFNQLSRAFAQRTAEKWNDTDDMKYLNKLISAWMPRSFP KVAVDSHPRLSGIMDTINASLAHGPDTRLPPEFYDPTARRIIDRVSVEEWFSGYNENQ EYRALGIGGLVGDIVERMVANIERSGLTMYEIGGEDGRLGQGRGGETSIRFAMSGCHD TTIAGLLASLGAFGGEPWPPFTSSIAVELFKKKDQSQISGGIRPKSATEKQAVTEPTR PGWFASLLGLSSHKSPPPPPSEASEGIGRRPTTELSVSEKAKLDGYYVRIRYNDKVMT VPGCKKAGNHLEGDDSFCTMAAFKAIADEFTPRNWKRECDANMETATIKPVGKEEWAG FSRTEPSGDASGSPGQTL EPUS_07624 MFGPRRGPTLIGITQEDIDMVVNRYLETTTAEEPSTAEAPLPVS PTNIDPAEEPTTTVTAQAPLPVHPTNIDPASNDNNTADASSVASSELVTQLPYEHLPY GREREEIVMQNLQISHLEEMDQQFNSQRAITNAAIEGMAVNYDDRIEQLTEEIRSNRL AIQILTWRNEVLTELANPDQATDLEAEKYADLRQPEIRRLRAVGPAEAYNEKAMKVEM NPPVSTVLGIEGQGYLGRASAGRLYGAEEGLAVFSSGEFEASSEGDARAGTASVSSGY LNLASANRSLVFSVKLYLRR EPUS_07625 MLRWAPEIYSTGEDDATAAEPEGHLLRAWLMVSDGHYLVVLCID LDLRVASEPACTILAHYDLGSQLGKSSFIDFACNHEYAIILQIAGIQASIISLTRPER HDVANIKYPDNRGLSQSPNGKCLSILTRSEGQDLVVVFTMTQMGSIKSSTFTPLTHDA QGIMSCPEGNPLLCVWDAAAFGLRVLFFTANGHHLKQLDITAESMRLFQILPGFDGLG ISKVDWLLCGGKAVLALFDSSGHLFLRRQLGDEKLLHSVATINHPAVIDGASYDVWQE SQDGDHIFSRHFASFQARNIQGSAGADQMAVNATCQLVASKDSSRPWTLWIWDALTTQ QPLAVVSFRDRIRQLLWHPMDPDLLVILTASKEPCFYLWHMKKEQPFVSNALNMNGRS EMCDCDATWLTGYLNGLPLLFLSWPRHYDAGILNIDQDIVIFKGILRQDHCHNG EPUS_07626 MSTTGINPSRTKIRYTTGNPYEQRYGYDRAIRKGSFIFVSGTTA IDKTTGVLQAPGNAYDQAGFAMRECISAVEALDGKKEDICRIRIFVAGGACQKHDNTE AIGKQFRENFVTSLKDADVGIAATMIVVGEGGFVDPEMLVEVEVDAIVL EPUS_07627 MTEILQPYSVSEFNKIPDLHEGTTAFHAKDGMKFVNEVFGPLVL KHGLEAQLGITILHRHFDLKDPEKLVEFNNISLPWKNQDKDDSHSGGKILPNAWLLNN GKLMPYEFFFSPFNQEKSVDLTQDKVRAFLGEFVQAAKYSSLDGIVALKMFPGPGFRG GLEFSEGRANIVLKPGQYKLENSQAAEVTWYFERGWREQKDYCHCTKYFKDHFHTVEQ CYPGEEAGRPASLK EPUS_07628 MPPKKLKQSSVEPRVSDELVPSIQQTSEAQRSSTELQDAADSLN DGPRSLQGEASASASADPQTPPRPIISDDAGSPFRTFRQELGLSTVEVPALRPRSDSS DPFVIRLRPSVVRDEASLQAAINEIIYNEDRVQTFMRLSLSPAEATAVRDRQGAQVLS QLNDESLTLRDALWYLEYAGWDVELAILHHMHDIIDRAEAPGPNSVQIQSEFPVENPY LDRAPVRGGDDFDQAKLKLHYMQYHVARTMTYPEWKNFDRNDVDHVRALNRWRWKTIM AQVGLKEEKIKGTRYNRLELLWLRNYLLAHPKCTSRELTDNWNANFAGAMLPGETKPR PKRSLAAITCLRLRQPGATKKSKKKRTADDAGLGAPDESADDTGMAQDANVVQEEQDS AMMLA EPUS_07629 MSSISSSSDGSPEGSSVGQTIPASSTAPAAGLAITTTTTTTTLT AADVEAANTLLQMANSSNQPPVGGSGSIVTTTQQDPPAEQEPESELSSAPESPADSRP ESDPGSEPGNPDEPAQADTTRWNAIIPHASRNVPVGNIIARTPGRTRQSISTPAANPR SVNLRQQASRVWGMRQLLGEPVGITDGDLHHALVASGWDLGTALRRMNDVLNQARRRH RTNAPGRSPAEQQRDRLLGADSLHHNRRLGIDFLYTRLVQVVRADQVDMLTTLALGQL LADHRFDVDEAVHAFLERIQSEEETEHHQRLERRLRMINPNQMHLDQRIARFMEIAGS DDWYAVRALLATHGYDMLRAMDHWMRNGLTSAPIPPSELTRSTFRTPRRPHTDTEDLW PHPRPLAGRVDNIDEDDLADAAIDYDDTSNPERNGWMVRYPRSEARVGVNIPTRRRCD YVRRGEFTTAEVTRAETVRGSGIRYPFDYNESNHVRHLNDTASQWFRRTTGETSKKRG MFYQDEENDWIWWWHNERLWELIEKHPELLDATSLEDWERLGIKWPIKIDKKQMTIDF NDRWAGTTNADGEERSAREMRSLDAQRRRIIAICEDFGLPYSPAHPPGPTKKPPPPPP QYSPSGSSDEAGDDGNDNDGDDQPPRKKRKPAAEKPGKKDKKGKKKAADVNDQEEYES PGDNDDGGDSSGADQPIKTTQKGMKRGGTSMKRKRGDHEEGEYGDSDSDEPTRGASGG KKAGRPRRSRRSRGRK EPUS_07630 MSDPIPSKKRKASGSLSPDRSEGPNSVFPLATSTPATAANTGPA QNYPDTTAASSGSRPEVSTYTTDEIQVAGILAGMGSTVLLVELGGNDPSGGDDKNENS GGDEDGSDAVSGSESDTSSTSGGSFWSYPETDGTNWNPLIPRSSWAIPVGNTPYAGSP ALVTPRPEGATTTLDQQHYRIATLRNVLGEPSGVTYDELRAALEGNRWDMGSALKFVN HRLNEALRRELTNQPGRDANQLERDRLLGANSLHHNRRLAVDALYQRLITAQPTARPQ LTTLNVGVLLVESRFDLDEAVAAFRERQLHPRQFQDATRRLRRLRIPGPNQCHQDERI ALFMTIAGIDDYYAARVLFETHNWDMGRAMDQWMQNGLRSAPHAAPANIRRRRTYQEP VLLHDDTENLWAAGRPFGGAPPAPDAHDLLDAAEDYGQGSYARRNGWFINFRRDPGVR VGVMNPSRMGLLWIRRGEFKLIWYGDRGPVEDPARPGQPLQNGGTIPFDWNNPLHISD LGGNKTAQWFRRNLGISVKVRGDSYQADENEWLWHWHNDRLFEYMEAHPEFWNSQSYQ GTTGTWNGTWNEIHHQEWGRTVPYPRQRLERDFNHRFTTQTHLPGMNGQPRQARTSAS LDMQRRRVREICDDFGFDYSPAHPKRDPTKGDGDGDDEFDDGGEGPSGTNARKDSGGK RKDRREDAGQDDEIEGDVGEDGSSPPTKRAKPTPKGKENVKATGKGKGKGKAEECEDG SEHDGGND EPUS_07631 MLVSGDGSNEEGDSTTAAQTNQLSENVQSTTEGDAVAASIAANP GLGASTTRVTRVARREGLPPLDLQPCAPAPTQAQQADRIVALRNQIRYTFAIQPHILE RHLRNNNWNVIEAADAFWEEEETARNSNVLPQDYDIPMGRTVETSRLRARTALHRRLN TGSRDTKSTSQTNSAIFGLLQRNHWVLSDAEEDYRAKKGNLDDIIDAYSSLRAPRPSA MEQDARLASFVSLTSTNSIYAARRHLQQHRWDYARAVDGWRRMRALPEDRAPKLTNTK GFVHETQPNDGLRYNNANHPPYPYSYWREALDVPDDDESSGPPSSTDGASDSSLSEIE DDMDKPTSEKSERNGYLIEYDPKPAVLNCPDPSKLRVEMIRKGDYKMVIFKGQEGRAR NSTEPNRRFRWHDEEREDGEVYVEFDWSNPDHIRQLNKWRQQFHRRQAPVTIGPQVVH YHPLEEEFLWQKHAEHVEEEVGKGRLDPTDGCSFPLMVTCARKQKWADALNKRFAGQT DVDGVHLSDEPRPFRHFTSVDSARYRMQSIVRDFGVGFAQRRGIRHGLDKKCNTGKRL KAVHFESGSDEDDEDDIGESSGTNEGNYDRSSDSGAEAGNAKRKKHNKDNDRAEDPKK ARRAGCEPGDGGLN EPUS_07632 MGESSITGILMDMPPTPKKLTGRAFYESIGSPKMILAPMVDRSE FAWRILTRSYLEPEESKSLLAYTPMLHSRLFKETAKFRDTHFQPTRDSLINHRASSSK PWLDGNPEIDRPLFVQFCSNDPEDLLDAARYVAPYCDAVDLNLGCPQGIARSGHYGAF LQEDWSLIYKLINKLHEELSIPVTAKFRILESKERTLEYAKMILSAGASIITVHGRRR EQKGHNMGLADWSYIRYLRDHLPSETVIFANGNILNRGDLQPCLDATGADGVMSAEGN LSDPTIFAVPPPPGLAVREYWRGERGEEGYRIDAVIRRYLDIIYEYVLEEEPPRRQPL FVPLDPLDAEKQETSAEQHNNEGGPPKKKQKKDRHAKVLSPSLKAMQGHLFQMLRPMV SSHTEIRNALAKCSAGDIPAFERVLTMIENAVKQALLGEARKEVGEQLNEKAHDENPS GWKSTGAKADTIATYKRPWWICQPFIRPLPQQAKESGALTASKKDIARMKNEGDKEDQ GHIARVDGIESKQEGTATAPQNELPKEALVCG EPUS_07633 MQHHKEAVEAPGEGDESQKKKTELAETDTAAEYFERYERRRGNR ESTKDSNMDYWLSEDEEEESHQLRAQKRKSRAKKEPYVVQDAKAPKLRPAEDVLKRLW WDPSLHSANFTIGYLERFAGITEMPLATWVTETSDEDFIPQHRIKYFKRNTDGEIVWD REARIDKIFGSGLTGRVDNSGRGEGHISATHQRGMISGKDSSE EPUS_07634 MKVLDTEPWLPSILFLTVFIAGVLATRPASTFIVQGDQKSNLTL SVNVPLDPTNDDLFFHVSAPAGHAWVGFGFGTEMKDALIFVAYPSENGKNVTLSPRIG PYHEEPEHTKAVGVHILEGTFVDNETYNINAHCTSCRSWPLRAAERGKIDVTSTAQPM IYALGPVDSFLHSDSQEASITQHIAYGKLMMDLTTATGEGGVPSLSTTESGVVHGQYP PSGRLTTIVHGVIMAACFVVFFPLGALLTRLPVHHAFWIHVICQCSTILLILVGFTLG IYNSVHNNKHPKLNSPHQGLGLTLILLLIIQPTLGFRGFLYHRKEPQPHSLTLAGKIH RYLGPGIILAGIINGALGLVFANDTDRLPAYFGLFLFIAIIYALTYRIFQRRRVRNNA VNSAAAANFRAGATPATAWAGSAVASRSAYDVPLQSYEDVDRLSHRTSPSLGSFRGGN ADVEHAPPVSVNVMPKNEETRMS EPUS_07635 MTGSEDPATVLEQFMHDAANLPAEICHMMEEIQAKDREVQKYQS AINAKDGSLQKHLKLNGSLNAHPKEKEYADTILKNYDLCQEIQNQKIALSDKACVLLD RQVKLLDMKIRELQNDGQLLDGPPIPSIFNRKAAHPDSSRGFFAEVNPQAHQPLQTTS GNATAGSLHSNLTAHRLNPQHHLSPSVPASASRLSQLSASATSHFHPRNSAPTTPAPG AHQLQQQASSQRQRESSAGAIDHKKRRLNLGALPAQSSTLRQSSLGPGTPKAGTPTGG TGRAGSAGPRSAVGQSAAAKKSAGLVKKLVPHHQQISKLKGKPSKRLGGTKRKGMSPS VRSRNNTGDEDDSVLSSADPSDTDASTTTRSRRGTGARTSTTNKSAASEDEEDVDVEM GEGEEVEEEQEDDRKYCFCQRVSFGEMVGCENDKCPYEWFHLGCVGLKEAPKDQDVWY CPECRPKFTGTGRK EPUS_07636 MTTPATVAPPQKNILISGAAQGIGRCLARHFLQRGHKVFILDFN EDELAHTATVHLKEHLNKTLGYALCNLRSVDEIRATAKKAADFFDGHIDVLINNGGIS TPFWKDGATMEDQSTIDQWIAYVETNLTAPFALSQACIPYMKVSTEDEMRKLEGPGPC IIHVSSFRMLQSDPNQEGYASTKAGLLGLTHSMAVSMAKFGIRVNLIAPGRIRVAHEC KQGDEQSKEWQIEGDDAEKHATNRAGKPEDIAECAEWLIGAGFVTGQDVTVDGGVTKT K EPUS_07637 MARGTTVTEYLPRSSKLSASDTFSLSSKIQREIERNGISRPKGY TVSWHANPEVEKHHFGMAHPMKPWRLTLTKEIVMAYGMHTAMDTHLSRAATVEELVEF HKEDYIDFLSSVTPQNLGTNYPWLKPGAVGYSGPFFGVGEDCPIFDGLFEYCSLYAGA SIDAARKLTSHQSDIAINWSGGLHHAKKAEASGFCYINDIVLAILQLLRHHPRVLYID IDVHHGDGVEQAFWSTDRVLTLSFHKYDKETFFPGTGPLDDTGPTHPDNPGAHHSLNV PLNDGIDDVSYQWLFESVVGPCIDTYRPTAIVLQCGADSLGHDRLGCFNLNIQGHGSC VSYVKSFKIPLLVVGGGGYTPRNVARAWAHETSICIGADKGLDPTLPLHTPFRHHFAE EGPTLFPPLHATRFENKNPRAYLESVVTAIREQLRYMHGAPSVQMSHIPPDIQGWREE IDKELEEEAKREEETKEEKDGAGGLLARTGRRRDYERSAGTRGELSVL EPUS_07638 MRRHHGRGQNSPFANKTAAPISEVVSLIRNFDSDINPSRPVRQS PLATSQIQGMPLDMIDRIRSFPLFQSTPDSFLAEVGLRLRPQLHSTNDYILTEGDDAK SMYWLVRGAVAVTSRDGESTYAELKPGAFFGEIGILMDRPRTATVIARTKCLLVVLKK EDLRKILPAYPEVEQAIRDEAQERLTILEKKKRQVQPDANPTAGSARRGSKRTSDFMS DENDVAHANGNGVNGISKKRKSPSPGPNEISSSSALGNGLVHVRSLLKELPLFTSLPS DLLHFLGLNALPRTFAPFTDIIRQDSKGREIFFIVKGEVEVLDEKVFETAASQKNSQP KGNRRPPYVKARLKEGHYFGEVVSLSLAPRRTATVRSVTAVECLMITAEVLAEFWERC PAGVRQQLEHTARERLRSASDNDVIMDDRDSAPAINQLAIGEQIMPLTPRKQPAPRVT FNDAELGSPHRYLKTEDEPVLRPSDPDPFLNVGLDKVRSRSRRGSLAPISPDDIEPDG RNKSTSPTNTRSDNPSAFDSGGSTPFSDPFGKLRSRAPTPRPSGPRARGSLPDRVLVN IFKHLRVHELFRLRAVSLHWSEILTKSPELFHHLDLTPYNRKLTDEVLSKHICPFVGE RPRVINISNCFHITDEGFNILASLCGANVKTWKMKSVWDVTAPAILEMANKAKGLHEI DLSNCRKVSDTLLARILGWVVPATAPSRHTNGRLSLNTRMTPQSPGQPPAGTVFGCPF LKRLTLSYCKHVTDRTMHHIASHASTRIEQMDLTRCTTITDTGFQYWGNAQFLRLKKL CLADCTYLTDNAIIYLTNAAKGLQELDLSFCCALSDTATEVLALGCPSLTHLNLSFCG SAVSDPSLRSIGLHLLALKQLSVRGCGRKRASAEDGGPPEKRMKGEPEDTEVYSQRIK KKLQANSRTGQACDRCKERKMKCDSGQDGCQPCTSRSLRCMATDRITGHTHERGETAR LKSDIDKLRAQINAYYRHFGPLPAEYSLPGPYQAYAPSQGYTSNPPPTQPIPLTEQHE ELDINRQNNANGPHRGPIHETIIDFVDGEIDIGDFTCPDMTETENISQATLPLNNSRR SSLTTILGAQKPEKPQMPGRDEALQYIDNYLNVIAAYIPIVHGPTFRKQVAEYYDHPG SFDDRPAEVVMIMVVLAIFAYQMATRNPQMRQEKLAESNRLYHYALTFYPELLLGDSL ADMQALALFLVHARNLPKPGNSWSLSSMILARAIELDYHRSSNKIVLPPNQRNVLAIE LRKRVFWSILSIQVTIAVKMGRPMAISPKDMDVELPQAVLDSEIRDQGFLPRSGHCDF WGHIFLAKKLPLLIDLYENVIPVRKSAAEYHQDIGNLDAQITKWRHDWDVETANQTKN GSLKIATHLIGIWYAEFRIILHHPRLCTSHLAEVHEKNLDICLEASRSMLGDLMSLIL DFKAPDFTWHFVSGYVLAMGMAMHVYGKRREHQTAETLGKMKRELQQWLFVIRSADYF MRTGDHLITFFQPRAQQCLEDAQNVVTASEALRNGYHHGAHSQPPQDESESQPPYSAA ESYQQHPATYSESLPSNPRPPASAPPPQQHTYNIQPPSTSTSPAEQEPQQYGSNNNNN VYQMPIPQSSSPATTTNTTIMATTTPVQNRNIPFLSDHTNYSQQQQPQPYPSQTTTAY STQTPTSASMYPQHPAMSYPATANSDIFSTENMYSIPPGMWPIHIVQYQQGGI EPUS_07639 MATLLINRVKCVPLSTRAKQPSLCARALLLAVLFSHLPSITASP LPSLQASAPEFDPGDALAPASDREGDASRGVSLEYSDLEVIDPTTGLHVKDEDEGNLD YEDHVDEDERIGVDVTGNETEPVVEDRTGPHPTAHPTSSPSLGPSSLPDKFLASFSGL VKSGFWKALLGSFLVFWILIASTVGALVVIGIRVRRMDRSGQLRRWRVSGEEMSMSQR RKEVSECIEEE EPUS_07640 MAPEGYFEYCPALFWAIASVGARRYQVEPTLLNRLSGPITRLVW SALADMKQMYGVVKALCLLCTWPFPTSSTSSDPTFIFCGVMMQIAMQIGLHRPSHAQD FSKFRMELHEDELKDRVRTWAICNIVAQRVATGYGQPANTLYDWTLSSKAANTDSNFT LPSPVADHLRIERFSQKVSSTLYSNRQNPVGLASDTERQAYVNLLASDFADLEEEISD GSSAVTLMYLRAAHLHLRLSAFFSPPTMTSYRTDLMRLYNATTSFLETCLGHEQSAGS GFTHEASVSAGFVSLIYGTNYIFQMMLAAGFALLKLHNNFLQQVGLDSEQEKARNLFN KTIWALRSMSVQENDLAQRLAEVLAQVWRGSRAAAEKNGIGAAPAYIDNSMQLKVRCR MSVSLVYDSVWRWREEASRKGISLESYLKHPTDPSAITDSSASSVTAGTNNFTSDPPL RPLSVVPGMPGMATGLGFPGNGNLASGYIEANYEVFDPLNWMLDGLVDFPYALPGMPD MDQLGMGGLGGIS EPUS_07641 MCDSGPLTRPTGLGISPTLDPTPACDIGPSINLPTVAGAGSGAG GGGSGHTSRPSVNSRPPTTESRKRNSLIAGLDNSPGSVDSAEFDGTESGQQQEEERRH PIKRACNECRQQKLRCDVVQDPFTICSRCRRLNLSCKVQENFKRVGKRSRNAEMEREI VKLRKQLAEQSTPTTINGLTTPNATQAATYASEDQYGANEAAVEGLMVLSGGLNTLKR IEDVLVPQDCVAELFNTQVFHRVVDVDS EPUS_07642 MAKDEIKQRELLRQPLQKSTSALDARDMKLGAGSTITNSGASQE SRPETGL EPUS_07643 MPDYGVESSGRIAPAIGMPGINIGDPTWTIEIHDRPFLKAHKQL PRRRDQNLHSVDLRSHYKKTQVQLPNRHRHDPPNLLSTTPPDPPETVFFKPSSSPVPV RDPSEHSRDDLSNAETTILRSRVATPTYQNSPPTPDITPPRARNLLRPHPVLANVPSI SSRAESFKTAKEELSSDEEIDAESPSRRPSRQRWLQSNQAPRLEDWGVRPNAPTQTHE SQSTPTKVIKRKPVPKEAFFTFDGSWKEMGDTKVNDSATSVEPASSTDSMIDKTHKGD SIHSVISPPSPLPTPPRSAETEEKAKTPEPPLQRDKSLRDRLKESRMVSPSDSTEDFA RDIGWSHVYRSPELQSRINSWRLSGISTTSTVEAIVVDAETMPQRQHRLRHSRKNPSL RSTSSPFPASNRSSLLSNPESPHRLIHRKGKITNQTRWSIGSEASKMMHGSPAMTDAL KPEIIQVAVIPERKSSLQSSEDSNRRHSASPSANPVVHHPSTAPDHTNAEPHVPLRKK RPMSASLPIGGSFGSRGRQQDFAAAAVPLRGTSLSAPTSRSHSRANSPTSEHFRLRRL AAEEDLHRTLERMESERSVPQYSASHSEKGPSNRAGEPDKEQWDALRSPSLYTAFSPP SMESSSPGPVEMGEARAVNLFAHNNHSLQIVDQYPQPESRTFLFLQKASEDRQLPTVE PSTPTQAPLPQLLVDSPLRNPRDPPQPPALKVIPPTPLDLTPGEYDDRQLGLPSSRAP KRAGSLSRRFGSLTRPVLGSRRHSGSFVKSLSRTLSLRGARNTKADQPMDANLHPFWR PRGFWDDVTDSNSDDDDYERDVIVSNSLGVPQQRTIIDGPISLVRHLSDRSRRQRQTG AVTKRSSHGSLSRTRIGKRVYKIPGLGRYFPLRTLGDMHGRLQLSKRQKEDEKRERTR QALREKIGPQIVSTGDSRYPAWMPPVQDTTN EPUS_07644 MASLHRPRSTSCHHEPLLHDFQGQEIDHERQDEEISSAKNDKKP EDGLEEQDNHIYPRWLASHSREGRYEKITPEEQDHHTSSFCSAETKSILPCYLDSLRL PEVKRHHSRSGREAFVMAVLSAASLFLILRILLVTLWPASLVDAVPKPQGDVPTAAAD GYWLANIPRNGKVAYGDSSYKIFRNVKEYGAKGDGSTDDTVAINRAISEGARCGEGCD SSTVKPAIVYFPSGTYMVSAPIIQYYYTQFIGDPHNLPVIKATANFVGIAVLDTDPYI PGGNGRQWYTNQNNFFRQIRNFVVDITNQPLGLGAGIHWQVAQATSLQNIRFEMRKGG GSENKQQGIFMDNGSGGFMSDLVFNGGLFGAFLGNQQFTTRNLTFNDCQTAIFMNWNW LWTFKSVNINNCGIGLDMANRPDGNNQSVGSVLLLDSKFTATPTAIKTAFSENSIPNT GGTLVLENVDFTGAQVAVAGLTGNTVLAGGAVVDSWAQGSIYRPSAGTQRMAKRLPQA PAASEACSASAAPLVSSATAVTPLSSGGITAGGASTPSAGSASTFSSVVSPIAVSPLP SSGSVLSAPISPIPFANGTQSNVTAQACSEPPSPKARIRGQQGLTAPTKATSLLDNGK VFERSKPQYENVPISSFVSVKSKGAKGDGVTDDTAAIQNILNSATTDQIVYFDHGAYV ITDTVNVPKNIKITGEIWPLIMASGPAFSDQNNPRPVFRVGAPGDRGAVEMTDLMFQT LGPAPGAIMMEWNVADSSQGSCALWDVHFRIGGTAGTRLQIDRCQKNENVTTTFKPEC AGAFMLMHITQQATAYLENTWFWVADHELDLSSWPGYAINNQINVYNGRGILVESKGP VWMYGTSSEHSQLYNYQIANAQDIWMGSIQTETAYMQANPNALNGGFPPNEAYSDPDF ATCTTDSCRKTWGLRVLDSNDVYMYGGGLYSFFENYNQVCLDTESCQENMVDIQCSDD VWLFGLSTKASVNMVTVNGQSQAIGLENDNVFCQTVALFQEP EPUS_07645 MEHGETPEVSSTFTFDDFLLEDPELSVMDGNWLAELEDSGFPPT ELGAPAASIGQDTLMQKAPPEARKSEIDPELQALLTSLETGTQDYIAPTTCIDPLLLG QSGTSTHQSDPFALPELGRTLAPDSRHYEMAPLLPLLPLPPLPLFGSTPPPVPPKDHD NTLARSHLTPFTGNPPPVPPKYNFKNLTSDALRSGSSPHSHLIARYPRQPLLSVPVYD DVEDLALDPQEQLNNSTATPSAEDQGQLTTRKYGETRQTLEATSRQPIEGVLAQIGQA KPTRTIALDKPLSVMTKDWPVPLGDTYAKVHRSAEQRQAEVNNKHNGKVPRPLNAFML YRSTYAERVKEYTGENNAQIVSQITGASWSMESREVKELYEEYAEIDKQNHQKAHPHY EFKPVPKRPANKKRKDRDVDDCQAHSMERKDRDYEAGSSRNKRAWTASVVRGQNLEQH RLAAAAATSRANAGKSGYAPRKTELCLTNPQSTTSRQQAFEGPIEVVIFDSRALPARD GRR EPUS_07646 MPSLYSLNSRPHPFWDFVASLDEDASRNQPSSHTEDQQSNSRPA ETSPKEKASGKQPTVEDEVTAESSSQEKGKAPEPTGQSSAQDQQMPFRGRGRCGGDGP ARRGHHEGRTGPYHGRRGGRGGFGEPHHHHPPGEGFDGFGDFNPFGVRGPPFGGFGLF GPPQRAHPAACGPPGTHQTPRSHGRPHHPHPPHPHHNHGRGPRAGPDNFNLGEFLSNL GSRLGFDLTGAAEGLGLDRLAAGNTTLPEGVDFEPRADIFDTPATYMVHLSLPGAKKE DLGVDWDGENSTLRIGGVVHRPGVDEETLKLLVVDGRKSEVGVFEKKIHLGTKRDPAN IDIAGITARMADGVLVVKVPKLEVEHKKREVPISGSATPSPVREEKQNLQQPAVAQQV DTPAIEKGKKAAPAEMDVDDARSETEKGDEMDYDDPAEQLPEYQAPGHEAEAEADHSE EEGEYVKIDVN EPUS_07647 MVTPLNKSARKISTLFSSGTSRDDSDSVLSRSSTSSHLRGSPHD FPGAGLGSNASLPKSASLSKIAGHRAHTPINSHTQIMPPPISTAPLSPLVPPPALVNY GQPRSASSHGSGRSKPSSRAASREGSRSRPSTPTTMGPPGRAISPIARTQTASRDSKL SKRHSWLPKKLGHGPDELGSQEPKAWIAGLQEHVPYDLSPIFRGEKITELWNDKADTF IHLFPPKSKRGPSFKIPSVLFSSSRSLIHKSRSSSRSSPDLNRFSMDSRALNAATQQL SISERDGYLSPRGSARGRSNSSTGSSASVRLVYDFQDEAVEEQHLYMPLELETDLSVP NSRFTSDDMELLVLYRNFFAFLAGGALVATQRQSSLYSIFLGISSILSRFDFSNLDGS TFGEVVSSSFTRYCEELRLADVRASREKTIEAIVLGEKMKSWSLYNEGFVHGAGKLSH VKSLNSPKYAQVSPITRNRLERAALDLEGRIRTIQTKLEDFEFPSMFAGIANSQMAVE AKMIRFKAWKTAFFNMRKHVIAHYRQRYGAWPPKAKSKKNNFEESGLNRLLLKEVYQD FTDLYDMLADRTQLTNRTIDMAAPDDNYDFNDTKESMTHAIRRVESEYDRSTPPVQPP IPFDTPLFPSLSTSFHRGHVTDPSRDAKERTRRLRDNEVNELLLGSYNRESIRATPFL EDFLRLERRSGHNSTIGEIVDTRCGQWIFMYAVLQSLPMVVVDARDVQFSEGVEYFLC VPPRGGRPWMKEDTSQSRSWYNVSSGGGLVSLPADTIDHSVEGIYRRSHCWTVAAQWA TASEAMSPTTQVSLGAALPPPPVVGGSRIPTQVNNPNFSPAFTPVLSANEPLPSLTAQ NRKSRSFSFGLEALPLPPGVAPDGSRAARPVSMHDPSKTFDSILAGVQPANQKKGKK EPUS_07648 MSLRSKALVNLLNGWPNPSLLPPELVKAASGAVLSDESRALSTL QYGPDQGYESLRDEVARWLTAFYKPQDAIQADRICITGGASQNLACILQTFTDPIYTR NIWMVAPTYYLACRIFEDSGFAGRLRSVPEDEEGIDLDALSRQIAHSEEKARAEGNLE PKLKASRPWRRIYRHVIYAVPTFANPSGRIMTIGRRERLVRIARRFDALVVTDDVYDF LQWPSSSPSTSTEARFPDQAYVPRIVDVDRYLDGGPAETFGNAISNGSFTKIVAPGCR TGWAEGTKALAYGLSQTKAAEEQVLSIPEGNAFQVQGDEGSGLSFQSYIRLCFAYESE DNLVEGVERLARLIKRELESSHPHCSLGSDT EPUS_07649 MTARRPSRISGDIPNHPQRDEEPLQRLFAMGDDRWEDGVTGNHH DSMHSQTIRVARDLPDETDIPVVHPALTNYGPDSAADFFRQARAYQQPPTQGPASSHL AKRRSRGHKSWLSGPGRLSEAALSTTGQYDTSTEDADLPNPSQVENKFLSDSFGTPWS TRKLWHRPREELAGNNENSVPSRRRAPKRARRTSIHNERYGDDKPQPHDSPRGAAVLR SMRFRQAEMLNQEDYDEERPSDGDALDIWAATTNPEAYSRSNALGSADLTKSSVHNFF RVTREEDVRKNDNLPGVPHLPPNSGDDTPSADHQWSLHQTSRAQRARPGVVSRRSRLP SPPHMSQAYRRQSLRDRAIRPALEPTDHEYRESDGNGNSNEDDFVDGQSFAELSSNIP RSDQRYLGESTSSHDDAEHLMSPNSFAERKKWWRRPASTMSESDLFGPRQSLFRHDQV KGGSQSSDLFQRSRVLSTERNPTPDLDGIEASDNTFALGNTTILSASNYEPTDSAKSH QEPFVCRPRGTGCGWPDIPTEIYLEIARYLSREDALNLRLVCRDFSIAMMGAVFGSVV VPFGKAMYDINAANWERSPRSGSMFAKYGLAIRKFGISFEVDTEMLARAQTKTISDEQ SSWWGKYSWPTPVYPRFNHLHKLENLADNISLLKSAMAHLGKTHELGLSIDSGHGWLH GPDISDMALFHVRCGKRTRVFGKILSAGDKRKQAIRLQLFEYAQTRTLHECMQIVQRY DRLFTSDELTFLRSVTVRDLQSFQNIVSQPDFDGQAHTGGAIPSTNATANAAPHANGG PPPPAGPQPLNGGLNGGLNAGMLNLGAAYHQVQQTMFGQIHNNNPPMHANQAQHNAPT VHPAVFHTGLLATLPMQYNPALTNTLPPSHFGQRHAAHERSRNRNHAGVATSSRPAPR SQPQFPIIMNGYNLSAEIGGWCPLIQKKVAPPRSFPLQPGSLTEAQAQWLMETVWAQR AFLSAYITSILANKDCFAQVHSLHIARISSGLLSSLEQKELWQGLPGLTTLSVLVSPD WRAEHITGDQNFNSSMLVSPVDASLQLSAFLKTHIAPLEKLSNLTIGFIGGGEHATGI MARNQHVLPAPISLAPRLWLSNHVSEPDFSTILTFSHIKHLTVQNAWLSPLMLEAFMI RSRDTSLRTLTLKSLSLTATHSQRLVAPLTTATESLEPIHPPSMWLHESLPSTHCWPA IIDRITPGATFLERKYDAGMFGDPYTNPRPSPSFRGFVSRLVFESCGYVRVSGVSIQE LNQNDLVLPNTDPMDAGLKARAAALAEKGVMLSDKNPNTGADWPLLGKLTQCIHPIEK RVLEQAWGMRFGWEDDLERWAAVEDGCFEGGTGRFSGVIIGEGGKGIGLGAE EPUS_07650 MAETNSASPMKRVSRACLHCRGRKSRCDLDAGGHPPCTRCRKEG RECVLGGSNRGGSRIRKKNRNENMSTHATSPQSNARELSASATAQSAHLPPRPSLNAP QQYQTPRSDNTGNVEADDESVSIVDSALSATIPRNPSDAWQLLKDVATRAPDEARPVL GDAISRADQNGLSPNIANGTGSVSGGLGGIAAYRLVREGYLSSAVVSSLVARFAEHYH PYLPLVPRKYFDPSALDSFAAMNKHLLTAVLTIASKDLLDDSNVHLCCSRYMHDLISG IAAGHDCEVEAVEALLLLAEWEPQGLRDQTESVGRGEEDRAAWMHVGIALRTGYFLGL DRTAFRSEAAEEAKNDGRKRLAWASCYISDRLISVRIGKAFWSRGPGPMTGLSSHDFP SLQRINPGDEDYARIFQATLDLTQLYSNVHDVLYSGMRTSGQMMLMGDYVKYVDEFRS AISHWNRIWGTLMCSTHIKVTLQMSYDYLRLYTNAFAFQAAISQAISNRPKGDERPLS DHLKGVFSNIGSMPDARFIYESLAAAKSYLTTLNSMVDPEKHLRYMPLRFYLYAIYSA VFLYKARSYDVMIHSEEQSVREMIIHTMDVLRRASLRPQDAGSRYARLLELLWHRPRS STVTETPANERAESQHSGSLTVDTGGYMQFSPANDFSWLDLEAVGDYVSGDQLGGATM FAPMAACQAQSTIAPMVQNGAQWQQPSVYNWQFDLNGNLLF EPUS_07651 MYNYYGVILSFPIVASISRFNKRHKTGLISAAWESGNSGNLLWI RSTSTHRTEDCLLLVCKPGPSLPGSSRDVHDNWVAIASKHTFAAAPIMATQPNPEHTL TMHPSPFHKYSARESTHTPIPRSHLRHGSATSSAVEGEEGLSRQRTMASADTERQPSP PYTHENDPFRLSLRIKTPSEIQLISANTSRKRDIFNPLIFTGQQAPNKLQGFYEAQNE NIERLLTPVDEHVRQAREVNTANHLRYQIAVYGSFAANVVLAILQVYGAVASGSLSLF TTMADAIFDPASNLTLLLCNQAVSKVDARKFPAGKARIETAGNIVFCFLMTAVSFILI AFSLRDLVGGKPAQTNSFHLPSIIAVSIAFVTKLTLFLYCWALRNQYSQIRILWEDHR NDLLINGLGILTSVGGSQLRWWIDPMGAIILSLLITILWLRTAYKEFQLLIGVTADTE TQQLITYISMTHSPHVIAIDTVRAWHSGPRLVVEVDVVMDPDETLRATHDIAEELQMK LESLPDVERAYVHVDYETTHKPEHFLKKEL EPUS_07652 MASRISQESGASGRIGHHWLQRFLTRQPAIRSKLGRKIEVLRIE NTKADSLRTFFELFRRVRKEYKVADEDIWNMDETGLALGSSAHQQVLGRSATSRTYKK SPENREWVSTVETISAAGRSIPCLVIFKGKNIQSSWFEAYSVPDWHYTTSERGWTSND ISKRWLQQIFIPYTQPKITGANRILLMDNQGSHIPTDFMWICFQNNIRLLYMPAHSSH VLQPLDLAVFSRLKSSYRAQIEKLAKYENAAPIKKIRFVKYYNQARQDALKEIYIRAG WRGTGLIPWNPQKVLKSSQISQDSYEINTKKQKVAVTDSNEMFANIESIMAAQEEQAR RQKLTEARDLAAEARKTANKVINMTIDQMTTVFSQFEAVE EPUS_07653 MLIYLGIAISSTPIAVDDATADVAIFLMLGALRQITIPLTAIRD GKWRGESPLGHDPKGKTLGILGMGGIGRAMAKRARAFGMSIAYHNRSRLPPDLENDAT YLSFEDLLVQSDVLSLNLSLNAKTRHIISASEIKKMKDGVVIVNTARGALINEKDLVD AIESGKVASAGLDVFENEPAVEDGLLKNPKVVLLPHIGTNTYETQRDMELLVLMNLES GVRYAAQQIENGKSARSRGSYLRVSFKNTRETAQAINGWKLERAVKYLENVQELREAV PMRRYAGGTGRSAQGKQFGVSRARHPVKSAEFLLSLLKNAEANADTKGLDTSNLIVKH IQVNQAPKQRRRTYRAHGRINPYMSNPCHIEMILTEGEETVKKGAEDALTKKEGRLNA RQKGQRVRRAITSS EPUS_07654 MCHRLPESVTFGMGALVEPLAVSLHAIKRSISGRSGTGGPLPGS SAFVLGAGTIGMLTATALSVMGVAEIVIADIDIKRLAIAARLGGGRYKLQTNLLPRES PAENIIEAFRPCTVISRRTENSLWVTEWLLSRLRVHRNANMCPDGHLRRSHPWEISLG SGWAHPLRPYHSRPLRCEKWTSSASSGKLDGVAEALVTHRVPLAEGQRAFRIVANQTR DGEDEGRVAVKVVVVS EPUS_09499 MSTQPDAHIHYGIWINWDHSAIVGSTITLSSRSGNLLTAFLAIF VGLTGTAAWKILAFSAHQLRSTQSPSDGLHHQQQVILRNTGAPIAAAWQFVQLTYFWR RLAKQSSWRSIPLPLLALTNVMGFAVASILSSEVTRAAGNEVLIRSGNCGNWTLESDT PNLVFNVYKKTLNDTITAATYARACYGIESNTPLCGRYKKQQISYTVNQNETCPFKSG LCLKSTPALSMDTGNISSHYHLGINTRKKDRIVFRRKTTCAPIASKGYITSFNYTDAT KMVKELGGRFLGHSGDIIDFYNFGRTGENNFTYSYNRYVAALNFGYGLRSFLYPGAWR PVDAISRDDADVTIIFVSSNAINYLEPVYDPVFLATYPGDPIRSGSKNASTYYANYYV NPMACIDQHQICNPNNQRCTHLQDYYDAVKQSADLDMSAVQSMIVSRLSLSFLYQTIH YCINGRGAAALRATEAAAEAVSLPLPKDQWMIEVRSWFDTGLALLQQSVMQYAAGPPN VVGASYISRPADAIWQMMCDNQIVRSPGNTTNFSTLGVVIILSIGGILIVLSLSLDTM IGYIQQRWNYRDYQRVQWALNDKLQLQRLAYEGAGMGTWSGKTAIVPVTKSGERFRIP TDLVSRHFSPDGSPELSSIQDSSVTNVDISEQGQSLMSERSGDEETAEVFPGIMDDIS TIISRHSECQRQPRIPEPGTALS EPUS_09467 MSTIEPTAQGRTGPTCPPQYSPYDSTRRTVVNDIEVYVFAWPSR GGVIILEHAEALDLEFLSLDPLDPPAKRFDSQRDEDALCRRLLLLGAKWWDSEARWRF IKAADELDDSAIAALEEEAEPAPTTRERRWVSVCWPTEGGLWVSEFDTNLWGIEEGHN VIPSDATRLRLSRTMDERCQVLKDRFNGKFYKDVSEYKGHAFINSWDWKDAGEVGPLV K EPUS_09468 MADYFAYSSLPDDNFRLLHLIGLDEGGRVKCTLTDVPRDSAPRY EAISYAWDNQQPSTPIICNDKKLMITPSLCLALNTFYSLSQPCPLWADAICINQSNNE EKSRQVPLMDKYYNEAQRVLVWLGSSGTYTDLVMDEIKALNKSLETIERPILIADKTL QSHGLPLQNNPLWQGISEIFARNWYRRLWVVQEVALASHVVVFCGSKSIEWHELSSLA DHLGRTGLTSLARGNVNVDPSKTDGFDAMMVPDFIKDFRSQGKSYSLNRLCHFARSRD TTEPIDKVYAVLGLIDEAVRKKIKVDYSLESRQTYWKVYIDLGTVMLQEDPGLFLLQI ASSKGRPPELPSWCPNFNSTSDALMLPRGFYKTGMTGGDSVEHDRTPYLGRASDSKGI KLRGLHVDEIAEVVGSSWQWDRELAQQKGPDGCAARGWKWMNECRALSSRVLQMPNAI PEQLVRTLIANILHQPATYAPDPKCLWESVFPATVYLQAVRDVGTLASRHMTQHELLC AQRYLQALNMACSGRRFFVTKCGFLGIGPLETKAGDLVYVFRGAQVPFLFRRELAKDC FELLGEAYVDGLMDGQAFGEGQHHGDRIETVLTVI EPUS_07982 MPEPSYTASRTLVNDDIDDPEPPQLPDALGDEEEDTIKVTDSVD DTEQEEEELQHYLTPETTPERNFEECMTETSVQATDSQATRAGEISADFDAQNILSSR TRGAKRREAYATALTHPSDLSAYYSAFSVGLSSSKEHSPD EPUS_07983 MLLVATEGLSQLKWRWFDQNRPLKDLLRYDDASRGPWGALMLLG WLRGRQWTSSCGALITVAALIVDPFAQQVLSTYDCRVPAEAFVATIPRTSFYIENIPI FGMDRGPITLELQNSINGGIFNPGRNVAFDCPTGNCTFPNNYQTVGFCGSCIDITNQL YVKPKLNEPNKSAWTINILTASNLSAVINKPPGAGEGSDYLLMKSGGGKTDIIVGLVC HLLFYLHDLRDTSYRHEQARLEIVAIAIAISWA EPUS_07984 MASNLVFPGDTISGVNIPASKSLHPASGLYRESSCAPILATLAG KLEQNPKKKTAHVTAPNARYIPRVGDLVIASVQRSSMDFFHLSICPHIPQAILPQLAF EGASKKTRPQLKPNDLVYAKVLSASKNMEVELTCVDPSTGKSEPEGLGPITGGMVFDI STGLAARLLSSQSVAVLEELGEKLAGGFEVAVGKNGKVWVDCPESGIRGIYAVGRCLQ ETDCDNLQKSEQQKLVKRVVKETGLG EPUS_07985 MSEEVDGASSTEGVEQYAIGISFGNSNSSIAHLSADGKAEVIAN EEGDRLIPSFLSYVDGEEFHGTQAKAQLVRNSRNTIGYFRDYLGKDFKSIDPTPCHGS AHPQENASTVAFSIQDTESETPNTVSVSEITTRHLRRLKQSASDFSGKAVTSAVIAIP TDASEAQTEALADAAENAQLNVLQFISEPIAALMAYDSRGDHKDKLVVVADLGGNRSD IAVVASRGGMYSILAAAHDYELGGVKLDQVLVDHFAKEFIKKHKIDPRDNERSLAKLK LEAEAVKKALSLSASATLSVESLAEGFDFRSTVNRTRYELLAGKVFQSFTRLIEEAVK KADLDLLDIEEVILSGGTAHTPKIAQNLKSLFSEHTTVFAPSTSPTAINPAFLAATGA AIQASLIQEFEKEDIEQSTHPMVTVTPHIPNAIGIEIEAQEGTSSFEVVIPQDSAVPV RKTKVMQSLSDGEMVLRVCEGQRKIKTTKPEPRSKAETNGEEDSDEDSDVEDEEDVRE RIWEAIKPLGEITARVKKGLKVEVTVNVGADLSISITARELGAKTGVRGNIEKPEVTE NGQA EPUS_07986 MSDGALFKPERDYTKEADKVIPEAQELAKHDIQKAIDKILVLEK QARQSSDLASTSRSLVAIVTICKEAGDWSLLNEQVLLLSKKHGQLKQAITKMVQVVMG FLDDAPNVDVKLSTIEALRTVTEGKIFVEVERARVTRMLSDIKKSQGNLKEAADILCE LQVETFGSMARREKTEFILQQVELCVEKGDFTQAGILSRKIGTKFFARKPKKTPEQIE ADRKAREEAEKKRSPDDPPTEKEDDVTDLKLKYYEQQITLAKQEDKYLEVCKHYRQVL DTESVEENPEQLRAVLQRVVYFILLAPYDNEQSDLLHRIQQDSRLSQVSEEAALLKLF TVHELMRWPMVDEQFGPHLCSTDIFSKTKPATGAPSSEEAKAYSRYVALRNRVIEHNV RVVAKYYTRITFPRLTALLDLSEEETEKYISDLVCKKTVYARIDRPARIVNFEMKRGP DEVLDEWSGSMKSLLGLLERVGHLMQKEEMMARIQPGASEKAGRAGVRAR EPUS_07987 MPFDLRIRPAIALKLHKEVYTSLASGDLPILSKLICSGLATKFK TIYTRRLANELPPQSWKLIRYTSPLRIPFSSTLFSVRIPFTSVVLRPSLIRWPLTSLL PGTQAKIMSDRVVPMPIGNHIYLRQCIVRIRSLQSLDKGDGNPPAVADLTEYLVMQQV KKEEDEPAVWKMWGTTKPLTRQEMETMVDARTVAAQSQGRITFMDRLKAMMPTRG EPUS_07988 MSKKDMRRADLVVPYVEPQKDQKSADMSNPFAEADEDTGQVQQS QQYIHIRIQQRNGRKTLTTVQGIPKKFDQKKILKVIKKKFACNGTIVDSPEMGEVIQL QGDQRKDVQEFLTSEEGLGLKVNTIKV EPUS_07989 MTTIPQAGTHRPPTFPTSATPHRPLKRQASITTIGKIDGEILRH PAEEDSVSKRQKRDTPFSTANTSNSPSHEINIGKPTANRLARARDTKRLPLELIDGFF VGSEGVQDSHAQSPVGGRSSPPSLPQRPWKHNSVARKVNKGGLNNTGVRKRDDIQVQS VPYKTEPPRDAPTFMADNRADFAPWLGNHPEDVLNEQTVKHGFVDRTPVSQYETNTAR QSLYGLFRHRSGLQTLSALFASVVEKREAYSKMSPGSAFKPPPRVTLTEAKRRAWLSD LANPAVPLRKLSRTIPQGIRGQTLLDQCMANNVPIGRALWLAKCVGANEIRTLKRKGT SGAFAAGAEIKWLRDWTTNIEQFLESSMEHSGQPDWRPRTHYSLSLVIRLYSECLLDR DHYLDWVVKSLSSASPVCLPVWLMMVNLHKPDLVKHRKRGRSLAEYLLERLSNVAGHP QSPLTPLVGKLKHILRWMIQSNIACFVMPRTWSKYRDALESCFNSDVVPDKIILKQLV HRNERLSFASGPTTSVPRTPRQIVLHILDTASSPFDIRKITQDCQTACQDADVLIKIV LEWCSSRFRTGQYRVYLAVQLIRICQEEADVNSAVTDFLALQHTQVFCDVRCLSLLVS ELVRSKVFALGRYLQWLTASGTLRCDSFQTDSSDLFSHTNEDSMKAEGSLSFRPAQVL TMVPLSSLKPSVRNLRNILLAQVGFSAGCEAHLLDFCKRHMAMQLPRILGSEVDPILD TSVCDFAELSRSTKVELALFLKHQTESFTTPKISSGAKDNGQSPPTLLITDAEFRLIR RLCENLDDLSVLADIISLCTSSADEMLLASITDTVSYHLDAFSALGAFEDLHSGLFQA YMCMRTNSELPRHFIVSLVSLGSLVSSNLVSLSILQQDLARGDRSLAVAACSPVSDGM AESLQQAGPSFIERFETVLSTGNRMEEQTMTQLFNVLARRLEKGHYQIQAENDEVLCA LYARLRVYRLAQFEALIATWLRKILNTANSRVKQLLPVLISTNCISFEAFVDILIEAM DDNEDPTTEVFPVRSHLIGFLAMIVAAENGLDSVSYKLKLENARHINTSPHRALELRA RAGFDNNLKGAQPWDNLLIRLVLSDGKIDALSATVFDGALESVLNGLLRLPRDVSVFD FSELAKNTNDLSMPFCRLRLQLWAAASSLSSLASGQEAVVETLFDLAKSESDSSWIYY TKAIGVEAASRLRGKAEEAFFALPIIPLSGRTPSTALPRAAYIDQAGKYLRIVSSTAY SIPPGGVQGIISILVDRFAVVLRGLTGEKTAANSDNINPVTTQPVASSEESAPRRFGH MITYLTLLIRMTSIHRTGFVSSRVEPLSLPVASQKQSQQDVVKILVLLVNIALHPSLD AEPCVAGHILDVAAAIVDDASEEVRALCARILKDKMRDQRAEYLFGSANATKGIGSLQ RNSQAGWTTDGLHIVKDGKRVGDYRSRNWEMLEGGAEASISLSLFETKREV EPUS_07990 MLTVHLRYCYGPDGEETAVRTYQSQQETASSTLSSPALASATTT SAPQTTAVTDCHLHDTAIVCVAGNSAEVLVSAPGTPTGEPPAQYTGCHGHGDENFCLG PDGEEVQILPEGTVLPPENQENGESGQGASVSQGTNCHFHAGVEHCVGPGESESASNT SCDRNVHEYNIPIRIGTLFVMLTTSMIGVFAPILLGRFLKGRVNSIGFTVVKQFGTGV IVATALIHLLTHAELMFNNECIGTITYESTATAVAMAGVFLSFLVEYIGMRIVMHRLP PSANVQSEERTTAADAASHGKGDGLGLADLGHHHGLSLENSKLSVLVMEAGIIFHSIL IGVTLVVAGDSFYITLFIVVVFHQAFEGLALGARIAALPDTSTPFFPMKLVMALAFAL VTPVGMAIGLGVLQNFNGNDANTLVAMGTLDALSAGILLWVGIVDMWARDWVLPGGSL SNSGIVKTLTGAGALICGMVLMGVLETSNKFLETTSTLQEAV EPUS_07991 MVDPQRASDEPAANIEQMQQASHEVEGEAQQRGGPFNEQTKYMP PLRVITVFLACASVDLVALIDQTTLAVSLPMISANLQAGSLTSWIANGYFVTSTSFQL VYGRLSDIWSRKLILLVGLLIFFLGSLASSLSQTPLQLVVFRAITGIGGGGLMTIAQV IVSDVVTLRERGKYQGILGAVVALSNGVGPVIGGVIASQGAESWRWIFRLSLFLTVFT TGCVVFFMPLRKVEGSWQKKLKAVDFLGALLTLLASALVVLGLSWAGVEYAWSSVQVL ATLSVGAFLAVSFILWEWKGHPYPLMPLNIFKERMVNGACLTMFVNGWNFVVQIYYIP IFYQFVYGYGPVKAGTLLLPLVLMQTLSSTLSGLVVSWLGRYREVILAGWAVWAVGLG LFSTLDEHSGLGKQVGYGLLTGLGVGQTLQPSLVAIQAGVSRKERAVVTATRNFVRNL GASFGLAAAGTVLNNCVRASLMKAGTGLSTAEIAVMIYSPSHLISGQETGSATSELFL RGYLRGFRFVFLIGAGLAVLAFCVAFFLMPELGLKRDDDQRLKREGKNKTLRKPEG EPUS_07992 MNSSNPLNVYKGSKSLINYYDPSCQPPLPLVEIPVSLNPFAADG VHIYAKMMTFLPAHNVKAMPALNMLQKKAREASNIDTIVEYSSGSTIISMSVISRILY GIEDTRAFLSNKTSEAKLRLMRFFGLKITLFGGPSQPEPSDPRGGIQKAKEMEGSSGR VFNPNQYENKQNYESHQRWTGPQILDQLPEIDVFCTGMGTAGTMTGTGTFLKQNKPNI IRVGVCTAPGDRVPGPRSFALLAPVHFPWRDAVDVVEEVGSRDSYRMSMLLSRQGIVC GPSSGFNLQGLLNYLQNRKNNGTMHDLRARNASGEIHCVFLCCDLPYQYIDEYFEKTD DQDFHPILNKNLIGVDKHRYDESWELSELLITEKLYGSTSGLPDFLPSELRTDHMNGC PTTRLENSACSTIYMTPESSPELGDAPFAKTTCTLQNGTTLLDLRTSSSYARSHPPGA TNFPLSSLMETSPSPFSDSNTLELQWRELESLCTPETLQLLEGKTVVFIDYDGDTARV ATSVFRARGVEAWSLRGGVKGLATETEIETEMQMETEAEAETASLDGLKGKGPTASVG LGIGNAGSNFLSLQ EPUS_07993 MRLAILRVLAVYLSSAALVVAQSQTEDPGPSPAASIGCVPHNDH WDCEGPRVTSSMITTTSAIPAASESTHDENAPVTGSNLDPGPSPTASIGCVPHGDHWD CEGPAPVSAASSSGSDSGILATATSTATTAATASSSVNAAAAGTSGSSTSSAPAQYTG VGSVVSLERYFVAALEAVVMGVGIFFAAA EPUS_07994 MLFATTIFFALLACGSFALPAHSSTPHLHAHSRYEVDSNWDQGA VNQYPIHASCNHTETTQLRKALAELEALAEHAAAHVLRWGNSSEIYRKYFGDAPSAPV IGNFERVVNGDKARTLFRCDDPDGNCQIPTYGGHWRGENGTDETVICPRSYATRRPLS QVCALGYNVAEWPTNTYFASDLLHRVYHMPAISEWYVDHYTEDYQEVIEMAQHNNSYS VHDSDTLQYFALEAYAHDIVVPGTGCPGPASSASSGTSSVAPAMSSSASASSTSAAPM SSTASSAMSSMTSAPATPVQQYASSTLSIPPGCHTHANGELHCE EPUS_07995 MSAEPNPTADSKALDLAGASRGRRQQQQSTRWRRFLVSRKIADE GSSVDSVGKYKKTQPTDKWSLGILNDKKTKEVPGTVILLASNRNEPLGLRPQPERVSI SSLLSPLPARPRQGTVSSSGAEKKKTADGQILLDPQPDDSLNDPLNWPTWRRNSALLS LGFYCMLGGGMTPILAAGFNDVADTYNVTSQRVALTTGLYMMGLGVGSVVASPTAILF GKRPVYLFSAILFILSAVWCAVAPSFTHLIIARILQGVALSPVECLPSATIAEIFFLH ERAFRVGIYTLLLLGGKNLVPLVSAVIIQSLEWRWVFWMVAIIGGFCLVLLFLFVPET FWDRTPRPKSRRPTLGQRSISNLLRPFASSKTKEESRRPSFNPEEDIRTLRQKKLHGS EAHAHCTDVSLDGSHDRKMRLDLPSNGPAHSEAARVAPSGNAPPTPLLHTLNSPFYLS LEENKDYLDHHSNPLATGKADKDASQSEILGTGMRSLNEKADLEATLPTAGATVLSPQ SPMHYTTYLQTRPPKTFVQSLTPWNGRLSTSKWFLVLVRPFVLFAYPSILWSTVVYSL SIGWLIVLSESVAEIYRNKETYNFSALGTGLIYLSPFIGGVLGTAVAGKVSDIIVRSM SKRNGGVYEPEFRLVMAAPIAITTTIGLMGFGWSAEERDKWIVPTVFFGIISFGCSLG STTAITFCVDSYRQYASEALVTLNFSKNIFHGLVFSLFFPHWLHSDGPKEVFLIIGGI QIACLLTTIPMYVYGKRARMWTVRNKWMERF EPUS_07996 MKGMIPYLPSTKDQLKARMLPLLGPQQVSLEAGVIDAERSATAA VTGSEIDNEATPHATEGSSTSEAPDRSVPVPTPPMPSTASTEGPCREESGPSGDDKEE TEVASGQHSGSQRITELTVPTKSSGDTKKSPVKSISQQDWAARQRERERQQREERERI KAQIRHDHAERRRLEELRRQPAVDLTSHDANNPAVSNARRLNSGEVRIQVRSFEGSTL RSSFPKTATIATHVRPWIDSTAQQKTPYNLKIILTPLPTRTIEAAEEEKSLDDLDITG SCTLVMVPVKSFVDSYAPPGSGIIGSTVSGGYSLLSGSIGAVIGGVRSVLGFGQVTAE QQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQRKNQQFYNGNHLNFIPKEDTEDDKR D EPUS_07997 MDKQKSLSLLRTNLRPKTPRNNPRSRPPFKSVRNAHNPADDPSF VSVVDNPAQIVSTKKKHTILGVLTLSLIPFTAFCLGTWQVQRLDWKTKLIAKFEDRLV RPPLPLPPRIDPSVISDFDYRRVYATGKFRHDREMLIGPRMHDGVDGFLVITPLERSE GESTILVNRGWVSREKRFQQDRQEGALPKGEVTVAGLLREPWKKNFFTPVNKPEDGKF YFPDVEQMAQLADAEPVWIEETMAPDLLRSYDREAKGIPIGRAAEVNLRNNHTQYIFT WSVNLPHARYSDSECLPDLINHISLGIPFLQQQLL EPUS_07998 MSTKKKQPLRPLPQLPATPRWAPSTPHALRALQQRSGGRRKSAN VNRPDSARHILRQLARITAAQTNRRTSTPMVKPSTPVEKENVYSPFISPDDEDLENEQ GLERPDFTLPIEEVDEGEDVGIAPTQHELPGGEDYTFKSIDFAAQQPRAPTSIRSERT RRSSRFSILPFPEEEDGEGDLTAQSIEYGRRAVSEGPAWDRYPRSSFGSIRMSEFGLE GSRSGKEPETEKSFMLDGHHADMHADAGEDIELEDNETENLQRLRRSISEPVDDGLFV ADPGFLDDDNTFRLDFDQDEPRSDRFIPVVEPEGGEVSKSMEETGPADSSRQFPDPMP QHSPETTRQLTEIEAAAPTRAPRRKKLKLTRKGHTVPALPSSLIKRVAIDSMTRIGKK KPVISRESLTALEQASEWFFEQVGMDLEAYSNHAKRRKRIDDTDVLTLMRRQRMIGRG QSLAQLAEELLPDEVFLDLDLPDEA EPUS_07999 MAAVLRQTSEKPRRNGSKRKRSLNDERGEDSSTAKKTATEPAKR HPETDGDVDESIRHMDPGLLADHISKKIKRSFRDLSAVELGDKYLSQRIFSDTTNFDA PRSLQSLPLFLEHISNSKEDLSISGEDPGSPHTIVVAASGLRAADVTRSKASGAHCRP NADIVTRSLRIYQSPDSAVAKLFAKHIKMPEAVDYVKRTKYVHSLGLARLMPLRIGIG IGTPQRLLDLLEKDVLKTKKLKRIVIDGSHLDQKKRSVFDMKELLDPLIKLLSRRPIK ERLDAEGRRCSVYVF EPUS_08000 MGLRLLYSTQNRSSEDPSNSASASLAPRTGSQDRQASQQSSRSL HGAAVSPTSTEQNASASSTSAIEVKTVRRARASIQNRQQVSAAGSPGPRPGRLATASR KDYTEASSGDSASDTSQNGSSSASGREDHLHSSSSDPSAATDEMSPSAIRPRRSLLHP PSDISAAKKLYPTRPWSERRTTSRESSSPIFQPGPSQEQRVIQPSTNFKGSIPSGRSK PRSASSLLQYASWPRQTIPPGIGRIVDRDGAASSKGNPQDDSVAAVGDQFFPPPINGQ VLLPGFDKLELRDDARSPAGKIGIGIEVEFLLKALDPEDRRSTLGEFTDTIARGIEML TPLFVAFPRSPWRSHVQATWKYLQQHYEITDNKNCGTHVHISVEGGYSLEDVKRVASA SIHFDTAFEALVPEARRGRCEFARSMWIDARKFAAKGRSRAEAILMINGVTDFHAFSS LVQPYTLRGYSWNFRSILKYYTIEFRKPPASKNADEALGWAELAMSFVQTSIRYGSPE RLRLIPPTVGGLRWFLRQNHIARSNEPERLDQIWAGKDPNAFVEGIPLEHVECSEGMG KIARMIERDRQDILKQIHSTQEPYWPE EPUS_08001 MGYLLDSFLSLFTLRWIQSPSFVPLPPTINRTFIKTPSGDIELL VSAPSLEPGSPASVRNPPVLFVHGGFGHASVWLPWMTYLHHKQYSGTTYAVSIRGHGA SWTPGFWRMYALTTKDTLATDVVAAIEEIERREAGKRVVLVGHSSGGGLSQLILSKGL AKAQGLALVAAIPNFGSRGVYWNWFKLDPWFMIRSLFHLQHPRSPLSSDTLVHNAFFS QAFPQDKVREFSRQMPAYESMAWPIGMMKRFTDVPSILANIQGWGTSPSRVMVMAAEG DKLVSVKLMMDTACEYREGVEGLSIRKKIEHVELPQTESRISENVKQDVKSGVTTVVV KGAGHHVQNDVQADEAAEALKRFLDQL EPUS_08002 MASEPTKSLKCVVTGDGAVGKTCLLISYVTNAFPGEYIPTVFDN YSASVMVDNKPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSVVSPPSFDNWWPEI EHHAPGVPIILVGTKIDLKQDPAIAANLRAKHMEFIEFDKGLQCAKEIGAYKYVECSA LTQRNLKSVFDHAIKAVLNPQPKTKEKNKMKCVIL EPUS_08003 MSRATDAKSEKRKFTEIDQSVSIRPHRKSHFLSRDSPGENFQQN SDVGIVLQKTVVDDESEAGLKLLKENHWKQNAATSEQNERSPVDPTLMKVAMDEPTGQ PTLPSEHKDKAALRQAKSELRSKKKRKTYPVDMEFGMKEAAAVEPAAPTTDHRKQGES EAQKASEIHADNQPKPDSKQRFIVFIGNLPYTATTAAIQSHFSKLQPFTVRHSTNKET GRSRGFAFLEFEGYDKMKTCLKLFHHSLFDAGVDEVLSEGGKRKKRQARKINVELTAG GGGGKSVARKERIRDKNEKLEGERERARVHREKEEAAANRKKKRKDDEMTGANAVQVI SGDQPDENIHPSRRKRMQA EPUS_08004 MGITALGVLSLVSLVFYIYPLIPWPFNGMFMFISILLFVIDEAQ RGGMSSLDTSVIALCFIALLVPALTVILWGSVFPVSAYRAWLATFEEEWDPNQSYRWI WELSKLNHDANPKGEHGTEDFAQGFKPIFDARRLKVAVDNDPARDDVPAPAGAAPELW TQKYCNKDFNPPKNGYQN EPUS_08005 MSAVAGHLPHHGGRTENRAGAPHPRVPSSPTTTSNLPLNRRAAT SRSAIQGEASPGASRGVLLHTGTKALISRASASSQQRSESHVTCSSSSENFRTSSPSR RGEDDKTFPKYFIGIETEFLLQGRNAYTHRGNLIDCVAGMARLYNKSIEACYPRMDTN FKDDDGIPGQKRHKYWILTEEKDLQLRPEDCNRWCVEMKTPKIEVSDNLDWTSQVKRT WAFIKENYEISKNGMCGTHVHISMQRGMQPGTTIGTGMGLQNLKDIAKCAIHFEPALE ALVPEIRRGNLFAMSNWIDNINFADASITRQVAMNMIDNCGREIELIKLMCPPPQQRC FAWNFWALKKFGTIEFRKGSASLDAANALAWAELTILFVQAAVQAIPDSLLTRPANIE ELRRFLSPEKLRYLKPMFNQLNGGESVQPELLMSGWTNKEAMLRKKLSDDARAARGLG KEFLTAFALSGARGYSHFHREKSAKGFSACVDLTLSASEESVNHISAHVAKSTDTKCD LRPYACNATVESDVKHTWDKIVRDFGKVDVLVTAAGIVDNVEAENYDFPRWRKMLDIN LDGTWLFARAAGKHMLAQHIRGSIILIASMSATICVRPQKQAAYNASKGAVQMLAKSL ATEWGPQGIRVNSLSPGYMHTDLIKNLLEKEGKQLVDNWQKDIPLGRMAHPSELHGTI VWMASNASSYLNGSDVPSGFAPPSPLSLGSAKPARFFPRIQRWSRRFAYLTLLTALGY TLDITYNASAFARTFRTFSLGVMVACDYKINFRENPPFADSVAEVHERNARRLFTLLR ENGGLYLKMGQAIAMQSAILPPEFQKMFARMFDDAPQNSWEEVQRVIREDFGGRSVED VFGVSFSSDPDRGVMEKTARASASVAQVHWARLKDGREVAIKIQKREIDRQVGWDLWA FKVVTWVYSKWFDLPFYSLVPFISERLLLETDFQNEAENSVRMAELIKGEPRLRDRVY IPEIYPELSTKRVMTAEWVEGVRLWDKEGISRPWRGGKGGSPGCHGAPLDPPDKPVQV LQRDSKSGKIKPERDWWKGPDGKGGLGLSLTEVMTTMVDLFSAQMFLWGWVHCDPHPG NIFIRRLPNGRSELVLIDHGLYIHMTSEFRHQYSLFWKSLMTFDNQTMKEVVGQWGVK NADMFASATLLRPYNGGDGSVNAQIRGLSEKEKKKRQFEMQQAMRKGVRDILGDEKKW PRELIFIGRNLRIVQGNNQYLGSPVNRIKITGNWASRALSEDINLSWSERWRYYGSHI IFKLVLVATDVVFYAGKLRQWWGTGRGMEDDIENHMKKIAKEAGFELNHGVFEG EPUS_08006 MSNRNPDGNIRSSMERSSNPRNDEAPLPTPPASRAPPAVHDSPR TAFDTSELRERVDGVLQSDIGVITLLNRLKQSIASARDFTYFLKERSALEEKHAQGLK KLCRSTLELIGRPESRQGSFAQSYEEITSIHDRMSDHGLEFAMSLHQMSDDLQEMVSN IERGRKHWKHTGLTSEQRLQDAEAAVGKAKNKYETLAEQYDRARTGDRQSGRFALKAK SAAQQEEDLNRKAQAADADYASKVQAARASRQELITSLRPQAVRALTELITECDSGLT LQLQKFASLNERLLLGNGLCVSPLKNQPSGIAPHTRSLREVAHNIDNEKDFRDYILIF SNKAGSRTSEIKYEKHPALVSSKQAQPPSQPPQQSFNTPTNTYQNASPVASSSPGGYE RGHRPSQSGQISGVTPYQPPNREGYPMQVPQTQYPGGHTSLSHSQPPRYLGAQIPDIP PHSPLIMPGTNLGTPDGPKTDTPPNFGDTNRMRSESGSGTGSMIPHMNQYRDDVQSRP SQAPNNHFQQLPRSQPSYDSFGPPAPQQSTSLPNTGQPRHSDGPRPDLPPLNPVFGVT LDELFHRDGTAVPSIVNQCTSAVEFFGLDVEGIYRTSGSNPHIMEMKAMFDNDSSQVD FRNPTVFHQDIASVATLLKHFLRDLPDPLLTSANYNSFRTAARIEDDIGRRDSLHAII NELPDPHYATLRQLTLHLYKVAEHSPQNKMTPSNLAIVFGPTLMGQGGGPGLGDPNDA AWQARVVETIILNTYQIFDDDD EPUS_08007 MVAELSALQQIVNTLTGLNGLPVVIVECVVTTIYTSLGGFRVSF ITDNVQGALVVMLIIIGVITVGVEVDVDRSLVGSSGLLDASLLGWQLIYILPVAILTN DFFISGFWMRTFASKTDKDLRVGVSIATVAVAIILTLIGSSGLLAVWSGALTDPETEG SIAFFLLLGQLPAWVVGIILVMVVCLSTAAFDSFQSAMVSTGSNDIFRNKLNFWFVRA AVVLIIIPVVVVALKSPSVLRIFLISDLVSASSIPVLVIGLSDKCWWWRGFEVVVGGL GGILTVFLFGLVYYDGNSGMAGRLIILEGGLFAGDWSTFGAFVAAPIGGLLWGFAAFA LRLSVQFILAKVRGHKLTALDRPVRSSPDPTFFTGGTASEGDPSPVSGGYYGDQKTKF F EPUS_08008 MAPEWTSVHLSSSRSSSPASQYEYDVLRPSSKRRRLSTDSVDSD RPYTAYTAPSRIPVQKTHSTKSTTLSVKFSSIQTVEPSFEALKVSPWLIKSLAAMQIK RPTAIQKACIPEILKGRDCIGGSRTGTGKTVAFAVPILQQWSKDPFGIYAVVLTPTRE LALQIYEQFQALGAPQSLKTALVVGGTDMCLQAVALSQRPHIVVATPGRLADHILNSG QDTVAGLSRAQFVVLDEADRLLNAPGPGSMLPDVGTCLSALPPSTQRHTLLFTATVTP EVRALKSLARPKDRPPIFVSEINTEDNTPQALIPSSLRQNYLQVPLKQKDSFLHALLS VPSIVDLPSIIIFCNRTQTADLLHRILLTLEHNVTNLHSKMPQSQRVKNLSSFRAQTC RLLVATDVAARGLDIPSTNLVINYDVPRNPDDYIHRVGRTARAGRAGTSVTFVGQRDV ELVLAIEKHIGRQMSEWKEEGVNVETRVTRGRLLKDVGEARMKALRNVEGSKDVFGRR KKVLKRAQ EPUS_08009 MSRTSIELNPLSMSRTSIELKAVSPIQPTLEKVHSATRDTHYRH EKQTPPMSTKSPNKARSQVADAEFNLSKFRMTLVIINVASVTFLRSVVEGLVIIQLPT IAADISLDRGLLLWPVSIYPLTCGCTLLVLGSITDTVGSRPMYLIGCGLQCVFTLATG LSRNGVQLLLFRAFSGIAISFSLPSAVSIVTASLPTGPWRNTAVAAMGGGQPVGFSIG LVVGGVLAGTVGWRWGYYIFAILNAIIFILAVYGQPKSRGDGPITWQRIVYDIDWVGA IIPSIALAMLSYVLVSVTSSTSNIRTLVNIALLTVGILLLPLFVYWMSYQERHHHPVL IPNSLWSNTIFTSICLAVFLTWGNFNAFEAIMTFFFQDVQHHSPLQTSIRFLPEPIAG VLTNLAMALLVHRVRADWLVGVSTALSAVSPLLMAVSQRTWSYWAGPFTALTLNAMGA DALFTVSNLVITDVFPESKQALAGSVFQTVNLPTPFK EPUS_08975 MTIKKRWYTVKRIGKGAYGTVYLEREETDGVESQRAVKRVPKLL ASGAPVDYSRELLAMALLTKHEEVFVLFHGWYESKNSIYLAMEYIPHGDLQQCVRSRL PEDEAKAITTQILEGLCVMHERSITHRDLKPQNIFVVSPGPNWWVKIGDFGISKRVES SDTALRTIAGTMNFIAPEIFGICEGSEFTSEYTSAVDIWSLGCVAYVLLTLSVPFSNN LELRKFCRGKLDFPVQNLQDNKVTMNALSFLKQLLMAEPSQRKSAQEALTSPWLTGGS TRESGEKSLDVRWSKAEDQPTFATSSSKILQEYGKRVRQRPVTANYSTPDGIQSRQQL SYNNIVTREYHIPKIPPNWQARKEAVDVSIMPDSSSGGLGDSNTAPKSTSNKTGPALL PVYNIQHPYDETQKTLILEQTWGAEGARHHDLVQSRTGKISTEDIYKFFEAASQGDLE TLEHLIHIGIDVNTKNPMKMEAEQWLNVWGHENSAFGAPALVWAAAAGIEASVYKLLR SGADVDGTSVYNRTVMHMATKNGHASIFTLLLGRMANPAPRDDMGRAPIHWAAEQGHA GVVRAMISSPRVDIDARTETSKCTALRLAAESEFTALHLATHGDHIEVAQTLLTSGAF VDARGSHGYTPLHRLIQNRMYDTQARLTFISLLLDYGANINAETNDGHTALDFACKSD KKSSKKLLIQRGARHGMKDAMK EPUS_08976 MRRKRRKATQTEFGDGGDDDDGDDDDSDDDDDDDDDDDDDQIGK SSLPFPEKPNTDDDDDDDDDDDDDQIGKSSLPFPEKPNT EPUS_08977 MAVTSTVNPGDYSPPLENLTNEAHRARSAPSKSLRRTRSSRALF HCLDSSESVPDFNSSIWDADIACSGDRATENSPSSPDISPTTSRHPQADPFPSFSVVQ TSSLPANLPFQTPSNTDFQPTQLEPIAEQRTLTSSGPSFARTRLSQSPARRVNIVHPQ QSLQSIHVSQRQIPPLLPNTSLPTRPYRRRAFSLDDLDCLKFPSLDCFVRSTRSSISS SSDPELLASFGERYSQLAEPQQPSYPGPERTPTPPGVPSFGSPDAVNFFNQPPARFSS WWRIGRPTQPVPSFPDTSVAAAALAGNLSSPSSQAARPSGLLHRFILFNIDFSSSPWT TQPRRASLPAGVLRADDGTFVRGRFGGRVSGHGISSRGLDSHPIQRAVSAAGGERKDA PAEGGGCIRSVGQPESIAQSQGHQDTVMSGAVHRPASISSRLTTLFSWESRATERWRE EVAASREEVGRPQTAVPQEAVAQAQPAAMLQHQPTTPHEAQASIVVIGDDLAVGLNEL LHPDSDNRAISLTRQTPLENNDDDLAAGTSEFLYRTTTNSTIRPAPQNSLENNENGNH AATRPPSANENADNENATAHTTPSPSTSTPAPQKEKESESGLWHCIHWFCICCCEMTD KEWDGGGWYGADRPWLRSAARRKRREEERERGRREESRERRDERDIERWRVEREMQAR REESSRRERRRTNESLGWCGSGGGGEGNVVGSGSGSGGGGGSGPADGQVWTTQGQEGQ GVLGMRYRPGGSRG EPUS_08978 MPSLKEKHSAASSPNSSTTRVMPAGQHTTRVHSIHPDPEDPSIS LGKKIARSLTIYPHPENVVRIRRLLDMYRFDIEMIEMKSAHADMKMLTAAAFVCDLPC HQDRYEPATRAFMNRRQYWIEQATKVVAPALRERVEALQVMLFMVNRPQLFQEKMEAY ISRFVID EPUS_08979 MQVFGFGKKRPAVNDSHSNTLNEYSKAPSPPEAITGAPPAFRGQ SSSPALMRSPFPESSIDSRSHGSTPVGSAALDLLSDITDVLQVSRVHPQQAHRNTSDP VAMHLLMETAMVDSKAFEILSFEEVEELKREKASLQNRIEATRRKLALERKLRDAAQS LNRLYSTKGPKDNEGLMVDGSRRSPEKARESLGNRSSSDDMLNRADDEFVVSNRKCDG LTHDLSNMEKRSEEVEKRILEHTAGILQLTHRGLKKNVRNSTLPRSPESMASINHKSM THFDGLDEFDDRSLYKSLEYFDEHSGGDRKADLQMLEHTEKQLDDMNNRLRDMVLQAD PNQQINMPPQKLTSPAAQQSGAQIQAHLDYLGRALESMEAAQSRTVQDAQREVYDSED QMEDINLRLHDMLQKTNNVVQSPSGIAPDSRGKSLQSQLSFSNMVLERLDQRVEHLVE QKEILSRQIQQQRELNSKSDAQRDAQIMDLTNELSNARRQQAVLEEEAERSANQIHLL MEQLDSARQESVLLDQKRQSDDRKVLQAEKDGRKKAEDNLTKLKGILQAERDSKKKAE DHLAKLKGVLQAEKAAKGRAEQSLSKLQTTLQEEKDSKSRAEESLTKLQSTLQAGNDA QRQVNENIAQLQAAVQAEKDARSRAEDSLAQQEGSLRQERDARRHADDTLTQLQETLE AEKDAARNLEGQLTEVQGDLDEARIDKAQAEAEVERCRNEIKDLESAVVRAQTDLTVV RAELDGAYGTRAQRAADVTMNPAVQKEIDDLNDKNTSLQNEINYLKGQESGSSELKNK VDVLQKELKETIEDYELMTKASIEFEKDREQLEAAVDTLREKCEALEAQLSDEKVKWL GMKSPGVQGPGSPSTSTMVLKNEFKKMMRDTRAENLKALRAEQDERRRLEALVRSLKA EQQQSSRRAAGAPTANDSK EPUS_08980 MLDEERLTEIPAICGLGVSQVSLDSSIPSAKAKAVEKAVTRNSM VPNKTYGLAGDIDGGEPWIANLRIGDFRSSKLGQLPRVSRDKQTRTDLDSSLCLALSL LVLESTIISTPVVEITDALDLFL EPUS_08981 MENTSSHSKGQAHAYHSHEASTSNSSDLEVIDSPREDDPALGLE RNLSPRSNAAQTIYRVYKRRFFGLSQLVLLNIVVSWDWLSFSPVSKTSAEYFATSETA INWLSTSFLFSFCVASPFTIYSLNKTGPKGAIIVASCLLLVGNWIRYGGTRAGNFGAV MLGQILVGLAQPFVLSAPTRYSDVWFSAKGRVSATAVASLANPFGGALAQLINPLWAS RPSDIPNMILYVSIICTIACVPSFFIPSAPPTPPSASAASHTQLNNTHSSSSAITRTL ITSLHNLLTSVPNLLIILPFWTYVALFNSSSSLLNQFLYPYAYTETQAGIAGALLILV GLVSAALSSPLIDRYKFYLLYIKITVPLIALSYLVFIWAPESRTLVYPYVVLSVLGAA SFGLVPVALEFLVEISYPLGPEVGSTVCWTGGQFWGGLFIIIENALKADEGAGPPLHM HRALVFQAVVAMLIVPAPLWLGFRGARVVRRRLEVDKGDIEGEREGNLVGGREGGTMI EPUS_08982 MGITDFFSDLMATVGFHEAHAEAPAEEDEDNKDGGGDEDREEKS VEGDGGGEKAEEGGDEGGEKEEEEGEEAEAEEEEEEEDDEPVDPKPKMEAECAKSAQC APYKHHYDECVERVTAMHEDPNYKGPKEDCVEEFFHLQHCATQCAAPKLFKALK EPUS_08983 MFGPNVWQAVVQPVVGGGISPNHSHVEIKLTFKEGGAFDFHTNF ERIKERLHQAVEAARASGITGSTSSRGAGPIAGVNMDAVHLDELPTYQDSGLDALAPQ PAPPTPPLRAPVQREDPAPSFQEVVEGRAPAQRQNTIPTDAPPGYEETQQQSIEQELE ARLAKAS EPUS_08984 MERPPLPDFPSVTSPVPSYRSNVSDSEQIPLWSQEVAAYWQGRQ HASRASSIISTRTKLSTNTATEDARSIDINIDPYHFRINRDGSRITTSELQDTLPKYG PPVQVGGVNGGASESTNTQNSHDERSVSTEVPDYESGQPRNLLSMRPLPVHLPLTPDD VFHPQNSGSRAAADTSLTRNPSYTPGKDTISVTKRRAVSEDHIHKPSAIPRKPLNLSS PLRRRNGVRLPTLITNMVNEQQSEAGRDRDPVVALRSPNCRLPRSAEPRLGNDNHSFL SIPSSPMFIGRNASGSFPSPPPVPDATQPPSTPDDQRTVTAHSPARIEEGYADTYPPP PMDSENDVSVHYTRLIRTIDRDHRKALHERDKDIIALRQRLHEQDTIYRQELRGRDFM VDDLKNRIAHLESTTEAKVETACNAIEDLWENRWKDRDFHLMERMRRMEIDLHTAVER AILERDQTWAKWWTVKYKQLVERLEQTGQLSQHDLKTLHTNPPNL EPUS_08985 MDSADDALASASTHLDRAPLNAYSTTELHHQDGHLTQNLIDADN ESTSSPVDADSKVLAKVRTITTKVKAKLHPRKHDETFIHDDQRVIEGAKIAPTLAPPA PTARDEDRLFNALPEKPSGPSLKQVVAYPVNTVKSAVGRQGGDAYAENLAKTDITHGA NVNIVRAYDIIANTTTDADRVQAMQDLERLKKSRQDSFVRWTMNRHVQLVRRVETDKL PRRPRTEFVEKIDHDRYRTQWKEYGDYLLHFYIERYGAQYIGTSDELPKATEGAIISV LERVVLTSAPYQKFLMKSSEVSLWKQPERSACYMAVYFILWWYDCLAGSALLALLGLV IKRRSCHPTIEELRQELERSENPDLTAWSIPQLIEQHGAQGWVSALVEKTGPSVLLQL EDMANFLEVLQNFYEWRDPNHTKASLGKLAMLSLVVIFTPSRLLVKSFLFVIGLIFFV LMPLWHRYPQYRLLTSPMKLIFWKVPTHAEWAVARLQAEAAFQLEAMQSAASGANDQG STAMDDASTTNIADTPVHDGEHPGTLVGRYHCTSRNRHGNLTVTTQDVSFKPHLSKDR SWRLRYDQLKAIKKIVGTSTISSGDDLLFTDTEDNEFRTVGLSNRDQVFTQIVGYSNG GGGIGWQVNT EPUS_08986 MTRHNVRQNKDSSMTYIRRRSRSKLRAIRKRLHDFLINARMLPA RQEKGELTSTDSPVVVPRKSDIRAAEQPQRPHGLGHQCTAVRRSNTAPATYGHVLPIA SAQTNASSPPKGMVDSRRADAQPWVVTIHIHLNRDLPHETEVCRCMLDTGSDLNLVSQ RTLRNLKLQFTVRKGPTLTGLGNVPIVPVGFIMLTWHVNRHEGFTYYDQFWVISDDVQ PLFDVLLGKHWIKDNKALLPNPEILMMRSLGWNFASSSVQHDA EPUS_09329 MRLLKDEGHGNLSLVEYYDDKIPPYAILSHTWGADGEEVTFKDL MENTGKNKAGYKKIEFCRDQAGSDGLQLFWVDTCCIKKSSDAELSESINSMFRWYQHA IKCYVHLEDVSTSKRKRGDENAQDSWEQAFRESRWFTRGWTLQELLAPTSVEFFSKEG NRLGDKRSLEQMIHKITGIPLLALRSSTFSQFMADQKLGWATNRQTTREEDWAYSLLG ICAISMPVIYGEGKENAVRRLKKEINDVFKTKKIDLGRIPYAKGAIFNSSDSIHTLCH PATRTDLLAQVQQWAQLLDGKSIFWLYGGAGTGKSTVSWTFSQWLTNQRGMGGVELGA SFFFKRGEGDRGSGNRFFPTIIRQLTKKIAGLDALVANIIDSDPFIFDKAIAEQFRRL VLQPLQDSSINGANLCTLIVVVDALDECENEKDIRLILELWSQLLQVTKINLRLFLTS RYEPSIWPVFQNMSVDMFRDIDLVDAVPLSTIQHDLSVYLNDSLASIRKDNNDRLQGI VLHSDWVDSEKTRQLVEMAVPLFIVAATVCRYIGDPRFDPGERLQKILRNQIIGHMSQ MELTYRPVLEHLTDQTEDDGSQEELCRDFRTVVGSIVVLSEPLSKSGLATLLGMSLQS ISQQLAPLHSVLRIPADQDTPIRTLHLSFAEFLLSEKAQKQSFGVDGSNAHLALSRHC LRVLSSPYGLRENICDLECPGELRHKVESTTITSRLSPVLQYACRHWVHHVQHSHRQI HDNSEVHIFLKKHFLHWLEALSLMDCISETISLLGILQSCVSFFSKMPGGSSLKNRFI ANLAPLQLYSSAIVFAPQTSLVRNICGRPPTWIQQHPTSLIEWGLELQKLEGHSHSVE AVAFSPDGALLVSGSDGALVASGSNDETIRLWGTQTGQEVQKLEGHSSSVRAVAFSPD GALVVSGSDDHIIRLWDTQTGREVQKLEGHSSSVRAVAFSPDGALVVSGSDDHIIRLW DTQTGREVQKLEGHSNWVNAVVFSPDGALVVSGSDDHIIRLWDTQTGREVQKLEGHSN WVNAVVFSPDGALVASGSDDHTIRLWDTQTGREVQKLEGHSNWVNAVVFSPDGALVAS GSDDHTIRLWDTQTGQVLKRLEKIPIIKTIYFANNNTTLVTNRGTFDASNGNSLNADG IKAYKDKTISVSVDWIQRRNQNLLWLPHEYRGIVTFNSDKLVVGAQSGLVSIFQISD EPUS_02206 MANFDLIVVGAGLHGIAASRFYLDINPKCRLAILEEDTCVGGVW SSSRLYNGFWSQSGLRMAGFSDTPLTLPPEAETYHDIFEAKYVTKYLEDYVDSHKYNG LTLRDRVKFGFKVESIRKEGKWRVSGQRNDKVETIWSSKLVVATGHTSLPVMPDLPEQ ARFKGPVLHQKSFGKASSTILASSSSYHNVTILGGGKSAADMVYDSVKAGKTVSWIIR QTGEGPAAFAGAAGKGPYKNGPEIAATRMICALSPSCFSPVTWWTKTIHGSEYGRKLI AKIWLGADQACRDLAKFQSREGARPGFEKLESTTAIFWCTGPIGMIQHEDFWDTIAKN VSVYRSDIRAMRPNSIVLKDGSEIESDVLFCGTGWSRHYPFLAKDQIVEFGLPHAPED EEDAEESSKWENLLKAADHQVIAQFPQLAHPPPHFERPTNITATRLYNCIAPLEDKTI AFLGDIDLSNSFRTAEAQAIWLTAYFDGRVELPPREEAEKEIAYMAAFSKRRYPSHGA TGNYFHMDLIGYTDKLMKDVGLVSHRKGWWQDLVSPCLASDFKGIREEYLSKFGLAVE KDS EPUS_02207 MLGSISNFVLDAWLDFLSLNYFLRFPILFVVGVALVWLSWRTWR FSIYPLLNPDEPREVPYSIPFLGHARSFLKNQDKALSYGREYFKGSREPFAFTLGREK LYILTSYQDVATAYRNTTVFDYGDVIKDLMGSFGVSPPGIDKVYHPYPEFEGDIHRYN PRPKSLFHLKSDFYHTQLHPGEQFKDIQDKFLKLIGDAMSFENFPQDVIPSSTINNIS LYKLCQQVFVKAGIRAFFGERILQISPSFVCDFIDFDENNWMIFYNWPDAALARKPKS KVLATLEAYLKLPKAERPGTAWLIEMMEESQRQLGMNEADIAVVLMMLMWVTNTNAYR VTFWMLAYAFHSRSLLSTLYAETGPSLKADGSIDITYLMNQCPHLDSLWYEILRLTNA SSALRTVTEPTRVGTKLLKPGHKVMSPFRQLHFDEKVFGANVGQCDPDRFFNRKDLAR HPSYKPFGGGVTKCPGRFVAHQETYIFITLLLHRLKAELANEGQQPFPRFELDTPTTG IISPKTGDDVVLSVSAKIESCDCSS EPUS_02208 MPMTEVTNITKEGSKRQLVCSGFAELLNVLPRGDNNTTALRRWE TMGIPILLQRVSLKEKKPLPRVNLRIMLSEYIWCSDKEVQTTDPVKVDSEG EPUS_02209 MSSNLAGFHAPIRYGRTLTYALFDLCLHPEYLEPLRQEIHDNCT EEDGLVSDADLLLLDSFLKESSRLNGSETISLRRKALKNFNFSDGAHITAGDSVCVPL RAMGRDPAHFNDAHTFDGFRFAPKPGARRGIKYTENSPLYPYWGYGKESCPGRFYAAV AIKTTLVYMLRNYDFKLADETAPRTFSWRTTIIPRSSTKLLIRRREV EPUS_02210 MGSRFTIPPPKEDHLRKLLLRVIDELADGNGPCTRRQSSALDLQ WKVDRHQGPVVRLNPDELHFNDPHYYDEIFNIKNGKTEKPYNVANTFGPYAAVIGTVD HDLHRIRRGALNPFFAKRSVIEILPFVQDIIERLSDRYDAASKTAEPINLKYSYAALT LDIMNEYCFSKDTQTVMKSDYGRKSFDDVDSFLAISLVNIQIPWLVKAIYSLPDWFSR IINPAMGDILDVREGLAKLVEDIRHGNDLSYEKSGHRTIFHDLLESRLPSSELERVRL RDEAFSLITAGSATTAYVLKAVSYFIAANPAVRQKLFQELKTVIPGRGDRPRLQDVEK LPYLTAVIQEALRISQPVTHRMCRAFPDKALTYNGLTIPPGTAIHSTTLLLHENEKLF PDPQAFKPERWLGEEGQELQRYLVPFARGTRACLGINLAWAELYLIVATVFRRFDFDV SGVVRQRDVDVAADRILPVSAPDSPGMIVKALAIED EPUS_02211 MLLSHLTSLLSLLAAASAAVNHEHSYNRFHRRQDRTTAGTNASE EYDYVVVGSGAGGGPVAARLALAGHSVLVLEAGEDMADSIYTNVPALSILASEYPPMA WNFFVNHYPDLETQRRDSKMTWRTPDGEIWVQLLNGTTPPEGSEPLGILYPRSGTVGG SSQHNAQVMVYPHASDFQYIVDRTGDSSWDPSNMRQYFERLEKVEYLPNSVAGHGFAG WLATSLTDLTLIAEDLKVVSLILSAATAMGNNIITSLLTTVTGLASTLLLDLNNPSSA RDKLEAMYQVPLSINAADKTRSGPRNFLYEVANTVNADGTRKYKLDIQTNSLVTNIVF DTTGDKPKAVGVDYLSGRSLYRADPRAAPSGNAGTPGTVSVNREVIISGGTFNTPQIL KLSGVGPASELSQFSIPVVVDLPGVGTNMQDHFEITVVGQTDSDFALIDDCTFQLSQP DPCLDRYNNPVTPATGEPDLFVTGVPINFVGYFPGYSTYAVQERNYWSWLILKAHPAN RAGTVKLRSTDPRDTPVIDFNYFQTGTTSDGADEKDLQALYDGVNFSRRAFDKLIPLD GSFDEVQPGRGVEDEDAVKQYIKDGAWGHHASCSCPIGGDDDPMAVLDSKFRVRGVDG LRVVDASVFPTIPGYFVALPIHMIAEKAAEDILAAAEAADRDTT EPUS_02212 MASELPRTMKAWQFTSTSPTIEKNLKLNTSAPLPTHSTSLAADQ ILVQVLVAALNPVDYKLPRHAQHRLRRSRRRHRPQQQESLLDGPEARAAGLWAPRRPH EVWDSGRVHRRAASKDIVAELKKMPPFDLVVDNVGLPANLYWAAPSFLKPGAPYVQPG ASGIDLAFAWEVLCKQVWPRWLGGGQRPWEFLQLVSKVEDYAQIGRWMQEGKVRAVLD EVFDMENKGPVRAFEKLRTGRTRGKIVVKIAERWEE EPUS_02213 MEALRLLEKFAREQRQRNEKTARALGFASWEERARIQDERSARL NALYHKQRGEECARLGITMEELDRRDPQNFVPDSWEPDVVVTARTLCLQDLTMFDNLS DHPIPFFGPKALIDYRSQELMPFLQNRNRVTIEELRIEPGDSSRRLDQEMLEDFWAVE FQQTLADPPIWAKETRYYRRFCMPVVCTQKKHIPCYLRPALGPPA EPUS_02214 MVDECWRLNLKEIHDVLITIAKEAGSIITGAKPLTDGVGSKKNS VDLVTETDKAVEKMVSHTLCAKYPTFKFLGEETYEPGMHLTHHPTFICDPIDGTTNFV HQYPYVSISLGFACNKEPVVGVVYNPFTGQLYTGVKGEGSYLTNTTSGERQRLPLKTP LEPLGRLSDTLVAIEWGSDREGPNYENKVQTFGNLGRSKEHGGAMVHSLRSFGSAALN LCGVASGSLDLYWEGGAWAWDFCAGWVILKEAGGMIVDANPGRWEIPVDHRMVLAVRP SPDGQGQREVVEEFWSFVVGKMDYVH EPUS_02215 MPSELEELVEFLHHGNSQIRQIACENLLGYSTTNPDIFKRHQLL PVRDLKLLVRDYAPIAKNAIIILVNLSHDENVVNTLAEDDAFLETLLKKITETKESFS NELCMLLANLAKSPSLDRLITLARSIPLGNPPVSSSTNAVDQLMDCFVKEITNKPAKD YDYLSYVFADLSRLEAGRKYFLTRQAYDDIVPITKLTVFTDEHRSHVRRKGVASTLKN VCFEASAHQFLLSESGANILPYVLLPLAGNEELSDEDTEGMLPDLQLLPPDKERDSDP DILVTHLETLLLLTTGREGRDIMRRAKIYPIIRDCHLHVEDENVREACDRLVQVLLRD EADDGVKEAAGDQAGTQEEDEDEKIVEVF EPUS_02216 MVLNIKTALDECAEHVTDIQHRNNTLIDYYIYPKPVPTAIAAYQ PRLATLQQRIKLIKKVNFSQLEQLVNDPDGYAALHLRSIIAELLNAILGFQSLFEKHY DPSLPQQVRYVQAFNGLKFIDQHLHELISKRQSKHNHPRAEHLLAHHSYGSSYQFCRG AIQVLNEGDQGLIANVSDNDLLPSNRYTLASKGGAYLWWTCSSPSCAFRLRFHVLGSQ ESSIHHNLETRTHPCVNLEYRSIFLVKSHLHISSYDCVGVIKYGCLFCFAEGRPLQGE VTAFSTGRALATHLSVSHRSGNLPPAMLLEKFKVAVGGQCPMGVSRWDANILRN EPUS_02217 MSNPSSGSTFGSLSASDNSYIGTLPIVRLLEDVDAYAGAVFEMS TGTLNELLSAERFDRDRGELVGPARLDGQEKLLTSFHQTIEDARNDLSNMKSGDFAAL SLVWGSGAEVVGQELQEIIKKLAEIKGQVADLRDNIHGNSIARRVESRSETAAELFAR HKSLMNIHTDDGAIRYQRDIHPCKGALQLLKGDDGGIIDRCLRKDLTLQELERLDKGG KSGTYHFWKCDSCVFRIKYFVSKSRYACLLTNDDNCTFKDSKVQCTRAFIAMSHVEQR GVRKHSSLKGPPKYTCLICALHRLAARPDHDHTFLNREDYMRHVEDLHIDGNTLPAFV LQKLGIEHGGKLPDGVRRELWIG EPUS_02218 MRQVKKLEEVARPTEQDLLESKVDSQMAAERLAPLILFYSEIDL PWHNLSGCLFSAVEKFSDEADLTKLADVLNVSGDS EPUS_02219 MDGSPLQQSVEPIPLRTLHRSACEDVVVTDDASDELEVYPDTDV ALPRHHGAHAANTSGDTLTSAVVPQTVLERFIEFVMSNSPLLVRSSPSIARDSYGAMP SIRSRRGSTLTHSSDDEADDIRKRDKRKPVRTSTSAGRMEASLSTPDLGRQHIPKARP RGRATSQTSEVGMGMESKTSFAGGGPLPQRFMEPVSGPSSFSSAASSRESSSKRSNRR RYNEDDPPDNSPYPEVRASVRATDDTTLSISTPRMWTLSLLFALAGSATNLFFSLRYP SVAITPVIALVLVHPLGKLWDLLLKQYDDPEETFIDGIRQSMISETYSLKHRFRLWLA QGRWNEKEHACVYISSNVSFGFAFATDVIVEQHKFYKQDVSVLYQILLTISTQILGYA FAGLTRRFLVRPAAMIWPGTLMSTAMFTTMHKQENEPANGWIITRYKFFVYVWLGAFA WYFLPGLLFPALSYFNVVTWFAPENVVVANLFGVVSGLGLFPMTFDWAQIAYIGSPLL TPWWAAANVVGGLVLVMWVIAPILYYRNTLFSSYLPILSTAVFDNTGKPYDVARILTP DFLFDEVAYEAYSRVYLPITYVLSYGVQFASLTALVTHTACWYGRDIWRQSKKAFLER YEDEPRATYQPIPAAPHNNPSRQSTDLTPPSVDGMISGAEDVHCRLMRRYPDAPLGWY LVTFVLMTLIGIFVVEYYPVYLPWWGLLLALGITSLLFIPVGIVMAITNQHSSLYLVC QLIAGYLFPGAPVANMVFVTYSYISSAQGIKFSSDLKLGHYMKIPPRLLFSVQMLATL RAINGFTCPLARVHFNGSILWGVVGPARFFGRGALYRPLIWAFLIGAIAPIGVWLIGR RRPKTSPWRQINPPVLFGSLSWIPPASGLNFSVWAVVCFAFNHVVRRRRSEWWAKYTM TMSAALDSGLAFALLIVFFGVVYPGWMEGFRWWGTDVYKMGCDWRACPYTRLGEGEKF GD EPUS_02220 MSSSSENISALKQFVASISSIKGDLSNITAPPFLLAQKSAVEFP ATWCERPSILVAPALEPNVEKRALLVLKWYLSALKAQQYHTGHEADGVKKPLNAFLGE LFIAEWNDARTGKTKLISEQVSHHPPVTACYLWNEKHGVRAQGYTRQEITFNGAVNVT QTGHAVLHLAKYNEDYLQTLPTVHIKSLLTGSPYPELSGPVHIYSSSGYTSKIEFSGT SFLGLSGSKRNTVRAKLYKTGDEDNPLFTVEGQWSDALIFKDVRKNKELEAYDTHKSP ATSLTVAPLEKQDPWESRRAWSGVVKALRAGDMQATNDAKSKIEKAQRMMRKKEQAEG RRWEPKFFSRVDDAPVFEKLGTPQEEKLSPDKTSGVWKFDEEKFRKARVPFHARLEIR RGDDADRWILTVPVTVLRRVKLALSRKWPDLYHYQLPPWQQSMLDGSEPSNPSFVRPC LAELFRTCVYPASLALRIEQVLLAAAGNHSQASEPSEHERSCGDEKRRAYRLILSDGV LVVQALLESRLIVLINLEDVVVGSTIVLKRFRIQRAKRLNGQGKVVFLRIADCDFIPS KPQAQQTQTKKRSAIAEPEEEAEGQIMSRKRAKHSVSSAEQSFELQNSRKRHAESVDS SHDSDSDDFETIAIDRRKLESNRQALHELNVNQSFDSQHSSTPTTAIARISDHLHPTR HHHGRFPPTHQHSSATQTTNQSRPRAEPSHAAAARTSPQRRAAYQASSTIHPTLPPPA HPTPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQLNAYERLMRQEIKWFEDDEAVLESQGWDVKAMRRWWEGRSRARTRRRGGGG EPUS_02221 MSDFEPSPRSSKRRRIATPGAGSAKTQVTPSTSRPSSKRLTSTS RRHFKARDSEDELAQESPSTNVRDSTYGSKEDSLNEDEIACVAGGPEAHASSTESADE LAQHEVTMTPTKSQRTSSRHQGRTPEKTEKASTSHNGQEKAETASIGTRSSGRERKQP RRFSSAWEDNPNPGLPKGVLTPSRKGNKTPRKSVAFEQDRTTVIGDLGFKDLDTTTTK KTQRARRRKEPYQSDEAQEKAGKDVHQIAELTMNNEESRVPEVEEEVEEEPFSDVPEG PNIAITPLLDPDPAHIDLRLDPVKSLVLSRLTGQILTPLTSLEAEYSKLYTLLSATIK SGEGNSILLLGSRGAGKTCLVETAIANLATECAGDFHVVRLSGFHQTDDKLALREIWR QLGREMQVEEDETAQINTYADTMASLLHLLSHPEELAETLESDAMGRTTKSVVFVLDE FDLFTTHPRQTLLYNLFDIAQARKAPIAVIGCSARVDVSDTLEKRVKSRFSHRWIHIS HAKNLQAFEEIARAALLLRDQDRDHERQNISVEICDKWNAFVEKVFIPSSHVQRLLMQ CFYTTKSIPDLFSSLYVDVATIISLDQINLRPAMLSDLSAPDTVLDMLPSIPDLHLSL LISAARLDAIYNAAVVTFALVYSHYVDLVSRARLQASAAGSIAQATKLWNRDVAAAAW EDLAQWEIVLPAANKGIGQEQTRMWRCDVVLEEIVDAVGGAEAGMSDVVIRWCKEI EPUS_02222 MASDSQRGTVQDDTACPSSRRRTNLRSRPRKDRKESRAGRSSAP VKSPADDKPVDLEALRRARLDYIDTSAGNRTKKMKYVGETITREAVKAKDVRHVRQTS AVKRRRKVIDPERKHVRRKVRNNKAEAGDYQPVYDDCSREQDIEARKDDVEGNESDGD EHSDVQIKAPSQSRRQTIDRCTKGDNKRRFGGQDAAVEKQRETCRRRQSEPIGPTHHA PRNSYGIDECKPASSERNTPSRQSPHVSRPSLQRSATTIRSKPDATLTTGLGPTRAKN APSIAGSHAKKGSGILSNFLRSTPATQSSLKKVTCLTCGSDDVPIIKTAKLACTHRMC HGCLRRIFTMSVGDPAHMPPKCCTSDHIPLKYVDKLFDQKFKKTWNRKFHEYTTRDRI YCPSRHCGEWIKPNHINVENGRKVGKCKRCGTRVCCVCNNKMHTSRECPKDPEANAFA EVAKKEGWQRCFNCSAMVELKEGCNHMTCRCTAEFCMICGNKWKTCDCPWFNYEAVEA DRLQHMDMAQGGRVYNDEDRRAPIRYQEELDRRRHQEHRDEALARRMQVLGVDDEPSD DIVAGDNPGQILGLGNAAEHFLNHDFRQQARNILTGNFRQAAHAAEGLLNGTVTGREN PLPPGPYELDAPARTMANQTAQLLRHDTASNTAWNNAARIGSNGQHNPRRRNGNIAAN RTTNDPAEEIIPLPTALPNAARRPAVRNINRGRRAGGLEDLLAADRLESANESTAEQR IENWRSEVPVGQPANGVALV EPUS_02223 MDQPGGLASTQVEAQNAAALQLAIKQSLKQAALERELEAISEQF SQPCYNTKLQKTEPYHNAFLRNLFGIRYISGEREKFQFELDRSVINILTKLRQKTFMS AEFAEKTHIELILQRIGQDRYCFSAVARGIAQGLHHRFAGQNWGRNAVQPAQATPATP ATPAASDEAKKPKRKRDASSPSAKSQKEPKQKKSVDEIHITKPIPADDDPIFGLNGPM RGTLIKYNTVDPQNVAKSIVLNPNITQTSSKVFGHNGIRMGQFFPRQMAALAQGAHGS SQAGISGTAEDGAYSVIVTGKYSSLEKDGLDKFEYCATGSMENTFKDSLIESQGLKTM RRSLMTKKPVRVLRGENTEFRYAPKVGLRYDGLYTVAKEEQRVNGKGGVYAVFVLEQV EGQAPVDLSRPNAGDIALFGELKERLA EPUS_02224 MDDLREQPLGPSKRRRKAKANAEKKYDCKECGRTYSRAEHLYRH QLNHERQTIYECQYPGCTRKFVRQDLRVRHQERHSSQGSQLQKRDASAQAHAAGAHRK LSSSASDNQRTASDVSIPSVITSSTVGKDDPASVLGELTATSLEHGRKSVPMTSTSSV SMESRYPPARPHTAIPGSELYAKHSSSPTSYLLSPQQKQPLDLSYTVDPRTNLSNVHD PPFRTTPVSPTAHRQNPFHSADGPGSVHPVSSQFRPPMRPLSHSVHSTDTLITEPDPH LSTPVGFTGVDTAQTSTTSIANAVARGLSALDPMSAAQYAVTGDDPNMDLTAGFTYPI FNGDEYNRSPVAREAGFFPWLFNENPLAMSDMTPASGIMPGYVDIATTQIQGPFCADD HFGSYYPPNVQPQDPMSVMSILDSTTPTRSIMSEEKRHEILELIRYQFQEKEHDAVKR PKAVVFDGDIDAHGHILSLRMLHSYIGSYFFHQHAQLPILHKPTFSADKTPNLLLLVV IAIGAATLDKAYGNTLTDDAAEFANFIAWHVRWEIVRDEGYRPPAKLWVFQTLILLEI YEKMYSTRALHERAHINHDTTLTLMRRGTSFIGRSANESPGSQRDGRTARSSGSVSAS DGVASDESWSHWVRNEATRRIAFAAFVIDSIHATMFGHSAKMVAYEIRLPLPCDEALW SATSAAEVARVQSSHQTNGIKPTMFLDGLKKTLNGERVRTNSFGRTVLMSGLLSVNYL LNQLDLQITALSAQNPQASGPSSKWRRILLRAFDNWKKDFDDALAEAYPLNNHATGVS GSFALRHIDDDNLFESRTVLHHLAHMASFVDICDCQIFAGTRRLLGRPIGIKDYSHVR EKMINRWARTASAREAAFHALKFLIQVLVPPNEEAGGVTILPHALDEHNHYQARDDFL LNRPWVLYFSALVVWSYGFALDGPLSPQPSEAAFVTTEQKERDMKAFLDRVGQVRGPE ELEFVQGRNQCLGLLMVLSDSLKATRWELTQEAAILLGNCIGKLLGRN EPUS_02225 MASSQQASEAFAPVLSALSTMQSNSSRPQKVQAHEYLEKFQKSS DAWNVTHKILQSTGATVEAKLFAATTLKGKVRSATVSAMCFASLLTFMGQIIYDLDQL PNEALAPLRDSLISLLQLYSDGPRPIRTQICVCLASLAIQYTSWKDVLPMIGNAVQGS KGGGDALLEFLRILPEEVTEGRKINMTEEELSNRSQELLEQNSTQVLNLLISYAQSSS DAAVNPRLFDCISSWLREIPAADVVNSPLLDTMIAALGNDTSFEMAVDCMCNIYRDTR DVDETMGVIQTLYPKIIALRPKILEAAETEDTDLMKGVTRLFAEAGEAWVVLIARLPG NFRSLVEAVLECCMRDNDRDAISLTFIFWYELKQYLTLDKYADARTSLADLFSQLVDI MIKHLEFPTPDNGDNADLFDGDREQEEKFREFRHAMGDVLKDCCEVIGITECLSKAYN LIQGWAQRYASQATDEKVPHWQQLEAPLFSLRAMGRMVDPEESTVLPRVVPLIVTIPE HEKLKFQAIMALGRYTEWTSQHPETLEAQLNYVISGFNHKSQEVVQAAALAFKFLGTD CHRLLGGHIVQLHQFYEGVLDKLKPTSQEEVTEGVAAIVAVQPMEKIYESLKMFCDPV MRRIMSLAQNAMDDVRQKAVADYLQLITIFVQLVSPYVAPSEPNPAVKYCQEILPPLA MIATNFPKSSPILERVCRSWRYMVISYRIAMAPLLPTLAQNLAHGFQASRQGCFLWAT DAIIREFSEGAEYVDHNTSEAVYQFYEQQVVVFLRILNELSPDELPDVIEDFFRLATD AVRFYPTKTICSSLATPTFEAAISALSLQQIDPLIAALHFIRDILSFAGEKPPISEFN GSDGKPLSNPPEVQTAVKQLLVSLGPLLVQRILTGMMFSFPEECFPDASAILLASFGL MPQQSAEWVQSTLQLLPTGSLKAGESNRLMKGISEKIQKGEMRKVRVLLQDFTNAYRR RNVAPREGLGRLEAMRFRFSG EPUS_02226 MNRGSGGGGRKTLLAPIHFIFKLLQQRSTVSIWLYEQLAVRIEG KIRGFDEFMNLVIDDAVEVQQATKNQQERRRQLGLFSMLQKSGNADHF EPUS_02227 MASASPTFQAKKALRKHIKDQISGISPTEIGRQSKEVTDQVLKL PEFGHATRISVYLSMPKAELCTANIVREALSQGKRVFVPYIQKLSESTKSRSYMEMFA LHSQEDLDSLQPDAWGIPTLGRASLQRRENALGGLGPIEGNDGENKDIFEGLDMVLLP GVAFDHSGGRLGHGKGFYDQFLQSYWEAASRNNINAKMPRLIGLALKQQLLPPDTTVP TSTDDWRVDQIITGS EPUS_02228 MSPGIPTIALLGLTVINSCTAQLIDPNLVGTWTTKSKAVFTGPG FYNPVTDKLTEPNHPGISYSFTEDGHYEEAYYRAISNPTQPACPSAILQWQHGTYTLE ANGSLLLKPFEVDGRQVMSSPCSYDESIYTRYKNPEMIKRYQVGPDPFHNVQRLDLFR FDGSPMHPMFLAYNPPQMLPTSTLNPTATSTAPARNPTSKSRVKRTIDDETGETIEPL NKKAILTPTEPFSADRWWWVGVGLTAVGTILYMYPSS EPUS_02229 MLRCIRQPKCLALRVIRHTSSTSEPTPPLLAKIRNDLKSAMRAK DKSRLNVLRAILSDATNASKGPSPVKTDVQVLALLRKRLAGSKAASEEFAQAKRDDLK AKQDEEIAVMDEYAGQVETVAEEDIATAVEQAYQALKDTAKLNAGMMLKELLRPGGLL NGKAVDGSRVAKMVQEILQSKT EPUS_02230 METPSRPSLPGTWLQTPAIKPPAAAPSFSTSITHDGGLAYSQSP SQPTNMGLMQADQNSAIQQQSATDSSRSSKNINLDERAARAVNEALESESRFPDLDGY LSHGYSYEYDLQSSAPWAPFQKVRVHNIPDQIFEQYNKATASTSMGLFAQINHAWVTI DNALYMWDFTVPNPQLLGYEGQSSLITAVKLARPRAGVFLPSITHVVVLATISEVMLL GLGSEDGSERPGALSLFQTGISVSVKGKDINAIASSAKNGRLFFAGRTENELYELKYY RDERWFSRRVARICQSSSYLSYFVPPISLGTKTVVFVEQIVVDDTRDLVYTLSSDSSI RVFYMATGGGLTLALTRSAAEIYSSVAHIITPNGTLHARVKIVSISAISAQEAAKFHL VATTSTGYRIYLSATSEPTWGSSTSRAPMNMQAQHVKVPPVQSSPEISEQNFSVNAPI RSLITTRQAARYAPGYFFCFYSSNPNQTTDALFISSPDPARLARPPEVGQPSRSAESA VWVRLGSRAEDIGECTPYHPPKETPIGFGNELAVQFDAPAPEVAILTNTGIHILRRRR LVDGFVALVQQGGGRDGLEGDIKYFIRLYGRTETLATALAVACGQGVEVSPDSRIARV NDPEVLEFARKVFIEYGGKPTINQNAIADQTVSPIDTVRPSPRHAGVALYLSRLLRST WKTVIAKESRTPAGGYSVLPGVPLNKIKSVQEDLSALQHFFQTNRSFIKGLSGPDSMS RSYSKQDELALQGEHRALHSLVKFVSDIIEGLSFIMVLFDERVEEIIPLLAEESSPLF LRLTFENLFTTSRGFELAKELVKAIVNRNIAKGSNVETVAEALRRRCGSFCSAEDVVI FKAQELLKRASEAGSTTEYGRNLLNESLALFQQVADSLPMDYLDSAVKQFTSLQFFAG AIQLALKVAHESDKGNEALSWVADGRPETDPRKSKYEFRRRCYNLIHEVILAVDKSTE QEPVFADGRPSITATRRNETYDVISRSADELFLTNLYDWYLSQGWTDRLLATDSHFIV TYLTRKSAEDIAHADLLWKYHGQSGQFYEAACVQLQLAKSGFDLTLDRRIEYLSRARA NASTYTQGSNRKSKQKLLQEISEFLEVANLQDEVLQRLKEDPRLSGERKNEVLAGVDG PVLSITELFNKFADNAGYYDICLLIYAVADHRDMSQIKSTWQQLLQSVHDEAVSRDSA QPYEVVAEKVRSLGSRLRLSESIFPTPLLLSMLEKYAFEYQRGVTSTTWVVDLFLELQ VGCEKLFDVLESMWYANEAPFYGKNRGVLANDLIYVIEQWLHETIKGGGVVFDSEIGA VRVDQMLQALLQTGTQGGLDEARMQKCRLLRESIAQVLH EPUS_02231 MGSRYDDTYRSSIGRGPPPPPPPPPGPRWDSDRFSRESEDRYGG PPPPMMARERERSVDFGRRFEDRYAPPARPVDRVDERYYREELYSPPARRPERRYYDE DDFYEPRGPSVGGAMIPYRPHRPVAPPRPGLLRRQSSLDTFDRRPSRRYDYDDRDDYR PRQRPPPVIPIPVPPSRSPPRYSRPRNAERDYEDIRIAEPEYYGDEEFRAYREREWTR QRSRRRSTSSSSSSSTEREVERPKTKTYPRKGKTRMPKRLAHTKVLFELGYPFYEEGE MIIIEKALGKDNIDEVVTLSREYRDREGASTFHVIKSPPNEEKVTEEIIERKVTTVPI SEAPESVREWDHLKVESVRSVSPKGRSHSRHTSRSRRGSSPKEKIIEKKTIIREVSPA RTSRSRRHSSVPRTEIIERREVIEDDMGESNSIHAGPLALVVDRHPKTDRDIKEEIRA LEAERRALRHERVEIRKPSRHKEEEREIIITRPVEEEVIEVRKDKKAPDPRLLRAMLA TLT EPUS_02232 MDKFQAFGKNISASFSPFAARTQQFVKEQLGQADEKTQLPADYI ELEKRVDALKQVHQKLLSVTSQYSNEAYDYPPNIRESFTDLSRTIADKVTLLSHASTP AEAQAAFTAPPSAKPQPKTFNHAIARASLASSQLLAQTHSSQASEDPLASALEQYALA QEKVGEARLAQDAQIQSRFLAGWSTTLNTNIQFATKARKSVENSRLLLDSTKAAKKSA IQGRGMNPDDEGQLSEEARAEIEAAEDEFVNQTEEAVGVMKNVLDTPEPLRNLADLIA AQLEYHKKAYEILSELAPVVDGLQVEQESSYRKSREGA EPUS_02233 MSISIGSTWPPSIAALHARLIIKSFQRKAQTRTFSDSSLRPPPC RHRRTIVREQPCIWTSQRRPFSATSRNEVTKDPYQTLGVSQSASPSEIKKAYYTLAKK YHPDTNKDPNAKDRFSEAQSAYELLQDPKKKEMWDQYGAAAFDQAAGFDPSGAQGGNP FAGAAGAGGPFQGFGGGFGAEFNFEDLFGAFTGQARRGRGGRQSPFQEEILVGENIEV QTNISFMDAAKGVSKDIFVTPLVTCGTCKGNGLKKGTQRKTCSACKGTGTKVHFMQQG FQMASTCDVCGGQGVTIPRGSECQTCAGNGVVRQRKTVRVEIPGGVEDGMRLRVAGEG DAAPTGMAANPNAKSTQGDLYVFIRVAPDSRFSRNGADVLYTATIPLTTALLGGEVTV PTLDGEVKVKVATGTGTGDRITLGGMGMRRLGGRRAASGDLRVEFKVGMPKYLSANQR TILEMLADEMGDKTAKRIMNIGQYQKNNDTSSKASPSSSTSSNKASDHKNEGFLKSAW HRLTNQHENLEPDDDNKTSKGIDNKSTDNQDEEPKKASGSG EPUS_02234 MISLPWRAALRSAQSTLCRDCQKNLLLSRLSATTRSSPVRDPRF FSTAGRLQIRNFSSHPARRKDKPPPDPLAVDESSEDTQAGKVDNELREDSRSEDSVEA KDKPPEPQPIPTSSSGSSKVSSGSGGESGSGSDGDGGPRRGRKPVTERALQKPTVPEI YPQVMAIPIAKRPLFPGFYKAITIRDASVTSAIQEMMKRGQPYVGAFLFKDEAADKDI IERKEDVHEVGVFAQITSAFPVHGEENSLTAVLYPHRRIRMTALMPPSKGKPAPDAPA PMVEVAPIREEKSQIDGTAENRGDVVASFEEPNKDQTANQIHQYEPTAFLRKYSVSLV NVENLAEQPHEKRDPVIRATTSEIVNVFKEVANLNPLFKDQISDFSMSQSAGNVIEEP AKLADFAAAVAAGETSELQDVLQEMDVAARLQKALIVLKKELMNAKLQSKISKDVEQK IQKRQREYWLMEQMKGIRRELGIESDGKDKLVEKFKEKAEKLAMPDAVKKVFEEELNK LAHLEPAASEFNVTRNYLDWITQIPWGQKSAENFGIKNAMSVLDEDHYGLKDVKDRIL EFIAVGKLRGTVEGKILCFVGPPGVGKTSIGKSIARALNRQYYRFSVGGLTDVAEIKG HRRTYVGALPGRIIQALKKCQTENPLILIDEVDKIGRGHQGDPSSALLELLDPEQNNS FLDHYMDIPVDLSKVLFVCTANMTDTIPRPLLDRMEMIELSGYVSDEKKAIAERYLAP QAKELSGLKDVDVTLDASGIEELINKYCRESGVRNLKKQIEKVYRKSALKIIQDLGEE AFPESEALTAEGKAALQEAEKDDSDVKETPEDISKETTEKPRVALKVPDTVHVTISRD TLKDYVGPPIFTSDRLYDKTPPGVAMGLAWTSMGGAALYVESIFDSALTSSSRPMLAP TGNLKAVMKESTSIAYSYAKSLLATKFPQNRFFEHARIHLHCPEGAVQKDGPSAGITM ATSLLSLALQREIDPTVAMTGELTVTGKVLRIGGLREKTVAARRAGCTRILFPRDNWG DWCELPENIKQNIEGHAVDWYSDVFDIIFPGLDAEAANSVWEKQLKEPEKEKKKRKTK SSSSSSSFSKSDDDDSDDD EPUS_02235 MAIRIEESPTRGTLPELEPVKSRPKQSMWRHKFNRFFGKDGSPT PSPSPQATTNKVGENQESAIRRDFGRRVGVGLPRPPTFRRQNSEKRDRLEPGPTERRA VSAHRQQRAASAHQPRSRSSPSPTIPGPVSAPSLSPSNSTPDQSGFTDGIASQKASSE ASSLHNGTTDKSLRPYGSRSQSSSDTFDELARDEKEQIDHELDTKWILNLSMHFRDKS DREKFFVTFAEEPNRWRRVTISCDYRTAEPDSLEMDLKELRYQRDKNAQIYESIRDSL SSIQFYNTVTNLKLETEGGRLHVHVTEDVNEIIPYPPRLSVQHILADRTHPIREARER EINFLSHLSGFVYKISFQGREYIKKEIPGPDTVDEFLYEVNALHALSAASSVIKLEAI VMDDSRQTVKGLLISYAERGALVDLLYDNHEDELPWADRVRWARQAVEGLSEIHEEGY VQGDFTLSNIVINKNNDAKIIDINRRGCPVGWEPPEIASKIASNQRISMYIGSKSDLY QLGMTLWALAMCDDEPERHDQPLSMENMSDEVPDWFKMIVKACLSRQPRDRPSAKELL RQFPVLLDDNSSFRISSVSKPISERLPKRYIEPCAAVEREDLERLGRARRRSNSEVPS TEDASLIEPPSSSYHFDSSSSFVGIRRDRRASPRAGNADNHNSGHTRADENDVDVWDD SDLPPRIISVSPGREPRYEGVDINAAPSQEHQSPVLPAQSSLPQHRLSEQPFISDEKI AWTASSSCLDGISEVRHEPDPQSVDADLELPNPHQLHAEAATTSITSDSTPRNAHSIM ATSTSSIVSPPPPPLDLAIGDLVGFGGHPTLEEYSPHEPMKVPDAQSSLYYDTQAQQQ STDSTDLSFADKEPLPPDSNQPTSDLLTTAFSLPRNGSRSSDMNEASTSHLPSTDMTD LTTNLTSVSESGDTVIPSAPAPQENQSLPTFHERPFVCLREITQPL EPUS_02236 MNLDIVESDEDGPPELVDAKLRPRDEAPPMKVPITIVTEFGDSA DIEKSLTVNKDGQQVEEWISLANGCICCSVKDSGVAALESLMERQGAFDYILLETTGL ADPGNIAPIFWVDDGLGSSIYLDGIVTVADAKNLLRCLDEPAAEEKAEEHTNGEGHAR GPNLTTAHLQISHADVILLNKSDLVSTTDLANITSRIRAINGLAQIINTTRSRVQQLE GVLLDLHAYDALSTIPDFDAKGHSHLDSSISTRSFSLPRLDPESLPLLEAWLRETLWR EGGRSGDIKENDNAAAEVHRVKGLLPLTDSSVKILQGVREIFDIIDSGHPVEAAAEEK GCKIIMIGRHLESILAPSIK EPUS_02237 MTASTPSPQRTGVSPSSSPRLPSPPPFTEVQIGPKSPTIGDGPE NQLGEVAKHDDGSTRRIRPGTKAADMDSGPPLIPLAELESPFQLQEHLKALYHTHTRG PNDSITPITRQTALNLSTPPTGIDKPLWLYELCRFLTMKANNLIIAFFADSPPCSAAT CPEMRASEWQYLCAVHDPPKSCCAIDYCCHTLDWAANILTSPKYFPSRLTLGGDMGGG GGGGGGGGGGSQASMRHLTNIFRRVYRIFAHAWFQHRGVFWQVEGHDGLYVFFKTVCD VYQLIPEDNYTIPSEAEGGDDTMETPVEKRSPEMGRMSLLKKGGEDGQRDLQEGGESE PTMTVSVGATTRRHKHTPSTGSHVTTIAEGSEEDELPSNESGAKALPDQSEPPRGRPM PSSEDTIANGLGKLTLGDLSPTTNKDGPAEQPTPQPQSVEKNPFEDGEKNEEAMEAAD VAATGSGASVGEEGGDDKKEEDPHTSEYVDLPEGSSVMQGSGAEPIFPAKEIDAEEKG GENDRPEQIEGQTKVS EPUS_02238 MVQSPMISSPLKQTNEIDWVLPLKGYIRTTYGDDPERYNEECTT LNRLRQDMRGAGKDSASGRDLLYRYYGQLELLDLRFPVDENHIKISFTWFDAFTAKPT SQFSLAFEKASIIFNISAVLSCHAANQNRSEESGLKTAYHSFQASAGMFTYINENFLH APSVDLSRETVKTLISITLAQAQEVFLEKQVADGKKAGLLAKLAAQAHVLYSQAIEGC QENVGKGIFDRSWVFVVQTKAAHMASLSEYYQALADEDSNSYGTAVARLQLAEKSSKS ACSLAKSVPSSPSPDSNLGSETGSILVQITKRHFENVQEKLSALIKDNDFIYHQTVPA EASLSAVSKLPAAKAIPVSELYQGQDIQKIIGPDIFQRLVPMSVTEQASMYDEEKAKL IRSEAEKVETANGEMEASLDYLKLPQSLNVLKGGRDQEITVEDEFRRWCEDLAGHESF SKAFEHLQKEKSAILSSLSQDSKQLDMEESVCEKMRSKYGADWTQQPSSRLTSIFRSD IRNYRDTIDEAGNTDSQLLATARQYENDFEEMRSAGEADEADILFQRALIKAGSGRGK NRSGKGSSYSPNAEGNLLDDDYGEGGPTVADQIARVEELLKKLNLVKRERAQLLKDLK EKAMTDDISNVLILNKKSILNHENQLFQAELEKFRSHQNRLLSTVHKQQSLLKELTKT YGDLLQDKRVRSDQQKYEAITRNRAAVMTRYRKVFQVFEDLREGLQRAGRFYSEMKQT VDSIGKNVEGFVNNRRAEGAQLLSQIEQNKASSASTQASAERERLQQLMERMSMNPST ASSGGSESRPPPVPSQPSYQNSRSPPVSPQHSTANPDPRFTIPPQKTPRSAESQPYHQ SNPPNGYQYPPQQQPPLIHSPHQQQQQQYQPPQHAFSQGAAAPLSEGYHPMAYPYQTP ISTQPAPNHQFFPPISTTPQPNPSFYPPHQSPPPSQPSFQPAQPQYHFLHSILGVSFT RTFLLQFR EPUS_02239 MGLIPRLENLLDHALLLAYPFCLLPIFLLLWTRGKHQKQLRTAL RGCMKLGLHGSSNLSDEYHPKYTKSNPHPLDGTSTEPWQIKALFVYPVKSCAPVELDT ADISSTGLTWDRQFCFAEYTVPTLFPTGTPDSEKKKPRWTFRTLRKPGYERLVHVRPE IWVPDPQYARMNNISDPNLAGVLVINYPNIPRGTLPTRLLYQTGQLLHLIPQESSPPP EPGLCARRRRAGEIQHPAFLGAAFPAQHPHHRAGSLRRRQLERRACASSVPNNSTIST TPKIEPKNRRTSSSSSSSRAAAAAAVKPSDPQPVDFHTACHTLRCRLPNVDPLTGIRH PAEPDRTLKSFRCIDRGDERNAALGLQLVPARPGSQDGRDGEEGKARMKIGVGDEIEV LDRGELVYVKY EPUS_02240 MEEADISNFSTSSSHRLPTISASQALQNAASSLNKAISTSLPRL DQSLLPVTTTSNGSPSIGGLPRGQLTEIYGPPGVGKTALAMQLACNALHAGNRVVWID TGAPIPGPRFQDILQSYSGVPAGQDPASSPPISRSLDDLLDKFTYYNIHTLPHLLVLF LHPTALFPPPDTALMIIDNVSAPFATAFPRSTPSSLFSDNANVKTSSSSLDIARKNKL QWAANRKWVVAGDLAAAMNKMAALKKVAVVVINQVATSLKGVKRAVLKSALAGTAWEV AVANRVVLWRDFASYSEGGADALSSIARGLRFAEVVKAGGKVRPVGEGDAVPFSIAQE GLRELRRPLPISPTLEPNQQLKPQPQLQPPPTTLPTTTASNPNTTTATITTKRKADEI ADSEDELLVGSDDDFDLPPDDDDLFDEVVVGLS EPUS_02241 MAAAVSLLPPMFTGHQPGNEERQDLPRPYRCPLCDRAFHRLEHQ TRHIRTHTGERPHACSFPGCTKRFSRSDELTRHLRIHNNPNSRRSNRAHQLAAAAVAA VAPVGGMLEGANAISQAQMMAPPAHGRVRSAPTSKAGSPNVSPPNSFPNYTPHIPTTL GPYGRHEDRTNIMDINLLATAASQVERGEDHTMSGTSRQPYMHHKFSHRPSFQSSGRL PSLSAYAMSHSMSRTLSAENADDQGHRVKRSRPNSPNSTAPPSPTFSHDSLSPTPDHT PIVTPAHSPRLRPYANPDFQLPGIRNLSLGHTPALAPMEPSADGPTAPVQHSQHSGPT LSDIMCRQDGTQRKLPVPLVPKLTVQDILNPGIGTSSGSSSTSTSLNGDAMMERR EPUS_02242 MAMSTQEMTSGQSAAENHSLPQWSGKRVVHPPATAKTRFDVIET IRWFRQPQSGLYCKDMIIRGMLLVNDDFGGLGHFDDRVLITRLARRTNESRSTLPAAI KAASNTLQKQAMIGILISRDFQKLPVTFRDRERYGVSGFWHLSHVFAVRSEGPKEGQV HTDLMACLVYSGPRETMWWNVAEDETATNAVKVLKEEETFDKMFEKEECSLCSEESLT IFAEGWVCTNVVCSSLGRDQSGQVPKTKTYSQRFLQPWVSQELMKKTPPPLLPDLLIQ PPQLTDDSKKSFETLRHFWRGWVCPACATLNRRLDYHQMVCPCGEFSAPSPPPNLTLG QVTPKEYLELNAKNKLPPVTIKHNAAKLAEQEFTDNYAIYTWEFEAEAKVTALYPRTA AHAGPNGNDKVFEEMQEKTRSGVIPMKRVPFGNSSGLDATTRQFGANFGRDYKASMIM STTPFEQADPLIGQLVRRAEAVVLGTVGMNVSFNEALLLAYLPEMYIGWHDDGEADLG DTVISHSVGGNCVMKFAMKGDYWWGKKERGDKGKMTLAPDDPHLPGCVKRQERRALLD KYRKGELTKEQYETQLGDVVRDFKVSRSNISPLLMSVVVPHGGYIIMHGKNMQKYYEH SANSTGLMRFVMTMRHIGDKHQEQTLTSRRAAAEARVAAESVLGKRKERSECPMHTFA SPPSSFPPLPESSASQTQPSSCPAKSPNQPLPSHKDDTSSTLSKLNPLNYMPSNISNN PEHSEQTISLPLSRDTSSIPRGDGSNTKWEYPSPQQMYNAMLRKGYTDTPVDAVESMV AVHNFLNEGAWEEIVTWEEIFQGGLKEAWRKCSLGEEGMRMERLRQEVQRIRREELGL EAANQEDPYKPELVRFQGRPRDMTPKAGILQALGYVWPTKFGSEPPFDRHDWYVTRRT PSGTTREVRYIIDYYSADPEPTGEPVFYLDIRPAIDTPSAAVERMMRWGGDVWHRASG AVIREQQKGNGASS EPUS_02243 MQIFGVTGESLGRIGTEAKQEREQAPEPEWKGILVAKPSVSVRK GIAWGTNPPSEPTSTLVLTSAKSYYVDIRFSLTGPTATSPPHYWAFAGTSKSTFPPRN PISPPHHPTPPPTRETHPISFPCSTHTTWTRFLDSRGDITTPDDGTTYLLHNGDCLET GQMINPDTRILQSYQELWTSPPANDVEQRDRASDGEQRLTRDESPPTQHQSTGSEVKV KAIVALLHRRPQPPHLATESGSGSASGSEVKGMIVRIGNYCQGIIEVPPSTSSDVESG VCHPSTAQAGSVRVERWRFNSKTDSILSGIGTGEVLEEEGKRRRAEGEDGEVGKWTRD ERSTYQEDKAQGIWMPCLWVCEGKRRVGDSTEKEGFVGTWRIVEAEGW EPUS_02244 MPGRKLSVLNAFAMFTREEKKNIAIYIVGIMLYKFGLEAFNGSI TALATNRYDNDASIAGVPANTFERLGLLQGLNQAFQCVGSILIAPLIKRFPTKLVLAV AVFCFGILTAVLMIVDGATGGRLKPAGAEADDFSYYGSYNTDGIIPIFCFTGIAYGMV ELIRRVIPRDIVGGNVQKLRRMDALVHIFYEVAGTSGAFCTALGLIPALGNNFSFIIT PICFTLAAITWYFVSNLNFRHPNSGLAAADKPSYLKSVLMGFVLFYRSVYTGAKIIFT SRKFIWLVPGYSVALYAHRYLENGIGPVIARRYLGNSAWSQIMVGGSNFGELLGAAFV FLFTNLVTTPMPWLRLDALMLLIVWYLPYWTPPRNQVRQAWIVAATFLPVSFGWAAGD VSLAAYIQASLARKESEHKDVSALGAVMAFLYSTYIVTYAITQPLLGRYIDGVFTRTG GSSNGGTVRPAIRNVAGVQFTIIAVVIFASSFIPRGSFAFNPQALYGEALDKEVNDDD SSVGGSLEADSLKKERDYVNSAEIAQPGGRNSIVGTLEPVDALRRS EPUS_02245 MASKVDSNLMSKMTEEEKKITGQDHPVKGGPTAQAQKHANQPLN SEVIRDITKGEEKITGNPNPTRSGPTSTAQSILTRSGNAQTTSNTPGNQQENHSGILD SSTISKVTDAEKKITGDDQPARGGPTARAQQHSGEAITSEALHDITEGEKKLTGGERV KGGPTSTAQSELAKSRS EPUS_02246 MDDSDLYGALLFLILYGTFLALSGKFFYGYIYGIALFGSLALHL IFSLMTPSEGDRDHHHHSQPGVGQNPGVGGGGGGAMAGVGGGHFSSTLTYSRSASVLG YCFLPLVLTALFGILFSMDTLFGYVLTSMAVGWCTFSSSGMFVSVGRMRGMRGLVAYP LGLFYVGFGIMGYVVFGIMGIFSSRGGGGGPGGGCCRGRCCDDSAGEGWYCMGEERRS WR EPUS_02247 MAAAPGGDEGIYARLNFTTDLKAVEEHERRIRERIDATLRKSEE RLAELIDQNSTLPTTVSSVTVVGAPNTRRSFLARIFDPLLSVNRDRPYTQSELLQECA ARADKLSRFGIYHEPISLFFDKPDKTDPSTSPTDVAVYVTAKEKGRISAKTGTDLGNA EGSAYANVQWRNVLGGAETLDVNASLGTRTRSAYQAAFDTPILSDPDKRWQIGGVQSS TQRSFASHEEFLRGGWTNLRWLTSSNSQHEVGYNGYWRQITGLASNASSTVRAEAGDS FKSSISYTWIADRRNNPILPSSGYYMKMISELAGWGPLKGDVAFWKSEVHTQTASTIP LPGIKGDSGVIFNAGLRAGLLYPLALGTNQTPEASHINDRFQLGAPTDVRGFRLSGLG PRDGSDAVGGDVYAAGGANLLVPLPRLGRESPLRMQAFVNGGRLLALRQAEKEGEMSS EDVKESVYNTVAELGNGVPSMSAGVGLVYAHPVARFELNFSLPLVLRKGEEGRKGLQF GVGIDYL EPUS_02248 MATAAANKRLTREYANLQKSPPPYITAHPSEANILEWHYILTGP PNTPYEGGQYWGSLMFPSDYPFAPPAIRMHTPSGRFQPSTRLCLSISDFHPKSFNPAW SVSTILIGLMSFMTSEEMTTGSMGASESERRWYAARSRWWNSTGGGSASKPTPGIHPT SKGVGNIKAGDGGAKFRFEWPELDAANWEWIKENRIDSATGRILPDANDTTLQCSPEA AALRRRLGGSAGVGAVVQEGRAAREAGQSWLRRNKLWVAAGVVFTWVFLARVFGDGLD L EPUS_02249 MSTSTPTAPATESVTVNGINYRPIREGLASILAPYREAPADHAT TKGKKPRNNDQGEQAVFYNPVQQFNRDLSVLAILAYGEAALVEKAERYQKKHGQTKRQ RENAKKKKAANGTASDPGIEVEPDLRDSDGSRKRKRADEASEEAFGKASSGPDEYNAK RTKTDVPELDDEELEMMQTYENGQTQSHPNSLDGPADQLAETGSKHGPRFTILDALSA TGLRALRYAKEIPFATRIIANDLSRDAAKSIEMNIDHNGLKGKVCSNVGDARAYMYSK VGIEQTSSSGTSIHRFDVIDLDPYGTAAPFFDSALQAIQDGGLLCVTCTDAGVFASNG YPEKAYALYGGIPTKGAHSHEGGLRLILHAIAAAGAKYGIAIEPLLSLSIDFYARLFI RIHKQQKDVKLLAGTTMIVYSCDHGCGAWTTQLLVRNNTQKSKSGEMYFKHSYAQGPN AAPFCEHCGKKTHLSGPVWAGPLHNPYFIQRILDKLPSLDKTTYTTMPRIEGMLTVAL EEDLNLDQKLISTTSTPVPATNPDPQPRPSTLPIPRTPPSQLDSHPFFFIPSYLAKIL HCQTPSEDCLRGALRHLGYRVTRSHCKPGSFKTDTPWTVIWEVMREWMRTRAPAKEGA ITEGMAGWGILGRLRGSERARSQDWKYEVEGLVRKAGTVDEIKTGLEAALFRLGREGS DNGGGGGGARVGQMKKDRADGVSESEAEAEVETEKKNEEVSATDASKLEIIFDEALGK EKSPRKLCRYQLNPRPNWGPMNRAAGS EPUS_02250 MSSDERKDLPVTTEQTKQASRTASPLSGASDNDGTEKPVREKLK ETSIAGLSAHVKKNKDRGNADNVSAASSAEEEDYTPEDTMLTDTTSPLRGRPARKRSF DDLQNESMISIDTVTQNDPTQAEGTHKRMRSRDMSSSKISTMNGRVDKEQVVPLTEEH DVEAEKSPGGAGLMVEAPSMDDHDDAVTGNQSPKKKRSRDQFDRDHDGEGGIFEKEEK PAIPSQKQPSETGDELTRTTTNSDKGEPDKKRHRDASQEGKKATDTEQVSPKVEQSSG FANTSAVSPFGTLASPRSPLTATKSPEPEAAAVEKQQTSSSAFASSGLSAFASSGKSP FSSLGTSSGPGSGSGFGSFGPKPGSGLGSSSGFGGASPFAAKAASGFGSLGGGGFGGV LGSGFGGTKTVTSSFAPSGGPTGIIGSTSSKPFGKTDEDDEDEDENNDAASSKADDET NERDSRFYEQPTETGEEEEETMFSCRAKLFHYEKEWKERGVGTVKLNVHYPLKEDPEE ALEQKARLIMRTDGVHRVVLNTPIFKGMKFGTVDGQEPTGKTLYLTGMEDGKPALFSL RTGKEEVVRDLYHRISSIHDGL EPUS_02251 MPSAVSGNPYENDPVIEQDLIDPDGKSYNDADDPVQIISDRAPL TGNIHSSSSRTPVSQSYLTSSIPGEDRRAPQNTIDESVWQTVSRDLLAVWEKMKQVLW PKYLLGGMLQRGGGIAAAERGEMDGFGRGLRGMVGRWPDADGILQGGMSEGLRDWDLW GPLVFCLLLSMFLSIAASSAQGDLIFSGVFSIVWIGEAVVTAQIKLLGGNISFMQSVC IIGYTLFPLVIAALLSALGLPSIARIPVYIVLVGWSLAAGVSILGGSGVIKNRVGLAV YPLLVFYVGLGCLCFIS EPUS_02252 MRRLFGRKLHERWISNDVGETKDERPQIAALQKEGPTSQKSFPV GIKALYSSQWSVVDIVFVHGLTGDREKTWALENQEPWPKTLLPTELPNIRVLTFGYDA NVVNLGGVVSENRIANHTMNLITALASYREKDDTQERPIIFVCHSLGGPVCQDALIHA RERPELHLKQIYFCCRGIVFLGTPHRGAGLAKWGEMLARALGLIKQTNSGILEVLTRE TEVLARVQDGFFTMIRSRNQEKLPPVDITCFFEELPLAGIGLVVPRESAAVPGYIPIS IRQNHMDMTKFAYDEDPGFTAVVGELRRWIRQIANPIPKPAESPKCT EPUS_02253 MSSMASSQLTKLKKIKMIISGQPQICASWSFPSESIEHHHINME DRSAGMMHDIHLFVDTRVAELVKGGICSQAAGQYLRGRLMSMAENSFLWLDMVLKHIQ RGLGHRAADLVRILSNTPEDLQQAYTKYLPPIPATEVAILQSYLRLLVVSSRPLSLTE INVLTATDEEDRECTLTMTADDENAVRGSIQRALGPLVRFPQSTAQFVHSTAREFFLL LGQQPLHPLCNSHGVDPTEAHFFCARLCMTYLLDRSIPADLFDIERLDSPSLDTQSPI SDRQRMDDEDMFTSLLIGEVQFLRDKESLGNERCLDIQQRYQAYDYAANNWTYHFARC GSMLDNQFLTMGLDLVSCVAGRSSNWYKYRAYQSYLQMPSFGDSSSIVLAAMFDLYKI VQVLLNSSGHLQTPQMLFPALFWAASRGSYAAVKLLLEHGVPVSSVNTRNVPLAVATR GNFKEICDLLLEASDVDPNKQDSHGKPALVLAAEADHVQILRRILLHKSICVDQADTD GRTALIAASRNGSIECVRVLRKDGRANVNCTDHKGQTSLHHASNAGYLSTLKELLSFP GLDLHVKDHAGRNAISLASQQGHLDVVRFLHHRKVDATSTDLHGRNSISWAANSIKAT ESIDDSKESVLEYLVRKFPDAADAPDESGWSPLAWAVDTPGYPKSVQILLCCPCVDVN QRDAGGRCLLQWAASSDMKDIAKCLLQRSDIYVNSASNDGWTALFSAASNGKMETLKL ILQHKDVDVHHRDSRGMTAADWARINNHLEILDLLRSHA EPUS_02254 MSTGSLTISGVLSQKFRDSEKNAVFAGQPSSEIETILGYLLYAV CYLPTISHLEKQGRDRYDQIIPILKELQKPTAFNTKRYQINIVPNTSLRDCTVIVVSI NRPVDELKMDDEDWLNGPSSFVVSELNDVDWDIEKFDEYAVQLHRYIASLSPRMKKLV GQPSCTNLELTSSGIIVVPTDARNGGVNNDDLDETVSVLRAAIVPELPTRPVWIAGVT RIIHSLAIHQLPLFDCGILLFLVHNY EPUS_02255 MQGYYSHMMTSTNVPDYVFGNGNTYSYSYSLPINNTVPPNVPWL FGPQTNLEGIAFWYRSDQAELMPRRQHILKNRPNSTPGADSVKHRRTRNGCFTCRNRR VKCDEARPICDRCRKGNRTCEFPPPVKPSKRKHRPSGNQTVSRPTGPTPDEDDGHSKG SETAQNADEPSANAADASNRPSPVEKAETEDDHTPVKPKVQKSDSCSSATKYKGISPS TDEWESISASATPPSSLRSGTFPSSSTISVPDRNNGPLRWAHLPADLQKYLQYQQDFM TYYHYFFKLDNNNFLHNEFIDLALENESLLYAAVGFAAYHHTLEQPDGKLSDFLGYYS KAVSLLRFSLEEGQQRTAATFLTILQLATFEEYLGDWVHLCGHHRAAHHLLIELYTPQ TILQTETGRQIFAWYSRFDVIAGLMAGNQTVLGREWYIEFQAYYEDQIDPEDVDIENN LAAASAATRLIGMDMAALFAQLPQGSISIQEFTVQSEKLSQRILHLRERIETLNDGYY TVHEFPDQHPLGPDDIVDPYKAGGLFRDVFWPLNYLWIDWYGMYQMHTLQTMTILQRP FPPIMEALSLDQCRIFEAIERWPASPRGSILGAHESLGIAAVFIKKDERHTMWCRRKM AIIEQKGYMFPATFRKKMAEMWALPEINHWWLPNDEGFSPLLREIRAFSEQRTSLELD ESSEKVRDISAIFSGLDGQLQGNTSNLQSS EPUS_02256 MGNSSSSQAPNFLQRHPRRFRQLLSDRGENDRLHESETTNPLPV RQRAEPATSLDRLRQESRSEVNIYGTFRRQISSQSITVERETGETIGTDAIVYEQEER PLVNMEDLGMRDAPITHVAAAPLARRQSTMSRLGSRMLPSAVARGLLNSGEETAAEGR AHRMGLSGRRWNEEEFQRLGDGNRSRRTINTIFRTSRTTTSNSRRHTIRGPFPTQYSS GQPIGDEPEEVNTANVSPSSDVSAGSPSSSARRRARLSRVVDSLSFPIYSLFNHSAES SSHQAAPRTPPRRPSRVAFADDSDHLLPPLSTTDPRLDLDDAPHELDAVEPEARNVLS NSRLSQNGMRRLPHALRSRSTRLIRRGEHPPLSQVLQLAATSIAAQLSGHPSPLTSGI RSMGNDALDGPIQSFVRTLQEAADAQSSENTSPSGSADGNLPPVNFLRVFQFPNTEPA STMTSLSGNAAAPDHAPVENLDGMTAGTNNERTVTLVLVGVRSMPSNTEGSGEESNLG PSLDALLSMPFFPTTNVLRSGASGALLRRSEARSRLNSRRNSMTSFSSFPAQYDSQRH HRPRNTINRSSTESSSPTAQPNSVPTLLSESPPGPNPPPSTPADARSGAATPNRRPSS ASAIHSPILPHLNEHPSIMTDERSRDTFLSTARQRRRSDSEFARRPELSSGATRRNGV VEPDNAPPGGGRSWLIYVVGTNVSPDHPAFTMPTLFTDSPSYEDMQLLSTLLGPVKPP VATQDDVVSAGGVYNLVQGPETLVGQSLTNDGESTIAILAGDRCLICLCDYEAKEEVR RLGKCQHMYHRECIDEDEIPVQCAEDKVLTRRRLHPRSLRPPEICPCYESSLNRLRVN RIRLNFQSSSIAFIGAQHGHGLVGDNDWFTFQIRASRCRGFSAYIFDIVELFLDGTAA GQGS EPUS_02257 MADQKQGGTFLTQFALAAVFMMSYLHRQPTLPSTFYSITQCPLH VLALGSILGNVADTAGKGVSGVTNTAGNAVGGIGKGLGDTVSGVTEGLGNTAKGAGNT VKDTTGSVGGAAGGAADKTDDSIGGKKQTADNPLGLYNTRTHFHGTSLGTLARYGTIA AGFGASAGIFALFFFGEVPKVRNDILSKMPLIGDYFVREVPPEDNPF EPUS_02258 MRSVLVWVLLSSLLRPISAARPPQENDAKIVAQNGDKTADSAAA AAGDDEGTEYTTFNDIKVPQMKDIEGEQFNETIKDGYWFVEHFSPSCGHCQAFAPTWQ TLYEFYYTSSPIQSQTKSPSPDTPNSLNGFHGYYNFHFARMNCIAFGDACAKNNIKAW PSLMLYKNGEFVEKYTGEKSMPALSHYVEEKLEQIRPGSRPREGLKVPEVGANRVDRT AMPETPLAKDKDVAAGAAAGEKHNDQAAALADATNTAMLSSETAKAGRTKEVSRTGPA PNPQGTSISLTAETFQKLVTSSQDAWFIKFYAPWCGHCQALAPTWDQMAKAMKGQLNV GEVNCDAQSRLCKEARVKGYPTIHYFRGGERVGYDGLRGLGDLLSFAEKALDSDVKYV DAAQFKDMEETEEVIFTYFFDHATTSEDFAALDRLTLSLIGHAKIVKTDSPALAERFK ISTWPRLLVSRDGVPTYYTALAPKDMRDFRRVLTWMQSVWLPIVPELTIPNALDILTN KFVVLGILSRNRPDDFIQSKRELKNAALEWMDKQTQLFQLERQEMRDAKQLRIDEAED RNDQRALRQAKSQRIGIREEDFRKPVTFAWVDGVFWERWLRTTYGVDVTDGDRVIIND EENKQYWDTTTSGAYIIPSRTSILETLHQIVASSPPKIQPKSTIGTLENIFFQIRHFG SYHPYLALGLLFASIAGVATLGKRKMRHGASGNGGGYFKLDGKEGLLNGMGVGGGGGG TEKAD EPUS_02259 MRGTLRLLAKVQPSKILEVDAPTGLTGILTHPQPRPALIYTYNQ ILDKLKALPESSVYRQSTEAITKSRLKIIEDTKPVGYEEWLSRVKAQIEKNPAAYSHV MQKDGSIAFSEPFEATSEVWDGAVREVLPEGPSTEEMANSKARAIRAEEERLEVEEPQ RPSELEQEPPLDADQINYIENKIGAGLVEEVIMVAEGELTLVDEMIAARVWEPLEEKA APGQWDYFERGTHTGTT EPUS_02260 MPRPKGRASRSKSGTWRRGSAEDVIDNPSVYGEEIVAKPRAASV ARSTRGRRSKAEPSSDNTLVEERQSPTAGRITKSNRNTHDIPSDRALSITRAIVTLLC LFAMTAYYAARPDMSAQVSRPPPPLSGEETTTPLDNLLLWIHNHMPWMKQIGEAQGRG AATIDRITTPSPEGSGSELKDVEFVCPECPSCGNAVVCETQQRDGPRNIKDKVSVWLP PFLARNAAISGSVQQIFNSCDESVKDHPWGFMLLVLSAAWKLSELLLRMGKTGCTRGL EVARHIIRMHRADEILVKLDLDAESERARKEAQEQFLLRMSTIQKD EPUS_02261 MALPSAKSPSGHPWILPHFRESLRYPWSASCEGLPPRHIFYDAK FYPYHTSSTTAPVFALVGNREIVICRPSTIRGEGLVRIHVFRDLEPVPDDWSIHLSSI LNSCAWNYVEQSVPLISVAGPSGLIKVLNALTGDLVTTLVGHGAEINDLATHPLYPWI LASASGDHSIRIWDLRRKIELGENACLIICGHGHGHKEPVLTCAWHSSGTYLISGGQD HMVCIWTIPDLAPASLLFDVGEKPAKALRSSAETKVIHYPHFATSAVHSNYVDCVCFY GDLILSKAAEENKIVLWMVTGFDSRALTPSQECAPGAGTFQDTRSGFLPQSACSQESE RTGPQEPLRASSIPLFHRLLEFQVPFSELFYMRFSLLMPTQTHPHLHPVLAIGNTKTK VFFWDLMGLEMGHDAGMTGPNDEDKFKRPDSKSKRKAGEPFSRRALEGYSASDVRSES PLASSSRRSSSVITTANASSPAMSSLQEPEVSTTGTSPALFDPPSVVPSMLPRMNKYR INDPFMPVPAHYSHTPNVNYHLSARQAAWSPCGRWCVIAGESGSKDAMAVIYDRWV EPUS_02262 MVGRLSAAFEQVEIGGAKAGNENLDAWIDHDTTKEHKPRKKPFP SSATLKEELEAEFLTPPTSFSAQWLNKLQRRWDAPTNYADICEFAPTQTRTILRFTRE GLEGRVIGYKEVTVPASSATAKNSTSILRRPANRADLVRGAAGFFPFTPGGLDGIDAI DAVEREAQLEDDKRASTKGSALDRIISFGTEGGLLEIAPGFSRGLKFSRKNSKKEIDS ATDVETALENDDVEAKFIHRKGHDDTNDDVRTSGPHFKRVTPPESDEDDQDLEALLPI EFPALEPRGQLLASSTRTRGREWAHVVDVNKGMDNFHELVPEMAKTWAFELDTFQKEA VYHLESGDSVFVAAHTSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFRGV FDDVGILTGDVQISPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERG VVWEEVIIMLPEHVTLILLSATVPNTHEFASWVGRTKKKDIYVISTPKRPVPLEHYLW AGKEMHKIVDSEKRFIEKGWKAANDILSGRDKIKEQQAAETQLQPRGGAVVARAGRGQ YSRGGSNQRGGQQQRGSGQQRGRGQPANRGQGNIARTGRGGGRTSAAQDRNVWVHLVS QLRKENLLPCCIFVFSKKRCEENADSLSNQDFCTAAEKSAIHMIIEKSIARLKAEDRV LPQIRRLRELLGRGVAVHHGGLLPIIKEIVEILFAKTLVKVLFATETFAMGLNLPTRT VVFSGYRKHDGREFRNLLPGEYTQMAGRAGRRGLDTVGSVIIVTSGKTEAPPAGELRQ MILGDPTKLRSQFRLTYNMILNLLRVEALKIEEMIKRSFSENATQAMLPQHAKQVELS EANLNKIKREPCGICDQDIEACHQAAMNFEKLTTDLHISLLASPVGKRLWSSRRVIVF KKDGTRTAGILLRDGLVGGPRPHLSVLEIRTLEGRRSPSDLLPYLPRFRSMFSELPKI AEDVKSAVISVDLEDVECVTVAVVKVRGPPWYLQIRKVIDEGDQINVTAMLNSDPVQK KLAEEEFTTRYCDWGDPDWNELEWDKVKDLQVRELLTERQKWAHIAQQGQCLKCPNFL KHFTMQHDEWLVKENILALKQLMSDQNLQLLPDYEQRIEVLKDLDFIDEESRVQLKGK VACEIHSADELVLTELILENVLANFEPEEIVALLSAFVFQEKTDIDPTLTKTLEKGKQ TIVAISEKVNQYQILHQVILSSEDSNDFVSRPRFGLVEVVYEWARGMSFNRITDLTDV MEGTIVRVITRLDETCREVKSAAKLVGDPTLYTKMQQAQELIKRDVIFAASLYM EPUS_02263 MDVATLRACIVSTIDANTDTRRQAELTLKSAEEQPGFINALLDI LQTEQNNGTRLSTVVYLKNRITRGWPPQEENVLHKSIPENERASFRDRLLPLIAASSP MIRGQLIPILQTILNHDFPAKWPTFMDVTLQLLNTNDAASVLAGLQCLLSICRTYRFK AGETRADLDKIVTVAFPPLLNLGNKLVGENSSEAGEMLRLVVKCYKHAIYYELPVPLR GQQETVGWCTLFLQVISKTLPASMMPEDVDEREKNHWWKSKKWCYANLNRLFVRYGNP ASLSKNQDKEYGDYPKLFLSTFAPEILNGYLREIEKWVGGDYWLSKPALSFTLVFLEE SVKPRAMWDSLKPHMDSLIAHLIFPLLCPTDEDIELFSDDPPEYLHRKLNFYEEVSAP DMAATNFLIALTKSRKKQTFAILSFVNTIVTKYESAPDDQKSPREKEGALRMISSLAS VILGKKSPVADQVEYFFVRHVFPEFRSPHGFLRARACNTLEQFEELEFKDPENLMVIY RNILESMADPELPVRVMASLALQPLIRHEVIKNAVRSNIPQIMHQLLKLANEVDVDAL ANVMEDFVEVFSEQLTPFAVALSEQLRETYLRIVRDLLERNESKALANGGDGGEYGDY LDDKSIAALGVLQTIGTLILTLEASPDVLAHLETILMPVITVTLESKLYDLYNEVFEI VDSCTFASKSISDTMWHAFELMHKTFKDGAELYLEDMLPALENFVSYGQDRLIAHPPY LAAMAGMVRDIFSDPKVGGVDRICGCRLAEAIMLNLRGHVDNYVPTFIEFAMTVLAGP SSKTLVKSYKIHLMEMVINAIYYNPLLAMRVLETKGWTNKFFSIWFGNIDNFKRVHDK KLCVAAISSLLTLRADQVPTSVQTGWPRLLTGVTHLFRTLPAAVKQREEAIKTNNDFD GVSDYDDEDDDDDAAEDWTGVEDADWDNAATATAGDDGGDVKDESQAYLDFLKSEAEK FSSLQDSDDDDSVMDEDSLLETPLDKLEPYGMFRDSLLRLQQEQPPLYDSLTKILEPA DQQLLQGVIQEAEIRSIAAQQTHEQQANAAQATAAAPQASPTKIGP EPUS_02264 MSASKSQSQKIFEKLKTKPANRICFDCGQKNPTWSSVPFGIYLC LDCSSNHRNLGVHISFVRSTNLDVWQWEQLRIMKVGGNESATKFFQSNGGTAALASKD PKVKYTSTAATKYKDELKRRAAGDSQDYPGEVVVTDDYVAAAADGTSTPVGEPNDDFF SSWDTPTIKRPSNPPSRTGTPTVASRISSPFLSANQNGTAAAGRPKSPSPLATTESSE KTMPAPVVVRATSSPAIRKGPTAAGPRKTNILGAKRGQKLGAKQVVGEDIDFEAAEKK AKEEAERIDRLGYDTEAEKAAEAAKTKSTLTNNTEIAAPIPISPPKTGYGSQQGHQRS PSEIERLGMGMGRLGFGQTGSIKSSSAPAPKKLGFGAVGASKAADDDGEKFAREKFGG QKGISSDEFFGRNTFDPSAQAEAKTRLQGFEGATSISSNAYFGRPEDDLPKEENYGDL ENAARDFVRRFGLTAGDDLEDITQRLGEGASKLQGAIRAYLNS EPUS_02265 MHFLIPVVLALLPATAYSQDTTSAAASSIASNMDSIISSAVSST MTDTSAVSVATTTPTDGDATETATMTDMASLSSFTSAAASSISSELSSQLASVTSEAT GAMSSAISSIESLATSAASQAGANATAAAPPRATAGLVGAGGAALLAVAAWL EPUS_02266 MDSFEYTPLENASSDIRLVSLQYDIESAIHCRLDVVNADPLADL YPKYVAISYVWGDGSDLVPLSLNGRRRMVSRNLHIALQYFKRMRFRNWLWIDALCINQ EDQAEKSHQIMRMRSIYERAAGVVGWLGPESPKSTVIFSTLETLAIKSAQATTQISTS ERPLPVLMRRYRNGQSVPEAVEHLGLEIDRMLRTGDLPRSETTQLFSRPFWTRIWILQ EVSAARSLGFYCGIDTISAFYFIWAFMACMAFFEGLDGNSEHPYQWLEVHGCPPLTMV NGLIGAIMNNEFGASLPAESLMENLQQVKGRCQATDPRDMIFALLEICSDAKAAGITV DYTTTTEALYTAVARYLFKCIGVKLITLAESNGPNSSTLPSWVPDWRSEPLQSLSACT KTWCWPEELIARHSSPCFSEPSMLVLQGIQFDVVSEVGEAWNARFSGHPFAALCHWFE KLEELASRASTLDFKREGSVAVMAVSLGRELIEEKVEDYEEMRHFSRSSAVADDNIHR QEQVNSLLKKNVRYIYSFDGVCRWWKANVVIRKRVSWSWSQSGEKRGLDCGVRWS EPUS_02267 MNSSSRQSSQHSRSSEVIISGPQDSDHLIHLVDIPPLPAAYRNR RHQDGPAPNAALRAQRSKDTLNSTQGSCGSNREGHQGTSDGPVSSNGGETPVPQRPQS YVIDFGTPPPIPAAHLHTPVSSINSFERPTPLSPMNALLSGKKQRPALHLDLDSQRAH SSPLSRMVAARGSVNDFSTTLMNEQSRALTPTPSSSTAEWPVMGASLQPSKQPEASNE PKKIFVVKNPNFIFPSIKREPNPLAAPDVTTNGHAEEVDDQASTTTNKSYLHSIRSFM RAHPTPTSIHSPAESDLSQRQQRLRRSISGPSLYTGEHRFSEWSVTESDVYRNASAIR HPLIDHPDLEKGFQRPKEWGEKTPDLIEAELISRQMRATDRLRAEGRGKFQELKGKED GDKVGCVDGSQNEHVKWLDGHTNMF EPUS_02268 MPSSSCASVQDGSRGREYTRWPEGLKTTLGDEVEDAVEETFLLF TKEIPSQSLGFVDSKAITLDIDVGKRTFMVQQSPGILHSNRAAGTTGAVLWKITPLVA NWLSSTECFLWQLGWLRSDSTIVELGCGISGLIPCAMAPLLPSGVYVLTDQAYVMKLL HHNVFANQKQQSLGRSTREELQIQPFTFDWETDAASNISTLLGKDKEVDLILACDCIY NEYLIRPFVSTCASLCALRSSSSQRTVLLIAQQLRSEDVLQMWLDEMLKSFLIFRVPD RLLPEELRNGYAIHIAIVR EPUS_02269 MTKKPPFTVERPGYTPVKGETIPRCHPSVKDGLVTRPSEDVGTI WDNVRRAADKFGNAKALGSRKLIKTHTENKKVKKVVDGQEKEVDKAWTYFELSGYHYM SYVEYEKQVLQCGSGLRHLGMEKDDKLHLFGGTSGNWLCMAHAAASQSMPIVTAYDTL GEEGLRHSMVQTQSKAIFLDAALLKTVGNCLKDAQSIKYVIYNVNEEPKKEDLERIRS DYSDLKVLSFEELRQAGENHMVDPVPPSPEDLACVMYTSGSTGPPKGVLIRHKGVVAA MAGVQTIMGPYISPGESLLTYLPQAHILEFVFENICLFWGGIMGYGSPKTLSDTSVRN CKGDIREFKPTILVGVPAVWESVKKGIVSKVNSGSIVVRNMFWGAMAAKQFMMNTGFP GAGILDNIVFKKLKDATGGRLRICMNGGGPIANDTQKFISLAITPMISGYGLTETCAM GALQDPMAWDPDALGDIPGSIEIKLVDFPEAGYFSTNNPPQGEIWIRGAPVTEGYIAN EKETKDSITADGWFMTGDIGQFNATGHLKIIDRKKNLVKTLNGEYIALEKLESVYRAA TVVGNICVYAAQDKNKPVAIIVPVEPALQKLAASNGIKGGSLETLVHDKKLNGSPRLL APTEFC EPUS_02270 MAQTGKAMSAPASMEVGIMATDRTMATGVDRPPNSEEWERLRPI FTHYYKDFKNGQGLPLRKVRRIMADEYGFFATERMYKIRVKAWKLRKNYSEKDRQPII HIIENGSPHQATPELTQINGDPVKMTRVPRYLDGPKLHRRQRKRSSSLVSLKGNTILE HFDSNSSNNAGDSLGDRDDQEEEKENNPPDYALARILAAPDHLKYLHDILVHIDRYYL SCFHGQHRLFSHQALDPVGESGVDTDDGFSDQGLLQIHDPGYAAISLAKSHQYREARI FLGEAQDKLKYLLRAQHPTLLPFILEIICEDSTTPEFNVSEWFRRYVCDLCAIIMGQQ HSLTMILQLLGMVEYKLETCAMILSKIQAILSAEFGASQWEARRPVKVFCRVLRHLGR YDEVEQILPTAMGFGGGLEKPTQSELLGLLYELAWLSARGRHDNNAATQLFGEILRLT GGDARTGQISHFRIKALRGLGILAREEARHEVSEQYFSAAWEESRRGFGRRDSNTVRI GSELEESLRELGRFKEADQLKQERDELFVTEDEEAVVI EPUS_02271 MPCLGRCKISCLDCCNNNEEFNRPTVASSDHQRLPSSQRLSAER PHPSSRVPDVAPRPAPTDTSSHTAPGAVGGSSTAGSSYVTGAAATRSATKIPHRAART VATEHSRDNTPRVASTAVGISSISGLPHTTKATVVASSTESLATGGSPCGGAAGSPRR GLSTRESSCIGRLAPKPSTGGPSTGELTHASQNTAAAGPPAKPLLSGASSSSGEPSSD LGSLTELPSVRRSSPLGVLPSMGGSSPDEELHRSGISSFAGGRSFVEGSQQGQGSFLE GGSPRSEGSSIESSPSGGSSLASGSPRSGGSPSSGGSPSSGGSPRSGGSPRSEGSPRS GGSPRSGGSPRSQRLLRSRESPRSRGPDAGSSAFPPNARSTGAASLKSSKSLSRICEL AGDTFTGPPDRGFRLLELLIETEFYLTAKDRCHLKSDIDEAMGLLAANYNGTVDKIYP RMRPNLRPYKQQGDYNRWSLVDNDSIASRLPARWGIELVSPIFQVSRGSIWRKQVKEM WQFLGRYYDITGGQSCGTHFHISIKPDITLPQLKRIAQAVIQFEPAIEALVPACRRGN KYAKSNWLDNFSLGHCNLSRSQCIDEISKVRNADELVELMGETFDRNYSWNFTSLRCR NKPTLEFRKPPVCTTVEQNLGWAEFTISFIQTAIKCATSERLQQVPPTVQGLRWFLQQ GHEPTVNEPSLLDVIFDGKPANAALAPKPRPEDYFIEPADIVKWNGRLQGKGAEDVKQ ILKAAADAYPPYWI EPUS_02272 MASTEAGEERVRSRGGRRPVQVLNWEQHREHILHLYETENRPLR EVCDIMRESHGFVATHRMYKTKFKAWGVTKYRVNSWVKRYDRQVKQSHARSGEPYHAT NTTGFYAADGETGLPAVHHGYHNGMIVDQDTNCFPILPYRNRKFGHLDLPCSWNRSSS LSSAMSVNHTPNRSQGPSQLSNSEKASILTPESFGSSEANCLPSLAPFEIDSSVARTY DLLGIGSDVEACTPYPRRASQVPRSVPQGCMHDVHLDDQMLAGEIIDQTMGLSSPSTF SIPTPTEAFQELMGRPIEDSVFPLDEFKEQLDTMRSAELDSDLNSPRPETWTTLSLQI NVLCGQEKTAEAKYYMLWAGMIFERLVQEKNDQILSILNTALANLFLHKNATLAVELL SQAQVAASFHLEPEDPLIVSMAFMISMAMRKVKTCGINICKLRQVAKQMKTIWGANHR YCIIADYHLAWRLAMEPNLRAEALKILHETQIRSEKVFDPLHMQTVALVSSKARVLCH LGHYLEAQKTSFEALQRVQRWDIMSDHPYYLEAIRRHEIFLKELNRVRSR EPUS_02273 MPRRYAPNGYDDHRSGDRRGRRLPRDGPGPGDIHDQQSTRGSTE QLSSRDPQERRYLRESRNYPDPGNAEDRAEIRVARRPRELRGPREPRYGMELPSIRDD TRTENSRPHERFNLQGARDPIQIAASANIQNASEGGRDRAQARYHQDTRQPGSEMDDA GFLSQNREDWDGRNLENEQEYQRSERSSTWNDMAEQAPIHRGNSRDPRLSSAHTRMAT YFLPSEGISPEIIQADLGKHLGRDATFRPGTNREGIPGYLIRAGRPLTQNMISELMVN SKRSPDESLRRRGVPGQTNQVYRPDLIAGREDLESTSRFPPSPHAPHSPDDVGNSRRR SSTAEYGGGRDLFDRPERVEYRSRRPSRGLQDQPHIRSSEVRGSSVSQSSSYDSPWGG SGATQRSSRSSYSTAPSSVQSILDDQEREVGHGLTQYAPRRGSIAHYTGASQASISAD QSYIDPRTGARVTMPARRAARERPAPSPAMQPEDDPPGWDDTPNFRGFAGGS EPUS_02274 MEPTRPGVARLQPPGDGTSSQHPPSPEITNWNHCRQEIETLYCE KGWKLDRIRMWMQQRYNITASTKQFKDKITSWGLGKNLRENDLAHLLLAFQRAERDLG RPQVKLRGRIMGRSQVNAYLKRKGKADQDILATIDANQPLPRHIEYLYPENPSLNIHP DGLHLNISPSTLTTPSWTATPSGAFSNQSTSQSTPASQHEPMGEHRPCMDLERPKELP KFNLQESLDRLNADNRQELSSSNSSSPDVLPESVNLLESFDMPENFEFPESFNSVMNQ ILSQDSSAGPEPSQPSQMSFYKMLSGTRLVSNHAELTRGLDRQFRLSMNAMKDVNGQQ PCEDRAQRFLGCFFGGCVLRCQGASEGATDCFRHANILLKFMIEKYDPECLSALNGML PILEAHGHKELAVEFLSNVLTFLQHTPNNPVAATAEFLIKVAARQLKSFAVEVKHLED THQHLKDRFGAQSPSALVGLYHIAWMCAREEEHRERALQILAELVPLASKIMGPSNFL TITSMVTMARVLSYVRTKEESIALVWQTIQMIDQKYARFHPYRLEVLHRLATLLIDTH RAKDAENILRGVIEQRRVVLGPNNSLTKRSMECLQEAIQATGRDVEVNRLESELLSPP RVSKVLEDTPSTAYLPLPSPI EPUS_02275 MPCSIHSLPNEILIQILAPFPVRSLLSIAPTSPRFSSLILRILH YRLLLAASLGSHKLILEAYHPIRKYSEPYLFCTYLSTPGLSSAHEGEGSLYENTTVGG RFSKLNQLYSCFRPERPGVEGNVPKRPYLAGGTGSQADSSSASQPIFTDNGDGGNQKI EHIINLDSHELFSQFCTIANLVTIGPRRGVFLSVVNVEDKVMRVWREWLEERARASRE EEKRQSKNKQRREAATEATNFDTTVEETELQEQKHEQARDIGSSPSILWTDHNRNVGL LVTIKDRKWRAGPGPLLVSADEEQPASYSIEIKELVVRTTHLMLAVEETQGQQTNISG KAMIFGSFARAADATTA EPUS_02276 MSNNLLDDISHRRFNPLRGSWVLVSPHRANRPWQGQQEPPASIE LPAYDPSCYLCPGNKRAQGQTNPKYENTFVFVNDYSAVKEEQEEYNPNDDGPSLASLL LKAEAVTGKCYVLTFSPHHNLTLADMSPSAILPIIRMWTEIYTAHLSPSSPLAKFASS THLPPDAPSHHSTQPNQQFRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKCGGDLYRTKMS EPUS_02277 MAVSINSVQLSLPQAIIAGFFLVLGFLYFAFQISELTRVLLSTF VTPGKPLTSFGPRGSWALITGASDGIGKQYALQLAKAGFNVVLVSRTESKLHNLSLEI TSSNSDIRTEILAMDFSKNLGSDYERLAAIIKDKDIAVLINNVGQSHDLPVPYAEDPE EEVNNIIITNCIGTLRVTRLVLPGMSARKCGLILTMGSFGGLLPTPLLATYSGSKAFL QNWSTALAAEVEALGITVYFIQAYIVTSAMSKVKRSTYMFPSEKDFVKSTLAKIGNRC GSVGYAFSGTPWWSHALFAWCILTVGYPFGHFVVHQNLVMHKKIRARALKKAERMRLE AKKGT EPUS_02278 MARPETLSVIHPEDAQLIAQLASLQEMHDQIYHLRTLPQALVNP VCEMLRTQTLTSIGTDAPSKLYSRIQKIAIEGLKDVESFKQSWTSDESQQVWKRRLNE PFPQGSDVWRVDYISLLNESKAQEQQRTLEEVIPATDSRDLTDIVRDVGQKHQSMKLE PQETAQLFPLNIRIAGMKFQVLSYAHDNKNYQVRYKEASRASELQDGILRHLNSRRVK GNLEYLLNMIASYEDVKRRPCDRCLKLFDKTMAFPVIRNAKPSTPSADEMEEPSRTSS ASGSSESAAPSSTTDESVSGTITDAPTSTGSESAESSTDGSAAATTGESGSRSASGSR QSGASRTSSRSSRTSNVDPRLPPGGIQMVTPAVIAGPQYYKIGDHVTFAWNYTSLSVT PSYIDVLASCSINSQMYTITANQSVDPTGAVIWDTGDYQASATVPLLTETYTLVVMDA QLDISATARAGYLGVSDEYTFGMYVPQPYTPLNEFKCATCSAALSAHERQALGFVLGM SAITILSFTWFAGGFGVFS EPUS_02279 MVQLMNWAGWFTAVSLLTGIYAQSPEDILSVSSRSFSTTTRDSL ASETSAVSLAAPTGTGQPCGRIAAAVETSSSSSFYRVAVPAELAYECLTSVPVRPLAA LGTIDGIVKMVQFQSNLAYLKNPPDGYDNPPVDILGGLADIRAKASDNEYANQYDFEA EIATLLDSARDGHLGFDGTTYAGAVRWRRDIILVSLSQDGDPSKIYNLVDFNSTSSPS PVSRIDGEDVDAFLQEEASRVPYHDPDARWNSLFYRLPAQNFGFFGSPRWYPGPTTTI SFENGTEQTYTNRAILREEDSWSDVSDGDSFYSVFVDPSSAITARRQANLRTAPHVPT RLQQVREILDDGDADVPVGYPEPSITGPTEVYINGYFMDHSNIRNLAVLALQTFDTET DADARRFQSLIEQFLAEAKSRGTEKVIIDLQSNGGGRVFLGYDTFRQASPNCQAVPRR STDLLQFFPDIEPFGGGRYRAHESANILGTEFSRLSFSQNGLGYTSPFNYHSYIDSSN EPFTSWTDMYNGRVVNNDNFTSILRYNLSDPNLTSSSTYGDGITITGYLDRSDFTTPP FAASDLILLTDGICSSTCALFLEFMTIEAGVKTIVLGGRPQDGPMQSVGGTKGTNVLD ADYLTTFSGYLISEFADSASERRAWASILPEPFPIRAYEASVNFLDNIRQGDEGMTPT QFTNETANCRLRYTAEMATDPMALWGMVADVAWGGQGGGVAGSYRRESWLEAPPVSSG GSDAEENGEARTGAAAANVNANGLDVRWAVAASLVFVAGVSFF EPUS_02280 MLQDALARQSHSQRENSRSEADTNPGEQKLDGEDFNVINHEDVE DIDDEEDETEQASYHSGLVGLLGGRMMQRGRKTPGEFDHLHPFTQVLSISNVDDCVKL EAEAFPANERCSKEKFIYRLASCPELSLGLISRPTLADIEANKNLSPKHRLIAHISAT RTPSRTVTDASMGFPPDWKTRRSSLPIAGEVEPQGHQEQGGTIALHSLAVVKEHQRKG LGTILLKAYIERIKSAKIAERISLLAHGNLLKFYERFGFVDEGLSEVTFGGGRWTSMV LEFSEQMDD EPUS_02281 MTFNDIKALVHGDTNIPPASQHFYYNTRVITDNSQTLEQLNIHE GDMLGMAVQDSSGIARRRTQQDASSQQAARPPHPQRDEGRDVERLRLHIVGDPRMLEE VRREDPELANAANNKDQFHALWDRKQRQLAQIQAEKEAELALLNADPFNLEAQAKIEE MIRQERVAENVQKALEDNPESFGRVIMLYIDVVVNNVPIKAFVDSGAQTTIMSPSAAQ NCGIMRLIDRRYGGIARGVGTAEILGRVHSAEIQIGSYSLQSSFTVMEGKDVDLLLGL DMLKRHQMCIDLKENALRVQDEKIPFLPENEIPKQLEEHMLEDEPKIEGPGGTKVGAR TGTVEQHGQCVVKSGGNGGGGLEERECRVNSQDHKSGLHKGRSYPGIAASGR EPUS_02282 MESVQGNGTRVPPIADNLLLRQLTETHVPFTEQQRITSRALFDK QKLEDKVAKLKLNFKHKDRGLVMAERVRDMEVQDIFDKLEKTRRRVEEANNVINKLLQ EKGTGMEGTILSQARSTSVVRSAICSRRPYVCVIIDGDANHFLPELFRAGGHGGEAAA ERLKQEISKFITERTYIPRSSTIKVQVFMNRSGFVNTVYGLDHTPRELINSCLDRFFQ SQPLWDLVDTGGRQESADTKIKANFRFFVDDSDCSAMIMGICSDNGYVQLLQEFQGDP EKMSKVVLLTSCNTIVNIQCLTYAIVRWPTVFRSTQISNKFSYGMAGPETKGITPLED IVRSASRSISVASGGKVLEPPSGPELRKYPSARRPSVPDRLSLLPGSSSVISASFSKT AITRVPNEQQRRKRQRPGRWQRHERDIKNEYRLDVATERGSQQVPAEAIDPFLQVQSS TDSSEDPDCQLVHVFDLPKGPLQGAVANPSCFRRSLFTPAKGILPCTGSVMRVISAGM RIQPALRPLASNNHIPALNKTSVKGEEHRLLPSASTSSGSDKALSALKDPFIHVPMPN RAQEARYDPDRFLYQQSARYLAYTHQYLQAIVSHARKNYHTTTKAARRQLRPLIEIAE LMLTRLWLAQEIQRLEDQAIERQIRCSQPSTFRCGDAWGNDWYMYCSLSRALGHKIKS ALTRQYNSTAKSRLGKMDWVVLADGSAWKAECSTINATVPRLKALSEG EPUS_02283 MSLERASDASTPAKLDQPSFYQHWERRARSTTQSDSLNDSHLSS ESSHPSPKSTVGPPRVRRLRRTFAGRSTSAPAGTIPELAVPTIASLRSQRRSVTATPS NESSKSKLRYQTCSGDISHQRQGSAVRAGADSKKSSVSSVTRRLRSQFRASLSDFRIP ECRIDNSAKELLPPRKERPGYEWTKAGPKDDWVERLNPSKSLMQRRARSTEPYLVTIV PLRPPVSRNVSASVYERTVKLETSFAKLRPDPPVEKHPLPQSAPRPSIAARTKSIIAN RVRFALNRKSSIQRSSGQRQSPSTPLKSSLLSARNRTAEGLQRLPFSPIARANPPNTA PDPAVIRNRRRRSVTVSYTSSLRKMRRGATPLNTPDTQETYRVKRSPSAETEEFFKID ISIRGGTSYLPSEARRVHTPPLPGDGPGQKRTGFFFDYVAPSDPAFPTAENPTGEGAA EPSSPRNRPARGRTMGGSDWFETQLAGVDAVDDTANSGSRTMAEAHKGA EPUS_02284 MTQADEFDPAHADRVTVLHVNFTSTRILTASADHRIKVWERDAE SGEQILTDTWTAHDADIRDAKWLHPTTGSNIASIGNDLKFKLWTEDPSQPPNSGRRFR PISNIPSNTKVPFVSLDIKKLDSVYTYLALIDRSGLLSIYEPTSFDSFKDWSLLDQWN VCAPNPPDRGAETSFKVRFDQNPVSTPYMNSLTDDKKMLSLIATAMDTVKIYRSTIVQ YDFNGTPGAIPSAGQDRTTFFEAARFPTHPALIRDAQWAPFNVRGFDLFATACRDGGV RIYKLDTTAGSNTTTNKTDNEAVSTLSSNNTRQTSTAPILHHRPGPQSSLTSAIVGRS ATTSTSSTLYPSSTATTPSTTNFARDQPRFAFLSPFTHTITTESELLNAHRDTWALCW DPAGQVLMSSGSDGVTKLWKKAVMGTGWMLFADQEVSVDESEEEEVEAGEKGNG EPUS_02285 MTAALSRSEAWSLLALSSTCLGIFVNTFQGDGAPLIVSLAFSGL AYSVTYALIRWLGPTFIGAGLKGKDMSKAIKKEIPETMGAVAASIYLLILIAFIPFPF YKDIVAATSGGGNRDVVVQAAEVETGRFLHRFPHSKLSAYLSALLTLHTTTLLGITDD ILDLRWRHKVLIPALASLPLLLVYYVDFGVTSIVIPRFLLPYIPNTSITNSESGLIHL GPLYYVYMAALSIFAPNSINILAGINGIEVGQSIVVAVLLMLNSSLYLIPFPGNPILG SSPPPREYPHPATDSHLFTLYLLLPFLGVSIALYTHNRYPARVFVGDTYCYFAGMVFA VVGILGHFSKTLLLLLIPQIINFLYSSPQLFKLIPCPRHRLPKYNARTNLLEPSVTEY PAERPPSNFQTMTLTALAKMHLLEIQIDPETNNIRSSTNFTILNLWLVWFGPMREDKL ALGLLSMQTAFGLLGLFVRHRMALWIFSLDNRGFPGWGEGSAV EPUS_02286 MGHTNDTFNGRPSLLSQITSFDEYSSSCPPLPPGASSQSLRPIA PIPLQIQQQEYYAPRNSSYAPSGSPFTASQASESLLAGPSTAPVHASNPGPSQPGSYW VYADGRGHLPYGLAQRHGSISGPDPGYQRWTSALTAEQMRNASPLIRSNTLASFDPAS SALNYQVHGENLPPVGLPNHAPASQFNVTTGGVTPLTPTQIAAFEGQPLPPDHPYYSE VRAHIHDTTSGTASQEHDPTRYSVQGFIQRPSFNLSIPTIIQSPAPVSASQANFEEEE DEDDPWDVEMEHEESSVQPSEQSKQDLSKMLVMHASRDERRGTRSFTTFLNDKNVLAS YRPSHSALPQADEKAARIFCHFVSSTGPSITIFERSSSQLPLPAGLAAISGSRQPLWT YTLPSLALDHPPLMHAMLALAALHISKLQQTSPGPSIKHFTYALRRLGRLIGLPKRRN EVTTLAANLLLGFYEVMSAETSKWNIHLSGARVLAMEIDFAGKTRKIRALRAQAKCRL AQLDLENRPDYIQYSGIPESLLSDRDWEIDEGLISTFTGYEVKYDYQYQTNISQPTHE NSDFTAKDVELYKMQADLYWWYCKQDIFQSMLSGNRLLMRYDHWVFCPPRGQLGQLDL IYATMDHLCLVLARLADFGGKDQVRKRRSMAAQNSQKAQPSADTKTPPGPPSAGQGPP VGYMPTPENPLPMHSAWATLSANLNDAAFTSPTFAPSPPQTTETENLEAETLAALAEH TAIFHALDLFETSLPPEYQPLPPDVAPPISTPFGPALQYRTHTIACIWALYYAGRILL HRLHPHMPPAAMIAAQVVAAQTTSYAQRIGKICAGLYYPQEYNLQTGSLNPSLGGALI ESTFSLFFAAIQFQDPAQRGWTINKLKEIARLSGWQTAAAVAAGCEYSWEAMGKAGRG PPYRPTMDWRSKDDRVGDKVLKNQAKAAAAQQKEKNREAQEAEEAERAGREREEQYFV AAGKDGPPRRSRSSKSNQGGSGEPSKVGEEEDEPAAITHDRKTIGTNPYARTHWALGL LALDEDIAKIDLGKKG EPUS_02287 MFAVPGWAVSAEPLVSLRPTVIASKASATEPALPTSRLKSKKRR RNENGSPSKSYLTSEDLHRLWKKEFGEGSGVEQKPAKSRKRKKQKIQPGSTLTHADHT VLEVHNESGSDIHAEDGLDFKDDLKKPVEQKSGLKCKNGKRKISISDERVDKLLEKRG TGDSAYLSQQPGQGKATLNSQSTSQNRKVRKSLQKLEELPSPTSMMMTPLQARMRVKL TSARFRHLNETLYTSPSSASLDLFTASPDLFAEYHAGFAQQVRSSWPQNPVDGYIGDI DSRAKVDFRMQSQPSTVKVLPLPRRKTGSCTIADLGCGDASLARAFRSTSKALGLRFH NFDLHAPNSTVTKADIADLPLRDGEADVAVFCLSLMGTNWISFIEEAWRVLRGDGKGE LWVAEVKSRFGRITKDRVVENSVGKKRKLQKPRAQQERRAGLAEDDADDLLEDDDHAT IDETDITAFRDVVQRRGFVLQPDSVNKHNKMFVSMIFTKTGIPVAGKHQGSKWNGHEY EKSAGWMTGRKKFIDQSIDDEGYLSPKEESRVLKPCVYKKR EPUS_02288 MRGLVQFIADLRNARARDLEEKRINKELANIRQKFKSEKLDGYQ KKKYVCKLLYIYIQGYNVDFGHLEAVNLISANKFSEKQIGYLAVTLFLNEQHELLHLV VNSIRKDLLDHNELYNCLALHAIANVGGREMGEALSGDVHRLMISPTSKAFVKKKAAL TLLRLYRKYPAIVQQEWAERIISLMDDPDMGVTLSVTSLIMALVQDNPEAYKGSYVKA AQRLKKIVVENDIAPDYLYYKVPCPWIQVKFLRLLQYFPPSDDTHVREIIRESLQQIM HAATEMPKNVQQNNAQNAVLFEAINLLIHLDTEHNLMMQISQKLGKFITSRETNVRYL GLDAMTHFAARADTLDPIKKHQNIIIGSLRDRDISVRRKGLDLLYSMCDTTNAQPIVN ELLKYLQTADFAIREEMVLKIAILTEKYATDAKWYIDISLKLLAMAGDHVSDEVWQRV IQIVTNNEELQAYAAHTILDHVKADSTHDTLVKIGGYLLGEFGHLIADNKGCSPIEQF MALQSKMMGCSDTTRALLLSTFVKFINLFPEIKPQLLQMFQFYSHSPDSELQQRACEY LTLALLPTDDLLRTVCDEMPPFSERTSVLLSRLHQKSVGTSDKRTWVVGGKDANVDKK EVIMAQQTGLKRSFTAIVNGTSAQANGESRSASGTSQPATDSSSNDLAGLGMNEHTED AKTPNLASSVHLTPEWDIGFNRLYFSDEGILFEDSQVQIGVRSEYRSHMGVVKIYFTN KAPFPISSFTTTLDNPSPAQLKMDNKSLPDSTVHPGSQTQQTVFCMAKSPFTEAPTMR ISYLAGALQAYTLRLPVLPHKYMEPSDLSADDFFKRWKQIGTGPLEAQSTFGLSGKGK EMNEKFTRDVVAGFKWRILNDVDPNAKNIVGCAVFQVESGKTGCLLRLEPNYEQKMYR VTIRATQDTVPKAMLKMMEERLSRGISTESS EPUS_02289 MTSSDTQSTPSQTAAAMKPSPARTTHLLSALTSINSRIAHTTTT HSIRKPIRLVAVSKLKPLTDIIALASPPAVNNPEDRRPHLHFGENYVQELLEKSRLLP SDLQGKIRWHFIGGLQSNKAQTLAREVRGLWAVESVDSVKKANLLDKGRGERIDSCTS KDQEGGGGGGGGSGGTEEDGQLNVFVQVNTSGEESKSGVEPKSPELLELCRHIRDQCQ HLRLRGLMTIGAIARSQATTAETENEDFQCLKDTRDWVARELGLGEGQQLELSMGMSE DFEAAIRMGSDEVRVGSTIFGQRPPKAEARVLPDKDDEGNK EPUS_02290 MSSLNKMQDESTFSRPSSNSTIPLSTSKALSSGAEAAPVAKLSP TLACLPAEVLQKILQHSLELNLVLTCKNVAKRMPGFWWLARILMLASFCAYPAALFLD ASWKEAGAMLGLRLPIFDGLDRRLLQGIVMDRGWWTLDMLKSAMAEI EPUS_02291 MDIVDHSSGCHEYGPITRVNFIPNQVLEVPITDSKKELLHFFLN SKAAGNGVMCCADALMQKAIEESIATGDVESTCILIRLSKHRAVKSNHFCCAVKFGRS HILQILLEEDSTTFPRDNPAFLDWALCEKGKSTFGQSLYDYMQADGSLLSRGRYSFLH FRRGLKKYRSERRTELLEKDGTRWLNADEVICQLNRKYGTGR EPUS_02292 MDQIPALVNSCASASVYNETNNTSNVMAPSEELPNSASKDQAPT LVKRFASLSVSDDGDTTSTTSEAKSPNLDTLPNELLDKIFEESLELNMTLTSTIIAAK LSRRRRLARSLTLLSFCPSDVANNLNLNPVPICGPLGLSHPLTEDDRRVLQRHVLNGD WLTVNMLKLAVGEIHEAYIQKHWVDAGIETKPSYIRAFEERWLASNGVMERKLELCGV DAFREDVSFVIEDPFVIELNSVEELDSDQYDAFDVLQVVVVSDRLLAPPITDSKLELL YFLWRSQSATARWQMTFSESVMRQAVQHAISTGDPDCTCLLVDIMSHSTPDGSSSSVK VEDFLTAAKYNQARILQTLMEFDSRDFPRTDPSMKRWARAARNKGDGFGAIVLEFMRA QNVQPGRHSFSTFLFRSGYVPYNFPLWNAVLDMTNVLAGATIFNSSTPQPTTTHNLHH GQPLRAQALALRPNGLKIHATRLPRASPPTLPLSHHLQHRIPNLSPPPPPTPLLHHSP PTNPPPQPREEQIARRVRHPAHRATRAPVHVEIRKEFPTRDDHGLWGFFNEKRTAMTA PEDLDAHGRAWTVDELAKKNWNDLWTLWWRCVRERNYLATEANERHRVAAGYGEAESK ERVAVVMKTMRRIRNVLRDRQYAYDEAQNIVDTAEFWREEIEANKLETREDDFSSLEG EDSEDLLEQLKEQRPMA EPUS_02293 MPSANFEAAAKAVKQLKAKPSQDELLQLYALYKQATQNPKFESA DKPGTFDFTGKAKYRAWENVVKEGITPADAEKKYIALVAELKQKHGYDPSKTPETVGS EPUS_02294 MALKEMGNEHYKAGKFKEADQLYSQAIQQNPADPKLFSNRALTR VKLQDWSGAENDARKAIELYGPKNPLSMKSYYSLAQALLGLKHPVEALETAKHAYKIC LEIRDSSSEVLSQFILRTKQAQWQSNETARLRQLNETLANVEDLLQQQLDKELDDLEE RYSRQEIGEIGRNEERAALEKEAEERRRNIRDAFKNAQVEETAERIVPDYLVDGITFE IMHDPVITPSGVSYERISILRHLKASPFDPLTREPLSEKQLIPNVALKNASSEFLEKN GWAVDY EPUS_02295 MSSGNVPKDAVTQEVIPPGPQEPLRIVIGSDSAGHEYKTALKAE LEKNPAVSKVLDVGVGDAHDSTAYPHVAVDACQKITARDVDRALLICGTGLGVAISAN KVPGIRAVTAHDPYSVERSVLSNDAQVLCFGQRVVGLELAKILVKEWVKLRFDPGSAS AEKVKEISEYEQTLQAGNGAKGP EPUS_02296 MASRTTESDPYPSLAQTILLISTKAYFTPSHTLSYLKSILDPSN GILPQLKSASPSDESPKSLQLALLPDFLSIYHCAELLAQSSKSPEPSSWPLLLGAQQC FWEPGLGPYTGEIVPSSLSSLGCSIIELGHAERRRFFSETDETTARKAAAVCACGMVP LICIGEVSAPVSNGPMSMSVGNALREIGQQVRGVLEAIPKDAPIIWAYEPVWAIGAES PAGVEYVGPVVQGIRAMVDKVPGRTGETRIVYGGSAGPGLWGRGSLSDFVDGVFLGRF AHENEEGKELKTRVKAKNKGGD EPUS_02297 MKVIEVIIDGFKSYAVRTVISGWDSTFNSITGLNGSGKSNILDS ICFVLGITNMSTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDKTNSPIGFEEYAQIS VTRQIVLGGTSKYLINGHRAQQATVQNLFQSVGLNINNPNFLIMQGRITKVLNMKSVE ILAMIEEAAGTRMFEDRKEKANKTMAKKEMKVLEIEGLLREEIEPKLEKLRGEKRAWL DYQKTQGELERLTRVVVAADYVRAGEKLRLAGQELEMKKGKVQELEDNAERLRREIGN LEEDVQRVKAVREKELKKGGRFQALEAEVKEHSHELVRLSTVLDLKNTSMAEEDQRRK EIIKAGKDLEKLLKEKKTTYDKMQAQYDEAKAQLDIQNAEVEQKEELLSTLQTGVASR EGQESGYQGQLQDARNRASAASTEQEQVKLKISHLEKRVKEDEPKAKKAKEQNSGLLS DLEGLRKQAQKLEADLTKLGVDPGREEEMHQQESTLQKSIRTMREQADALKRKVANVD FSFSDPTPNFDRSKVKGLVAQLFTLDQGKAQAGTALEVCAGGRLYNVVVDSAETGTQL LQNGKLKKRVTIIPLNKISAFRASAEKIGAAQKIAPGKVDIALSLIGYDREVSAAMDY VFGSTLICQDAETAKRVTFDPAVRMKSVTLEGDVYDPSGTLSGGSSPNTSGVLVTLGK LNEITKQLQRQETELNELHEIMRGEKKKLDAMRDIKHQLDLKTHEVTLTEEQINGNSS SSIIQAVEEMKANIIQLKQAMVDAKSRQTEAVKDAKRIEKDMSEFSNNRDSKLKELEK TLDALKKGLNKNSIAVKTLQKELQNARVESEQAVSDLTAAEEQLAEAEATTKSQKEEV DSLMQEQAKTKDAHNLALAELADEQAKLTGFDDELRELETARSKKSKQMTEESLETQK LTHAVEKAGKDSTAAQQLVTALEKEHDWIPDHKSQFGKSGTAYDFQGKNLSESRAALK TVTERFQGMKKKINPKVMPMIDSVEKKEASLKNMMRTVVRDKKKIEETIATLDEYKKE ALLKTWKKVTVDFGNIFADLLPGNNTAKLVPIDGDENRIQEGLEVKVCLGKVWKQSLT ELSGGQRCDIPSSAPVFECRAYANWLLRSLIALSLILALLQFKPAPMYILDEVDAALD LSHTQNIGRLIKTRFKGSQFIVVSLKDGMFQNANRVFRTRFSEGTSVVSVMTPGELK EPUS_02298 MRIPKFRTVKTDPLAKLLGNKSEKAYLFRHSQSNFHSRHAHTHP SNQILSPVTTPPIFHDYLRLASSNNTLLLLLFTTSSCVPCRTISPLLESLITSRTPQP VDRFSSLALAEVELDSPDHSNGTVADLGVEYGISSIPSLVGFGGRRAERVTEKLDDTK MMGDRERMKACLDEWMEKGDPSGPDTGNSSGSIGGILGRLFGGN EPUS_02299 MPTNGCAAAGPARETVENATAKMAKTTASTNRSSFSRPALLKAL KSGDRSVFTELLEPTGMPVETIENELRIALQTAAGEGETELVEALLQRGAKTDLPSGK GTSPLQRAVDKGYTEIVRLLLRFKAATEAKDRHGRTVLMSAALNGENKIVELLLQYGA NVDAVDHDHRTVLLNLAADTSKRKWNEETIKIVLATRINVEHVDAISRTALHWSAVTG KLDLAGALLNNVGRQPANVMAATERGRTALHLAAENNHVEIVRLLLARGAKTEATSDG HWTPLLNAAQNGHEGVVDVLLASNADVNARTSSGMTALHWASERGHLKVVQRLLKEER ASKNPKDGFDSTPLSRAGQHGYQGIIQELRPFIFGGSLSLNAKDACLRFNAAIVDFYF DEKTVVRNVVKRKSVWECLYGTDPKDSTGRTFAITTSLEEIKPRSPDFRWIHLPANNI AWAEALITKFFLERDFAESGSFKAMLRLFGQRQHRGRKVHSRFMRPLCQRIGSTQVGF DYSRRRSKDGRGSPIKAGAGRPLSLVREISLPRQHNIVVLFMPYLGWETDVNRSEMSK VIKKVESTKDSTYPHDPSQSKDVSLIAGYLNDSCLHVRRTLDQFKHHSINTEKRDTDQ VVYRYCKDHPFPYENPENRLKVYMVDQLWVWVLSNNLILTCFPERWNQPKRDALNLFD GVIEDINSKTRPPIRSVYELAALITDRSSGTFDRHQWSRDEYRFLEMFEMSIGALTRR ETALFRRFEADSSVAAHWLRNHDRTRYTPKTIVVPEADAEDLENEEDEWLRQDDEGTE PGGTNRFVDRLLNIAKEARLLVECKDIQDELGILTMVLRQQKGALHEMERSFGEVPMT DDDKRYDLSRKLAQQKALIDLHLLDIERMDKAAKAVNISLTQVLDLKQKHANALEARF TRDQAQNTARQGQTIMVFTIVTIIFLPMSFMAAFFAINIIEFPRDSINGVNGIHLGYV SKYMFGIGFGISIPLITIAFFMSDTEGWIANLKSWLGRQKASKRVSAARQSHAEDRGL EMEKGRPSVESWRYRHLEMEKGRPSVESWRYRNPARVDTGGTDNLTRRSQDIRVVSPV EPUS_02300 MPSLWPFARDDSSPASFEKALSRLSTQITSSSVSLDKTRTRAHR AKAFWTLWSTLVYLVYTALIVLVLGPQQWSLPHYIGLAGTPIVVYGVRRILALYFDWQ IARRQGHLDRLVAERDQKIAQLKKATRYDTTQELLNKYGGSMPRAQSGAKQGTKRKVA TPKPLEPPQQRTGLSPPPTANIPGRSPQAGSRPSTPARQIPNANSPPPSMTARSPLST STSYDLSPDEPGFAPNAFSLPPPPSSSAYAPDQQHRWYDRILDVMLGEDETLAKNRLA LICGNCRLVNGQAPPGIRSLEEIGRWRCGSCGAWNGVEKVEQGVGQMMQEMRVKEERG EEGGYGEWMKVSRGDEDDGASPELGTEKGVKEEDQNEDESEDELGDAAKDDVPDSPGS GGSDSGEAEEKEAESVAARVAKTKRGSRRAQKK EPUS_02301 MPSLGTGGVGSGSPSASQIQILGEAALPLPCLASAIPMRWIVQS RRVLLSNELWPAISKFASSNLRKHRYLSTGNPEEQTPPQDTSWLEEESQHKDNVAQPQ GATASPISSPEMSVGRGVEIDKRGQIRVVSRARAEKPCRTILIVPAASTSLTRADFIR LLPEKNRTDSGAMGSTIPGRHPTTLDRLPYWIVVFRTPFLAATYQSRLRWYHENAVKN LPTHPLQAALIWGRGLTPPPGYIDPESGEDVWKRMLEYCLLQPRQSFGVTALLPYFPP SIMQSIQRHARWAEYDQLRSWTVLVRIDGGHLGQAAFARFLKEDGIARNRAWDIMSPY PAGTSWHDLPSHETPASISEEGSVPPGNMGLQIFGIKFRSEGEALRFWATWHRRPFPF LTEDASAPGHSAPLLHVETVW EPUS_02302 MSARTKFTVRPLSRPPRSDLKDALRVNISASALLSLKLRPGDLC LLQQDASPERPAIAWQAVEKIQDNVIQISKMLQDLYGLKLGDKISLSNTNTAVQDAHA VKVREVVELESSPPIGPLSDEDRAHWAWLLYDPLSRAECLMCGLHFDSVQARGQKRNF IISKIDGVDSHSSSTICRFTPATTVSILSRADQPASDRMVEGLRGIKLDETRIGGLRR QLDQINLLLRRFEPQFQNLTRHYNYQPSQGLLLYGPKGTGKSLVIDTLADIPWRSVIR WSAVSLGRNDLAEQLLNRFSESLHQQPCLMIIEQLEILACKRGTMEDSSYSSLVPALR QGLELIRKAAVLVVAEVRHPNNIDDSLRAQTRFGIEIELPVPAANGRLEIMRCIRGNA DQPDDAILQDVADRTHGYVGADLFALYQRILETAAHRTLALQENMEHQLNSPPLGSST HQSSHPFQPDSQPNLTILSTDINTALSSTRPTAMQEVFLETPNIHWSDIGGQQHTKQR LQRAVTRPIRNQSSMQRLNLRTNRGVLLYGPPGCSKTLLAKALATESGLNFLAVKGAE LVSTYVGESERAVREVFRKARAASPSIIFFDEFDSIASVRSGGGSSSPTGGSNLNILT TLLNEMDGFEQLRNVLIVAATNRPEILDPALLRPGRLDNLVYVGPPDVQARKEILRLW LKKSDAAEDVDVDLLAEMTEGYSGAEMVSICETAGGFAMDDEEVEQGSGEGEEEGGDG GGGVKIGMDHLRRAVGEVRRGITDELVRGYVDWGTGRGGT EPUS_02303 MPSVFLLYQRQSEASANLQTRSASPRLAKSQIALVAVFSALILG VMIFCLYRFCPGLAWRRRRKSETDPPSTNVKHTFLDVDDGKTLTEKCVASPETKQTFL HVDEDRSLSDKKGLRIDMHPAMRSLNGQSKRKHGSKRNESLDSTSSVAPLISRSDTIP ISPERTYSPRIYSPKTPPPVPGAGTEVRVSPRRTRPLPTPVQPVRRTLQEPSRHDISP LHSSATLPSRSQLPMSFLIEIDAKREDQAAGRSQTVSPLQNPTTPISERLLSDSQLSS RNVSPISAPSTTPVITYPSATPVYLPRPFSKYNPYRSALEPASSKNWPLENMAIPEHS AVNDVSPVRKHLIQSTLKAPGTTSSTEPTTNPSTTESDKENAKPEKPAAASITHPELD TLFLEDFHRRRQQQKKAKEEQQKQKRKAELEKARAQEAAAATTVAVNSTLRPAKRFPA GGAKEKGIIPPPNRVLFPPSSSSGSEKNRAKGGRKSPEKEKEKKLKKQERPGQGLPPR LPSKSRKPSQSPGAYEKARRISGLHELVGSSSMANRG EPUS_02304 MPIQHTIRTTLRTWHPPRVASFSESTSGLSRAHITRPLTSTNHQ HFKTLALAMSSGEEEQKPASLSVMAQAYDLNKPTFDIPLDNKGTILKCNLVISSAVKN PSSLITGLSELLRRPADATNDLQTLSTSAPYWTLESTNDSIIRVFAFEAEEQARLFRD RISAVSDEMDHHARMSVDSAADSSNPEGKVTRMTVTCTTHRPPGLSMRDIRLARKINE IAEGLG EPUS_02305 MYVMREATFDPDKDIPDLQNQVFVVTGGSSGLGFAIICHLLKHN AAKIITLSNKEENARKAIGQLNSWGDTSRVIWQKCDLKDLRQVDQVARKLREEEKRID ALVLNAGIGINGFELTKEGLDSHFQVNMLSQLHLALMLLPTLQATAASTKTSARIMMM SSEMHRWVPKSTKFESEKELTENIGPTYLYGRSKLAQILIVRELARRLDEGKLGSPSY DPATNMPRPVLVNATHPGAVRTAQPFQLEIAYGWIGKVLANVFWPAFVDPIKTGCRSG VFAVTGKDLYEGSGIHGQYIVPDKKIGQPNSKGQDREMGERLWRISLQILGDRLGALN YGFETNSTVTTGLFSPQPHTYWGQFDEISKYNVHLNVAERMWAAWYAYMQNDVLATGI MSFVMHEMVYFGRSLPWMIADRLPGLNKYKIQNQKIPTAAEQWNCAKLVLLSHFTVEL PQIWLFHPLAQYCGLSTTVPFPSILTMAYQIAIFFVMEDTWHYWSHRALHTPKLYKMI HKIHHQYSAPFGLAAEYASPIEVLLLGFGTVVCPIIWCAITHDLHILTMYLWIVLRLF QAIDAHSGYEFPWSLHHILPFWAGADHHDVHHEKFIGNYSSSFRWWDLACGTESGSAA AEKRRAARKAQAKKVT EPUS_02306 MSLVQDQIRQLDIQVDRFQLAGGRDIAALVASAENITEASQRDV SYRLSTLKAVSRALSTNTSSPLLRRRKVKELLTQARHGDAVESTSSGVPSQEADLEWL VVAKAAVQVYGMILTTLLEQTIPLSDEMWYWDKVLGSYVNTAIYTIQTAPLQLWAQAK DIYADTKEKYRSRRGLQASSEEASQTISEGWRQFYSLVQESVKVRSLNQARTRILSPF ALRRTEARKKQNGLRRLREMNSTGIGLLIDEGLSFSVPDDYSMGLKAEESPKLTQDEW RSIVAKSISLMESVLRNVNTLDSGVAEFEEGVFTSVEEDPEIVSTSSESNGGLIRAGQ LIDRLLVILNEHLPGQEQRSSALTRDYARPNRFIRYWPVGMFLIVFGSTILRFLANRR AVIKTWIQEFGVTVVDFWTNWIIDPVKKLIGTIRHDEGSEVAIMSKDSLKADRESLER MVVDFAIDHPDETGRTYTELEIETIRTKVKEGDLTPVLKAYERDLRKPFVGTVRGDLV RALLIQVQKTKVDVEIAIGGIDSLLKSQELVFGLVGLTPGLLISFAAFSWLGGVFGNR KGLRQNRKQGETIRVLRNIDRVLATSLPTENGMLSYKDHGLLLCEVHVLRQRAAAVLP GDIHREFLEDLSDLIDIRYGVQRQTKVVERIQWAYAKWLR EPUS_02307 MAGTRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIDLDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDRKSFDNIR TWFSNVEQHASEGVNKILIGNKCDWEEKRAVSTQQGQDLADELNIPFLEVSAKSNTNI EKAFYSLASDIKKRLVDSSRNDSAAQTAGASGGGVKVDSMGGMASGVGGKCC EPUS_02308 MSWQAYVDQSLVGTGHVDKAAIFNTEGTSCWATSKDFKPSSTPP ANLHRGTQLISLRDPQLSPQELREVVTAYNDTNEPKAVQATGLHLAGEKYFVIKADEK SLYGKKGKEGVVIVKTKQTLLITHYPETVQPGQAATVVEKLGDYLVGTGY EPUS_02309 MSLSSSCDTYFEDPASKIRNAKWRKLGGLFKARNAFLDDPAPSP FYQLQARYVPQPVNNSESSFLPYTTDPAPPAHDAKFLFDQILESDGQLHPQRHVGSPL QPVHGARGRSRNVSNASGGRSAQQNFSHLGLPLLDVKIPHVQMERYSVMFGNVLDKTL GNLVTNPDENADGPRVAHLQEPRAERSETTSITKDNAYLVPEPNTTYPRRATSPTPSK SPSFSLFPQLPQAPEMIVGSLPPREQSPLQRSFTAPARLSPMQENFSPDQMQAPQVDD LKADHEATASPIQSASMFIQEWDSFKRAVHSPSSTCSSIVDDVRLATMSMDTPEGIRD QFEVTNDQELKVSPLKPKHLARDTEDELVTRQHTETHVQTMTRVNVHEDTLTALERPR SITSKSNDASRSLQPSKARIDQIMRGPLPNQHPEPPSDLRNGHKKPNKPQSAVVNEQL LYTGDASPLRDGIGSATHELAILCASARDVPVKEQKAAASSQSPPYIELTPSQMQQLT RTLQQPAHGSGAIFPPGRQHPTVTQRLAQNVPDSHTRQPPQIPVSGTTQPPQFDIGHP QSRPAIANTSHRLHPTYPRPSLNASNQSFPTYKPPPPISRGPNQPPFNQSNTQTRLDA RFATTLQTEKDQDNIIDYYLDDNDTKSSSQTPKSPRKLQKRLSDKAKKRLSHSSRPFP YPKSEPKSEPRSESQSSQPSKTAPWSPIPISKYSPSIVPFLNPIVSPPTTSQQSHDHA RRYSSTLAEQDPLDPHLLSPAVRAAREKATELIKASSVGAFSQNHTDPPSTLYQPPRP TRAGFSFIVDGPNKAPLSEPSFYSHPDVSSPSLISPSPDPYTTSERSGNNLALQAAGK FSMSKRSPSKGASLSGLSSGTDGIESRSTNHKPPRPIRSASAGTRGTGAGTADIQGHL KPEKGEKVVERQAGLVPTIVEQERGDWQCRSVNLVIESA EPUS_02310 MNRFRTRRKAKEQQQAESHSDPNYPLGLSFGTKAFGKNKKSIPE SKPTVDLATALPSSNDFRTSLIMPNLSARFSMLREQDDPSTKIGKANDDSVLFPKRAS RLNLFSNNPLTDITEVNSIRDSIRPPFAYGERKRSLDTDGYDSDCGTSMISRSRPGEG NMLFGGRQKFYKVPVESSMKARSCSDAAQSDSGSMHGRVMYENDVSLSQFQKYREKER KEQRKHEGRNSLDDAEDDSPHSPATSFSKDRGTNSSTTSVPRRNSTAATSVESLSPNL YHNNGSAVSLASPNTATQPKAGLDRNATIYGKLYGQTLNQSTSLHRVAKDVFNNVSRP RAHTIDQEADAESPVNVNPKLKETIPRTEPSPKPSKFRSASPPPATSSSDLAMLDATV RSARTLEGASAQGYRRPLSPPHSEEEGAATFVNSVQPEDRGKATALGLFNKPSQEYDE QQFSQRQLQMHEGRSLPPLRPGSPTPSSNSASPDESIPVFKKHDACQKEPTPAQDTQA HAVSLIRQLNEELAPLEAQRRAVGQQPETKPSATSQNALNRNTFLVVDDDWPSEEAEA IPSMPPTEISGQTHPAFRPVAEGFKFPPFDTVANRAQSIDEPRPVPSVYENQIRIPLD RDEFDPQTEGLGLNGLVRTHLRSDSDKSSVCPPVSPRFPPGFMNKSPGIGASPLNDDE TEMPEPEQGQDQSTDVQSRMAQSAKQFLNTATLMKNRLNTQTQRSVDQDTEQSGQKSG PPISWQDELHSRHQRGGSTETQQEREAFNNELAERRRKVQEKLKNVVDSSSRSASPAP PPETGSPKIGNGFTARLRSKSNRREFSNNRPISSNPPDHTLKAVKVLGLGGAAPPNTS SPKPPQQELWKEEEERMLEAFSRRPKPKAASKPSPKKDIRYPPPEPPSSRTSQNDDSD RLRQRSATPVSTRSSLRDRSISDVAGRSKSRNGQYRDDLERAMAEGTGNHSRTNIPIP PRPSIEASDQPERSASAMSGRYQSNSRTNTAGYFERKSLSPLQTTGMPATLSNRPSPR TPYSANSTPPILETSPVVSNCPTATLTQTTDDRATPSLRGRKRSISKNMISDPTFIST TYAVATVDLPRGASLRNGCPGIKDSSTPTTPFMNPSRRRGRIGTGSSTTHTLLSTLTS RINDSKVDLAAPRSARPSLPEERSTFSDEADTKKPSPLKPRHKLRKVSSEGGDMNAKA RYQALMSAPSPALPTFPVKRGPSPPMQRMEGGMF EPUS_02311 MAASSPLTLLSPSVQNTKQKSPLRIDQHNISESEHHEVKSSKKC LYTMSTYVHRDSSEDDDFHNTPSRVSISPCKGTTKGAPSDGTPSPIKLASPTKTDIAL DSTECANSPFPESTLRVDEGLIRECEAPTKGGTEPDDTTMRRNIEDEGMSTIMHDKEG ANQQESGEEEADITLSIDDTANDISAFSAIPEADLTRFAALHNSTASPIRPMVEGWSP SKQLRNPALGTPATVKRPLRLSTHSQSDNGFDNDATPRRPYSASSSEDLLNFTGQSSI IIPPPRSSSRPSTRRSPSGRGGFPIKINPSPAHRSQASVDRERSRGVISPVRQIPSPP TSTTPYADRRNHLLDFDLEPLATPRSVPSITPRELESLRSDLSSQICSLSATLSGKEA EVLALKRSITDAEVRVGNTSEELRNEKLLRESLEQEKQDWDRRGREMESVLREIRQEI MVGELERDKLRRQTEEAEKRTEEMEVRVVELQTRLESANRRKTLSPTSSPRKKTDELL GEPATPLVNGNGVNGMDMNEAVREATERVARDLHALYKSKHETKVAALKKSYEARWEK KVRHLEDELKSTNTEILNLKTERDATMSGPLASSMAVDQQLQEQTRRSEDLLREKEQM EANAKVLQAQIEGLEAEVQSVKQLTDNLREELEKERAEKGELVAQVDLFLALGDDQSA AITTPQHNGMVGLKGEAKLRSVSSDSIASSAASYSAARHSVECHQTQQATHHHQQPPQ QQSPAKPHSAVNGRAAAGAGGTGGRPRPMSMLQPPGKFSGIPGPGGVRGSGKALPART AGVGGGGIMEGIAKMGAGAAGKGY EPUS_02312 MRLTQASRTYCDDSFPTAQFLLLALVRVAEPIALTSIFPYAWPL VKSFHIGDRSDASFYTGVLISAFALSEALTGFFWGSLSDRIGRKPVLLTGCVGTMLSL LIVGFSTNFWVALLGRVVGGVLNGNIGVIQTMVGELVKRPEHEPKAYSVMPFVWSIGT IIGPAVGGTFANPSKHFPSVFSKHGIFAHFPYLLPNLICAAFLLFSILSGYFLLQETH PDLQPRASLFVYNEETDHTPLITAAGASADPDVDLRTESYGTFNEVNLHEEEHWYVNA DGTSTPASPNEKSCSRAFTWQVSMLVLALGIFTYHSMAYDHLLPIFLQDQRISEISSL NGFNPFHIPGGLGLTTEVVGLIMSVNGLIALFVQGIIFPILTDWLGVWSIFVLVTILH PIAHAIVPYLVLLPETLVYPGIYTCLTIRNILHILAYPVILILLKQASPAPSVLGKIN GLAASMAAACRTISPPIAGILYGIGTNIGFTGLAWWGSAFVAILGSLQLWFVPREKNG NATTVRSLAPCLAKAQPERDQKEVVRVEVVDLERA EPUS_02313 MDPGIVAAAYAVETAVEGAAVGALAIAQSTVPLKARFYRLPSPS PALTRSSHTLNVIKGRGYIFGGDGEGVGKGGDNAMHVLTLPTDLVLKDTDYQKIPAVA RPSKAAHNAESEAEKESAGKAQSGDEEEEDDGNNESVPAARAGHTASVIGNRIYIFGG RPAGSSDADAPPNAPLEENGKVYVFEATEKTWRVLVPNEMGCSNGVPQPRNYASSSST IHPLPIRTEEGTGRGKDELMTDEAKLRLVARRQGEDLTATDDQQSEGYGTLFLHGGYD AEGKLLRDAWAFDVASRIWSRWADVPNSDGDDGDGNICCIESKLWRCGDDFGKVAHLD IVRDEFDDISGKGELGVSPKTGEWTVHIFGVKPGQEKLEKQVEEKMGGKPTNTASLFP ARRKRSGFLPVTTGQGREYLLLFMGEKGPQEVLDDIWSFQIPSEKKSAAAFKDTIRTM IGKGTGVEQWAKSEIVESDKEEGMLDYPRGLSRFGSSPAGDAVGDVMIWGGIGPEGAV SADGWILTLE EPUS_02314 MKIVIIGAGISGISTYLFLKKHLPNPASPAEPHQLIIYEGHEAN KELKRQLYSKDATEQTTNTIAIGGGLGLGPNGLKCLERLDEALFHDVVRSGHTITQWR MSCARGWELASIGIQTEEDPPTNSVMIGRQALWHCMRQYVPDIAIVHKKISKVVAAAG QRPMVSFDDGAADIECDMVLGCDGLRSIVRKSMFHNEGDESSEKYPPHYEGLVGVGGF IPSSYLRDNGVKNGEKGIVFGANGFFGYGYNTTSPEGQSRNLPHETCDPGDEANWWST YYLDECPDWRSIDSEDAKRQLIARHSSWKNPVIQKIIKNVKIDSLYPTWTTPGLPTWE RAGLVLIGDAADALHPSSGQGANQALEDSECFALLLAHHLKATYLKPERSQQETERLA GEQAAKNYSNMRIPRIEKIHQRSAALGDFKKPKNLVQEMLMYLFIWSMKFFRVDTHTR ELTNYDVPSEVGKMLAASRGK EPUS_02315 MAGQTEEKDSGSSSVKRVIRPVIPALPLRPVKRQPASKKHQDVD PVEDEPQRGTSMPLLSGGCSTPDRALKIQFGETKGDCEQAVHYSSASFAHTSSSLDVT PSSVPSGMFELGQLEVSNAEKSLPDGSNNNDTLTQGISSRTSSAPPTLTTSSDSPPPT QKQTLHTPVVQLPAQASISRSSSLQISFLYQEETDSASTESTPDSESKTPPLNSQPLS PPAIDLLDVEQNQALTGTESTKTVPDPLTAFELFDPANPIMPSYPARAHILPSMMIPL YMYLLSLFDYKLACDQQIDVHVPGPSPFQFSFDAHKVIICRSPLLAMLVHSNAINGLQ NSSINLFWPVNYFNEAAFIQAFRHLYSNAILNSKDIEEMTFEARTRPAPDWRASQLMF TISYWLGGLILQADPVVEQAKSLVLNLLNFDIIGTALTAATLLRDHDYTRDEHNNAIA NHQSLYTVAETVGVRLQNMIFAFIAENIIFKDFQLDTNPHQTLVRPLFPVRQGLIDHY RRQVPVQTLQFGQLPPQQVAGPAQQFSMDDSHTSYIMLNVPFSVLKEAVPVMREVAED CLVDDALVKDFFKKVVAEREVRRWVANTDRTVTDAEQFANMDVWGVIGYEETVEDGEN GEWYLSAECSDGWAARGG EPUS_02316 MSPPTETMQQSTTKRKAFIAGFPEGVRPAKRRAAKACQTCRSRK VRCDVVDSGPPCNNCRLDRLQCIVSDSRRKRKPRSCSLDEQSQSPVSSNAESDAGDVN SNMNIKSIDWIPSSDYADRVFCTPSAGNSFELQLDRHVPHMLYRMQGRRLNHEERIRR ISSDTAQATHHICDLLNANPNQQINKRTSAEKYQQCIEVLQQLHDIYASAASATSFLQ KAMRSPNLATNLSLQSSNPKITRTKNTSATVLTPPPDTDESATFSHSSMPSKYLRSMG QYSPPASDGSDECASFSAMMPPDHTSNTIIGDVDLDHDFDTLFNLDADMDFWAAEDES KSSSFGPNPNDPHHGAEWTPFTPWNTSWEPAWLSCAQSSPHNGPRLRKLARQLPLDPT GVTTITSPTNVTIRYKEPGKAGVCETTPGVDSYSGFIDLAPDVHAFFWFFESRRDPAN DPITLWLNGGPGSDSLIGLFQELGPCNVTEDLQTQLNPYAWNEVSNMLFLSQPVGVGF SYAETEPGSLNNFTGVFQNASVGGVAGRYPVIDATEVDTTELAAIAAWEILQGFYSAL PQLDSEVQSKQFNLWTESYGGHYGPTFYRYFYEQNEAIANGSTPGKYFTFNTLGLINA LIDEAIQVPFYPIFANNNTYGIKAINDTVYNYMNFACYMINGCLDQLRYCKLTNRTSL TDQAICSEAQSMCRDNVEGPYYSYGDRGVYDIRHPYDDPTPPDYFQEFLNLASTQEAI GVNTNYTQYSNNEIYYAFQQTGDFVYPIFLEDLQVLLDSPVRIALIYGDADYICNWFG GEAVSLAANFSGAEEFRAAGYTPMIVDGVEYGETREYGNFSFTRVYEAGHEVPYYQPL ASLALFNRTINMFDIATGELKITEDYATNGTARATHTEPFVPLPSSTTSTL EPUS_02317 MSYTWMSLNLATASASMGSRSSSPPLSDGSSVRSIDGNRYSQTI ADQFINSKKFYRRLDRLLGANEYTCQWRQNSYIIEDAPRILSPTEIAGLRL EPUS_02318 MSRSQYLRRVLHRDQNPVSARVFEEQAGSLFLSPNTPDQGIDVY SLDPALSEITNSNEYMQDDRVSHSRSIIDDSIEIQDKKVDSLKSQGILQPPKGPGDTI LSLRTKEDLKQYVAAAKGFQAFFIRQRHSYSPLTITYELFETLLLEKNVSPQFRDYVL YMGEREREVEMLSFCFGEFPWIFVTPSRLAQQRIDGYASCRHEGLEFNPFEIHLLLLD TAMANWRHYLIDLAAETDQHAAQSLGASPDDQGPINMADCGERQALMILDGKLQNAAI AIKSTIENVRAHLDCHRLLREGVSEVKEPAGGFIVSDLMEQLKELDTLVLRVDALRAR LQGITSLVSSFLELNNGFALQSLAKDSGRENEEMRKLSERMHALTEKGTQDAAAIKVL TILTLIYLPATVVSNFFSTSFVNLQTSPGMSNHVVVSGDWWIFVAASVPLTLLTLYTW WVEEAVLLVQGLAQYNLERKYDLRPICPEYGLEK EPUS_02319 MPRGKLWCGFVTIKELFKRSASQSQPDSVGSEQERSQRREDSRP IPTSSPNVPANNQPNPSPSSLSKDLDSDPSGPLPPTQSSLPDRGNKGNASNNSRPHGN SFTDTLQHTATIPISRASTDNPHLINTGQGQVSQRVDCHIIPVYDGKEPREPHECKVD WVGSNYFPSVDETAVNLLRDSHNVPETTQLYRKSGRCRLINDNTHQVEDSRIVENQRQ WAEEIPKMVAAHLYRSIYDKFHLEMRLEYSALSINLVKGERYATTVQKEVHGKLVTNW EDDKYIPRRDLDQIYSEITIKTLIDTDQSLDKLALAADERAEFLENINLNASRLLAIC IHIDMPLACLYHLVKKGYKDGDLPLSRKKQHCPCTEYEVKFESFMKWQAAFVAHIFVN DEGGPKHKSLPDDVVLPITYDTERPSLGKGGFGEVYKIYIHSDHHYFSSYRNAPLALK RFFQYSHTQEDFGKEEKVLSALAEFPHDHITPHLASWTQKGIFYVLFPCAEMNLHEFL RMYPPRDLDTEFVKWLLWQLRGLADGVRHIHNLGPSRLVPEPQSLSPPGLRAQRRRSG YHHDIKPKNILVFAKDKVGDGERKITDFIFKISDFGAAKINIILSKSGEISYRTEALS AGDPAYCAPDYDLVGETSRPYDIWSLGCVFLEVLVWTFDLRGHELVDTFALERVQSSG SRDVVGHSSAFWHRNDRNNIVLKSTVVKRLEQLRTHGEKQVIFRHVVRNIGKMLTIPP RERVTAAEVYNVLDAAYMQAEYDVRKQPDIYQQGMDWYRQVASSPTTVHDGGSRRPSI DQRSFGSFHARENEEHGFPQSSPRSLSSSNHRSRKGKTSLERGFSTTNSDLLQLSPVD TQNLPPRQSHSRSPSIISVSHHDDPHATEQRIYDAAHNDFQEPFPPVGSHSDPVGRED FMTLPFLSRRRTGDSQSI EPUS_02320 MDIHIWPNNIRNLKWPNDGITYSYEHRGYMAPEKIEHWLLKAFG DGNGKYVLFNERIYIKAPRKPTSVSQSLAVNIPPSLLDLSSNPLVDVHSTLPSTRTPR PDYPPT EPUS_02321 MAELRFDHQTVVVTGAGGGLGKAYALFFASRGANVVVNDLGGSF KGEGNSTKAADVVVNEIKASGGRAVSNYDSVENGERIIDTAIQAFGRIDILLNNAGIL RDVSFKNMKDDDWDLIIKVHVKGAYKCARAAWPHFRKQKYGRIINTASAAGLFGSFGQ CNYSAAKLSQVGFTETLAKEGYKYNIMVNVIAPIAASRMTATVMPPELLENLKPDWVV PLVAVLVHHSNKQETGSIFEVGGGHIAKLRWERAKGALLKTDDTLTPGAILKKWDQVN DFSQPEYPTGPADFMTLLEEAMKMGSNDKGENINLKGKVALITGAGGGLGRSYALLFA KLGASVVVNDLVNPDTTVQEIEKLGGKAVGNKASAEDGDVVVKAAIDAFGRIDILINN AGILRDKAFHNMDDKLWDEVIAVHLRGTYKTTKAAYPYMLKQKYGRIVNTTSTSGIYG NFGQANYAAAKLGILGFSRALAREGAKNNIFVNTIAPNAGTNMTRSIMPEEMVQAFKP EYVAPLVALLCSDKVPDPATGGLFEVGSGWFGRTRWQRSGGHGFPIDVKLTPEHVLGV WKDIINFGDGRADHPEDGQDGLKSIMANLENKSGGSSSSGQNSEILSNIESAKKMKAE GTSFIYDDKDVILYNLSLGAKRDELPLVYEGSSDFQVLPTFAVVPPFNASAPWNTDDI VPNYNPMMLLHGEQYLEIRKFPIPTAAETLSYPRLIEVIDKGTAAVVVSGTTTKDAKT GEDLFYNEMTAFIRGSGGFGGASKGSKRGAATTTYKPPNRAPDAVVEERTTENQAALY RLNGDRNPLHIDPDFSKVGGFKVPILHGLCFFGFSGKHVLQSFGPFKNIKVRFAGTVL PGQTLQTEMWKEGSRVIFQTRVKETGKLCVAGAGAELVDDGKAKL EPUS_02322 MAEIVDDKSQFCIPFIIERLQLHRLRNANIENAPPFFLGVNGVQ GAGKTVLVSIIQQTLERPPHNLPTVVFSLDDLYLTHADQVDLAKTHPDNPLLQHRGQP LTHDIPLASSVFSSLRQNAPTKIPQYNKAAFGGQGDRLSEDQWLKVNFEGQNTVKIVI FEGWCVGFRSLSECDLKQKWEHAMMSREAGEYIGRLGFNTLGNVASINTALRAYEQIT NNLDALVHIDAFDLQYVYKWRLEQEARLRALRGSGMTDEEVKNFVDGYYPAYELFTDT LRAGIFEGETGRQLRLVVGEDRKVMEVIKI EPUS_02323 MPFSHHSHSGQFCPGHARNTLEEMVEAAVAQRMEVFALTEHMPR HDEDRYPEEREMGLVYEKSQVSHKTYFQEANRLREKFKLKIQIVIGFESEWIRPGSLD LINISLQNNDVDFFVGSVHHVHTKPIDFDQAGYDAAKEVAGGSDHQLFGDYFDAQFAM LQAIKPPVVGHFDLIRLKSGDPERSFREWQGVWGRIVRNLQFIASYGGLLEINFASLR KGMTEPYPKDEIAKTFEIMGGKFCLSDDSHGVEQVALNYHECIPYLKRNHISRVHFLE SLCENLAEPIDSRFPSTKLRSLTIGELKTLPFWHSRNMPCSPSGLDNN EPUS_02324 MSETLQKEVISPGNGIDKPKAGDVVTMDYTGWLYEKNQPENRGK LFDSSQERGEFNTKIGVKKVIQGTYFHLP EPUS_02325 MRVSLLAAAALMLQDVRAETTILPQLQSVDIFYQPMRSLPDDKS SLLASVKYNVSNLESTVLSYSPPATSDTTTERGTSHPLFRISTNTPDGSTTVTSLSTF SPTYTQTLTLHLRDDDLVLAASISAEPLVPSRPTPQDPKTTLKVVVVRPQPGPTPKLN VRKPVVVGADGKEVPQEPEAEKTFFQKYWWAFALVAVLALAGGGDK EPUS_02326 MRSSILAILSALAALTVAQAAPAAPTLHSRPKYYFPQEVKRQID NSPDTTRVDPPSTETLPTTPETQNQLTSNVLTSRQESPVGVSDPNPVSSGIASNTETA VVIVPVTVVLDSSGSVIGSPITGTPAPSPASTPAPASISSATPVPQEPSTPAVSSSPV SPPVDIPEFVPAFSPVSFSAPIAPSLTTPVPSVPSSAPVVIAETVESFTPVSSAAFVA SSPASAEPSTPSEVLSSSVPSEPVLTYPAAANAETSSPAVASTIIPEPASSSPASVIP ADSIPSSPDPAPSNLVSSATTPDLSPSEPVSSSPAAFSPLSPPASTPPASEVTLPSSA AAAPSSAEIPQYTSEVVLPSPAASTISSDEVDTSYPAAVPSSPAASTISSNEGDPSNP PALPSSPAASPGEVLPSDSEALTSLTSRAGPLTSAAETSGSLEAVPFSLPSSISGPSE PLPSNSAASAFPSAEVIPSIPVASTPTTLAEGLSSLTAPTAPGSELASSNPASSTPAS EALPPTLASSTPASEEVPPTLASSTPASEEVPPTLASSTPASEAVPPILASSSEVVSS VLASFTPVSDPITSISGPLTLSDSITDVVGITVPSSLISPPPASSSTPSTPSAQAYSI SAPSSADSVASSANPISLPVETRTAGSESPSVTNSVTAIPSDSVPVVIATSAIVTPSG QSSPIVVPTSVLVTPSADSPSVDSAASTTIAPTVEPSSTVVPTSANVSPSVESPPTIL ATSTTITPSGETPPVTVPTSVTDVPSGSSSLEIAATSVNVIPSGEISSVEVATSVPAP ISVTSSSILVASSATTTLPGEISGLGVPTSVTIETASVSSSIVVATSVTTTPSGETSG VTVPTPVTSEISGVAVPTSVPVETSVASSSIEISTSGLPTPSPSTDASLVATTLSSPV IGASSSLPVLSTSASSGTEPTIPPSSGTPTTDSFGSVLYPSDSVTSSPPAFSSVVVTT TDTSGSTILSTSIIDLSSASPTSLDTVSNVPQVTSETGSTVISILESPIPSSSTGVVI PIIPTGESSETSGDLPTPSASTGSLPESSTGLLPPVVIPLFTSTDSSALSSLTEAATP LVTTSGIIVGIDTIFGTTTSSADIPVLTTSGSSTDATTPVVVSTDLSSAVPPSLLGTG QSSLPSDSAVSSLLPSDLPTPISPTASDVTSQLSPAVTSPVPTSVVPVTETANLSSVV PVTSDSGVIPVIPITSGSDSASVSVSSGTLSPAGPATSGSASDITIVTSEVASGFAPA TDSATLGTATESGVQPSATVSGSTATTISATSGAIAGTESATAAATATDVVTSPGTVS DSATATATATGVVTSPGTVSVSATATATATDVVTSPGTVSDSATATATATGVVTSPGT VSVSATATATATDVVTSPGTISESGIAATGSGSAITTATELTAAGFTSPVIVTETPAT GVPTSTAPQSISPTGTDSATSLTVAPTIVVAPSPPTSVVPSSATGTVLSSSLPKVIAP PGGVPAQPQNTTLIQLGFTYGLNYQFVVGSSTAVTQIFSYLPQGVSHGLGVPEDAVVM QYLQPYNTLGSLGYVTTLAMAYIPSDMVSPLQLSLMNPNSAIYNNPTPSVQTLMGMID PSIPVLGGQTLSGGLPINGDGVSNPEDDGNGQGAPIGSDEDSSAPVRPSSVGIGVGVV AGAAVYGAAMFLVARRYKKRRSLHQRSSSMLDSGEPRFVGEGSALMAGGRQAAGYGGW RSATPPGGQGRDSRNSGRTGGSGRTYISPPVMAENSLGWN EPUS_02327 MPTFPSNPFRPSGNSAALPFSARIGILYRRKLASHPFLLFGLPF ISLMVLSSFLLTPATALRFENHDRKNREVSTHEALNLGFNKTGAGAFGEPVGATGVTY NPRRRILTKGATSERDEYYKLMAKDLDNWEQKRVERWKGEPDGRL EPUS_09341 MYNSWLLPILGLSARVARIIATVTPDYSACAEAVCLLHTQSVLA YQLIFRKCNTLSDLLPSSVATVTRLNENVEREYLAVFEQQPVFTHTDDLASFSPSKPF YWAQQQSSIQSACYVRAHSAQDVATLVSVSQSSRCPLAIRGGGHSDIPGASNSPGGIT LDLAGLDGIEIVEEGNVARLGAGLTWGKVYAGLEKTNRTVVGGRLTNVGVGGLTLGGG LSHFSGLHGWACDNVKNYEVVLANTSIIQVSADYHADLYRALRGGVNNFGVVTRFDVD TYAQGPLWGGLHIWSHTADMAAALTKTFTDFAHAAPSEPHASLFVGQGYRAGNYAYAA GLYNAAGTAFPPIFRAFEIGEPFVRAKIASTARVTMLSDLAEELDQSEPPGMRSRFTT ATFKADTELQRRIIEIYMEEIEATLAEGLSEDERFAPMMGFQPLTVNFLQQSQKRGGN IMGLEPEDAPLMVCSFGWEWSHSTDDPVVVRGIKNVLDQSVTAAKARGLYHSFKYANY AAYDQHPVAGYGQENVAFLKRVRKVYDPEGIFTTLVPGGFKIE EPUS_09342 MSLFGPTDNGLKPFVTVLSAVGLVVFYLIYLVVYRLFLSPISKF PGPKLAALTYWYEFWYDVVAEPEYTFKIGRLHKQYGSILRINPDEIHISAPDFYDTIY AGSGRKRDKWDWIVKSFGVDESLIGTLPHDDHRIRRASLALYFSKQSVRALQPLVDRN MALLMKHFREFQQTGEPLTLNATFAALTNDIVMDYAFGRSEHRLKAPDFDPSFMNAML QGGKAGHVMKHFPWLMDALRKLPDSMLLKLSPAIGAYAKLQTSIKQQVAEIQHAHKAS ALRQVHRPSVAGGRLGVSAYFTLATPSILQALKAELSAAIPDPSAPLNLLTLEQLPYL TGVVQEGVRLSHAISHRLHRICPDETLVFNDGHREWRIPPGTPLSMTSNLVHHDEAIF PDSRAFRPERWIEQPRLDRYLVSFGKGGRACLGINLAYAELYLTLAGLFRVYGTAEVQ GVDDIGLLELFETTAADLVITSDEVAPVMPEDSKGLRVKVYASAKAGLE EPUS_04427 MSFLKAIRECLTGQDEPEYTKTNDEKTEVGWRYPEEKNTRPQPT TEELAASILSTLFTAEKSGHDLDRRVQDIVRSCGWYEGLAKRVLDGLVAAVKSGAAMG GAMKEASDKATVAASDFVHEHPVFTAAVAVVVAIGILVLLAPWAVEALGFGELGPIEG SFAAWWQSTFPDVEAGSFFSYLQRLGMRWGRK EPUS_04428 MSGFKSGMMHPMRRLVVAGEDNPANFALLFGPDWERKEQIRKMH EEARITLLLAPPTASPAGMMAGFWDEGYTGPWRPRPPTREEEAKIQQVRDMARVMGM EPUS_04429 MSDYGLDDPPPDALGDDRPSDNEDALDDIANEFTGPPSARSVVD GVNDESDDESLLSEVDEAQFADFDATAVQVAPDLETLSKHIKASKRKRAEGEAPPKKK EGRREKPKRSRKKADSDDGFSGGEEIEGKRARKSKGVEGSSKERKRRAPAQEEVNEEH LTPEERRRRALDRAMDAALKRPSARRLRKGDIDLESSAEEEINDVRGRMIKAAEADAA AHDAGQPAFHKIKMLPEVTAILNRNTHMQALTDPDINLLEAVRFFLEPLNDGALPAYN IQRDLFAAIAKLPINKESLIASGIGKVTLFYTKSKKVEVHIKRQAEKLIQEWTRPMLQ KSDDHTKKVYPKVAYDPSRKVAATPDLVQRVKNTAPAEKKSNRARLYKGATSYTIVPE SRVHVSQR EPUS_04430 MAFGFGSSTSQPQQGGGLFGTSTQPQQTGGLFGNLSQPQQQSSG LFGSLGQSTQQQPQQQTGIFGASAQQPQQQSGSLFGSLGQSMPQQSQQQSGGLFSGLG QSTQQQPQQQSSGLFPGLGQSTQPKPGFLGGTQSTQQQQQQPPFGQSTIQQLPTLQLG QSQQNLLGQSQGPPSLWEEGRGLSVYRSVPEQMKIVKEKWDPSSLSSSLRTYLYQRVD EQNAPFYQPGPGEDPNKWEEAVAKRPGPDCVPALARGFWDLGKRAQRQREFIEKINIR LHDINQSLDAQLQLHSQNIAARLAECRRKHTVASQRTIVLAAKIQILRNRGYVMDNAE EELKMKLSKLEREVFDPSLNGREQEIWARMLGIRERARRLQKEIDKTTPTVDQASSLD EDTVQAAKKALEAYDVQLRHLQKEMQLVQEEYEDWEKGLKPEMSKSGIYG EPUS_04431 MARREPHFNQTVLIDPTPMPEHIPKVEEIGASSAPLMSASYFIG ARCQPYNDDYMQCKTEAYGRGELECMKEGRKVTRCAASVYGSHPPHWRTVLIQSQA EPUS_04432 MADDNRYSRKQSSKASTDRRNGERKAKEKPARRHQTHFYDPRRP DDAGSSSDDVGLAELERHQNDALNRVEEAEAVLAKERKRIEQLEIRRKQVEAKEEHRQ VQLDEAAGLSPRERNRRLYEKTVARVEGTALRRIEELERRFAHSDKVFELREARQNMS TDEQREREAAEKEMEYQRQDEQNHADDARGEDPENDEIEACVADGAGLIVVRGFTSYF GRIPQIINAVGYVAENEDVAKRLARMGHYSTSEDKMLGLIQLTIANGQQKQGPSQMVT GLSMRELEAAWMQVSKFAILQMNRLSGASSSSS EPUS_04433 MSVICEAIISKLAINLMVSGADIDPEQPITSCGADSLVAVELHN WLLGHAGAEDLGHRRAAGPEPTGLSGSIARKGKVVAKECWGRMSEREWDQARSRKSSS LTPIMPSVATKPTKNQLRRAKKKAHKHETSVEPTPEPEIKTEAPPLKPPLNGGLSEKE PKQDGYDFDAPLVVDESNPLFDMYKDIMDKFEEADKEDPASKEPEKPEVYYDDDDDIP DEDEQNTVLKMSKKKRKEQNKISVAELKALVRNPENVDWTDTSASDPRLLVHIKAYRN VVPVPTHWSLKREYLSSKRGVEKPAFALPKFIQETGIAEMRDAVLEKQDQATLKQKQR ERVQPKMGKLDIDYQKLYEAFFRFQTKPELTRYGEVYYEGKEYETNLRHLRPGELSDE LKDALNIPPGAPPPWLINQQRFGPPPSYPALKIPGLNAAIPPGASWGFQPGQWGKPPV DEATNRPLYGGDFNNIFQTLQKPQRGEPVEKDLWGELQEPEEESEEEDDDEEEEEEED EDRGAGLQTPSGLETPSGMASTIPSEFGGAESVSEFDLRKRRGTETEESSHPRSAYQV IPEHQTRVQGFFGGDRAYDLKSAQSNVPVLGQEESRKRKKPGDVDVSMDPDALQTHDG IDKGDLKNLYERQKKAEENPNWGFQEDLSDMIASESRKRLKRDEERKGRRDGYDPDVT HLGRGESYRPGGGGGAPPYRPGPARERGSGDKWISDRRDSDRREDIDSYVPPTSRRAE RPRSRSPAGFRRRSRSPIRRGRDDTYPGRPRSPPRRYSPRRNDRPTSPPRRRSRSPYG ARPLSPPIKRPRDDSPSYVRRSPPPAKRERLTSPDRRRFDRPRSHPRRPYSPPPRDAG PRGYRTRSRTPPKRDERRKIYNDDNWRRRSPSPRAAPSGPASGATSRRSSPPIHPDRL SLAGSRTRSPAYLPPRSHDSRPPRSPISRPRSPARHERSPPRQQSPPRSYVDRTRPPS SPRQDNRRNDEAASSSQPPSGPGGYRNGNYGRPPPSGPSRGYSETPQMSPPAGPSNSS VSMSAHNRPNPAMLSAPTRPRGAPPGRFDGPPRDFSAPPPRRGGYGGPPPRAASYDTR DGPHPGPRGGFGRGGYGRGDVGYGRGDSGYGRGDAGYGRGDSGYSSHRATFGREDGPP PFRSHNNSSSTTYPRTQRFNKTSQHLASLEKIVPGGKLAPTGMSPEQEKRIKLLEEAA EKMREEIEEKQKSKREALREWDVRQRESEREGLRSELAERHLEKLTEGEDGAGAAF EPUS_04434 MAGAVPRSRLLELMKVQCNLFSTTYNPDRLRTGNKVLRQRLRGP TLAAYYPRKTATLEDLKKVFKKLDLQVINPREDERLESIALAKLRGKGAPKKKKGKDL TKGKKKKR EPUS_04435 MAKRKLDNNSPAGPDEKRPCFGSNGTPDLPPIPPINKELSKTVF THQSVVSKLDISDPAASYERLEFLGDAYIELMATRLIWDKFKDLPVGRLSQLREMLVK NETLANIAVMYGLDKQIVTAPDVKTNRKQWAKVKGDVVEAYVAAIVEADTEVGGTGFL TAQRWLYQLWIPHLAGDVVEKRMPDVNAKDALSRKVVSRGVKLEYLEQRPLEMLKTGQ QKYYISVFLTGWGYERQLLGTGEGLSKTGAGNLAAEDALHSLLVEQIAEKKREFDRRT RGIDQSLHGKTANGRTSEERMTREKEIVAKKRSMFMSSEFG EPUS_04436 MENPTLSMADAPGGWPETPPEGTKNVTLAPDHEPFNTYATAAEE HTPIQRTITSYFKVETTPRTVARSTNRMPDQQQNSTFNVAGSNRPRTEAFSPRFTQRE QPKTVAGFGLSSQQIAAAPSQRFSTPEADPVSQPRERRTTVPAFGAPRQHTAAGVSQL AGWPLVDIPIQQLAQYTTAAVDHGPVQPLNNTEIGMSSVSSTRTSTIVPSREGPFYEA RPISRTAAAASGSKMEERPRTGYPRYKPSRMDEKSRADSPQYEPLKTIYTNRSATRPG LKHDAESLRSEDDILRVLSRRRTNASTLSGEDAAGEQQEIERLMSRMFGKGRQENSEE EKTRHVGVVFKNLNVRGMGLGAVLQETNGDIFLGPVRFLRNLLTKGIKAAVGKPPVRN ILSDFTGCIRPGEMLLVLGPPGSGCSTFLKVLGNQRFGYEAVEGEVTYGGTDAKKMAK DFRGEVLYNPEDDLHYATLSVKNTLSFALKTKTPGKESRNEGESRKDYVQEFLRIVTK LFWIEHTLGTKVGDEFVRGVSGGEKKRVSIAEAMITKASVQAWDNSTRGLDASTALEY VQSIRSLTNMAHVSTAVALYQAGESLFQLFDKVLLIDQGRCLYFGPTETAKSYFQDLG FVCPDRWTTADFLTSVSDVHERSIKPGWENRIPRSAAQFAEAYRKSDTYHNNLEDIRS FEMQTEAQRRERLANRSKAAKKKNYTLPFHKQVWACTERQVLVTLGDKASLYGKWGGI LFQALIVGSLFYNMPQTTAGVFTRGGNLFFLLLFNALLALAELTAAFTSKPILLKHKS FSFYRPAAYAIAQTVVDIPLVFIQISLFNGVVYFMSGLARTPSQFFISELILWVSTMT MYAFFRAVGALNKSLDNATRITGVAIQALIVYTGYLIPPMKMHPWFKWLIWINPIQYG FEALMSNEFYNLEIECTPPYLVPEVANASPQYQSCLLQGSQPGQTTVNGADYIQTAFT YSRTHLWRNIGFICAFFVFFVFLTMVGMEIAEPNAGGGTVTVFKRGQVPKKVEESIDS GGRDAKDEESGTKSRSIEKGTESDSGDTDLTRQTTRGQVAKNETIFTWQDVNYTIPFE GRERKLLQDVQGYVRPGRLTALMGASGAGKTTLLNTLAQRINFGVVTGDFLVDGRPLP RSFQRATGFAEQMDVHEPTATVREALRFSALLRQPKEVPVQEKYDYCERILELLEMTD IAGATIGKIGSGLNQEQRKRVTIGVELASKPELLMFLDEPTSGLDSGAAFNIVRFLRK LADAGQAILCTIHQPSSVLFEHFDELLLLKSGGRVVYHGPLGNDSRKLIEYFESNGAK KCPPTTNPAEYMLEAIGAGNPDYKGKDWADVWEASFEHEERTHEIQEMIASRSLAKPS RSLKDDREYAMPLMTQIMAVLKRSFVAYWRSPEYIIGKFMLHIITGLFNTFTFYHVGF SQIDMQSRLFSIFMTLTISPPLIQQLQPKFLDFRNIFEKRESNSKIYSWFAFVTGAVI VEIPYSIVAGSIYFCCWWFGSVGRDVSALASGYTFLLLMLFELYFVSFGQAIASFSPN ELLASLLVPVFFLFVVSFCGVIAPPQVLPYFWRSWMYWLTPFHYLLEGFLAVAIHDQP VICSSDEFARFTAPPGQTCQSYVQPFIEQAGGYVQTGLDGICEFCQFANGDEFGVSFS VYYSNIWRDFGIFAGFCVFNYMITYVCSWLYLGGLKKFRSKSGGKSKR EPUS_04437 MSMGRLHGTKLVNEETYGHIAALEDPVPLHNVSAIAIIQSCKIQ LPNVKNIASFGSAFDQHLPEYIKTYTIDQKIAKEKGLLKYGFHGNSSSYITRAVAKHL QKKSSELNMIALHLGSGASACTIRNGQSIDTSMGLTPLSGLPGGPSSGGVDRSLIYHY TSSASKMSPLSTKDLHVSEAEKILNKQSGWKALAGTTDFSKIAVPNAPKSHKLAFDIF IDRVVGLVAAYFIKLEGKVDALVFSGDIGKKALC EPUS_04438 MATNTDTTESKASSEHAHNTRYKGVSEKKRKPSLDWDDLEERGK RRRGKEGEKWRRRNSVTHLVASIYADVEAAVETQRRIAGQAPILTQEERLDYESSEDM RLDHLREFRLAQMFSSPKTRNRLRAAPVPRGRRFDSVRAGRDVVTRAVVDKWRLLNTI IDTLGWVVIAICLHSANFRTRFLEATDAVDFTERLEKLRLHKESIEFFAKSRQFDWLE VTTEQEEQDVLLRDLNCLLTGTAIPKEQEINEDEAEDARLNADTKIAGFPNDGAGLDH PHEWKLSADGLTAVRLTFLPGGGRATLQLQSNIEHSVVLPGHRWPDRKKWILKEEPRY RAEFDESCEACNDPGAGEGKKWRAGCQCSVNNLKTRLAADGAYFGDRVELRNVHPILG TGVRALQRLPAHSLLAEYTGEIYPLYKTQQRGKYKNSTYLYCQTRRLSNGEIENAMNI DPSIHGNWTRFINHSCRPKTDFVLYSCGEKIVTCVKVRKRAIEFGEEITIDYGKSYFE NQGLACRCREDACRLWNADKMKDNRTTLRQARQEGFAPDWADD EPUS_04439 MVRDIAGFYYDAERKKYFKIQPNHAGPGQQHSSQVIKQKESANK RRKIIHDHRTREARETISRSRLLTHPLLGRLSLRNQLGQTAGRCLPLHPSEDVAAYYA AGLSESLLCESSETLPGFAVDEKNGAIIFARSNQDNIGSDTLHCMRSVGPGRYSGISP VFEAMSDSFVDMSISVLARKLFYVSSGPIGSRVVLLEVPREEDDPHFRMMDQVWTCND ETAWQTAVSPTGQFFAIASSEGLTHYRMVPSHLMGTSMWVARQKPAVAEYMAVAFGQN DRIIMGGRRTGEITFFDERTNGFVTRLRHADAVSAIALVDDNRIVARGLQTMSLYDLR YTKRPSKKEVESKIATAPYRTFQWENSSLKFRLGFDYSSELGIIATGSSKAMSSNHLL PNHHQTIDLFSVRTGKKLRSLPLSTAHHTQAATCIKFSRIRSTLRPNSELRIIDNEPL SLLASSEGRILEWSCQGDEMAGSDSDAE EPUS_04440 MSKSELGPEAVNLKRCGCCQKVSYCNKDSQVSGWQAHKSSCQKP RPAPDAGASLPFSHHMAVRATGQEPATYFQRLHKRTNSEAEVYKHLIDVYRLRVQDDI DFTGYMYGAHTVDPAGPLPDF EPUS_04441 MLSRTSISRSCTKALQRHCVCRRTQIRNLAAAASGSFQYETGEE AGVKYASRDLPGPTTTLTVVAKAGTRYQAQPGFSDALEKFAFKSTTRRSAVRMIREVE LLGGDLSAYHSRENLVIRAKFLREDLAYFTELLADVISKTKYAPHELNEQVVRVMKLG QKHLLANPSHMAINSAHGLAFHRGLGTPLYPTSSTTFSKYLDSEEIYNFALSAYSKSN IAVVANGTSQSELSKWVREFFEDAYTGGRKLESPPSKYYGGEERIAHDKGNVMVIAFP GSSSFTSGSSWKPEIGVLAALLGGQSSIKWTPGFSLLAKAASEHQHAHVSTQNHGYSD AGLLAVTVTGTASHVGKASHSVVEALKKIASGDVSGEDIKKATALAKFQALETGQDIN AGLEATGSGLITGGKAYQIDEIGQAIDKVGEDKVKAAAKSLLDTKATVSTVGDLFALP YAEDIGLKI EPUS_04442 MSKYLAELCSLLIEDNYGEFYAHIFSTLLSHGRLLALQLALKCH LPLRQIQHGLAVLVQAGLVFHHTTSEGRTSYDANPRNAYNLVRPGRTFELVQKRFGRA ASAIVTQLVLLGHAPVGQLKTVIRTADESTTVQDHDIENDRANSTSIVGSLAKDRPDV EIDNALRELCKHGLICRLREAHFRTEADTRQLVEEKVHNSFSSTAKGTKLKEEFAEKV EEAIEKEVNSCINFRKSGSENMISQKRKLDDADNIPNPKRLKLSNGVAPNEGFDHTYF VGDNTNFLTDSLVVRLNYARIAVLFRNSRLVSLVTAIYGKNFSRTYEAILNQLEPDLP DPQEDISLGPEQERPPGTTSEVDEALLAQDLAHQEAYKEHSGSPWRSVNGYVNGTKHQ LTPEARSHLEVLCQEPYRFLSRSLEYPDRYVVEYSPLSIHLRNAEIFRIIFARFDKYA VRIIRVLLDKGKLDEKHLQELVLMSAKELRQILAMLQQAGFLELQEVPREAQRQPSRT IYLWFYDPDRVRKMLIENTYKCMARCFQRMKVERDKIRPTIEKSERSDVKGKEENLLA RAELEVLKGWRRKEEWLLGEVGRLDELIFVLRDF EPUS_04443 MSQLNDGPNPLALADSHLPSRPNADSRPPTSILPRSDTKINITS HQISAIDITESFTAASSALNTGQLVKDEYFTLFEAVGALEIMDSKMDSGHLAPGETLE DEYNVLRNLLPEEVIGIMDQILCHEVAWHIGHPLSQTLFTCLYVERLLWPEPKTLEEA QFSRDLNSRSDNPLLNRVLRSYCIATIKACHFVHCMVTGESYYEEEDFTSQLYHRELL PKVSIAEIQTLLDEALSYNDTASISPESKKAIEKRLQFRRSILDALEQDTFPLNAGRT PSLSCIPLLQDIKASSQLGKPVNEAFSTKIQRTLASSVPPRPMVTIKLSDALAHFETL CNDATDVGQILATKTSEELSTAIWVFMSRIPQPSVYIRALVQSFLLDRETQRVLGKTT TKQFLFSSIAAIVLPKSPILEAANDMVESATSPRFQMARLLAEFDGKAGQQYINIFRN ACLNRCRTRRTLCHLVLDWDTLQADAEDIDGTLQTYTKEKPALYASHQPTYSYPLSSW VYHHKLNHLRQIIQMGFELSIYAPDEISGMYWFLSYICATHISHIDRISFFLERDMLA QEALSQNSDAPEKPDVKDQADYQKTLKSLFRIYTHLKATDAFSKALHALHTLLLRHKT IEVPQRPYSSDKLRYELRMKPFLSLNVPEPVTFESFREESSCTALSDEEVLEEARMRI GDAKKFWEEVLKAGWSAELAENPELNRSSKEGKTGKTEMQKGSTTIEGEWSEGVKNVI RACIATSISIVTLKKRLDETGGLKGMKVMVPVPGEKGCWHEWWIVPRVLTV EPUS_04444 MANNDSTRGSDSEPGPSSATVDFIADSASNPLALEIDWNTTDND SAYGDEVSTYTSSITSSVMDFPEIEGRRYHAYRQGRYALPNDEEENERLDIHHALIYT AMEGRLFYAPIGQSPQRVLDIATGTGIWAMDFADEFPSAEVLGNDLSPTQPTLFVHQS LSSTMLTQDSVPPNLEFIVDDVEDEWGYEHQPFDFIHARFLAGAIRDWPKLMRQALDC TKPGGWVEFQDWDTFLYSADNSMPLDSAINRFHQMSGGAREAMGYSMCPGRHLQRWMT DAGFINISCTKILLPLGTWAKNRQAKKIGAYNLLQMQQGLEGILLGTLPHAKPRPWSK DEIMVFLADIRKDFQNPKIHGQYDL EPUS_04445 MSTEDVTCRIRVELTDTKGEHRLFNICEVSGIPEEVKKQWVQKI TDYIWGPGSNQGGSRLVIRPWDFILRSDGSFDSLPVPNLEQNTSSGVYPAHYRIPPTI YEIACGKKPLEGLSDEEVQQHYSNAEFLDDVPTLPPDLLITILSCWSVEFANIINPPR SKFAGFAAAAGSYIKAHPYLFALQITGALSLTAAAIAPAILGAVGFGALGPVAGSTAA GWQASIGVVEAGSLFAWCQSAAMGEAAVNAIVATGAAGGGVAALATGVAAAQNQGLDV DILIAKFKEVYRQGDFGD EPUS_04446 MAEEELAPFAPEWLAMEQMQGGRMTLMPGPVDEVRNQFQNIFAS IRPFLPPRTDAIKTEDSTLPSGMSIRIYQPTEGHGQLPVGLYIHAGGWFAGDMENEDH LVRNIVDNSKILLIQVDYRLCPENPFPAGLDDCCEAYEWLCTNAAKYGGDGSRRFIMG GSSGGNLSTAVALKYASNPDFRASGLIIACPGTCDRRAFPEEYKGRWTPEKYADAPMI GRDMVDWAFELYQAPSPHEPLVSVLLHPDIKLLPPSCIMSPTKDPTNQETVFLYEEMK KQGVDADLVEWEGYPHFFWTVPMLKASAKFMEVWNEKLKGLIGRASS EPUS_04447 MNAPPLPDSLPFGEASKAIDWKDAPLPPLVTFPPPFPFPPRTRV VRQGAWLVNYIPSNTTNVAYDGTIRVETHGTGATRTASGDLYQRPVIMIPTLPVPFPP GAPRTIMLNAPNPSKGIPIQSRRRYRYYLRVTEILESFTLSNSFALGLQMWKYTAAAD NTATWSNDGDFAATMKWMTPPANSGYPSASDYLEGDLLKAGAVVGRLKMGWVSESYRK ATLEIDTVNGADRPLDSGAGQNWETVYKALGYDVTLDLSDTNVTEPSGDGWTDAEAHA AMMAHRDENNLDVEWRYHMLAVKKLDSTSRGILYDWDATDSNKVPREGVAIAANYVFE SAPDPANGIPDWGPVKGKKFSTVKAAYFRAAVHEMGHAFGISRHNTVNTGFMNTTDAI ARAASSTPATPFPKNILWDYADDDRKKLRHWSDMFVRPGGIVFGGASNTTPPITTDDE IEVPELKLEVTALLSEVPLGAPVRIDVKLTNTSEAPMLAPRDVGLRSACMTGFVQDAS GKVRTFRPLVHCVDSIDTAVLEAGESIAGSMTLLRGAEGALFPSSGVSQIILKAGWDV GDCSVQATVTGKTTVFITDAHDMKHAAAAHKILTTPDAHLVLVLGGDHLEDGIKAIQQ AVEDKTLGPHYAVVEAKRLAQSFEERCANCEEAKKLVEQKGVVASRKEKAKLVRLFQK HEHAQGKRQNGIREALKQEEKNKKK EPUS_04448 MANSTDSTQTPHNPGLSILQSVLDANPSIEFVRLQWIDFTATVR TRLVTVRQALRLATEGLSVSVASPIASGFLVDGTFNVINPGAKDSLVPDWSTLVVCHY HPGHAAVMCCLDEAGHGFECCPRSVLKKAERELEEKQGMTFLVGVEVEFYLAESAAST APVKDVTSYCSTASLRTPYLAVLEDSVRAIELAKIPVWTFHTELVAGLFEISTDPMTP LRAADALVYINEAIKASAVKHGLHATMHPKPFDKTHGVGQHMHISLSSDAKDDSFLAG VLGSVPGICAISMPNFDSYLRADFTGGGWVCWDWENRLSSIRKIGRAHWEFRFVDCTA NNYLTLAAILGVGMAALEKGQELKMKPLSGRSVEMDEETRRELGMDKRAPNGLKDAIE ALKKDEAVMAVLGKELWQRYIMYKEKEEGMLSEMTLQERRTMIMGLF EPUS_04449 MPINIHIPTIWQAILVVILVFVPIKVYGATLRCFLRGRFEGENV ELQPPPPVNALYNEKNASNLAVPGRQQDNDQPRELRIYKDFYYKLQNLERFPEILPQS RDLLISLLTETLADALKKPEQGILSVEHYTSENLTKFLQIDNDKITQEWEQYVARRKA GSPAEMFQDREEARWWLRQMAPVKYVDGAWLGHINKITTPFALRRVTKDAWQVLSEEL GDGDPHKNHVYVYRELMKEIGSGLPEADTLDFIHPQHQLNEKCVWKAAVAQLLISLFP HEFLPEILGFNMHFEGLTMETMKAASELEELGLSPYYFVLHISIDNADSGHTAMAMQT VVKYIEHVRQTQGKSAAQQVWRRVQTGFVLSKELSSGPQCPSQRHRAHNSFPRNRHEA EVIKIFEAKAPVAHKIHCGSRLTIGGRTLVDWLQPDAFAGKQWQMEFLDYLSNMKPWV RRGDSSKSKLIHELSWGGKMFGSFTQSEVDVVKRWIDTLEIPNSQLYWSFVGRSETMS DQVFQKQDIRVDYPVLSPTSMVDLSSELTPLAPSPLLYFHPASITPPNPDMSKVLPLW FTSPCLLESFVCVPSKTTTIIASSVVRLLRAQSGFDAEGSGVAGMDEVRRIHSVGLVE LGLEMTRRSGLPQPTSLKEVLESWPSEFACKMLQVSMRPMANPGLLLGLAAAFVGLHD AMTSSKLLSTTSKAVLKQIVRRERDSLKICLDELKDDKIECAEFHRGHGIGRAEIESC LDQNIVMAEDFPSKMFS EPUS_04450 MPHSTVEHNTTIDGQRVDVASLETIDFARLTTKEPAEVEKLLRA SQMPGFFYLDLQNEPAKDILTDLRNVYAVTEKYFDEPSGTETKGSTSGQVSSYKPGEK GGTFEFTREKMIEGKLVLPPTLKSHAVLLDRFASLCYSVNKTMLSCLSDALKLDEASR FENHHRDDQPSDSALNIYSAPARGKRIDVPDNTHTDSGSLTLFFGDEWGIEMEHPETK DWAFIEPRPGCGLVNLGDFLQSVSGNKLHSCRHRIAQPVDGFQKRYYAVSYLRPQKTM EPUS_04451 MSKQSLADDLMFSVVLFGWIGDKIPRRHGIFLFGLSMLLVATTI LSFGRHFVVLVIGRLLQGFSAAVVWTSGLALLTDMFGQERYGEAVGYAQTSVSVGTTS APLLGGVVYARGGYGAVSAMSIGVVAFSLALALTMIEPKAVSESEEPAPDFPIAERNN QTAASQEISSLDRNTQSPTTLESALRLPDERSALIHKKHKESEFDSGPSYFLLLRSGR ILAAMAGIFTFAFVMLSFEGMIPLFVKQTFHWNSTRAALIFLSWIIPGFLGPVAGHAS DRFGSRWIAVGGLLFAAPPLICMQFVTKDSTSHQVLLCVLLTLVGFGFVWIMPSCVSD LTAAAADLKRENPHDFGDSGASSQAFGLFVFAYSCGSLVGPTIVGTVRAKVGWGAATM TLAAACAAACIPIVLKTSASSRRKMNSFNSV EPUS_04452 MLPPPQPKPFSIAIVGGGIGGLCLAVALVHHNVRVHVYEAAPAF SEIGAGVGLGPNARAARPGHLGRLRAVPDDEFRVARGAGKVFQFSLRDGEAEQRRSGR GGAASFDKRLVGIEDEGEEGVVLKFSDGTLAIHDAVVGCDGIKSQVRKLLLGEGNKAS EPVFSGHYCYRGLVPADKAIEILGEDLATNSQMYLGNHAHVLTTLIEQGKVVNVVGYR TKEDKRWNDSAWVKPTTKEEMLAEFDGWSKPVKSILGLIEKVDMWALFDHLPVATYHR KGKICLLGDSAHASTPHHGAGAGMAVEDALILSKLLASINSAGELESVFSAYDAVRRP RSQRLVASSRKVGDVYNFEDAAIGDNMEAVREYLQHAWEWIWNENLDRQLENAQLLLK MRLKQLRSPEAHSNGVNGGT EPUS_04453 MFPRNGPDPSARSWGHHDSGITFAAQHKLPKLPLPSLESSCQRY LEALKPLQAAEEQKASAAAVQNFLHGEGPILQAQLKEYDNSHANYFEHFSAGYESFLG DDSPVVLNSNAFCVFQDDPDPSRNGQITRAASLVCSAVAFSQAIREDRLPPDEIRGMP ICMNQYWWLFGVARVPGDDGGRIRLDPDARHIIVMCRGQIYRLGVLEEGSSQIIDIYD LEKSLQHIVNDAQNIPAADAFKNSVGLLTTENQKVWSRCRESLVHAGLKNGPNFAIID SSLFVLCLDDNSPETMPDVCKNMISGTNVVENGVQVGTCMNRWYDKLALIVCRNGAAG MNFEHTCTDGSADIRMACDIYEGSISHPEHTTNKNNAPSSDSTVTHQHDAFDTAAIPA RLQKLNWEIPSEIDAALHLAEAHLIDRIQRHQLETLDFREYGKGWIKSAGFPPDAFFQ MALHAAYHSAYSHVGNGFEPVQMRQYLHGRTDVIRPLTPEAAAFARIFSDKKASPSEK IEAMHRATDAHVALSKDCAKGLSHHRHLYVLQQLWKRRRAFLEANAVQPIPLAGADAY INGDSSSPNQLPTTTTTIFTDPGWARLGTTILMGSNVDNPCLGYAGFGPPADDGFTIC YFTRQDQMAMSVCCRNGQAKRLVDAIERTFRELKTLVESM EPUS_04454 MYYKPNRHVDVPSTDLLTWIFGNEACHDPDRPLYIDALDPTRRL TFSQARSAIRSMIKGLRSLGIKRGSCVCLHTFNIYYPLLFLAVIGAGGVFVGSNPGYK ELELTSLLETSKASLIITAPDLLPTVQNVTHQLQIPDSRVLVFAEACDTPNGFRSWNE LFQHGEDDWVRFDNEKTAKETPACLVTTSGTTGMPKMAVLSHHAWVALNCVIDDPNPK PYQIKRLIFLPMFHTFAAPLSHLAPLREGHTTYIMPRFSMSQFINAVERFDITEVLLV PPMIVSFVTSTSPRNFLKHIRFVWCGGAPLDGAIVKQMYRLLAPDACIAQVYGMTEAG WISTLKWPERDESGSVGRLLPNVEAKLINEHGVFVREPGKRGEICVRGPRLMSGYLGN PKASADSFIDGWLKTGDVGTVDKLGRIFIVDRQKDLIKVRGWQVAPAELEGVLRTHPH IIDAAVIGVKHNNSEAPKAFVVRDCQSLSEEAVKAYIATLLVGYKHLDGGVCFVDSIP KSPSGKILRKLL EPUS_04455 MGGSRYPIVGIQAGRGKNGEVPVKMEVDDWWASKDPMIRNQHTL LFTALTKLYEASPHDKLSFYQIAGIHNQPIVPWDDTPFENKNYCMHSQPNFPTWHRPY NLLLEQRLYEVMINDVIPQFPKSDQADLKNAADVWRFPYWDFATKKADASGVRNYNVP KLIREKEVEVRVPGGTARIPNPFYQFTMPDGLTLGDEKLGANAVTREPYTRTRGTSRH PYVEGAKEDEAYLNEEHARGIQNNDGVKEKLQEWKWEGGGSVTGSLRDWCYRVLMVTP FEVFADDKADPGESDTGRYSSLEDLHNVLHDWGGGKFGHMGAPAVGAFDPFFWLLHNN VDRLLELWIGLHPKEARDWVENDSDAVTPLIPFRKDNGENYHTAQSVWHAEDFGYTYP ETQRWRAKYQTDGKFDENKLATDLAQLMNKKYNSAAAAQRKAFLTTTRDAPSDKTITS GIAAALDQAPKISALIKGIGGLATSISAIPDGKIEEEILPDIIEAKDYVANVIYEKYA LDGHPFTIRFFIGKVPEGGFNAISQALTQVGEIYNFADPVEFDKPNCENCAKQARERV KITGQVPLTNALLTRYKQQIPHETDSGEKTVLQGMDPEHVVPFLKEHFHWRVNDNQGH MVDESRITGMKVSVAVGTAYHHSDFTKLSEFTAYKILYDITENNSSGTGAAPQDRLFS RDAIFRLASVLT EPUS_04456 MISSIASITLLLLAAQAQAQAYSKPRIQLPWGSYEATTSNTEAG YHVFQNVRFGKSPTGPGRFNLPSFPDPVRNPMQIRHEEGHTCFQVDTFNNHCEGAAQT SPKSSPAPLLGRSVSPVTEGEDCLFLDIYVPDGFKPGVPDIPVIVWFYGGGFMFGTKD QDAYWGLPDHLLYSGDGFMAAAKSINQKAIYVVGNYRLGAFGWLAGKTMEHHATQHNA VPNAGLYDQRLVLQFVQQYIHLLGGDKNSVSVWAESAGAGSVLHHLVADWSQTPGPSD PLFKRVFLQSPSYQWQWDNSADGVLEATYNNFTKLAECSDLTCLQQKPAEDLAKANRE LYDITKCTGKKYTVAAVDGKLIKELPIVTFSQGFANPYVDSLIVSHVQDESIGMAPDY VKDEAGFQQFLEITFPGPSMESARQDITSQYSGITDPYKRAAIAVRDFSFTCNCRQLL DVYNAISKPVYLMSYRFAEEFGIAVHTSDLIPLFWKPGVAFSEFSKEFALYDHVDAAE MRIIDAMFPAFAKAYQNYIISHAVSGNPNKYKVDSTKLDWPAAVFGDTISDVLSMNRK SWYLTTDDQDTAEICDFWAKLATEHTVNVAGQKADENRFVVQQAPVVDL EPUS_04457 MFESAMFKEPKLHQGDEFKDWSVNYDAANEPIAASIKGVVMEID FGTPNAAAVVIARQPCIPSAQP EPUS_04458 MFTVSQASHSIFISSAIVELIGFSPHNKTRHPQDIYTYPRPDPR TGRLHRARSALLTDPELVELRAAQRTFEGAYIRTSLSQFSFALVVLKIFTAEFYSIGA LFAVYGAGVLAVGGYRRREGNRQFFVVIKEERKRGPDDGVGVLGEQQEEHEGEVGTLQ TEKRFRTSGNVVVVLTILSVMAYATLLVLTLRLGDTE EPUS_04459 MSKPIDAAEVAKHNTKESCWVILYGSVWDVTDFLPSHPGGSNIV LKLAGKDATEEYDPIHPPGTLEESLSEEARLGPIDPKTLPEPVQSAKDVGPEPNSVAS VPMSSLLNLDEIEAAATKKLSRKGWAYYYSAADDLHSKHLNNSIYRSILLRPRIFMDC SKCDTSTTFLGHNLRIPIFVSPAAMARLAHPDGEWGIAQACKKFGAMQMISNNASMTP EQIVKNAPPDQIFGWQLYVQIDKRKSEDMLARINELDAIKFVCLTLDAPTPGKREEDE RAKNIVDKDNSSILKDAGGHELKGGGGIGQSLFFGMDPTLTWSKTLPWLAEHTHKPII LKGLQTHEDAYLASQHAPRVKGIILSNHGGRAADTAPPSVHTLLEIRKYCPEVFDKLD VVVDGGIKRGTDVVKALCLGAKAVGIGRAALFGLGAGGIEGVERVLEILRDETMTAMR LLGAEKVGELGLRHVNTRVAERDIYDGPGGMDGLQGAVKGLLIKAKL EPUS_04460 MDPRLGGKALQNLKMFKSLCGDEALSKVVLATTFWGNVNSSTGM VREKEFEKSEYWGKMIQKGSKVLRQDDGRASARLIIEYLVKKRTPASAGVALDIQIQM VDEGKSLDQTGAGQEMNAQILAMRKEYENKIATLRADLRVAIERKDKKWKAQIEDERR RTKTKLENAEKDRMKLQADNEELKKRISDRRRSFDRDFLDTERRMQGLAYELQIMRER NEAAEKQQELRYQVQAKQDKLEMLQWKIRQAQTCVLM EPUS_04461 MPFAEHRKIPTSLVPLEVSISSLKWEMNPGTSAGRQTFLIDTPG FDDTNRCDTEILKEIVAFLTKLCVQKIRVTGLVYVHRITDSRRPGSAVKISKCFKSSV AHSASRKWQGLGGTEAQAVSEEREADRTFRHFEQRVSAEELFQWFLSLRHKVVLNIQR ELVNDKLTLDQTEAGKLLQDTSARIKEMFEWEVRQLQIAIDDAHQEGDLQTENELLLQ RNDAETALEKVDQDSRDMRINLRQLEAEKGPEYIIGVEEMERERNLNTTPNVAALQTK LKEVQDEMHLLRQDCQQKEKELLLQVEAIRRQDSAKAQDKIIRFEAELSRVKARSREQ TDELEDLADRLEAESLFPNGASRVLDFMMRNFAPVSGNRHDLRLQYVDKGRMVMAPRR IRTGDSIQSIGRGGGDGRLRYGR EPUS_04462 MSTTAPSPGAVLHRVPASTTSSSVASSANISPSDTPKASGSSTS LSSLASEEVGTSSKLVDTLGNPFSIPDYTIGDIHKAIPKHCFERSGLRGLGYVARDIV SLGVTFYLTNKFVTPEYVPSTAARAGLWALYTFVQGLFATGLWVLAHECGHQSFSPSK TLNDTVGWICHSALLVPYFSWKISHGKHHKATGNMERDMVFVPKAKEVYASRYGYMLH ELSELAEETPIATAFHLFYQQLGGWPAYLITNVTGHNHHERQSEGRGKGKANGFGGGV NHFNMSSPLYESKDAKLILLSDLGVLLAGSIIFALSQKFGWTNMLVWYFLPYLWVNHW LVAITLLQHTDPTLPHYTPTAWTYTRGAAATIDREFGFIGRTLFHGIIETHVLHHYVS TIPFYHADEATEAIKAVMGQHYRSDTRGGSLGFVKSIWTTIRTCQWVEPNEGAQGEEA GVLFFRNRNGVGIPPAKTSATTQ EPUS_04463 MPPRKRQDGPIEVQKQPKQAATKFPVTKGKTIQSMHTEVAGKAE TSKIKTAPPDVHTKSEDSRKRSTEHHQSDGFGALLEPFYYDKSLTAPIDCAKDKWNLL PAFLKVKGLVKQHIDSFNHFVDVELQKIIDADPWIMSDVDPSFYIKYQKIYVGRPCRI DEAQYQDDKSFKDDSSVTPNECRLRDMTYAAPILVDFIYTKGRNVYKRKGLSIGRMPI MLRSSKCVLANRSEIEMCAMDECPLDPGGYFIVNGTEKVILVQEQLSKNRVIVEADTR KGLISASVTSSTHERKSKSYVVLKKDRLYVKHNILNEDVPIAVLLKAMGVTSDHEMLL LVAGAGSALQDDFAINFEETISLGIYTQQQALEYIGARIKITRKPTAFGMSRRNHVQE ALEAVGSVIIAHVPIENLNFRPKALYVAHMARRVLMAKHNPNLIDDRDYVGNKRLELA GQLLSLLFEDLFKKYNYDIKLNVDKVLRKNARTELFDAAPIMSGHANHITQGMNRAIA TGNWNLKRFRMDRAGVTHVLSRLSYIAALGMMTRVTSQFEKTRKISGPRALQPSSFGM LCPADTPEGESCGLVKNLALLTHITTDDEEGPVRQLVFMLGAEDVLTVGGGQLYQKGS YVIFTNGTPIAVTRHPKQFLLAFRRLRRSGRVSEFVSIFINHHHSAVYIATDEGRICR PLIVVENGHSKCTKRYLKSLRRGTMDFDDFLARGIVEYVDVNEENDSNIAMYESDINS TTTHLEIEPFTILGAVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIANNQFHRIDTLLY LMVYPQKPLVKTRTIELIKYDKLPAGQNAVVAVMSYSGYDIEDALVLNKASVDRGFGR CQVLRKYMTQLKIYANNSKDMIQGVERQNDAPIKKHAALDEDGIVHVGDKLSVGEVWV NKAVPENPNANRLENGSDPGSHLFVPQPQLYRLPDPSYVDKVMISETENGNQLIKCQT RQTRAPEVGDKFSSRHGQKGVVGIIAEQADMPFTDEGIVPDVIMNPHGFPSRMTVGKM LELVSGKAGVLNGNFEYGTAFGGSRLEDMSAALVDHGFNYSGKDYMTSGITGEPMPAY VFTGPIYYQKLKHMVQDKMHSRARGPRAILTRQPTEGRSRDGGLRLGEMERDCLIAYG ASQLLLERLMLSSDKHEVDICEECGFMGYSGWCQRCKSSGAIVRMVIPYAAKLLVQEL FSMNIMTRLRLEDEFPAAKSGMR EPUS_04464 MAFTNGLSERMGELRFPNPKSPNDEVPFSGYASPPRGQNSFFSP FQPSTSTSSDVRGSLQRRFTTDSSKMSSLSSLGGQNSQMVKPHFTASFDKKRQQIEQV REQKRKFEAQMKLLDLQEQRLQQSNNTSDLDQLSRGFSGIKLTGGPVSEPTTPPDYSD SGFPSSFSRPNRFSINSITSPPGLNNRFSQSSSQLASPSGMASARNLPQKPPAKSMPG SRRNSEDEGYLPEELLGFRSTAASRYSMPVNGTKSQQRSSIPTNSGLGPLNTTSFLFD DNDDQHMSAGSQGALVSPNATSFLQMTPNDDNFPTLRSDRTSGILSANSAALDLANSR SPDPESWATFTRPRPNHASMPQTGLNIFRPALNSPANEQTLDLGMSQAASTSQKPQRH SSGMTFGAGESGRYDYAGMNSTTPSAGRPMSLQSSYSTNDVPTLRNSSAITTNVTPSK AYADQQLYNHNANMGRIPTGVTSKRQSRDLPFITSNADTKKEDKGQNMQSQLQASAAP FGLQTTSTAGSNAMSTSVVPYGATAGASTYGYGMQTYDLTSTPSANQLQTVPGGYNGY PTYSGYGRLQDNQTRVVHQRRPQGGSDAARYDNMPLENFRGRLYELCKDQHGCRYLQK KLEEKNLANTMMIFMETCPYVVELMTDPFGNYLCQKLLEYSEDDQRTALIGQAAPAMV TIALNQHGTRALQKMVEYVSTTEQIEIIVFALKDRVVELVQDLNGNHVIQKCLNKLGP ENSQFIFDAVGRHCVIVGTHRHGCCVLQRCIDHAKGEQRSRLISAITSNAFSLVQDPF GNYVVQYILDLDEPMFTKPLCLSFAGNIPSLSKQKFSSNVIEKCLRTADVDSKRMMIE EMLHANEIERMLRDSFANYVVQTAMDYADPETKMRMIDTIRPILPAIKQTPHGRRIAS KIMGADGQGRLTGTSSGQMTPNEPSAATSFARAKSNTNDAVGRRQFQVYGQHGLFQGN NNTSRFMNQGFPDGMENNFVAGSYAGLNGMDGMNGVFGDSARAGETPYTSYGSGQPRP GYI EPUS_04465 MGIALPSEILSSLEVTCPCRSAQLRQLTALYSDLYPSPRNLVVY GLQGTGRLHTVCAVLSARKINHAVVRSRECLSLRHLLSKIHTACIDAVYHGGDKGAED AYERRTESVNALCVSLQRLLQGRDDKLVVVLEGIDHQRGLSPNTLPALARLTEIVPKL CLIFVVTTPRPLHLQKAGIPYLHFPPYARAEAISLVVRSPPPLASQAYLEANQDHPTL QKWYALFATTVYESLVAPTSLYQSHFEQTCSTLWPRFIWPYLSGERPPGKGKNAQWDF PKLLVRQRSLFQAAGEETLAARLLPNVNVTTFDALQRKQEESKNNALNIPSSTALPRP NPLPTIQSPPSNAPLLALFPTILLSAAYLASHTPPKLDILLFSRLSSSSRSARVKKSY HRRKLFQSPSKHKSGVEGPGTAGEGASGAGTPKKRARSGAGRAGLEMNLNLARPFTLE RLVALFRAIHPRGVHGKRSVTDRVGREIAELERLRLIVPAAEGGAGAGSGGGVGTRAL VGDEAGAEEKRWRVNVPRAFVEELAAHYESEVQGVGGLVREFELLDA EPUS_04466 MRPLQRLRVPIRRVDALPASRRTFATAVDAPANGRERVVILGSG WAGYVLSKRLDTRKFAPLVVSPRSYFVFTPLLNDAAVGTLEFRTALEPVRRKNSGVSF LQGWADDVDFAEKTVTVEPSALDPDVGHALTGERQGNRSIQPEGRTVPTFKVGYDRLI IAVGCYSQTFGTKGVRENAMFLKDVGDARKIRRRILELFEMAVLPTTSEKVKQDLLHF AIVGGGPTGMEFAAQLWDLVHQDLWKLYPGLTDYVRISLYDVAPKVLPMFDASLADYA VRNYKRQGIEIKTSHHVEELRQGFPNQVTDHPEDRMKGGVYTLRTREEGDIGVGMCVW STGNMMNPFVRKALNTVHKYPSKSAEITTGETKLADQKQWIIERDAKSGSILVDDRLR VQIQTQYKDREAFMKDVYCLGDVARLASGPLPATAQVANQQALWLAKRLNKGDLDTQT FGFHNLGVMTYIGNAKALFQGGYTNREGKAKGLKGWLAYLLWRGAYLTMTLSWRNKIL VPMYWMVNRVFGRDITRF EPUS_04467 MNRSELAALFAANPPVPASSSPVMCQDHMQSPAASSPNSPRLEF QSRVRKLQLSPRPSLRKHRHTHSEPEHVSTLRLTHKRSRSAQPASEDTPNFLAEEREA WGRRSPEAPPLQVPFNDAGTIFPSRPKLQPFPSPPPRNPARPMLQPRKQSRERAPRQI FAPLNTTSDVNERPKTSRGPRKLEMLEEENEEDTTEGETEDEHKHDVDEDNNDVPRLS TSTKPTSRTSKFIEGSMNERSSGIASSWFREALSESDKPLPPTPAVKHVTFSCTPVRE ALDETREPVSELPTTTKRKERRGLRRSISNFNFQALSGKMKLFSSSGHEVAPAEQGEK KRPAQKSDATDLNTLNERKRKADEAYAAQFGSKRQRFSGPPSSISTNAQSLQGQIRNI DQTSDVRTSTTTFNATSNANPDLRKKKSRRELERENAELRARLDAQQNFRDIQPQRHI TEVDIPPVPKVLGRGVLTVLENMQPNSDWREAGSLGGKRNWSAVRSNGDGEGLNDDAG PKVAPSLLAMGYARSPDANTAAKGRKSFEWPEDVF EPUS_04468 MSSALPPPKDLSHHFSRNAKNRQPSSIKDFYKYFTIPGIGNLAG GLPNNHYFPYDTLEASVALPDRWKPTPNDPIGPPNDSLKKLKLSEDVSASRILVPHQS SASNILRKIDLDSALQYGTAQGYPPLYSFVRQFTRENLHPNVPYKDGVEVVLTNGSTD GFSKSMEAFSNIWDVEKDSIREREGILCEEFAYMNAIQAARPRGLNVVPVAVDDEGML ATGKDGLADVLENWDKDTGKRPHLMYTVTMGQNPTSGLLSLARRKEIYALCQKYDIII IEDDPYWYLQYPSANVMSLRARGTRVSENHPTNTQPQNYNAGQPKPTSGYAFLDSLVP SYLHVDTDGRVVRLDTFSKTVAPGCRLGWITAQPLFIERLLRITETSTQQPSGFVQSL IAELVIGPLKPSDGGRGGGKDGTGWNMDGWVRWLEGLRGNYERRMQKMSSILEDGKYT IQTSIRQRSNQDGAFDLQDEEFQIVSKVQMYDFTYPMAGMFLWMHIQFQTHPLFSKVD HQRLSKALWVYLTTEPYRVLVAPGLIFSPTEQLREEKAWQYFRICFAAVDDDVLEKHT LATVEAFKDFWTKKESDIDHILNDEDVSIREAMGSQVGQFWGPC EPUS_04469 MTPEYRDDENESTRNISSLTDQDTDLESRDHTLHIRNASDTTDN GLPIPIWMRESAQSFKYKWVPLPLRKAGRATAEWIKGPKPSRELRIKPLYPQIQEAPL RLMDRYLPKQRHRICLLIASYAVWLLIWSLMLKHNSASAYIEGYGKPSNIWCGASFWD NGNGCGLNGNRCRPFSSAHLAFRCPSNCRAVQLLEPYLVGNQSVVYQGLVIGGPNSND PDSMPVYRGDSFICQAAIHAGVIANGNGGCGVATLTGTHHNFQPSNANGIQSIGFPAS FPRTYTFQTLSSAQSSCPTDSRWPLFAITATFLILLWLVTTSPATLFFSTFFILSLHV GFVSDPPNTSNFRELLSILASRLLPASFVAWILYRYCARPTLTNLTAQIEKTVLYLGF CLIGALNNYTFAPLIPIQRLTPHDIKAQPGAPLALAVIVTSILAIVITQIHYIRISGQ MPRYLKLYITFLAGLLILLLLPGMRLRIHHYILALLFMPGTAMQTRPALIYQGLLLGL GENISFSWGGLPRDKGVDGVSILVDDVERWRGYVDAELNEKEEGITLQRGRGSEGEPE FYRFAWMNGNSAGRYGGVGIWDQNGIWIPPRSWELGAKR EPUS_04470 MQFTTSFFLALIGSYVSAAPAAPSCFVPQIYFTSLPEAFTLSVL VPGSSTSANEASWPLQLSPRNPSESVTSIPIISRTKIASPTFRLVNQTLVTADGGFPA ILSPGIAIFPPPPQGFEFGGPGETEAQFGAIYSCDNRGQQYIKLVPESAQGFMLNSVA EGQRVYIKPQQFEGMADWVFVAQIGNAVDVNLRINGGQ EPUS_04471 MDPSTLAVTPALAPPPGVRPDFVNSYSLLPWITATASVCMVLTA LVLALRMLTKTVVIRSVDWTDYNALLGFAFYTSFVGCALAINSQRRGRHQWDMSMLTL LETLEVGVLPCAIIATDAAYHSQLENIASIMYCIGIMFAKLSILLLYMKLFVPIRRGG VFWANQVLIYVNGLFYIGAVVALICQCIPRAKISQPRLPGRCTNVYLSFMISGVFNVL SDFFILIFPLWAIWHLQMPLKRKFGVSIVFATGVFALLSSIMRLYYTSQLSYNHDQTF IIAKVALWTTAEVATVLLCGSFPILPRLTQFIKESKKRPRPMTKASNLSCEHHLDGSC CAGTLGSEKSLGTASSEDEHARAVDTSRTLV EPUS_04472 MFPPPQGISLNIEALSGICGSISIACWVVVYSPQIIENFRRSSA DGLSIVFLVVWSLGDLSNILGAVLQGVLPTMIILALYYALADIVLLGQVFYYRGLTLS DRVEEPEEESPEEQPLLARSDSGIIGSHASRYDERARRGSLSGVARHLINVDGTHLSP ATPLLNPPKVDDLPAVNNVRQTTTLQAFYFNTFSILLVCGAGVLGWWIGQRSSRTRHT EDDSPSFPQFDILGQIFGWLCAALYLGSRIPQLLLNYRRKNTEGVSLLFFLFACLGNL TYVMSIFAYSPICRHSGDCKRGERAQIYIRYILVNASWIVGSAGTLLLDMGIFWQFFL YKKDDG EPUS_04473 MKKVLTTALYGFTTMGAAFASSVYAPGIRRISEEFHVGREVSIL GLALLLAGFALGSLLWAPLSEFMDGKWLCFWAGFFGSAPVTNTGGVYEDMLSAEQRGL AIVGYAMAVVGELIWRPIVGGAIVQHTTWRWTECVTGTLMLLLLTLDTIFLDESYPPV LLVRKASRLRHETGNCALHAQHEEWAAGSSIKAMAHKVLVRPIQVLFTPIGFCFALYI SFVYGIV EPUS_04474 MLLLLLPLLPLLATIPHLCSAASLIISIPPSTQLPNPNTLPPST HATLLSGDPQVSPISTPLTTRSTIEFHNLSLPASPASSAQSYLLTISALSHVFACYRV DIIPGGSVDGGAVIEGIWKTYPGSAWSDRGPILGGKAAQGGGASGRVVNSAGSGSSSE GPAPEQQPGQEQTVRIDAKVLSRREFYEQRAGFNPLGLLMNPMVLLGVVAMGITFGMP YLMDNIDPDLKAEFQEQQKNSPLAGMHSALQAAASGGGGSTAGGVGGGGPASNFDLAS WMAGAGKSAQGQGGVSSSGVDLREGAGARKR EPUS_04475 MAATALVGRLSLNSPHIPHISQSLPAFIYGTAWKKDATADLVYQ ALNAGIRAIDTANQPKHYQEQLVGSGIRKALQEGMVKRADIYVQTKFTSVSGQDPDDL PYDPKDPVSKQVHDSVESSLQHLRTSGDRFDDVEGSYIDTVVLHSPMPTIAETMEVWE TLERFVPGKIRNLGVSNCNLFTLMDIFERATIKPAVVQNRFYPQTKFDIGLRKFCLEK AIIYQSFWTLTANPKLVWSAEVGVLANQLGISTQAALYCLVFGLGNIVVLNGTKRHER MRADLEAVELVKAFAIRSPEAWERTLQSFKKLLEDPVT EPUS_04476 MASQSLSRKRPAPGTSPIPYQDAYAPPLPGLEEYAGLDPHVSDD QFLQFGYQSQADKPSTPYSEVATPQSQSYSSDIAPGSNQLARRPANPVGPRDQGHRDS GDLQWAEQAESQRVEGAWGDDLEDLKRRAQVAKREAQSKRKQIPPFVQKLASFLDDEK NTNLIRWSDDGNSFIVEDEDEFAKKLIPELFKHNNYTSFVRQLNMYGFHKKVGLSDNS MRASEKKAKSPSEYSNPYFRRGYPDLLWLIQKPRNTGQGAKGKLVPRRPDLDQNEDEI EEIVEEIQQQHPQQPQPSKPKQPGRLAIGQGDATLPSEQLVSVHRELQAIRTQQQQIA AMLHRLKREHEQLYGQAANFQDQHSRHENSINAILTFLATVYNRSLQGHEGVQGIANL FTGVIPQETNQSHVVDVGDYTFDQLNGTDGSIQRPFKRQPLLLKAAPTEAGRATTATP SSGGTPHEGRQTSRTPQAARNSVHHHGNAKGYFDMQNQQSQPQSANQSDILSVIQNSN AKNSTPGTPAPDFSNVLNSLENAGGASPLTNTQRADMLRLINNQGSASNAGDNALTSP NPPPMPNNYDRRLAATKSDLDNLAKMQADQDRSVQNLTNLLQPLSPTGSIPGLGDGAD VQPPPLDIDDFLSSSANYFPDFPPDPSFEFTNADINTSATNTNGINFADLNDGDDELF GDVGSTGNGTNHGLPQNSNNGYNYLNVDGAADDGGGGRVESMASSEGASPTNTTATTD GDGQTPRSCANAAAESQVQRGNSPPRKRKRVG EPUS_04477 MSSHYTTEPPPTASVLLHTTAGPIQISLFATQTPLASRNFLQHI LDGYYTSTTFHRVVPGFVIQGGDPTGTGEGGESIYEDREFEIDARTGEKVVFGDEVHS RLKLNRRGLVGMAKMGESTYGSQFFITLADVRAQLDGKCTMFGRVEGEGIYNIVRIAE AENVEGIERPMYPFRITGAEVLEMPKGEPWASMKKRERVAKRTAPQDEEMEGKAQKKA KTKKKGKTLLSFGDEEGDTDTGPAIQPKAKFNTRLINGTASKQDGAANGQREPDPEKF QSETATKPSSNQPQDSYSKKTSSEITKLTRHRSSPNSSPHSPSKRSSKSPSTQRRKPS FHDPTTQLPLKDPESPSRSPTPSPGPPSKTPTTTLNAEIAALKASMRRNVPTASEKPR KKSALEQLIPEKSIRGRKRPRPGDSGGSTLGSNNDRDALKMLNAFKARLEGIGRNEME VKRSSKAKDEGKRTSLNGNGVLSQQSADATMKEGKEDDDHGEEEAALCDLHFIANCLS CSHWDRNPSSDLANHSDQQHPHSSASADEEDADPTGDTDWMSHALSFEKDRLGKDLTW KRKNEEELVVIDPREKEKDLAASGKLNRRSGKREREWGGRGK EPUS_04478 MSAPDKYNLYIMSRQHSSGYEQWGLATTPPNDDHCTFYYSDLNT TDTRSNHTRPPRVLENQLLNDDKVVYKKLLSTITLPHMYGRTGFIAVAVGVRGYDNMA YVLRALFELEQAWLVPPETWAEWKDKLTVASWPARPELRKPSSPKASSGSSHGCSSTA RSTYHRTRGGSRAPAASRQDRS EPUS_04479 MNPAKDNMLGLWLLQHKARDGQLRWMLALMNWSDGSSTFYYADN HHGETRQLQTIRVHSFHNEPSRDPNKLFIAAIEPDQLFGEQGFYRSLALASGSEEKLY IIGSIRNMETFGIVPVGKSRDCRTFMNPESSSSSLGGSSPAMSTDSGTSGSSPAKRAQ NADLARNRSTASSRAGPSSGPSGAAEGSLLRGRRRRS EPUS_04480 MFDSSSPLRIGYVPEHYLLPLHLSTFPFPVQLVPFPSGTGHMIT SFRSDSIDLAIGLTEGWVAGLLTPQGQRERGYKIVGRWVQNPLRWAIVTGRNREDIGG LADLQTHRKVGVSRLGSGSHIMASVLAQQEGWSSSSGQSKTLDIVPLGPLSDLIAGVT GKECADPTADFFMWEHFTTKPHFHPKGSPLKKIGEIYTPWPSWHIAASEKRFPRPESD EMLAKVFEVLDRGIKRFNSDAEVGVRMLGTGELGCYYSEVDAREWLTKAKFVDETRGV DRQVLAEVVKVLQGAGVVDTDTSITNGDGVIGIEKT EPUS_04481 MAAQFSTCARLDDTFGPHAANCRGDFDFTLQFEETILSILPLAI ILIIAPLRIWYLFKKDKKVVQSPVLSLKLISFIAFGAFQLALLVLWVRPSAIRTRTTL ATNIVSVVGSLLFCLLSYEEHVKSVQPSLLLNGYLFATLLFDIARARTLWLRQYNHYN EVIAIVFTASVALKAVILLLEAVEKRRILKPRYKAYPPEAISGIYNKSFFWWLNPLFR RGFSNLLFIEDLYTLEKHLAAEYLHERLQRTWDRVTKKGPNSLLGVAFVTLKWPILAV IIPRACLTGLSFCQPFLINRAIILSTEPVTDRTTNVGYGLIGAYILVYTGIAISTGQY QHLTYRAITMARGGLISMLYRKATDLSMKDVDPAVSMTLMSADIERIVQGWQSMHEIW ANAVEVAIAIFLLERQLGVACVIPIAISILSMFGSIIAMNFIVSRQAMWLQAIEKRIS ATSAMIGAMKGIKMSGLKQTLFDNLQNLRVQELNISKKFRKLLIWNMAFAYVTQIFAP IISFALFSVLARNNGSSTTLDTARVFTSLSLFALLAEPLASLIMALVAFMGSVGCFTR IQEFLDKEVRIDSRKKPLDLLHDDLSDGSQPQSIAQKISTGPVPDKHLSLSSKRASNS FPRDDAITVQDGYFGWDPKMEPLLKGITMNVPRQKFTILIGPVGCGKSTLLKALLGEV PSMGGSIQVSSTRVAYCDQTPWHMNGTIQQSIVAVSPFDENWYTTVIRACALHQDLKQ LPRGDQTIIGSKGIALSGGQSQRIALARAIYAQKEIVILDDALSGLDASTENHIFHNL LGPDGLLRRLQSTVLVASSSAKRVPYSDHIIALDPAGMIAEQGKFDDLNSTGGYVSTF TLPKPDWDYNPEEDLKASTQTYAYCPPNPQQHTDSLEAEASRRTGDASIYLYYVRSIG WWPTIIFILAITAFIFCLSFPSEYSPLNQPGYDSKPSNVRISDVWVKWWATANMRDPN GRLDYWLGIYGLLGALALVTLIMGCWQLIITMVPKSGEAFHHTLLNAVLSAPMSLFSK TDSGVIVNRFSQDLQLIDMELPIAALNTFATFVLCIAQMVLIGVASKYAAIAFPIVIA VLYVIQKYYLRTSRQLRFMDIESKAPLYSQFTEILSGLATVRAFGWQSALEKKNRELL DRSQRPFYLLYAIQRWLTLVLDLIVATIAVLLIVLVVKLRGTLSAGYVGIALLNVIMF SQSIKLLVTFWTLLETHIGAIARIKIFQEDSVPEDLSTENGITPPSWPSKGAIDFSQV SAAYKPTELVLKEVSLSINAGEKIGICGRTGSGKSSLILTLFRMIEMTSGRITIDGID ISTIPRQDIRSKIVGVSQDAFLLKGSVRLNADPYQTQPDEAIYSALKSVHLLSIVDEK GGLDADIEGLFLSHGQKQLFCLARAMLRSSTILILDEATSNVDGKTDEIMQRVIREKF SSHTIIAVAHKLDTILDFDKVAMLDGGRLIEFDDPYTLLNRGSAFSKLYNTTMAEQHT EEVEVNFADFMTSRNSVSSSRTLRSSHPGNEKS EPUS_04482 MGSSLKAPQIDASNYWASYLAGSEPCQFPRLGTSVDGPKRPMSL RVHSEHVQRLQQLSASDGAALSSLLRVAWGLLLRCYTGLDDVCFGYQETRLGSVGDGH PRISGPLNGMPVARLTVDDMVSVVDTLEKAKGEYISGIPYQSYVPSGTTEDSPFYGRQ LFDTAVVLRSPPNTAASNKTVVTSQPLNVILPKEYKIRLLIKCFNGNLTMFLEWWSCD MPMEQAKNVASTFDTILSTILLRPETAIGKLDFFSERNKQQVLQWNSKPLEKVEGCVH EVIQEQALSRPDSEAVCSWDGSFTYKELDEVASRLAGRLVELGVGPEVRVPLCFEKSK WTVVAMLSVMKAGGAFVPLDLSHPIPRLQALTRSVDARLLLCSRPHVGLLATVSETVL PIADELVNQLPIRQSKQIGQVKSNNAAYVIFTSGSTGEPKGTVIEHGAYCSGAKAHAP GLRIDETCRVLQFAAHTFDASLVEILTSLMHGACVCIPSEDARLNNIVGAINEMRVNH AVLTPSFIGFMEPAAVPNLKTLVLAGEAMSQAHVMAWSKINLVNGYGPTEACVSAVTN SRVTLETDCKDIGFPAGVHCWVVDPSDHNRLVPVGCIGELLLEGPTLARCYLNNPEKT SDAFIFNPPWSHDEAGVTSDRRFYKTGDLVRYTSEQGSLSYVGRKDTQVKFHGQRIEL SEIEHHLNSDPSTKHGLVLLPKTGHYKQRLVAILSLSDTMSPIAVQNETALKTIDGPV KDSCIAGIREGMSSRLPSYMVPSTWLCVEAVPMLTSGKLDRKSVMRWLENMRSDLGQD LVSEGESTQPATETENQLRVIWSHVLNLPLTKIGLDHSFLSLGGDSITAMTCMSQAKK KGIGLTVQDILRSKSLQQLATCTKPVNNHIDHHEALDTPFELSPIQQLHFQVRAEGKG HFNQSFLLRLTRETLEKDLQHAIETLVARHSMLRARFSQNMANGRWKQRVTRGVASSY RFRAHRIDTKEQLKPVIADSQACLDAIHGPLLAVDLFDINGQEQLVSLIGSHLVIDLV SYRVILEDLEEILLDPTAATSATGRSLPFQSWCRLQTEQCQSLSTKKVLPIENVPGAN LAYWGMEGKSNTYGDVACEGFEVDAVLTSTILTASHDSLRTEVIDILLSALLHSFGQI FTDRCVPTVYNEGHGREPFDSTIDITRTVGWFTVVYPVFVPISASDELVETVKRIKDL RRRIPDNGRPYFACRFLSSHGREQFAHHYPMEINFNYLGQYQQLERDGALLQPFEAMA GEAHVGGGTADFAYNTPRFGLFEISAVIAQGKLRFSFTFNRYMRHQDKIRKWISHCQQ TLSEAANQLANMKPQATLSDFPLLSLDYGSLRTMERERLSQIGVSSFTAIEDAYPCSP MQQGLLLSRTKDASYYAVHGTYEVEARMQGNVDVERLADAWRKVVSRHAALRTVFVES LSSEGLYDQVVLKSVSIDTTCLRCDAERDVLTTLDKQTPMAYDDGRLPHRFSICQTST GRVFYRLELSHTIMDGASISIIHRELGLAYAGQMPDIQACPYSSFISYLQNQPHEAAI NYWKSYLANLEPCHFPVLNDGVTVQKQLRSLKLHFGELAQLQSFCDSNGLTLSNAFHT AWSLTVRYYSGSEDACFGYLTSGRDAPIPGVEEAVGPFINMLVCRINMLGSTPLGQIL CQVQQDYMDSLPYRHTSLAEVQHELKLSGTALFNTCLSYRKLPSFESTEDIPITFSEH APIHDPTEYPVSINVEVSDREAAIDLDYWTDALSEGQAANVSSTFIQALRNIIYHSEL SIRDLDPLSDLSKDQIWAWNRVLPENINDCVHQVIERQVKARPEAEAICAWDGKFNYA QLDELSGRLATYLMSLGIGPETFVPICFDKSAWTIIAMLAVLKAGGGCVPLEATHPKT ALETRVVSAEAQVVLASPERAQIFEDIVPYVISVSPSLLDQLPKKDSGSCMTVQPSNP SFVIFTSGSTGQPKGVVLEHRAMVTSAEAHGSALGVGPQTRFLQFAAYTFDNSLEEMF TTLMRGGCVCVPSDHDRFNNLAGAINELNANFMDLTPTVATFLQPSDVPTIKEIALGG EALTKKAVEIWGKSIPVHNQYGPSECSINSTHNGNAGTLEDVSNIGRSVGSISWVVDA SDHNRLVPVGCIGELLIEGPILARGYLNDKEKTAKAFIENPTWVFDDPNGSSHSPRRM YKTGDLVRYNSDGSLCYFGRKDTQIKLNGQRIELGEVEHHVKINLPDKTQSAVELITR SHNGISIKALAAFLYLPSDNSVPTAGQEVSVLPMSPELQSTVKTMEAAISDSVPSYMV PSMFIPVSRMPLVSSGKLDRRTLRTMAESLSEEQAATFRLGGKSGRAPSTKLEKELQQ LFAAVLTVDANSIGADDSFFRHGGDSIGAMRLVTAAHSRGIHLTVASIFQTPKLSDLA DRASIVARPSPVTLPDLRPYSLLSNATSVDDLRDEVASLCQVVVGSVQDIYPCTPVQE GLIALSNKQPGAYVAQSTYRLPIDIDIARFRKAWQEVADTEVVLRTRIVFTKALGFLQ VVIRDPITWDSAGSVEEVDRHLPPHDGGILCRYTIVGEGTPAPHFVWTAHHALYDGWS LPILLSRVEARYRNPHLPIQESVSYYPRFIEYLCGVNRAESNEFWQSRLADSTSPQFP QLPHPAYQVQATSHLTHTAHLSKPAGIELTIPSIVRSAWALVVGIYSGSDDVTFGEIL TGRDAPVAGIEDMIGPTLATVPTRARIDRELSVGKFLADIQQQFSAAMPYQFAGLQYI KRLSTEAGIACEFQNLLAIVHANDESDNNFWNMVSSGTIGTNFYNYPLTISCTVSNGK VDIDAHYDQKVIPTWQVSRLLHQFETCLSRLVSTEHSQEKIGEMELLSSQDQATITMW NEEQLEYVDKCIHDVIQEQTISQPDAMAVCSWDATFTFQQLDRLASSLAHHLADMGIG TCPAAFVPLCFEKSAFTIIAMLAVLKAGAAFVPIDPAHPITRLQEIVSDLGASLVLCS PRYQDICEAIAAQTLSIDEETLKQLPVHQDGPPSYEANSAAYVIFTSGTTGKPKGTLV EHSAFCSGAVAHGSAMSIKPTSRVLQFASYTFDACLFEILTTLMVGGCVCVPNEFDRM NNITTVINQMKVDVALLTPSFAQLIQPSDVPRLRTLILGGESMSKSQLSVWAGKVSLV NAYGPSECSVIAAVNPNMSPFTHPANIGRRLDRCWIVDARNHHRLAPIGSVGELLVEG PTLARGYLNNQEKTAEAFVKNLKWSLSENYQYPGTVSGRRMYKTGDLVKVCSDVTGEM IFLGRRDTQAKVNGQRLELDEVEHYLNADSAVQHVLVALPKSGCCAKHLVAALSLKEL ATNISTDGLEIVSWDVASQFLLNVQERLRDHLPAYMIPSKWVVLRQLPLLPSGKLDRR QIVSFVEEMNDEAHAKISVAKDTAKNPHASTIERRLQAIWVHVLNLTPDLVGLSQSFL HLGGDSISAMQVMARCRSEDMGVTVQDIIRSKSISDLASRVRLPEQVTYEGEDAHEFD LSPIQQLYFSCVGSNWAQFNQSVLLRSSRKISSEEVTSAFNAIVKAHSMLRARFRKDG MGNWRQRITTDISGSYRFRVRNVSTTARMKSFMEDSQKSLDILKGPVVAVDLFNYGSS DTQLFIAAHHLIVDLVSWRIILEDLEDLINLGTSKAQGSLPFQAWCRLQTENAQQQNA KQVLPCKGAPVADLDYWGMMDKPNVYGDVVSEEIELDRETTTMLLATCHQSLQTDSVD VLLAAILQSFRHTFPGRETPPAIYNEGHGREPWDTKLDLSRTVGWFTTLCPVYLPREA SMDNNLVTAIKWVKDFRRRSPEKGRSYFAYRLLTSEGRDHFGNHWPMEVAFNYLGQMQ QLERTDSLLRLVDGGQSVNSLSDIGKGVPRFALIEISAAVAEGRMKLSFSYNKQMKHQ SKIAAWMAQCQDLLRQAPNNLTQHKVERTLSDFPLLPLTYHGLGKLVERLPEIGVSSL EEVEDIYPCSPMQRGILLSQMKDPQKYAYQSVFEVQSSQKGRLVDLDRLERAWRGVVQ RHTTLRTVFVDSVGQEGLVDQVVIRQAEARTARLECSDADVQSVLADLRPLNLADKQP PHRLAFCETSTGRIFCKLEISHVISDGSSMPILLRDLAEAYAGNVEVVGKTRSRYSDY IAYLQSIPREEGLNYWKEYLADVEPCLFPALVDGATEPSRILGSHIFNLEQASDLHAF CESSGVTLSSMLQLVWALVVRCYTGSDEVCFGYLASGRDMPVEGIEDAVGAFINMLIC RINLESTLELGEALDKVQMDFFSSMKHQSCSLAEVQHELNLSGTSLFNTAFTYQKRSN TQQKSEPALLYDIVEAHDPSEYSIAVNVEATDSSVEIHFSYWTNTLSHAQAKNIASTF EQVLKSLVQNEQGERTVGDLDFFSEHSCMQVCGWNKNLPPKVDKCAHELIERQAFLRP LSTPAVCGWDANFTYTELEAITTRVARHLAGLGVGPETYVPLCFEKSAWAIVAQLGVL KAGGAFVPLDPSHPESRLKHLVDDVGAKFVLCSPKYKEKASKVAKMIFIVDKKTISQL SNLPVTPPSSSARPSDPAYVIFTSGTTGLPKGTVIEHASLSTSALANSEALFMRSNSR VLQFASYTFDASIMEILWTLLVGGCVCVPSDEDKMNDLPGVIRKMGVTWACLTPSVAS TLNPKTVSSLKVIASGGEAMPVGYIERWADTVAIVNAYGPTECSVVATTGIKSDERGN KVNEDRASIGTAKGGRSWIIDSRNFNRLVPVGAVGELVIEGTVVARGYLNNEKKTAEV FVGNPEWAKRPGLSSVLYSQERMYRTGDLVRYNLDGTICYLSRKDTQIKLNGQRIELG EIEYQCEKSLLDGAQSAVDLVVPTSQVATKALVVFFSLPTDDDERGLIRRETAATDEL LLQMDDSIRHLAKSLEVSLAQVLPTYMIPQLFVPVSKMPWLSSGKLDRKRLRESLQSL SQEATAPYRLATPGTKRSPRTDMEKKLQKLWEKVLKLAPSSVQAEDNFFRLGGDSLAA MSLVEEARSQGIALKVTNIFKLPKLSDVAKTCESLQGDSVEESGPFSLLQSSEPVDQI IQELADQCRVDQGLVQDLYPSSSLQESLITLTVKQPGAYVAQNVFRLSPGVDIDRFKV AWQKTVDDLDTLRTRIVHTASSAFLQLVIKEERIVWHTGDDLQALSNETQHIPPHNGG PLTRYTIIHDNRSTDRYFVWAIHHALYDGWSLPLVLNRVESAYLGEVQSQPKSSYAKF IQYLLKGDVKASDQFWRSHLAGVSSMHFPQVPHTVAAQAPRTRTLSHRANISRKDISP DITVATLIRAAWTTLLAAYSGSEDIVFGETLSGRNVNVPDIVNIVGPTLTTVPTRIRM DRSSTVIQFLRGVHQMAADIIPHQHLGLQHIRRLDEDTAIACDFQNLLVIQTAEGGTS EGLWELQSGGSADNFFTYPLVVECWAAHDEIKVMIYYNENVMLSWQVQRLLYQFEAVL KQLSAASKSNSTELSQVNVFSPEDQETVQKWNHMKPQLVDEYIHELFKQKALSQPLSQ AVCAWDGDLTYQEVYDHASRLALYLVTLGVGPEIFVPICMDKSAWTVVAILGILIAGG TFCPLDPAHPISRHQEILEELNTITILCSPAYTGRYSGIIEKRVSIDKNMILGLSPLY LPNQIPLGASTTDAAYVIFTSGSTGRAKGVVIEHRAFCSSSAAFGKAMLMKPDSRVFQ FASLTFDAAVMEILTTLTFGGCICIPLEEERLRDPAGVMHKMGVTWTCLTPSVANLIE PSTVPSLEVLACGGEAMPTEAVTKWAKHVTLLNAYGPAEASVVAVVNARISVDKDPSC IGYGTAATRAWIVDAAHHDRLAPVGAVGELALDGPTLARQYLKNPEKTREVFVDNPAW ASNFKSGAKRIYRTGDLVRYTPSGALDFIGRKDNQVKLNGQRMELGEIENRLDADSRI RHALVVMPKTGPCKKHLVAILSLSSLYSERTTLSAAKCVLVQEAAPLKQARKELTEIQ NRLSDLLPHYMIPQTWVVVEDVPIMVSGKLDRKQVAAWIESLDEKEYEVIMGVDSEVE SAIEVTGTTKVLQGIWARVLNIPIEKVKSNQSFLSLGGDSITAMAVMARCRKEKINLS LQDVLQSKSIVQLALRSGSAEDAPQQEEKVEELFALSPIQQMYFQSATSYQGQARFNQ SFSLRITRQTEAHEIKRVIESIINLHSMLRARFSKDKLGVWQQRITQDITSSYRYTVH NVDAIDQIAPLAARTQGSLNIQHGPLFAADLFNVRGDNQMIFLTAHHLCVDMVSWRII LQELQEFLETGSLTAGKPLSFQTWCKMQAEQSQKQSFKDLLPFHVMSADLAYWAMEGQ RNTYSEVESKTFVVDEALTALAMGDCHKALQTEPLDLLLSTVAHSFSRTFADRATPTL YNESHGRENPNVDLSRTVGWFTSLCPIHVSVDLGNKGQDDVFTTLKRMKDTRRRIPEN GRPYFAHRFLTPEGRAKSGDSGAPVEIAFNYLGRMQQLERDDSLLQQIDFVKNDADAK IMGDVGPDTARIALFEISAMVRDGKLEFSFMYNRAVRRAGDVPRWVAECKRTLEETVR RLAHTAPQPTLSDYPLMPISYDELRKLVKDTFPDAGIKQWNEVEDVYPCSSVQEGILF SQLRDPDTYLSNVVYEAKHSNPGLRLSAEKIGKAWQKVVNRHAALRTVFIDSVYRGGA FDQLVVKNVDSGVIYIECDDADVTRRLESISIRETSYKKQPRLPHQLTVCTTFSGKMF IKAEINHAVVDGGSISILWRDLTIAYEDRLEDGPGPLYSDYIRYIRSQAPGADVRFWT TYLKGVSPSYFPQLNLDTSSEKRLASVQMEFNKFPELHELCERTKVTLANVMHAAWAL VLRTYTGSDDVCFGYITAGRDAPINGIQDTIGIFINMLCCRLTFSPTSTLAEVFHRVQ DEYLESLPYQRCSLAQVQHDLGMAGKPLYNTSVSIQNHSRSSDAVEETIILEPTSAYD PSEYVMTVNVETERNEEGVMFRYWTHKVTDEQAAQVAHTMAEVLDNFISRSAQTIAEL DNPKEQTQLQAVVDSQPNPQPTSTQLTTVQNQVIVQSQPQPQTIHALHTTIPNQVTEP PVLDHHHTTFPDQVLQQPILDHPTTTQDQVLQQPILGHHTTVQDQVLQQPILDHHITV QNQVSQEPILNNNAALKKVVSECVQQVIESMFESGVLLRRNAEISSELSNERQLALIS DGSEDHYPLPNDQPIEVERYLFAGSEISRRRSIATQKSKRGRSAAMEKKLRLAWSLML DMPEDSISGEDSFFELGGDSITAMKLVGAARDEGLALTVADVFRHPTFEDMAAIIRVA SMMSTYLTNADLNEYNEYNAQSNGIHHVPASELYERFSLVKASNINAFLQSNICPKVG VFKGGISDVLPVTDFQSLAIAGTLLESRWMLNYFYLEGACALDLRLLKQSCFRLVQAF DILRTVFLASGDRFLQVVLRKLRPEFQVFETEQSLEDFTAMLQQKDRDQGPRLGAPLV QFTVAKQKDSNRHRIFIRISHAQYDGVCLPRILAALQSGYRGEPISATPSFANYVRAS AGTVTSDHYQHWKRLLKGSSMTEVVRRNGPNYRRSAGATMTLKQNIHLPPVAHGNITV ATVVKSAWALVLAQLSAQSDVVFGHTISGRNAAVAGVENIVGPCVNVVPVRVQFEPHW TALDLLRHVQDQQVANMPYEALGFREMTRHCTEWPAWSNFPTSVQHQNIDQDREMQLG GNTYRLGAVGTDEDFSDFLVVSTPLGEADQVEIALSFSLTGAITTDFAEKVLGMICTN AENFSATPCTTLPSPTELCSLPCQTVDDVTTDSDELFQTTQLQNLTRAEMLVLSDIFS RAWRQVLRDDKGESNCENLHLEASFFDLGGDIMGLAQVAWILEQEGFRIRLEDLIDHP TMLGQMAALTLYNASARQKETQTTSSPVVGSETEEGKRAQLNKAGRSKTFVKAVGLAR RMVRKNMRTT EPUS_04483 MFDRLEETLLSKDNLAQSREAFDSIQHAVVSSYSSSTEQFRQRF VYLATMKSVASAMLRQDHQTQGLKLDREFIKGPWFDLGPHAVGNLELNRVYVLIEYLT YEGTWNSREDELLERVNAIASLRNESVSESIFPILRCHGYYHEPARTRFGIVYQLPTE AQNTVPINLLTALKMTKSRTLQPSLTQSFKIASALVSHVLSFHRGGWLHRSISAFNII CFPDAFPSVAASLTKPYFVGFNHSRVNDDNEYSSLTEMEYQHPVYQSNTRAYTDDTTN AIVRFRQEFDYFSVGMVLIEIALWRSLKSMTEKIEGSPEEMLVELQKKVCIAGKDIYG RRVW EPUS_04484 MITVHNPTIEEYTYFERTKRPEASLKFAIDAETANLANSTNTAN FTPSAPSAPSAPPTPPALSANSAPSAPPTPSADSTNSAPSASSTPSASPAPSASPTPS APPAPSAPPAPSALPTPSAPQYVQKLYALEHYNKEMGGSDNHAKLNSYNSVSRHYHQR NWLPLFYLLIDVAVTNAYILYKLGQKRRKLSHTQFQEEIAQTLLRGPGAILRRRRQKP RPSQAIHTRPVPKDSYKGHS EPUS_08720 MASVNRITSSAAAAYLELAPSLANVNFDFALYKVEAPKEFQAVG QALSSLRREEAESGASHKTARKLGALFDRLIPSTPELLKAYGLRASEIIRSMAINSKE SSEFGFFANRIGADATSIWAAATSGSAAIAVHLLACMLARIWEGPEATTIWYELVQKQ KEQIGVEIEHNNFGDFGAFLAAQQELSRSQLRDWDASARAWLRVADEAKRKEQTQVRL IVDNIQKTEVNTKVTVYDSVLEAWRSCLNQMNCVVKGTPQQAEAGATLLGLSSWHLYP DMIAMGPCLARPAEVRHNDPLIAPGGILTLGLEMSSGGKDVGVTWSLPLAYLRYYGQP VIASGSIDTAEKSRITLEELMAAVLGVITQDWISRSSALKSSLELLACFSELLKESAL KGNLKAQSIMHLEGGHSWLILLLNTAKNFAVLNGSELQTVKKLLHLGATHGSAFLGRP LCPLFGMLNPETYMGMLEVEDRIHLLREIAKQLPYKPHQIFIRYKHDYPAWNKDTFEF TTALPLARETCKRDLEERVKESQGHRRWIHTGASSQPPLDTSSLIESGVMMPYDETSN EFVELEDIWHDRFTEVELAAIIKDYPVRASYYSSLGEGVFRLEDEQIYMGSAQLITWQ FPASEVSGTLKSGFDFKFMLGDPRTAALFVKNRDIRSSADNSQLSDVGLRCLPKLFQA KKVNCDTFVDALCVSIGSLYTHDNDHLMSLKAFSAAAILYNKLRNATVDVRVLRQPLK NAQWRISSERRLTTNDANDHDFLEPGGLEPATRNNLMSISLDRSETFACICMFESGRF NVEPHRIKNTVAISSVDSLFIAAPLLHDPASLTGHSEVERVTGNVGRAGVAFLVLPDK PMVKSQDLDVWNMINHEDYDSQRIDCFASTTLHLSFTGSVVPIDVGVASARDMEIYIL ESVVSVHDRGKWVADLNVTWDYGQWVYASKPCRHGEGNATNLPLTSIDSWAELIDKPL KHAVVRAHNNWQARLAATSICLFRRQPVRILPSAGICWRCWTKRYAHANAIIFVD EPUS_08721 MEAAPYTCNSCLVAFRTSEAQKGHMRSDWHRYNLKRRVASLPPI SSETFTEKVLSAQANTSAAAAKASFERICGTCQKTYFSENVYQDHLSSKKHKLRVLAT TKMKPPDAEPMTNASVSPKNAMDNTSPNEKRDQEAEAEFEKVVEGLKDTSISQPEAPP RRPSRPHHSAAEQRNENPLSPEMSRQKQKEEGESVSQPEIALSRCLFCNYDSPNFKLS ISHMVKIHGLFIPEQNYLTDPEGLVRYLQAKVHQNHECLLCHKLKGTSSGVQTHMRDK GHCMIAFETEEEMIEVGQFYDFSSTYSDDESDDTEMGQASDPVTNGGVKLPEAKTNEV EDDSWETDSSFSSLDSADLTSVPLDDHSHQYSKLALHRHHSHHDPRPHRNADGFHSHA HQHGVNAVFHDDFELHLPSGRTVGHRSYKRYYRQNLHSYPTAVERMEREQKMLEHGNQ HESMEDGSVHSSRRNGSQALMKRSESGMLGVTVSQRRDVRAAEIRGRRQGEKAVNRYQ AKLEKQKNFQKHFRAQPNPRAKNVAVLGGGITGLVAAYNLTKALPNARVTLFEAKKKL GGWLDSELLPVDGGEVLFEWGPRTLRTDGIGAGRCTAQLMAQLDMADDVLPTSRNSPA ALNRYIYYPDHLVRMPGRLPGVSLWTSIGRNLGTVLQEPIFKGAILGLLREPSVKALP EHVQDESIGDFVSRRFGGHIADNLVSALLHGIYAGDIYNLSTKTIFPLLWHLEQISEA GIMGELAEQMWTGESLLHYDDIEFTLQSDQIMNMFEGPVKAVATKLQGSSVYTFKKGL AQLAEKTGAALVGSKNVEIKHMNASLAFDPQTKKFHITDADGRGNTLEGNTKDYDYVV ATIPSPQLSQALEVQDTSKSTSRPSPYVNMLNRLKTATPTVNVMVVNLFYRNPNLIPV TGFGYLLPRSISIEQNPERALGVIFGSETSRGSSATPSDPNPGTTGQDSAPGTKLTVM MGGHWWSSWYPSDLPDEESAVEMAKTVLKRHLGITETPVLAKARMQWNAIPQYEVGHH ERMARIHHDLRREFDGRLKLAGSAYQGVGVNDCIKAARKASFDIREGLDERTGLESFG QETRWAVYKRKERTVYMLNKGKGKGGKG EPUS_08722 MPSATTPNRPSTPLSNSGIQTLPTGHSIIPSSVRPDGSTRKEIK VRPGYRPPEDQEKYRNRIVEARSNIGTGGIPGAEPANTTAKGAEEKNKNAKRREAARK KAAAAATTATDEIESPDAGVGDITNGLQKTQLHVSETEKLKQDWRDPSKLTTNETTLI EADETAEREKKVRNLKKKLRQARELKEKKEGGGQLLPEQLTKVTKIQELIRDLDKLGY DADGEPKTAIDGSQSRNGVEHG EPUS_08723 MAAELEHKLCKALRDHPYRFSHRYDENAQRALLEILFRSLTNDR PDYLSALFPAGFPESYKLKDAQGITQSSEYTEAARGHPCGHIFKQGEASYHCMTCTND ATCVLCSRCFDASDHEGHQFHISASAGNSGCCDCGDLEAWKRPVNCAIHTASEKTKTG YQPSSLPPDLVQSIRTTISRVLDYFSDVISCSPEQLRLPKTEESIKHDESFSRLGPQW YAGGDEYEVNPEYCLIVWNDEKHTVEEVRDVIKRACRKTTKFGLTKAWEANDIGRSIL RHSRDLKELIRMSNIVESIKVTTTIRTSRDTFREQMCGTIVEWLSDISGCSVCEDHNV LRYTICEEMLQQWRVGSEAYNAQIGRSGIDDHQHSDTRYRFATLPLLTELGIAPEVTA DAEDNEEDMTEAIGQTETDPMEVEGTFDGREEDEEGRPDVRLLLRQVDFERLNDRQLL DAAPPAAMPTQDSGGMDMGPPHQGAEEEEEEAAAEQVRQPGTQYQQDTEVFTPTESDP QDAGFISQDLTANYNNIPRTPYGRRKILGGVQPSHWAVRPSGSVDRSNLPLYEDLTKN IRLDSMVLFDMRMWKKTRIDLRDLYISTVVNVPVFKRILGLRFSGLYTSLSQLYLIAD REPDHSIINLSLQMLTSPSITEEVIERGNFLTNLMAILYTFLTSRQVGFPQDVSSSAT LAFDTGSVTNRRLYHFFTDLRYFLSSEPVQRKVREERQYLLQFIDLVKLSQGICPNSR AVGEHVEYETDAWISASLLTREINKLCRQFSESFSSVNSKGKVGDGIAYVIYTSTFAT IVNSVGLERSRFDQTEIKEVARFKQLKPFEFEDNADQYREKTHRVIDYVVETGALSFH HALHYTLSWLIEAGKSLSNAEMRDVLYKASSDFVKQYGPLNSSVKGFYEPEDALLALY DFPLRVCAWLAQMRAGLWVRNGMSLRHQMSQYKGVNFRDVGYHRDIFLLQTAFVTCDP SRLLATMIDRYGLEAWMRGKYNVRKTCEDLQRVDILEDFVNLLIMILSDRSSLIAIED EPNPLLVATRKDIIHALCFKPLSFSDLNTKLNERVQDYPDLHRILMEMTTFRAPEGLT DSGTFELKPEYLEELDPYNTNYNKNQREEAENIYKDWMSKKIGKPAEEVVLEPKLRPI PTGVYSGLASFTSSRLFAQIVFYAFDYALVAKSMTPDVPATRIESLLHVVLQLALVAT LEDDTTEDEFTEESMHSFIRHALTAKAVTQPQGHETIIEVLQSISTMEEYASCRPKIK HLLKLFCRKRTFDFNRATAGLDFPFGRLDTASPANLEQGVEAKKRQADERKARVMAQM QQQQQNFMDNQGVSDWSDEDLSDTETEAPVAMGKKVWKYPTGVCILCQEETNPGRLYG TFGMFTESNILRQTNLDDADLVREVLNTPASLDLSIENIRPFGVAGENHEQVVRLTTE GNSIMTDRQGLGKGWPMGFVRRSPISTGCGHLMHFACFDHYFKSVARRHAQQIARHHP ERIENNEFVCPLCKALGNTFLPILWKEKENCYPGVLLNEESLESFLEAEVYRILPMQQ ALEQSGLENARNVQKSFPASVTAGFFETMRKAVSLGRLISPTTSVPPSMIELAHVFSR LGDTININGFNRLQVSPSSVQHVSYIDVLLSLLGSSVSAVEIGQRGVAAGSGFNLLER TSTQTLSHLRILSETISSYISSGEISVDSSVDDLSAAQKRLFRQLFVRSASALSTANH GNSPSAATHVGPLFLQDVFDVFVQSCLVLSPTLKISIHHILRLCYLAQIVQTIMAYIN RPGRLANLVEDPRTARPISPPATPPTGSEVQALSALTEWMTEIIYNAGYMPSRLAPHC EQALFALVRSYSLTFLRRATIFLHISHGVDFPASSHHELPEIDRLSRLLNLPSLYDIV SDFQPSSGKRSTKDQVSGWILTFARHQQSKQVGEGSYIPLHPSRGSGDPAKGHLVIDL SRNITLPHPAPFELIGLPKYFDVLMEEANRRKCPSTGKDLTDPALCLFCGAIFCSQAV CCMKNKIGPANLHVEKCSSPIGIFLSIRKCTILLLHIVRKPIPLPPSSSNIPASAPKP ATLTSSSSSSASPPNPSSLHFGAPKSNGGWFHAPYLTKHGEVDPGLRQRHQLVLNQKR YDRLLREVWLMLNGNVWSTVARKLEGEINGGGWESI EPUS_08724 MRFAAFLALAPLLVAAVAQPATGTVAVAMVGDQIEAREPASPVE LAPRACRTNGCKCVKGLPQGRYCGNCVLTKDFKTWVISSKRVNDHVFECNPQGGCCTY GYAKDCGGAKARCG EPUS_08725 MGPKRMATRGGGRGRPSRRSNKHTTQEQGDVPEIYQEMLLEAAR NPFPKVDLQTKVVKRRKLEDGSSQVTDSELAAQDLPSGPIDGVETGDESVLAQSTPQR QTVFDDFRGSGDESEDDFEEVDLEADVEVYQGQDRADEPLQLDLNKKLDPDATSSVHR RKPATAVERRIRLDVHKWHVLCLLAHLQRRSHWCNDEQVQSILKPLIPRKLVKLFHLD AGQPQYQRTHSFNVAIDEVSKIWRSEWKITEQGMRRAYWTDDPDDLKNVDDYPDPIDY DDFRDAARTRSGSRDLGAQLFCALLRSVAVETRLVCSLQPLPFSGVARGTTPVKPKAK YIMASNLGRQRQLNQNAESPTISDPRWRLYGAGPEESSPVPAVKKPAKRIRDSPYPVF WVEIFDESLQKWIPVDPLVRSTINKPKTGFEPPANDSLNSMSYVIVFEDDASAKDVTR RYTQFYNGKTRRNRVESTKGGDLWWKETMSFFENPFPEDRDEIENAELMAREESEQMP KNVQDFKNHPHYALERHLRRNEVIHPKKEIGKVTVGLSKDGRLEPVFRRRDVLVVKTA DQWYRLGRDIKVGEQPLKRVIPTKRRVTPVDEQDGQEEGALLYAAFQTELYVPPTVVN GKIPRNAYGNLDVYVPSMIPAGGIHVEHPDAARAARILGIDYADAVTGFEFRGRQGTA IMKGIVAATEYREALIEVLEALAYERVRMNSEKRSSIALQMWKKFLTALRIRERVSMK YGEGGSQGGDHDEDIEDKTYHDDGDHDEDEGGGFFPEQTKGPATLPESPDVETGLNEQ VIFYPDSPDIDITIIVHESPHKLPRSQQQQRKPSRLAARLPAEEPMMGGGFFAERENI NIPEDGHQGGGGFTAEDSSPTAPDGAPPPSLVYESLPTIPTTASSASTAHKAVVANTA NNTITTPATTPSNNLPHRTPVLTQNEIHGPVPSSPAQQPQIAVSSPNAEQVAENRIPP SSSSSSLEQGSLLSHDPEDEDAEPDWLAEAMDSD EPUS_09183 MMNELRIQTQIHSRYHVFDASGQLPFSIVFGLCRRSPADTDLRP LLLEIAGSVLDVPYALAHGLLTLHEQDPEDAKQWVEVDLSRLNEVAAKEAECLSLPSP VNRTEHWRDAFTVYQCHVDVNGELASILKPGNKYIIRLASEDLGVKRWVYSDRKQFVD NDGKPSHDFETVKLVNSKPTAGNATFTVVKGLSWPPRMHTRMRLCASSPSSDFALANA KLSSSTALEVSVLNTGSDSVTVQTRGHQRFLIPWGPFQPEPDADDDRMRIIDATPHKP PTSSLQIVDSTTGEVVRGNEQRGTGPLTDSNAGRRPKAEDVVTLKPGAPVIRKIDIAA LVDGLVDGQYKIRMQPRGCRWWHGEVGKEEGEDGRVPAQLCGIIIPPLMLESQDEVEL RIRDGKVDQSM EPUS_09184 MSLSKVLSLALILAVARASPVLNNPSPVLRRDPPAPSTYPLGEA CDHEWQYLNFNPDDDTDKAHLELLHYVICSREIRMMSILGQISAKDVLAPYKRYFPES DEEDDFQTHVKDVLGLIAGTSSPDELIGTVVGTFVVDNLDFAADDSNEADCNDEGTLA YTLTDRLDSREKIHFCDPSWTRGKADDVDCASLDPFPSTKMDSFSRIALHEMLHYSSV GPETSLAQQIIDVKNEDGEPAYDPPRVHGLIDPEQDENPAMPEINADSYAWMSLDAGI SFKCMRDWGNSDWASFFSQNPPPYEAAE EPUS_09185 MIHDQTERRESGKVRYPSLSNRRQSLDEAPPTDQDALRALIEHA ERATDDPLALPAFMALVNKNQTHTAAFILTLAAAYKEEKALRLEKEAEHQEVLQEKET EPUS_09186 MKSHSHGRFGKEDDKWGFNQTSTIRITGRHTINIWRAMRGELNL LQYTMENVVFHLLHRRIRYTVESQLQRKCQQ EPUS_05820 MSLGLYLLWVLKTLPATQGSPLPRLPPGSPIPLGNSTALNNDIA PAWMPSAQVRGTSDILYSCLLTISLSVYSAVHINVPPRGEGREWQYIQKAKWTVLGIF APEIVVYTAIYQFHRALELQKSLTNIVKSRHDEAATKQLTDPVTTEGLAKLEENAIAE GENETKQGSAKAATSKSPLSAEFATSRAPTFSLVYCFFVGMGGFVVDVDDRDFGYKHQ YVTLTAQDLEELARGGKFIEIEESEITDKSKADILAKILVCFQVMWLLVDCLAACLGR AIFDSHIQMKIIRPLALRDKCPTKIRWRVDREVPTVYARVKDIFLTLLTVILFPIWDS EPUS_05821 MADSRGRPASSDPRDIRRAKSPQDAADSPVPSSHASRYASTARL ASPVPSSPHLGTPPVRQVPTPSQIAEAKQDELPKVEAQSSLPGPGQSAIAAALAQKRD RNSPQSGTPPRRISSPATAGKAIEQAENRSNYGSVDLRGGAGSPAPYEDAEIVRRHLV KPENGSGRLGRPLNGSHEAGQGLDDDEFSSLRLQGGDITRPIYRWTEEHGASSGRQNR RSQSFHVTRPEPDSEVLDISNIKIPGGFRRNFIRMAADSPEPSNRVSYGGTDAGGRAP APAAQNRPPPKLLTNNFLEFLTIYGHFAGEELEEDDEVLEPDEYFSSDAWDARGYEDE ESDREPGEGSALITPRTPGGRKRKHKERGTGNNTSFGAVLLLLKSFVGTGVLFLPRAF LNGGMLFSTLVLLGVALLSFHCFILLVNTRLKIDGSFGDIGGILYGRWMRTLILSSIV LSQIGFVSAYIVFTSENLQAFILAVSKCRTYINIKWMVLMQLVIFLPLSLIRDISKLG FTALIADVFIMLGLIYLYYYDIATIVDQGGISDVTSFNPATWTLFIGTAIFTFEGVGL IIPIQESMKQPRTFPFVLALVMVIITILFTSMGALSYAAYGSATKTVVILNLPQDDRF VNAVQFLYSLAILLSTPLQLFPAIRIMENELFTRSGKYNRWIKWKKNVFRFVLVMLCA MVAWVGAGDLDKFVALVGSFACVPLVYVYPPLLHVKAVARTHWQKTADYALFCFGIVG CIYTTTLTITQWVGNSTLKSPDRYCDV EPUS_05822 MTMGSYVPPIPNKPWIETPLVESTALSLSANCRIFLKLELLQPA GSFKSRGMGNLILEHIKHASAQSSSHKPLHFYSSSGGNAGLACVIAARSLGYKASVVV PMTTKPSMIAKLQAAGATSVIQHGESWHYADAHLRNHILNHPQAKTEEAEEEGIYIPP FDHPAVWAGASTIISELQHQLSDNQPPDAIILSVGGGGLFAGIMLGLDQAQDEAWSHV PVLAVETDGADSLAQSLQAGELITLPGITSIAKSLGAIRVADQAFQYAQRPNVKSVVL DDAEAVMGVCRLADEERLLVEPACGVCVALCHEDKLREVVKGLGPQSRVVVVVCGGCD VDVGMVVRWREEYAQRFRELRGGYLMGKGREGTD EPUS_05823 MSILERQARVFFQLSRASKGSRTWRRCCQSASPNPSKQKPKPYY VTTPIFYVNAAPHVGHLYTFVLADILKRWALLRGDRSAVMLTGTDEHGMKVQRAAQKA KTDTKLFCDQTMLQFDRLARKANISYDRFIRTTDPDHKEAVQHFWRELSHRGYIYESK HEGWYSVSDETFFPPSAVHLIRDPATGRKIMVSKETGREVEWTSETNYHFKLSAFRYR LLRLYDDNPAFVVPATRMNHVIQEVKKGLEDLSISRPAERLTWGIRVPNDDSQTIYVW LDALVNYLTQAGYPFADRTGSGTEWPPDVQVIGKDITKFHCIYWPAFLMALNLPLPKQ ILTHAHWTMNHAKMSKSTGNVVNPFFAIDRFEVDTVRFYMAYDGGIADDTDYDNAYII ERYKKLLQGGIGNLASRIMRGKRWSVHECVRYGAGQDLEAEPLGKDHRTRLAKLQAQV QHQFMTLNPREALHHIMDVLALTNKFITHNAVWRIVNDPNEKAQRDVKIIIYYAAESL RICGILLQPFMPSKMERLLDMLGVDETSRNYGHARLGRDLSYGIPKADLGAGADTSLF PPLTSEL EPUS_05824 MQSRNLFLGFAGIVTAVSMWGIWGGDMFPAEKDPKGDPDTWTES ELRRWLEARGLLPSGKASKDDLLERVKANMKPAARP EPUS_05825 MPSEIKDIKQFIEISRRKDATSARVKKSSKTSDIKFKIRCHRFL YTLVLKDSDKADKLKQSLPPSLNISEVGKKNKKAKKT EPUS_05826 MPARVVARQTLRKTTTRAASSSSPSTEATSSPFYTTLTASAATV VAIGSVAWYYHLYGREAFAMTPQEEGLHPTQYPWEHYRWVKTFDHQALRRGFQVYREV CSSCHSLKRIPYRSLVGTIATVDEMKALAEENEYDTEPNDEGEIEKRPGKLSDYIPSP YKNDEAARAANNGALPPDLSLMVKARHGGCNYIFSLLTGYPEEPPAGATVQSGLNFNP YFPGTGIAMARVLYDGLVEYEDGTPATASQMAKDVVEFLNWAAEPEMDNRKKMGMKVL TIGALLFALSVWVKRYKWSTVKTRQIVYNPPVGPKR EPUS_05827 MFGWIPVLYRITNEETLASAGLDAYAFLSFFSFASKFLSITLFF ALVVIYPINRNFLNSDGFGRYPHRNETYPNGTHGQVKIFKEDLGMYPELPEGFPWVYV TFVYLFSALAIYLLVKETQKVIHVRQGYLGSQSTVTDRTLRLSGIPVELRSEEKIKQF IEDLQIGKVDSVMICRNWKELDNLITQRMTCLRRLEEAWTVHLGYRRVERNIATLPMV QPPPPGPAANGNDEEQVGLLSGSEFEHAHVAPYKRDRPQTKIRYGPFKIQSRKIDAID YYEEKLQKLDKTIEEARKKEYPATPLAFVTMESTAACQMAVQASLDPNPGQLLASLAP PPADVVWQNTYLSRYSRMFRAWIIMAIVGVLTVFWIFIIVPFAGLLELETIRSYFPAL ANVLEEHKVLKTLVSTSLPTLVLSLLSITVPYLYDWLSSMQGMTSAGDVELSLISKNF LFTFFNLFIIFTVFGTAATFSKFQDNLRDLLRDTSTLAYTIANSLEGLTLFYVDLIIL QGIGLFPFRLLEFGAVAMYPVWLIGAKTPRDYAELVQPPVFNYGYFVPQTILVFIICT VYSVLDGSWLVLVSGLLFFLIGHFVYKYQLLYAMDHRQHSTGRAWPMICNRVFVGLAL FQIAMSGWLALRQAFICAVVIAPLFVGTIWYIIYFQRTFDPLMKFIALRSIGRDDLIS LPTPPETRWDRDTDWGRTVDTDPETGLRYINPSLVQPLEKIWINNPTANGGGAGQHSD EPUS_05828 MDSSETLTTPTSARLPLELLQQIYNLLSPLDFDAARHTCRLWLF ASLDKTLLTSQLILGGWQAAAEQDIQEASDHFRKRRRQNTGDATSISTSPSVSQPVSV EWVLSKRLAAETRLCPSWSGIWPSSWSSVTKELDRQVPVHGIQIPITKAPSVRGANPS SPPLICTVSGCGKFVLLAQDRVVFIYSLRSFKAGVRPLTSIFCHRRIVNMSMDTSCGR YAVGILLEGRTGVLCEVDIEKPGPPIVNRIHPSMSLSDFRNFDIRTTSRSHPRSSDPD QVDFDLSSPTRSRVHPRAEAYRRRGHALGRPGEVAFVRGTSLTLTDSNSHAGIGQEFN PPAPNASFHQFFPERNGGERTNASLSQHYPFNNFDPITGTSVSIELGARKIYKNLCSI HDPPKSVAICPQRQCVAFGCKTGVELHWIDALRGSTLSRWFPLAAPSDYLYFVPPRDG EQRTSTTKLRLISSAAGPEEFVDADHYRAVPLSDGHILFTEPESGYLFLGSDKPGGPT KLQRKVVCLPPEGHPSGRAPDCYAAGREMRWGVRIVVAYGPRIMLYNLPPDGFQRARP TTPGFQVAQSDLAMDVAVDDSHREAKRLEGVLIHDVGAQGEVVQDISVDTHLGRLNVW LFLRSGEDKGKVEKMRMHVGWSASLVKPVSLFLG EPUS_05829 MLITLAISPLVLCQNATRCHSDACLRSFKRNHHQAQRYCADHPN PWRMSPAPRWANECHSLGRNNNTRQRLASVCSCLHKPKATGEPVYTAKASPSMKMSTT QSTTVLAPSIEADASIAAYITSGASMSDEAVATSTYVFEDPPIVDEDKISRTN EPUS_05830 MSVQRIGLSIVYQPTNGACHDFDIVLVHGLFGHPKNTWSTNIPW DLRETNRNDGNIINNIDEAIEDPPRKKARTLPKKLFREVFWPRDLLPKSFPQARILTW GYDVQIDQLLSSTSKASIFHHSETLLSDLAMVRSAPLEKEVPIIFIAHSLGGIVVKDA LSLSRNETTFFNEILPATVGVLFLGTPHHGSKTASLGKIAFELSKLAFKTPNVQVLRG LEPKSEILERISRSFGQILATGNVKVHSFREELDTKGMMIVDSSSATIGYLHETRGSL HANHRDMAKFSCLEDVKFQRVVAVLGRWVEDIVGAQPPCKLRVHLDGNAPEVPDHLVF DEQYQACLRSLNYSEARVRFQNVEPAYEKTYKWLFHDRLGLTDWLQGKTRSSMFWLRG KPGSGKSTAMKFIMTHPLTRELLEKYHLKSWIVAGYFFHDRGTSAQKTAVSFLREILY QILLDRRDLFGVVYPVFKQLEENQSLTGVSADAVISWSISQLEAALELIGVRSTVDVN LCLFVDALDEHDGKHRELLSTLTRLARLTSNPLFRMRLCVSGRPDNVFVDGLQGCPGF AIEDHTTDDIGLYAEGRLQTEMRGELTKEGKQKLKTLGEDLIQKAQGVFLWVRLVVDE LIDGLCEGDSIEELQSLLSETPTELKELYTRAIRRVSRTSPSLLSTMRYEAYVMFQIA THCPRPFSLYHFLSAALFVTTNKGIYTDLQTLSESQMERRLYSRSAGLLEATGPPKRS VQYIHQTVKEFMRNGQGDLIIREGISDRSQHSVNILLFRYLISAIPSLLDVDIGEQRV VTGNLLYYAYKAELEEGICVARYLDPVIFELPEKQQDNILFGTIAHFVQDSLRELEAP IFRWFIFKSPRRGGLRPRIQLLIIYIVTNLPLSLQYSITSQDTAIEENDAYVLLKAAL MRYYALKMYDESDSSQILEVLLKSGVASGVSDQSYKLLDEIVESIQADQSPEAKRQAQ LWAQFRHNAPSSDKRCY EPUS_05831 MPSTFPPPSVERHHSRRRSGRQDDPEAQTGNYQHRISHENTPRN SQDPYYQATSAAGAYQTLNPSQQQQNYNPTHDSIQAQQAPGDSQNTSTYQPPTSSHAP HQSSHHQRNTGHGGAGGSSGGLLSTFQRRGAHTDGRKSNRGPPGHNLQHQPSPFDYKS QGGRWEDIRGHIVAMSGEFVGTIMFLWFALSAAQVTSMSNPDAGGLQTPQTLLFIALA FGFSLTVNAWAFYRISGGLFNPAVVVGMVVAGTLPWMRGLFLIPAQLLGCMVAAALVQ CMFPGDVATTITRLSPGVSIARGLFIEMFLTALLVFTILMLAAEKHQATFLAPVGIGL SLFVAELSGVYFTGGSLNPARSFGPSVAARSFPGHHWIYWLGPILGALLAAAYYRLVK YLNYEEANPGQDATDPKDQ EPUS_05832 MAKVVDTTYYDALGVPTNASELEIKKAYRKLAITTHPDKNPGDE SAHARFQAIGEAYQVLSNKELRSQYDRFGKEKAMPSAGFEDPAEFFSMIFGGDAFVDL IGEISLMKDLTHTMDITMEQMQEEELAAQADEKLKVHDDKEKEARANSTTPSTAQPPP PYASDATAEASTAAPSSTPPHLPPRPTGGRTPEQASSGTSTPRRYHGQQAIMDKSEED ARMDAAGLTAEEKELRKKEKKKGGLTKEQRERLDAYEKERRRIRDERVDTLAKKLIDR ISVWTETDKGPDVTKAFEEKTRLEVENLKMESFGLEILHAIGTTYIQKASSFLKSQKF LGISGFFSRLKDKTTLAKETWNTISTAIDAQLTMEEMAKMEEKGGEDWTDEKKAEYEK RVTGKILAAAWKGSKYEIQGVLRDVCDKILNDKTVKVEKRAERAHALILSGTIYQKAE RDPDEEGDYMAFEQLMAEAAKKQEKKKEASSDKKKDKDHAGHHHHSHNPFASAKPPED MSEAEAKERAHLAGHDAEAKR EPUS_05833 MVKVTDILYYVINPQELRSIIQWYVALLIAYSTALILPRKVWHQ PVHEREEDKESVSSRICFDFLDKTSRSFSAVIQELHPELLLPVCLFYLTLRGLDTIED DTSIPAETKTPLLRDFHDRLEEDGWCFTGNRPEEKDRELLVQFKHVVTEFKKIKPAYR DIIKDMTDKMGNGMADYINNAEFNEVGVDKIEDYELYCHYVAGLVGEGLTRLFVESGY GNPALLQKPHLHESMGRFLQKTNIIRDIKEDFDDKRIFWPREIWSKHVDKFEDLLKPE YRDAALNCSSEMVLNALNNADECLFYLAGLKEQSVFNFCAIPQSMAIATLALCFRNPK MFERNIKITKGQACQIMVASTQNLRLVCEVFRDHVRLIHKKNVPQDPSFLKISIACGK IEQFIESIFPSQRPEDVIRSKPGDPKTLKALEKETQDKEARHDMLMMMMAMGTMLLVV SGLMFGLAWLLGARFDLAFAGVKKGMQDIMAGNWRPPPGSSGAEKLAKQFDHDEI EPUS_05834 MTSPVTGRVLLNIQKYFTIPPRITRVTQRPPASFDPQILRYYRP PQILRECKDVPIRDEFRHTEAPLPLSCPSLSKRQAKVPRLAEARSPTKKSPQDASRIL WAALPASGSSQPWSSVQTTGEGIRPPGLRHFSNASQQQADRKDKSSPQGGGKETGQKA SFIPPDASAAPNNHPHLIDRIHMPHMPHFHRPTKEELLSAATGFWSRLKIRFKWFSIR SVRPFNIDEITGFISWILVGHLLWIVIGTTTFFSLAILAINTVFAQETLARWVGNYLT KSTGINVVFESAIVPEWRSGVIKFENVFVSRRPGQIDGAGVSKGTRESAAIAAAAANA DPNEDTNYTQFDLSINEVLVTLSFAKWFNSKGILQNVEVKGMRGVVDRTNVHWTGEYI DPKSYRHEHNPGDFEIDSFKLEDVLVTLHQPKGFRPFTVSIFSADLPQLRKRWLFYDI LSANQMHGAYDNSLFTIHPHQNHSHIATTHDTHHENNPWKKYSRIRVDSLNIDHLNRG VQGPFSWIHEGNVDIVADIMFPADSDESVLKVMSDFYERMEAALTEKKRQPSAFNETD QETEDDKRFLVMDLRIHLNDVRAAVPLFTRDLSYVNNALIRPIVGYINSRRTFIPINC RVVKRASDFDGSWSLFDSGLMEDLSAEHFQTYEAFARDVTDDQARMRRIKKVGLWSLQ LAVQAIFMGMAGNIA EPUS_05835 MPAPTALQRRPEELIQDIAETVPLPEDDDLLITTNSFTSLPTEN PTSPSSNPLPSANPPTTDTEMTTIDPEGRPLFPASTDTPTIHRIQQRKIPIPPHRMTP LRSSWPKIYPPLVEHLNLQVRMNTKSRAVELRTSRHTTHADALQKGEDFLKAFTLGFD VDDAIALLRLDDLYIETFEIKDVKTLQGEHLGRAIGRIAGKDGKTKFAIENTSRTRVV LADSKIHILGGFGNIRIAREAIVSLILGKPPGKVYGNLRGVAGRMKERF EPUS_05836 MASLMSDTAAHSDALGYSFSKSIPNPTSHSSGPRQHAFYPYTDN GGSTLGITGSTFAILAGDTRSTSGYNINTRHAPKVFRIGADDPSSRIVLSVVGFAADG NALKERLDTIVKMYRYQHGKAMSVKACAQRLSTILYSKRFFPYYVHAILGGMDEDGKG ALYSYDPVGSYEREQCRAAGAAASLIMPFLDNQVNYKNQHVPGSGEGHALQQRQLTDL SQDTVQGLVRDAFTSAVERHIEVGDGLQMMIITKEGVQEIFEPLKRD EPUS_05837 MSALVGYETSSEEEEEEEEEETATAAPKAASTVETSAAPTSTTI ESNLSNGTDVNTSAARVSEPATVGPLLGPSAPLNGDMINAESPTQAFEDMSERDTIRH LTQASIPMTSIPPSPPGSPDPAANAKFARFLGLKAKGVHFNEDLAKKSSFLNPALLAA MMARAGIDEADQYNTSLPLDLWSPKGFPEWAYKEELHKAQQAIRDKEVAEKKALSAAG KRAIEFAPSGGNSGDSSSRSTPSYQKKRRRP EPUS_05838 MERGGRPHEVLHLPRRNPTPLQSPLSNTLIPRDFLSQRSGAQDL DIPGADTTPNNLPPGLPSTASPDAGHFSLTFPFATMPSIANPHSPRPLHHKENGDQLP QGQREPNANSRKSGSAKKEGSKRTPTEDCTTLRKVSSPGVPCNAVNQPHPSPPASPRS TNSMEKEDHKIDRVSLPRNSSIESAHSSTSSSAEHSHKSSNDTTHTESPEIQHLISAA GSAENLISHLLKDKQHAASQNAQLWKLVDKQRSLLMGLNQDLERAIRDKEKYRKKLKD LQTQAAAPHSSGLTTPEQSQNVGGKVKTGQQAPTKEDNTSLAQAPVCTPKDRAINSLN SSPLDLTMMPSPLHLLQGQQKLQVTEAMGKSEVKDKQQMPTLASIQVSNKASVAPNDY QSSSESHHQPVQASFLKSPSPTQAQSTKQNTDPTSTSMGASPATERAEKNLHPTRKPP PAPLNLNQAKPKNHLLQDFASIDHLHSDHEPSQHIQEVPLSQRGRRKTREEDDRDREM AAQQEEAARSRSSKSSKSGKKKSKQESNTEAQTTVAPVIPPSVTSPTPRQVAIPPPSE AALNGPSLPSSIAAVLSPNSLGAAPTAPVVERTLTVPPMSPGLPVSPRPIDRPPGSPM PRLPREGSGIPLSPPLSPRGAAPILPLSPRFPGSAIPPSAAPVAISSPPPSQESFSQP SSPRIQELPRPTVNTSAANDRVESRESPVSSFHGVHIDRSLMDPAFPKLLLPPRALSS IIVRVSSSRLRPKRQSYLVLKSNDEDPVFTLSIFSRSEGRELWRVEKVIMALPQLDSQ MKSVSKFATKLPERKLFSGHSPAIIDARRTALNHYIEELLEAAVDERSALVVCQFLSN DVIEARDDETSLLTPSQRNKPVLALGAEGRPVKEGYLTKRGKNFGGWKVRYFILHGAE LKYFEAPGGTHLGTIKLQNAQIGKQTQSPSPSRTDDDVENQYRHAFLVLEPKRKDSSS LVRHVLCAESDEERDEWVLVLMQHCDNQSDDEKNKQPVSRRAEDGKNHITGFEAKVKQ YSEIQNAAPHDAQTTVRPTTDELKVIGYEQVTAGTAPTIGVQHTKRRQETTPSPASGS SAPSVETSSAHDQQAASQSSKSISGPTNGGVIQDAGSWGNKPPPQTNVKDKKRSMWGF RQRGGSDSVQTIQPLPNCGAFNLSQTQYGPIRAVFGLPLTEAVELCPPRGVNVTLPAV VYRCIEYLQAKDAASEEGIFRLSGSNIVIKALRERFNTEGDVNFIADDQYYDVHAVAS LFKSYLRELPTTVLTKELHLDFLHVLELDEKSKKIAAFNVLVHRLPQVNLALLRALSQ YLIQVVNNADKNKMNVRNMGIVFSPTLNIPAPVFSMFLTDFEAIFGSEPAERAPAPAP EQTKTIEFLVPNQLTPEDIRSPRHQIFTDLPTPAYNQTTFSEGPPPAQFPMQQPSMNS NPPNASVAQNTGFIPMQPSYETKTYVPDPQALTQPQQRYSMVPPLAQRAEYGSMNMML APSNAATLKAKRRESSMLFMGMGHRKSSVPKLRDDSATGLVPNNNFE EPUS_05839 MLHRTPISDSKDVIGVIPANHVRKISRSDANPINKPRTHHAPST TEMTFEDQSNVTSTTQKLSVRIRAACYAQDNGGSKTLERDVVRLKSSGGRMSCQRHKK GLEDLPLEIQGLILDYLFGDIHSVTSAATSLQSGVNRLSSAMRHPRRKALTDVALVSP TWRELQTREITASPVKIKGNRTGLAESTEWFSENFQLTKHVRHIEFWVPVWADKPPDY EKSRIMDASIGAAPTLSLTWQHDHDPSLSNSAALDIYDQSSQLICHTADDQYPFTYSF SNGSTSATLSEIFSHIACFFSQARIFTLEGGHCKKSNMIRQFPAALFPTPGRRLDLLP NIRTFSMRGAWNIMREYDHWKVIEMALPGLHEWHCSYAKPRAEAYSTINLILSQLPTK LRHVNISLDGFYCKDGVEGNATLGSSPQTKSATHHLCERLGRIAPQLESLNFTGRICD CFFRTATEVALTRKEASQLRAVDIVVKSCCRPVLVKKKQNPSAEEDKEGPLIDTEDEA DDNEEGTKEDDDIAVVPAVSPTISSSTNKNVLTPPTAETPTSSLNPTPTPSPQNQHLY ISTITGSITHLPFILSFERLILSAVKSLDLSSFPSLSRIRIRFIDLDSACPLLNPYFM FDDGSSSSSSGGGGGRCSGVWNEEILEALRLSRPGTRWLEDLGEIGDGDVDGDGEGEE EIRAEKESGSRRQLGGGGGAGAVAVAVGVGVIRKKPRAIRSSAYRVLAADAR EPUS_05840 MSAAVLTTNQDLSKRGISTYDDRDTFLSRRIIASPYLEESHLLD LTTLDDQSRLLALALSDLTTATRDYAIAAYEKAIDLEGLMSSLRTLVIAEGSRWTEQS FYVVEFRSTLKAGIDRDLLFTLDQKSHEEATQSGGLLKYWYGEPDAERRNLATCFWRN KDDAIKGGSGPWHKKARAIVPQIYQHIKVTGLLLTIKDDVLGWTLETAV EPUS_05841 MYSYRDTYWVLYTPAEKRFDQFTNILEHQGAETQPSPSTNGING TSGINGTNGTNGTNGVNGYPQAGKEPIAIIGMGLRLPGSSTDTSKLWDLLLAGKSGHC DTPPSRFNADALYHPDADRPGSINSMGGYFLTEDPRLFDNTFFGINNVEAKYMDLQQR KLLELDFESFENIGVSLQDLFGANECDSAIVAASNLIQSPDVRLGAGKAGILSPTSTC HTFDSSADGYGRAEGVGALYLKKLSKALAEGDPIRSIIRGIAVNSNAKTTGITVPSAD GQEAPHGTGTPVGDPIEVEALSRVFCKRSGAAIKTGSVETNLGHSGAVSGMTSLFKLT LALEKGTMPGTIGIQNVNPSLALEARNLRIVTQPEAFRETYPRVGLNSFGYGGANAHV ILESARAYLLAESQVVPVLQDDASTKTFLLPVFAHNIDSLRYRIQDLAVLVISPNQLG DLAYTLGCRRTHFSTRGFLLAREASFAVDLQIDKLVQLSGGSRGIPLPLSFVSTGKGS QWPRMGCELLDEFPNFSNQFVAWTLSFPNCNIAQNCARGVMADWDIMPDSVIGHSSGE IAAAVSAGHISADEGIVIAYYRGYVGSNSELPGAMITVGLSCEDGVQKLFEHHFENVL RIACVNSPQSITVSGDANAIEGFLAALQQEGIFTRKLRTDNKAYNSSHMSFLGDEYER LLSTAGIGSRSSPYRPDSSVSMVSTVTEGEASAKSTNRARYWRTNLESPVLFLGAMTR LLSRHPHHIIEIGPHSTLELPIKQIRAQLTANDLPGSYLSALSRAKNPVTTLLHMAGQ LYLHNLKIHFDKINQLNSTDLEKEKQFQVLVDLPKYRWAYGPLSCFEPRASSDMRNRR YPRHDLLGSIMPGGPPQCPTWRNVLRLEDSPWLQDHKLGDSIVFPAAGYLTMAMEALA QTNPLDHSTPSVSDGVEVFTRLDVKGRYAMTTSSQWWDFEISSFNNNRSFIHATGTIG IIPTPTKRKSRFRTDCPMRPQPVRVWYDKLAREGNVFGPCFQSLKEIKNNQLQKLPQT LSTTAFQNGGREGKLRQSSYLIHPITLDALLQTDAIAQARGLLENYRSQAPVAIGNMQ LYPSNLPPAGEPCTIRAVVENTSIAATNAQIEMLSSASQPQLLMDEVRLVLVPGFKAL ASTSPEDRRQPMLRVCWRPDITKQTFSSTQFTAYLESFAGFISESLQLPSIEVGRLSG GLDLVTHKYPSMRILEIGDGDNAITKSILSFQTFDGLSKFKSYTQALIDQHGNLQGRE IQNSIEDEDSNRRKMLETSKFDTVLLKKRIVKADMSNILSWVSSYLATEGYLVFNGSE DVATMLPSDPFRTVSSPSNGSGCATVVARALSPNESAAITMTDIIIVERGDEASLNSR LAMHLSQAFNGAVRVQRISFDKLDTHQIPQKSTVISTVEFNRPLLSQISADDLTRVKC ITNNAANLLWITGGGTLKARDPFRSLVLGLARALSLEQPNLKFAVLDLDIQDPESDLQ ASCVNIGLVLREILQESHSDVEYLQHKGILFCSRVLPERFLNESFNKKEKLETVVTPL GQTGTCRLSLRAPGQLDTLHFVEQAKGTPNLEPGFLEIQVKCVGLNEKDIHALTGTID TNNSTCALEFTGVVMGVGSSTSEFAEGDSVVVMASNNFSTFEKVPEWACCKLLEDEDL STLSTVPQVYSSALYGLRDRAALQERETVLVHSAASGSGIAAIQVAKYLSAETFATVG SDSKRDFLVNQLGLKKDHIFDSNQSSFLDDLLDRTAGRGVDVVFNSLTGPLLHNSWKV CAEFGRFVVVGKQDALEFGHLDMENFRDCVTFSAFDLNSLYYSTNEVYHRKFQRLLQE SLNLLREGKITPSAPLKLFDVSKVTEAYRYLQQSNHIGKVAISLENLECQIPVLSLKY QYQFDPEKSLSYGWLSRGHRPEAMELVEDLEQAGGEVTVIKGDVCQKTDVDNAVARIQ EPVGGVIHAALGLDEALFAPMSGESWHASIRPKVWGTWHLHNALSTRESSLDFFLMTS SVSGTAATATESNYFAANAFQDAFARYRRSLGLKATALGIGMVSEVGYLHEHPEIEAL LLRKGLRPLTEQELLRIIDMTLANQNKTELQCGETTTSRAISLPAWSPKVSSNCGNKA SRWIITCYMIHAAAFLRMPLAVWRPIPLEGTAPLEALSDAVQEVLGHRLRHLLLLQPE QLGAQTGLSEFGMDSMLAAEFRMLLFHTLNIDLPFQTLLENGTTVAQLTQMVVERLSV SEKETAKIKD EPUS_05842 MDFRSMFQNPENQWSSNTQVFFPNDPQFASSTERWNAYERPTYK AAVRPGSAEDVQKIIKLAASNEFPFLVTSGNHGYSATFGTLDDGLAIDMRNFNSVTIN AAANTMTIGGGTIFNQIYDPLYNAGKEIQSGAVSTVGVLGITLGGGIGRYQGIHGLLL DALQSVRMVTAKGDLITVSSASNSDLFWGLRGAGMNFGAITEATYRVSDLTNGGQVRN ADLIFPVSAAPEFFKALPSFKAKQPKEMACIAVVICDNASGEAQILVNFTFPGPEDEF MKLIQPFLDLNPGTQVINTLPWNELVTKSGFGIDALLGVKGQPHSIFGANLKNIDSSK WVEIFNRMNSFYASTPAARTSTIALEVFSTEAVAAVPDDATAYPWRDAQAYVMFQMGW TDPSATDAATALAIELRNMVVSTSGYSGLTTYVSYAHGEETLEQKYGANKLPRLQALK KKWDPKNVFAYNNAIVPST EPUS_05843 MALQIVWTGYIIDCVIFGLNGGFRHLVHLSASQATYVIRMNYIA QALAIVSNGLGKLAVGLTILRILGTTSEVWRKRIVWFMMITTIIFGILATFFTFFQCK NPKALWETQLRATTQCWDPRVQTRFNIFVATYNGFTDVLFALLPCTFIWYLQMDTKKK VALTVALGLSTFAGISAFIKVSHMASLTERSDLTWETYYLCVWAGVEIFLTIVCGSIP AMKPLWGKYVRQKALPAKGTGSSSYSNRYASRSGYQKSTEQGTKSITVHHDFEMEDES RTSSKFNTSVGSGNNGSGGSPEAWDNHSRRGLVEVL EPUS_05844 MASTDFILPQGSLVLVTGANGFIASHIVDQLLQRGYRVRGTVRN AAKASWVQELFDKRHDRGKFELTTISDYTKPEAFTEVLKGHPGAAALSFPSVQRVVYT SSSVAIALPIPNTVFPINHTIFNDAAVEAAWAPPPYTPDRDFTTYTASKVEAERAIRK FVDERNPHFKINLVLPSTVLGEILDPKNQDGSTASLVTGLYTGEKGYWGNLPPSHFIN VKDVACLHVAALLLPAVEGERLLGYAKPFSQTEILEILRRIEPEKQFESDPEGEGRDI SEVDNARSEELVRKLTGEGWTGLEETVKENVKHLQAR EPUS_05845 MDLLSLLAPPKVTRSLLTSPSSLFSAALSLRIVLLLYGLYQDAH GPLKYTDIDYGVFTDAARYVSYGHSPYLRDTYRYTPLLAWILLPTTWEPQYIWFSFGK ALFAVSDILAGWMIFVVLQKTGTSQMRALKYSSIWLLNPMVATISTRGSSEGLLCVMV MALLWATEMRHIALSGVLLGLAVHFKIYPFIYGVSILWSLESPAPITSRPAVKEETHT SILGTLSTFLNQDRLVFIFTSLATFSGLNISMFALYGTPFMQQTYLHHLTRIDHRHNF SPYNILLYLSSAKIVTTAHLSSLRFESLAFIPQLLLSTLLIPVSISKSSFPRAMLAQT FAFVTFNKVCTSQYFLWYLIFLPIYLPDSVLIRNPALGLGVLGAWVAGQAVWLWQGYK LEMLGESSFVPGLWSSSLLFFAVNCWILGIIISDHGPEDHGLGPAPEKGKDKVGTIYP REKTLKEEGVRGVEEDDSDEESDVEEIPREQTVEEMVREEMKRRRQDARTGVSSTASD RQQTDILLGEYMDDIRSLVPPNE EPUS_05846 MAGVKRYRDGPKPQGRTDVDSPYIPMFENFRAELDEHHDRREKI IKASRDITASSKKIIFALQRIRNLGEKIPTPIIKSKEYSQHTSTIHERFVSVAPDLKG INAYRYQRQISPGIQEFMEAVLFRHYLETQSILSPSEAAKLISENILLPEDDYVLGLF DMTGELMKYAITQMATNGELPASRTGGGGNILTGLQDLRTNLEALDVSGSYGVGRNIE QKMSTTRTSVEKVENAVYSMTVRGKERPKGWNPGMDTSRPVGEVEG EPUS_05847 MASEGKDTGVDTKPISPIERRNSLEKHLQHRPDPQDLKNRHILL DTNAAPSLQAAQQELERQQNTDSLKRHLEKRPDRDELVERNILPDSTAAPALQANQRS LEKHMRADSLEQKIQHRPKPEELVKEGILEENENPLKD EPUS_05848 MDLEIIHDISSDGPAKSFAYRRLQYLEGRYNLYSLLNEYEEVAE TKKVPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKMKKCPEEVVLFRDGKTLTLRE VFESINLTAYDLSIDTL EPUS_05849 MFPEHEELPDIIWAFSPKGWTDEELAVDWLRRIFVLIASNSKHI ILILDSQKSHITGEFQYYCLENNIHPLYLPAHATHKLQPLDVGPFSPLSSAYSRAVEE YAPTGIAVLNRSMFTVLYVRARQEAFTERNIRAGWRRAGIWPINKQKLLEDPEIQNFG RTTPEYQPAPIKEGPNHLYSTPKKLDEIRELQAQIEAKVTPRTQRSVRKLSHAAIQEH AGAQLLQNELNNVRKQLHHQEVQKRSKRMVKERVQRSWNLEEVRAAKEGRAPSRVQIT HRSEDSLRIRILSDRLK EPUS_05850 MAASEAHPLMEPPFPATHQLNAELDTPPHGSAHGVSTPYLPGYP RISLQNRCGALDFLEKEYCSADLDRMAGRLWWMSKQDSANISPFHRQLVKRRSIIVTE DPKLHLVWIYDRIFVKPLPRYLTSYAFWRDYLGNDADVTARSRYIHIRKAALGYLRTY FYLVRSESDFYIAQDPSLHLVPIGITWEQFCNFTTDLAKIPDRDVSRRYAYGEIRLTR LNFYAPLLLRKSHFQRVEYQYREYFARFYGPVLFIIGITSIVLSGLQVAVAVEEADPL LNGRVLLVVALWYKAPEEHMFPQNKELPDVIWAFSPKGWTDEELATDWLHRIFVPQTA RKGKHSILILDNHKSYTTGEFQYYCLENNIHPLYLPSHATHKLQPLDVDPFSPLTTAY GRAVEEYTPTGIATLNRSVFSLLYVQARQTAFTQRNIRAGWKRAGIWPINRQKLLDDP EIKNFGRTTPEYQPAPVKEGPNHLHSTPKKANEIRELQATIEAKVTPRTRRSVRKLSH AALQEHAGAQLLQNELNDVRRQLHHQELKKRSKRMAKENVQRSWNLEQVKAAREGRPP SRVQITRRTENSLRIVILSDKLE EPUS_05851 MDQLNWGKHLTGALATLVITICCYALFWRPPKRTVSTPKRFLTL RIDEVPIDKSREALEHDLKSVVERDPGLKEDSITIMQHSLVRRDQKMACATATFRTSI PANEVIRKLHQARSSLPYRFDVKFHGITPLYEGRGGTDVDVIAVPGLGSHAIGSWKSS SSNDLWLRDYLPDDVPNIRVLLYGYDTSLQGSDSRDSIEDLGSRFLESIKAFRAGTAD RRPIILIGHSLGGLLIKEALVLARNRSDDPQNLDLCRACYGLLLFGVPNLGLRNEQLN SIVQGQPNHVLIRDLVVDDDSEPSPFLKRISDQFSKCCKGQYQVISFFERKRSPTIQI QRDGTLTKTGPRTFMVTQKSATSTGLTAPADENNIPLNMDHSGLVKYESRSQDEYSIV KGKLKTLVAEAKREVGRRFAKNNLTPEQERLWSNFNMPPYTWFRTSTLAKPEKGTLEW LVQEKAVEHNPEVGNESPPQRSLCMEDFISWRDSSKSESLLVTAPPGRGKSVLSNFVL GHLESEILQKPLPARKVIYYFCNIWNDEASRNANSVLRALIIQLCEHQLRLFRILHSE CEDRDRFFSAPFYSLWHIFESMLRDDTYTRIYCVIDGLDVYQEGMDELIPKLTEEFSR RAEAGSPLLKLLCTSRPQKVILDLWEQSMHRILRCNAHDLDVFINSRVSSLGKSFRDD MRQSITDQLLMQADNTFLWLHVVIRKIKSIDVPTPRKIEETIKNSPQDLYDLYHRLVH GIIQRDRDNARLLAWVVYARHPLDLKELEDAMAIKLTEKYTSYEQCLKDRPCLVSDEV HKVFGTLLDINKGRVYLIHQSVKDYFQREDPLQNYIGVKPRLFLAHISMTYLSLEEFG CPSWDVNELLQEYPLLRYAASYWFSHIETAADINCYPPLQDFLNDIIPPNSPKAKLWM RIHRGVDFRNPSEVFESPSRISEVAISFDIGWLVELLLNKERCGVSGNFERNCLLKAA RQKGAVLKVMLKHEKGMKLAVTGAVVEAIAASHHHSMMTLLLDRRGADVQINEEVVKA AAGNSGSGREVMTLLLDRRGADVQISEEVVKAASGNYESGKEVMTLLLDRRGADVHIT EEVVKAAAGNSGDGKEVMRVLLDRREADVQITEEVVKAAAWNNWSGKEVTTLLLNRRG ADVHITEEVVKAAAGNSGDGKEVMRVLLDRREADVQITEEVVKAAAWNNWSGKEVTTL LLNRRGADVQITEELLSAIAQHFDEEVMTLLLDRRGADVHISEEVVKAAAKNSWTGVE VMALLLDLRGADIHITEEVVKAAAGNDWSGNEVMTLLLDRRGADVQISEELLSTIAQG FDEEVMTLLLDRRGADIHVTEEVVKAAAGNYRSGKEVMRVLLDQRGADVQITEELLST TAEHFDKEVMALLLDRQGADIHVTEEVVKAAAGNYRSGKEVMRVLLDQRGADVQITEE LLSTTAEHFDKEVMALLLDRQGADIHITEEVVKAAAKNSWTGVEVMALLLDRQGVDIH ITEEVVKAAAKNSWPGVEVMRVLFDLRRADVRITEEVVKAAAKNSWPGVEVMRVLFDL RRADVRITEEVVKAAAKNSWPGVEVMRVLLDRRGADVHISEEVVKAAAENYRSGKEVM TLLLDRRGADVHISEEVVKAAAENYRSGKEVMTLLLDRRGADVHISEEVVKAAAENYR SGKEVMTLLLDRRGADVQITEELLSTTAEHFDKEVIALLLDRRGADVHISEEVVKAAA ENYRSGKEVMTLLLDRRGADVHISEEVVKAAAENYRSGKEVMTLLLDRRGADVQITEE LLSTTAEHFDKEVIALLLDRRGADIHITEEVVKAAAGNSESGEQVMKIFLNRRGADVQ ISQ EPUS_05852 MATAKGVSVGDNSYWHQGDNITTNHHHPLPAPPAPPSYKLGLNL DGAPQIDGRLFVGREQELAQIQEWLSPVNPTQNVVAISGLGGMGKTQLSLHFAKRCHP SYSAVIWLNANCETTLKAAYVALVRRFHGEDGRGEAVGKIDEEQAVRTVRQWLSRPEN KTWLVVYDNYDDPQLPGLRSSTGYDIRTYFPYSTQGSILITTRSARLTFAKQVRLKKF DDLDQSLAILATRSSRETRGDGNATKLAKRLDGLPLALATAGDYIGKTPDSFGDYLQM YEDAWQDLADNSDGLFNVLKGGSERFDGCRVDSLHEKHFNTTTIDFRYCH EPUS_05853 MIVVMVCNVGKALLMFLITFVLKDKPLITIGDAIDSFLNDSDQT TRGLCLSSKKSIKAGKFPQYGFEMVKDYDAALVCCYEAMSIQLKNEGFSKDWKADPVK YRSSVERWFSAVTPARWYSCLALYFCCMLTVTILLVIGVNTANYNNNGVARSALEFIN LGIGAVTPDTLISGWHLASIGGTTIIASVLISNIPQMILSFLYLLFNGVFTSMLLATE WSDFAHKRKPLRVSDPIKGQRSTYFLQLPYRYAVPLLILSGILHWSVSQSIFLAQVAT YSKDGDLVDNAAISTCGYSPSVVALTMIIGTCLAFFMVLLSVRRYKRGIPLAGSCSAA ISAACYGREDVDSAAPLQWGVTSPEGEEIGHCAFSDKEVRMPVEGRLYAGAMKMD EPUS_05854 MWTLESDGEFLQGKRVWLRPGQRYLFGRVKKDGVFQAIDHKGVS RRQCVIEVEKVKPGDGSLLHTRSKIIVTDENSRSGTYVDGKLLKGASQELKSLQHHLK LGAYPYILTIKWQPVCLSFFLTSKEKKSPDPLRVKRDLLEPLDIKTIQPFVSNQTTHV VATKRNTVPGLQALISGKHIVDHSYVDALVFAATPDDLEEDENLSPLEQDFDAAWPDP VPHLPPQGREPTEKPPESYSPDSTRENVFEGWTFVFLEPGQYETLLPAITTGHGKGLL YNLEPGKTTAEDVVTYMRNAAGEKGVGSFSQSTNEGGVIMVKPTLKKEWLQWGDELAN EVALKLDQKYIDQADFLDAILGNDAASLRKAVPFETMSERGAPPLIAASSTVAKNPPS TSVPQETQTASRPNDVGGAGNPKASPPTLNHSPEQEAPPQKRARIRAAPISRFKAFDD EFDMDAIAPYEPPDESIGSQTHPSQERATTPFSVEDESSQINGHAGGGGGGGGHKRAR SPSDDAMVDGLLPAAVAMKKRKLAFDKGNRGKNVTGAQDHAEEQDKRKARKAPARQEM DVRAVARERREAEEEAARRDQEVLEANLGDISVEEMKKLAVVVEMEVPTGNKRADRAI GDASDRWNERWNGRKNFKRFRRKGEGDGSARRPTQGVIVPLVEVKRKNYGIGEAYWSS SRDKENDSGRKSGRGGNVSSQTQTQTQSQTHPLAEDSTSPTMTRLQQEAADIVESIDV DRPRQTRLTDRTQQSQASVNSSKGKRAASSAAHVTAKKQRTIRTKAASDSESDEELRF RFGGRRG EPUS_05855 MPAIALPVALPAAAASLAYLNARWAVSNDWRILSSAARARARQA RLEKRDRISIFYALEEFATASRTADLPFLVFEGTTWSFRQTYDSVLRYAAWLHHGHGV ESRHIVALEFMNCPQFVFLWLALWSLGAVPAFINYNLTGAPLVHSIRVSTARLLIVDP EIRSVLTPEVEAEITSPTFLSRSSTSNSTSNSDSVPASTSPLKIVHFTSTIQSSLPYQ PAYRAPDSARSHIRPRDPAILIYTSGTTGLPKPAISSWNKFNVGGAFTASWMGLRPAT SQKPDRFYSCMPLYHSSAAMMGLSNCLINGTTFVLGHRFSSSKFWKEVRECEATVLQY VGEMCRYLLAAPPEADPDNPSENLDKKHKIRIAFGNGLRPDVWERFRTRFGIATIAEF YGATEGTSGAWNLSANSFAAGAVGRNGMIAGLILRKHICIVKLDYESEMPCRDPETGL CVPLPRGEAGELLCALDPRDIEAQFQGYFGNEAASRKKVLRDVLKGGDAWFRTGDVMR WDAEGRWWFVDRIGDTYRWKSENISTAEVADVIGRFPGAAAGGTGAGGGEEGVVEEVN VYGVQIPGVEVEGRAGCAAIVFNTYNNNSNNQKTELSERDKESLMADLATYLSNSLPK YSVPLFIRLVKHMARTGNMKQQKHVLRNEGVDLQIVEDRHGEEIWWLRDHKYDGGRGE EGRRYVRFRQGDWDALRAGRARL EPUS_05856 MVRIRVFARVIPEDVYLRDIGSSTPSRPILALENEKKLMEVIDN PEKVSIGCLVNQFRETSQRLYREPLGEIKYLKDSELDADLDPEMSIYDAFVDAGRAAT SPHDQKATFKIICKVKGRYFDVRQGSVVPDLSNPQFQHPLRPPVPPFPTTNQSLGKRS RLDAFTEEASRREGSHSPSSTRRRRLDTLKEREAFERPVLSIERDVHKPHGEGSRRQG SPVVIPETQDPYLQHEAYRRQRGSEILGEDEPKSESPEVGDSIHSLPIAQQDAHDVDI PEPAPPTRRLTKTSSRISDDNQTRNKGVSAQESTSHASSSYYSPSSGSVLSTSQGRVS GGDPATASSENAGVLNGARATASFTRTRLDLAKERAVENGPVTPTSDTSRRNRSIATA QATAQTAPHRTGPSSRTSSFSYKFRRDDRARSAESEIDDTQMSPRSRRALKRPRNARN SDAGPKAISLKELSGLFHHVDENRPLIQEHKRRRKETTWDFSEHVEGLSGDEDGNTSR GEDQRQEEFHQASASNDSSEKDEAEDVAGQRAKSQSLSDITNRDSNASTERPIHYGSL NTLSLSHPAQPQAFSNEPIAGNMSENDSDKENANYEQATHPRSPRVHPRQDVNVAEAE SSEAQGRERASAGSESGHGKQENNSVLKILNNEAISHGNAPAEGVASSAQHLHHDFQE QMQPSSSLPDAPPPKKRRMRKKKSRLEEEEETPKFDNHQVKGQPAVKLNARNVSGTSD NEVSVSVPASKTRDTAIPLTEPDEQLSQDLQASARASSNKPSITPKTGKVPAQKQDSW LKHGTRTADVRAPEEFSGKPLRGETEVGQGSSTVERQQGGPSDSLHQPGEAVLDNSNP VKNVSQGKSKDGKLGLGFSQSPPSKNSAFRQPNVRVEKASRKEHKENSESLPNAKGNV PFLKKSRSFDKVMSSQSWSGDTPSRPAQLEQKDHSSADHSAVQLPARKSSAPGVPRSA AGNAPVLTIASSGESGPESDSSDDASSNAGNETSRIDQKNVTNGAKIVTTDAAVPSIE ILSDSSSSEAGVRLHPSKTATKSSKPHTNGPTIIPKGNNVYNINGTSIVVPPGFTLDA YLAMRSDLANQPPKPNPRNRIAGSRKSATPTLSRKDSSSTPVPVPAKVAPKKVVSKSN VKQSADSATAKDLDQSSAAPSTTKTAVKQSSKASKPKVSVQASTAVAAKKAQSGDVVS SSSSRTQRPANVSTIAKNPTAGSTSTNIKSAIAPPAKPKAPHNAISAEKPSYMKELIA QREVQRAEKTTASSFKKSGLPTPNLANGKNLLGDGDSESESETESESDSETNSSDKRF VAAKGRPTSVKGPATSTVTVDLSIRDGSPSSDEEEL EPUS_05857 MASRKRSRVDEQNRTNNEEESSSDDDFGPALPSTIPPKKKRKLP YEALYVEALPKGQRYSKSLMHKDQVATVTVAPSPSDFIITTSIDGFVKFWKKIATGIE FAKEYRAHNGRIQSTSISADGTAFATAGDADDRSIKLYDVVTFDLLSMINLETAPSCI CWVHNRGSAPVLAVAVGKEIWIYDGRGENQMPIHVLKNVHRSPVVAMAFNPTYDCVVS ADDAGMLEYWTPSGNFEKPENVFKMKSSTNLFDFKKARSCPSSITISPSGHQFATWSF PDRRIRIFDFSTAKLHRSYDESLTTITDMQQAGTALQKLEEVEFGRRMATERELETPG VRSRVNVVFDESGHFILYGSLLGIKVINILTNRVVKVYGKEEPFRALNVALYQGQPQK KGVVTVSMAASANPLLQEAEERDPMLFATGFGKVRFYMFTNDEEISKSERDVQNEKPR KGAQKQEEAKKAETGSVAIVHTTYGDISLRLFPEAAPRAVENFVTHAKNGYYNNTIFH RVIRKFMIQGGDPLGDGTGGESIWGKDFEDEFSDLKHDKAYTLSMANAGPNTNASQFF ITTGSDKMPWLDKKHTIFGRAFQGMDVIHRIENTKVYKEKPEEDIKIVNISIT EPUS_05858 MKILDLNHFSSPQTQQRTDPHREFRAEDKKFPACQIKQQQSFEL EAPSQPSSISLTWLLLAMAFSNNPTQIFADDVQEEKGENARLSAFVGAIAVGDLVKST LGPKGMDKILQSASTGEIMVTNDGATILKSIALDNAAAKVLVNISKVQDDEVGDGTTS VAVLAAELLREAEKLVERKIHPQTIIEGYRIASHAALAALEKSAVDNSKDKAAFRRDL YAIARTTLSSKVLSQDRDRFAELACDAVLRLKGSTDLSHIQIIKKAGGKLSDSYLDEG FILDKRIGVNQPKRLENAKILCANTAMDTDKIKIFGARVKVESTGKLAELEKAEREKM RAKVERIKAHGINCFVNRQLIYNWPEQLFSEAGMVSIEHADFDGIERLALVTGGEIAS TFDHPEHVKLGHCDLIEEVIIGEDTLIKFSGVAAGQACTVVLRGATEQLLDEAERSLH DALAVLSQTVREPKTTLGGGCAEMVMAKAVDSAAHKIGGKKRIAVDSFATALRQLPTI LADNAGLDSSALVTELQAEVYRGMMSSGLDLLTPGGGIADMRDMGVIESYKLKRAVVS SASEAAELLLRVDNIIRSAPRKRERM EPUS_05859 MAAPFRRQPGLGGGSTKKKLAFWAFLAALTAAQYSSHDTVNDRI PDPTSRENRIQRSATPLSAGRSPTESALHKLDTTYAKYRNTHTSDNDDFMSALAPARI DDVVRAPPARNGQPSGLSSLPAARSLQDWEVEDFILVATIDGSLHALDRRNGRERWAL GNPDSPMIETIHHRANRSDNNDLDSDDEFMFIVEPSLDGNLYIQHKDPAIGLQRLDMT VRSLVDRSPQRTRDPPLVFVARKEASMYTIDAATGNVKDFIDTTATYFNEDATKGSCR RLSGFEVDEQDCEPAGVLHAGRTTHSVVIQDGITRQMICTIKYSEWTPNNGDMDLHGQ YNVPFDNRKIHAFHDGRFIGLDVSGDDRRTKFHGKLDTPVARVWDIARPIGHLTSESS LVMFSPPADPAHLNTIQWDGEHGQDKVFVNRTGAGWYAMSELRYPGITSQAKKAKINT VGGLETRYLDFEADSDSLIGYHDLNIIGKGDQVPLTISGPLSVSELTVPPEKDLDTSS PLTVPSIIAHPTSTYSTGMLVVMTVLFAFAFARNWKTNHTSLQTHRKQGLQTLTEKPL PDLPRSRSQSFTNGTSLAAVGDVHVSIHTGNLAAPLVEESSLACEPVDQQIVMRDLPS PQLRQNSAGLLDQGSESESEDESAETKNGEITPGTRKKTKRGKRGARKGKKGPKKSMN PDSVEDLMIVPERMQKDGEMIVGRLQIDTKTCLGNGSSGTVVFPGQFDGREVAVKRIV RNTHSLAAKEIKHLLSSDENPHVIRYIGKEETTNFTYIALDRFTASLDQVVEHPERYP TLVCPPKGLDVKDALRQITDGVQHLHSLKLVHRDIKPQNVLVRAVKSHRPVIGQPKLQ FVITDFGLCKTLDDGPNSTYAPTANHTAAGTSGWRAPELLVNSKATVAAPLASTGTAS TRSASHSSDGTTIDPPTGRRATKAIDIFSLGCVFFYIMTQGRHPFDVGGESLGRDLNI KENRSDLEVLRLYDYSYEADDLIMQMLKHNPRERPDTTAILLHPYFWDVDTKLDFLCE VSDRFEHEKLTPGSPHLAALEAQALNVIGPSNDFLKALPKNFINEMGKQRKYTGSRML DLLRVIRNKKNHFHDLPENVREMMMGGTAEGYFGFWGRRFPSLLVVCHALIWERGLVA GWRLDRYF EPUS_05860 MVQSVNVSGYHLQFPKIVQCRWLLNRVLCASGEMVFTDLESGAG RRNGMVICKVMKLEICHVPSTFRSLDMVEPEPELEVA EPUS_05861 MFRKLPGKASPATATSQDVNSHPVGCKVIHEPATLHARADIVLV HGLRGHQNRTWTTGGIFWPKDLLPEDLSDVRIISFGCDANVTNFLQPTSINNIHYHAK ALLEGLLLYRENCSERSIIFICHSLGGIICKDALDYSKQNVGFQPSLAGIYPRVQGII FLGTPHRGSTVASLAVSASRIARAALLDVNEAVPKAIVLNSEILERICEAFARMLATR TLKVHSYFEGLPTSKLGIVVDRNSAIIGDAFEKKSTIYATHQDMCRFSGAESDGYRKI LASLREIITTVGPGNEEVKDNLHKQNSLVKTSPIHSGFSQLSTETQSLPTSHALGISG VTGDLLLTTESNQNVPQTRWSTERAPYGPVSEKKHPRKIHAILRDKDGDHMLSVLFED RCLNIRFHRTVRLPDDDKDYNLPASLGTFPLFNISKFTSTMSQDVIEKGGFFLPMYHK YDMEGFRIKKEAMWISFQESNVWKHTSRTVPFAIRIYAGGVNVVSGEPMRPNMSTFLK SRNGVARKQDYIVHPEQRWIDGAAISPGIVRQFVAVPYGSGHSIEKQVTGFECVGGLQ IEIIPATGGMRILVSTFRDFYIYLHPDDTIATLVKTIAKRDGCQTPYECSFEGRRLEN GEKGHLMVTFNANDDL EPUS_05862 MGSVEVPKKHKACVYDKPGTISIKVEEIDTPEPGTGEVLWPMLP YPTQPGQIGGHEGVGTIASFGPGNDSSPLKIGDRVGIKWVASACGSCPPCFAGVDASC TSGKVSGYYYPGTFQQYALAPAHYVTPIPEGVASDLAAPMLCGGLTVYSALKKCRAGP GEWVVIAGASGGLGHLAVQIAAKGMGFRVIGTDVGEKEKFVKDCGAEFFLDVSKFEKG EKGTQDMVKQIRDLAGGFGAAAVLLCAASNAAYAQALDFLRYNGTLVCLGIPEHDPQP IATACPDKLVLKQLSIVGSAVGGRKEAIETLEMAARGVVKTHFRVEKMEGLAKVFEEM DEGKLMGRVVLDLS EPUS_05863 MSAPSRQFGKLSIDTQMPTTRRQAQGRSAEKPSKPGTAASSSDD DSSEDEQDQPSSRSQPRTQGSLAKGKQRARSESSSSSTDTSTSPIMSRAYKTRDILST FEADVLPTEFRPDIFETISHVRSPAECMIQLDLEGTIFRLAVNDHAIYRSLSRAQPVQ ARAVDLFQKVTKRIRITLLAFDEYAKNGTSSAHLPVPHPSVKDLGSRLQEYVDLIKDE VRERHPHGGERAAECLIFLLRDVCNRNHDAFENSTWGRRAPRGEDEDERNLFQCLIGH PSVGRPPFALDALRILPKAVLATASRHEQLDEIRGLLHRQQAPLAYRRAFQGILDSIT EATSPTAGPHPGQKRPAAGAGRGGQKRSK EPUS_05864 MSSPTSASDVWLVKEHVIPASYPRGFRRGVRNPYTSRLRLHIKQ YTPQKPARPGDVPSTVPKEAITIVFHHGAACAKESYEPFFADLLAHPSCPPIRSIWSL DAANHGQSYLLNASEICDEPHWFDTAHDVKHLINIFQAEMPPPLVGLVCMEPTTESGW WHGTYETGNPRIKGLAGRRDRWPSREAARKAFGKSPYYGRFDPRVFEKVLQYDLVDIP DAEGGGVAFTTPKSQEWALYVRPDPPLPGYPTGEEYMTRLVEIQMVTGFYRAEGSRIK EFMTGIHCKTLLVWSTEDKWLSNAPYRERITTALGTSLMGGGGKERGQVEEKFVEHGR HNFPFDNPSESGAIVANWLNDTIWPIFLKEEAGRLKEPITNSKDFQEGFRQRIENVRP MAKPSKL EPUS_05865 MSSKPPPPGISKHMPPSTPEARRAQLVGISSSDSHDSQPHSSQT TEARGLDISGSPPNDPEDHGPRAAQAGPAVPSAPGSSSGDLVTWVPRSTPGRLSTHRA ASQNTPEQRFPAVALSSRSKNVQGSIMENNARAPRYVADYVTESITTSNRSLQRTNEA IPWSPAAETAYFNSTRKTPTRKRSTRNRIPTEASKLSNVIRSIGSALVVSEQASRRSS HRQAEIPALHSKHSRRAISRSTSSSQDGLQREKDPGSAARLAGPPSRKHSRISQSYYD RELRTEVISLLRQDAVIADIAREKKIPRSTVNTWKRNAIAAGELDTARLKVVKPWGKR KRQEVFALRKEHYCPTEIERLTGVPRRTFATWNYKQEDTEAMSGSPKTSRSPSPGVAD TSQESRSPVSGPSKEPGSPSIAEPRPLDDSGYASDVLIYTPWDTCVAISPRLLD EPUS_05866 MERKHKPSTIPISPSLPQAAVDVSDYTVKATLPSRDTVTINLFG ATVTSWTLASGEEQLFLSSAAKLDGSKAIRGGIPIVFPVFGPPSKDHATGKLPQHGFA RSVLWEFLGKNTSESRSGKKGGDEEVKLDFGLSSGMLPEEMRQKWPYEFGLVYSVTLG KDTLETGLHVQNTGGEVVEFQALFHNYLRIEDISQISIHGLSTSPYVNKTTSPPSMIS PSSSSEPLTLTSETDRVYSSLKPSDPVTVQQSDKTKFILTREGLTDCTVWNPWQKGAE GMGDFEPKDGWRQMICVEPGAVSGWVRLEAGDAWEGGQVIKAD EPUS_05867 MTSVEVLIPKAVNHPPRSASASTTKLSTCLPESLPSPPESSARS EQQQRNADTYPVTNAPPTDTPNPSPASCTASLEAGSGKLRKRVDFSPWTVSTDITAPE SSVKSLPPSRECQSSKSILKQRPCPTAIQDEVPHRNEDLATMLDTILRQLANADRQIR LDAYTTLLSALKAYAELPDSHVTKEKVQALLDFIKRDVSLESGIPMEPLETNLILQAL KLLVTIVWTRTLSVHLTDTYRSFIIDHSTRILEERQVSKALILHHMHLLSTQDFSNRT MTGARVVRLLEVLKDLPEHVRGNGVISERLMIYQRMLEQVKRTFKAKSSCWVSQLLSA MTCSVPDTRKKAIALAQRVAVVLGSSSTVATAVRDTLDNPGEHDDVLSSVICKRLVRM LKSLDEARQVPQIWAAVIVLMRGLDHKFHEWRHLHDWLRIIQKCFNCSDSEVRIAANM AWNKLVYVARPYGVTKSFLANMLMKPLLAQLERPSNEKQSKTTRNSAFAAYCNLLYYS LKPSEPFGHYDAVWDEFVVPALRAPFLSNGQNSDRACRILMALFWREKISPWREARVL DAAVIEPEELPLLDCKWIRSRTRSILEIFELLFRSSYWGPAAYPDTAYIAAAWRNFAK ALGDACRKEVRSSSETMEAVTHVSMFLTRLCQRSSATFKEHEDDYVSRYHFICKTMLL EFGALAFVEVNLLSAPDSSSLPHNEKSKGKGRPLMIDILEATRNLPSSGQDDVYLNMV FDLLQLTSKSRSSANGRVHFYKECAEAVLLAGSVATGERVIWNAIAQLTRSELANASL DCLGDIVDVDHLVTNAVRILEFGVPYQSGQPECWSELLRQSLLVTDGSHQSGLQVTDR LIIFLGDRDSNEVSLCTAILVREFLDVLTSPASINVHKPNAKRAKREEGDVQSVYRSL VRLLNVHLSRVYLLGDCCDELVLASVTDAVMSLLQRSSTEYRLACLTEMQESLALWLE DQRRLMTTASRTGSLKLVQARKLCPVIIDVLGRLSNEIDLKLFDGLFAAAFRTTHRMT INQVVKVWNSNYGPNESLEYGDRLKEALARLIPFVELELPSLHDFESNETTLPELEYL ENLDEEGSTSNVVDSSKTAKLVQPVVVPAATRTVHQKHRLDSGKTSTPLEAGVRARLH HDDSQVHFVSIESSPAPGRDAESQNLTARQKEIRERQGGEIALMFPDLRSSPSCAVQA AKTAESSKLVLASIEDEVACIGDPATPTLPLRKILDYEEAVQSSPTPKSKQQALRFEE IDAPSSPLSMHGPDDTMDPPEATIQLGCPDEVQDVTKEKRTGGVGLFDDIPVQPSSIG NEMEDVLPTNVEDLDAASLSVKPFQDHKHLVQIFSGDLHEQTADFAEDIQEAGPQVRS DLTSGEVVMLCSVTDVIPSDEDIIGKDAKRTCDQGPEGANSLLKVEQDTITDIIVAGI RPPTDRSNERRADIIHETAINLCSPTEESTKVYSDDNDFWSASQLSQDLERAASSVPS QSPEQQPRFATSAPAKRMRSPVAPPKSKRRKTTGGLVERSSTRRLPSSTTESQPSQAA YDCIEVETPSSSQSSIRAASAASQGPVLSQPALQPLSQPVKRGRGRPRKVQPQATMPA PAEARESLSENMKNGSHERDSPAKACTSPESSDQHGAPQIRPRSGSSWSHRSDNVDHA LSSGAASNQNSPPQRRIMEVKTDDKENQVSPEEAVELLQKALSSLRRTSMDRSGLRAI DDLVFEIRTEAQNAAQRIPRSGT EPUS_05868 MQVETRTPTGKIKLNHIQRILTTLNDDLSSPHLTPEQRREALEQ LKIHGRDPKDAGPIFTTEGIGILTKHGLTERSSPSSLEALRCLANAMLLEPRTRQIFV NLGSAGQAAERLKIPDSDNEFLISRILFLLTYESNLNFDPLFNDHDLGQSINAHTARH AKEISQADSKNEPSSFDQAALSESLKLLFNLSTYYPRHVSTFTASSENIRKILSHIAI PNPPLQPPITHLINALVNLDLQDDHQSDSISNLTTTITILTTILSQSLTSYNPTELET HAIPLLTLLRKIYAYTSQTQKKHMRSLLLPQKSDRDLPLGQSDTLPSKLLRLSTSPAA PKLREGISSLLFELSDQDATTFVRNVGYGYAAGFLLSHGMAVPESAMKARHGSARDGD GEGGEVTAAEEGELVNPVTGQKLSKEEEAMVDTGPEMSEEEKEREAERLFVLFERLRA TGVVSVENPVREAVERGAFDGGGAGGTGGGRVEELGDHDHD EPUS_05869 MEVFVRGVPERATERQVSAFFLPILTNLSIENWQCQKKGRKPFA TLTFLSSKDGQRFLSWYGQARIGLGGADRMFQGKLLLCTRSNKPADPLALKSLEMDAK VRQTRTTKPAKDHTVSSLECSSVSCGLWEYIDSNLVFTSYLEWPVRGSVKFGAKVAVI RMDTGQSMDIPYSTIQAIATEGLPQPGMTLTLTEAPHFSQPVGKANGTTTGDLSDVLK TVLTFSPQSGPSKERLPGLNIEHEKIAGSCLVYRIGLVNSTLNEHMDALSHARGIPPT TRCHTRIHSPKGQYGAEMSRLRQALTEANTRLPFEVKFQVQKLAQSGYLSPNKVLALL PEVASLWSRSNIRVCVNAIRRLFQQLPFAGPNTDAVEFQLEAITSLLKENEERLKTGG LYFNDPKQSKNVAIIHRVTVTPAGTYLYGPEPESNNRVLRKYPEHHDYFIRVQFCDED GIQVQYRPDVSNDLIFYKRFNKILDEGINIGGRQFKFLGFSHSSLRAQSCWFMAPFFH NGQLLHDRMLIAGLGDFSRIRCPAKCAARIGQAFSETPTAVTLAPGVAKEMKDVERNG RVFSDGVGTVSMSVLQQVWDALPSTNRTKPSLLQIRYSGAKGMIALDSRLVHDSLFLR KSMIKFPGSDSTDVEICGAAYKPLPLFLNQQSIKILEDMGVKDDFFLYHQAREVERLR STTSSSTNASKFLKAQAVGEIIHLPWFINKLRSLNLSFQADKFLREVIEFTVLIELRA LKYKARIPVKDGYTLYGIMDETGIIEEGQIFCIVESDGKPRVITGKDLMITRAPALHP GDIQLVTAVAVPNNSPLMKLRNCICFSQKGPRDLPSQLSGGDLDGDLYQIILDPKARP TKVFTPADYPAQAPVDLGRQVVRKDMTDFFVTFMATDQLGRIATLHKVLADQKQAGVS DPDCQLLAAMHSTAVDFSKSGIPVDMKKMPRYNSYRPDFMAPGPHIAVQKDKPLSFDA RPETNDGDDDDFQPYKYYESDKILGKLYRAIDEQEVFNSVQQQAPLHVIEVGRTRGSV SPVLKGIRTYLQRRCQAVQWKHHLERARGIRDEYEDCLLNIASEYSSQPSRPLSELEV FVGNILGKTGAQSKKQHELSISMKERFNDDLGYIVNCIAKDGNERSEESLARSIACFE VGLEAEKKGLRAGGEFVSFKYVAAAVCMREVDKVLG EPUS_09174 MEQPLAPWKKPESGKREPWKKETIIGGYSGGYVDDDSDDDEVYP DDPIKSRQAEISESHRDILRLFAKRPYNSASGSKSKPPDLKQEAEVEEFKRRYGDILV EAGEGGKTILHRIVESPTDARPLLRWLLTTYEKLILQTDSKGNTALYSALVVDRGRFV STVLKCSKNSAEALQIPGDDGTCLHIALNLQHSLPDSVPTQDRRSADGPHGQQTTKRQ HVDVDRMIAELQGSPSVQKEGEPATGTALRDVLKKLDKRGNNLLHVAWSAIAEHIEKH ALHCNNDSTDPPTLTPAVSVLEHMVQVGTKLMEEYPEAIYQENHKGQLPYTCLGSAKL LKSCTSMVEEIKLAYMRKLAHEEVNELLYPNGTNELSIFFDISRFTTDPVPATELDKW WGYLRFETILQHVDLPNLSIVGGENLLTPFSSASEPSPDIRELIGRVDIVKILHWLRR KGVGKILELTVTDDESKPHYDEAIEVALKGFGIEILNWQKLDLCSDVLYNAVTDVRKL YLYSSGNSDVLKGWSSENGLERLPKLQDVYLSEYASESRIETYEREFRKTLKARRPEV EIHMIPWKAKGGTDSSSQRNQGPSVKHPWLDCMDSFATVIRSNERRFKDFAPEVKVAI IDDGIDPRLPKFQKWIKTGKSYLKRPDNPNRVVDFWVNPGNHGTEMAKLILQVCPTAK LYIIRLDEGFGAQGEREIRLDSAIKAIEWATQIPVDIISMSWSINKRNVSRDDQTTFE NKIRAAYERGITMFCAASDRGKRMEPGLEEDMPAAFGDPIKIGAAKADGSPYSALGFQ EVDFYLPGHELNLHGYQPSKGQPQSGSSLATAIAAGLAALIQYCIGIVDKKDNAYKGR LGTEEIRQAFWKLCSAQQKFPEVEKFFGNVAALDKPDQVLTKFRKIAKGLRKPAQGEE EHK EPUS_09175 MASELSSEDPLIALTEEQVNAPLGDVFQVPNKSPWEEEREAVLI AARIRRERGGQRSEQEEQARPSGEPVPPSRGRSRSRPSPEERAADQPRGHSQRPRPAD ARAGAGAGAGAGPSRGARSGRSTGRSPQNPPTGHRDAQSSQRSHARDTGAGPTGRTQE QMVADRGRAPAGEIRAVSPSARPSPGSEAGVSSAGPVQGPPPALGTSAPSPLAHLPEI VSAAYHEGPPGGFGKGIWWHFDGESWSKAKVGKLLPRPPRATQPSRRSREEMNVRRQM ADERVAGLSQVPETGKPITRTLSKANLREFDAQHGLEPGRSRSKKSAASSQTSGAGGI MRAGVRPETRKRLSVEHSRRSMDSLVSRPEGSFTSAPGAGGGISRPGLRESLDRGRAS RVGSMTGSLPRSRRGFPDPSAESGRSTPASGPSSSAGSRSGSFPGHWPPHAHRTNPNL QEGLHTVYSDALDALGMSLSSPGPPAPGPLQRLFSEAERSAGSGTEPLARTSSGPSTE TAAEPLTRTSTGPSTGRAAEPLARTSTGPSTGPGAASSLQSASEPLFHENEDRGMADD GRRDLDRYRSEARAYVNLKKSGLCDRGIVPKLYGFIESFDPKNFERVLHSFLRDKHCP NAILLEYLPGAS EPUS_09176 MVRRSSISDEPEVRPAKRQSDTDNDNDNDDAESGLESECEGSRL RPRRQRKSPAGAARRPVKRRRAGRAETKQQRQIAQNTLTPPSTSAPTGSSELETEILE DEDENSQVSIHSSALLLKWKDIPYPGLPQVGYAFSYASGPIRFLKIPSSAPKSLAAHW RPPQNYAAYVIYSLNPAPNMDNKLQGLEMVNELAVHGFVEDENCDVREEIVQGMRALT FHKGSVAVSVTKLGGAPKFKGYWPGSKLDDEDKKMISAKLQSVMHDCAVANHKPSKWS TTTSPRSSSSTTSPKSAKTSPDSTAPRSTTITASQEEAETAHSTPSSSSGASASGGIP TSLEASGLIKFIKVHWRHSKCIADAIHLIRPARLTTNLRSSTGSADTEEEDDFKAVVV WSNLKEKKEGVSLLEGMIAQRLGGSEEDFLEGVTVCQEVIDDDDEEEGKGEGEKWAVL RKGDVVVGFPGERGMDIFIERVAEWEKVCN EPUS_09177 MTSNHFPVGTPPSDAISSVKFSPLPNSSKLLVSSWDRNVYLYAV EPDQGSPDQKTCSLLQTFEYRAPVLDVCFGANDEEFYTAGLDWDVRHTNATTSEQTVL STHDAGVKSVVYSKEHNLVISASWDSTLHIHQATSPSSPPAVIPLPSKAFTVSSTPTK LVVGMASRAFHIYELSNLAKAAAEAATQSSPTTIDVDPHQRREGSLKFMTRAVATMPT DSGYASSSIEGRVAVEWFDASSESQSRKYAFKCHRQTIDGVDVVYPVNALAFHPIHGT FASGGGDGLVALWDGVAKRRIRQYPKYGSSVAALAFSADGRYLAVAVSPGFEDGKDEI AEGSVNIFIRELGPTEAKGKGAR EPUS_09178 MSSDKPARYSSTRWHDPSAQQYFSFEDVVLKGLASDGGLFHPVT IPDVSKVYLSWKDLSFSDLALQVIRPFMSAAEISDTDLKSIVDQSYSVFHHPDTVPLI TLDASKDLHLLELFHGPSFAFKDIALQFLGNLFEFFLVRRNRELSPGQSRHHLTVIGA TSGDTGSAAIYSLRGKQDVSIFIMFPDGKVSPVQEAQMTTVLDSNVHNLSIKGTFDDC QDMVKALFADAEMNKSHHLAAVNSINWARILAQITYYFSAYFQLLKHNPNLPSNDSVQ FVVPTGNFGDILAGFYAKRMGLPIAKLVIATNENDILHRFWKTGTYSKKKAPPQEAEG SGFAQDGVKAHEDGVKETLSPAMDILVSSNFERLLWELTFQYEKALDEAASTVNSDSM PSSSAVAENEPAVQRAGRKINAWFSALKKTGSFTVQEPEILAAARAIFTTHRVSDAET SATIQACYRGEIIEHKGYVLDPHTAVGVAAALRQIQQQQPSSNGEDVTKTDEQIQSQN QEKVKMHTIALATAHPAKFAKAVDVALKGEESFDFARVLPQEFVGLVERERRVRKVDP RTGLEGIRNIIVEEVRREKEGDGC EPUS_09179 MGPSLRDHSHFVRPATRERPGTREQVDSSLVIPSRTSSLHSRIT QPIPATLNMKPAQRTPKTLTHAYMVCGVGREPSQWVRAPQLSQGKIGHMKGAVGQFWL PEILGSSPRVEQDNEIARALHAAMRACFPHDVEICTGRSQPHCVHHSFVLQQDSSHTL YGIALRVWSRADEKRAETIRELRRRTETDYYDTADETYWIPYCLSFLSRYPLYNLLGD YLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTGFQN FSMWPLFTCLSIPNIVGVIEAAVSPTRRIVFVSHYPAILTVAAETIRFCVRVYEWSGL YVPVVHARHAKELVNEPGPYILGITAECRSLFTAPTDALVVDLDRNFVLTSSPPNILS PGQRTKMITRLTQALNGDITPSGVPQHLRAAYGGGKLIPAGRIIVEKGEVESIQDPAW WSQDSVMTVMDHVCEKLGRNTGVKAILGGTVKKPLMTKVSMRHLNEIVRERNQYSRDA LEAWQDFINLKGRMDTELHKVTKRNNFLETELETWKSQFLKFQAFAEQLNKEVSELRV KIESHKRENRRLTGLIDQQKDDQARISLRLKGTEIQRDQALEALVLQQGIAEDLERER ARNRKEIAALQHTNSTISRQRDEAQRVVLHLRSLINGQTHHMEHIVRSLGTAPELSEY IKEGYEDVGEEEEHDETEHKDSGFVATMKSISGLGDSPHTSSTSSVNGMSSEVEGEFL GPSSRTNKRFSTLSMSDVADRHLRDKTDAIADIIRNISEQCAAAVEGLQLANEDLEVP ETAGQQAEEEDAGKNSEDGQESSQDGQNSNRSEGTGVRDGHLTPVSNNHSSIPPTPDL VDRSSTAMSMASSAPTAFTERTSQHSTMPDHTRIAEADDTAEKVHGRRSGSEAGEPST HQQITKQSQDQVMSRSLVSRKVQ EPUS_09180 MATITVSAPGKVLLAGGYLVLDRAYQGLVFGLDARIYICVQAAT NSETDSNSRKIIVRSPQFEKAHWVYSYVPAKDGVRITQSHESESDTRNPFVETTLVYV LTYLFHLSIDLVGDLNITILADDDYYSHTKSSTKGSFSKFGVTLANAHKTGLGSSAAL VTSITAALLGAFVPHAANSEFSSHQHVIHNLAQAAHCAAQGKVGSGFDVAAAVYGSCL YRRFTPSLLEHVGDAGSDGFGERLHRCVENLDPHRPWDVEVLKQAVQIPRSLRLVLCD VDCGSQTPGMVKKVLAWRKERPEEADLLWSALQQGTEDLCAELKRLAQLEAIEEIKRE NLRDTISTIRSLIREMSNKSGVPIEPPSQTELLDACCTVPGVVGGVVPGAGGYDAVVL LVEDNEKALVDLQNLLDKWKSTTESGSGIAKGRVSLLGVKQDVQGIKMEPAGTFVGWI EPUS_09181 MVIKPFSHLARQSFAKTLAHGYAQSVVAASQSSYASTTGSLGQF HNYPVHKFARTTQLQNVFQTASSSSGAGAKTGHASHGASTNGDGGLAAYYAAWQHAQQ TGDDSDWRQHQFSKKIGWKSGGRKSEIKRRHRHDLSLTPDSLRPLRTSADRAFSETDL HHLRISPEAEAEALDRVNEAIAQEIHAVKESNLTSATAETSLPINEPSNNVGAEAAQR PMEAVDEELGTPSSSDVHTSSRDTRLTSPAAEIQSSSDQIVELSRVGQYSSIPAVFED MLKGGVVPTVEAYNAILVSAIQLTKNVYQVLPKALEVYGDMLRRNVAPNDDTHIILID FLTAQARDAHAAKAALELKRTRFGSAEGAFLFRSSELEHELLAEDSSLQFALQQYQTA KSRLSGIKLPAQLYFALIQACAQHCRVRQMVEIFSDLKAQKVIPPGALFIPMIRAFAE VKDLKAANRSYEEYRALAVANTAGDINMIDRQDMMVYAALLKAFLTSDNAAGGLRFLD RILHSYENAAETQSLVTAMENTFCSTFVENAIEKRDFEGALAFVRSRKLDEITSDRLF SSICAAAADASHISTAEAAYKLVSYQSVEHLNATSAILAANLRLGRIEQSRSLWGVMT ANPQTVASSLELTTMYACSLLKFGYTEEAFLQARTMFGRVRESAPDQSSRTNTMELID ESIVMFGEILMKMNAVISAQANMHLVRMMLENGGLVSPVAEHAMASIGPECVHQLNPQ DIALALHVQAGMLSQGSSVSDPAHAPRFAHLLETVLNRGIPVDPSTVHAVTECISKLS NARPDLTQRWEGFLQPAQPVETVASPYVPASPISPAVDFQAQHDSFDPYAHNTDFRAS STIAEQLESSTGRLENHLSDALSRFRNVRRAGRHPRYTTYAKLITAAGKTGQVHLVHE ILGMARHDVPFTVQYSAVKAGWVSILDAMVAACLTIGDRQLASKYHQDLLEMGAAPSA NTFGLYITTLKESTKTFDEATEAVKIFQRAISEGVEPGVFLYNAVIGKLGKARRIDDC LLYFGDMQSRNIKPTSVTYGTLVNALCRTSEERFAEEMFDEMESMPNYRPRAAPYNSI IQFFLNTKRDRSKVLGYFERMKSKNIKPTSHTFKLLIEAHASLEPVDLKAAEAVLADV KAAGMEPEAVHYGSLIHAKGCIMHDMAGARALFDSVVSSGTIRPTDNLYQNLFEAMVA NHQVADTTEVLRSMPKRNVAMTPYIANTLIHGWAAEGNIMEAKAIYDSLGYANREPST YEAMTRAFLSAEDHQSASAVVQEMISKGYPPAVTEKVLVLVGGAPA EPUS_09182 MRTRTPLYDLRSPFTRSRRSKPLSQFPRVHNTTQPSMCTAKEKL IFAPGEAASSSANTTTTTTKPPPTHPTKPPKRRNSNTRPCTAPPSTRPPSPNSTPGSP TPPCTRPPRPCPKPAPSPPLSSPLAASPAAWCTSKSSTRGASSSTPTGAPRRKRATSP PTDTWPCVFGERQVRVEGVGERLSSEESQIYFDTRARGSRLGAWASRQSEVLIPRPAE IDKTGTNGEEEGVVTEGREDDGRAQLEEQVREIEEKFAGQDQIPVPDFWGGLRVIPDM VEFWQGRESRLHDRFRYQKVSAGEGEGGGEWKIERLNP EPUS_09006 MGKLKSKDRQARSSSRPVPRTSKASEASQQQEQQQQRPPSSRPR LQGPIVYPHQLRAQFATGSSDPDASISLANMLAYTYSDEAMQADMRAASPLLRHAIAY RSASEQERRRMKESLPDVVEQEKRRTSPMLQQVAFHRPYVAAKCPTGGVKVPGHGDGT VREDEDHDEGAVTEASSLCSSCNFSNFRIIDSTLREGEQFATAFFDTAQKIKIAKALD DFGVEYIELTSPAASEQQVEFTFYGPLGNEGLDFDPHPVPHGRRKDSRSTGVDGINVA IGTSSHLMKHSHNKDLAYISIKANKVIEYVKAHNLEIRFSGEDSFRSDFAEILKLYSS VDRLGANRVGIADTVGSATPREVFDKIDCAKSWAVTSRLISTMTQGVLSRTPTALSNL EPRTSTLQC EPUS_09007 MAQLESLPPEIALHILTFLSIPDLLSLSRTSHTLRALALDPVLH TQRLYCASQSLEYYLSQRPSLDTLRPPNRSIYLTRTHLLARSISRSLITIRLNRNLFE RPSSIELVVRGILPKECTSYDSPISPALFARRQSFLRERLRNALGRKLQRRPSVASLV ELNILPEECTRKGGNAVAPGLVERRRRVIRESLKDGLRVWVERRAVLVQRRKEEGEKE GLGVKGLVRRFTRRMLEEKGEAEAGVGGLQRQKRKAQARWGREAEIAKRWEEERREML GGGCSQPTRAHVLGLKRFWEGVTKAAAG EPUS_09008 MSSPKRRIETDVMKMMMSDYEVTLINDNMQEFYVSFKGPTETPF AGGQWKVHVELPDQYPYKSPSIGFVNRIFHPNIDESSGSVCLDVINQTWSPMFDMINI FEVFLPQLLRYPNPTDPLNGEAAALLMREPKSYEAKVKEYVTRYASNNGDHETGEEGE TDGEMSSVEDFDSDGDEDEPAGAMEDV EPUS_09009 MAAIALRPRVVSFILKNSAYAPRLLPAPLPTLSLSHHQIRHSSH APAPSLSRLPPGTKPVTIQTIRDLHRKKIPITMLTAHDFPSASLASAAGMDIILVGDS LAMVSLGMPDTSEVTLDDMILHARSVSRAAGPSFTVGDLPMGCYEVSPEQALQSAIRM VKEGRMQSVKLEGGVECADTIKRITTAGISVCGHVGLTPQRQHALGGFRVQGKTVSAA EKVLADARAVQDAGAFATVLECVPPEIASIITSKLSIPTIGIGAGNGCSGQVLVQMDM LGCRPRGSFLPKFVRQYGDVWERSLAAVEQYRDEVKTRSYPAEQHNYAVDSAVVETFR ASVDRSGNKIP EPUS_09010 MASPSLPPSSTTTPSSGIGSDTPPAAIVPDEDPGVDLPMTMSAS VILTNLPRDASQALSEVEALDKGKVTVRFKPVGSAPILRTLVFKISASMKFETVVNFL RKKLDCKPTDSVFLYVNSVFAPGLDEGLGNLFRVSSVLLLGTSGNFVGVMTDTWE EPUS_09011 MPPASSPPVVGEGTNLVSTSIPAITHPALVNIGAQFHQENKTSK TLRIPKVPGPVGDNTNLKDRSSCQNTIERYLHSVDRIEILYVGMQTSLSAQLFDQHLI RMIDDLLPQVEATATQRAIP EPUS_09012 MKTQRPLPQNSDLLVFESSTPKNISESPKNASPTHPSPPSNPPP SPLTPPTPRSSRQHRNFTTSASILNPNDADKKSSLMDRDSINTESNEYSKTGSDDSAA GHEDPAFQPGNNDPESQLEDSGPGDGRDPLKVSPANHEVSQPKMDTERGAQKGPERTE TSGKGSGPKGGKVG EPUS_09013 MQLATLVPLALAAISCKVNAAPVTQGIDVAEASIDKRQSWQDSV DQALADANAAIANGISTADAAVGEASWQDAVDQALTEASAAIQNGLNSAGAAISKRQS WQDAVDQALAEANAATLDGVSSANAAIGKRHCTSIWVMTTKTIACGRTAWRSLHCFAK RKKYQPILYRCFSCSIRQSQKTEERIEDGRTTHFGFETISEAQKEKKVGAVFSSVASS YDTMNDLMSLGIHRIWKDHFVRSLNPGSTSGQGWNILDVAGGTGDIAFRMLDHATQIN GDHSTKVTIADINPDMLAEGKKRALASKYADTGRLDFVQGNAESMPTMPSDSVDLYTV AFGIRNFTNKDAALREAFRVLRPGGVFACLEFSHVNSTLFNAVYKRWSFGAIPLIGQL VAGDRDSYQYLVESIERFPAQEEFRAMIGDAGFLVPGLGYDNLSGGIASIHRGIKPL EPUS_09014 MKATRRPHGFRFNVSTGYAACRAASKRWSSISQRPGSDHVHFPG AVNSKFTSEMIFQRPSTHPTIPTYRVMDSDGNVVDNTRDPQSAPDSEILNWYRNMLTV SVMDRIMYDAQRQGRISFYMVSAGEEGIAVGSASALTSDDVVFAQYRETGVFAQRGFT LKDFMSQLFANKNDAGRGRNMPVHYGSAKLNIHTISSTLATQIPQASGAAYALKMQAL QNPNIPQRVVACYFGEGAASEGDFHAALNIAATRGCPVIFICRNNGFAISTPTLEQYR GDGIASRGIGYGIDTIRVDGNDIFAVREATLAARNMALQDGGRPILIEAMSYRVSHHS TSDDSFAYRARVEVEEWKRRDNPISRLRKWMEKKGLWNEQMESEARTEIRKQVLQELS AAQKEKKPPLRALFEDVYEEITPEAAAQMKELKRMIDTYPDEYDVSGFEGGVKSLE EPUS_09015 MLRLFLCLVGLILALRIQSTAAESDYQESLNLQPLPLGALLASF DFRSNESVTSFEKQNFRFFPRSLGQILQHSNTKELHLRFSTGRWNADTWGARPWQGKK EGGTGVELWAWINAGDDEESFHKWTTLTQSLSGLFCASLNFVDSTRTTRPVRSFSPEG HHDVSKDHLRLLHGVLPGEVVCTENLTPFLKLLPCKGKAGIASLLDGHKVFDASWQSM SIDVHPVCPAGADECHIEISQTIDMVLDIERAKRPRDNPIPRPIPADQLNCDESKPYH AHDTCYPKESTEEIGWSIEEVFGRKIPGACPLIDEEWKPLCLHVPHERKVYVPFGAFE VKNPPSQSTEYRCYHFRPEEVFNLEVIPQKLNTQPNLPHEPLRAERTIVGHGAANGGI RTILTNPSPIEPVQLVYFENLPWFMRPFIHTLKADITSSSPSSPPNTYTTSNWQVIKE MYYVPAIDRQRGTQLELLLSIPASSTVTLTYDFEKAILRYTEYPPDANRGFNVAPAVI KVLNQTSTITTDRSISDPAVASIGLREGNRDGNVYIRTTSLLLTLPTPDFSMPYNVII LTSTVMALAFGSIFNLLVRRFVGADEAPGYDWRAFRDRVGGRLVRIRDRFRRRKAEKV D EPUS_09016 MAAEQRKLLEQLMGDQFSTSASARNANLTLTDPKVCRSYLVGTC PHDLFTNTKQDLGPCPKVHSENLKLEYDAIPAAERSSKYGFEYDYMRDMQKYIDECNR RIDAAQRRLEKTPDEIRQTNALLKTISDLSKTINTGLLEVSILGETGSVGLASTEFHK VRVAKQAREQAERDLKALSDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFYGKMH LGYAQMRKTYESLQKELKGRPPPVRPEDNAAGGPRGSFDDGPGYGDGGWGGRGGFGGR GGFRGGGGFRGRGRGGGGYGRW EPUS_09017 MDEFAQSRADDDLFDDDIIPIEPTKENAGVETIAKKVRDISLKN APKGPAAETNHHRANDPRGGRSRGRGGRGRGVDRADSGQPSSSLLQSKYAHAPAPAPI DPDPAPLATSPAAIPSDVPKSADGEPEPASEPVDGPEDKGAPSPVPTTEPITPARPPA VRGDRTATGGIKKPKLTEEELTAKLAAAKTRSENRSAAHARAEADAASFNERERAAAE KRVKDAANRKVMEGEREKNRARKMAVMGGREWDAEKNEDDFKMASRGRGRGNYVRGAN GGVRASHEEIQDEDLRQYQRRDNRGGGRGRGKRGGRGSEHGAAGGRAGRGNGAAGQPD ITADVDFPSLPATTKPRDDTSTARRQQPNKTSSEVISPIAGAGSWADQVEVSEAAKPK GGC EPUS_09018 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKRANVD EFPLCVHMVSNEYEQLSSEALEAARICANKYLVKVAGKEGFHLRVRAHPYHVVRINKM LSCAGADRLQTGMRGAWGKPNGTVARVNIGQILLSVRTRDAHRATTIEALRRSMYKFP GRQKIIVSKNWGFTPLKRDEYVKLKQEGRVLIDGAYVQFLRHKGKVEDNMKRFPGAYE TASEA EPUS_08901 MSTRELEAMREYLIENLRKGFIRPSSSSFSSPVLFVKKKNGDLR FCMVLSHFSPDLRDTPLEPSHALTMVTPTSDQSLTRENAEAAAYPARTDNPTPNAETD YRNPILSFDLSDPFARVAQLKVIVPANLQSGIDVILTELRQQGVSEQALADRTAERVA LELARTANTNADLAHQISEYATQLAAAGQELEKQNAALQERNMALQEKIADLTEQVTR HQASTRRAPASSMSFIYTYEER EPUS_08902 MTRSASTQVQMPQFGPRDVADIAGQQDEILIRATPPPPPPEESV TRATPLPFEDSLSTPQQEEEEEEEEDPFVLPASTAPALLQTNARPKRARGPTLDYKAM HEGKQNQPKRGK EPUS_08903 MAGSGMGGGGESSANGNHAPLGTEYTLQGVMRFLQTEWHRHERD RNAWEIERAEMKSRIARLEGEARTAKRLHDSLGKHVKILEIALTKERERVKNLSKGEK IDIQRDPKDVAREELKAMGKEPPLKGVRLLDPDIVEDDPLYQGLRQDAEREKSKTYLA KCSAEITYHVMPATHPPPELTEQDLSNHVLRDQQPPQSVLQDPYVHQPQPQHPQQQQQ QPHPKQHHRNNQVGVRESAISNQQPLLPSFKENVSSGRSNTQINHTVLPLREPAERRT QEQAPTPLTAIDGRNPLFEQQAPIEEPAEPVSHSYDAYGKQIPTAEEHEVPRTTEESR NESSDGWNFDDDPPTYPDPPPSDIPPPHRPDTDAFPSANLAAPKSPPRLGSHRRKSSG AARRRSEGNNEARQLSVDQKADTTTFKVRFALRGHLDVVRSVIFTGGGSPSEPEICTA GDDGSIKRWIIPASSYGSQSAASGNDLDITSYFTHRGHGGAVTALASCPASPNFSNGG RVLGDGWIFSGGQDASVKVWERGRVDPKATLDGHTDAVWALCVLPGSCGSTLGDRSSS FGGPDRILLASGGADGSILIWAVSAPPQLSSPHSGSNRGTRSSRRANSVSAGSNFPSS PQPSTATSTPFHYSLVHHIVRGDSPSPTCISPLSALGETFVVSYTDASILVFDTRSGE EVVGMASLETYDGTPSTGVNSVVASTMGFDGTLSLDPSRGLSEEDSIVHGATGSRGGV EGVIISGYEDRYIRFFDANSGQCTYTMLAHPSAISSLSLSPDGRELVSAGHDASLRFW SLEKRSCTQEITSHRLMRGEGVCSVVWSQDGRWVVSAGGDGVVKVFTR EPUS_08904 MTSIRPSTPPPQPAGLEAKSPLTPEQIRRLEISRLKAKALREQR EAERAAANPTPPSLSLSKSTVAGQKRSFATFNAQTPATSRDAKKDQASSDRPLEAIQP ARNFAKYIEYDLSKMTDTKGGFLTAEDDPYNKALHREDGDVKPADMTLKEWERQRLLR SLQSRKVGPFEPGINELKREEQNKQCRECGSLEIDWKWEEILKCAVCNACKDKCPEKY SLLTKTEAKDDYLLTDPELKDENLLPHLERPNPHKSTWNNMMLYLRYQVEGYAFSERK WGSPEALDEEFTRRETEKKKRKESKFKSKLEDLKKRTRVEAWRRSRRGGSGGNFGDDL PGGKHVHEWSRPIENPKTGVSVKTCIGCGMDVEELQF EPUS_08905 MAVTRSQRRTPSPAPDTRGKKGNGEYPTPARVRVFQMRTELGYS AKSVEKETGIPIRTQGYWLRSHNERRTGKNRPGRPSKIPGDTLDQIIKDLAGHYSIRK LDYETQIKRYNLNVCVNTLRTALQQQGL EPUS_08906 MTQELYVKKILPVVKEYRDQAVAKGQGFIFQEDNDGGHGTRSEE NRVKLYKDQIDLDYIDDWPAFSPDLSPIENIWRILKQRVRQHCPRTKEDLKRAIEVEW EALTQKEINRVVWGTEKGRKWTMHDRLEAVLQNEGRMTKY EPUS_08907 MASSSHVSRKDFEAVFPLLVEDISQAAKQYNIPTIALEWFQKSL NANATGGKLNRGLSVPDTVYHLLQRDLKPEEFRLSSTLGWLTEFLQAFFLVSDDIMDS SITRRGQPCWYRQPGVGMTAINDAFLLESSIYLLLKKHFRSHPAYVDFLELFHEVAFQ TELGQLCDLITAPEDHVDLNNFSMDKYTFIVIYKTAYYSFYLPVGLALHYLQLASAYN LKQAHDILIPLGEYFQVQDDYLDAFGEPSVIGKIGTDIKDNKCSWLINQALLKATPEQ RQLLHENYGRKDDVREARVKRLFDELQLQKVYQEYEEKRVRELRQMINEVDESEGLKK ELFETFLRKIEKRTK EPUS_08908 MDAPAMDAPAMDAVSSTKRDIKNHFLFEIATEVANRGKQDSSLF LKSKAPVTTAEYGERYCLIGPLNRASAAVEVEPLEPSYPPLKDTITAMGERGISLLYG RWLIEGAPRVLLIDTSTGYRWLDEWKGDLWSAAGIPSPAGDSETNEAIVFGYLVAWFL GEFIAKEQSRAVIAHFHEWLAGVAIPLTKKRHMDVTTIFTTHATLLGRYLCAGSVDFY NNLQYFDVDAEAGKRGIYHRYCIERAAAHSCDVFTTVSHITAYESEHLLKRKPDGVLP NGLNVKKFSAMHEFQNLHQQAKERINDFVRGHFYGHNDFEPENTLYFFTAGRYEYRNK GVDMFIESLARLNHRMKVSGSKMTVVAFIIMPAQTTSLTVEALRGQAVVKSLNDTVGV IERAIGRRLFERSVHWKEGDPMPDEKELITSQDRVMIRRRLFAMKRSALPPIVTHNMV NDSEDPILNQIRRVQLFNHPSDRVKIIFHPEFLNSANPVLPLDYDDFVRGTHLGVFSS YYEPWGYTPAECTVMGVPSITTNLSGFGCYMEDLIENSSDYGIYIVDRRMKGVDDSVN QLTNYMFDFTGKSKRQRINQRNRTERLSDLLDWKRMGMEYVKARQLALRRAYPDSFVD ADDFDEIIGGTAQRISRPLSVPGSPRDRSGMMTPGDFASLQEGREGLSTEDYISWKLP EEEDTEDYPFPLTLKSKKDSGSTSPVTPATPATNGT EPUS_08909 MDIALSSPVNVQRSPTLTFSPRSTPFTLVSPTVPQPDNSTLPPP AENMSSTERSSPITAPESPIMPEATSALSALLTPPSTETTAELNDLDLESARNELARI RDELAREREQLSQVRAQLLEAQERDAEFRARPAQVLDGPDNDPEATSGQAEVEITSTE SHSTSTTSQDTPTTDATTIPEQPILLEASSGNTTEAESSAPDEYSAQPAAGETMTEEP LQLEEVVPPADAPIDPPRPVAVDETPPFPSPTDSTDESPEWVDIEEDTSAPNEEELKE IEASNDISARDVKYHEESFYAEAPEDPEQQPSQKMRLTWVIKGVRGTKEKPNRARIMN SPAVLVGGFYWYLKFFPRGNNSSALSAYVRCSRREPKPDEEVPENTFSVVYGAPDADL GELKPAVDMSIPATSVSLEAEMKVTQDESGSDEASKKPSESEALQHDESANASADVEE SSAAAEDQEEDAEDWRVSAQIGIIIYNPEEPRTKYDMAACHQFNKHNDDWGWTNFHGP WSEIHKRRPGQRQALLRNDTLALDAYIRIFNDPSQALWWHHCGAEEQWDSVSLTGYPA MGTKLYHTPAVAGITSWLLLAPFRKIFQDLETDAYRRDSHVRPQSLCSQLQMVLYLMR KQKKDEKFVSLEAVIEIMDKLDESGTDVVTFWEGFRRSLELELQTDPNALERIADIFD GKASGGDKPTRTSPFRIPVENVPSVQTGLERTFGLSTGKQCFPKFLTIELERQKFDTT VREWKLLYDRVRLDEELDLSQWSAEPETSKYTLYGFIVHAEERNSGKFYSILRPDGPG TKWLAFEDGTANQVISYTKHRVQEFEGLEGDALRENKAPRHTAYIAMYIRTDLLHDFL PGRLEPYELSLWLKNCPQVRDYVDSKDVEPYEEETKSEVQLEIYASQRVRNRHGLIDA QDLKAAQEGDSNGPPQYLTVPSETTYQELRHKLAKWNDIDNVEKIKLWSMQPPSPGAP LNYSFKRISRLYKTVWDRDCATRSLCIWMHVLKTDEEVKSFGDPEPPLDHDVFERTAM ELDDPERAGSAPETAREGDDTEHGAVEMMEPPESDSSPAEGMIPAADDSTGNAQSGTN STTQSADTAEDAPNAANSTEEVTDMVTTAETSTATPAASLEATAITGSAEVLDMVQAH TEQANETQARSPPASPVQAHESAADTSAGALPPAIASAEDGSLIAATSGQDLPGLDVT VEDNRQGDTQPHSIPADAMNVPPTANTTSAPIEPQEAPATEVPVAEQPALDSTEVPAP PAPADTSNDDAQSDSSEDEEVITIRPVPFYYGFIQLFDADGQNFIMHGDFLSQATDNV KDFVRKQLGYAPDKNFLVWRRGNAYRLTSIQPNSTFEDIRDDSGYRHDGSILVVGDVL SDSAKSSLTAMSSFTTPEPLSHYLWFSARNHPVKAHTGHITVSSFGLDYYSGHLLKGL YSGLGTHITPTGHSYTGPFLAGSRSTSKDHPEGTCTYSNGDTYAGGWSNDEKHGQGVF VEKRTGNKYVGGFQEGKQWGKGITYWEVADEEGEMCQICYGEAVDALFYDCGHVCTCV VCAKQVENCPICRKTVKQVVKIWKA EPUS_08910 MAGTRPKESGNSAPADAKDAVFVTSDPLPKGAIPVQGIDFDRHK ENGISVVDLVEGMSSMGFQASAVGDAVRIINEMRAWRDNDTGERCTIFLGYTSNLISS GLRETIRYLIQHKHVSAIVTTAGGVEEDLIKCLAPTYLGSFSTPGPSLRAQGLNRIGN LIVPNSNYCAFEDWVVPILDKMLEEQEASKPTNDPIFWTPSKVIRRLGMEINDDSSVC YWAYQHDIPIFCPAMTDGSLGDMLYFHTFKASPQQLRIDIVEDIRRINTIAVRAKRAG MIILGGGVVKHHIANACLMRNGAESAVYINTAQEFDGSDAGARPDEAVSWGKIKADAQ SVKVYAEATVVFPMIVAATFARRETPTAAY EPUS_08911 MSRYTGPARDRSPPRFSDRRPSAPHNPSSTFSGFRGASETSQTS TREIPRGPKADTLRHAGPPIPRGRGGFAVRSDFRDREVASSFRRDNDRLDWSRRDREF PFGDRESLSTRDTRPLHPRDRSMSPNRVRRDLKETPPTAPRMSDSPPTWHGPSARGAP SRARGRGDWDRGRGRSFLADRDAYGPRSRSRESWRDRDREWDRPDLDHRERFDRRDEE RSLERDDRDREPLGWRHDRSPSRNSTGTQAAGPPRLVTASSLQPSHTNIAEPPRRFST ALTPTDSGRDALRDGDKSGHYSTGIATAIDSKPRRAISPPAAPTVPAFGASLEYVKPV KPHPAEISSETGSSVKPTTIGQLGKPVDKVTGPGQDTPFHPPTGPKADRANITMPPSY KEKTHVLDLRSNPEIQPRPMRAASSFTSAAIAGPAIGPIRNDNWESIAGSAVESKAVT HKQLSLKPVSSSTSLTATVRRLDPATNKALPPKTPPAIPTGPAAMEASPTAPRSNIPT GPRIQPKQYSYPWSAPGYKGPTAPSRPSIMNSAPSKPPQATQRERNYGLPAAHRNNAD PFVGQNIKPKVVNKHAEHDRSPTLPRQDGIVQTTSAGGAPSPEPDICMKNDAILPLPL GPSTDEEADDDDDDEDDDGLDEEDFADSEQKFKKEMDLLIARRPLSPLRDPMIVNLLV KIQLLGMIADGSAPVGIEADEVMEDIETEKTTKPVGLPSPAVEEGGEESPPPAGRLLK EVSYNPIPTPPIEDLPFLSAAARQEQCTFDSSDDDSGRHERITASLREELSLQVRETE RQHEVLKAEFSELYREWRNTVSNMDRRKREEDPLTPAPASPPLSLAPTIASTPLIERT RGAKNITELDLQNILKASEQSAREEQERRDRELTARPNYDMEAVIPAMLTRYEVESSF FEDRNQLVPEERALDVFAFVPPQDDFTTEEQKTFITAFNHHPKKWSDIAECLPGRDFQ QCILHYYLTKHTAKYKDLWRKTLPKKKRGRGPAAVRPRSTALMSDLAYEREDIDNPPA AVTDTGRPRRAAAPTFGETAIDLENNNTVPAAAARRAAKESNGEPSAEKSTSRKRAGP KAPRKTKVTIGPAGGPSPQKVEKDVKTAQVDGKADASVPRMDESLMSDPQRGIAAEME RSRPPALSTATTLDGTTPGRPPITTRSVSQQSSSYWSVPETTYFPTLVDFFGRDWAGI SNFMETKTSNMVKNYFAREVLNGKQWLEDKAQAAEERKSRGEPPGFQLEPIPPPKRKY DTPSSQRLLISSLESTDSKADAVSTKAKPPAVEDFSPPLALRGPSSHDQTSAPRFTQA AQPRPLSVASSHQRVDESLGGLPHANAQQSRPSYGPRPGFFIEDRRDVRQAQALAQQP SQPSQPSQPSQPSQPSQPSAALATLAALATLAALATLAALATLAALATLAALATLSAL AALAALASSAALAAPAALSALAALATSATSVPTNNSSHSLQNVPRQYTGVSNPTPQPQ YAQQQTKTQLPDTSQLSQSRHGTSTIPVSPLQVMLLKQEPDLPYQRREPQDQAVSYAK SSARSPIDTRFPNLFTIPQEPVRPRSTPAQPAAEPPKATPAKRSNIMSILNDEPAEPA PRKRPVVDVVSNIPSFQPPMPTNYVSQPRPEQPVSTPREDFSSLLQSQQTRSPFLQLS NQMHIQADTNPPSSLPQHQDATVNQSIGPSTGGPTPSAQQWIQRLDPRQSSSSTGPDP NARAQQPSAIPPYAPVPSSSASQARDLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXQQQQQHSSIPSYQYRTAMQQIPLHVPSPPPAQSLPVQQPYHRAPPTSSQNNHSR VPSYSNSQQKAMHVQNPSGPQAQAMQHSNIPRPPSVGYDGRNIATELHQQQQQQQQQH QIQSQSGYSYTLSQQQQQQQQQAQQRQWMEDKQSMPRQLPLQQQRHHQRYELQQQLEQ QQQHQHQHQHQHPHPNQPNQQQKHQQGHQHEHMQQQHMQQQHMQQQQQPVHQARSSIS HQYSTAPPSRTYTPSGGLMATVPAYASHPPPSPAPPSQQQQQQQLQQSHVRHYSQSGG AGMDEMR EPUS_08912 MSELNFAKTFLQTLDAKSTKYPPNHVFDAKTFPTRIPFTLPKLP QPPHPPYPKSTPSAPPPPGATRTTSTISLTLKSSRNPALALTLPDIDPFTTTISQLRE TVQSDPARCLCGAPDPPPKTDTTPANEAGQTTDKAADVPSEGMEGIETVTSPPPPPPP PPPGKEILETEQFWKDLQGYLEQRIKDEAEAASLVQKWKGDWQRRD EPUS_08913 MGPGRRMKKQGPPQSLEQLNISVKRKASEQSKPGGESKAKRRKA DTSNGVPTVKPGNSAKHIKASRPSKPTAKPMPLHETSEDDDADDADGDDSLVEHLEDL DDGDIADDSASEQEGEDDDLEPANSRSDDSVFDSDLEEADPARFSEDEDESDAEEKLT AANIEGLSRKLDQKQALVDAQAQEELEDAAMQTNIVAGADGDGDQATMQGLAPDLQLL RTRITDTLRVLGDFAKLADKSKSRAEYTSLLLSDICTYYGYTPYLAEKLLSLFNPLEA FAFFEANESPRPVVLRTNTLRTNRRTLAQALINRGVVLEPVGKWSKVGLQVFESAVPL GATPEYLAGHYIIQAASSFLPVMALAPQPNERILDMAAAPGGKTTHISALMRNTGTIF ANDSNRQRAKGLIGNIHRLGCKNTIVSNLDAKEAFPKILGGFDRVLLDAPCSGTGVIG KDASVKTSKTERDFLALPHMQKQLLLAAIDSTDHASKTGGYIVYATCSVTVEENEGVV QYALRKRPNVRIVDTGLGTFGSEGFRKYMNKRFDDRMNMARRYFPHRENVDGFFVCKL KKIGPGPSGAQTVNGVADASRSTPNSDRLSNGTKETSPVTPIDDEFGGFDSEEDKKYI AHAERKRLKKKGVNPKVAPDHRSAMGKGRTRSG EPUS_08914 MSRTPSDAQPKAEEPVPATSATSAAQQSDNIAHALAGAGGGLLA MTLTYPLITISTRAQVESKRAHSTTLDAVRSIIRREGIQGLYAGLDSALFGISVTNFV YYYWYEWTRAGFEKAAITAGRASKNLTTVESMIAGALAGSATVLITNPIWVVNTRMTA RKNQSDEQALPGGESKPKRSPTTLGTLLSLIRDEGPKALFAGVLPALILVINPILQYT IFEQLKNLLEKRRKVTAKDAFVLGAIGKLMATSITYPYITVKSRAHVATKDAPREGMI QSLRKIVKNEGWMGLYAGVGPKVSQSVLTAAFLFAFKDVLYDMTVAARRRTRAIKI EPUS_08915 MHKQGRKRKSRSAKAKAYRMIVQRPLAPLERLPCEILGRIFNWS CNINLPKASWHLMKRLEDHPIYMLMLAFSSEAIYVAEEKRSSHFYARNIVLAGLPTLS TEERIRLQAEVFAKKWLQPQLVRRVQSHFVQEVIRARWAALCLEKSYGTFKIRPSQRA LWCETRTEEAQVCFEVAAAHFGVLEDQGIVQFIPDCGKEVEEFYSFPACDEQSGTDED ENLGAPFPDHWYAGPWTRKERALIVQFAENRYKGHLAPSPTNSGNFASWMLHVAMLEQ RIGVLLKYLVRSPGNPENDSVLYTSAINQSHFVRLLYKPGDAECKDIQMAVLLLEGSD QEPVDQSLSFLKLRLRQWLHARSPFWRDWCRSSSVDSSGSVQNEA EPUS_08916 MILRNPQLRSSALANAIVSYPEKPSSIQCALCGRRGFASLRDYS THLGRELEEVSLYPLPDLDDSDDENEDDSENESSGREITPDIARTTPSTHSSSSFSKD NKENGKKNVEDQACPLGLNLQLEEEYTIKCICGFTSDDGHTVYCEECDTWQHIQCYYL PTSVPEVHYCSDCVPNNKVDAKKAHERQLRLREAPVAQDMGSAPPRAAPKDSSRGITT SYWSTLEQQHFPAFVGYYGRDFSAIATHLQTKTMTMVKNQYFREIGAGNTSLEKVAEE AERRRDAGEPLWMHPDRLPPLLPGSTAAVDAILEKLSHIQEDLKLPIDANKGKGKKAK SITSR EPUS_06120 MSGALVPTGGGNFQQSGQLDWVSLSKSTITFGFDVLVRLSKAEL NPATVIIGRIACNRFVIKAEAQKRIYDALSSLKSFSSYGKLVWFGFGIKSTIKDLADT EHGMACVALCACMSISYDSFYVAEVLREFCKYLATPPDLVPSVHQWKVLVGMCAGSVS NSKFPTLLEGLIRLVHSRAELSFQRPTSREALAKAIRALADVSNGKLANITIAGGLDC MWLAAISEWLLALDVEIRLGLGDTVYRSSTHGHRCSSAVTIIFISDNEPSIQLTKCYV VPKGLKFIRDPEPDQPSFKGGRSEWAKILSDTFGRHLDSLLKGTMQHSFAFLLFNASR LAEGCYRYGPMQRSTQLDSAEHSFPFRRFNFSHSLARGQAFLDFASKQVPELAAIINT LDQAGMRNYTNAIWEESIDRITLESVLAVFSGSKSSWASVEKEPSALSYDGICVYFKA LEDLNLSPEEASIVRVVAGLIDFEGVKYERINDLVADAGISEGDYGPHVSYNLLVQEA PQHGEGHDEVVEILLTRGAELDPRDKNGQTPLSRAAGNGFKTTVKLMIECSAQLDSKD NSGQTPLALAATLNHIAVVDRLIQEKADVNAAAAAEGGRTALQAAAEGGHLAVVERLI QEKADVNAAAAAWEGRTALQAAAGAGQLTVVKRLIQEKADVNAAAAKYGGGRTALQAA AGAGQLTVVKRLIQEKADVNAAAAEGGRTALQAAAEGGHLVVVKRLIQEKANVNAAAA GYGGRTALQAAAEGGHLAIVERLKRRPMFNVVGRECGAL EPUS_06121 MEAPQVVDDLPTQTPYFLGTESAHDLHDGYISNGTHECSMCQSA DLHDLATDNSHGDDLLGFNAIFGGGIYEVFTNPLSVVNTTSAGAACFPRPDFISDNYR SSFDSGYARYGASNTSRSFSHIAYTISTSWSSTESTTNGTCCYNDTSLHCRGHGLPDI PMLGPRCGVLSTVQAGLALTFADRNCVISNADYDLTLGEVAPVKTGRGSDNSCHGASN TRPPPQTPKIALPLQRRPRPKRHYVCPVSPCTFKHSFSRSADLRRHMKTHFPPPSEER FDCRFTNCERKALKALQRWPPSPSVSTFWHLVLYVRLQILSTYRRIQRHNVVIACLPD YGTTSAAVVAEQMFHTFKEIRFGLVFGIGGGIPSVQNDIRLGDVVISRPEGTFGGVVQ YDSGKAVWSKRWQSARQSSGLLDLSVPIAISPRLWERNLLGCWLW EPUS_06122 MPELLDWILQNEESFRKSRLPSLYSDLSLQRQTNLDGYNANIHA WQTALSHAALAGHLPSSASSPSILTLQTSEQLLSALSSRQYGRPLGLGAVIDESVRSG KMIPRSDFLGSKKSIYARSWVPSPWSLLSWGLKTIGLLGEESWDVHGRLRVGELVVVE NVERLGGEVLSRQESRAQGVTDRVVSREAFAKELASGIGGTEGVGLSEKDVEILLKFL EREKQAISYNEKVVKFKQPSAARPEPITQQDVTIASLKTLISTLTLQCENLSARISSL TITAFVAVKSSNRISALSALRSKKLAERNLKQRSDTLSQLEEVYTKIEQAADQVEIVR VMEASAGVLKGMNKQVGGVERVEDVIEELREEMGKVDEVGKVINEPMGAREQVDESEI DDELEAMEREEKEKEARRVKEKEEKEAEVTRSRLEEIARREDLEETEKRRKVEELEQG IKKSQESDSVPNEAVNQLDASTKRLSQMSHEDDAAGKTHDTDGMQGVQKAVAEGSS EPUS_06123 MPSNGEVPDPTDWVGTPLSSLSSLESALQCHVCKELFTTPMITS CSHTFCSLCIRRCLSQDGRCPACRSGDQESKLRRNWAMEECVNVYEKQREHLLRLARS IAEETRSKEIDDRALEGRPSKRRRTQQPSGPKVVSELPTRSTRSKSRMALQTSQESEG QPSVEDSEDAGSQYSYEDLGAMTENLLSEPADGLVACPMCGSRMKEEAVFPHLDQCSG ELRSSTPQKDFPVNRKQSTSVAYSVQSPSKARQRLGALNYSLLTETALRKKLAEIGIP SYGPKALMQRRHMEWMNLWNASCDSSHPHTKRELLRELEIWERTQGRQIANAQGPAGV MAKDFDVEGWTRSNKDDFADLIRKAREKAHKRGPSELKHEGSEGEAARKLDSLEPVAD PHRSSTGVVDLTSPAKPPLEQQQHSQGSQINGVAA EPUS_06124 MPPRKRQTVASAGKQPARARRSKLAQENEISAEDEAEIKDAWRM FAARDVEGFEDEKEGVMRTEDVRRAMKAQGIPPRNAQELSSFIEILDPDSIGYVTYPD FVAVCALKINARSDDSKAEEVSTAYRLFTKGTEGPITIAHLRRVARELKEDVSDEMLR NMILEANGGAGLTKGVGVEDFESVMIRAGVFQ EPUS_06126 MNVELYVYDLSKGLARQFSYSFTGVHLDAIYHTSLVFGGVEYFF GQGILRKVPGSTHHGKPIEVVQMGKTELPMDVIAEYIDSLAQIYTPESYDLFLHNCNN FTQDLSMFLVGKNIPERIRTLPQRFLETPVGQMLRSQIDQSMRSMTQAPDAKMPLTNR QQPVYRASAPTSNGLTKSSALPRSDDTHGASIPAAGVHNVTTLQEIQSLLDSARNSCA VIFFTSATCAPCKILYPSYDELAAEAGKRAVLIKVDLNQALSISSKYNVRATPTFMTY LKGQKENQWSGANEAQLKGNSLRLPTFQRKITQPVSFPKVPPLEKLAAKIGRLSEEEE FSAIFAYVKTREAQGQMEAPLPDLHRFAEVIATRFNELPPEVHRLPMQE EPUS_06127 MAFENLASSCLLAKDSNARFLASALVYDMALFDHNSRLEDEPDK LKISAMENLEAALIEAVINERESKETLHGLLLALGMLLYSADIEGSTWELCRAMDVRQ ALQEKGKMPLFKGETLIQEVAEELLGRGDKR EPUS_06128 MSEKRNHNKQSMQKEDLEKCPATTAPGSEPASEALKPGQAKLRE WLADTQKVIVCPGIYDGLTARVALAQGFKCLHLRSAAVRFARSGLPDSGESSLEFVMD LIQTVQKIDRDVPLIGEADESLDVEAVKVHLARYHHAGVAAMQLEDSISGQCSKKYRK GNAIDEDTFLERLQAAADERLRISSNIVIIARTNILLSCTCQVCWERALTRLERAIRK GADVVYMAGRMPLQDVEYLARQAFKGVPLMSTPQQAAKHKVKSLGVKIISCPELFTGA IHDCAAHLVQGFGKGSPVPEIFHLDDPTKLGGLRALCGL EPUS_06129 MAPVRNHPDPIRAAIPSSASSPSLRSKEMVVVPMLSPAPINPGG NVKVVVRVRGFLQRGTIFCLGSLGAQCLIAMNPHNQSTKLLIPPTTDPANSRAQTRKV IEEKTFTFDNSFWSHNSSDEHYAHQEDIYNALGEEFLDHNFEGYHTCIFAYGQTGSGK SYTMMGTPEQPGLIPRTCEDLFERIESNTSANISYSVRVSYFEVYNEHVRDLFQPRTD PPHYLKIRESPTEGPYVKDLTEMQVKNYGEILRYMRMGDNSRTTASTKMNDTSSRSHA VFTIILKQIHHDYDADETTERMARIRLVDLAGSERAKATEATGQRLREGSNINKSLTT LGRVIAALADPRHSRLHNPNRKNKDIVPYRDSILTWLLKDSLGGNSKTAMIACIAPAD YDETLSTLRYADQAKNIRTKAIVNQDHVSSAEKDAQIREMQETIQTLRWTLNQQQQSV PTAPAAKADSDTHAEKLREYTAKYEGMLQMMDEKLAISESKVRHLEQEKETLQIHLKL VLDELRNPIKTTAKEEVVSTTPVVVTPVKVREKTPDPIWQDEGFESEEEEEEEDGDEE EDVVDQEQEAAALEIQAQMESLISDLGLFRRKVMADHEMFGVKKTSR EPUS_06130 MTNDTTIEDKGPASEDAQPSQVQSKKGAKKAAKEAAKAEAKAKK KEDRAVSKLTQDAGNLQIEPTAPRPRQGANYKIGLQNTDQGVVTRFPPEPSGYLHIGH AKAALLNDYFAHEEYQGTLLLRFDDTNPRNEKEEFQDAIIEDLALMGIRPDRVSYSSN YFEVLYQYCVDIIKTGNAYAEDAEKHEMDDLRKSRKKSARRDESIESNLARLEEMKNG TSEGQRWCIRAKIQYDSPNGALRDPVIYRCIVTSQDDTGTHVVSHHRTGTKWKIYPTY DFCCPILDSIEGVTHALRTIEYRDRNAQYDWVLKTLNLRNVAIWDFARMNFVRTLLSK RKLSQLVKSGAVYGWDDPRFPTIRGIRRRGMTVRALRDFMLQQGPSRNIVNMDWTIFW ATNKKFIDPVAPRHTAISLENAVKCTVIGASPEVTWVDKPKHGKNPDVGMKKVAYSNT IWVEQDDALSFTGDEEVTLMNWGNAFVRKITKSSSSPDIVASIEFELHLEGDVKKTDK KLTWLSDDQNRVLVELVDFDFLITKDKLEEEDKLEDFLTPETEFRTKAFADCNVADVR ADDIIQFERKGYYRCDSAIGADGSPATFFKIPTGKAK EPUS_06131 MQEADYVEYELLSQQNNAALALGNGRNWKSSLRLHLPLTISQRH TSLIRRNSQSQARQHAFCRYINLGAAKVANGVDVIQPVYKYQPVKNADEFRLLRLEPG RGSDPIRCRLENVSLRSKPKYEALSYTWGDPQNTKAILCGDGRVDVTISLFTALERLR YPHAERDIYSQAERVLIWLGKGFAETGEAFLALEKLDAYFRRNLEHYSPNHEVYVKWL ISVGNGDVPYQLLTRDQAAELRNFEWDSIGELLHLPWFTRVWTLQEYVRGREAVMLCG EQSVLFECASKPITELWLQFISLGRFGEIGLTNNFPLESVWSIRQMTELHSDRFSRKA DIISLAEKHNARACKDPRDKLFGLLGLAKDVSRTDWEIQPRYDIPVEEVYKRFAVWCI KKRNSLEIFSSRKDYKVRSNLRLPSWVPDWSEEGGKGDMDIPLLKSKASGNSSSRVTI SIHDDNVLLVDGVLVDKVKRLATSYHQMSLWDDFRDMAAELQHGVNSQTRQQMLRFWR DEDLVAEYIDGLLEAQMAVQRYCKPSASPHALRHIAWFEMCRDIASEGMGQMSSERYE KFWRTMISNHTIIEYPAPSSFRLIFEQYLKQLEDLQDGRRKSSAPTETAHLPSSTLAP YIPASYTDEEHDRWRIMRTLIARTRRKRFCSTDNGRLGWTPDPAQEGDLICIFYGAKV PHVLRPCGDGRYRLLGGAYLHGMMDGEAMQMKDLKVKEFALC EPUS_06132 MAVALRNAARLKPEIQLSQALHDFEAILDQDQMAQLDEFKKELP PGAGAPLAFTSFLDRSLQSRCNRRCMGPRVINLLQSAQQFSTVIDVVVGGTQSLLASS VWGILKLSLQIGSNYSQYYDKLSLLLMDIGRTCPQYQQFGSLFAESKGLQRALCEYFA AVIGLCKHIVIFSRKTFIAQLPKLVLPFDAAFSDIQTSLRQLSTAVRDEVALASSKHQ KHECSLNADERQKNARFRALATMFRDQSLAEARRTNKHYLQQLRSDFLGRLSNYDHVT AWKQSRSKGTVKWILEEDAYSQWKTAFSSGILQLVGNLGSGKTVLMANVVADLVLQNH VTISYFFCRFDDAASLNARTVIGSLARQLLLDVAFQVSESHSNAMDVDGVVGFLLEVL PLKSGRSNIICLDGIDECVESERLLVLSALERLSGVPKLNLKIIYSTRADTQQAAGQI LTPYETIFMSGIDMRAEISEYIQTSLEERLERKQLTLGDPNLILRIQEELERRANGMY LWVYLQLESLCEQETDRDIVQTLEDLPRNMHETYTRILSRIKGRVSLTQKIFETITVA QRPLSTEELREVLAVEPGKLTFKAGSLVNRILKTLAQCGGSLLVVDEEDSTVRFVHES VRQFLTGGIKEESDIAQYYIDTQKAILDLGISCVTYLNLGIFNTQLSKACNSAPWQGI SPMKIIKNSLAKDSMSMNLALSLLRGGKGTNCDVGKQLERVAALANPATELTYVFLPY AKAYVLTHTKGLLACDAELDSMFYKIFNGYLPHVPKPWADEEGETLGNNAISWAVDHN HLGLVYRILRSNASGGEQGSLGPAGKFKNVPETVLDNLLSLGFTRQRMEVVHLILNTV YMERDFMMALLTQTIACGNTLLAEWKRNVNLAEIKISLEFLETGQTARYDIKLKPMVM RYRDYGLYTVEVDEAWDPFALAAASNNVAAVDLMMMNGEGISGHLDATKKARVLRILL RGLREAACRSHVTMFKHLLFTFPLHLYRQEDSEVSDAEYDEYKWTPLHYAATLPDSTV YQSLRDEYYNSKAFNPDTLLTEILSLPKGQTIPVLKFDPTEKEVANFSEEEQDEEMQR EVPTETLQPAPGRPRWRLFPRRAVRNDHEVRDGDKLTNRIREQPPPSPPLFSSSIYLQ SLPRFELQSSPVFELPTLLYFNADSASPTTVPRSPAAESAVEPPSGRENDVISPSLGR AAFGDNKDGPRIFSTLSKRHSMPLRFSIHASRPDNIQQNDFQAQALHALSKALEAQAA LTRASSPSTANGKPGWWWWWWENPQLMFLMFVLWPSILVCCCCCCCCLLVYMMLQRPE QSGQGNGQCVWDGMDL EPUS_06133 MQTILHARTQVLRQLGKSARRAPNNMQAARAYADIPKANQTTPL TRNNIPLIAAAALAAAIPSYYFIHSNKVPASGQSEIREARDKGDPSKEYRDPRDSDVK TLEDKKRKYGS EPUS_06134 MSTYSTAANAFAVVGLADVVFRLGIASSDLYLRCRNASKDVPRL LSDLKTLSEIVAQVRAFASEYSRSPYALEDSQVLLPQLETTLRCCKRELEELERIAKN DKSNANDAWFRQWGKGLGWALDDQKVLKSCQQIERYMVTLNTALSLTGRQNDIVLRRE LKAIREDIADAHASTKANYSDLRQNVTVSSHTIEQGVQQVSAAIQSSKQANSASFLTV EKSLASGHAELSGINVAIDDRAKIINQSLSSVKKSLTHGRREQGALVRQHKISTSKLM TRLDDVCSSLTQQITTISLTETEDNEIFFEGENLGAIVLPLLLMQTDLSKAIRNLMTE GSIKISRAEARWVEEEFEKLLVYGHEAAALAARSRRCKVCKRAAHHLGSAPATRTTSS KASSSRSKSRNQNQHHILSSKLQRAHRFQRRHQIHTAAGILVLETSVHDEGARRVGQS SSSLLALRLSFFPKLNLSSVGVTAAFFKQFGTGMEPKIMRLVQTYNTVPTTSEAFICA KENDVMGLQKLFAAGEASPYDCDEYGWSLLSEAAYFGSLEAYMFLLREGTNALMVPVG DSYGGGLLYTIWMNLRHKLEASTSTYNERTYSPCRLKMIIENARRMTAAAVERNCDPN MPTMHEPENIMHCAIRELWRWVSNAGKNAGNLVCHVQLSGLFSDLIAMGCELEGRDPY GRTPLLLACFKKQYGVLKILDSKGADVTALDNHGAGALHLLLAYTKNHEDYEEEQLRD ALITALVNGCDPNKLSTDIALSPTDFCSATRVRWDAWTKALSYVGYTLLETAEVEPAT SGFTWVVVSTDYHDGLKLPVSNSTLDDWKAERSRIRWGYFSRIYEASDVDDSVSAASE AHEDITEWEDDSGGSAGSMSAASDAHENITEWEDNSNDGDIAPRPDETPEHGELNRVI GAENEEAMY EPUS_06135 MDLPANAGASSPLDPTATTFKMPAQPRILTSSEPVVELLTNGQI KQSRPPPAKKENQASNAAQNISKPASEAAPKEEGAEKQLSNKELKLKQKAEKQAKRAA QKAAQDAEALDKAQQQQPEQSSADQRRPSNTGKAQPSQGVKITDMTTHHKRAADTSVK SLPIRPPTGLTSQTKEAEAEKKSPPEKKVTVFSHLYSKDRRTGIAGVSREIHPAVLTL GIQLRDYVICGGNARCVATLLAFKKVIQSYTTPPSVALPRHLTTHLSHQISYLSSSRP LCTSQGNSIRWLKKLVVELDPKVSDPDARDFLCKSIDLFIREKVTLADEVIAREASKK IVNGDTVLVYAKSSVVEKSLLTAHREGKAFSVVVADSRPLFEGKNLARSLARAGLQVQ YCLLTGLGSLNKKVTKCFLGASAMMGNGRLYSRAGAAMVAMMAKDSGGMVPEFGAVGN VPVIVLCESVKFSSRVVLDSIVSNELGEPDALVEHEDDGIITPSVAQLPAPAAGAKKG KGGKADAEEEDDSARRKNFGLEGWTEQPNLQLLNLMYDVTPAEYLDMVITELGSIPPS ALVAGQKNDSFSTPISRYSTRQPQIPSTFHCHIPQITGARTTLACRMPYDVPVQFYLD KSNILTLLPEALSADIETVFSNQTGFVGADSASRDSSATYIHMHSPRLADLFEEFCRP PSATTPSNQIPHSNNPSSTNTVTNPQNSTGNHNNNDNMAQLPGHFLPFEEIFLPPHLV PVNPEDEDDVVPDMHAAFGINRALGQGQEGGGGGTAGGAGHMREPAWRDFGLEELVRR GPSGTGSGERVGGAVAAAVGRNRRDGKRSGLMMMR EPUS_06136 MKEDLNSYFCPSSDDGLPPDVLGELESTLRLHSISPQELFYKWE SYSLKMGSEETKLDLDTVRMFKKDVQDGLERENRGKHAPRTDRRNGAAATPRAAASGD VFGMLDEITPNKLPRRTAINANGGKRKGNFDIPAPRKVSRPETNGGPGAFNASSRGDV QEGFKPVAFVERQNPGLVVETLNEHLPRAGAPIAPFAESRIKFTSNTDVKKFAYRPMA MRLSESSEILDERIDEFTSIVQKAHNLEDAAFGNAACQSTSEIVAVGRIASDTPESKL VAASLVLETSRRMGAGRRVPLKLNSSISFRFFPGQIVALRGQNASGEDFVVSEILAIP FLPDPRSAPTAIEASNERLGDTAESSPLNVIFGAGPYCADDNLAFEPLNALCSKAADD SVDALVLSGPFLDVEHPLLASGTFDLPDIKGLDLDATMSTLFHHMIAAPLQRLCNANP NIIVVLIPSVRDAVSKHVSWPQEQLPRKDLGLPKQARMMTNPCTFWLNEVVVGVSSQD VLGELRKEEVIGGSNQEDMLSRLPRHLIEQRHYFPLFPPVAREDLPKTGTESGLATGA CLDVGYLKLGEMLNVRPDVLVTPSVLPAFARVVEGVTVINPSTLSKRRGAGTYSQMSL QPRLLSEDEKGEKSVLHKVYDRARVDVVRI EPUS_06137 MASTTILNTSPVQEAMNDLHISEKDTIAKSTIADTYSAMIDDMS LPLSSADGLVKKPFARPLDSALPAPRPVLTSEQRKTYDDLLKVVSSWTEVPETSAKGA TKSAITDTERMFLTRECLRRYLRATKWDKGDAEARLMATLVWRREYGVEKLTADYISN ENETGKQVITGYDINSRPCHYLVPSRQNTKGSEKQIQHLVFMLERVIDLMVPGQDTLA LLVNFAETKSGQGATVGQAHQSLYILQNHYPERLGRALVTNVPFMIWGFFKLITPFID PLTREKLKFNEDLTQHVPPSQLLKQNGGEVEFEYDHTIYWPALSKLAEIKRLASQARW ERAGKHVGESEDYLRGGSEESLFQARSEIDQVNGLAS EPUS_06138 MADSSVAPPGTSLPAAVPLQSGHKTGFVQPSSYLRPQHQTRSMT SRLEKQIDKDERNALNAIRKFLKVRTSYDVLPLSFRLIIFDTALTVKESLNILVQNGI VSAPLWDSSSSTFAGLLTTSDYMNVIQYYWQNPAALVQIDRFILSKLRDVERALGVVP PETVSIDPEKPLYEACRKMLKSRARRIPLISLDSQTGRTMVTSVITQYRILKFVAVNV GDTTKLRKPLRSLHLGSFENLCTVSMDTSVIEVIFLMVKRSISSVPIVTSQNILLNVF EAVDVITLIKGGDYEALQLSVGEALKKRPQDYAGIYTCSMDDGLDTIFETIRKSRVHR LMIIDEGQHLEGLLSLSDILDYILLKGEADEIADL EPUS_06139 MAKKSKLLAALDAYKGRDYEAEKRAKKAKAAEKRKREKAKSLGD EDEAGFHGKESTSVTQDERSSSKTELGTQRVDDEFEDFSDDHGDDDDDDDNDNGHNTE EQDKGLADGGPSDSEADLDSDVPLSELSEEDRADTIPHQRLTINNTAALIASTRQVAI THPKMKFSAHNSLILTSLPPASASIPDPNDDLTRELEFYRICRTAATDARSLLKKEKV PFTRPSDYFAEMVKSDEHMGIVKKKMYDEAANKKAAAEARRVRDAKKFGKAVQIAKEQ ERAKEKRQTLEKISSLKRKRKGQDTGTVNEADDLFENINIDDSGGKPDRRSGPGRGRG EGSKFKRQKRDQKFGFGGKKRFAKSGDAASTADMRDFSVKKMKAGGLGGDGKKRLGKS RRAAAAAAR EPUS_06140 MVTFFQTVHASGPGSVATTPRPSTSGSNNAATRLSEAIDEFLGD VEQKFKVMNDEILTKLDDMAERCDRLEAELLLREAEGAKITSGSTDGSS EPUS_06141 MASWKDEYLAALEARDEVEKAHLEFYEAYTRMADRTAQLAAATL TAPTPAEATTSPPPPPIVGRRGTSVPASSPAAQSELHAQVRADLGRAQQERAELQTKL DRTTKELEKIKSRSKVDSRRINQLTSELSQLSVRVRDRDDESRGKAKLLNDAQDEVVS LNLQLNVAEDEVNKLRKENQELVDRWMERMGEEADRMNEDSKF EPUS_06142 MSSASLHPSRVAGGSSRPTPRSGAATSSCAPRRHTVTPPSTPLG AAAHQVSLRQKAELKCQSHNGGASPSEPALKQQSELLKLNASVKPHQATPAIANGDVP PEPSIGNTKALSTETMDNLPPTLRNFVLKGKTAIVTGGARGLGLAMSQALCEAGIKAV AIFDIQPKLGQSVAQSLHTATGIPVKYHNVDITNESNVEAAVKQVIQQFGGIDILINS AGVAFSNLPAEKQSLDIFRKTIDINLTGSYIMAQVVGRYMIKTTPKATSHSHPQLPDR SIIFIASMSGSIVNYPQRQSAYNTSKAAVIMLSKCLAAEWAEYGIRVNTISPGYMDTV LNRTPELTQQKVTWKQRTPLGRLGKEEELNNVMVWLAGEGARFVTGGDYKVDGGYSVY EPUS_06143 MSSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSTSYKATIGAD FLTKEVTTLLATRPPPVLTAQAHLSFASLKQLWDTAGQERFQSLGVAFYRGADCCVLV YDVNTPKSFETLDSWRDEFLIQASPRDPESFPFVVLGNKVDVGDDRRAISTKRAMTYC QSRSGIPYFEVSAKDNTNVEQAFEVVARNALAMEEQEEYGGGFEDPIDISLDNERDGC AC EPUS_06144 MSTTSRPLDTGFRPSPLSRESSDYLELRDSRSSSPHAHARLSDS SEDRASRPTSSVGGVARHTLGLILLLVVVFLWTASNFLGSTVFADKTYAKPFFLTYLN TAVFILTLIPVLFRSGYRNWRKGTLRSSLHRFTTHTPFSKPSLRAGEAEAEAEAEAFL KDDDAAGSREISPENLKHLDTWSTARLSFYFCILWFLANYFAMSCLQYTTVASTTILT STSSVWTLLIGAITRTEKFTWRKLIGVVASLVGVILISQVDLSSSPPSSPSSSPQNPT PKRDGGLGESFPSKTPAELAFGDAMAAFSALIYGIYTITLKRTTNAAARDGKSLNMPL FFGLVGLINTILLLPLFPILSVLKVETFELPPTRRIWTIMLVNSASSLLSDICWAYAM VLTSPLVVTVGLSLTIPLSLVGELIVQGKSESVVYWIGAIIVVCSFVFVDREEVKEGH HHESTGGGDGGVGVSAGRELGDSRNGYASVEQHERSSVDSARETI EPUS_06145 MAQIQSESFAIKSSVATPVRNPLSIPVTAPRPILETGTPLQSFK SGHLNLDTFSPVNQNGSFEFDRVLKSGRVNRRVKSKGAWKPTWKSTYLVLRPNLLSMY QNEDETGIRASITLSDVTAVAPVKKANTLNVFGVFTPSKNYHFQGASPKDTADWIERI RKEARVDVHDEIVLSSPVARSDGLENRNPCETTDLSGDDEGGMPSSPEMSHWIVRGQK GQRSRASTTQRQTSAIHEYSGNEMMTSYSDFSDAPGASLPRSHVAPLNKANTATAVSA QRPNAARNASQLSGFDVNTDPERVIRQGWLYCLKTKGRVKQWKKLWAVLRPKNMSFYK NEQEYSAVKILSMSSIINAAEIDPISRSKSYCLQVIMEDKIYRFCAPSEDSLAKWLGS LKSILARRQDAAKQVAEATAALRV EPUS_06146 MPSGAPPSIRRISEKHDRVFATGCLDPEDAAKQPRANAAFVVLA RNKELDGVIQSVKSIERHFNRWFHYPYVFLNDGDFEQSFKDTITNYTSAPAEFGKIDP SMWGYPDWVDHEVAREGIRKQGDAAIMYGGMESYHHMCRFYSGFFYKHELLHKYEWYW RLEPEIKYFCDITYDPFVQMAASNKTYGFTIAVKELKETVPNIFRYASAYKRKNKFQS KGLWEMFLEPTPDKPEDAPAEPKKTLPDEILQTQPGHPNMEDVDPESMEGEKYNMCHF WSNFEIARLDWFRSKEYEDFFQMMDRSGGFWMERWGDAPIHSLAAGALLAPRDIHYFR DFGYRHTTIQHCPANAPARQLPHLPFLEKTTDDEKKRQEEDDYWSIPDPVKENGVGCR CKCDTDIVDVEGKQGSCLAEWVEVAGGWSSP EPUS_06147 MTNGRPPQLDSSELVKHLPVELTYPQIPVAASYLDLLSHSSSMN MANGSQPDQQDTALSESWATLSDADYSYDDDLHSETTDAASLVSNIGPDDVNSIDDHP SDAGSQDAHSDKGLSEGLPPQMSLAESTKTVGAEDVAALKGSHLESSIVLEQPESQLE EDFAEGTQTIHSLTEEEAADSREHGWDADEHGEVMGSVCMMMSKNDLRLDRPFRLLYC GDTTARAEILAKIGDALLAGPGPQQDLHPLESSRYNVILSSGASETSSNHSDLVRIRT QIIVDDCTTAASIKHEDASDQIFLSFKNGSLYSSRWDGIKYEVSSASEWSKPDLAVFF ITHKDDPISKQRYQLAHAFVTRHGVPSIIISGSTHWAWRFDDLPIDSCTPHLRIEAHK RHTSGASLTLRRLPIDLEIFKCLESDQLNKNFAYLCRNPGNKLSVNTSSDVSASSHSS RGKSPIYRREAQCQSLSKQSTLYSWYAKTPVFRITLLAAGGLMWLVMSAMACKLALAL FMYLISRAKITSELSPATTWSLEPSLAPTIPERVPPVLPTAGAAVVTSKKAISKSIAT VETPSVLEELFTSKSLQATNISDNFQIHSIGDCHIVVKTPRGFKVRNKSVPFDLVVAR GSELLNTSISKLFDGVYTIRVDREDAYGLVNVTIRQHKSSTFEEHQVDFGAQWLKVAG WKKAAQIASEQIRSDLDAARIALLMAYDHLSEDMHFKSKNITKKASKQAKKFSQQSQI FFNTTAKLLGAKSNKLRYATNHERQEAYRALSERVDLAFQALLVHAHTTNEQSRAIIE RILVSAGQAVERIHQSTPQIELEDVQMRMQEYMRSEKLAKAQERAKQMVKDSATSWRQ RRAYRKASRGPCGKRGRGWNR EPUS_06148 MTDSTTTKPPTEPSEPKPTESETEPTRNSDGPEADSENKEPSTT DTKSTVVGMASSAASTASAAASGVKDSMFSMFGGGVKKEKKVEEEDEEAKNEPSGSSK AQKKDADAEEEVEQAEDVHFEPVVHLTEKVETKTHEEAEDQTFKMRAKLFKFDRDTRE WKERGTGDVRLLKHKENQKTRLVMRRDKTLKVCANHYVTPDMKLSPNVGSDRSWVWNV SADVSEGEPEAQTLAIRFANSENANLFKEGFIKAQQDNEKLFTKE EPUS_06149 MPDAKRTFKIITEQRILEHAESPQPGFPLRHWSIQIVVIHQETK QDVSAECLQKVTYKLHPSFGQRERQMKKSPPFRIEEDGWGEFEIEMYLTDLAGKEHQF KHDLNFASERYETKQVITFKNPKGDLAIALRASGPVPGDAGGDLVNGKKGGRISGVGG GDGEAGKKRRKTDMRGIDMDSLAEKLQKLGEDDLLQVVQMVHDNKSEDSWMRNDVEQG EFHVDLYTLPESLIKMLWEFTNEKVGVS EPUS_06150 MTDSLAQEEADRFFSNKDRITTHPEDASDSDNHSEINEKDITTD PYHSDPGDDEDTLHSMAATATATATYHVPHTFFDANTGPKGVIADARSFNRAKKTSLR NTFLNITSGHYFQQSSKSRQTTPPTGSASTEKSGSGHEDEDDEFMEQWRQSRLRELAA GGSQKHQRRQSPSKRKWGFFKEVDASGYLDAVEKTASDTVVVVCIYDPESDESAAVEE SLHILAQKHATTRFVKLHHEIAEMEHVGAPAIIAYQNGDVIAMLADCSAVGLETNLRR NGMLVDTTY EPUS_06151 MATTNGSVQTNDIVTLPVINISYPTPEIGRTMIDAAATHGFLYI DTRGTDFAPENVERQFELSKQFFSSPEEEKAACQIGSDNRGWTGMHSETLDPANQKRG DFKEAFNIGEFIDNQPQQPIPKSLQGHEDELLDFETRCRKTCHRILKLLALGLEIPDP DWFSSRHKTPSGCTLRLLHYPALPLDTDYQPEVDIRAGAHSDYGSITLLFQRPSQPGL EILTSSTTTSETLNSTSASENPRTQQNKQQKWSPVPVIPSNYTPETSDDQTPLPPILL NIGDLLSYWTNNLLKSTVHRVIFPHDQHRNTASGVGVLGEDRYSIAYFCHPTDSTLLE AVPSRLVPDITHHPSTTAAGDGGFEVGYGGGAGGAKVLTAKEHLIRRLQATYGFEHK EPUS_06152 MREVNFSIPNVNKASVHITTTLYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILVLDGGVERLICILKEGRSKDVMDMWKWNLAFQCVVNIGVRGSEQV RTRVVEADMVPVIATILDNYIQVIEKQRAKADVEQAHRNAARYGPSSKTTPNSRSQPY ALDQPNSISENRQSRRQPPPPSLEIPQPFTQTQYPADDSAMEITPTRHTILTSPPERT TFPRPQLHHHHRSHDGRNIHQRNHTLQPLATAVPSMDATDGFEIRPVRDVDRLPSMLP PLQAGNHSQPESPTTPSAPSQQRGLPISTHRRPRRPSIRQQRSTYADSDDAIGDESAI SGDDGNGPENVQPAVQMQAGVSLANVEDDDTSLDGENAPLTLTIPDESEPQETETFNI THRTAMDGSIINTNVTPVNGPITYSPVPPAPPVNMASPALNMNAYPNLLRNPSTSPSI QATQPRDEDVLMSLQLLAYVSKYCNLRPYFQATHLVPRLRLNPEAQSREDDSGANPSL KNEDDPDEEYLQPDDFNTFPLVEKFTVRHHSKDMQYWACVVMRNLCRKDDSRGGIRQC AYFACGKWEEFTRQFAKCRRCRRTKYCSKDCQKNAWVYHRHWCS EPUS_06153 MSDTLSGEQMQARIQAARREAEGLKDRIKRKKDELADTSLSQVA HSSTEALPRIGMKPRRNLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTTNKVH AIPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLSSRDGPTRVARELSGHAGYLSCC RFINDRKILTSSGDMTCMMWDIETGSKVTEFADHLGDVMSLSINPTNANIFVSGACDA FAKLWDIRSGKAIQTFAGHESDINAIQFFPDGNAFGTGSDDASCRLFDIRADRELNVY QNEQVLCGITSVAFSVSGRLLFAGYDDFECKVWDTLRGEKVGALSGHENRVSCLGVSN DGISLCTGSWDSLLKIWAW EPUS_06154 MATVQSIEEWGAPRLQTFPFTALRHAVIGVDASHYLNLRLNSPT SNEPLLNAIGGIPFTLKSALRDDLESFKAVDATLIFVFEGLDYKNKELYTSPRTNTTL KAHKDGWKEYYNEENGKDVAAERTLKAFAKASYPVENVTRYFQSLLSQHSVPFMVAPY SAAAQLAYLERPTSNNKPENTQYIDAIIGSADLFLFNVERIITNFNTRQGLATFDALS KSACQDRLDKVPDDVFRDVQLLLGGPFLPTFPLLDKTGGPKVSARDALTMLGGRSVIQ LCHQWRDDPQVQALDYEDKYKRAVLSLKHHVILDKEGKAILMGSEYDAGDAYEFIGLR LPEELYFYISRAMLGPRVPNWLTSGEIDLTLPVGCEDTEAYRHLLGNQLTSLQIQTIC LLSNSMNRFYQTRNIKIKTWYARETQKTINMKEEPSVKERLLGWKVSEATLSEHPEVA ATNQASFARFMEALKEPKCRQITTTRGNSPPLKSKPEILFNVMGRFLQLREFVNEKHE LTGWGRALRKALSSLDAPDKLEDSVYLAIEALRLGLLDERHVALGSTKAKAGNRRRRP REDIDNQGPLDREMLAFQFMINAVRTSLRYLLETILVSMCLSGEVDRNRSDWSDIAFS LPLMNDNGAGLAIAASTYFDDVSRHGKKKDESVPSDVTKEEVKSNFSYLPQAPNITKN LKTAFNLWDAVCLGSKEVSKEVKNAKALEEANAWLSTMR EPUS_06155 MRPINLCLFSSLSLPSITTVAASATGSSVLDLIPSNFDKVVFSS SKPALVEFFAPWCGHCKNLAPVYEELAANFAHAGDKVSIAKVDADEHKSLGKRFGVQG FPTLKWFDGKKGSQPEDYNGGRDLESLTKFINEKTGVKAKSKKSAPSAVQMLTDKTFK NEIGGEKDVLVAFTAPWCGHCKSLAPTWEKLATDFASEPTVVIAKVDAEADNSKATAQ SQDVSSYPTIKFFPRGSSTPEMYEGARSEDALVAFMNEKAGTHRVVGGGLDAKAGTIE AVDTILAKYVTSGGLSDLEKATSDIGKAVEGLKDKYAGYYVKALQKLGQNPEYAQKEQ TRLAGLLKKGGLAQEKIDDLTSRSNILGRFLVKQDEGKSEL EPUS_06156 MGPFDGMVGKGLKPLTAQKQKVHSQTIKTGPTTTSKHRSQPAQS TSKPSPPLSNGQGKTLNGNAPKPQKQASPAHSRSNEPKARIKEEKRSSSLKRSSPTVS TPRFDSDNSDEDAEVKSSKRRKVGEDACVDLSRRIRNIHSFSVLQDSGALPMIHAADV ANLGTDEKPNPNYATFFTALTGDEDEAPVIELRYPSVSHKERYQMVQGKAGDDFKPLD EIIHVISTVAENYLLPSQAEPITNESSGLLRDLKRARLRGHKGEAGAQSKYVAGVEKY NALLDSLSKDGSIQKNLDELHSLKLPLVETILQQIYARTVSPHIHTLRAYENGTDNVY GELLPRFCSTIFKHTRLNSSHVFVDLGSGVGNVVLQAALQIGCESWGCEMMPNACELA ALQHEEFIARCRLWGLAPGEVHLERGDFLQNEAIGKALKNADVVLINNQAFTPALNDK LIMHFLDLKEGCQIVSLKSFVPHGHKMQARNMGSPINVLDVRELEYFSNSVSWTDAPG RWYVQTKDSRKVEAFQRKMNGA EPUS_06157 MESISRISSMLENARDLTIEAAQSANAGRSSKSGPSSRSIPFAQ LQRLLDSRNDRDILEGLRKVVSMIYQGKPCLPYFSSVVKNVANPNIEVKKLVYIYLLH YAESDPDLALLSVNAIQKSLTDQNPQVRAMALRTMSGMRVPVISQIVSLAIKRGCADM SPHVRKASALAIPKCYRLDPNTLPQLLECLSILLGDKQYFVVGPAVSAFLELCPDRID LIHKHYRELVRKLVDMDEWSQLATLQLLMNYSRRCFPRRTKRVTKVEGKGFYADEPGE EVETGEQAVVIDPDLELLLRACKPLLQSRNSAVIISVVSCFLYLGTSEYLKDAVGPLI ALLRAPSDIQQVALYNIVSTALISPRLFVPYASRFLIHSTDPPNVWRLKLELLTIIFP HCGLHHKSIIVSELEHFAQGTSLDLIRESVRAIGRCAQADPRTSTRCFKVLLKQISEN PNNTLLVSEALTVIRHLIQQDPTSHKETVIRLAKNLDMTTSAEARASIIWLVGEYAAI EPENNIAPDVLRILASAFADESEPAKQQILLLAAKVYLHYLNNNNNSNNQPSPNLEQE QEQEQEQGQEPAFKYHHHLLINHHHHHHQQQQQQQQQHQPSQKEKDTHPIPLLYNHIH LLTRYTTRPCVALTARPETRAQRAFALRDAEGPDAGQHDPGQPDAGLRDVDVDVDKAD KGVTGWGAGKGKVAAGTLLDDALRREKVAAGEESEDEEGETETESETDSESESAEEKD DDDEDDDGEDGSTEGESGDDEGGGDGRQEDEQQQKKKDDDEEGGESEERKQLVS EPUS_06158 MKRVVVYYDTAPELLESRLRAQQGNTTLIICSSKKQYLQQLIPF LSVRQPTPAVAFKEDTEAEDTCQSQRPHFLLDPTLQLMSISKATKLAFCPTINTLRAY LSSLAILDHTKSAPRASLMIVDLILLHHATSEFSVQGLMRSLASAVEAAARNQVDLQL CECRDVHDLENPDRGARLWDAQVPLLSGSVRLRDEDAGWSGRVISIRTIVGRWFEFEK TEEPKDDRATEDEEMLV EPUS_06159 MDYNYNARMSQQFRSSQSQQQQRSKKKEDDPDLFMRLSDKEIAG CISDIGVPCTPADLQKPNPQHIQMIFELIAELVMNATRDTIDPAMRAAAEDVCGDYIE IVPVDTRNLMGFFVSLRGMLLQCGIHDFSFSDLLRPTHDRLIKIFSYTINFIRFKESQ CAVVDDNFGKSEATKARIENLYLENQDMEQQLEEMRRNRKAMESVGKEKAKRNDELKA RLLELKDDQKRIAEQLEKVRADKARSQTVLEEKTERLMRNRQESEKLRPYVLQSPAAL QDSLVELSGKLSQEKAQVDNLERRSRALQTSGDTFTGVQNDVQSCVKVLEEVSIELQK EEEEEARASKSREELAERTNEAKDIEDYEKQLQTQLKRWEERTEETRAKAKKKELEAK ARMEKLKGVQQQLREERAEKDREMERRRMRIEQTEKKMADLKENIEHEVHSAHDEYMK LESHIKLYITEMEQCI EPUS_06160 MAETTPPASHATAPFDIVSKYHSLLSADPDLTPPIAAIESLIAL LTASPVTTISETLDLLSHSSATLRSSIPNWIALSAGTDLFQRYLIQTLNRPGALGSGG DFGYLRQHLLSNGRLFVQRAKKARAKIADEGRLFIHEGSTILTNGGSRVVSALLLRAA ESQPSGPRFRVIYVLPFVSSSKTLGKAEGEGHPTITLLRSHSIPVACIPYAALATALP LIDLVLVGAEGVVENGGIISRLGTHQIGLLARSAGKPMYVAAESYKFVRLYPLGMGDL PVRQNIINFEPVDEEEEEEVWAVIDGKEEKNDGAENDESDADAMGKRRQGKEITSLED AVDFTPPNLISALITENGVLTPSAVSEELIKLWF EPUS_06161 MLTLKPRTEQMGRPAVLFTRGPNLIRQPSAEDLDAAHQLVSSAR GGRSGGDSSDERLRTVDTHGPSLDDRSTDFLAVPSVTNGQAALSGQTCRCEIHVSSSI VGGLLTYCSNCGTSSTPLWRRSPTGTIICNACGLYLKARNHSRPTNFKKPSPSTISTL AGKRGRPSSLSPSAESPVSNHLKQPSGSYRAPEHSSGSCPGGGQCNGAGGAETCSGCP AFNNRLAKTTNVIVTPEPSPRDTPESSTVDGDRSEGTVLDPEQTSPPEWETDVQQEPG QPEGGTSLLVACQNCGTTVTPLWRRDEAGHPICNACGLYHKLHGAHRPTAMKKSTIKR RKRVVPALGDGSQEVALRSNDPRNTTSSPGSPYLAPSLPVHLERRPPQHRRARPKSTI NGEDQQPSPEAGNFPERIVSRSPPTIDFTGYKPTSASTVSTNGLGSSRKRHLSLSDAS AEHHLANGHKNDAGGESSKTTVDEMQLEPSLRRAKSPATTTEQTHTPTTDGESYKAER KVQLQKEIDGIREALRMRERELEGLV EPUS_06162 MKSSSLQFRVIPKAELDDDIDSATSFTSVCINTAIYLPYLVSEC SKAGVSFKRAIFNHICDAVTAHHSGQKAHVVINCTGLSAAKIGGVEDGTVTPARGQIV LVRNDPGAMYTISGCDDGEDEVVYVMMRATGGGTILGGSYQKGNWESQPDPNLAMRIM RRAVKLCPQLTLGAGVEGLDIIRHGVGLRPLRAAGARVEKEKINGIWVIHNYGHGGYG YQSSYGCSQEVVKLADNILDEKARDKVSGRSYSLEPSTLRSPVAMDFSNIFRLVNLLV GAVMILGGISQFIPHLTIGNTITGIYVILFGLAIGGLELLPQVPPYLPRYASFLFSFL GRGFFYVFVGSIIIEGNKLRLIAGLVVAIIGVAYCALEFAPQIEPPSNMRDADAGWGA EQV EPUS_06163 MAEKRIVVVGAGVAGLTTALLLSKNPSYKITVAAKYMPGDYHIE YASPWAGANYMPLVTPNTYYKSKKGLLKQS EPUS_06164 MSNSDGRRRLPIMVRAGRKSQKPYWPFMELWGLHRRPIVSDGNC LFRALSDQLFDTTERHDEIRQTVVWYLRANREQFEPFVPLDTEDLVRSQPNTRSSRSR RASAEDPYEAYLENMAKPKTWGGEVEIRAFSEAYDRDVLIHRPTDAGQPFDQMVNNKR AAGQPRQFVHVSFGGESTHPHYESVRPIKSASPAASHPSSTPATPLCGPADKPLSLPE YSTEPLNQIQQARPNLSAEELYSFLEKSRNQLDTIFGQIINTDRERSSSASASSQRSS SSKRSRDDNGDGEEEDSDNRASKRAMRRISLRNKTHAFVTYITPASSQRGTEISFKIR VDTPPGTPTENPEPEKGAAEKGKGGSDGEKNDNECAKEGGEEREGPTVSKSDEENVPD TTDKSPKTETEEKAAVSGKPKGGSRGRAKTRARNA EPUS_06165 MGFWSFFWRRKTSKSDQQQHPGLVQEKMAQAAEGRSERKVEGGD EKASRTNSKRRRMGKSTTVSQSSSSGLRESMATPSSAQPTMSSSGYTPADSITQAEMK ELDVAAGERRGYQHNPKSSISVEQEHFTAAGTPTLHAKRGDRDTPVPRRKSSKRKAEE QARERELRAMSSPVPTSKRPTSYSADSPLRRDTQKAPNRLRKHTDRYTSEISLPIPES LSDFGITSNQTSFKISGLDVLRPRPTVRHSANLHHAALKSQKFAGNSVGPVIAEEDYS SRRRIEDLADGLDARALRELMERDQRRRERKRKTDHSKLEQKLKRTAENEKGQEARIT DQSKAEEQSKEAGPALATSPASPHTRDEGKVIQSDPFSDDNMIAPELPVPPRLRPGSS IYTRNSQASLSPPNSPIHRAFDGASMSQTSGLHREATPDIVDPAEPSQRASEQSNVQL GSWTSFFKRGGTRGKRSSVDYGRYMSGEFSNTSRDSVSRTQPQTSLVGAPRTFQRSGT PQRTQSKFREDLPELPLSPPDSRVHSPEAGTGPLIVSAPFEQVDSDPNTSRLLANSLT SANFDRNPRSGQAMQPQANEPQTPPPAAAALSTSLASVDSEASWLSGKAAKRSSYQRD HPLRQSQSSLHPQIFEPDVGEEVDVANDPYFSRLSPGEVERRRSSPNSALRKASSTAL NIGSDSDSEGEQPVPPMPDTSQERWHSGVGRQPTLIRQAAQARSKEGLLKEYQATDVE SGGVEDEASDLESPDIEDPDLQASSSPILRAQSINFSAGHIRHISAGSAKLLEIRRSS IDSKRQSLPRTERSSTPIRSTTLKMEE EPUS_06167 MEVIASTPLNGLPPLDRPPPTDPLVVLEHLSEVLQITLGAARRE LEAVGSLLSKAKQADSIDRCARFASEAQVALYAQKDIIEEEHPGAPDSDTVPVEKYAY TISSELSFASTTVASVAFIKRPTPLDARLPLPSQLQVINLPGLASLKHSSRNPGASVS PFEILHSIVHFALAPCFDAYASTQDVTHVSKSRPDSEAKGGVPGAKKKIAELEMNLLH LQQNIDIPVLHLPLHDLIRAALADAASQNAKPSLDFIPNGALENSKVLNSLHNHANGW IKSIRTITKMSRDAECDTAAQEINFWLSMESVLANIEEQLRSDGVQLTMDALRHAKRY GATLSFSADTGLKEAMDQVQKYNVLMHDFPLPDLLTAPSLEKVEDALNTIFSHLNRKL RISSYPIRRTLALVEAISGDLDAQIHRLLNGRVIMHLDYQEFTSVMKVTKSIWRAWDE NLKEFTNVARDVTRRRNEKFIPIKVIPRHSLTQERLKYISTFRHNHEQLQKTILSVLG PETSSYSQLHGTVKGSAAATTEEMGDVNAVNEVSEAYAAIRDVDVLETSAEGTRLWVQ AEVQYNERTSRVENSIIARLRDRLATAKTANEMFRVFSKFNALLVRPKVRGAIGEYQA QLLENVKQDIAALQERFKQQYSNSEASLMFQLRDLPPVSGSIIWVRQIERQLESYMRR VEAVLGEDWALHADGQKLQTESSLFRKKLDTRHLYESWVHDVQTRKLAISGRLFTIMR TRASGNLYELGVNFDTQVIALCKEVRNLSWLNFPVPHSISTMSKEASRVYPYAVSMIE SARSYVQTTRTISGMSEVAPLLAGYQKEAQALIVKGLPLRWESLVHSYELVMKPAGDF DGALSGKGESEHVQFVRDLAVSVETLHNKTSTLVSINEKIQSAILELKKCAFEFPSLS ATLESIQNAIDKLNLENYANLGDWVERLNMQIEQILQERLAKALGSWSAAFNSNRSED HMQMEQVDGIRLNKTEEPVVFPTLYHELTMQNQTIYLDPPLEYARGSWLEHLDSWLST VCNLERIKSSRYQLATVSHGQDEYHSRFTSLALSCVQDLHEAYGIVDKTVSIISDYLR DWFRYQSLWDLQSDQLFESLGEDISSWHQTLLEIRQARSTFDTSSLKKTFGNVTIVYD QVQTKIAAKYDQWQREVASKFGSRLGLRMREVQHDISHSRNELEGQALEASSTAQAVA FITTVQMCRRKAKDWEPEVETFRQGQTTLNRQRYQFPSNWISIDQINHEWEAFKEILA RKSKVVEDQTEAWRVKITAEERMIKSRIAGTIDQWNEEKPVSGATEPEKASSVLGSFR SRFEKLQRESEMVSKAKESLDIPGSPETTLAGVLEEVHDFIAVWSALSNIWQSIDELK VTPWASVQTRKLRQSIESLVKVAKDMPSRMRQYAAFEHVQSVLRQLLKANPLLSDLKS EAMRERHWIKIFKMLKPGKRYSEVSLTLGDVWDLQLISTETVVKDIIVQARGEMALEE FVKQVRDTWQNYALELVSYQNKCRLIRGWDDLFSKCSENLNSLQAMRHSPYYKEFEEE ASSWEDKLNRIHVLFDVWIDVQRQWVYLEGVFSGNTDIKHLLPTESMRFQNINTEFFA VMKKVYKSPFVLDVLTIPGVQKSLERLADLLSKIQKALGEYLERERVSFPRFYFVGDE DLLEMIGNGNDTARVAKHFNKMFAGISGLIVDDNNTIIGITSREGETLTLRKGVSLIK FPRINDWLAALENNVRLTLAEMLVEAVAQFEPFMTGSVETTLFEGYLAAYPAQLVVLG TQVTWTMLVQRALERGGTSLTSIYDSEIHILNLIAATVLRDLDSITRRKCEHLITEFV HQRDVLAKLIAAKASSPDHYLWLLQMRYIYSAEVPEPLQRLQVHMANAKLSYGYEYLG VPDRLVRTPLTDRCFLTLTQALCQRLGGSPYGPAGTGKTESVKALGLQLGRFTLVFCC DDTFDFQAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQIQNIQIGLRHGDDEQN AQIELVGRRLRVNSDTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELIAEVML FSQGFKQAKALSKQTVPFFDHCSSQLTRQAHYDFGLRALKSVLVSSGGLKRARITAPS RQLDPDDAAIESQIIVQSIRETIAPKLIRDDVETLLNIQEEDFPGIEYIAAETGQLDA AIQRIAKEQNFLTTDAWMTKILQLNQIQKIHHGVMMVGSSGSGKSSIWKVLLQALQVV EGTEGVSHIIDSKVMSKEALYGSLDSTTREWTDGLFTGIIRKIVDNLRGEDAKRHWIV FDGDVDPEWVENLNSVLDDNKLLTLPNGERLSIPSNVRIMFEVETLKYATLATVSRCG MVWFSDDTVTSNMIIDNYLEGLKIKTFEDLDEDTANAGTASTATSSSQTELIDMLRKI VLRNDFLLQALEVARNYSHIMEFTDIRALNSLFGLLSKVCRTVLEYNIQHNEFPLDVE QMEAFISRKMLLAVVWAFSGDCPFPERKAFGDYVGALSNVDLPPMAEAASLIDFDVTL PQAQWTTWLAQVPTVEVNTHSVTRTDLIIPTLDTIRHEHILYSWLAEHKPLMLCGPPG SGKTMTLFSALRKLPNMEVVGLNFSSATTPELLIKTFDQYCEYRKTLNGVVLSPTQIG RWLVVFCDEINLPSPDRYGTQRAISFLRQLVEQNGFWKTSDKTWVTLDRIQFVGACNP PTDAGRHPLGARFLRHAPLIMVDYPGELSLSQIYGTFNTAILKIIPLLRGYSGALTKA MVQFYSESQARFSAKIQPHYVYSPRELTRWVRGVYEAIRPLDSLSIEGLVRIWAHEAL RLFQDRLVLEEERIWTADLVRRVALEHFPTVDVEVALQVPILFSNWLSRNYVSVDQEQ LREFVKARLKTFCEEEVDVPLVLFNDVLEHALRIDRVFRQPQGHLILIGVSGSGKTTL ARFVAWMNGFKIFQIKVHGNYSAEDFDEDLRGVLRACGCRGEKICFIMDESNVLDSGF LERMNTLLANGEVPGLFEGDEHSSLMTACKEGAQRQGLLLDSQEELYKWFTQQIVKNL HVVFTMNPPQEGLSSKAATSPALFNRCVLNWMGDWSDQAFYQVGLELTQSMDLDKQGF TAPDSIPLACRNLSLPASHRDAIINAMVYVHYSIHRLNARMERQHGRVTYLTPRHYID FVSHYVRLFNEKRESLEEEQRHLNVGLEKLRDTVDKVRDLRASLAEKKEQLERKDAEA NEKLQRMIADQREAETRKRASLEIQTALEKHDREVAQRREIVENDLAKAEPAVLEAQR SVSNIKRQHLTEVRSMGNPPAGVKLALESVCTLLGHRADSWKTIQGIVRRDDFIASIV NYDNEHQMTPNLRRRMRNDYLSNEDFTYERVNRASKACGPLVQWVQAQVDFSEILDRV GPLREEVAQLEEQALQTKAEAKAIENTITKLEESISTYKSEYAALISETQSIKTEMAR VQSKVSRSVSLLNSLSQERMRWEGGSKSFETQIKTLIGDVLIAAAFLAYAGFYDQNDR RGMVADWLNHLSLSGIACKPNNPVTEYLSNADERLRWQENSLPVDDLCTENAVILQRF NRYPLIIDPSGRTTEFLRRENKDRKLTVTSFLDATFIKQLESALRFGNSILIQDAEHM DPILNHVLNKEYQKTGGRVLIQLGKQEIDFSPAFKLYMSTRDPSAAFPPDICSRATFV NFTITRSSLRTQSLDGILKAERPEVDHRRSSLIKLQGEFTANLRQLERKLLQALNESR GNILDDDHVIETLETLKKEATEISKKMIETEGVMAEVDSITREYSGIANACSAIFAVL EQLYQLNHSYQFSLQYFLDIFNFVLHDMMKLSAETNLSKRGEIILRNIFIETYRRTSM SLLQKDCVTLAMLLARASPYKLDRAVLDVVLDQRITGSDISTTPEQRSEVLSSVSHIP LVKERLSGVTEQEWARLATEEHAEHAVPAIWGSDATAVDQRLWSMLIVRLLRLDRVIP AAEEFVATIFGQGFFDNVDDLGTVVKQVTAPTPIALSSSPGFDASYKVDGLVVATRSI CASIAMGSSEGAASADKAISNAAANGTWVLVKNVHLAPQWLQSLEKRLTSLKPHADFR LFLSMESSPKIPANLIRASRILKYEQPAGIRGNMKDSISSLSVRASRPPVEKARVYFL LSFLHAVIQERLRYAPTLGWKGFWEFNDSDYECCAVIIDTWIDIVAQGRSNVPPAKLP WDLLRTLVTEMYGGKIDDESDFDLLGQLVSKVLDPAAFEDDHKLAEGGEGDEGLTAPS GRTIQDFSAWVTKLPEREPPTYLGLPPNAEKLLLVGQGQRMIADLEKISDLLDEEEQL AADAA EPUS_06168 MNDAVPISATPQVPFETATSLVSSQNDGVPPRLHSHHSPRYKEM SMPMTISITVNPVALITTECVTITSAMRKHGRPGQSSVSAILGGGSRRTQGVERARPS SRSRLSVEQKRIPSIPDPGATSLNGGDHTLAGRWGLRGQRGKSQQDNPLMSAFAQLRS DLAGCKDIQSFDAPALLHPFLQVIRSSSTSAAITSLAVIAITKFFAYNLITLESPRIA LAMQLLSAAITHCRFEASDSSADEIVLLRILKLMESMLSRPEGHVLGDENVCEMMETG LSMCCQSRLSEVLRRSAEIAMISMCQVIFGRLKAIDGESESLGQEKIASVLVASSDGV KMDPSVNGDSVVSPRNTRSDGGVSDDETPQRRADDDQPEPEAAASAQDADFAMIVAKP YSLPSIRELFRALIDLLDPHNRQHTDTMRIMALRIIDVVLEVAGPSIAKHSRLSQLAK DDLCRHLFQLVRSENMLLLNGSLRVAGTLLQTCREVLKLQQELFLSYLVACLHPRVDI PQEPGIDPALYEGVPQAPKLVKPPPSQASSGRSTPVPVKDRQKLGLEGGSRRPDARES MVESIGALVRMPSFMAELFVNYDCEVDRADLCEDMVGLLSRNAFPDSATWSTTNVPPL CLDALLGFVQSMAERLEQPAPPRDRPDLVRLRKQRERKKIIILGAMKFNESPKAGIAY LASNSIIKDPDDPLQIAQFFRGTARVSKRVLGDFLSKKSSEHILKAYMSLFDFKGKRI DEALRTLLGSFRLPGESQLIERIVTVFTEQYCSSTESSVVADKDAAFVLTYGIIMLNT DLYNPNVKKQSRMSYLDFAKNLRGVNAGKDFDPQYLQDIYDSIKHSEIILPDEHDNKH AFDYAWKELLMKAGSVGDLAICDTNAYDADMLAATWKPIVATLCYVFMQASDDVVYSR VVNGFDQCAQLAARYGLTEAFDRIVHSLCTISTLTTEKTTALNTEVQAGKKTVMVSEL AVHFGRDFRAQLATVLLFRILHGNEGVLRDSWIHLIKVLVNLFVNRLIQFPPGTMPLI DLPPIPLQPPSQVIDRDGRMNDSGILSAFTSYLSSYAAGDPPEPTDEELENTLCTVDC IKACETDGVLFNILALPAKLVSPLVNLILSQLPDESSPIVIVKPDRPPPPSQPNGHGP IQTGPVYNPGMVFLLELATKIVLRDQSTVSAAGESLTACLQTLVRDAANLHPLAAVRV VHYLLRLLQASYEHDFMRTPVVLHAISSFDDGTIDHTAVSVVPGLSQIVSSPEPLKNE LANSPDFWSILQRLHRHKDESQNVFDILEKTVKADPPIIAADNYESAVSLANDFATAA SVGAAQAQRRDAGSRRGRPQQKQAKPQDNAIITRGTEAISLIYQLTSRIPEFISKSHL ERNEAWATYWSPIFRTLSAQCVNLCREIRHQALSYLQRCLLSPELISYSSSSSIPSTT TTTDGDREEADQQQDQQEDTEWLAIFTEVLFPLIRRLLKPEIYTLDPAGMSETRTQAA SLLCKVYLYYLVRLAERGKMLDVWLGVLEVLDRLMNSGQQQAREQGRAGAGGEGEGRN ILLVMADAGYLVPPASSLPKAKAVGTGGENENEGESEKQERQADEGEGSEKIWEETRR RVERFLPGLFAXXXXXXXXXXXXXXXXXXXXXTQPQEKTRAKRSEALAVPPLSESVRF A EPUS_06169 MPVSRSVTSILRTSRTGLSRSRGVNPVNRVFGHDRFGARTYAAA FERNKPHVNIGTIGHVDHGKTTLTAAITKRQAEKGFAKFLEYGAIDKAPEERKRGITI STAHIEYQTEARHYAHVDCPGHADYIKNMITGAANMDGAVVVVAASDGQMPQTREHLL LARQVGVQKIVVFVNKVDAVQDKEMLELVELEMRELLNTYGFEGEETPIIFGSALCAL EGKQPEIGVQKIDELLKAVDEWIPTPNRDIEKPFMMSIEEVFSISGRGTVASGRVERG VLKKDSEVELIGKNPTPIKTKVTDIETFKKSCDESRAGDNSGLLLRGVRREDVNRGMV VAVPGTCKPYTEALVSMYVLTEEEGGRKTGFGENYRPQIFIRTADEAADLKWPPETED RSKLVMPGDNVEMVLRTHHPVAMENGQRFNIREGGRTVATGLVTRVIK EPUS_06170 MGKGTDKLYITHSEWSSSDAYSASAGSGVNQKPNGAHASFKRLP YTFCALSLQPFTSPVCTPEGLIFDHENILRWLITHDTNPVNGLPLKQLDLIKLQFSKN EVGEYVDPVTFKPFTDNSHIVAVRHDDSANVFAYDTVERLNVKAKMWRDLVTDEEFTR KDIITLQDPQNLESRDLSSFKYLKDGEDTGIKKEEAAVNTDALGSSAKIIKAKEAVAR ARAERAAGKASPAAKNGITLTQTSHRAADSQTPMHKPKSVPFNASHHTTGLAAASFTS TGVTPHTSGALALLSDEEYLLKRGRVKQSGFVRLQTNHGELNLELLPEHAPKAVWNFV RLAQKGYYNGVICHRNIRNFMLQTGDPTGTGRGGSSIWGKNFEDEVEGPLKHDARGVV SMANKGKNTNSSQFFITYRAASHLDRKHTVFGRVVDGMDVLRKLEDVPVDPSTNRPKE EVKIIEAVVYVDPFEEFWKNKREDEEAEKEKEERRKGDEERTTWTGKRIRNDGTVQDD GGGGVGKYLKAAMDSTAAQPSGDDEIVEYVDDDYQTEQPMKKSKGGGGFGNFEGW EPUS_06171 MWLLKTVLNEDGSFSPALEEFEGQEIPEYAILSHTWDEEEILFA DVMRNPQNACFKKGFMKIEKAAERARIDGHTYIWIDTCCISKESSAELQEAINSMYIW YERAVVCYAYLFDVHHLTLYEEEEEGFETALRRSRWFTRGWCLQELLAPRTVVFFSSE WTKIGTKASIAKLIASITSIDVDYLTGLQPIRSASVAKKMSWAAHRQTRRIEDTAYCL MGIFSVNMTMLYGEGSKAFLRLQKRIWKQYEDQSLFMWLDPGMDPDTPHGLLADSPAV FANTGHCYAFDNIEGKSPVEMTSKGVRVYLRVMYAGKNCYEAALNCTPALFSRHISRN IASIYLRKLDWGVEQFVRVQCNYLGHLHDDEGSLQTVFIPQQIHERNIEHILYPRHTV HLRKNSQAVSGGAYTLIDMAYDALGTAHDETSAATVSSVTDIFSKAWAPSPFPRQFEI FSPRKLIVALLFRRLLDGEQVAIILGTDEQSRVGFCALPTRVRKLESISDFETHFNDS NGNLEPTGSVVQLQWHRVEVFSETQFFQSYRISILDFNLEAIWDNEEAGARTATTSNG ATTMSTTEPAFQFHSSNLKTLKFYSDYVGPVAYSPDGKQIACLSYNSIKLCDSATGKT RHTFSSSPEQFWAATFSLNGKDIISISKHLGVRIQVWDLNSKKEHGDLEYITGYSDSL SAVAFSPDGKQIAFYSPDDKQIRLWNRATGAEGRIFIGHSRGVSATAFSPDGKIIASA SYDKTVRLWDLATGVAYGTFEGHSKAVRAIAFSPDGKQIASASNDTTVRLWDSATRTE NATFKGHSKAVRAIAFSPDGKQIASASDDMTVRLWELATRAASSTLRGHSDAIRAIAF SPDGKQIVSASDDHTVRLWNSVTRAVTSTSDK EPUS_09371 MPADLVTRIAGVDDSTIQRGTWFKFANHDPSDDDEGFDEGSNEG SEVGVRSLSDSSYDRGSPASEKSGLLSREIAESKEEPDTPEAIEVPEAGPVPPAGEEI SHSSKKKDRKFKVTAKRALYED EPUS_09372 MTVVEHLNFYARIRGVPDLTHNVNEVMRGVGLQPYANRMAAKLS GGNKRKLSLGIALIGNPSVLLLNEPSSSMDAASKRVMWRTLASVVPGRSLVLTTYSME EADALANQAGIMASRMLALGTTDHLRRAS EPUS_07301 MNQNNAAANAYPTPAPSPPSGITATPSTGSPITVVASSRAVVSG RLTSATIVISQTTGKVTSIFYSILPPSDFPLGTPYVDHSPHILLPGLVDAHVHLNEPG RTEWEGFYTGTQAAAFGGVTTVIDMPLNAIPPTTTVEGLQEKVNAAHGKCWVDVGFYG GIVPGNLGELKPLVREGVRGFKGFLIDSGVKEFPAVSVGDISKVMSELADEPTTVMFH AEMIPPITDSVGDDVQTSLPPLAPHGPLNAYKTFLSSRPSSFETYAIEAILSLAHLAP DLALHIVHLSAMEAIPLLRKARAQGINITAETCPHYLSLAAEEISEGDTRHKCCPPIR DQSNQDRLWQELREYAAEGVIKTVVSDHSPCTPDLKLLPSHVPGHVCAPGSATHTPEL PSEQGNFFSAWGGISSVGLGLPILWTEMTHRGLTAPDSAITDLVQWCCVNTAAQVGLE KQKGELAVGFDGDICVFDDDAEWIVEPSTMLFRNKCSPYQGKLMRGQVRETWVRGQRV FVRGAGNGGFVGKACSGRLLLEPRVKGLKRTKS EPUS_07302 MVLLTTTPSILSAISLLPQDSPLRSSPLSSDTELSLPTNLDTPI SHKTLIRLSQALRSLPRPSPRITTTTTINPQQASFTLNNLLYNTRPYHPPAPPPPKPT PEYLALKFRLLAEAQQREYNALLTSAERPPSLLDHSSPDRDADPISPSLVLNIALSIL LCAFSVFYATRHWPNDGVRVLLSLGAGIVVGVAETVVYAAYTRNVETARRKEGARREV KSVLVVEKAGMGSGKRNEGVKGEEEQEEIWGKGVNGGVRRRVRERWEKGERKLEEKME RVNGKK EPUS_07303 MPQENDEGEAQKVNGSEESARMSRQTEPKSQGVKRKRKRSSQGE PQPKKQKSSPLPTLELPNNSRASSLEEEDGDDGGNQRSTTRAPSRTPNEMLTSISKKN RKVKRRRVVPPQANKDQDEHSNHREPEDSEASEEDETRSHAGTESELTCTICGRQFRN QQHLTRHMRNPNVHKKRHTCECTEGFFREDDLKRHQRDSGHKSRVGPATGPFTDKEKA KLTRFKRRICNDYSVSEFDFNTLMTLLGRRDGSEWPNPDVTRAELRGMFYDVLPDRSR KSLNRYRERYFQNVEQDTEWTEEQINDLRNLVQEKGRKWVEIAEILGRTQDSVYQKWK NRIRQGDAQRFERWEEGEKEALIMAVRECKTAAKVPLDFSSDDKVNWTAVSDRLGKTR NAQQCSTFWKRVYRPREEAKARGQDIKPLPSGRSKPEPAKARHQTRRTATRDGKSVEV ITPRRKIKSAMYVTDSDEENNVSVGNTQLKNVNQKSSLEAHSMTSSQGTESEQDQDGV EEADTEKQERSHEDEDEDRSDNNGEIDSSSKRPQRKRLIEVLIPPPASSKHFPEQQTT LNGSFQAVNRRNHESDQPPSHNRTNLPIESEAVPLRSSQTPKTPRLPSISKRTPRQVT TLSQAFNNTQAPTSARTAGRSTPSMHSREDQPSPKIQIQPRPLLEEELSVLEPEEEDD SQAQQQQEPREHRVVEFSNYDSEAEDSNEERPPEMFSSAAPPPDGVESETASDSESQS SDDDEQPPQMFSSVATGVQKDLEAVESGSEESEEEEPPLMFSSAPLPLPLPNTARQAH NANGSDTEEAEEDDEPPPEMFTSALPDHDPAARSEASSSSGDAPTIFPDESDSNDGGP DESNTGTGHGTDSGVDGDQSMVDAAQGDFFANLEASARKVRSFRQDREREREMKLKLR NVNVGRKSGEDGAYGSGNGTAEGGRSRKRGTKKKKKEVFDVSSSDEEEEEW EPUS_07304 MRPSHICNACISRSVQYPSHSVVQFRNSADRFPRKSLRQITTQT GKPKHLASAATNNAQRGQKWAHASVASERAPRLPAGASQEALTASPPQHLISTTPHPE NNLSSTRPARLDSPEGPIKDEGGAITLKNRASYLFQLGKAYARFYKTGLKNIWSNYKE YRDLRRKLGGAEIHDLVRYASTPAITRREFQLHLRTQHDLKKLIPFGLVFAICGEFTP LVIVALGTAVVPYTCRIPKQVKKDLQKALSRTEDVERLSPQKTEVGITPALGYAHGVD PLGLSIRETPVLGSLLQRFWVEPKLKQRMDHIICDAKLINHEGGAARLEPEELYQFCV DIRQLDAMKRMVDYYTHDRQSDIPDPDVKHTQKELQVFLDSIGRKLTNLKSPADYNPE SIFVAAAKYVRSRGQEGPYIPPQTLRRSNIGDK EPUS_07305 MIPSNPFAQLIEGRETAAEENSTSFFPLLDRVAEGIFTELDTEQ ALYERFLKLLEDDGHISDPEAVASFKFALAIRSVAPRIEAHYQYYNTSVQPSMMAAQD AACPVWVHFDDKQYCSPSLERAQQDMPIDGLADQLPFDRVLGDVSEGPPSILYADITH PLFGQFHETVSRTAKQGKSSYRVRYRPSTDTSPLFLNGYGVELALKRTDYIVIDDRKA GQDDEEVQKPISDKDLSDEGPADLKPLTTSELSTLGLNTASFVMSSDDQLDTLLKVSA DFPRYSAMIASHNTSETFLNEYRTNRAVFLPQGYSIVWINGVQVDTRSLNPFGLLDHL RRERALINNLRDLGFTASQAIKLLSHKDIAQAQVEKEPQRYDWRDQTEGSNVIMWLNN IEKDKRYQIWPSQLTALLQRTFPGQLPTARRDIHNLIIPVNFADSKDLQLVVETLQSF VKRTIPVRFGLVPLLSSPDSAAQAKIAYHLLETYGLSSLFAYFEEQVTAKKPSTATKA SFAAAIEGRKPRNEREVLGLDALLTSDPLEQRLQAVKSYLSRLELGNEVTSILINGVA LPRNENWLEAMSARVSMDLRAIQRAIMEDAFNEESWIPEYFLFQASSSRNALITPEKL DSISVLDVSKLARDHFDVLDDIPRISASPDSDFEARAHLLLVADFESDPGKQLLKEAI EFRKGEPGVDFVILHNPTSSRPTSGLPFQLYHLLKEGKEISPENLLDIVSGSDWTQPT QAMAQRADDYWSVTQRLADAIGIEHGASGILLNGRYVGPIKDGTLFLKEDFDLLLNFE RQQRINHVVSAVRDLGWESNVVKPLDLAKVTSLVARAHVSDLPEGIFESPPLIRIDNF NLWNSTHSAIHVSTSDDPAIQIVAAIDPASEVVQPWIPILRTLSQLHGVDLKIFLNPR EQVLELPIKRFYRQVLDSAPMFDNSGALRRPQATFTAIPEEALLNLGMHVPAAWLVAP QVCDYDLDNIKLSSVPKDQNIDAIYELEHILIEGHSRDTGTGSPPRGVQLLLGTEKQP HFADTIIMANLGYFQFKANPGYWHISLMPGRSSRVYTIDSVGSKGYSPQPGDLDTSVA LYSFHGATLYPRLSRKPGMETEDVLDSGSKARSAMDYLSRGASFASSALTSVGLSKSS SSANADINIFSVASGHLYERMLNIMILSVMKHTTHSVKFWFIEQFLSPSFKSFLPHLA AHYNFQYEMVTYKWPHWLRSQKEKQREIWGYKILFLDVLFPLHLDKVIFVDADQIVRT DMMNLNRVDLHGAPYGFTPMCDSREEMEGFRFWKQGYWKNYLQGKPYHISALYVVDLK KFRELAAGDRLRQQYHALSADPGSLSNLDQDLPNHMQYNLPIYSLPQEWLWCETWCSD EALQSAKTIDLCNNPLTKEPKLDRARRQVPEWTVYDEEVAQVMEAVKRAEAEASAQAA GPEEVIQERKPDRKKKDEL EPUS_07306 MLHNQPFPLSSCSDRDLHHLCECLWRWEICVDCKAGTDTLRHNP SCPWPTRAAKLSRFFEYYKVVTRSYIPELLPGDCPALRSHDDLFHLISALKEKADIPR GELTKSHFDGPHVNKGNPPPQSDQNRAVDLAVRVMLMINCAVDDQSLGLLESGVAPLT WRNDETLCQFLKKIFPQEQYQILDAKTDGKRSPGIKTLLTASRLKSIARLTIEPTNDI RDHLRLTQRTGVVLIYHHVGFLIESLTSGHPSSPVGSLEQEIRRGNIPRQLALETLDS LQRVLFPADINSRQLLRTLVAKSSFDPACLSLDWTTYRSENEQEVEYRYLSARLMDLY EEILNPRPRSTLEKWAERRSGARHVMIAILVGVIIAIILGLLALMVSVFQAWIAYQAW KHPVANP EPUS_07307 MNLAAVDRVGPLMQGPTTSLTCSSTLSKKLSLTGVLDRAGFDDP VDVDSGYATGASTTPKSIEKQHLSASRSDQTPSNSRSLPGTFKHGKLLEFDQAVSNDT IEQYKRIAVQLEVSLVEYIQKHYRTHSPMSMRLMVLGQNADCAKPWIVVFCHEKRERY VRRFFNKRFARDLCQPQELGAACFDVLVLAHRLQLTSGSLVDVLTDYDFAVNTLSGVP ILLSQPDGNRCGTLGGLIKITREQGDYGIYGLTAAHLLGPLSSSSIDEADTISDTRSD DGNSTDSTDDDDENDYYQHTDSVADVPSSPTKCAIEEEAEPSNVKGWCPLGQVHASTG DLPSDQPSRGPRHDWALVTINKNANLRPNRLRSTTLSKLREADLLAPSPEKMELSRSR HVALNTYGECCQTGTLDYLPCSVWLNRSVGFVKAFPLKMNEGAHIKRGDSGGWVVEYH TLEVYGHLVASDVLGDGYVIPLLDTLEDIRERTHAQDVGLATGVDITCKLGDAYIKLP QPATRYHDSGYASADISPPWHPCRNNYRNPCHP EPUS_07308 MRKKASVDRVYPSLSGGLQMDSWVYDRPCVVAGFYRHPVNGRIV QVAPLTSRPRDESVLNNYLPLAPSSPINGFQLSLRGNRRLDRMAYVKKVRFEVDVRLL TLHNSARHDMPRLTKASIKVLLEELPAAIPWVPVPTPPVLISQGSAPAPVPALAPAPA PGTASTASGTSSTAPGTASTVPGTASIAPARLAMSVSTSSVGQDTPTLEPNHASSSRP DSSPSARCQQESTEIDESQPLLLDCFSHTQPHYTATTSSDIVNTPHHNLKESSHSKSM PQRTRPYLQTPLKLVLCIPMLLLLIPIVVLEALLAPVFSALLRLLGEFREVVTDGNAG LVVAWHRLGRVLVATLTSGEDPGVVVGPMKDEEYHGRCGSLL EPUS_07309 MSAHLRTRPTPRSSEDAQRRTSQRTSQRTSQRTSPSAAKEFDTL AAVTDLGKLALLQLASREPGIPHSEETQAAVLALGARAISQGRSTSQINERILSSARG IARLEAPGRTETQAQGEINRQLNRGATTQPIGKGPHHETVIRAANSRNTTEADPQIRR GSTPSRSAASPLAGAEDVAPASGAAAAGPRKEVAQSEEKSDSTIKDDPYRHALQTELT RTRKRVKQFERGLSLPSSDKSLEALINRVVDYRNKPLQECQGKLAKTFKRIFNMEMRL QHIRDGATEPVAQRRWQPSYIHEIQTVLAKHMENPEACLSRLRQKLRRRVGEESDHPQ AGSDIWLYVRVIRKRISNTKDLEHYLATCLQPMAGHGEGRQAPDRPLLIPTQQTERGG ATGGRAAHTPDIGPIGSVAYWLTRDMEEVPCSQSRGDDKRVADDHLADKNPAGRPLAG QRSHQPPALDNRQSNPSPAQASGQELPSGQAINARASVASVLRGTGQSTGSLPSSTGG SPYGGPLAGGPSGVQTGDYCSRARGWKYRAGAPRAGGISPAGSTPPAANIARQAGTRA TGSVDSTRNVRPASRNRTVSDIAGGDPLISGDTMHDRSRHVRARREGAATATATGAAG VAAAVLPATSCVVVAAAVPAPTPGPATPTVPGSITPAYQP EPUS_07310 MEVPEAPKWISPPSQRQDSSTDTSPSSSISENADAQYGVASALS QTVTPQISRMSRPPLSTRVTPMESAMTRDPSFEVDWEDDDPNNPRNWPTWYKGIIIFA ISFSTLVVVLYSTSYTSGIYALQEEFDVSSEAIVTLGVTTYLLGLAVGSLILAPISET YGRKPVYAVAMIIFCILVIPCAQATSMAEIIAVRFFGAVAGSSMIANAPGTVGDIVSD EYRALAFSIWSIGPLNGPVVGPVIGGFVTEYLGWRWANWIVLILGGIATALMWIIKET YSPTLLQKKARLRRKESGEERYWSRYDQRLSFIELMKVNLSRPFIMAIKEPICIFWNV YIAIIYGNLSLAYPPLTRLPSLTWPHRPGILYLCFVAYPIVFQGSRHWSLGFSGLAFT GIGIGSMLTICLEPFIRRMINRHAPEPGSDRPPPEASISIVCIAAVLCPIGELWFAWT CVPASIHWAMPILAGIPFGAGNTAVFIYACNYLIHSYGIYAASAMAGNSLVRSLLGGT LPLAGPPLYDALGANWAGTLLGALEILIIPIPFVFYRYGAKIRRRSALIRRMREDQER GERKRAKAKEKIGVEEKAEVGVEAGLGLSDASFKKEYEV EPUS_07311 MGRAIAGIGSAGIFSGSSVILMHIISLAKRPMFIGFMGAIFGIS SIIGPVMGGTFTDRVSWRWCFYMKLSGSTPFGTVLFLPGITCFLLALQWGGTIYAWNN SRIIALFGLAGVLTIGFTAIQTWRQDDATVPPRIVKYRSIACGMIFTMCIGGGMVSIL YSIALWFQAVKGTTAVQSGVNSIPMVLGLVAGSILASAIVTRTRYYVPWMFVSTILAS TGSGLITTLTRFTGHSEWIGYQALSGLGLGTGMQ EPUS_07312 MSTTQTPTYTSTDVAAEPKEAAQHSTMALSDVSNEPKVQGKQLS SEAEQQLPKGLNLAVIMLSLYATMFLVALDRMIIATAVPRITEQFDSLDDIS EPUS_07313 MNSLSSVLATASFRYKLDDSITFEFVDGLFPSDPAPDVPYFYAP PYYAWWEGNTPASVRTSHTWLQSKLARDGPYDGVLLFSQGCSVIASFLLYHQATNPTS PPPFKVAIFICGGIPLSILEDLGAKVSQEAHDWDQKTRVLLREKTTDAAAILSHGHDR WGSSAKDDTAEEDPGAKIMNNPQDVFGLDTTQFSEHSKIRIPTVHIYGSKDPRRPASL QLACLCRAEVRKIYDHGGGHDIPRRKEVSEAIAGLVRWSVKMAEGDEGIRA EPUS_07314 MPSFALRANHFQRERSRSPRRRSRSPRRSRRSYSPRSRSRSRDD YRRSDRRSRSPMNSATGAPGGYSGSGHRGGAPPQRSFEERAAAKEQMMQSLRDSSQQD RRVYVGNLAYDVKWHHLKDFMRQAGEVLFADVLLLPNGMSKVGANVIVEYATRDQAQQ AVTTLSNQNLMGRLVYVREDREAEPRFTGPASRGDYGGGGGGGGGGPRGGYGGGYGAG GATTGGGGRQIYVSNLPYNVGWQDLKDLFRQAAQEGGVIRADVHLDPTGRPKGIGIVA FETPNDARNAIQQFNGYDWQGRALEVREDRFANSMGGSFGSRGGYGGGFSGRGGFGAR GGFAGRGGYGGGFGGRGGYGGGGYGAAPAMGAGTGAGYTAEASNPPNPFTDFATSGGE RGPIIYVRNLPWSTSNEDLVELFTTIGKVERAEIQYEPNGRSRGTGVVEFDSADNAET AISKFTGYQYGGRPLGLTYVKYTNIGGGDAMEGTEASGGLTQDQIM EPUS_07315 MRHVPTHGFSMRALTEGAKESGYLEVSVQLLPRGVFDLINYHLV TQRLALKDRVQFPKDSKLGAGEKVRTLTLERLRANQDIIHQWQGALGQMSLLGNIPAS LKELHILSDEIWYLSGDTSVDTSWYTKRASLAALYASSELFMTADASKDFAATAEFVD RRLEDFQKVGKTVGGLGQFVGFWAGNTIHLARSWGMRV EPUS_07316 MARAPIPTTEISDYEKQRLANIAERDALLKKLTQEAQQAGLYTR PSVKSTANGTSQSHKRQRPVKPVKKELDNAPRRTSSRLAGLTADSEVAKRKADQEYEA VREAERAKRQRIAGDQDLGEILVNGKPLLAVDVINRSVAKPYERTFGKDEIKRTTDKE LKSIREKISGLALWDAWEPSRIKITPERIYCMSFHPTEAKPLVFAGDKLGHLGIVDAS QKGPGAKDEGDEEGEEDNKSKIKKIKKEPMQNNNPGDSDPSESDEEEAEDPDPHVTIL KPHQRTISAIHTHASLPQTLYTASYDSSIRGLDLEKSKSTDLYALDDDPISGIDMAAT DPHVLYFTTLNGAFGRHDTRIKGKKGAELYQLSEKKIGGFSLHPLVPHYLATASLDRT MRLWDLRKISKKLPTLVGEHTSRLSVSHAAFNSVGQVATSSYDDTIKIHSFGVRGKID STRNPTEGLEAMSTWKAGYQLEEERMEPEVVVRHNNQTGRWVTILRPQWQRSPQDGIQ KLCIGNMNRFVDVYSADGKQLAQLGGGGITAVPAVAVFHPTRDWVTAGTASGKLCLWM EPUS_07317 MKAVQTPTWLRAEALQHLPFLYCTRTILGGRQRGRRPQGYGTFS TATHLHQIEDGVTEKEVQMLKPKTQEERGNYFQEKLAHQSKEQGKQQDQMKKSSQPVG ASKKLGKGNTSTMTAAERQVFKKIFQEIATGSGRDKTKASPLPEQKTPLSTDYREIFS LFSSAASEEGSGQNKKGAAQATSIGGQSSPAGGGRQASQTLSEQDREHIQKYPERLRK IASRATLLAKAEPQNGMSKEFEEHQNDFFAAESEFAEASAVQKRHVDSICVEQMKNIS EQLIEAAKVDDGQLWLTCEKLVLPLLAFLEEDPSRPSTNPATGSSPAYGTATSSQGTE QHLASPPEPEYSFTMNPNARRQQRNLQMKAPNQPRIEPSTSTSTSTSKTNPTTPFHIP PTIPSLPIISTLYPALLLLTLRLLTTHYPLSHYPSTLLPRIRSLGLRSYVLGTSTQLY NTLLLHRWSVYSDLPTMDRLLHEMERGGIDFDAETISIIERAAVDERKWKAEAMSKLQ GLSRKARSKILMKKGPLGGQAEGASAGKRATAWWEAEVTLKWFERITATEGWRRVRER RVGGAEAVVEREYAGSIAGGEVEMEPRVMLG EPUS_07318 MSQPDGQSLDAVDLPPKMAEVKLTEAGIAAPIGSPSPKSPRSKG TPNPAKGKGDAGKSKQIHTQAAPKGAPPAVIPLPDFVLERNQFFDELKKKYDEELSTR PKPEIKITLSPGSVEDSILIGKAWETTPGQLLKHLPKDVAAEIVIAKVDGKLWDLDRP LEQDSKVSYLTFKDKEGRDVFWHSSAHVLGEAAEHEYGCRLSHGPPTAMGFFYDMALE EGRAVKESEWPSIEAKAKKFFKEKQPFERLEVSKDNLRKMFGYSKYKMHYIEKFVADG ESSTVYRNGTLVDLCAGPHIQNTKKIEAFKIMKNSSAYFLGDQSNDSLQRIHGVAFGK KEELKQWEHYLEEAKKRDHQEIGKNQKLFMFSQLSPGSPFLLPHGTRIFNALQNMLRE AYWDRGYQEVQSPNMYNVELWKTSGHWDHYQDNMFKVHVKEHASADAKVQPTVPGAKA IEVDQDKDTFGLKPMNCPGHCLLFRNEERSYRELPWRVADFGVLHRNEASGALTGLTR VRKFQQDDTHIFCTQDQITQEIEALFDFLSFVYGLFGFPFKLKLSTRPEGYMGSLETW NAAEDQLREALKKFRGNDWELNEGDGAFYGPKIDITISDALHREYQCATIQLDFQLPQ NFKLEYMTGDSGGHKVAAAAPAAAVDNEKPDSSTSKPAANGENESQAPNEKEPSTTSR DTLAPGRARPVMIHRAIIGSFERFIAILTEHFAGKWPFWLSPRQILVVPVMKGAEDYV REIQSIFHRARMYVDVDLSGNTLQKKIRTGQLVGYNFIFVVGAEEQRSRTLNIRNRDD PTTQKLGAMVPLDEALRKLKDLRDQRRLVNSLDP EPUS_07319 MGSFLHACEGDIVCESNNAKIPYFNAPIYLENKTSIGKVDEILG PINQVYFTIKPQEGIQATSFKSGDKFYIGGDKLLPLEKFLPKPIPPPGAARVKKPGGA GRGGARGRAAPRGRGFGRGAPRGRGGFGDRGRGRGAGGSFSGGRGGSRGGFSSRGSGF RGRG EPUS_07320 MSRRSGVTSVGTQNDSSRPDQAQEKQKMLLAADTGHFSMMKALH LADLITEMNGFCGFMSLLSSMRYLLSDQNDHTNLWLALGFMPFGLFFDFFDGKVARWR HKSSLMGQELDSLADLVSFGVSPAAVAFAMGFRTPLDQVILSFYVLCGLTRLARFNVT VAVLPKDHTGKSKYFEGIPIPFACLTIASMLAVNVSQGWILDSIPLGVALKGTPLEFH PSVAAFLMNGCLMVSKTLHIPKP EPUS_07321 MGLNRGSDCWCGSALPPESDKVDDSQCSSPCTGYGEAKCGGNNA YSVYLTGYDNSVGSAAGSSSSDSNSDGSGGESGVGGGSSATANSPPSSPTQMGSPTVS QAPSVITKAGETIVVTAPGQVDATSQASTGSNGGSSKIGIAVGVVVGAIVLCALLGGG FFFIRHRNRKAVEEKYRRTQAIDSFVTSDKTHSKGGSISDQRLDPSLVHHRRQSDGSI ADEMDFSRRILQVRNPDGV EPUS_07322 MKDLYQTIDEGKVGIFESPTGTGKSLSLICGSLTWLRDHKRSVL EGPTGNVEPGDDPDWMIDAERQERRRAVLQHREELEGRLSEVRRQETARRKRFSNQVR SSKKLRTHQPEILDSAAETDDFEFLPEECDSKVVTEGNGYPGPGKDHDALSSNTQALL AKLNGVKRTVEQISASKETRIIFCSRTHSQLTQFVNELRRVKPPLSITSLDENGLGES SPPQEEVVKHLCLGSRKNLCINHKVSRLGSVAAINERCLDLQKPGVPEERKCPFLPKK DNEDLIDSFRDHALAEVYDIEDLSNIGRSMGVCPYYASRAAIDSTEILTLPYPLLLQK SAREALGVSVRDHVVIIDEAHNLMDAITDTYSCSVSLHQVETATSQVMVYVQKFKDRL KGQNRMYITQLIRLLNSISDSLRSTASNTRENEAIITSGQLLSGKGVDQIQPHKLISY LQESKLARKVEEYVQYTDEAAKGGINHPHDRGALQVFQSFIAVLMNPSSEGRFFFSRD EDGRIQARYTLLDPREHFRDIVEEARAVILAGGTMSPMSDYKDHLFSYLPPDRLQAFS FGHVVPRENIFARSVSTGPSGVEFDFTFEKRKSFPMVLWPSSLATTFSAMSSRSGRGL LYPAPIPTHTTPPHNPPSASSPLSTP EPUS_07323 MDSLRQQAKDKFFSEQKFSLQSGTHHVARSLIHRVTRTSPELDS QKPAAGLLLVRINRHPYGELQITQDIFLDLFTAFKLEPYVLHMLQRESYGLMDFQSES SIGSEKFLDTFNLKSISVCLIWSYNHSSRWTRGILIPRHSDSVGDSCEIFRTFAKTLE LQRDLVAHPWLLRFVALLETHRWIESILTNELQTIRQAESQTGYGCWESWNRGHGKTW KPQLGNFAEMSQIVGFSTAALANVLRHIEIADEFGDIAPLLTEAAGRSCALIREGGSG LGKAAEALKLVRKQVAAREKDTRYLQERARNQLSVMFNLINQNDATTSLQIASSAKDN SASMKVVALMTIFFLPRTFFATLFAVPTLTWSEDVVVSSRFWIYWAFTLPCTLLLVAL YKGWGWGWCPIQYVS EPUS_07324 MGSIISYDSKRPLLVCALPRASPAPATGTEDATLVASISSIVKS AGLKPYATPLSTDEIKEWNALGDSFTAGIGSNGLDDSLRISQDCSRYDKAYPMQMNAD TRWPGKPADRKLNFGACSGNVMQDIRTKQLSDNAPVDYQNFGKPQLAVITLGGNDLGF ESAINACIIRAHGWPNIPGRNRVLIGIENKIQDLGFLGAFDELLLAIVVKGRVNGGAD PPESFQLFVNGDLNFQIKQSAFRLANEGVVYVEGFQDSYIDHQFCDPKADTNLKKPIS PKTWFWASDSREAFEAALDSLKTSSDPSVAAIPEQWRRIFHPKGTAYRHHSDVNLNTV LANRQAKPAAPPPPTPPPAPTPTEEEENNICGVWYRIILNHFEIRGENFDPVKFGKDG SGLKKEIKGCGALTKWRFEYTPKDPNFAWFASGQLPIGTKACVGMAVVSADGEGPDGC TGPG EPUS_07325 MHFSKIATVLSFAALALAAPAPEAAPADGLVTRSTCPPPNGCKK SGKYYKIGPTCPVGDSYRCSTTAVIAIINCVNVLTNIAVDVDIKDVVDIKF EPUS_07326 MSIRQPALTRAKPVSQSASPDDLWHEAFNSIPAEDRQAFHVNSA NKLGILQEILAITNERRRLCLEKRWKYRRGDKEVIIRDKLEKVTTWIEKFKEVGTVAV QYDPVHTALPWAGVLFLLQIAINDGQIFGAMVEGVELVSNTTARYAILEKIYLGEGKA FTMHDQLSTALVKLYMSILRYLSKAKKYFSENTAKRMVKSTVCSAEASVHTYLSIISK KAASVDSCIRVLDAKGMQKLHIRSRRLEELLLGLEQPIRRSAIQLSEIHDHLKRNERA KILTWLSVVPTNLHHTIEGENFMPASCSWIDRHPGYVQWRKSSESSVLWIHGIPGCGK TKMIYYVIRRLLEENSNVTNPAPVAYFYCKRNPAEPKRTEPCEVMRSLLKQLASLGPD YPIRYPLVQAYEKRAEAVDADASCVLQLSALECVPVILEILDQSPATIIIDAFDECNP VSRHELFSALNIILKQSASLVKVIIASRDDGDISSHLANSANVCIRAENNRDDIGRFV EYSLERALETKRLLNGRMSERLKTHVIAVLNAGAGGMFRWVTLQIENLCDSQRIKIED DVQHEVGRLPRTLKESYDPLVGRILSLADPSRVLAEQLFKWLLCAQKLMKFSELKAAM KSSFAYKDLSVDKVLDMCSNLVVVDNLGVFRFAHLSVRECLECHKIFSATSSHALVLE RCLEAYTSPSPELESQPYNENGFILKSYAMVYWPIHCQLSTGPGPNESFQRHQVLKFI FEDDQPSTAFTDWVEDTQRFSEYPGSIDCDYDLLQKLGSMGDSSSAPLFIACCFDLPW ILHQLAASGFSQWTARNKREESGIYLAAKWCNYGAVQWLLENGVMSHGDTEAALYAAV LNQDEQLINIFLQRQVKGHLPIQNGESSLQLAVRMKDIRTVRCMIDKSVEIPPEIVAE TLCAAYENYSDEIFRILSSWCMKLTAAEFLMVLLCIDTEDETCLEYMLTEAKRHDQEK FATIAQLKAGNYLEMMQPCSDGSFSILFNGLHFPPFCYVALANDETLAELLFSLGNDV NQIFEEQGDSYRYYGIPALYVAVKLDRLSIASLLLAHGANVDWKDNKGRPLLIHATKN RNLEMVQLLQKSGANVEACDSKKKTALWISVESHQHKILDFLLRVASAAPNVNASNLD TPLTMAVKAECNQCVRRLLVFGAYVEAEQSDRDHVLHHAVMSNSARILQSLLARGVSP DPQDASGRTPLHLAVLRQNATAARLLLKAFAATDTGDVSNRSPLHDAVSAGNETLVRV LVEYGASPNTQSESGGTALHEAVLEDDINILRLILNVAPDIDAPDIHGNSALHWALDQ RRPSSYVDLLVAAGASFNHPNNDGETPHDLVTRMNLRLEKISRWREEEQQEEKAENAK EEGTEKGEQTSGLRSRSKQARRDKKLEFLADSSKDSGSDTEYVGLIELENSLTFSQQR RVKDSDNPFFEDDDIEAVNGDVETPLSITKQPHCGPSVDYYTSHGVENWSNISSMRVV PSRPLCVPFRRWEQ EPUS_07327 MSSSRFGSGAGGSRRSGSPPTQRHTPMTREGAAETAPGRGAAVK DPVSGNQAPVLSIPSDSRGASAGTAATTHSHPSSATKIDQPTADQLLIDAAVNDRASA EDRKLYAYKFTQDTLFRAQVLEAYRRYRSAKAAAERRKADLLAGIILVFAPELASLFV YEPHQNPSADELVLRLRATSLAHQVAGEALTELENIGYSHSSTAQPARSAPPANISPR ATLASPVTPLGSTPRAPRPSARREAKGPRRTTGDS EPUS_07328 MKSNQATTTVVVCTVFPIFALLAVCLRVYSQKLKGNNVHADEYI IFSGLVVVYVLCGLMVYGAADGGVGWHIQDLQGRAGRAYIKIIFFGLLLWAASITLVR ISLLVFYRRIFTLKPFQIANNVLIGVNIAWFTSLFFGAIFSSSLETIVQARINYPAWL LVNGTLDMVLDIMTLCMPLFVIRTLQIGVKKKFTLAGIFSLGFFCIVASAVRLGYYIQ LIKMRQADRHFSTITFNCVLWSVIEPCASIIAACLPTYGPLFEEGHGLTTIFRSIASK VPLTSNKSHISLFSAHEDQLQKMYRNKTQSSSKERLKNNTVGNEFEIPVA EPUS_07329 MTAVLQTAVWLGTRLVLGPAWKPPTAAIANEVLRYGNVFDISGP PLIIKDLCQQQEYLQRLLTLDFVQWAVQPLLLQNADHTLFAYATIQPTGHIIGSRKAK ASNS EPUS_07330 MATLSRFQSIQRHNLEVFQQQPLAEISGSLGDLGTFLPIVIALT LSHQISLPTTLVLTGIFNILTACFFGIPLPMQPMKAIAAVALLKNFTAGQTQAAGIFV AACILFFSVTGLLGWFTSVVPIPVVKGIQVGAGLSLIISAGAKAVNELSWTGPSWEDN YIWVMFTFIALLMSAAAPRTPYALLVLMLGLALAAFQLGHAKGNHGPAFRLWLPYTQV PDKDEWKVGVLEAGLGQLPLTTLNSIIAVVHLSADLLPEIPTPSATSIGLSVAFMNLF GCWFGAMPVCHGSGGLAAQYRFGARSGASIMILGIVKLLLGLFFGETLVGWLKKFPTA LITVMVIAAGLELASVGESLNTIAARDLEKEPGQAERKRRWMTMLVTISLLVAFKNDA VGFIAGMLCHWSYEMPEVLAKLRRKTFNGHWTNASLHEEQSLLP EPUS_07331 MARSISFLLLGFCLVILDSVLSQAPSDPVQDFCRRFSHQTALIN RQLYIDGGFVNANPLSQNPRAVANPNLLVQDLDVLENGMPKPFANLSKNATVPSVSGG ILWEDDVNQVFYLYGGEYPLVPNNFELWAYDIPLNQWNVTAQSATSSEVQRVAWGAGT TVGGRAEGYYYGGYLNNSTTPGWNASPLATSTLVRYDMIANSWTNNTGPDSVGRAEGV MVTIPASRQGLLVYFGGVAFPYRNSTEVASSMSTIFLYDIAEGKWYQQNATGQVPENR RKFCAGATWAQDQSSYNIYLYGGAGFAENATGFDDVYILTLPSFTWIKWYPTEPGPGS PHNSLSCNVVDNAQMLIIGGTFPATDACDAPDVVGTHNLDLGKNNPDQAKWNLFNPNL TTYNVPSEIISVVGGSPVGGATVTAPTQGWDHRDLPVYFQQQATHAVRTPTRALPTTG STPNQSSRSNRGAIIGGAVGGAVAFLLLAGLAIFLCIRRRGRREPANNSPTTVEPSQP SPLPPSAHLPSYIEHKPFPSAPPTTMHPALARPPASPDLSYTSTPPPMIRAPAPGPAP NQDQYQDPHSPPLHSASSQATQVASPIHNPLYQHPAHIPASQQQPYFPPPPARDRVPD EQEQSSTTGPSYEMPTMTSPRPRKKTWGD EPUS_07332 MPPSRVSSPPSDPQPLTDGLTPKQLQQFHTDGYAIIPDYLDQSR CTALLSTISTLLHDFPLKDHPMTKFSTGSSSSAHVGDTYFLTSGDQVRFFFEEDAFSK HSAVLTRPKERAINKIGHALHTHIPAFAAISHTGPTGQLNAKIASQLGFHDPRLLQSM VICKQPEIGGAVPPHQDSTFLYTRRQDATAENGCLSFARGSHRRTPVRRRFVRATEEG GGEGTGFEDVDVGDEEARWPRGFEHEREEEEKGTQGSEAEEEFELGEVKAGTLVLIHG NLLHKSEKNLSQKGRIIYTFHVIEGEEQYDEKNWLQIPGGPEAFTKLDVS EPUS_07333 MPTRLTKTRKHRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLWSLVPTETRDAYLEENKTDTAPVL DLLPLGYSKVLGKGRLPKIPVVVRARYFSKEAERKIKEAGGVCELVA EPUS_07334 MPTKTAPNPVEKTYALPPPHLSSKTLHIAGILTTVFGLDELAPN TRETACLWLLHPRLQTQACMQPFAACTIADWNERIREGRAGSSQPAKGLIAVSFDQRN HGTREVDGLANEAWRAGNPRHALDMFSQIHGTAADTSILLDYLAAYIFPERDGVKVSQ NMVFGVSLGGHAAWQVLLREPRMTTGIIGIGCPDYKSLMMDRARLSKLADWDSSDPSS FLGSKSFPRTLVAAVDKHDPAGLIMGQLERTINDSYVHELSENEEEKVSRVLDHTLRN KRILLLSGAADKLVPYRCGQSFLNFLKRAISTYYKHGGLVLEDLVFDGVGHEISPNML KELIRFVDESLGAHVQDSGSISGELRTSSKI EPUS_07335 MILSSNTKTLTGVIAVFVLSCTSLVVGQSVSGNDAIADSHGLTY VYEEFTVSPLSECLILCKLLSLPSAGILGFDKVQQKTDAITNSLSTQSYNNNNTDAQS LVDQYVNITNIQLEGLGTSNLQVQLEDATQYGVAEAVHVLVLEIRRFFFSLISQKNSF TRDQRVVLSDTVLAQNLIVDDFLQRAPAYLGKVLEILERDLAVIRQSYASTSNELLAV EARRAR EPUS_07336 MVLNREKRSNAGRAPRRLDDADRDTPPASAQSTGAQKVILRVRE ESVVSQGSKRSSEKGSPGPAGPAGLAGRKRAISTQPISLRHQEDVSTALPSSELEFLD EENAQDEAEPEEIEKEIQETPSKSDAGDSFYTIELSVMLGKRGIYNTSIESERFRSER FLFERFESEAQHRASEAADK EPUS_06945 MSFSYPIPSTPRVISPSPTPSEASEAGRDTYFAPTTRSAAKHQH HKSPEPITEEKDGDGSSSSSDSSLDKRARTRSRSPGLASIGPAHSRKRRTSGLTPVKT GKKAAVKSESITNGHPVSPAGPNGHLAPYSYSTLQNRWREFSRSPSPLGLIPLHRHYR YLIHKHEIPRKALHVSIGFMTLAFYTNGLQTSAITPWLLSALIPCAATDYLRHRFQSV NRVYIKCLGALMRETEVDGWNGVIWYLLGAYIALRFFPKDIGVMGVLLLSWCDTAAST FGRLYGRYTIRLRSGKSLAGTLAAFLTGLLTAILFWGWLAPRTGTFPDDPPGAFLFTG ELGLPHQLTQYLGWKPADATIGGAAALGLMSLWTGLVASFSEFVDILGWDDNLTIPVL SSLGLWGFLKVFGV EPUS_06946 MAPDRGDARPTATSSRAWNALTPSLSDWILDATTSMGFTKMTPV QASAIPLFMTHKDVVVEAVTGSGKTLAFLIPVVEKLLRLEEPIKRHHVGAIIVSPTRE LASQIYNVLISLLRFHSPSAALLGHSEDSESEDSEPKSRAQSSSALKVIPQLLLGGST TSAQDLSLFLKNSPNLLISTPGRLIEILSSPYVHCPQSSFEVLVLDEADRLLDLGFKD DLRKILTRLPKQRRTGLFSASVSEAVDQIIRVGLRNPVRIAVKVKGANGALDKRTPAS LLMRYLITSPSNKFLALKSVLLRMTPSPSKVIVYLSTCAAVDYWQHLLPSTVLPDGMV LVPLHGKHPANVREKNFIRFANAACPALLLTTDVAARGLDIPQVDLVVQIDPPLDPKV FLHRCGRAGRAGRKGLSVIFLQPGREEDYISFLEVRKTPVEKLTQPDTSMQPEESAQM ASSLRKLVLKDRALHDKAQKAFVSWVRSYSKHQASSIFQVSDLDWGNLAQGWGLLKLP KMPELKGWDRKNYQAVEVDWDNYSYKDKKREQHRKEALLQERLGDPQLKAAGKRLKRS SSTAWSQNMEHKADREARRAKRQARREQGRFEKLSQEEKSQIAETEQMIAKVKRQTAA KASMDDTFEGFD EPUS_06947 MVPYTDILNSNTSLKSTGASPTAVFVGATNGIGKAALLALARHT ISPRIYIVSRTHASLTPLISQLEDINPAGTYIPIEGGDLTLLSNVDKASESIRSHGDD HLDMLIMSAGYITFASRIESAEGLDEATCISYYARMLFLVHLLPLLNAAPSPRVLSVL AAGMEGQLWPDDFALKEKWHYSLMKAGGAAVSMTTLFLEEMRKRNPKIVLMHLHPGTV PDTGLVDKPEHIGWWMRLFFRALVPVMRIFGYTVEESGERVLFAATNGRFRAVQGGGE GIAVGSDGTRGSGMYLVLADSRSRAKVGGPYSG EPUS_06948 MPTHPAVVTVAPRAALEIMQLPTMAPANAEVRVRVEWTASTPLD LHQADGGLLVHHPQVLGDGVAGTVVEVGEGVERLAVGDKSLTISKSSKVFGFVWRAQK EKAHQVYTTAPENLFGKIPKGISPEAAVTLPNNFVTAYHTIVTDLQLPLPWPHPDDHQ PEHANTPILIWGGSSSVGQYTLQVLKYFGYGNLLTTASEKHHDLLKSFGATRCFDYRN SNVPKLILDSIPDTKDGKAKVPFILDCIGSKDGSVAPLAQIAEKGTKVAILLPIIIRD ATENGPAPEYTFDVQGSADWADGVEVVGVRTHFYADNSFHAEHLQPSIMPAMLGQGIV KPNRHRIIEGATMLERAQRALDALRRKEVSGERLVWRVAD EPUS_06949 MVAMKSLVVLLPFFLSMAVALPPIPAAYNETAPLSAEAWDVSAL DGSVNVNFYEDKNPDSSTKIDPNTCRGKAIIALMKWRSNCKTFNAIFRSSCYTHAPSH HNCCPVPHKKSCTHYWPKPGEWEECLRMRRECGRDCHWKTLTNSVQWCWKYAPDI EPUS_06950 MVSNNLAHSAHDSQPQTPPQKKNIRSGSILWLRKRLDEDEMILL EAAGLERQALNHPVVVVDLLKKDPEVVKICTMTSCGDRNITMSRIDRKYWHFYLPVQP TPSHPSYTTEPLSLENNKQLRKLSYINTRRTYRISLALLKRYDDLEEGISSHHLTPES LETVKTACGLAPSPRTESRDPVIYATPKTPPTTPWPSSKQHTHNLLYAAATEYNVEDN TGRYRVPADEHEPLLPVPVTNTLQNSQAQFPPAWPGQHQTHQGRVANDYYYVHRRPKK THYEPILSALLTLLCICACVVLLATLGYSLFLGIMWVFRVFTSLVTTTEAELVRLGHY IAHVGGYIVSPFITVGKAVSAGWKSLVHVLKEIVRWFDNRKTMSS EPUS_06951 MAHTATSAPATMRGSAQSLVYRDEPDCSPPPYPYSDRQLPPCFL YHVDQPADDPPEYNFYDVERGSLIDRALAQYAQSISPSLASARYSILEQDEDAPVPSQ SHSDRFRSFLFYCVAMLCGIVFAAFWICAIGYAIVVSFERVAQGLV EPUS_06952 MADTTNSLLKRPHPEDGDLNSQKKLRSNDGSPHPVTNGASASSR PDVSRILAEARAKAEAAKARLQGARGGASASVAPGTSATTPAAGSAQDRLAQMRARVA AATGRASAMAQQNPGTATQSYTPTAFDDGMVRARGGLDVGLHPALMGESSSQGPRSGK GQSLPQKLATTVGSQRTGSPAPAAKKARLDLSAPSLEEIKSNPYYDASLSSKNAIPKG RHSRQLVFNQKGKYIQQALALKRQAQLEAMKKGIAERARKAGIEEELDAEKAFIVSAP PALEWWDEGLVSGDSYDAMADPANIKIDTDDSIITIYIQHPVLLEPPQEKIVPAPKPM FLTSKEQAKLRRQRRMADLKEQQAKIRLGLEPAPPPKVKRSNMMLVLGEEAVKDPTAV EARVNREIAERKAKHDMTNEERKLTDEQRHEKLATKQQEDAAKGIFTNVYRIDSLANG RHRYKIAVNAEQNALTGVCIMHPKMNLVVVEGGAHSIRSYKKLMMHRIDWTENAGPSS VREGNKEALAKWLEAEDEKGELKDLSLNSCQLVFEGEEKQQGFRKWLGARVCESDAQA KDILGRAKMESFWNLAKSMKKAD EPUS_06953 MAIFKHPTASFIGDVEFSRLSAEEIKKISVKRIHVASTFDRFQQ PTSGGLHDPALGPVLDKSCTTCHVSSIHCTGHPGHIELPVPCYHISFIDQTLRLLRAK CVFCHRLRMPHGQINLFTCKLRLLQYGLVEELTELEDMHTVKVASAGRMVNGNVGQAG DGIQEAGSDEDEEEEDFLQRREEFVRRRITKARKGDREGRYALLRNPVAVAERKVVIK NFLLAIARVKQCHFCKGISPGFKKDQNLNIFRKALSPKQKNQNAQNGLKLTNPLIYKH QLERSSKKDERPLANGYHHEDVDMRDGTESEIDDLHGAEEEIAKTAAMEDDEEDGDDD DRQRFLTGNEVHAALDLLIKREQKVFNLIYNNRPGPEATVVSADMFFITHILVPPNRY RPATLQGDAINQAQQNTSLVKILQANDIVRQIQREMQNPEENVSARRRGHGELIRAMV NLQRAVNGLIDQAPRPARRENEQGIKQTLEKKEGLFRMNMMGKRVNFAARSVISPDPN IETNEIGVPLVFARKLTYPQPVTTHNFFEMKQAVINGMDKYPGAAAIESENGQVLNLR FKNLEERIALANQLLSPTVPGLKGDRNKKVYRHLQTGDVVVMNRQPTLHKPSMMGHRA RVLSNEKTIRMHYANCNTYNADFDGDEMNMHFPQSELARTEALQIADTDHQYLSATAG QPLRGLIQDHISMGVQFTSRDTFFDKDDYQQLLYSCLRPENYHTVYEKIQMLPPAILK PKLLWTGKQVISTVLKNITPENRNGLNLKGESSTPGNRWGDNPEEAKVLFKDGEHLRG ILDKKQLGPTANGLIHSIHELYGHITAGKLLSVLGRLLTRFLNMRAWSCGMDDLYLTT EGDNMRKRELTRIARIGRETALKYITLEDDSPEPELLQRLEEVIRNDEEQANLDKVYN ANTATLSSEITKACLPSGLSKPFPFNQMQAMTISGAKGSYVNANLISCNLGQQVLEGR RVPVMVSGKTLPSFKAFDTDPRAGGYVAGRFLTGIKPQEYYFHAMAGREGLIDTAVKT SKSGYLQRCIVKGLEGLRTEYDTSVRETSNGAIIQFLYGEDGLDITKQKHLTAFSFLA RNRLSVMASTNVVGVMSKLLPTPEDDEAARHRKMAMKVVRKSGRVDAMDPVTAVFAPG SKLGSTSESFQLALDNYVAENPDKLIRKTKKGIDGDDVISKKTFQGIMDVKYMKSLVD PGETVGVIAAQSVGEPSTQMTLNTFHLAGHSTKNVTLGIPRLREIVMTASSDVSTPVM TLKLIPEITNEEGLRFAKGISKLSLAELVNEVSVKEYSGSTSDHAKAKMYDIEVEFFS AKEYTEEYNIKVEDVSNCLKDKFFPRLSKTIKDEFRKKTREASHAESTAAVPSIGQSV GRIEEAHSAPTDVENEGGGDGEALDDDIDPDDAKQSRGRQNEEYDDPDDDEKAIAESD AEVSSDDDAGKLPERQRSKVPQRTDGDVHASDTTDSDNEDDGTHAEIVLEQNSHLVKY KFSRGKGERCRFTLAYDVSTPKLLLLPIVEKCLRAAVIQHIPGLGSCTFAEEKVKDPR TKEMVEEKYVIAEGVNLIAAGDFQDIIHPHSVYTNSIHHMLKFYGVEAARNTIIREID GVFKGHTITVDNRHLNLIADAMTHGGDYQAFSRHGLVKNSGSVLAKMSFETVFGFLKE AVLDGDADALTGPSAKIVVGKRGSIGTGSFDVVAKGCTSDFGIMPSWYTILCAGGRVM ILKVWYDQVAIWFECLLSSNKVRILTPEIFGSEVGESTQVKGNVNGDGLAALDSGRQI LNGMSPNIQRGVAEGIHGWTERVRSSEDTLPGVERQ EPUS_06954 MYGAETYITIYGSVTRNMVCIAPGSLPSPHKPDNVEIYGHNKNM QKSVPYLAIVHNTPSTFTLRSKKEHAWKKRILSQKFSKSAIRLYEPEVIKLVDRFCDA LCPKSSEKSAINQFSCSALCPKPSEKSESTTPETQFPCSEPFDMSTWCDNLFFDLMTT TVFSESFDLLRSQWYRHIPEALSRSNKRISVIVQWPIIVWRRLDKILFKDSVHGRKEF LRFVHNLVTDRMTRWPRKDVFSGLLDASDPTTGNKLSRDEIVAESILMIIAGIPFYTL AYLSSKLIDA EPUS_06955 MAADPLYELLASHLNPNVSTSSQVAPSSSVHFNATSYLSHLTSL SLSALSTTETQSLAQALNTNLLSLQALSSRSHRSVITTSNALSTLGEYLPALTSSAFN LRKGVPDLDEKAVAFLQRYSKSNTDNAVLDRRKRAMLLSRNVDRISDILELPTLLATA IASSSTPSASSGSAASSVNYSQALDLFAHIKRLQMIHPDSALVQSILEEAEGVMKDMT SNLISSLRGQNIRLAAAIRTIGWLRRVAPELATQGVRLSSAKCAQSSPYSTSRSFTHT SSEGSFGYLFLVCRLYNLLNMLEALAPLRDLADQETQQRLQRQTRSTITSPSHEQPSS QRKLFMYCHSAFTGQQTERYLKRYIEIFREQSFATVSMYKNIFPPASDDPNTITNSTS SSPAPATSPSTPSPPFLTLPQTLQTFPIHLISHLTSTLQQYLPNLTDPSARDSLLMQV LYAAGSLGRLGADFSMVIESLLDDDDDNDDKDKGEEEGEIGEKEIAQLKGEPARGLEA AEAAAAAVKDYDDAASLPLAQQTTSRERTTDQKPKDGGSDGDGGGDMPIPEWIRILQK HRLQSSRLEALSSSAPPSAPSSAGQDKQRLNTTTSSGLLPRKGSASQEAVVK EPUS_06956 MSSSTRSGYQSPWAPQKQPPGKQSKRPTSLGGHTSSWFDRLHRH HDRKHLQSNDDDDDDEESPLLAKDQLYEDGEGAHSQPALPSTLPSELRGFFSATNNHT RKAYDTSRGFLVRNAKYILMACSLALLTLLTSILIGSFFHRKDDKKIYSCQSPECVHA ASEILYNLDPDYAELDACTQFDRMVCGGWQLRHDLRPDQGDMFTGTIMAERSKTILRH ILEESSSKAPSSPADQDNFQKLKDDYDSCMNEKELQEIGLRPLQGIINQIKMSFPLAF NDVGHTTFKSPETTSQWNGIADGANQLTEALLNLIKLDIGAFLAFHVEADDRDPDSQV LMVTPPRQIGLPSKEYYENKEVVKEYQSITATVLKQFFEDLGNATLFRYRRNSDSLNK SPYRMAPQMEINDLAHEIVDLEVKLAAATPPTEEQEDVTKYYNPMTVEEIHGLLPQVS FDYIIAQLAPKGYSPEKIIVGSPAYMAALAKLLSSSTNETLQAFFVWKAVQRYEKNIE HASLEPLRAFNNKLRGLDPSAKEERWRTCIDAVDRDLGWILSKFFVEAAFSENSKKFG DQIVTDIKDSFTTTLENTEWMSNNVRDRAIKKVHAIDQKIGYPTSNPNVLDPEALRKY YDAVVISNTTFFENKVQVAHFETRQAWNKLGKPTRRDEWDMTVPTVNAYYNPAGNEIV FPAGIMQAPVFYDPSVPQYLTYGAFGAVSGHELSHAFDSTGSHYDETGNYTDWFDEST QQAFETRTKCFEKQYANFTISGPDGETLHVNGRLTLGENIADAGGLHAAFSAWKRREA DNPSEALPGLQQFSPEQLFFISYGTWWCDKSTKAKAVERIYTDPHAPKWARILGTMDN SAEFKKAFHCQDVEPACKLW EPUS_06957 MPLHLLGKKSWNVYNQDNIDRVRRDEADAQAREDEEDRRHQLVD SERRLKLLRGHEVDDLPLKEKSPLPVSRKDDHGHGRKRRRIAGEDDTDRDMRLATEVA ALQARTSGALRTSDEPLTDSQGHIDLFTLRSQHKEKNAEAEAERAKKKREYEDQYTMR FSNAAGFKQGLNTPWYSTASQTDAEIPGKDVWGNDDIGRREREKMRVNANDPLAVMKR GVKQLRDVEKSRSNWKAERERELQEMKSLEQEDSSSRRKRKQRRRHGDDFDDFDEFSL DKSSREHQIQDRHHKLHRHRHHSKSRHQS EPUS_06958 MFNRNNLPSVPNPFSSRQDGAARAPPRDPYASQGQGPAPPRRNE QAYPPRGRGDYDTRMHDGYGASRHSPAPPQYDGTGSQRGMTSRSSGMKGRQGTPGATW QLRPAKSPDNTYTFRNLVAVSPMDIPPSRDGSDILLLLQNAYVVSARPYDGFPPGQIS LSDAQRTWMGIALTDIVSVEMYDPFSNGGQAYLGAMDMEIGFASQKKQEQKPYDQDEL AKVVTGLFENQVFAPGQRLMMDLRSIPLLLVVKTVQLMDLTEKSSSAPTTSDPTARGI VTAHTAINFYKDAKTPIQLKGSTRRPAANSIVAPDFKFENMGIGGLDTEFSTIFRRAF ASRIFPPGLVEKLGIQHVKGILLFGPPGTGKTLIARQIGKMLNAREPKVINGPEVLNK YVGQSEENIRKLFADAEKEYKEKGDESGLHIIIFDELDAVCKQRGSGAGGGTGVGDSV VNQLLSKLDGVDQLNNILLIGMTNRMDMIDDALLRPGRLEVHMEISLPDEHGRSQILK IHTQKMRENNVMDRDVDLAELAHLTKNFSGAEINGLVKSATSFAFNRHVKVGTMAGIS DDIDQMKVNRQDFFNALEEVKPAFGVSEAELEKCLHGGIIHYSPFIDNILAEGRLFVE QVRKPDSTPLFSVLLHGPPASGKTALAAKIAIDSEYPFIKLVSPEDMVGFNEMAKVQY LSKVFMDAYKSPMSIIVMDNIERIVDWVPIGPRFSNSVLQTIMVLLSKQPPKGRRLLI LATTNERSVLQQLDIFNSFNSDIPVPNVNSYEELAHVMQKSGAFSPQDIQRSLEEIRD ITQSQEVGVGIKRILLGIETAKQDADMASRFSGVISRAVAERQYS EPUS_06959 MDTHHPSTSTQQTQDKTTRPSRFNNLRTLADIRSSNGFFPKLLN FFRQTWLDWAGLAAVGILTAGLWTVHPTRVRYFPITFSSSGDIVYPTLAYPYVSPIFS AAAAGLLCALLPIAVILLCQIRPLHPGHRRFEDVSSALLGLAFSLVTGTFFQVVLKKS IGGFRPHFLSVCKPVIDGNRVPAVRGAGFQSVMYTSEVCTGDAGKIQNAMESFPSGHA EIAFAGLLYLAIYVNAHLRVFSFSATLVSRRPKYLKMLVVIAPILLATYLASTLVLGH HHHAYDVIFGGLIGSGMAIWGYKMAFVSIWDGRTNWVPLRLREEVDEFSISTNADGGV LPTRRPEAERVV EPUS_06960 MSSLFRSLLFPSYSSRGYSSIPSSATASEEEEEELYRISSSPLD SKPLPPTPRPLSPFRLFLRVILWLVAVVMFFGLGAVVFLGLRAFGRSEGADGGILRRG EPUS_06961 MSYAAALSGPQHDLKQPAKPPQADSPSASPQKLQNQTQLYRLKD QQGASSIGNPSSPQGPYTASTYQRHSDHIPRTSLPSEEAYILSLKTDQAHHAALTALR DRYFPRHLNKLSAHIALFRALPGSKLEEISHDIASLSQKQQTFPIKTSSPFRLKHGVG IGVSSGAMESKIIFEDLRSKWEAFLSKQDNGGFRAHYTIMNKVEDEEEIGRCLEEVKC SFGTSDGIVEGLILWRYEKGYWGFLKAWDFRQERK EPUS_06962 MASTDLWYRRFKRSDEDDEDLLADTAFREANFKDFFGQWLQRGQ NANVNSFDEIMMEFWKDSQETPPKNSFNIFCAADGGFIQWVPEKSSWLSQEVRFVAFI SSDDQPFQDRSDKSWTLCIVGSSALKSTTAFLQVASWDTKTFRFYERNPVQNNQFQTP DGYNRIGWIFQGMSTNAFEQETAYLGPWNGHVNGALVMKELHKPWLHWITDNNNFVTR LSDKNQEDFLAAPYLTDQSAKTIFSGVSSAESMEIIVENGVSKWYNQRRAMDFLDSAK KPLNRPSNVKRWMSHLLLTTTTNIVPASSLNEQSFAPANHFYDEEMLSQYSKTGLMEG IDIPDFLFRPTDYDKASQKLGLALLQDVEGPAPPGYTLLSLPEGTLGGGKITGSYDTT AFIELQTGEGEGGMFTILQPSYEDAQGVLKMQSIKPGIGLVSAATFNALMMVDFWNPI YSWRRGVLMQYLPEQTSYDSASKTYDLESQLIANVKKSHSFKSGDTESPEHQFISLLD VKLEDMRSRIKRYFDNVVTRLNTLDGMIDYLTLAESRRRIFRPVPLNEFGPTLPYAVK YGHEQGARFEMTENGEIQPMDARGLRFFYKLTGTQGKGSLAGFDPSIIPEADDAATDE PTMLTSVAIMDSSMSHTPAFMPHKKSLCPFRRAHAAERGNSNISLHNLPGPGPTHDDD GCH EPUS_06963 MSVNASTIAEFSAPGNLDELTPANRSTWSREFISQWMNDEIEGN HQGRTPLTQFFNGTVTAYDVDQAGVNIVWNGFPNRIAIRFGNNDERRWKAADSSRDVQ DEYLEWSLIRDNDNNIISVTFTCEGPEYWQFLGSDQRDTLVSNIYQLNSPLLDGVNKR QFFSVDRKDPNNQQKWIYNPNNKYNSSTTTGTITHLVQDANTLGAEIDIAAQATVLRK SRSTGQPVTESDQLIRCSRYGDPDRNSDPRIGFKINQLALTGASVSIADPVGLYMHEF DTSNFKLDPTGDGESLQDIPEGTFTFQRGDIDKQQGLRLKIQIPAGTKNEEGRQLTVS DIYDTKEEKHIAFGAQFADYITMGVHGVAITGGRPATAEPCLRPAGVAASAAFEDMVP ELRQKIQDQASPFKRI EPUS_06964 MTNLLESAHTHLQRAVQHLELSKLQYESAKATYQSAKAVYEAVE TSHLNSESTSPATFLCDSCAAISISNIFDTASTRKAYRRKVGDLFQAVEGLSSCVLCK FLIEAFQLGDPEHSERLHAHLKPRVTAIYFTSDPDGRPWSRKAGVDTNLSPCPFVWLQ TGPPTGTGEPHICISFEPRSGADAKP EPUS_06965 MEDAITFVKHIGERYLWVDLFCIDQSNKEQKQSQINSMDRIYAS AYLTLVCLDGRDADWGLPGISRPLLQTIQPTVTLVAGRLTATFIYSVWDNNGNSVWDT RAWTLQERLLSRRCIMFANTYISMTCQAEFFHDSVSTSVEASGIKSWLGDDYFREDGS GIDLNEEEWDFKTFDALVSVFSGRTLTVESDVLNACQGSLNKISRKTTYSFCFGLPMD DILRALLWKPHHDLILSRRLGFPSWSWLGWSGRIEYAYWIADMSAYHADDSKAEVPPI KRPRLSVFKPSTPHPESAKALSYGTEPDGKPSIRFSSTVAKFRLRMVRPDGVAHRNLP PNSQQPKNAVGDHWTMLNSVGNAIQNLAGEYPIFEHTDVFFRLKPEYSTVLLQQESKA DLLFIHHWPRIRDSKDSNKWLYDMVSALLIIRNEDNTAWRLTSVLMKAEEWHAQNPLP EIIILISASRCL EPUS_06966 MAFSFGIGDAVILSTVALRLGQAFSSGRRSAPSEFIEVQDLLYN LSKALELLGQHVVPRNDSARILEAMASSAGDEPSLRDNVLAHMMHNCKGVLKHLETLV DKYMELDETRRETELSYRQRWRKDLLQNWKKIRWTTEGGNLDKLRSTLTVHVNGLNMA VNALNNSQSQKMGKQVHGIHDMLEEIYQWFVANQQRPRTDPTAQIPSRVSQPNTESDP SLTFTVYAETSSSANKSLLCPKASFHPEWLKSWGRAGGSRIFRCVCRRPDDYSSGDLH ERQLGCALLTVSLIVRVAGRQPVWQLFVASSSLPGPISLLLTGFMPSALGEFESHINQ LALAQGRQCLSSGISSMLTYLSMIEGEQNVSILDLKRSVEYASSSQEAPLPGTDGRLF TAQNNRKDKLNPHHSSRMAIGTRNTLSIPANHGLLHQPHCELILNLNSTNEAAETDSH ICRLILNIDRNTQFDYVTNSRLIRMSDVPCTAERDNREPEQVLCTGVELEHVSISAAE TFFSSLHAIQQELLIHYLRFPRTGEIIVFQRAAGDLMIRDFYLPDAQMSLILDPSTME HRMILLSGCHSVSICIECK EPUS_06967 MSQLPAEYVKRGLWINIELGPVMGRIITTDTQTGNLVVALLALL TTLGQAFLRTLPTPCALLLEWIKLWWMWRKTDKAFLRSWLQILLGLIFTLGTVLIGVL VSLVVKSTDIEVCVDKPHCGPWNLDAIMNAQQTNDTALNPYRQHMDKVRESSRAYVRE CYQNYSITPERCKSFTRPSISFTQERLDCPFTPALCKGFEKPAIRLDSGLVDLNDAFG LNLDPTDRVKVRKTTTCAVLEVENRVSVVNMSSIPNLSRPPLPNEQAMIVHLGSAGGH ANFTYALSLLMANLTSGYTTNIAIKFWDAYNNAISSTFIPPLEMQSSDADLVAIFIAK NEMRYRQPINDPFFAAHRREDEVSDQQRGNVTFYNSDFPISALGCTLQYQFCHAQAAG TPDSCSNLTGIPSLPFEDIPNASGVQVAAMQELVGIMFETDIQSANLDLKAQNLAGNF GFLASLPDDQWSRKYMVEYAIGKSVRQPDVAPLMKTNLTEGKQKLCGKQKMRNPGGFV NINVFALAFVCTFTCVVTIIDLVLLKFFIVRNKSSRMDRWFQDGVYQLQRRAYDAHGE GTWRRLVKEVPLTDLNEKLSELPNESCAKCSCSSVKNVQLKPSKTA EPUS_06968 MFSYGNGEFKHHSDDAPTTYTFSVSRDWTQGRLEITIWSPFLRH MLTFTNPYVLGLVEIVQQYPVLKANLSELEDPNSPCLFAENASELRLLTEDFLLDRSM FNIVNLQYLYDQGIVPETYWRDMRHRSTMNDLMLVDEAFKAHCHDHTEGIEESNWHSL FQIASDSPCQVDEVGGYATHAQLENEIECSSKLPSKKHHILKAIELATSELRLWADGW EVPVDVEDCLIWTLNYSCQISQAELDFLERVTADCQISSRIKSLLYHSGLQDTFGLQF SNIIFDDLGEGKLTSMSLSLNAGFRVIRRPSTDEKQRLITSRLHAAFKAEDQNFRDKV RKVILDQQAASYQKAAGMNANPYGFISRRYYRAWDKGVATIRRFLKGKRPDTLEQIYR LLQVAYVMGSQDPSNPDFRASFVNDLDRWRIIVPEHSLPRFDVIAEAVWKKSFEEVES NAPQEYGSNDTLIQLQQLLSQLISRFPLVDTPEKNDQQQECPTPEYDQSFKGATDTNQ TLELRILDGLENPRAYADVKKLPICSRPPEPVIVLMMAGAIFGFIFSFLLNHLHKIKN SVLDSFNLPNLRAELVTGRNLYFANFEERNLFTLSLYVKLSSSSFWQREQKTQNNGGL ASQSFVQSNQETLGSNLTISEASRVVQR EPUS_06969 MDCLPLLEVSLDVKVSAAISRTLLTQSFMNYSNIPIKQANYSFP LYDGSIVVAFRCYIGEDTVLVGKIKSKEEAETEFCEAVRRRRATALLQEHTPETFEIN LGLIPAQTKVKAEVTYINELKADLGGDGVLVTIPTSVAARYGSPPEGYDGKPVTNASV RTTESGLRIQVEVYAPVPIRKLECRTHPISVELGAEGHATPADSFGDLSPGSNVRGFN PNKARATLTDQNASLSRDFVLLILTSSPGPFPSRAFVEPHPDRPHRLAMMVTINPYDF FAAHLSSTAFKREIIFLADRSGSMTNKIGALKIAMRVFLKSLPQDCHFNICSFGSTWS SLWPCSREYSHETLDLAERHIAMSFESNMGGTELLPALQGIVRECMTLGNRNTEIIVL TDGEVWETEETIDFVRSTRTHSSDKVRFFALGIGDTVSHRLVEGIGRQGGGFAEVVAV DAAARWESRVIRMLKGALTPPRWQCKVRSKDTFGNFTPLGAGEAATRRDAEGITEAGI QQPDCVQAPFRIPSLHAFSRYSVYFLLDEQTMSDLSNINVLGITSTGEEISVDVQVER VASATTIHSLAAKALMNDLETGQSWLHAKDLGTFNNIHPDEVEEIVRHQAETYGKEWG ITGKWTSFVAVHSSTEIEMASRVYRAEPSELSDLTRQRYQSGYGARSYPSPATLGGPY SSSTVAAQQLRRTIVPREYHRAGYAPSDRVRFSPRSSTQYDIMTGSSVKESAMKESAM KESAMKESPRKESPMKESPMKESPMKPCEAGKSKSLETLWRRPERTLKGLSTENASLI DPPSSHHFDSGSSSARFRIEEGPPSPSEWVGNLETVLEPPSLDVQSWDYASQKVSHSN SSEITALLPDILPSCVCTEREKLARESTAPHEMSQGSEAVVRDDPMPTDHYSSRQDDT TAFMSPSRTFQNHMDEDFESPKQGTARGFDVSLSPRSTSSFFMNLIDLQSHEGFFDLQ ETVRETVLSNFSSHLLERMQQLFTGESSEKITQIQPLSLKRTSELLCETIITIFYVEH QYASLSGLWELVIRKARDWVRKEVESDALRDALADMVRMYWQQSDDSFISKIPDTHLP SSRDNVGKRKVTASMSGAWKRLRSHIKKENLQTKLSG EPUS_06970 MALLSDVGHQTLHQLSQASMTSIAKHLPTRYKTAPTKAQEPLIP SPDTFGQLESPAPPATPAPDPSPLLPTVAECAVHLELLETFYVLRQRILKSETLDTVF DLKENPREVTRRDGTKLRLKDTTLWTRREQKWHKYLELAVVRFLAWWKSAPCATAATR NSHPTFLEERLPPLDVIMVWHAALLNPATFKSRYRDSRGYKLHFPWRAIHQAIDHGPW TYQLRAEASQAFKKVTGLEADLFAQLESWASLPTPARTALTTFTVGTHHDSTLNVLTQ GSAAHHLLQCVQTADSTLALGLREAIIRQTSFVDKMNAHLWIRSPALGGTLRRARSRY DNFLQLIRRHPTTMMVPTLDIDLVWHTHQCSAAEYRTAMLTLAGKFVNHDDSIVKSTL SGGFARTTALFRVHFAAEYPICGCWDCEALLSALVGEGRQREGDAGEDDNDDAQQPAL DTVVEKVAADVAYHRAVELARRAKKPLPVRERA EPUS_06971 MDLAVWALFFRVLFSLPFAVFAGYSIHLFAQIAKDEDGAAVPNW TRFYVSQAINAIVGIVAATWNLGAVWMTRGKRIEPQSVYLFYYFIIDLLVLGALLTAT AIATPYAPNTVAQCDRLKTAPESDLPALFRYLGRIKRESTPSACRRLLRIRILVILSM CVSSLGATSLLSSMVTDVGVRIMTGIIASLTFFKIALPPTLRGILVFTRSAAQRGCWP RRRSPRMIPSLNHQPLPERDVGKLEEISGLLPQTPPNLAALFRIDPISTSIASNLHFC DLTNISLASKASFATLLPNFSSASASPPASPAPSANAGAAPPKSAPAARRQ EPUS_06972 MAPDAPKTPWKGVGPADAYLHQALLDMYWDGVKRELAHILMTLK RWQQPEYTETVLPDEEDDFIHGYDTFLDQVSETSWDFAHRHNEKLHDKKEDPPPSYEE ALRDRARDLLADYKSSSLKSGIRAALTERRPISTLRRRARMLLQAGGFLDIDKVIEYR EPEVLSSFWKRAAVPQLCFRGLDMACMPVIAPQLCTRCHAVICGSSFRSDTAHGNIVI CESCYTRHHYAQPQLLKSYKQSVLSQSITPQISRQICRCSTVQRIDGDGRSRALFPVD KGDAHRGAELPPASLHCGLLDLGELVAEARYQATLSKLEKRTKLSEIKRVDEARKEER IQELKKKSRKAKKSGKAQMVQGRSSLLDPSVRTAEFGKSAGITDGEDSDIPFFLRSTA DKYPYGNVHMALRIGPVLIENGGKYTHGGALITSRDPPNLQVLRDSGEDIKHSLLVAG ITNRTLYSQQREREPKRYKTIMKQVVGGAFSCFFKEKLEDEIIDALIEASHQIVDPGL GAAVAAAILEECLQILMAKLKEYLGPRISLYLASLAKRLVDVAVPLRWDFHTNNCQNF CDNLLDKELFLPLVAPTDISIFDEDKQATILGPLYLISFVCRPGAYSKAIPRSKFDVP NGLTEEYLLKFRYGRHDESDMLDTLMEYWYDWGGFGGPLYPYQDVFPWDCTEAYGRYP TQCGDCNLAKHVLAFPFDSWSVISLHLARGRHLYPPEPGQAQHLSGLPWMRNRLVVLL AQDILLAAAVAMAKSRSFRERTAWLHRPRHPDAAPPSQQQPPPPPPADLSQPNGITLA VCLQYPASRGSVHITSSDPTTQPAIDPAYLKHPADVAVLAAGGLWVWGNGAWLCGRLC DCMWRQVWEWVS EPUS_06973 MIELERLYLDDAGPAGFKFAITPCTQYIDPTGPRFDQTLGRQSA AQWIRAAFHDMVTADVAAGTGGIDASIGFETHRPENFGVAFNDSLFWFSFFTNDKLSM ADLIALGAAMSVGACGGPQIPLRGGRIDATGPGASGVPEPESDVKTTLTHFSGAGFNQ KDAIALTACGHTMGGVHHDEFPQIVPESAVGVNNTVGRSGWDDTVANFDNSVVQQYIH GTGNKGGPLVTTTNKTVQSDLRLYSSDGNATVQKLAQSTDQFRSTCATLFTRMIDTVP KGVKLTPPIDPGSVKYSNLSLNVDWSGKMTLSGFLRYIETGGYASAPSSLTITVVDRM RRLSRTSVEATTDNTDRSNGIYGPTFHYPFTISFEGSSGLSGIEVGDQRFPLQDSLFV VPALSSVSLGRAAFQLFGPAQELTFNITAALRSSNPPRALKGTIALPVPQPGSISPKM DFSTTVQLDLIGAAGPFALYSVVHRQTMTPKQLVGTSIDVVDERGGGTAMFFKLSSLL R EPUS_06974 MTDAFEIRTMKRKNVKGLTLSPRLLPPPTGDVQISSATSSDEGR ADNPEITVDFRFDLKAGYSKNNLKAKEWIVLKNLGQGAEGQVTKVQHVATKLIMTPFF GAIQNDGGEVVMYREYMDVGSLETISQAFGPVRMDVLGKIAEAILGALTYLYTYHRII HRDVKPSKMLVNSCGQIKLSDFGVSSHLINSITETFVGTRIYLAPERVQGSPYTVKAD VWAVGLTLLELAIGKFPFGMDENDATSSPLGVIDLIQRIAVGPSPRLPKSNIFPTVLE KFIEKCLMKDPKDRPTLLELYEHDAFLLAAKRTPVDLKAWAVGLMERQDRLPERLSEE TLLLSKADPKAGPRPDELGGCSAEDRMTLAPFIRGLQKLTSMESLEATKKHCVPSLCH HCKQLLDSDVGLRGSGKLKRREVEDGARRLKCPLCQLLVDCLPPVTASHISNTTCSSG RSHMVQNTTHAVLKVGLLNEFMDPIMKIGAQFEDWNTQSHCAFQMAAAWSYTCLTSHS KCMGAAELALPVLPTRVIDVEPSDGSKDVRLHSGEGERAFYFTLSYTWNQSKAAPFQT VGSNLDAYKLSIPLLTLPQTMQDAILITRRFGVRYLWIDALCIIQDSEDDWRSEAKQM ARIYKNALLTIAAAADTIEGTQGCFRPRSRLRTRPFDSNSAWPNGCPKYIFASRRLTG DGARPLSTLDTRAWVLQEQLLSPRVLSYSNKELYWDCISLNASETFPDGIPDFYDAKM KSLDFRLFKEAILGGSETNISHEQFHTSWMKVVEEYSERKMTRERDKLAALLGVAKEA AIFLKDEFLVGLWRSRLWRHLLWWVKEPDMCIRPRNFTAPTWSWTSINAPISYELLGL DRDVDVYQCIETIHVEAESNQTLPLLSGKLVVRGKLVPMMAQGPQDASGSSALANLPA PMWREDIVGTDPASVQCLIVAVSSCYVYALGLVALEDAEHHYNRVGMVNWRARPRVFG WDEWTGKWGDAGELETITCL EPUS_06975 MKLTSPSIVATCVLAQQAAATYNWGSAKSFSNPANTNNECTDDQ KSGFDWADLSIGSFDSYKGFDFSGFDCSSEDGNRSLTTRTGSPGKYIQGKVGKNAEAA PKISCSDGTGFSITDMEVSTSYDTDIDFVYDMPDGSTCKHTELCSAAGGNVKNNQCGG AVSVTLQKPEHASDDDCDVGIHSVGFDCEPASTPTTSSTTAETSSTTAPSSEASSLAS SLESFSTAQSTLSVPNVETSSVAETSAASTSSEAAPESSPSSTVVAPTTSSTLPITST STVESPTTPPTPIDSSVPMTTSTVWSTFTSTTYGCSSDVPDCPASSIKVVTMTTAAYT TTCPVTSEASSASETSVPIPETSAPAPETSAAVPETSAAVPETSTPAPETSAAVPETS APVAETSSPAPETSAAVPETSVPAAETSVPVPETSADVPGTSAPAPETSLPGTETKTA PAESTPTSVLPGFTPAPSSSVPGSSDPVPESSTDVLASSASSEAIVGTSALPPAPSEM VTTEIVYTTTTECPITLTSTSGSSTFITTSVTVSETVITSTATVCTKCTEAPPTTLIP APSATTPASVATSLSPDTTVTGTSSAEVPTSVLPGSVSSAAPVPSDAPCPEVLPSCLN TWLSLVPDCSSNSDASCYCPSKAFTDAVIGCVQAWGASANEMSAALSYFTGICAASFP ENPAIITAVPSTITLCPTAQVSTATIPTTATATVPGQTDVQPAGVTSMVTSIVSAPAQ TPQVPVTVISYTQTVSPATGGTGSIATNAVTVPQVSFITNTAVPATAIAGAGQSSGVT PQAVTSVGLVPAPVITTNPTLSGAINGTTRASPTSSVALYTGAADKFDANGIGAGVAA FAGILAFLV EPUS_06976 MANLDEMENIPKAIERYPEGRIPKKAHGRAVLARANKTQRRTTE SGTENESSDDEVADDEDDDDESDEDDDGDDESDQPAAFAPSRGQKKQTIHEAGRLAEY RRMFHSEDPIMYEDPHDLFGGVIEDDEDDDEFYRAVDDISDEDDQSEGLFQPNGAGAG AAGSFVIDQSSSTFAFPDPEHEADFILDQVDGLSAYGFGDDIDSGDGSGDFSAPSEDG DLSTVPERHVHFGSDVEKMNAYGKSISPSLTRALLPSAMPFEHENHIYAPGNTYQTSQ HKVTTTVEEAYDSDATEVLSGPPTPPPRTTSVTNGTTLEAEHATPSTPTNVSSGKNGK RSSRRRRGPPRGIFTVDQDKSWAILDSTGKKILQIPAANTNRHAWLDEMSQSTSTPSN SASPLNPSLRLQQQGLSVSGVLSAKTGVIINTAIPDVMMAGLNSINSIAEGDHGQTVG PPEAFYSNRLQLVGGDYAISPEPESDVYDDEAMPITRKPGFPLHEFLEDLGDDESDDG KSELPLYAPADDNLTGDNDALFGHLNNMNVTAFRRSADPISATRTGTSYSYDVQMSPI ADSTFALPAVPVRIPTTSHKRKTSSTPYQDEKIYGDVTPVERKVINVSKRRKMTT EPUS_06977 MEYINYVKSKAHIGTAKKSENPVLTEEDEHFLNRITSHDEQPPP LPARPQVQDLPVAGETQGNDAQLALLDDAQNIALPETPKENTTEPEPMRDPIAGSEKG AEKKSAKKTWSWLRRDSRDHKSKNQTDAAESLMHIAEGVKSSDAQPNEDNIVSEVQAK KEQDDMAMVLEKLNLAAVNNRVFSISEETQELLQKFNQVFKDLVNGVPTAYDDLESLL TNGDQQLQKTFNHLPTFLQKLIAQLPNKMKDTIAPELMAAAAQKVEKSGTTTAKAKEP ASAAKKKKGFKTPNLKDIAGNPGTIASTMRTIITFLRARFPAFLGMNVLWSLALFVLL FVFWYCHKRGKEVRLEKERRLTEEEEARLDAEYRALNPDGNLTTTAPEGAPLEEVQQG IIEAQVAREAAAEDGLEDDVDPSVLGTTVQPAEKVGT EPUS_06978 MGRASGTTKAPSESPSPVTPQPKRKRVEKPRVAPAEYDIPYFAH MQLNPARYPVTVGAPVGQPPFEPAPYGGHRAKKSMKEDAEDDSEEEDSESSEEEVVSL KRKALAISPPHNGFSGSDYKKAKMAGGRPALAKKVTAQMDSDDELIVQMKQARYQEKD IAQRLIDEGRTHYHPKTIGTRWARLKKVMQVRNDELLDQDLTDWHEGDDEVLAEAIAK ADAEVEKLKQEIAAKKWRMVADKMKSIKPVVNFSQNACRKRHEDLEAGTAKPTPESVP DPDDEVKARIQSRLDKEEAIKKAARFTTHHQNLDNNAWTSRMRQY EPUS_07064 MSSQLAAHQALSQATSIAEGDEHELQTIPSISAPASSTTQEVIP SAGPDIVPSTDEGTIEGCPLLPSTSPGPTPQSLSVASVSPSSSTQSCWRTIERWWRNS WAPETFCLALVLVSLTAICVVLWKTQGKPRPQWPMGISVNALIAVFVVLLKAGISLPV SEGLSQLKWQLVSTGSRQLFDIQDYDSASRGAWGSLQFLLKPDTLAFDGSGIVLFKPW TWRPILQLLKLQRENFIRYFAKVAAFITVLAFIADPFPQQLVEYVDCAIDSPSMAATV SRATIHHRTGGHVHTSTSVFLPELAVAITVGTTFPPKNTHSLVNTNCPSNNCTFPRFE TLGICHTCEDISGEIQTFRDPTTLDHPEIYFYNHMLVDQRNQTYLWADDRLYPLNTTA YGGGPNDTFHLKVLKASGRGKSKPVAFDCELYPCVKEYNSSIKNAVLTEELITTTRIP WSGTAPGDFELAAKRTLRDGVWEDCAVDPSTNFLPEDCLWSISIVDDLVANLAEPLGG QYMTWAVSALAGSTVARKLWNNDSTSIDSLNAYFGDLADVLTATIRNTGNKNMSSPGS EYPVPIRGMAQVLETCIDVRWKWLSFLTMISGFTLLFWLSFWCYYRAASRYPMWKSSS LALLFCSLDDQIKDLVKGYPTRDAMFEASKRTAVRLVPDNIGKASLERVL EPUS_07065 MAEALGLVASGISIASIVAQVIESSIKLKAFWNSVKNGPNEIAS LVDEIQVLSEVTLQWTSHGTAMSSVDNYIFQSLRRCQSEMASLSAIVDRYDRDLKKNK KWSTLRLGLNKEVLATHQKGLERAKTTLIIAQQLQSKPTTSPRLGIEKRKAKRPARVW VRPPSWLTNKMWAIQGHRAHSGMNLSIRTYNVVENHAPIFLYASTGNILGLYDLLHKE PAEASVYDCDETGWTALHFAAQHGQIRACRLLLENGAESNVQTTWGATPLGCSNDYAS SWIDMADTNTVLDLYRIFLSDCDPEAFQEDIDERLPHTSGFSGPPGALEILLEQQCPD YRSWSLQKRFEFTMKLDRWWVAGMTSSVFRKAMGEGSIDPAAYHLFDQKNETLLFFIL ERLAVEHAAKRTENLAGWRGLLADAVKAGTDLDKISTRWLPFHRSTPLCLFIKWYTID HGEFRKHGYDFTGIVRLWVTELKDVGVDLVRYGERHLALFKQGCCRKLFFIYVGVQRS GREFDPKLGNLEPWRLINLKYGPSPDDWEVWISNPRDEFAGDFWRLVEEPELKVPGSW VD EPUS_07066 MGGQALEDDAVNISWQRGVFDAHCHPTDTMTSIDDLPGMKAKVL TIMATRREDQTLVDQVAARFPLSDTDEYKRSASKFVVPAFGWHPWFSYQMFDDRSTYE IPDAMTHYKTVLTPKVEDVAFLKTLPEPLSLAQFLTDTEARLRKHPFALMGEVGLDKS FRLPKEWLPDETQSRDPSLTPGSREGRRLSPYRVQLAHQKVILEAQLRLAGKLGRPAS IHSVQAHGAVHEVLQSLWAGHEKISSRQKKRRSSAAGAHAADDEEKINQGPSSPLPFP PRICMHSYSGPADFLREFLNHKVPIDVYFSFSDVINFSGPSSEKVIDVVKAIPDSKIL IESDLHRAGAEMDGRLGSVFQRVCDIKKWSYERGAEILGRNWRCFVFGS EPUS_07067 MSCGNQGTTGQDRQACPPLAYSHHQIAQNYPLIFPLEDLAGTPN HDARSDHSVLDEGPGVDDQMLKDGQTPPAATRPSQNRAALGKWKSKTIAGFPAANVPL PRGITAKEIAQSYPNHVDDYCILELMRQGKGAKAIDALIPAPPGKTKAGQSHSKIQLR ISTIREAFPNENFPITSTKRDRTRSRSEIPKDETIDSNNEHTIFVTANHKSNSDHTTA GALASVSFHTAETQHQNRAAVQRHGGHGSSTQSGAFGSLHQQVELPLLSESNDHAASF TPELLDPALRFPRTLPLDSQVKEEYQIHQQLVHDHFYCDRPLSPLEVRQTVQAHCAWI YDRISYNLQTKSGLKMEKVILRSGWSEHSISYLHRTITECFRGHPVFRAHMDRESLPN QVTNRFETAVLQDLLGRLRDWTRYLKAQKETKRQTRAHQKLHSKASTNRNTAPNQMRL QYMSPRIYASSGLRPDQLKAFGPEDQMMTDAPRLNPGAARKEFEDYMEDAERFINHEA RAADLTPVSDNLDEGAAIDIAKEEIAANIMAKMDNDAFILFGWQLLTSHKFGAEAEAK LEEQRDRLYEIGEDEVQRAMSRGLQGDANEDVLELGDY EPUS_07068 MDHRIIDSQHNWPNPVNDSDSGQPSTWQDWDFDGQNNSYDNYAQ SVAQNVFDEFLNGGTSASPDTQVIKKKQGSVGSEHGCQMGFHVASAPSLAEKHGNNLQ DNMQPTSCPAADYGGSDCGQAAVSVDNKCDETCRASPESHSSKELHPEPLQVPRLDQN MMSLAIGQGGNEHAKHEIDVKLEKSSSMMGSLLNTPAFQYDQGRPLSIHFQSPFQSQN NTSRVQQQQILPNGPIPAKRRQKGQTEMLRSQGIQGLQGSRSNIVSFPNLSSQLPAYQ NDLISTSSSSSNETINFNGGQQQTQNYLPQLHIPSITAFYNPPMPFPPNHNQQQNLSS SSMTVGQIAIIRQEQISRLRGDIFNEVKLHLQIMTAYFTHALKHLSRDFSPAAAQAKQ HWLESAKVWLSTVPEAWKNNLPVTQSRSHPVEKMIIQKIHIQGLVEAARRHKIPNKTW PHTPEEWKQDISITAHWEELKLMKTWTAMFNGMLQDLRSKFPLLEDALEEIRAGGGWD QLLGGDDVKTETDEDS EPUS_07069 MDRQDFTVGWICAVKTEFVAACELLDEEYPPLKTIPSHDTNSYT LGRMGDHHVVVACLPKGRYGIASAATVAKDMFRSFESIRIGLMVGIGGGAPSKKHDIR LGDVVVACPVGRTGGVLPYSFGKAVQGKDFEITGSLNSPPTFLLTALNQLDTLHERKG HRIAYTIQEMLTRNPSLREKYEYPGAEKDRWYESSFVHVDSDVGCDIGCGCTFPPVIQ RQQRSSGATNPVVHYGIIASADQLMKDAVVRDRISQQHRVLCFEMEATGLSNDFPCVV IRGICDYSDSHKNDQWQGYAAATAASYAKELLQALPTNMVSGSQHATNVFSNPGSNNF HVQFQLTGLPVAGHFVDRDAEIEEIKVNLLPTEAQNRRKIHVLHGLGGAGKTQLAIAY ARKHQHTYSAIVWVNGNSTDTVLQSLAGFARRAGISRATSLKGSAAQQAPEMMAEADA VLRWLALEGNPCWLMIFDNVDRDIESDDEDAQAYDITSFLPVADHGSVLITTRLSSLG EIGKSTEVARLNHNQALELLSSRSGMHSSSNDMTKLVKRLGYLALAVVQAGTYMRETK TGCSKYLDLYETSWSQLAAETPRLRDYANKSIQTTWMISYDRVRQSDPTAANFLQLWA YLDHQDVWYELFFRGREGWPECGWFQEMASSEIIFKRVMKSLLALSLIESHQQTESYS IHPVVHDWCAETICYGRDDLMSAALTIVAYAVPSELEVKYWLLQQRLLPHADQCVRQL DNSDELNRLGPVKSSEALHLLGYLYEAQSKYVEAEKLYQQVQDTTEKVFGPEHTSTLA TVNNLSILYETRGKYAEAEKLYQRALDTAEKVFGPEHTSTLATVNNLGVLYRSQGKYA EAEKWFQRALDGKEKAYGPEHTITLDTVNNLGLLYTDQDKAQSKDEDFLIVPDYTKSV TEVYEDAFYALVHLEQTLNVLFDKTSVPNSGFAPVLPTKPRSNETSSRDHDLGFFPSI KGIPNHKQSWRITPPAEQRSVHASTSQQDPCICEE EPUS_07070 MPITFQPPIPILRIFSVAKANEFYVDYLGFAVDWDHRFDDDAAP LYRQVSRAGLVLHLSEHHGDSCPGMRLRVTTAGLAEFHAELAAKAYPYMRPGLEPGVV PFGNRICFVEEAEGETGGKGRDR EPUS_07071 MADDWEQPDGSNKRVYEQFLEGDEMRDLFNMPQPTEKPHHEVPA EVGESDSLDMKFYEYFALLDDPAVREIFESLSPSEISHQINDCPPAQGIPQAERDANL GKSVPYTQTWFDPSPNPQEQLYLQNPQGTLLDDFFQSTPAPQGAINFDSCFAGRGKLI PSAEVMADLQPDVMEVDDPFSLPELHGSPSLDYEHDIMAAAQQLIATKEQPAQASQPP KKEGRRRRRIGKIKQIPGFPSSSEPLPSNLSLEEMCRSWPNHLHGVSLEPFVEAGWNG RMVWDHMPEAAKDGSKRQAWNKMVKRLTRTKQQMELEGRGPVGAGLTPEAMWKNLVGE TSGDAAQQYVAVNAHNGSLTTLHNPQHAEALQDITSYQNNQPKPENPFEAMRCAFRAE LDEQHATIALLLAQQRADWLLISFDEVTSRITQTWMEHAHEHEARFIRENWIDDEDIP FDPVSRVDMLKRLGELICRLLLASNPPRPATNEQEEEAFRQSHYLRVLGEELSILKGW TSAWKWQVEQFNADSYFGIVDGAAEQSGGGSQEQNEQLLEETPSFQSAFSAFDPNTSA TEAGVLSHGEQTSIPQTFLDQSWAQDTWLSSDAPTPTFQTFLDQLQTQVTADPSLNRV NDPPSTKKAKGGRRKLRMFPHAPSKDVILSQQDLADLDHIMANFPEHLALPHVMVRYL CPPGTRQGCHDGAYFTNDMVEKLFHHHNAKHGSDLDHPDRFKGIHDWVMTQKDAARKY RRGGLPRRPKLANSSAGGRQEDSQNDGVYGDQIREERFDVDPALVGQHQQSNDNFMPA AQFTSQPIRFEAELAQLPGVSAEQEAPEQETQEHLDDQAFFDELAMLLEPRSFG EPUS_07072 MTGNTPPPSGVNNNDLDKSVANNIDPELDGLLHVPQQKRTRRTA NHPAGVEQMTTSPNKMEEAARRPSAMINKDPNRADDPVHYLGPGITSKVSPLKRKHQA VDPAGRGESSTSMPNNRPEAAVNNFVELLRSLNSAIELNSQQGRSTHLISPNIRPLQQ ATPQPQQPRIQAQPASSPQPKGRYPENKLFPSSEQALPPNLTLQEICRGYPNHLSHGP ILRRFLNVGWTGRMIWDHLQESARVSRSATRGWNKYEQRLKREKRQMDEEEEQEGFDP TEQGGQDSSIPQVSRDGAGSALVHTSPSNIQRETRARLATFTPAVFSHGGSGEDQASL SVQSRLATVQSQIRAEVKSHGSIFLTLMSYEDPQWPSLSRQERVRRAEAEWLRRAMRL ERAFVLDNDIDDGDLEIPRTSTLDMMRRLYLLVKRASQPMSTAAATTEPEQTALFDES SRLATYQQQLEILQGWTVQWQEEIQARAQGATGAADAQMSRSRLPPTNRYLDDQPGRS NSGSTIAARHAPSLYPVTSELSQQGRSQLGFPGFGTPLGYTNSGYGTSPNPQGYYQQP WESGNGQSHADPYLARPPPGLLGAANLEANAGSADRSGLQEHQGTSAYPPIEELVPSV PESTSEFSHEHSVGPTAMWNMDDLLKMDEDLHET EPUS_07073 MASHAADSNGDIDIKSRGQQSPLASRRAMNNVGTKRFDGLFPLG YKEALGQWWASIPAAQAEHKVLSFIPYLQQPPTQLITNSAPPSASPSAASLERLHLEQ ASHVARTSSVEDPYGPRKWHSRLVPLSGKNRALNEFSVERVGEAADQNLVILHGYGAG LGFFYKNFEGLSRARGWQLYALDLLGMGRSSRPPFKLKSTKREEQISEAEDWFIDSLE EWRIQKKIDRFTLLGHSMGGYMAVAYALKYPGRLNKLILASPVGIPEDPYAVNAAMPE PGSSTLANEITQDQDETATATNGSIPESAKTAPRADNNNFLNARTKAEKAAAKDKDSA STDSTTAPPPRKPLGKWFTYLWDANISPFSLVRWSGPLGPRLVSGWTSRRFSHLPPDE AQALHNYSYSLFRLRGSGEYALAYILAPGAFARSPLIRRIHGVGRQYISGIEANDNNP VAGSPSEPQSSSTNAEIKRRENGVPIVLMYGENDWMDVAGGYAAKEKIDQEKRRVLKD ASEEERRRDNGDSKVIIIKKAGHHVYLDGWEEFNEVMRDEMEDVRRREERGR EPUS_07074 MSESRLDQIVKGAPPPSIKLPPLAPPLVTASSSATPVDGNTPSQ QLSDPLSSLPSSPPQIYLNLLILESSLRAQYLQLLSRRRLHTFFLLLLSLSALRGIAA HQPKWARAKRH EPUS_07075 MSWATSSPGMAVSKEPPNGHSGEENDSNKYPKPSSERKKIVVVG LGMVGIAFIEKLMKLDSKRREYNVVVIGEEPHLAYNRVGLTSFFQHRKVENLYLNPKE WYSNVPEGSLNYHLNTLVTEIKPEEKVVLTSAGDTVSYDILVLATGSDALLPKHTPGH NARGVFVYRTIEDLEKLIAFAATVKGTTGAVVGGGLLGLEAAKAMMDLGEFKSVKLIE RNRWVLSRQLDGDAGGMVVDLVRQLGLDVLLSKRVGKIQVDDNNSVKGVIFEDGEQMN CTCLMFAIGIKARDELARKAGIKCADRGGGIVIDNHLQTSHPDIYAIGECASWESQSF GLIAPCIEMADVLSFNLTQAKIHSPRTFKRPDLSTKLKLLGVEVASFGDFFADRDGPK DLPVRRHGKKEGMVNGDDKVKSMTNGPPPPPVKALSYKDPFQAVYKKYLFTLDGKYLL GGMMIGDTKDYVKLVPMVKNQKALDVPPAQFILGASKEGEDDGGDLDDTTQICSCHNV TKGDVANAVKDGSCKSIGDVKSCTKAGTGCGGCMPLVQSIFNSAMKDMGAEVLNHLCP HFAYSRADLFNIIYVKKLKDFAAIMKEAGKDPDSLGCEVCKPTLGSILASLYNKHVVD KPLHGLQDTNDKYLGNIQRNGTFSVIPRVTGGEITADRLITIGTVAKKYGLYCKITGG QRIDMFGAKKQDLIDIWTELVNAGMESGHAYAKSLRTVKSCVGSTWCRFGIGDSVGMA VRLEERYKSIRAPHKIKGGVSGCVRECAEAQNKDFGLIATEKGFNLFVGGNGGATPRH SELLAKDVPPDDVIPILDRYLMFYIRTADRLQRTARWVEQLPGGIKYLQKVILEDSLG ICAELEKQMQELVGTFFCEWTEVINDPERRKAFQQFGNTDENMEPAIEKVSERGQVRP TYWAKASATDDFKNTKWSSLTWQPIVTADKFPDLPSGSSHTVKRGDTQLAVFKIRGKY YASQQMCPHRRAFALSDGLLGEIKPSEDCKESKLYVSCPMHKRNYQLNNESGAAEPTA GSCSNDPAMSIATFEAEERDDGYVYLKLPPVQELDSVLGTTKWTVKTHETTDPFQSLD KKLGLKKGLRGTKIGSMSGPSRMNDWMDVAGGYAAKEKIDQEKRRVLKDASEEERRRD NGDSKVIIIKKAGHHVYLDGWEEFNEVMRDEMEDVRRREERGR EPUS_07076 MEDTKEESRGLPLKMEKKQNVLPPSPPATDDSASDKSIEGETSD IPLPPPTTIPTEVLDIDQSTPDSLVPRDPRLIRLTGVHPFNVEAPLSALFDEGFLTSP ELFYVRNHGYVPHVNEEEIPNWEFSVEGLVEKPISMTLRQLMTDYEQVTCPVTLVCAG NRRKEQNVVRKSKGFSWGAAGLSTALFTGVIMSDIIKRAKPTRGAKYVCMEGADKLPN GYYGTSVKLNWVMDPNKGMMLSYKMNGEMLRPDHGKPLRAVIPGQIGGRSVKWLKKLI VTDSPSDNWYHIYDNRVLPTMVSPEESAKDPKWWMDDRYAIYDLSPNSAICYPAHDEK LSVSDDTQRYRTRGYAYSGGGRRITRVELSLDQGMSWRLADIEYPEDRYRNVEVELFG GHLDMSWRESCFCWCQWSLELSVSELKGAKDILLRAMDESMNVQPRDMYWSVLGMMNN PWFRVTILNEGDFLRFEHPTLPALMAGGWMERVKKAGGNLTNGYWGESIGDQDNAAPA AEVTKEVSMVKDGLKNSITIEDLRQHDNKDSPWFVVNGEVYDGTEFLEGHPGGATSII SAAGLDSTDEFMAIHSETAKAMMPTYHIGSLDDAAKKALSDSEANATANGSAEPRPTF LQSKFWNKAILHGKRIVSWDTRIFTFSLDHPTQRLGLPVGQHLMVRLKDPKTSETIIR SYTPISEINDQGFMDMLVKVYFDKDGAKGGKMSQAMDALPVGQYIEMKGPIGKFEYLG HGRCTVQGVERKVKSFIMICGGSGITPIYQVFRSIMQNPNDQTKCIILNGNRQFEDIL CKPELDGLAAGNDHRCKLIYTLTKAPDDWCGLRGRIDANVVDQHCVKSQDALVLVCGP EALEKTMHKVFLDKGWSDDQLLFF EPUS_07077 MDGIQLRDEAVRDRVRAATEFLDPTDARARSYRADIVLMLNRGL RRLVVSIDDVRAHNRELADGLLFSPFDHVQAFDQALKDVVKTLPNRPPKETSDEAVYY CAYSGSFGENACNPRTLGSSLLNRLVSLEGIVTKCSLVRPKVVKSVHWNEKKQTFHFR EYRDNTMTANGAASTSVYPQQDEVGNPLVTEYGYCTYRDHQTISIQEMPERAPAGQLP RGVDVLMDDDMVDRVKPGDRIQLVGIFRSLGNAGSGSSTFRTLILANNVVLLSSKSGG GIAQSTITDTDVRNINKLSKKKDIFKLLSQSLAPSIYGHDHIKQAILLMLLGGMEKNL DNGTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTTDKE TGERRLEAGAMVLGDRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTSLNAR CSVVAAANPIYGQYDTHKDPHKNIALPDSLLSRFDLLFVVTDDVEDERDRHISEHVLR MHRYRQPGTEEGAPVREQASQMLGVGLEEDQDLRGRPTEVYEKFNVMLHAGLAHSRRG RNAKPEVISIPFIKKYIQYAKSRIKPVLTKEAADLIVKAYADLRNDDLEGNKRRTSPM TARTLETLIRLSTAHAKARLSSRVQAEDAEAAEVILKYALFKEVIAPEDRRKKRRKVD SSAPNGSSSSDEGEGSGDSDDDDDSDSGYRGPSGRSGTVSRPKSQRSANRNNRNSNSA NALNGNVNGNANGDVEGYESDDLYGSSPKRQQARHDHTTQTTSQLSRMSIASSLPASQ LPSTQTDSQGRDSQNQSALTAAEGDDDEEEEEVDDDEAQHPPISPSRLAAFRSTLGRL MNTRLFANDSANVDPLIEAVNNSITGRSQEVFGREEAIKALRVMNEENQIM EPUS_07078 MLLESGANVNAPRCDGCGRTALQKAAERGHIQIIETLVEYGADV NAPPSRKYGLTALQAAATTHIEETFPLLIHTSVEVNAPPRADGERTAIQAAADYPPRG GADVNGPISPKNGTTALHEAVICGPYRMVLLLLDAGAHLDALDTREETTTPLMCAVEF DRPEMVQIILDANADVNLRTSKTELQFAVENQNLHIIQKLFLVGADANNSAENSTTPL QEFSMRHPDSFRIFHQHLIDDHATFRSLACEFVGSPSLLTYPPCTGIQAFQVRLVDTL IEAGADVNAPPSSKGGRTALQASAERGDVRMVEHLLSKGADIDASPAEVAGRTALQAA VAEGSANLVRLLLENGADVNAVPSEKGGQSALAAVYGTK EPUS_07079 MHELPRDCVMDELDKALNRKSGFKYIATDQFDARQHVRLLRTVS RERDGPLSYSLEIVPLEHLRGVSYKALSYTWGRADLVDAILVDGQKIFIRRNLYDFLE IASAKGEHGLLFIDAICINQNDHNERQSAVQEMARIYRNADQVIGWLGPLEPPALDNV RALVHRSHRPAAWTAAQWDGFRYLSCCRYWSRVWVVQEVLLASNMSVWCGAFTFPLTL FGMSTPTMPRAEIRVDDNGRPAKAVSALSLLRSPAETIVTHRSRLVHLRNPYTVTETF QSQTPDLLHEAVSNFGSLESSDPRDKLYGLLGILDERTRARVEPDYTKGVSHAFYQAL KIGLQELHCDSGVVSCPKEAALGRYLAYYCDARDAFGMADGESISLLRQVLSELCFQT VLEGATLEVQWQQQFVWRDSELVVFPDFKRLLAQAEEKDEELYPKCTDFETQGQLFKF HARQHGLIKRLGAATSHLLQRRSRIGGNYHALG EPUS_07080 MAFYFPLAVALVLIYLIFYYVVYPATISPLSKIPSGHPIASFLP IWIWWKRRKGYETRTIFAAHQRHGPIVRLAPNEVSVASLDGLRKIYMGGFERTEWFLQ FRNYDGTPNLLTMLNAKDHATRRRIVSHGYSKSYLLGSSDFQQLSHILLFERLLPVLD DAARAGKGIDMYSLGCAAGAEFMTAYGLGSAFGLDLMRRSREDERRIYLENSKKKVLY LKGCERAAKALEEQLLQKCREAERYLNSKSSAPQDGKSLEDEKDRKARQIGSEDPEST STYPIVYAQLMNSIPKKEHVTNPQETIRLAASELLDNTEAARVGIGITLTYAMHELSQ RRALQSTLRKELTTAELPFTYPLQHQSLSTSVLRKLDGLPLLDAVITETLRLHPAAPG PLRRLVPKGGTIIEGYFVPAGATISTAPYCMHRSKDVYPEAEFWKPERWIGTADSPNK QLTTVDEAGGGLENGKAEHDPRRWFWAFGSGGRMCVGNHFVLVVLKLLLASIYMNYTT TVIDDEGIEQMEDFVAVPVGDKLILGFSRVAMG EPUS_07081 MEPERPREPRNESPNALGRPREYRDKAPRLGYEPREKRPRTRWE QSSGSTSADLYEQQRRKQGTSATSTRQPRGCTPPSQTRESQQPQGQGLSPPVQCPPQQ RDQSLQQPLAQTCHARSHGNRAQAKAASVARSNNYDFAEDEALLCDDAMIAELEKVVG RAEAVLKHSNKLKHSNKLKHSNKLKHSNKLKHRATSSSQAQAQQQAQAQQQAQAQQQA QAQQQAQAPQQQSQSTEALDSAKARWQAKSAFANAAIDPKQKAILRAQAIIDKIEYDR EQQHAQNERLQQVQGASLQAQEPRQKLGELQRQQDHQRGTQHRIPIQQAPWQQALPQR APPQPPQPALPPPAPPSQTSLTQLPSQQFTFQQVQFQRAPPQHTQDPQDPRLPPPPRQ PAPHQAPPQPLPPPPPLPAPPPQHFSPSEPLHKPNPLFQPVPQQSLPQQPQFLPVTAH QKSLNVLPDLGPDSQQQQDDPTRSYLQQIDDKSTKFLTMLTDLLYGTHYLGNEHRKPN SPVSEKAQLAYKASFARLGELLARSPISIPPCPTYRDSSFIASPSPEQQQQRRATDSA RSKPWSRNGGVGAQSVPDQNDGVCF EPUS_07082 MPASRQGKRCWHRVYRPFYSTRDHSLAFSEIRINGLDQSLFDLA TTLPMSCFPNDNVHPSARYGEPGSKRYRLRKNAHYGTTCCHDMVSLASHIHDPYAGSY WVNNEDLFNVPPPLSTSTISSDWSSSEPATVYGPAGRFDPMPRYGDNSYFSVPAHSGS TSCNTGVFADSLDIMAQNQDDFAWNDLVSIGFCSATYREDIQFIETHTPSNPVSPGLP SGLSARIPHEAAAHQPTSYKAAAVSATSILLPQHSVFPLSVDQLTPECSPNHIENQVD NFLDDLADAINWNNKEPNLEYSKTNDMHTANSVHPSDEMAEQLPAAQQNQPEEPDQPV VPPSPDTWSHGLLASTDQFPSIWDDSPLYEPNRLHRSPSSLDLESFPC EPUS_07083 MLEQATTCAEPAVNLLLRRIEKPIRSKRVLPLSFWRYGAENTPT PVWWPAYLQDIRTISLAQSRARTTPSDASELINSGINTSLYRTALAADQRQRYDSHGR TFDSSYRQRIYKRTFSISYQDQSQTSRASGKIEEAKDDYSQQSTLELYNSPVAGTNRH DNESTDEDVPYSGGPVNVPLLDEQLPPLESLCALMGSDEKEAYDKAWQLFIRAGGEAG LAGEVLEYLSTSDHRIDLDHALAAYNLIPALERVLAHYQAAVKAACCRKKHRLAMEIH NEAIKRGFHTEVTSTFLAFLTRNGLWEIAAQVWKRLPESQRDAAKPGNRGLWQELDQD TSLPEKLQQLMDTLEQNAAIFPSGRNAVLGLSVQVLYRIFSSSKIMVSITGSGILALL DRFFHLGLLQPQHYFSGIQTLNKMVGFRNRHQLATLLYRNLDYRFPNVRFPRTLLGSL IAILCGSEGDHVASRLILRRFATDWGQPDRLAYQKVLSACARNGDSVNVSEVFAEFCS DYGTPKDMAFITPLLYVFARNGNVHETKKQFDRLHSHFAVEPDVYCWNILLTAYARAR DHDGALQQYQNMKRTGLKPDQYTYGILMSMFARSGDIEALHQLVEAAKQQSISGTSAM VDSLVHAYCLNDQVEDAEALIEAATQQRLKSAPTRMWNTLLRYYAFRADTDAVLRTQE RMTELSIAPDGMTYAALMQALVNTGRTQDAAAILRSLHFSNSVTATVFHYSMVLYGYA LENNRDMVAVIYSEMLERFPRIGLSARLSRLRSSAHRDATLTQTRLNQALRGKPMSKG LRLSRTLDFLAQIWLEINQSDLMTEDPQPGLRRQIPAEAFPSVYMEFVIGAFARSGAL EKADNLIREYQAFVDESDGSKTSSAQSFQLLTAIMSTLVQQKRFAAVDSYWNKALSMA KSMGRQRTLDLSDPVLQTDMSTPAPTRPSVGDISFDVSTPISGSQITQPFLDRGEIRI VAAHRYSLAGPLTQYMHSLGAQHLVADLPPLVRRLEEMGFSLSSKNWNHYVQVLSYSN DPNLQILAFRVFEEKLLPNMPSWQLMKRSKWSKQKIVDGSGDLVIEEPVQRKLIERFR PYTLVPTYWTIVYLGLALMKSQHRGLQGQALGLDVLRSQAPGTVSAVSRMPYLREKAQ GLLLRGRTLQGDPQKRPRKQPKVDRAGLRGSRSPLDHLPWDFPLDELDQMLKRDKIQS TEQPEEEQRSPPPSVEQISGEIQRSPLMLEAAGRYERELEFSRRVRASQQDQLRMLAQ MREDAARPQLMMDEKRGEPSFTASLLSQHKKEKQLLQLAERKRAVPCSPDSNNASDIR PAALLSAARRPKAAARLQLLRRRRWGSPVVPKSIAAFQRGIRRKVKIAMTAYQSRLRV PNRLRRAFTKNRTARRIRSEARRSKRSDRIRQAMSEDEEETGDNMEEPDGKASEDIES ESSARPES EPUS_07084 MNITSYVTSERESALLVGDYSVYRAQATRRIHSLRKRMGQTTPK GRKYIPKAPVTADDIQRDHEFVNLLLMSAERAWAAAMAMKKAQSTENTQRRMPGSTKR QIYSRLSKAIQYAEHLQKCLQDKVLSCVNDTDNLEAAAYLALMRGALHFEKARWEPCI REYSVARVIYATLGSASRLDLFKDLLSNTVDPSIRYAAYQLRYSRTKAVSDIAIEGFP QSKSELLGQIKSLNPDALLTSEAAEAKGQNASYDTPSTITWRGRTVKIEDATIAQAIE SADRKERELAKLHAKGQSDVRELTAAYDDVINARQEAVDATKTAIEELMSEGMDTSDT RVQSLQVTRTAVNYAVIELMVGRNRQLCGQYDGATFERTFSKRSRKPRKDGTNRVLRE ESTSVQVAKLRERVALYDSILQSIDAVGDLLGVAGDLSFMEELSTKRAYFRALKCLTI GRRYAILGEFRNALALYARSLELTSSVLSTHSIEETEASHGLSKLDVTSKDLQRFHGL IDGTVSQYRALVELKDLMEQQKASAKDIYVPPLVERLGEYPAEDIDLMKLVNFPPKIQ PIPVKPLFFDLAWNYIDYPGRAMSGVNGAPAAPETAAEVKKEPAKKGWFGFGRFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGIIEPSLKALASKYNCDKMICRKCYARLPPRATNCRKKKCGHSNQLRPK KKIK EPUS_07086 MSGTVDKTAGPTSRSSGQGSSQIEHSADAPSRETESKHVSITRA CEESNIDSLIRLATSDGGLINDELRRKAWPLLIGSEAGVVEEPEINLSAWKELPPHRD EDQVQLDVNRAFVYYPHGESEKKIEGRKSSLSDVIVQVLREHPMLCYFQGYHDIVQVL LLVLGDQLVPAAVARISLLRIRDYMLPSLTPSLRHLQFIPILLGSADPELGRRLSRTQ PFFALAATLTLYAHDIQEYSDIARLYDFILSHEPVVAIYMFTAIVMSRREELLEIPIE EPEMLHFTLSKLPQPLDLESLISKTMQLFNTHPPEKLPNLIWWRIPSNSVLKTSRNLK ERQSVKKGEELFARQAAQLRREELLQKASKMMWKYRRPATSITLTVFAGVMSFWLARN GYDRTLWAYLVRLRGAFPFRR EPUS_07087 MASGTIVHQNNGPVARRPVQDTTQDIGNPPPMLDDRAFGVLSQT IIPSTTIRWILPARIRNPIHNDVIFISESFIQLREFLPARHLATLPDRLDMGCRILAA RIISYVDATPFIEQVVKQEERDGDDSKLGLPSQILVLTLDCCEIAFVYAEDIAHNRIR FRVGKWKLPADVSCLGQYGKHLAVDSKSCTLAVSPACGQLGIIRLKTFSELREEISAY DTNRTEVFTPLKEQRFVQVDGLILRVEFLLCSSTVQPKTVLALLISKNEATYVYLYSW GEKAPLTTAKLENCGPHRLPDKDRMPLLMIPSNHNASFTLVTESGLTVYDDVLSSDYR KIHVEIPQTFPSNFVSSRRSPLWVQWAKPCRHSEYLKTHDDFYLVREDGRLEYFEIMH NTPSKVQNGGEIGSIKISVDSAFAIIESHPGHGGDMCVAGGDMTDGAVCHMMARMPLD QFQTITNLAPLRDMLILHPKSRDEDAHQIFVCAGKGEGHAAVAEIRRGLEARISLLVE QEDSFAVTGLWVLPEINFDHLTMLVSYPLHTLAVCINLQKAALETADEGLACQGLQLN CQTLAFAVSKESLIVQVTPLATTVLSALPKVSSVAREHADGRVSIASISLDNMLIATA TMANDIFKVQLTSIDVDDTSISINGCSTAYSIMEEPSSILLANFGGARLLIIGTIVGS VHILAIEHGQGLRHILRNPISTLFSHVEASAICSLVLLTDPALGPPALACGTRNGWLL NMTITPSLINDPQENRQSPSIVLGRPPDLPNFLTLQAESAQHMGQTSVQLTSDPEDAS AAVLVCGSDVHRLCYTRSAGAATFKVLRIWFTDVAQPEFGQPEISAVAALYRHPYSGM NHLEATLVCVTRDDLLVSSLSLESSIVPQRMLLSGDPHKMVHVKGLNKLAVAITQTKL IADRAPDRPARRIIRPGIQLLDPDRRGSSSPQGQVVLLGQAGSRITSLISWTAVHDEK NVEMIVVGLCIDGADTAHCDGRIVYLTVAKDGQQNGDLDIEIKRSIRLVGAPVYSLAL YGQSSLVVCAGTDLFLQSLDLVTRNWSRSTRYALPSPAVSLYVSDHFIYATTARHSLK VFEVQGGELKLRAQETKTRDAIRDATHFVGTDEGGLLAVASNKGGRVLGYSKQEQDGF SLLFDARFPVPINCLREHGKGGSITTTGQRYYGSTQDGALYQFTVLSRREWEFLNFIA GLTWKKPAVESKVKRKSSSTQRKERKKPRPPDMHINGDHIADLLHSGPCKLRHLLEEP PRARLQGQESLSPDQKFRELSALGEPLFGASEDPVLAASQWMQRLVSNTS EPUS_07088 MAPKKKGGKTQADDWEAELGESPDAPPEATNITSEDVNGDAEAA NGGGGLLAALKKNKNKRAKKGKPVDDFLEGEDAPATDEAAGDSILKDKAPEEANLERD DLFASTAKKGKAVQKAAEKAAEDAAAADDGERNEDGSLKSKKEKEKEKKEREKQRKKE QAAKKKATAPTPAAKVEPAKPTPEVKAEAPQAADATGKKKKLPAHLAAIQKQQEALQK LREEQAKLDAEARAAEEERQRREAEEEKRKEEARARKKEKEKEKKEQLKKEGKFMSEA EKKRRAQQDHRMKQMLDAGVKVEGLKGDADVAAERKKSAPDKKKKVPKKQEDDLEAAA ERARLQAEEAEQERKRLAEAVAKAKAEAEAIEAAAPHESDGLEDWEQAADAEDVKDSW DAESGDEATSQSPRVTNGAKQAGSVINGKPTANIPVRASKPQNKDGYAESDSDEESSS GEEGTTAQKAAAQKKAEAAARRQKAHEEALAARSADNLRSPICCILGHVDTGKTKLLD KIRQTNVQEGEAGGITQQIGATYFPTSALQEKIAAVNKDGNFDFKVPGLLVIDTPGHE SFSNLRSRGSSLCNIAILVVDIMHGLEPQTLESMRLLRDRKTPFIVALNKIDRLYGWK KIDNNGFLDSLAMQNKGVQSEFRDRLDKTKVAFAEQGFNSELFSENKSMAKNVSLVPT SAHTGEGIPDMLKLLITLTQERMTNKLMYLSEVECTVLEVKVIEGLGTTIDVILSNGV LREGDRIVLCGLNGAITTNIRALLTPAPLKELRLKSAYVHNKEAKASLGVKIAANDLE GAIAGSRLLVVGPEDDEEDLEDEVMGDLAQLLNKVSKDSRGVSVQASTLGSLEALLEF LKVSKIPVANISIGPVYKRDVLMAGTMLEKAKQYAVMLCFDVKVDKDAQAYADEVGVK IFTADIIYHLFDDFTKHMAALAEQKKEENKLLAVFPCVLTPIKVFNKKDPIVIGVDVT DGNLRLTTPIAAVKTNPVTNVKEVIHLGRVTSIERDHKHIPLVKKGQPSVAIKIEGPN QPLYGRQLEESDILYSLISRNSIDTLKEFYRADVSTDEWQLIRKLKPLFDIP EPUS_07089 MDTGLNGSFTSPLANANLRIHRDVDSAGLLSSVYNGVSGWNAVL TLLLTLIAYDQFSYKWQKGSLIGPAWKIPFMGPFLESVYPNFLEYKAKWASGTLSCVS VFHKFVVIASTRDMARKVFNSPQYVKPCVVDVAHKLLRPNNWVFLDGKAHVEYRKGLN GLFTRQALEMYLPGQEEVYNKYFARFLEISEENNGKAIPWMPVFRELMCAVSCRTFVG HYMSDRVVKKIADDYYLITAALELVNFPMIIPFTKTWYGKKAADMVLDEFAKCAAKSK VRIAAGGDVTCIMDAWIKSMHDSAQYRERIAKGVKVEDAGKPAMLLREFSDFEIAMTL FTFLFASQDATSSASTWLFQILADRPDLLDKVREENLRVRGGDKDVPFSMDLLESMVY TRAFVKETLRYRPPVIMVPYEVKRDFPITDNYILKKGSMVVPSVWPATHDPEAYPNPD SFDPERWITGDAEKQSKNWLVFGTGPHYCLGQIYAMLNLMAMIGKASMHLDWVHHATP LSEDIKVFATIFPQTSLDPGRAAFAPFQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQ AQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQ AQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQ AQAQAQAQAQAQAQAQAQAQAN EPUS_07090 MSDSFFSHSPISSSVPVTFFGPRRLSEIRNDALGKRKRNQKGRQ VILYEPDRELPPRKRRRVQPPEDCHCNWHPYSASSSVRPPAAQPWHQNDRFVRHLPTA ELAALVDPPSPETIPSLHHRLLFTNLNNPIHPLFRALTGLAITLPYLATSLRLATKFL ISPHTLPFFHTLITTPLTLLQAESYIFNAPVTWLSESSFAGPPGTGLPPAEYQLTMET LVTLTDHISIQISPDPRLATRWAYTERHTPPQHRTSGQTAGDLRQSSSRNADTIWNRA PSHPRAPPPTTSTSTSTTTSTTTSTTTSTTTSTTTSTTTSTTTSTSTQPHPQPHPQPH PQPHPQPHPQPHPQPHPQPHPQPHPQPHPQPHPQPHPQPHPQPHPQPQAFTSPSSNSN RNHNATCNSKDTDKKQQHLRALNFRGTHALINISPLFLRMTHPHFTHSPRPQPESQTS TRGPGKEGKQETTTTTTRCEEISDAQRERAIFFLAVTLVHELAHAVFMTRFPVLSQSN TQTASTTGPGHAGEDDAAAAGHAWETGVFAGGKILALAQDDWFGLGLGWMRWPGADSF FVRDRRGGVRAFCRLGTRGRRGGGDASERGRGRGRARARSSRNEEKILGPLKYETVYP LDWRYIRRFFLGEFWDGVVHGTNAASGDDVAMDIDAIGRGPGVGVGMGVQAFTPPKTL GSRVRNYDWIGHRYSRPAGRTDNDTGTEPEDGEDDGYSSTCSSLTRGHDVHGVVREGQ VWIASDDDDDEDEDEDEDEY EPUS_07091 MWLDHSSGHLTPSGSPPPQNRNHSPAPKRSLHLAPSRRSGLGLI AGSSSLSLGFSSNGSNVSLPRTSRMSNGPSLHQEHKPSPNMPDPLNLLRSILGISSTK KGVQDGNEEQSLTRKPEDFKIDIDFGNLSLEDYAEEEEAEIKLVSTLGHLEHSSADEL ERYKGLHDAIEDCDKVLKSVESYLTNFQNELGTVSAEIESLQTRSTQLNAKLENRRKV EKLLGPAVEEISISPLTVRTISESPVDDNWIRALKELESRSTTIAKKTSGSVKAIEDV IPLLDNLKAKAVERIRDFIVAQIKALRSPNINAQIIQQQTLIKYKDLYSFLARHSSVL AEEIGQAYINTMKWYYTSNFTRYQQALEKLSVHIIDQSEVLGSESSSARRNLLASPKG PPPQHDAFNLGRRPDILRTKASNAIAACLTDEDKTRCYLETPFYNFNLALIDNVCSEY SVFTELFSMKSYHQVSRKVTEIFEPSFALGHGMTKQLIENNTDCFGVLLCVRINQYFA FELQRRKVPLADSYINGMNMLLWPRFQIIMDQHCESLKKVISPNNRGAVAAFSLVGND ASKTSVAPHPVTQKFGQFLHGILALSSDASDNEPVSNSLGRLRSEYEALMTRLAKGAG DASKRSRFLYYNYSLVLTIISDTQGKLAEEQKAHFDQLVIGAGAVGLAIARRLAAQEG TSTILIERHGSVGTETSSRNSEVIHAGLYYGHGSLKTKLCLKGKNMMYELCSKQGIPH RNTKKWIIAQDDQQMSELHRVHEFATSIDVPTRFLTREYITQREPDVRAEAGVLESES TGIVDSHSFMSYLEGDFLEKGGDCAFHTAVTRIEPIDSGKGGYKIYTGNADGGEDSIE VETLINSAGLFACAINNMVLPKERHRKAYYAKGSYYSYSASHPKPKTLLYPAPVPGFG GLGTHLTLDMTGRVRFGPDVEWIDDPNDLKPNPSRLTEAIDMIQTYLPSVKRDAIDLD YCGIRPKIGKSGATAGKNFQDFIIQEEDGFPGFINLLAIESPGLTSSLAIGEMVEDLL YR EPUS_07092 MADDAQHEHTFESVSAGASDTTPTQCSALRKNGYVCMGKEDRPC KIVEMSTSKTGKHGHAKVHLTGIDIFTGKKYDELSPSTHPMKVPEVTRKEYTLLDISR DNFLSLMDEAGNTKDDIELKDGEVKDKITKLFIDQGKDTNVVILTSMGMQVATEAKEA PQGLKYVSEAQLLVISKAGLEPEGQIVGEDEADWGTQGRIVRKKVERIREKTQKVYRG PRAFRLFVQAYQFILWKQCYILIHEKDLPPELWTIIRDLWTLRLSKLLHRLESPDRVG SESQILSSGEERDEDHTRRVSKRVIGSPKLIETLALCYMGILLLRLPVRLQDLYRWAK EEHILYIRAIRGVPIDIQEKLPGEYHKALDTTVMLQPQDLHGAVSDLGNLYYRAFGLL LPPLNYEILLYRYITYLALPLEIFPAVQGLAQLTRSDFRYSITKEIRREQGSAFPEVE LMSLLVVAVKLFYPVDDAQRRPQSLHEPATHQMDWISWKRRRQDLAKEPPGTRLVWGS EIDVRDTDVFKMSQQELDSYMNWYQKTWVKEPRPGLEDSVNKDILDMFSLHSLEPSGK LRSMQCEHELEEAAIHRALSTTHSLEFQRPITDDEIGDQEANIKRPGEEYKSYRSEEE LPDVVKVFFRAAAETACTSVKNLILAVLQAEAKMTTWKRAKRRAEVTGHEFDLDAEMR GRAASRMEMHVPQEMEATNIRSNLVQREESEENSDVDMQMISS EPUS_07093 MPFHPPSLSRLLAEIIVTETHPLNHPLGAVNGGLLRMRGKLCTV TTGDGGSPYSVDLNATQSASLMVFYDQVASRRLYHPNPVVLLAVVERMDFARYREGLI LQATGRQRGQYVRIGVWWNRNYEAKNPFLKTKESLESFVKTKKLNNDEEDLHTRITDA FNSITVPPSGYEEEDREAKAYTISII EPUS_07094 MAPHWTGFFIGVSATFLISCLSSYSVEEQTKERCQKEHQQTHEL ADLLSDTLKYGCDKMDDYHEKVEAGLTLRDLAEPNIRKRSAAEKLARDQRFKEGKATE QDMEFRRRFEEERRKEQAERETRKRMEELEEEWRREDERLDLQRSQVKVLARIAENVK DFNSD EPUS_09320 MLPSQSSRVEAMEFDSIVVSLGSLDRRLLCLALVGAVLLGTVAA RLSQFWRLRKFPGPFGTGVSWLWHSCAVLSGNAHRWYGDVTEKHGSIARVAPNLLITS SPELWAHINAVRSPYTRASWYYHCVRFEPGKDNVFTDCDNNSHDARRKKMAAGYSGKE NPTLEASIDTQILELVRLLRSKYAAPAASHSVSQPIDLAAKLQYLTLDVISDVGFGQP FGDLKADADVNDYLKSMEDGLTICNTSWGLGISWLRDVPLLGKAISPSEKDERGFGKM MAYARRCVRERMLQPTDQRSDMLSSFIRHGLKGDELFHEVFEQILAGSDTTVASLRVI MLYLMTHPRVYIKLQAEIDEVVRAGVAPAAPGIISDVAVKGLAYLGAVVREGLRVHPP VVNIFSKVVPKGGDVVDVDGKEHFLPGGTLIGYSAWSMHRNNRAVYGDDADVFRPERW LIGDDTPGGKEKLARMIKTNDMIFGYGRWHCVGRNVAWMEIHKTVFELLRNFDFELAH PHESWTIHNIVGLFAISNMWVQVTER EPUS_09321 MGAFQIFLDRRSYAINIVINLFLIVLCLVCYALLILLFLSPAVF QLDSLLYPRPGLIQPTVVVALLSALLTAATSALTTRAVEHSVWLKLAPRPTTKKITIG ETYCLAQWSISPLARFWYFFVGHAWALKFAGLFLIGIAAINPVIVSGISQYDESAVHS SRQTSQGDRWTGWIDASNIQFSDGGCRDNPTVLAALLSMSNQSAPPADICSGDLLCTA RATVTALRATCNAISTNNSNGIGRSSQREIRNQTFCSAINPDICLPLSSGDPYIYAEF TSGYPPNCDLTSEGCPGSWSTIFGAYVNNPGSANTTNVNMSPDRDAPAGALRRIYTSE ATSSPFTFSAAATATGTQNFYDSLVGFSLLGPAANASADLVAARIEGTFDMATLMAFS RAPAAADIGFEHQARTPVYGYDARVLVVLLVPALATVLSVCFGGRWKVGDAEDVVGYD PVEIARRGPVVGLEGRWWAERHEVKTVEVWGWREAEIGWGCDEQDRFLRGKMSAANAV AVPRGQKVARHRFMAAA EPUS_09322 MPALTSTSSLLNTLAGRSDVSPAANATSNALQVVCAWPVSGQYG TGSRVLYYVLVLACVLARKEEWLRNACLAAALLFPAVAAIHGIVLAAVHVNGAVDMDI YGAFQLCTIGILAAPVTVRRSRTYFYDPGRNAIFLWTGVILAGLLSLTVEFYRITTSD CTHDDSGQPIPPNAAGFPYGDKPSCGLTCSLEQGPPSPLRGGSVNSIYVIPAPDKLTF GTGTLLAAACCIPAILSLISMWDKILEINWKKNRIGGGHADERNDEVIEGTNGATIGN MKRVNKVIRHLLSVVEIPVLYGAVLAILITGERNFFSSQVLYQTEPIASIGQWGPLVG TLLAALGSLYLLLAADMAAVKHEAKSNTSMHHCNCSHHHHGGERHPNLPVQRGVDARS MDGGVIRGSPQDPTGLPAMQELGIGPFTGSPPPIATPDATDVRSSTSNASTAPASGTT PGPVHQAKRSWTTDMGKRRKITRTLTAIGSYLGTPAHDWYDDSEFKRGPALDFPEIPG EEQRNRELSQIREQWNITPALRELRSRAGSFHSRAASGLDFEGSVSTPRAASPFLSPT RPERLPVSTLSAEHSSFERQDPASSPSAGLNGGMLQPRQATLEVPSPVHFNPTRNKSS ASSISPIVITPRGRSLPTIVTSPDPYTSSPVQMPISNLPSPSSSPEPLPPSSTTPSSS PS EPUS_09323 MAENLVDTSRIKEWRSIVTFVVFILTNINVLFPFHIPVYVPRLL SETVSRSLRALRIISPKSNRSQHGSKHDRNGKFGSFARFDFPMNFVTAPLIADLFLLA ISAIGREEVHAGTIGADNISPLDIMLFFLTLAYIAISIDASGLIRYLAFKVLQWGGEV GHRLFFYLYAFFFGLGSFIGNDPIILSGTAFLAYMTRVSSNIVHPRAWIYTQFAVANI ASAILVSSNPTNLVLAGAFEIKFIHYTANMIVPVIVTAIVLFPFLLYIVFADESLIPF SIKMHELPEEMKRKKPVNPNIPHARGNAEEEETGLANDEKGKLLSLEEVMNPFLDKGG AAFGAVIMAATLITLLALNAASASSGEHQVFWVTLPAAFIMFCWDVAFGWYHRHETRE IACKGRKEVENARAERAIREEEEANRLALQQDGCDALAAHEYALTQMHSPEAQQQGQN GAVGSNNNIANANDQIPQIFDGTSTFPPNVGCLPPSAPALLVSKNGEDTSSGEMDEEK PGTDEAKREVDHRKRILHEIELRHARGRPTLVSLVADAYTWSQETFPTVTAVLAHLPF ALVPFAFAMFVLVQALVTKGWVQVFAFGWDHWVEKTGTVGSIGGMGFLSVILCNFAGT NIGTTILLSRVIQAWQLIHIVNGTPISDRTFWATVYSMALGVNYGAFSTAFSASLAGL LWRDILARKHIHVRGLDFARVNLPIIAIAMTVGCAVLAGEVYIIRDTSPYNS EPUS_09324 MDPNIDSAAGKPKLTIHYLRPGFQILEPDEIEKGPRYLSREISK ISPTIMVKCGAWIKFSEAKNMLFIEQNTTIPVPKVHAVYSHLADARKYPEGYSGKREY TEITYIFMDFVPGATIEKSWDEWDEATIANVQNELKDYIRQLRGIPGGEYIGSLDRGP VTDSMLRLQADNCGNIILCSFGISQLTSYLAGPFDSEEEFNIELRKTYWKCYKREVLI CDRLDAMLAAHKHQIVFTHNDLHYSNIMVHDGHISGIIDWSDSGWYPDYWEYTSAMRV MNQRQDWNTILDNAIGRPHCEFLIIEKIRVILPPY EPUS_09435 MVASASEQCRTCITTLKTIVSTLSNPDRQKGRVHHEQINDELER FSLWMGNIGALHLPESSMSLESRLREANDVLTHILELLDDLNEVAGELLRIVSGEREG EIASVPHDDGKEEDQNEETELLREFGACITRLFRVSSLIRQAAPTDLFAKALSRNRYR FNDQFDIAHVGEKYPKLTTGELAWLQKRLGHAITQRRHYLSYIRDHHEELEGKRTHEQ TPGPVVPKSQAPIKQLPAMKVLPDSSSRPSTFFTKASSLTPGQITPQMLATEEESDPE NDARSYTTISRSIDGDLDPSATVRIPNLDELRTGSKKEVECPFCFRMKKFKNERVWRR HVFSDLRSYVCTFQNCDAPYFGDINEWFSHEMRSHRVSYICRLCQNKAFQIRERYLAH VRKQHPNMLEDGEEQLVLDIARKPLDQIPAQECPCCSGWIDRLRERAAAAGIPSDASD HILSVVPTVFKRHLASHLEQLALFAISIGSAAEGCVNSNAAIEEDVRPFSGGSDISTL AFDSSRPSSPATTGQLSDDVPIPEGLVAAPAVVQRKVQRLLDKMTPDKFERIADQILE IAAQSKNETDGRTLRQVVQLIMENVTDEAYWASTYALICERMLKFISPDIKDENIKDK YGNVVTGGALFRKYLLGRCQEEFQRGWKVNMPPTPEGQTEEAALMSEECHIALVTKRR GLGLVKFIGELYKVGMLTERIIHECIKELLNLDESGLNESKVESLITLLNTVGLNLEQ SGRSPALMNIYFERITSIMNHPDLPSRLKSKLLDVINLRKHGWQFKDDDKGPKTFTET HADVQRKQQAELERLRHQASNRSGGGRLAIGRPPIYSYKNVGTNDL EPUS_04133 MHLAKKEYGTGLHIWDLPISWATPNRLLTWSSEWCYVLASSSIK ISILLFYKRLSQPFPVAFRVATWIGILYNIGYALGFGLTLGLICRPVDAYWNQFSPQW QKAGNTFHCGKEEISLPISGVLSVIGDFYSTVLPLALVWHLKLPRGKKLALYTLFALG FLVVVAGVIRTILLNVVINRTWDPLWELDTMWIWTIVELNLAIVAASAPALKSFFQHC LIRPTASLYKRTRTPSGTTSGERDVYRMESGDLCECGEKEDKAVKIEDIGRAV EPUS_04134 MSTIVPKSSSCSGIPPPAEDIAAILNIIFNADKAQDSLDTAYGL TETLIKSIGVRGLLGYNLIPEVKKAANDKKNGAKRESAMFILGALFERFPREEPLSEV IFLLQDGGLLSLALDGLADKGSSVRESAQYAVDELYKNLEPEALVVGMLPALQRYLLK PTGKWQGTVGAYTLMGRIADKAKMGTGSKEEEQAKDVLRESMGRTLKELIPIVEGGMH DLKAEVGKAAVKTMTSLTSLLQNDDVASRIPLLIDTMKNPSTQTLQKAIHALSQTTFV AIVTSPVLALLTPLLERSLNTPSTSQEVLRQTVVVVENLTKLVHDPVEARAFLPKLKP GVQQVKDRASLPEVRELATRAVNVINKAMGDDKDGVAKGQIVRVTTDDVLKSLDSQIR SQGGVHREDAAVWKAGQTYVSEMVREDVNTRALQRIHGRIAPYLRSLLPQPNCDAVAQ GVYKHFVEEDERKYGEPIKEDTDEVEIVNADFSLAYGGMLLLSHTNLRLLKGHRYGLC GRNGAGKSTLMRSIAEGKLEGFPSKDELKTCFVEHNQGEDADLSILEFVSKDPELAAQ GRERISTVLSEVGFTAGPEGRQSHKVGSLSGGWKMKLALARAMLMGADVLLLDEPTNH LDVANVKWLQEYLKKHTEITSLIVSHDSGFLDEVCTDIYHYEQKKLVCYRGNLADFVK VKPEAKSYYTLSASQMQFKFPPPGILTGVKSNTRSIIRMTGCSYTYPGASKPSLMDVS CQLTLSSRVAIIGGNGAGKSTLIKLLTGETIPQTGKVEKHPNLRIGYIKQHALEHVEM HMEKTPNQYLQWRYANGDDREVLMKQTRILTDEDRAQMAKYVDLGEGMGQRQVENLMG RQKWKKSFQYEVKWVGLLPKQNSQVSRETLLDWGYQKLVQEFDDHEASREGLGFRILE PKVISKHFEDVGLDPEIANHNEISGLSGGQKVKVVLAGAMWNNPHLLVLDEPTNFLDR DALGGLAVAIRDYKGGVVMISHNEEFVGALCPEQWHVADGRVTHKGHLAVSLDRFEDS RPGSSNVSSAMSSAAASAVNSGAEDTSDMKFKAKKKKKLTRAQLKERDVRRRLRHIEW LNSAKGTPHPPDTDDEA EPUS_04135 MAADDMKKASPPDSLSNSEPIDEDALKLAEMGYTQDMKRNFTVW SVLGVGFSLTNSWFGISLAMVTGINSGGPALLVYGIILICLISICVGISLSELASALP NAGGQYFWANELAPKKYANFASYLTGWFAWAGSIFTSASVALGMGAAVVGCWQLTHPD FVIEPYHVVVAYQLINFLSFFLNCYGRILPVLATCTLYISLVSFAVILITVPARAPTH ESAKFVFATFINSTGWPQNGIAFIVGLINCNWAFACLDCATHLAEEVPRPEKMIPIAI MGTVAIGFVTAWFFSISMMFSLSDLQGITNTATFVPILQLFYQAIGNYAGAIALESLI IATGIGCLAASHTWQSRLCWSFARDRGIPGHQFLRKVHPTLGVPVIAHGVSCFIVACV GLLYLGSYAAFNSMVAACIVLLYVSYSIPVIALLVRGRNSIRHGPFWLGPFGLFANCV LLAWTLFTIIMYSFPYYYPATANNMNYVPAVYAVVIFIIAVDWLARGKKQYRGQTDRK EAIKETVRKASVVSYRSGSRMAGPGV EPUS_04136 MPFAAPHAERGGRAAIGATAAFQPGANDSTPVVEADQFYHSPPD DDAPQTIALRHPSPNARNIKTITSTAIGGGKLDFSTQEAIARHGLLQESTFPDWEDDA ARSGLESPDEMQKKDPLGTQIWKLYSKTKTRLPNQERMENLTWRMMAMNLKRREQMQA MSQQSKPPLFSGIGQMHKPMEDINGTAPDPMNLDDFIMPNSIASPAGLTPPTTESPAK AAHKTSALPINTKKDAQPSTSSTLIPGSVPQPLARSGRPHEFDYVERRVRKTSVDERR ARKRPAEFSPQVPATTVSMNSTDAGVDLGVPDYILDQNSSRQQYPVHASTHPQVPFSI DTFNVNEDPILNSAGPFQQNFTFSPTGSPLVANGPFSNVYNPTSVASSLNSTDYYSPP ASGLPSTASTPQPGHDNEHQQYYFDVLNYGGQRPAHLGPSMHSPFPYGSGNEPRFNGM CASGASSGYPMQQQHVNPSNVLVPEYRQRVSPGVTMASHDNMFQFGVDSDNDDDDDGG AFPDRNVILQAEYGGVGDPTLDLNSSIQWDSNVREYHGYPRFGQGKQVRIGGAEIVNS PPDWSGGPGLGRTHGSAASVSDIRNRDQDSRRQKIPRTTSTPALSNQGPHMHPSNPTS PPESSFSSAAPSRPESPSLKNSEQNGAPTTCANCFTQTTPLWRRNPEGHPLCNACGLF LKLHGVVRPLSLKTDIIKKRNRGSGATMPVGTASTRASKKASRKPSIHQTPVTTPNSN QTMSENNSASPQSVQGSTNSGHTPTTPTSFPPTTNGVKAGVVPIAAAPPKPAPPLAAG QTNPPFQVTPKRQRRLSKVSAPSAGSGSQQPLVSASLPGRVNDVTASQPISDSRHVQA PVTRAKAANFSINSGPTGMATIMQGAEMMGSGRAGGMSQGLTAAGQQNSGQEWEWLTM SL EPUS_04137 MPPKSTDAAVAAATKDTNFLATCFMNMKTKPVVDNAAVAAQLSM SVGGVANKLRPIIKAMEDAGALWTTDQPPTANRARGGGAKATTKKVETEAKKDDGTEN EDATAPVTPKPKARSPKKTPAKTPSSSAKRGRKGGDSDIDGEGPVEEESITRKKAKVA PGPITEEVEIKENIKKEADGSSAEYGE EPUS_04138 MAPKFNDADFAVLISCLKNSSTKLTPDFDKVAKECGLKSGRAAY HRHWGMMKKLGLVGTRPAAAKAKKDSSAAATNKRAFIKTEEGEDGDVDGDEVDGEASE EMESPSKKAKTSA EPUS_04139 MAPSKPFDAEAALDYMLLLISNSEFKPDFHATAVAADIASANNA QKKFKKIVEQGGKYKLVKGKVVAADAEEDEDEA EPUS_04140 MSTLKTTDKAQASSNADTELKPAEKKPLYSVLTHLQDRIDFERV ASDIEIPNAEAAEKKIATTIKGDQIGETGNDGNFMGDTKTPVGIQTKTKAAITPKELM DAGSARKKRKTTEMKGGGDGLVEAKG EPUS_04141 MSSSNATRTFENDPNYMLLYYAVKIMTGKPDFELIKAKTGIIST AAVKKRFERLLKAQDDVEDVNGTAATAATDAAPDPASPLTPTATATTPSKAGKRGGGK GKGTKNGGNEAKIKNTTTKATPKKRKVADVEDELVDDEENAGDSPMSVKEDIDE EPUS_04142 MARGGALGPKAVLTMSSELMALGAKREPTGESNGRAADHHGSIF AFSEGRAIMGQKMGGGSIDVSVWGTATEEEEEEEEEEEEEEEKEEVVEEASVPVVSQA VKQKILHDFNH EPUS_04143 MSLARITNPCPPCRVVGTLSHIEGIPTLDPLGTSPLPIRQFEAL SPNPTSGEGSTPKTRHPTQLKGNLETYVGGESESSSDSDVETTLVEARRVVNEGINLT AYPERRMRPNGMSCNIEKGHRQTMGDRCWEIVIIGAGPTGCMLACPLLQASIPRLSVT IFGAKESTTSVRTRPHAPAVRGHLGSPSPKSGLWALKKAQFYEKFLNYAQYDGSFFEL WDKGRTTYFRRPCDRPGTKNGKPEVDRAQLRSILLDALPAKIVHLGHRPQ EPUS_04144 MVPQTLAAIEVLRTSVVADKYDCLNAVKFVSECWLRRRGQEAGD LMLLTAAAYLFQNAQAFNEITKALILNHGGPYLALSCNEVESAMNWKVFYDDYQVRTI LLGIREIYGDHRGENIGQTVVDVIREFQAESGLGAFVLDNASNNDTAVRYILNELELH DIHEEERCRLRCLGHIINLAAQDFIFGQNSEKWLREHAAIEDSEDIEDLQRSWVSQGL IGHVQNLISLIRSSPQRRQAFRKITGTDPDPNKQNLMLIQNNTTRWNSTYHMLVRVLE LKNHIQVYVNSCQAKRDLKGKIADEAIYKLPQLTEDDWAMLQELCDALQPFDEATNFL QSNNKGAKYGFLWECLPAIEWLLTTLETLKENKSVRDRVGLSANNAWNKMNKYYEITD LSPYYVAAIVLNPAHKWRYFDIHWKRNKHWIPEAKRKMKALWSVYKIQHEQVVEEEQL LPSSAQLSPQKGSFKSFLASGQAASNENEATDEYKAYCQLPALKSTPKDLIAWWREQE ASFPLLATLAYTILAIPAMSAECERVFSSAKLLITPNRNHLSPDTVEMSECLRNWYNN KVI EPUS_04145 MDIKDKRNRKPSSQPMVSRSSGNERFTEPADDTTAAASLPASAS TAPGTRKRKAAGKKPGEKNVAKTAKMENEDEVVDDEDEAAGGKKKKKRKAARETSTTG DAVAEDEDEDKSGDKVRWRRKPGGRDAARNSTLGAGIAESGERSEMTIEMLSRWSSCL WQDTGIHRSYSILHCL EPUS_04146 MAEPEVEISASAEPDVEMAVAGAEEEAVDGENGDIGGPFGEDDA ERSNPQTVFLEYLRSPIVELMVGSGDEATLLTAHQALVTQSPYFAEKLADHSEGTRRL DLPKESLDAVGCFLQYQYTGEYFPRRVASNPDGLEADPSVPPVDDTGAQLLKHARVYT LAEKLGMPELKTLAHSKIHRINSTAVGEIAYARYVYGNTPSTDDTIRKPVAAFWATRS HVLRHEAEQEFRQMCLEFPQFGFDVLSLVLDQREKRSAARDDETPMKGSSRKRMRPSV QI EPUS_04147 MKSFITLAALFGILSFTSASAIAEEPTSTLVAAPEEARSINSAA APIISVLVGPNQQLIFDPPYINHVGQGQRIHFDFRAINHTFTESSFEKPCTKIPGAQF DTNFGNFNPDDIPNFKPFDITLESDKPRFFYCKQANRTPNSHCGKGMVFAINVDAWTF EQFQRNAAVDGLPKIKGRAPVVEEDEE EPUS_04148 MSRGPMYLKMAAVGVICCLGGPALMYYVTPSEGELFNRFSPDLQ ASNLANRARRQRDYEDFVGKLKEYSKSDKPIWEAAAEAQRKEREELIRRTGMEEAEKE KMREELRREAVGR EPUS_04149 MQVVSAIDLDLSSTDSIKAAAKTAAQGMVQYYTGDRPGDVPGNL PQPYYWWEAGAMFAALVDYWYFTGDDTYNAITTQALLFQVGPNNDYMPPNQTKTEGND DQAFWGMAALSAAENRYPNPPPDEPQWLALAQAVFNSQAIRWDNTTCNGGLKWQIFAF NNGYTYKNTISNGCFFNMAARLAVYTGNTTYAEWANRMYDWVSAVGLLSPTYQFFDGT DDTLNCSQLNHIQWSYNTGVFMLGAANMYNFTNGEAIWEERLRGIITGAGVFFLNNVM YEVACEPNGKCNVDQRSFKAYLARWMAATMVRAPFTRELLLPLLQTSARAAAQSCTGG SDGQQCGLQWTTGSFDGSVGVGEQMSAMEVIQANLWDQVPGPVTAERGGISVGDPSAG TGGDQSPGGLDPDDVTTGDRVGAGFLTTMVLIGVIGGAWWMVA EPUS_04150 MADREPAYDPWPAGGSAEDRHQAKMDRINKDMDAAKAAMNDNIR LANTRGENLDTLRDKTDHLQNQSQSFRKGANRVRKQMWWKDMKMRICIIVGIIILLIV IIVPSERDQIGQAYRYNCSCRMSVSSMIAVRPASQAASQAVRCLVRSCASPALRQTCK LSQRSSLLYLAHRDYSSPSEHHLSTRSTVVQLLSNIGSKREVQQYLSHFSSVSSQQFA VIKVGGAIITEHLQTLSSALAFLNHVGLYPVVVHGAGPQLNKLLEDSGVKPQFEDGIR ITDPKTLGVARALFLEENLKLVEELERLGVRARPITSGVFSADYLDKEKYNLVGKINK VDNRPIEAAIQAGCLPILTSMAETSAGQVLNVNADVAAGELARSIQPLKIVYLSEKGG LFNGDTKEKISAINLDEEYDHLMTQWWVRHGTRLKIKEMKELLTDLPRSSSVAIIHPA DLQKELFTDTGAGTLIRRGNKVHVNTSLSEFEDLEKLKEVLVRDREGLDSKAVVDRYL KSLEDRDFRAYFDEPMEALAIVLPPQQNASIAHLATFTITKAGWLTNVADNVFASIKK DYPMLMWTVKEDDENLTWFFDKADGSLSKSGEVLFWYGIEKSDDVKELMLEFTKHGRK MFGDINLESRLHRAFRTVSNVMASATNVQQARAYSSAASPLHSYRLIRRQLAQTSPGR SYATTTNPNPPLGDKNNSNSRPAKVALIGARGYTGQALIDLLNHHPNMDLQHVSSREL AGQKLKGYEKKEITYENLSAEDVRRMAEDGQIDCWVMALPNGVCKPFVDAINSGSKNS LVIDLSADYRFDSEWTYGLPELIDRSRIAKATRISNPGCYATAAQLGIAPLIPYLGGQ PTVFGVSGYSGAGTRPSPKNDVQNLTNNIIPYSLTDHIHEKEISAQLQEDIAFVPHVA VWFQGIHHTISIPLKDEMSSRDIRTLYQDRYAGEKLVRIIGEPPLVKNIAGRHGIEIG GFAVHSSGKRVVICATIDNLLKGAATQCLQNMNLALGYSEYEGIPLER EPUS_04151 MFYSHAILTSREHGVATVWLVATLGSRSSLKTVTRKKILDVDVN KACDTIQNPDAPMALRLQGNLLYGVARVYSQQCGYVLADTQAFRDKVRGVSMVIKELS LDPEVGRAKPDQLNLPDDPAFMPELNLDFDLSGFDMPLETSRHSSLMSPPSLISSRSS HLADEEHGSEEPALELPSGDISLGARVGGFDLDLTAGLSSVGKSESRATIPSILEEDS GILNVGWEFDEHGNMIETADASKSDAVVASGGDIPVSRVGTDSAISARVRQEHAEGRL ATEKVRLPSIPQPTATGIGQNLGFDDGILAFGEDEHVLPEAQRVSPRQLVGQVETTRS PTTASPVQPVEEELSSTALSAPHKRARAPKTLGSDERPGLTNDELRQWNENYLDNMRQ VVDAKHPYKLVHQAKKNAEYWVTGQGIGRVGCGLGQDHAPGPLQMFSGLTLLAALTGR DMSTAGTKHARSPSTTNSAEEEEERRVRAREEEGERVGRGLGDQDLSLAGHDDEIFVG GDEMDVEVGRAAQEELPERRSLQMPWDSFSASRQGSIHPFGSAAGGMTSSVGAPGRGF ELGPPSALSRRVSRLTTASPLQGHGLPVPLSQRLSIISTPDRERLVTSSALVGDDNEM LGGELLPSGDENEDFQLYGPAAAVDTQTAAQSQWVAAALDSESRNFLDFLDAQIQARE PTAEKEQDEEGEKLRRSATFEGLLPPTEHTRVVAAQAFLHVLTLASKNLVNVRQAKGF GDIEIAITVEV EPUS_04152 MTHNEYNQRMPPQPSRGQYQGQPQLNDWSNDSGQYYEAGYQQEG QSYGDWDYSQPAGDQYEQYARRNQHGEDAYLQPQQGYSQTYGQPYDQPQSHQQYQYDP RYRSQGQPLNGQTERRQDTRERQGKEKQRPPQMDLKAKSKSRERILPATVSPVVVAWD NPFPVFNPKKKDDKKKHSSLDKDMSKMKMTDQLDTRPQTSQSHRKGDNPRPHTSQGHR RPEPQLNSPGFSSPHAMSPIDRSAELRNIATSNQRNFSEGQRPVRPPLNPLGSDRSIA SFQGEPAPRLQQSQRSMTLPDNAAGGMTGRKLQRNPLSQNSRKLSGNKSGPPEPYNYV PAPISPVPGPAITQQPRENSMSSARNALTSPEMPNFDAISPSANERTEDPLHVQTENT KPAYQKATYQAPVSGAGLPRSQSQPDFQLDQGDRPSFAGFTFDLPTDSRNTQYTNQQQ FQASYKQASSQSYKAPSPMAGPYSAPQSRLIDDQHPNKQGYEQTQSVSNAERYGGAIT QQAQYRSTSDTQQYPSRSQSRSANRDPAYDYGMEEYKGGSSPQRGYPGEVPGRFNQRP SQDTQQFYREQRQYPAQIDTRQDPFNALQQQRSQSPNSAHPPPSRQYDTRKNSASTNP DALPAHPVPVRPGLLQEASVASPATIQGHPNPYLPPPKNSDTMATTLQEEKPPAVTAY DLNLLLQTIKNNPNDHKAALTLAKKLVEAASTISNEGGKADAKTAQKNKERYIFDAHK YLKKLVHQGYPDAMFYLAECYGQGSLGLQVDPKEAFRLYTSAAKAGHAQAAYRVAVCC EMGNEEGGGTRRDPIKAMHWYKRAASMGDTPATYKFGIILLKGLLGQPRNPREAVSWL ERAAKQADKENPHALHELGLLYENASPSDSIVKDENYARQLFTQAAELGYKFSQFRLG SAYEYGMLGCQIDPRQSIGWYTRAAAQGEHQSELALSGWYLTGSEGILQQSDTEAYLW ARKAANSRLAKAEYAMGYFTEVGIGCTANLDEAKKWYWRAASQNFPKARQRLEDLKRG GAKMKRSEKGQRQRKSAGGALSLNTQKVNRKMPSSKGTPTDPELREEVKEEVKAEEKG GGAGSWSAWKAGEMARRYEARGGDYEDTGDNKNKAQKGAPEKK EPUS_04153 MPSSSPLRLLFTRTHQFTTFRAPFLLRQQRNQIFRRYQQTVAGT PTVEGAPGAKQSILQRLWTSEVGLKTVHFWAPVMKWAILLAGVSDFFRPADKLSLTQN LALTATGSIWTRWCFIIKPKNYLLAAVNFCVAIVASVQVSRILAYRASVKGSKAGALE ESKEEIRSAAKGVKEDVKKVVP EPUS_04154 MTAQKTPIYFLSHGGPQTCHTPTHPVYPQLQSIGREISNTSAKP VAIVVFSAHWQSSSGPNTIEVNTSDQPLPLIYDFYGFPDHYYKTKFPYRASGKVSQRV MNVLNEGGVKAVGVERGLDHGVWVPFKVVFEGLEKEMPPIVQVSLFGAEDAEEHLRLG RVVARLREENILIVVSGMAVHNLRDMWVTIKDPKPLSYTASFDEALREAVESEPGSDR DAKMKELVKRTDVKRAHPTLEHLLPIHVGVGAAGSDRGKRLWTMGEGSLSWAQFRFGE VRSG EPUS_04155 MATITGVTGNQYIRGTEEYEDRKYQYATSSYEKERRMDPQLIIY PHNKNDIALALKYANSKKIAVAIRTGGHQYSGASSTHGANIQLDLNKTFRADEDRRFF EKDGQAFVRTSVSWSLGSFNAYLKKHEVFVPHGQCVHVHLGGHVQTGGYGQLGRSFGL FGDHVVSLEVVDHAGNFREVTKKSDPDLFYAILGGSPGNLCVITHFTITVHRDRDYQG SRGVKSIYWYNRKTLENLLDILVEMSDNENFPRNYDYCVSVLSSSCKLLDVFPEIDGK MREEHPELYGDDDIPFWPRMIVVYAQWVPFSKTDVPDMRWFDRIRKDSLFHLKSREKS MSELTGDWIFRNVREFDHPYIKRTHVTNSRTLGADGWAKWVAGRIDAIVKPDDNECWL SAQLQCFGGRNSKFFTNRDNGTAFSWRDSSVCCTLDCFYEGDKAKKTAEEWHRINDTE GIGPNGKFSKQDKRVLWGSYGSLDLDASWSHYYEDRDKYERLRKARRLADPNGVLTPN TFSVGR EPUS_04156 MANISLYLVTFNCARTLVQAHQFGPHILDAFPQDVPTPDILVIS LQEIAPIAYSFLGGSFLTPYFDAIREAVKLAAKGHAHVISRNLGMTAIMIFAKDPTNI SSIQTAGVGVGVQELGNKGAVGIRLVYSETQLTFVAAHIAPMENAMLRRNEDWRNIVK RLVFTNNVRATRDEQDEDAPLLQGLPHGDGSELGMYSPRSHLFVAGDLNYRVSETKPK ESDFEKFPQPTDEADNQNHFMMLLENDQLLQQRKLGNTLHGLSEAEIKFPPTYKLLAS NGSSDWNWARHRWPSWCDRILYLESPVWMQKKIRVHKYDVLPQLETSDHRPVGLSLSV PLGAIPKPPNQEDDIRLHPPFDIDPDWKSRRAIARKKEIAVGIVAYLILTWEGNTIVL ATILGSIGGWLIIQSLLIV EPUS_04157 MGMVNVVKTLSQSLGPVATGALAGKGDFWVAFVVAGALKLAYDV LMLALFSSYRTQEERAEGRVASVLREEREQGADEDRMAA EPUS_04158 MTEQLLNPVERVSNQPENPFAKLIPGQQIAIVPSFTLESGVTLH NVPVSYTTRGRLSPDGDNALVICHALSGSADVADWWGPLMGGSGQAFNATRFFIVCLN SLGSPYGSASPVTSKDGDISKGRYGPEFPLTTIRDDVNIHKIVLDDLGVRQIAAVVGG SMGGMLCLEWAYFGKKYIRSIVPIATSARHSAWGISWGEAQRQSIYSDPKYEDGYYPF DDPPATGLGAARMSALLTYRSRDSFEARFGRNTPDTSKRQSISGTENCPSTPPNDHWS IHNDGHKSTRASQPPSTNGTPAITPRDSYLNSSSTNPSSHPAAVFTDPQFNGTTEFAV PALTRKSSQPTNHYFSAQSYLRYQGTKFVGRFDSNCYIAITRKLDTHDVSRGRAPTVR EALQQIEQPALILGIESDGLFTFAEQQELADGIPDSRLGKIDSPEGHDAFLLQFEQVN RYLLNFFREVLPEMMERPGINEMANGSGVEADGVGVLTKSSTFGEAEVEDLTAW EPUS_04159 MADSAIDHGRRPALIPKDAVLGADDIWSICTRTGHAILPPPEPS STQQAQSSQEDFIKAGLDAANRGTKRKASTSNDCELRRLQALPMFESQHQQELVTKRS EPUS_04160 MPSKEAIQKLIAARGAGIRAASEKRDVDDLMSWYSKDAVFTNVF NNYTLEGIDAVRGMYAHVYKAIPSFKISDGGATGFTPEFVAAEMTCEGTAAVDLPQMG WKAGETMIMTGVSLFWFRWEGEGDEWDGSLSDEDVHGWKIIRERAYFQFTKQASE EPUS_04161 MSADSDGVEPTSAPSINTKGLSYAFPDGSSGLKDVVLDLPPGSR TLLIGANGAGKTTLLRLLSGKRLAPTGTVSIGGVDPFKEGLQGRRHYPDRKDELVAIL DIDLRWRMHAVSDGERRRVQLAMGLLRPWTVLLLDEITVDLDLLSRSAFLAWLKRETE GRACTIVYATHILDNLAQWPTHLVHMHLGRVKEWGSMERWDLAKIKSSGNSQLGDLVL HWLKEDLEERGPRHGQSEGKTYESMDGKGGYGQETRLEKQA EPUS_04162 MIPPSFDYISAGGNRHPSAADWDQWSGVLAFGADQNVAFWNPLG ADGRGIYAILVGHEDQVNAIKFAQQMSDETRRLLSGSADGELCVWQSNSHGNLPDQWV LLSKLKAHKGAINTIATLPGSQLFATGGSDSTIKIWSLPAGDTSAALVHTIELKPSYI PLTVAIGDLMVEDQTDSVFVAVGGTRPSIQVYTVSGLAAGKATHCLQVTISGHESWVT SLALKSIREHGRSPDEALWLASASQDKSIRLWLISRDRHDNHGCPPKDELLLEQSLAA KVHTIRAASVTLSITFEALLLGHEDWIYTAFWNPQPGTRQLLTASADNSLIVWEPEPL SGIWLSSTRLGEISGQKGATSATGSTGGFWIGLWSPNADAVACLGKTGSWRLWKHDTN RSYWVQKAGLSGHTKIVTDLSWTRKGEYLLSTSSDQTTRLHAEWQSSDSRSWHEFARP QIHGYDLNCIQSLSTTGFVSGADEKLLRVFKQPKNVANMLKRLCGIASSEEADVMPEA ADMPVLGLSNKATPQNIGDGADFDVDDNVAGPTPSAVYDSEEPPTEDHLARHTLWPEQ EKLYGHGHEISALAANHPGSFIATACKASSTEHAVIRMYDTKDWHEIRPPLAAHSLTI TGLAFEMNGDQLLSVGRDRQWAVFVPTTPRGGLATNGHASPNYALSAANPKGHTRMIL DAAWCVTSTWPVFATAGRDKTVKIWASLDDKNQEFTCRTNIVRDAAVTAIDFYNNYAE NLACLAVGEETGQLSYHVIPVDPYRSSDGKPIEPLRSVEIAKRLCPSKAIMRLAWRPC DLGRLGNGAPSQLAVASADSSLRILSIDWEDRREHEHTYEEHIDD EPUS_04163 MDTLLTAEVAANSPRFRRKSSTFVDAIHDLPEKMEMAPAQLYST ESGRLFHSGRIAIATVGLPARGKTHVSVALARYLRWLGVKTRIFHLGDYRRATVGPGK DVPDDYFFVNASASSVLLRQKILKKCREDIYHFLNHENGQIAIYDAVNPLSAGRRSLA KEFAKHDIETLFIESSCDDERIIEENVRSVKISSPDYVGWDPADAVKHYLARMSAKIP HFETMEEPDLNFIKMINAGERLVVNNCSFGYLSHRIVFYLLNLHIKSRHTYFVRAGTT REEDSYKADAHLSPQGEDYAMKMSQTLLKHREEERAALIEKGGADTPLKPLTIWTSTR RRTVETASWLKLQGYKVRQRSQMSQLNPGVCEKMSETRIRLEYPDEIRKHEADPYHHR YPRAESYHDVAVRLEPIILELEREQNDLLIIAHESVLRVLYGYLMACNSTDIPFLSFP RNEIIEIIPASYNNEAKRIKIPDLPPEMIPASPEDIKIPVPPSGIITPMPGGIGSPQI AATMPETGSGTKTPENNDELAIRIHDVV EPUS_04164 MVEAKAGSLSFLTNNAIAATIADSYAVFSERRKALKLTNPGTVD NIAREIQKDVLCTNFMFQGLRADFQKVFSLAPLFRIQHGFQMGPNVPSPYNLMALYGT PSVLLQAGLSNDGSAQCFANYRWSPKLISKSQAQISEGQALVQLDSEYTGDDFSASIK AMNPSILDGSLTGIFIGNYLQTITPRVSLGAEGVWQRQALNARPETAISYCGRYKGDD WIASAQYMAQGILNISYWRQLTAQVEAGVDTQLQFAPGLGGNGGMLSSIRREGTTTIG AKYDFRASTFRAQVDSTGKVGCYLEKRVAPVVTMTFAGEIDQVKQTSKIGLAVSIEAA PEELMEASEKVEAPNPPY EPUS_04165 MVIAKLPPPSVLDSENDSESESLDITKTPKKIYEIAQFAEQIQT DIEFDSKSELLVHKFIKGAMARVQSGAQAEADLEHTQLAEAARAARAKATRRTVQKGG IITVEKAKERIRLRTRREQESWEKKEYSRRQCPKRERPKFVRFYRAISAIARLRIASM NPEDQLEYQLSDEGGQ EPUS_04166 MSQNRPGVFSSLRMGAEVIRERVQDGLTGETKDMQYTQCKIVGN GSFGVVFQTKLSPSGEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVELKAFYYSNGER KDEVYLNLVLEFVPETVYRASRYFNKMKTTMPILEVKLYIYQLFRSLAYIHSQGICHR DIKPQNLLLDPGSGVLKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKI DVWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTRDQIRTMNPNYMEHKFPQI KPHPFNKVFRKASPDAIDLISALLEYTPTQRLSAIEAMCQPFFDELRDPNTRLPDSRH SNSASKELPNLFDFSRHELSIAPFLNHKLVPPHARPALAARGLDIDNFTPMNKDEMIA RLD EPUS_04168 MTGDYALSQSSIESIAGFTAGTVSTLVVHPLDIIKTRLQINTNP RRVAQFGPRPALTSLPSLRILHTLITAEGPSPLRSLYRGLTPNLVGNSLGWSPYFLWY SQAQDVVRHLRHYRKDSQLSSLDYLTASLASGVLYAALTNPIWVIKTRMLSTSAHHTG AYPSMLFGLRAIARGEGWRGYFRGLIPTMAGVSHSAVYFVAYEKLKAERAAQLSSNIT SNDPSTNPHPATSKQQQQQQQQLSNADYLLISGLSKIFAGTLTYPHQVVRARMQTYAS SATPPNPIDRMTAQQLANNASQGLRATLRSVWRQDGIRGFYRGLGPNLLRVVPSTCVT FLVYENVKWALPRKEVEVAEKAVEAL EPUS_04169 MKWLPPFTNALAYLGISDNGTSDLTNVDTVTFRQNDYGLKEVAQ GVRPNVDIVAVHGLDGHWKKTWTTESDVFWLQDLLPRVIPNARILSYGYDSRTHGSSP VSEQYIWQHATALVSDLTLLREETHTEQRPIIFLAHSLGGLVLKNALIHADSTRTGHL LSHHAIKSSTYGLNFIGTPHQGGNGVSLGKILVNVGSALMYTTQNAVKHLADNSEYLQ KQQSDYLAISADFDTVCFYETYQMALPGYGHLLVVPRHSAVIQGQRNVEEIPLNADHK SMTKFESSEDENFKRVSRIVKRMVNKAAERDARQQEDPGPLREQYVVPLYLPRALRTP HFTGRDSLLLQLHVTLTQETGSVASPALVLSGPAGIGKTQTALEYIYRYKKNFEPIIW IDGTNADSIRRSFHGFAHHLWKHLEDCRLNTSTIYNRLKPLFAEGQTIDHRRTQKHAA PRSTQQILMSDFDKFDEVPEPHDSPRVQWTMAVEIVRDWLNKPQNSHWLLVFDNLDDI ESFDIREFFPYASQGNIIITTRIREAARYGRGVVIEELSQNDAVKLLLKSSYLGPDLD ERESEAALHLLEKLGYLPLAIEQAGAYIYASSVSIAKYLELYERDAQQLFDKTPAVWY YRNDTALTTWEISFAALEKRDTLAAEILQLCSFLGRNHISIELFRLSALFAFEDSSFD KAVINLQSLFLVRVEESFNHFSMHPMTHLWIQKRLNKSSQIALLKKALLVFLNGRGNP SFLENDAYLSYHLDHLVQNFQSYFLKEPSNLKIVLDIPQKTLYQRNAITTTALGTYMW LSGLLRNLGIFLRRGFLKSDYPAPAWRNLYELRYVYRNQRFYDKEEALCQIALSRAWM ELPKLHPLSLPIVGDFAFSIYQQGRLSEAMGWYQWALWARTMVLGKYHPATTGAVYGI GLVLEAQGRHEEALDKFVNAYQGRERRLGPFHWMTGLVLNDLVEVLDKTEHVDSGHWR EKLVEWTLRQPDSSMTDRYLAGIGTIRAWTQLASCDNVMLWTNKTLNLMEDRTSTFKD LQAVRSDLLDVLIDGSWVCSQSGNFEGALSVYTQALSAVEKWCNCAVGDHWTIGSDMD GCGFFCSNIFSFIGHTYLQGKGDYEQSLFWNLRAVKIKEMSSGSLSDCSWRVQIFHQI STAHGKLGQYQEALEIDAKCYMLQQYVCTYGRSECDNSWDLNESYADIAYDLSCLRRH REAVDIWQSALLLERLSDGECSSDVIRTLENLANSYAELGDYDRAREHFRKAVVCHIS NLGPENKEVYGNLHRLGRVEIMAGDYQSGLALLAYVTRKYEEAYSHEVQSLGEEHEEA LQTLHDIGITLYDQDRYDEALEWYHRALTGRKKSLGEEHKDTLYTMYNIGLILQIQQR YDEALEWYHQFLTGSEKSLCEEHEDILDTVYAIGGILHDQERYDEALEWYHRALAGRK MSLGELHKDTLRIMHDIGRILHDQERYDEALEWYHRALAGRKMSLGELHKDTLKTMHE MDRTLTIKRSKEI EPUS_04170 MDIVLEVFDTYVADYCYAKALPSSGASIFTQSVKHVASSTFSSL REGATPSPDYSYRSSTSFFSLEPSQYAYQSAWPRDSIYRQALSLYIITWLFGLVLYFI CATASYYFIFDHATFTHPKYLKNQVRLEIEQTMASMPIMSILTTPFFLAEVRGYAKLY DSPSSAPFAQYNYLQFPLFILFTDFCIYWIHRTLHHPMIYKRLHKPHHKWIMPTPFAS HAFHPVDGFTQSLPYHIYPFLFPLQKLAYIALFIFINFWTIFIHDGEYVANSPVLNGA ACHSMHHLYFNYNYGQFTTLWDRLGGSYRKPNDELFQRETKMGKEEWERQAREMERIV KQVEGDDERTYVEEDEMTLLQKKTL EPUS_04171 MYGTQHRGLPPNRLNELLDQVRQEFDGQSRSSEHYENQVNGQIQ EMEMIRNKLYQLEQTQIKMKSEYDAEVKALRQELDNARAGGMPSHMGNQPQYGSASQP QPPALGHGPSNLFSGIMANSGQGGPGLAPPPSQDQQPPPQQHPLQPPIPGPQPAGPPP AQQPGPFQGYQAGPPAVNGYGPQPPQPTSSPGMNKNRPSNRGPPGPATPQQGQLSYPD PRASPQIGRSAGAGPNPHPPFHGGYGNQLADIDPDTAPDHLKRRGTEWFAVFNPDVPR VLDVNLVHNLVHESVVCCVRFSIDGRYVATGCNRSAQIFDVSTGQQVSVLQDETVDKD GDLYIRSVCFSPDGKLLATGAEDKLIRVWDIQSKKIRNIFGGHEQDIYSLDFARNGRH IASGSGDKTVRLWDIDVSQQVLTLSIEDGVTTVAISPDGRYVAAGSLDRSVRVWDSTS GYLVERLEGGEGHKDSVYSVAFSPDGHQLVSGSLDKTIKMWELTPQKGMLPGSGPKGG KCIRTFEGHKDYVLSVCLTPHGEWVMSGSKDRGVQFWDPQTGIAQMMLQGHKNSVISV APCPTGSLFATGSGDMRARIWSYGPFAGERR EPUS_04172 MASTSPDAASDLAPPFAPFFGMAGVAFAMIFGSLGAAFGTAKSG IGIAGVGTFRPDLIMKA EPUS_04173 MADYTTQEGHNYGPRTSSYGHASNFQRDAAFQQIFGGAPPPGRS QTMTSEPQSMSQERAHSITSQSAQGMLPRGPPPVRHMQNGYDRRVPSGAGPVEPQVNG YPQYEGHATGPGQQGPPLPSFPDRRPYPQPQRIDSRPGLPMPPYPSKPIPNRVPAAAL NSDAFRSRSMARLGGPPLYGPPPGSFQQGSASSFRQQPYNNAGPRITSQGRQIPERLD ERAMTMSSYMGDRSDQSQLTTGRVVPGRRRESSSGPSSFDLSTTSGSPVSFNTSNSSP TPFSPSQKPRRPSDASLPGRNFSSASSVTTLVNDRADSMQSASQLQCTPSAATSMTLT PRRTPMVYPALLSRVADVFQANIGVGEKTKNDLSYKNAFNGAEAVDLIAYIIRTTDRN LALLLGRALDAQKFFHDVTYDHRLRDASGEVYQFRETMGEEATSKEVNGVFTLLTECY SPTCTRDHLCYSIACPRRLEQQARLNLKPEPGLRHQSSKGSLHGDDDDEQKLWINSVP KEIAASTDEREKKRQEVISEIMYTERDFVKDLEYLRDFWMRPLRAANPNQSSPIPEHR REKFIRTVFSNCLEILAVNSKFAEALSARQRENPVVRNIGDIFLQWVPRFGPFIKYGA NQMYGKFEFEKEKSQNQAFSRFVDETERMKESRKLELNGYLTKPTTRLARYPLLLDNV LKYTKDDNPDKQDIPVAIALIKDFLTRVNAESGKAENHFNLLTLNGQLKFNPGDYVDL KLTEENRQILTKMSFKKSPSDTAEVTAYLFDHAVLLLRIKTVSKREEYRVYRKPIPLE LLMIAEMDQVIPKIGNLKRPSSSLIPGTKSSTSAQPGDKQAFPITFKHLGKGGYELPL YASSVTQRKKFIEKVEEQQSKLRERNSNFYTKTILCEGFFNATNRVNCLVPADGGRKL VYGTDSGIYLSDRWPKEKSARPKRILDANQVTQIDTLEEYQLLLVLSNKTLTSYPLEA LDLNEQNPLARRPRKIQGHANFFKAGIGLGRHLVCSVKTSALSTTIKVYEPMDYLSKG KKKPAISKMFQSGQDALKPFKEFYIPAESSSVHYLRSTLCVGCAKGFEVVSLETTERQ SLLDQADTSLDFVARRENVRPIHIERLNGEFLLNYSDFSFFVNRNGWRARPDWKIQWE GTPQAFALSYPYILAFEPSFIEIRHIETSELIHVMTGKNIRMLHSSTREVIPSAPPHF VLILYAYEDEAGEDVIASLDFWSKQAQQ EPUS_04174 MNTRPVIDDSAGPISLSAAFNHDASCFSVGLDTGFCVFNSDPCE LRVSRDFNAGIGVTEMLGRYNFLALVGGGRKPKYSQNKVVIWDDAKQKAVITLDFSTA VLRVRLSKSRIIVILQNSVHVYAFSSPPSKLSVFETADNPLGLCCLGSKLLAFPGRSH GQVQLVEIDSGNVTIIPAHSTPLRAMDLSPDGQLLATASEQGTLVRVFSTSNLTSDKS TLHIFDLPPTPSSTARQTPWSPSSRSASPAASPVDDASATNQKWGFLSRLPLLPRVFS DIYSFTSAHFEIGDDYQGGNGSLGYLPALGSLGVRPHKGLIGWVDEQTILVIGAGRDG RWERFKIAEDQEGKRGVRRDGWKRYLGG EPUS_04175 MSSQMSAIKIRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGK RVLHAKDADDAANAHLEEGVRIKPITVELELDEEGTRISLTIVDTPGFGDQIDNEASF GEIVGYLERQYDDILAEESRIKRNPRFRDNRVHALLYFITPTGHGLRELDIELMRRLS PRVNVIPVIGKADSLTPAELAESKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEENAE LRGLMPFAIVGSEDVLEIGGRRVRARQYPWGVVEVDNPRHSDFLAIRSALLHSHLADL KEITHDFLYENYRTEKLSKSVDGGTAHNQDSSMNPEDLASQSVRLKEEQLRREEEKLR EIEVKVQREINEKRQELLARESQLREIEARMQREQSHGEDVNGEVAA EPUS_04176 MRPFSRFRAWHLPSGFTSRRGLLTQARAKGPSEPPLLEDTIGGH FGKIVSQHGDRNAVISRHQRQRLTYHDLDLKSNSLARGLQETGVKKGDRVAVSLGNNI EYSVATYALFKLGAILVPLNPAFNAAQVISALSHLAASHLIISTETNLPFKTPRSNVP LFEQLTPNLARQNLQSPSVPSLKHLILVDNSSGRVDPDPFRCSTSFPDLLNGPSSSAL PPQHLSNTDVVNIQFTSGTTSAPKAACLSHRSILNNGHQIGSRMRLTARDIVCCPPPL FHCFGCILGYMATATHGSAIVFPAEAFDPKASLLAVQEEKATALYGVPTMFLAELDLL ANGTVRNEGFGHLRTGIAAGSSIPAEIMRKLHQTLNLTELTICYGMTETSPVSCMTAT DDPMDKRINSVGRLMPHVEAKIVDAFDGKRILGIAERGELAVSGYLVMKGYWGQPERS AEVMRTDEEGKLWMHTGDEAAMDEEGFVSITGRIKDLIIRGGENIHPLEVENCLFAHP GIKEVSVVGLPDEKYGEVVAAFIVPRQGAEKTSREEIRNWVRERLSHHLVPSHVFHVE GYPKTASGKIQKFKLKEMGIELLKGA EPUS_04177 MNSIRQTQALNKRELEAAVPPSASWHADYRDTAYIYIGGFPFDL SEGDLLTIFSQYGNPTHLNLVRDRESGKSKGFAFLKYEDQRSCDLAVDNLGGAQVLGR LLRVDHTRYKKKEGEDEETWRVERWEGEGDGNGDVVNGNGKRSSSGEEMEDDDGEKKK KRRKRGMIKEERELEELLRIKEGEEEDPMRQYLIEEKKQEIEKALARIEKKERRHHTE MIWTRDGPIGTAIVRIKTGITEAEERIPMRKIDTPAINSGDMFPMVNPRRREVQARDA DLETGRIRGREKAGQQRGTAPGAVEGTPSMIHPHARAHVHVHVHVHNPFRKSEGEIAG ETKGMKKRLFQN EPUS_04178 MSTAMQTNPFPLAQSYRGGSTTTTSRKPGTKDTTAKGIWLSMLD EVSRGKDLAEKQLIILGGTPDQQRAFLSTLQPPSEASNNNTTRHHRRTNNHPPNRTTQ KPIPISNRFTLGYTYHDVLDTDGEDILARLSIHMLASPSAAFAPLLKPLFTTKTVPNT LVTILLDWEDPFKWPRQLRQWIRMLRRVVEGLDHEVREAMEETMKGWKERRIGAGIMG GEAKTVDAPLGPGEWEDELGVPLSVVCLNAEKQERMEREYGWQEGDFDFLLQWTRCVL LKRESRYSQVRQDKTSAETGTNADGASLTYTTSFDSNNVRTLIHSSLGIQSLLKREII KHNVIDRDKILIPPNWDSWGKIRILREGFEMETVANAWSVEIQSPPEAKFDPSATKTP TTNGGAKSAQTEDSPSLSTSEAASEVSISLFTSSLPNPLANSKPFVPSTSTSDKVTVP DTQTFLAAQAQILERYRQEDEHSERERQRRGPSESELLEQRMERKTAAAHALLDEVAR HQQPYKINVGGVQMDAEEVTRRIREREADRDRDSTRTPTRKGSAGGSGSGVATPDGVK VDNESLNNYFQGLLKKAKESSGGQSQGQSPRGGSSLPGDR EPUS_04179 MDKDLLQFQQCQDQDPRDRIAHHSVTFSGVDGYGGHFMKERHKS QQYQLRAFSLLTNSHFIQGHKTHYNPTDIRAQDQAYLAVVPKAFDFGSHALAAAIKKV GALFCYQDGSKQQGTFAPPAVPSQVNYSRVVQWLEYCRSHHANRCTSEQPVLAGLKLL DCSSFLVIAAPILFRYVALSYVWGGSKGGSCNGVTIFTGGAKVLRSCLPNVVLDAIQV TKALGLRYLWVDKLCIDQDNPTLKHDQISQMDKIYRGAEVTIIAAAGEDDNYGLPGVG KRRRRAQPVAKIGNIRLVSSMAHPHHTITSSRWWTRAWTYQEAVLSQRRLVFTEDQTY FECNRMNCSESFQGDLDVFHVTARSLFWGVHHPGIFSGEEGTSNLSSRLRYFDLASQY TAKELSFEEDSLKAFAGIMRHLRSSWYPICQVWGVPYEHNGYKSIRRGLLWCHKQHCW STLAKPHRRSDFPSWSWAGWAGEIDFMVSDWFSVGSNSASVFLEVEVGCLVELNYLPL NSAEDAFQFSYPLALHLDAWALPSSMLKVQDPERPSACTIAGFDATLSLSQGPGDPKI VSKMLCEGKWELLWLLTQSSAAYFLVIENHTDFACRVGTVDAKIPPWPCHLLRHSPLG KRRKVCLK EPUS_04180 MDLEEQIHESNGDGVTPVTLPLDNPGETERRDVAHEASSFTQPR RVDTEQRIDGLDQATSPATQQNNMGDERQVLRGSARTTAISPVEVQDIK EPUS_04181 MALLPSGRPSWRSSFLPTTAYRYRPLEQDHIRLLRLLPPASGEQ PVDRSTIQCELFHVPLAQAGEYEALSYCWGPSERTETVRIEATGLQLPPSVLRVTNSL YVALRNLRWPSETRVLWIDQLSIDQDDTAEKSAQIRRMGEIYSKARCTVVWLGPRGYD AELLEDMYRRLSILQSNTQDSGGVLMLDHDILARMIGTSHEEEKHNEMVQRRRLLLEK FLDLPWFRRAWVYQEAVIALRVDMIWGDIVLPLDFVTGLVVSAYSIGKSAEDGRWHKR IKKTRGFAPVRTIYHDRKAHRRRELNFLHVLWHARKHLDTTDPRDYVYAFLAVNKYID SPSEPPQSAISPLQDSITPNYGDPIGTMYTDLALAAIRSTHSLDILQYVVSTKSSPMT CTLPTWVPNWANRQFVCGSPISVPGVPYRQSASREKVCTPPSMNSSPLELPVLGHTAG CISAILRHSFQHTYFAPTLKEAFRLDKLEARLIREAQRLAPEESANHVPGWLPMDSRE TLLCTILANGSFTPLGQMNHSI EPUS_04182 MVGMGQKDSYVGHEAQVKRGILTLRNPVQHTVVTNWDDMEKLWH HTFYNELRVAPEEHPVLMTDAPLTPASNRERMTQIVFEAFNSPAYYVSVAAVLALYAS GLTTGLVIDAGEKTTHVVPVYEGFMLPHAVSRVDLAGRDLTDYLMWILAERGYPFSTT AERMIVQDIKEKLCYTALDFEQEVVTTAGGANEPDVSRRSSIQSNSSGLSGTSMNSAS SDYSAATVTSEVSDHASFNDLYTEVRPIIRFERPTARAGNLEKSYELPDGQVITIGNE RFRAPEALFQPSLVGLESVGLHVAAFSSIVKCDRDIRRELYGNIVLAGGSTMYPGFGD RMQKEMTVLAPGGMRVKIIAPPERKYSVWLGASILASLSSFEQMWVSKQDYDESGPSI VHRKCF EPUS_04183 MAAALQKSADSTDGTAASTSPESSGTSAFHFTSSHRSSSTNETP SPPFIHVEGVPNFRDLGGYPCRPPSSHFPPNKSYVTRPLTLFRSAQLTGITPNGTQTL SQDLKVRRLYDLRSEREVNNQTHNTRPASVEGIERIFVPVFREQDYSPQGLARKYKNY TDPDEDESHGYSAGFVRAYRDIFVNAGPAYKRILEHIRDHDIQDDSGSSRPEPLLFHC AAGKDRTGVFAALVLRLCGVPDEIIAWEYGITKRGLGSWGKTIIAHMMKGGEEGSGVP AMTREEAERAVGSRAKNMIVFLKDVVDKEWGGVEKYMQDLCDLTAEDIETVRRRLVVE GDSPYGDGTGYWKPADTTEGNEKGPLGLAKDDGSGREREQRVMTG EPUS_04184 MPLHHQRQRTYCCNIFIVWYNLLDLRASPLTRKSSDRLPNEPMT ATASEGKLNHSMADNTTVVSGSYELYDPPMKTTPSYMLKHGDGLSNSTSQLRESQSGF RNTHHSGRLTNTMSSGDRQVTTLGETVLSTCSRFITEFDNVDESGIHEMTIEHFLDYV ERQRLTYMPHRGSRWDKVLKWTEYFALQISGYAKAIESFVLDSDIAAKLIWTACRALL ALGPDNAQALEVTFGVFYQLGQSISLLLRHNNVLYANSHGHAEVASLFNELLILVREV SIHYRMALIKNSSAEVSLDFNRLFGHRMKDFSRRKSHIVEMMWGSGEESATKIRTFRK WLSSPDRNLQKFFQSRDFAPSPQEEYTCEWFQSHLLAFSRSKEDVLAISGPAGSGKST LCGWIIERLQRPLGKKTHEVLTCHIEADIHGETTSYAIAKRLVLQLLEKAIGDQHLLR EIEKAYTIAISANVSGLEGAIWKCLDIGLNQFKTTGNVMIVVDGLDNIKGGEQAAKEV ANHLGSLALKHNSVQLIILSRIAVVPTTGRTQKFKINSDYTHEDLRCVIDHALKEIKY FRDQNDHAREGLVEKLLHAANGNFLWAVLTAVSLKQETSRDNFMKAVEAAKQSPKSLD ETIAKLVDKLDLARSEASLLLSWMLVAERPLAIAEVKCLLQTDLQKKLSVERKSDVKY DINVALGPLAVIQHDFLRFRHSAIQSHLAKIQAEGRKLLGYHAAQSDLTMRLLLYCKS NLTDTTELAFAIEDRTEVESLWGKHALLEYAVRNWTLHFRRSSMYQAAGPLPISTEFK ALFPTSPQLAILEWACWGLETSDSDAIQTHELALRVREHVLTEKHESVVQTLIICGTI YRNLSKSNESAACFYRASRLGQLILRKHHPVTVTCTTTFLAITETLTITTRTELVTRK EEMLKFIIDVYRRQYSRTHDLVIRYYKMLAQLYVDIKEEHNAEKVWRELREITVARFG KGSESLQEEVSISEQLTVVLKRRDTKTDVVEYERGIFDIAMELEVWDSKRIKLTIDLA VSYEAREELLMAEELYIMLWRRLTDRCHQFHHHHGAEIHISTIDVVLEYVRFLRRHRR HEEASNVLICIWTEYEGYDFESETIFLRLKMIGELMRTVGLLSVAVNVFKKCWGWFSA HGKHEHTTSCEMQISKTVEEIISTTTTTTTTTTTTTTETVIQEVFESTLSRSTVTSET IIVCKSLISYYMKLEEWAQAIEVTTRSLRLVWRSFISGGGVIALPKDFGAEAMDIALG LALCHHRSHHFHEAEEIYVRVYRACRNSFHISDERMIKCSGDLIGFYEEHRHWRKVIE IYQELLVEYREHLGADHTLTIRTLYVLGSLCADHGHGEAYDYYEEIIATLNRDSHVCH ADALAAMFHICNYHYEAGHWRKLKGVCKILWDTWKDHRHGHAKFTVDFVEVLYFRYRY VLETHDQCDYSVLRELTSEYRTLCIETFGAAVAITIKASIELAQLCMRSEKYIHEAIS IYEEVLSQTKSTKTTTSTVISTTTITSVKERLTKAYTSVCSHSSVSSETIQRAITVIH ERYESLTLTLGWSHTETLTCLRELVLLKMRLKSQESRTAVEQILLEATVEVVKGERKS RALHEAGRILANIYLSCGLVEQGLDVIQEVRLQIITGTGPTQGKLRVKLDKSAGKVAF VFLVTFELVIRQQNSVSYSEIMADYLTEYILYETYTRSIHSKADIETILVHTGRLRAF LVSHSRKAQVKTLEQQSLDLFLKKWPTKARREVILIFHIGLLEEFGKHETRNVSITEA ACISTIAKVTLLIQQDRVQEAYEVALCGTEFINNLRAYHQLQNVPYGFKLSALMARHR AKAPREHLRELNDLVGLLGEQQNFVDLEWILDLLWRSREVQKKWNSEDIVDVGRRLVQ VRFLNNRQSQATRLCEDICYNLRRAWGSLDPKTLEMSVLLSQLYTSQGHYREAQGVHE NILRLVVEGDDDDDRTLDTMESEAVRQHVELLRQSFLRLKGFDKSKNLYRELMDELLK MPAYKNDPEWKKVPHVDQWNIKEAPSETLGKFVPPANWEFATKENPTGNGEVRPAHRR SGMAGKRVTSNWGIGLIDRFLIGGHHREQVSGERNGDGLMSNGAKSQVDVEKSMLLDG KDGGKNEAKEAGWID EPUS_04185 MEDTGGIVTETRETMSATQPRAYQLELFGESMKRNVIVTMDTGS GKTQIFVRMGRIALLVFDEAHHCMGSHDTNRIMQEFYHIGSPTGTVAARPFILGLSAS PITNAKPGALENLEHNLDAICRAPTRHLEELQRFVHQPQMRRLVYRDDPVPPSEALQE LDLLEMNFDIEKDPYVKYLQRDESPQSQRQLEKVRESGSTESRKQLKTLLRRTTEIHQ QIGNWASAYFLQSCAQNVRKKVERCSNMLLSLEMEEEIFLYQHLSSIVRNEDIARDYV TEDFDISPKAVSLLKYLEAEFTEHVRGIIFVKERSTAAILAHLISHHPLTRNYNAAPF VGSSSFARKQSPVDLSDIKNQNIALADFRLGKNNLLVCTSVMEEGVDISSMNLVIRFD EPANFRAFIQSRGRARKVESKFVLMCSEYDPVGSYQKWKALEAEMKEKYMDDVRQIAH RVEDEKMDEEAYDEHLANDITGARLSIEDAKGHLEHFCACLPTVPYADPPRPEYILRC CPAGHFQADVILPHSVNIMPRRIGGRFVWATQKMATKDAALQAYTRLYEAGLINNNLL PTALPDPVVPIPEAELDEEDRVVCVRGRINPWSHFPERRNSPGQQVLTISVQFSGGEQ LPCLHLLVREVFDITMAFTLFWSNEQSINVEIRPERLTEDVLHDQEFQRISHLSTRRL FESIYLGKMQRQSKMPQTFPFLVVPYLEARLLYQWLDDCTGDAPIQNADELRPENGLI RLKSWPKEAHPYMYRSSMVKIREFQWIDDSTRVADPTTEELHFEVKRLPKRLDYLRPA NYKDFNTAVDVVPAAECYIDRFPPTYAMSMLLLPSVLHRFELRAVAVALSRNVLSSIR FQNFELLENAICASAANESINYQRLELIGDTILKFWTSAQLCAQFPGWHEGYLSRGKD RVVSNAHLCRAAKSQGLDEYIHTEPFAGSRWRPPTQELKVHDAAITSRRKISRKVLAD VVEALIGASFLDGKDEQERGFKVKACLTTFLASISWRSTKENATMLNDSVPNAGAAFD NFNSLEEITGYAFQKLVLLVEAFTHPSHPPVGIQGSYQRLEFLGDSILDFIVVDMVAR HSKELPHFTMHLIRAAVVNAHLLAFLCLRAGLDHARTEVTTDASSGSFEIREIKKKTY LWEFMKHSGNLELLEAQKACAKRYEQFNCAIDTALDYGKSHPWYYLLSLNAEKFFSDL IESILGAIFIDSKGNLQPCREFLERIGLLRYLRRIIAEEFDIMHPKERLGIVAGNSKV RYVTVSKIVDAAAHYSCTVFVDDESTATSTGEVCKAAAETRAAQDAVALILKARCNST GKGKDERNV EPUS_04186 MSKRTVYTNITPLPRQVTRQIAVDELHNHGVMIKLNPLVINFER TTPHNNAPADEYHCTWYEITDRVSYLPGVKGLVKYKACFFDRPIGLQTHCYAPAGLDI KAKWSVGGNMPGEPREARELGVDTPRDGLYLREEVDMRCNIMLTGFVKKNLKNAHKIL VDRLLKKVEFVEEVHYQQSVHSPSVRSSITTPPITPATQQPQYLPQRSDGSVIDDAYK YGGRPPSLPLGMQPQHQPQYSPNNDPARYSGPPPHYWQSPDQKGGDWKMAPPSQRSSY LQNPSRPQSETLFAAELPGSSVGMPVELYSPPSSNLGASPSIPHEKEMEQGYRMAGT EPUS_04187 MASNPAVELASTLQFASVKRHPSPHHDVDPSTAASSKVPGTATV HSPSSSLQSATTSSGRIPSDIVDEVAPRPRRSTLPPLPDLRFEQSYLASIKNADTWSR VAYITIRDQVVMPLTQGIVWNLVLFGWRNWNRGAKFSGQTLGSRVRRWWWNVNNWEPP KADLPKETKRTIASEIEDFYVAEFGSALGD EPUS_04188 MKYEDYTVGWICALPTEMAAARGMLDEVHEILPSRPHDSNNYTF GHIGDHNVVIACLPSGVMGKISAAGVVTQLLSTCTGIEFGLMVGIGGGVPSKEHDIRL GDVVVSKPIGTYGGVIQYDFGKTVGEGKFIIMGSLNKPPKTLLTALASLEAKHMAEDH MVAKHLSEMTKKHPKLTTHSTCPDVRYDSLYTAEYDHPKGYETCSQCDANKLVSRGPR ACEGPVIHYGLVASGDQVMRHGGTRERLRQELDVLCFEMEAAGLMDILPCLVIRGICD YADSHKNKRWQPYAAAAASAYAKELLSVIPGKLVIDIQTAAEVKAAAVDSRFQEVEVN ACLTALFLTDPRDDRETITQIKGSRVDGTCEWIKTNTLYDSWLHSHSQLLRLSGGPGK GKTMLSIFLAEELERRVEDSESSLFIQYFCDNRDEKRNTAVAVLRGLIWQLLKRRPQL VIHILPSFRDQDKTQLITSFTSLWQIFEHMVSDPVIGTAYCVLDGLDECDEGSLEVLL KKFSSLFSTKSDQSSTCHLNLIAVSRELPDFIPEILSIFPCIQLDPDADCEINRDIHQ FIKVKVNELSNYRQLPGPLCVHVKKVFLARAKGTFLWVGIVAYELRKYKATEIERALN LFPSGLEELYARMLLQIDVDRQQAAAKILRWVVMAFRPLTLLELSAVIETTVTSMGFS REEVIRDQVAYCGYFLTIKEDRVSLIHQSAKDYLLRQTPDCNPKLEYFRIKEEAANLE IARKCFEYLQGGAFAGVKVNLDQRHTFKDFPLLPYATLHWPDHARRLASSEDIFDLTL PFYAKKSRIRKSWLETYWAAAVGGDLPTSFSLLHLASYFGIIPLVENLLSWRKSWMIR MKHLTFVNKRDSGGSTPLYWAATQGHEAVVRLLLKQEADVNTKGKFGWTVLHNVVMNG DKTMTRLLLENGANVNAKYTGGTALDLAAKNGDKTMIELLLEYKVKVNPLNGHGTPVL FVPIAKGHETVVQLLLKYGAEVNIKDGFGSTALHTAAFAEGFNVVPLPLEWRDVSVKW SARYDAARRRYEAIVRLLLEHGADVHIKNNAGLTALHEAGNGDSQAVAQLLLEHRADV NVKDNKGQTALHMAALGRSWLGAKLLLEYGADVNVKDNKGQTAQDLAAGLWDKEVVQL LEEAAAAAKD EPUS_04189 MSRLRHLLTLLPICLTPVLADYLGPRYPPPADLTSDESLVAASW ANLTSTLQAYLSGIDQSAASSNDALSAIQNVTFSLGMFSIHDSAATEILQFHHTSAEV ANAPNGTNQVDGDTIYRIASVSKLFTVYAGLLGLDSTDWDRPLTEFFPQLMETSNNNN NIVGHVQWDLVTPRALAAQIAGVPRDFLSLGEFLLQPDLNPTALGLPLLDSNDPISSS LPCIGSEDPACAEDPERYIMGVQNRPPTFLPWTSPGYSNNGFSLLGLVLANITGKTID EIYRDSIFDPLDMTNSNSSPPIQSEWFRAVIPGDISYFASDSDMAKSSGGLLSTTQDL AKLGVGILNHTLLPPDETRKWMKPLSHTARLQSSVGAPWEIVRYTYADSGVVTDLYTK GGDSGHYGSFFVLLPDFDAGFSILTASTSAERLTIIGAIGDLVADTILPALMAQAAAE AESNFAGSYTSTVEGLNSSLTLSLNQSQGAPPGLAISSFISNGTDVLLTLPGMRRNLP NRLLPSIADVATGQVAFRAVRGADAPSVQVGPISQFVTADWFSVDGLTYGGLSTELFV FDVDSSGRAVAVNPAAFRVRLERTDG EPUS_04190 MALYPQILPALEIKCGPQNFACSGTGLIKKVYSCFYSNIQAFFP LIEPKRTKAGKIAVRQPHVLRRAREWWKARCAFRGLSQAGTIANMQDRLRGSATGTLM TAELQEVQSRLNSEYLTPNAAAQDAKWLALKRNEARAVMDLR EPUS_04191 MLDKYGMKPNDTILAEEKHMGIYDDLLSNRNAKLIAGGAAQNTA RGAQYMLPPNSVWYVGCVGEDEYAQTLREACKKEGVHTEYMVVTHQPTGKCGVVINGH NRSMCTHLAAANEYKLEHLKSEKIWSMVEKTEVFYVGGYHLTVCVPAIMALAEEALAK NKPFLLGMGAAFIPQFFKDQLAQVMPYCDYVFGNEDEAEVWAKTQGKDTKDLKEIAKM MCEVPKKNKHRPRTVVITHGTQPTITARMNTDMEVEFNEIPVHAISEEEINDTNGAGD AFAGGFTAGVVQGKSLEECVHMGQWLARLGLKELGPS EPUS_04192 MTSALFSNQIAFADKVEKQLLTLVALKEPTCAEIDVLIAKYRTT CETVLFANLAAAAESTESRLWNAHGMINSKYRSRLANFRDKGGKNRSVERRKLEKRYL DFIKSSIIFYRGHIQHLASHFEGPKEVLEVAYKLHIDTLSADPIIVPTQREIQLILES CHATLVRLGDLSRYRETELKTRERNWAPAVGYYDLATAMKPSSGASHNQLAVIARADG SHLRTLYHLYRALSVELPYPRAQKNLEIELKKILDRKNKNQLFLQLPEQYPSIVLQAL FVYLHAKLHAGVHFSEHIELENEVLATLSVELKDRPLNGVLNKMVLSSVAAQHLASLQ AAGPAQRGLKAQALIFFVRLNLKTFLTLLRIFLQELEQVTVDDDNVGDVSLPNVTSGK LSTAARRTLPCLRQYSSWLVSNASHLVALADHKSIGVQIKEFWRFYARGLTLLASTFR KPNFPDLHYLLEEDEDTIAFTPFINPETSRRYYQADGVTRRPRSHDEGVQRPHPSVEM LFRIRGLLEDGISLATKKGNGNGVSVPLMILHDMRFKFTGKELPLQPTYHKSHSHPHF SLIINRGDVVERAKQKSKPVPNSNAHLEDASQSIIPCESVSTTKHPIVDNIVASDVSS HQEPGSAFPRVSHGEPPRTPTANRSSYGDGFYGLPASTSVLIEPHENIRPSLPSIMNS LFSPRPGEASSPQSPPSTAHGHLQTPASSTPNTLQSSNTRFQASLLRQQAELEMQPQS SIYDLSTTPLSQNPSSPGNNPRFYLSRDMSPILSPFASSPSIVPDAAMFPSYDVPRPV PERSRFGAAGQTPPSGQGG EPUS_04193 MRDVEEYAKEKDLIHTLDDVKKGALIAQNPTGFEMLEKLSEDEK ETLRGETMHRWHQPWMIRVCAVLAVVQGMHQTCVNGAQLYYFEDFGLEDERLQGLVNG APYLCCVAIGCWTSAPLNKHFGRRDTIFTAVSVRLIPNGVGPHRFLVASVHRTLLHGI CHWSKVFDYTRLRGRMTNTASFSEPFSRLGVVESPAKALVPIGLARRILNVPRSRPLS PAALLPCCPIALLPLSHLAFLISNPASSPTESQLHLQLPPQSSPHTILRYLIRHSVGV TINSSGNCIPHIAITVNHGGHSQEAQDLRLHQNQDTPLPVSSLLHCQRLFARLEHLQR HIRTHTKEKPFVCDICSKTFARSDLLVRHERLVHPGDETERRSHTQHQTAPPPPPPPP IHQQSSLPDSMDIGPQSSNSMISPPSTHDSRLVDMVDPELTRSPQTSRGQMDTMVSHT QGMFDTPQLDPSWGYDLNLLSHAASHVALTGQTHPEDTSHQPDPPHDPALQLMQAAQA APQYDPKLLGDTYSQAPPVFDAPDLEDPMQDFNVFLDSVGLSSDWHQGIFNSIEPNDS MVSPTMRFNEQLQAPRPNMNGDAMSDQRSTGQPEDSTSFSRFGSRLPSLHLESSQDHE SHLMDDYSNSKVRPVWEVPDADREIFVSKLDAFVDVLPKGFIAPSKHSLARYLAGYIN GFHEHLPFMHIPTLSVASSAPELVLALAAVGAQYRFENRRGIEIFYAARAVVMEQIRR REGCWMPQDPWPRSAPLTQSPGGDYQGLSQSGGPAQYMPVGGPPAPDSSARLELLREA LAFQSILARLVRDDGLTSPDTSSSDSLSWEDWIRLECAKRTKFIVYCFFNLHSIAWNL PPLILNAELKLHLPGPADEWKATNANQWRRLRSQNQAPPIAFPEAFSKLFLKAPSTTG AQISPLGNYVLIHALIQQIFFARQLSLSWPNTMGASLRNEDLAVLEQALSTWKAGWKR TPESSLDPQNPNGPIAFTSTALLGLAYIRLHVDMGPLRHLESRDSLQIAIALRDSPRL QRNPRLIMALLHSAHALSIPVRLGIDFVSRTQTFFWSIQHSLCSLECAFLLSKWLAVV PSNNQAPTSSNEPKLSEHEKKLLLWVRSMLDETEMAIPGHHHPGSSNAGPNNDRLQES TQEFLEDQMKIKQLSVAVVRVWAKTFKGNTSWAIVDLIGSALEIYADMLERDGV EPUS_04194 MPAPYPTLNLIFLALPFGSAFDRRSCFETATALVKNQSLSPTSH FFFRDTLDSLAYNGPENMTLTLEGCNALCGAEQTWYTDIGPRITIWLVPILLLLANVE LSPLDKRRFLAILHLLGDPIDSIWSLLHKLDAWDRCSRLAARCSGVCPSCQGVIASVF AGFEELQGPRITSERYFGSLLQQRSLAKHFNEWRRAAVRLADGRSNEIGRTVLAFVLY IVQLIAAFVPEVGGAPPGPPGGRIATGVLLSWLVPVIFLSNAIGGLPSHRTAYDILAD LAANTGDKPFHMPHRRSLFLPTFPSIAQQCYTDYFRALGWSGAIYTYRPWKVRYISST HHRHLHTLLLIAFATAPVLIGLVGGVLILWYQLPTGLNCRHVWLVGVALLWKISAFIT WVSHRPGLATGKYHWRLTLIKDICVAGPSVFIMLLSAIGLFNSCWCWSGPFQYPNIGR VPLPETVYLQNAKSVYPTIVGVTLLLEVGVCVVVAVVWRRGLRLLRWREKTRQVEWER VMDGKRCKCMYTGGRSRQSFIIHY EPUS_04195 MEIAERLKTVLRKSQDLLNIRNAQRAVTSPPSYDAVLLQKHCER LLQPTGTPLHGVAGYLREFDPLIIPPTPEASEKAVQKHLTALSRVNDASTLEYARRSC GGTRRKAYDRHFAHLLTVYEWSWLHQIVYGWEGTSPEIHRLAYETFYSFIALPLRRLK VPLSAFLWHARVSRSISRLSQGSSLSERSPEFLFSTLEDMSSYSETDRLEELLRMNDM ILDSVVDNLHLHPKMFKACKKAIAAELRTSIPSGFRSNKFHYLMTDHSAWRRFEKISR DRTSDFEPLRPRTEMHGDANDCTRIASGPRVERLLPDSLAIRQELEDNPWS EPUS_04196 MVEIIVLSEDEADEVPKNMTGSTENSKKVPDNPGASDNTEHDHK RPYKLVLVDSRRCPRMPRPRYLPITLPHEVSPRAVDEVCGQPIGSLDCRGVHMPAEAL RSPREIEDEEEDPDYEDVRPSKRRRTGEKKVPKKHGRLTAPDWEVVRNGCGGRHSEKG ATLPAKAVIDRKEARGYVKRMTANVDWEDILRHLETLNFTAATPNAGHMNRQRVDVKT KPGPSKANRLKQYWQNVLMKSILKMDVNAIKQ EPUS_04197 MPSYSKRYQKPKRLLPDLSTRPYVLKFFPKELWSTLDPKRQNPA WTTLGPLLPDPPGANTNAKGKRKAEVMPTQNVPGKRRHVGTSSDDDDDVILASDRRKR AQKLGNDVPNSAGQRKGLEAEDEYGQDAEQPNEDDQDGEEVVEDSAFEESDDGAGDDY NAENYFDAGEEDDFDDGGGDDEGGTFLG EPUS_04198 MAQDQPQGSCSTESFRSPLLRDQDAEDAFCDLLGKVGGKWWKSI KHSRDVFFMEWNCEPTKEELKHIFLGWPEERKELEGDAAQIDVSGGILVLEYDDEGDM PEDIGRLRMAVNMQERFNATYYPNPARYTPLADLYRGRHIKGSSEQSLISPEMA EPUS_04199 MKSKVTLAIDPKKARMEKKYVGDPDSPNFLPPSPLIDRRVLSAQ IEGELKRVCATVLANEQSADDDLAVISRYLSSHPQKDKKPTKHDKHSSFVFQPPKTHV SELAAASFLQTTSKLPTKTQTQHKQDRQESAAVAIASEPLEPANTQVSRQKPSFSNTE NEALYEIRRKLESRPKTSAAACIDYPELTSPDQSNPTTSVPSNRTTYSTPFTSAGVTP GQTSKRFSQSVPNAIGNIEIEPVETTALPKCPDDAISLAPEQTAQARAWMAEQLTRRR RSSSQTPQDISATRPLHEFHPLQSRHNPENSRPASRAASIRTNISNNIREYIRPGSSA GSIRSNHSISSNHSRTHQRISALKAKISSASLRSRSSSRRRPGYDDGDEYFINPEQVN LDRPLPPLPGLDSYREKPKHIGLMMKSMITPSALKRDTANVVIDTNGVERVMTAEEEK RRKDDLARAVLEKMSTGSIGSVPASPTGVISMYREGVKLSLDDELSRPVTSGSGSGVG AVPLYNRGHRAARQMQPEKAAPPAHPSNPRPVGGFVKRWGGRLGWGRKTKIVAIE EPUS_04200 MTEETDSHNPLVSDRRSDSNLTVSLHPLVLLTISDHITRHQVRG YSGSIVGALLGQQKGREITLEHAFNCSVQKDKQNQTILDTEWFEHRLQQYKDVHKVPA LDIAGWFTLCPESGPTSAFLPIHKQFLAQNESSLLLAFHPSAISSSTPSSSNGKLPLT IYETIYEGEPTKQDDSMQIDGEDTSGLRFRSIPYTIETDETEMIAVDYVAKGGGNAVA VASADTEAPASPEAKEREDRKGKRRADESPEARKAFDDITVTNILSPEEDDQIASLTT RLNSVRMLQSRLSLLSTYINSLPPINVSDPESETTLNPANLPHLRNIKALITRLSLLS PHSDTPASTLSTTSVPVTPVPTQPQIDPLTHAQQAQTNDVNLSSLLALLGQDVQGLSE LGRKFAIVEAARQSKGKKIGGGGGGAMGMGMGFPGYGGGGGGGGAGDDSLMT EPUS_04201 MTEAGPLDELLGHLKGLQNASNDERLNTPLVEQSRFLLRDTDVK PSHIVELAKLTLPLLRTSQDDLEPLVRFLSDAIEFVSFDDLRTSIPVEVVTEGLSSSV PAVQGLSLSYLKTAAQSPSGAASVANDDALVRGLIKVFLTSKSTDIGGTKALETILLL LSVDNPEEVTTVSNNGAVGQTSGQGLLWRRIFHDESIYGLFFQFTSDQDPSHDLSRSD ITTAQARLLDFISGIAKMRWDAIHDSTRPYIQTSSSSQSRGNARERSLLRYATLTMVD RTDPLMTNILVNFLTTLLQLKSPAGCSGISSIPTTSSPSLEFLVASGLHQRALDYYLR SEELDKFELQFLAGAQIRYLCAYTDLYPEHFMQEPDLPRRIIKLLEQNLHISGARWAH GLAPVQDLNVLAHLPVSALVGASRSTENPVLLLPTNPANADALEILGKVFHGPSANTS VIEEGLVAGSEPFSRGSQAASARVLFYQYHDKHPEFWSNVAAAMNVLAMPRAASAAIA LVRSIVTADWARLPDHTPNSSGPLSLLTEQGISELCGGNVSGTGMAEVLNAGESAVQS LLMPVKTVGGDAEAARLAWRIGREKYDVLVLMSDLMKKGVGKNEVPKQLWQNIAGGIQ ERIRLDVGGAYTTQTNLVSTLGG EPUS_04202 MKEDGVGKDGGEVLSPRSAANDPKLRLPVSTDPPGPQNPPRQLV WLIFGATGHMGRSLVRAALIHNDPVCAIGQSHSTDTVESMRSLHVVSPSDSTTEEPTP HHLNYLPLLCDVRVRTTVSSALQSALSHFGAIDIVVNCSGHGIIGACEDQSESDVRNQ FETNFMGTLNIIQMSLPYFREQHGGRYVIFSSISGALGVPGLGPYCATKYAVEGLIES MLYEVDAFGIKATLVVGGHMRRDEGREVVEIRKDGTSRAHLPAHPTGTESGSGSLREN PPRPFQHFHIKPASAPYASITSPAGHFKRMLQWIGDKQPASAIRSAELIWQLGHCSFP PLRLLLGSYAVESIRDRLRSIIEEIEDWKHLSFAAVEMDTEMPGEGKGHLPDGVGADG EEERDAHEDGDEERDVAMDGWSS EPUS_04203 MKAYWFDNQPGDQRDDHDSGKLIDSDYLRDLGILYYRCPTIDEV DAIAKERSYKNRDEITVSPEKMGDVYEDKVKMFFNEHLHEDEEIRYILDGNGFFDVRN EGDDWVRIRLEKDDLIVLPAGIYHRFTTDTKNYIKAMRLFKEDPQWTPLNRGPEVDEN PYRQSYLSTRKASAASAQ EPUS_04204 MNSNTLFVNTITGQTSTTRAKFYNSLSFTQGLLIGQLSVVLLLG AFIKFFIFGEAPPPQSRNTSGYHAPKHIRTSSLHSHASNKSSPPRSLGQKASVNNILR QVPINGTDTASILRKTYYQTLPPTKIKGKSHGRTQTHHSSHQPESLDWFNVLIAQVIA QYRQTAYNMKDTSSSTPSILSSLVAALNNPNKRPSFIDHINVTEISLGEEFPIFSNCR VIEVEDENATAQAGGRLQALMDVDLSDDNLTLAIETSLLLNYPKPFSAILPVALAVSV VRFSGTLSISFVPATPPAEETLEGIDPDQTNSGGSSPKTNLAFSFLPDYRLDLSIRSL VGSRSRLQDVPKIAQLVEARVQAWFEERVVEPRVQVVGLPGLWPRMGKTRLRDGDDGK AGSEDGKDEPMHRRLSFKQRKNQQSVAEDPFRDGFRLRAGRGTETVFRADDDIRMPGS MPT EPUS_04205 MRLHHLQTLSPTIMLILTLLISLSCAARVTNPSKVPKNAVLLSQ IASLTLRSGRSTTSRRVPPAPQLQCTGPASVCSLYKVDTMRCKNEGADYDENNIQWAC SASLPEEFKLGSTDVTCEGYESSDDPYVLKGSCGVEYRLLLTEKGEMKYGITNEDDFW SSFRIKSKWEKLGTFIFWLIFGGVVGAMILSAFGCLGSGRGVVGARGNRPGWGGGGGG GGGGGFDDEPPPPYSDYRPSPKPSTRSSSSRYGQTGGSGPWRPGFWTGAGAGAAAGYA LGNRGRNPNGGGLFGGGPTATNTGGLFGGRRDNAGEGSSRSSSGPSFSSARHESTGFG STSRR EPUS_04206 MPAFSSSINKSGSNKRVAPKAPPRRTIRNATTPSAGSRDDDTIS QSQSFPGTPDPQHVQGNAASIVHSQQEPVDNRHSWNSATRLHDGSANTATSESDFPQT VNPLPASTSLRSTRAPTVISTAPQEVPSLADTEPSALIQASVGAVAISEPAQTSRQTR AATKSFQEASRTEAVADTIPSSNNQTTVSPDIISNASRGRRKPGQVVQPVVATKPAIV ETAGATRDQALDQVNTGSSKAKVPRQSKLRSERQAPFAVHDGVPDRSQTLENPSAQPG NSTTSKRRSTWKRKQRLSAQEAAEEVIDEATGGVNGDERAVRGRKRKRKLGSEEAESH EIVPSEVMMADLVKDQGLGKTSRREAEMQKIDWLEVKRKRREAEKEALKEEQRQKEAR KNGGPLPAPGPHVAERLVLVNGQMVIDESSRMIDRNAETARDAEGVEEAIDEDRLTKR VNQSTVGRKPGTVRTYSTWDDEQTEQFYQGLRMFGTDFMMISKMFPDTSRAVIKKKFN KEEKLNPEKVFAALNSKEPVDLQAFSEITDTTYADPQDFYKELEEEKARLEAEDAKMR AEDAQHDQDIQESIEQADEGASSEGEHPDGEPQARKNRLVAEAQSIVDNAITRKKKSK KTPSARKKKSKKGKGLPAEGTEEIVGSIEDVAP EPUS_04207 MDDRKRPSPYDQHDSGPPSKKLATTANGAVKSHPDADMPWKDDI EKFQKGALLRQMQEYRREKQTLEEQVKHAQRKALYHNDHLRIIDVWFQEMIDEVKIMA GENPSNIHDISTLPSSLYSADVESFKTHLEERSRDIKDVMGRLFDRCKTYHPDVVTLQ GQLSQKLAAEKVHVVELQRLQSEVQELTERLDKAVERYMRAERKIERRLGRAANSSKT DEIFLGMPKNQNTDNVAVKREETLTNGTTGTDEALTDLEEAHHKALALSEKQKEQLEH LEAENLKLAAQLTELAVKSTQHTDDEYAGTELFKQLRSQHEDVIKRVNNLEALNIQLQ EEAVKLQSERTAFKSQIENESKAALAEREAQLATAETNLARIRSNRDELLAEQAIRRS AYEQERASVQKVKELCEASDHRIKALEIQNERLKLEHANEEKNASDYDSTGLQELKSR HQDLEKKHQMLNNELQSMETAYLSTKKLASQKVSEISAFEDKLQRLSAEKAKADQKYF ATMKSKEIRDLEIRTLRMQNTKSSDVVSSLKESEAASRALVINLEKSIGEIKDAFTSA TASQRASQQQVTELGISSEGLKGQIADLKKLLASKDAAAATLSSSHRKAELEIAELKT SLTDTKKALDVCKSNNLGSSTDVNESFRALAICTVCKRNFKDTVIKTCGHVFCKECVD ERLTSRSRKCPNCNKSFGSNDHMKITL EPUS_04208 MKISDVEDIEAEIADLEAKLSDAKVRLNGIQHRPSPFTSLSKPD LLSSTYHSLLLLSDSALPLGSFAYSSGLESYIAHHKPLPSHVTPLSSFGSFLCLSIES VGFTNVPYVLAGFRHPALVDQLDNDLDASTPCTVARRASIAQGRALLNVWEKALQQSI NHACTGALIAAEHIKSFGRTLRLSALSSEDIADINGHFGPLWGAVCLALGLEIKPMAY LFLFNHAKTVLSAAVRANVMGPYQAQSILAGQHLQTQIRACLARVWDVHPEDAGQVVP SMDLWVGRHELLYSRIFNS EPUS_09282 MQSRLRSQSAGLPSPLGSHPPATKVNISRPATTKWPLQAVLAWL EKNSFSTEWQDTFRVLEIEGSEFVELESGQSIRKMLTVIYPQLAKECSDSGIGWDQAR ERAEGQRLRKLIREMPVDIKYEDAPPTVPEKVTDRGPATAQSPSKSEMASGGRQRPVT APAEATSPDSATPFQSPRNEFERTDIFPLPESLQKEDPEFSLETPQSSASKNNDLPRL RVEVDTSNVSDEWVRKWTVLSAEEIARGRREDDRPFLID EPUS_09283 MSIGNKSFIQAPAPWTCKCEVYWMMFSGGETLPDNVYAPLEAQS ASFSHLQEAGRFRGGVGMIQIVRYSDTPVGSYDELVLLPGSFDLPGSTKKYSRITRIY VSQKETCYNGRKNWGIPKHLARFSFSRQSGPTATTGSLKVEVFPPTESATIPFLVVQL KSIRWVPSMPFSTKIMPYVGLDAHLVQPPVPASEQAGEEELTSSNGWLKTLTYLYSPN SSLVWVDVQQPAGKGDPSHDIGTTQGWWPAIKPWTIGLWLRDATLVVETPEKITVNSP DR EPUS_04292 MNNLAEVLRLQGKYEETEEMHGQILGLRKLVMGLEHPDTPTSMN NLAKVLRDRADPHLLLRAPNVQNVEGNTPLQLAAAQQEIMPGDLRVCQKHTTMSMQIS QPYRCVRACQSPKSCDDLGAKAWSRCGAGYHNIHTPILTSPNSIFALRPEHT EPUS_04293 MASVISTLGKSRYRYEPLQFADEIRLLQLSGGPERKRKPVSCEI HHVRLSQNPPPYHALSYVWGSPDKTFAAHCEGGQTYIPVTKSLHTALLDMAVPGTGYN IKTGTMNDMQKPEESPLYWADGICINQDDVSERNQQVLLMGDTYRKGHRVITYIGEGN EEQWLGIIFGVQLQQYVHKRYPRTPDPRIKDLDRLEEAGLPNRSDPQWRYLRDVLSLP WSSRMWIVQETILNKNIMMQCGGLQFDWSVLGEMSIFASRGYIPFLAITDEDSPNIGL PASSPDPMDKMFMLRTQAGVANYQRFSCMRLLLSMCHTLKSTDPRDKVYALANVAKDW DELGIVPDYSCPVWKLYTDIAFRIMKRERSLTLLAAASFAQISSDLPSWVPDWSNRPK AGYAMDTSNENNLIHAASGASPAVIDYDESSRSLRAAGAVIDKVEFLSEVIGAAQFRR KPLWFEDTLKMVKDSSSTPIRLGGSRTEMEALWRTLIMNVAAMERLKPDVEAPSDYGS YFEAFIRLQAGLAAMEQGIDHRVTQTEYEKALAFDRALTKRVRSRSLCKTEKGFLGLV PEGTRSGDDICILLGGRMAYVLKKTGNITRFGGEAYIHGLMKGEALQSVQANIELIVI NA EPUS_04294 MKSLAVAAIATLLTTAPSFTRALCPFKREAGTGKGDIDLASVNP SKLRQILEKRDLRDVPVNHEDEPGLNKRQFTAFNENQEIDVSGVHAWTPPGPNDIRGP CPGLNALANHGYLPRSGVVGLVQGATAVQQVYGLAFSFALPLSLYATLVDGDPIAQTW SIGKAPPPLLGLPIIGNGDGLSGSHNKYEGDASPTRGDYYLNSGDVSTLRVPLFKALY DLAKDDPVPNYDLRVLAKHRKYTLENSISTNPYFFWPPFAGPAVSNAAHTFIPALMSN HSAEYPNGILDKETLKDFFAITENPDGSLSYRPGYERIPYNWYRRPLGPQNEYTTLSF AQDLVVLAQTAPGVVAVGGNTGTVNSFAGVNLADITGGAYNTQDLLNPRKFVCYFYQI SLAVVPDILRSKLLGTVLATALNLLQNQLQPFIDPNCAKIANYNDDFAAQFPGAALP EPUS_04295 MALFSLKKAQGAETRYMTEKRPYLAHRPTTSISGPVRSTESNQL LILEYQQLVTSAVNQRTKVISYSLSRNILDCDDYESIQGLLKTLDDAKFVHHERLNFE YDNEGKVTSKQLIQIWFSHSQQLKIAQRFVADQVLVIDGTFNTNELRLSLLAAVSITN SGSTFPVAFSYCSSESTESLVFFFECLKRECFADDIPPCRVVIGDQAAGLITAVSIVF PNAILQSCDWHAVQAMLKRSRTSGYKKNQIDILQDLCWIYVKSSTSQELQNNRQQLLD EVRPSEKKYIIETWIPNILSYPASLNGLAHLFLLLVIGTSSQGISNWDIYLPDLQSTT ATPLALHQRKKKKIS EPUS_04296 MSESDKETSTYHNGNTHLESFAPPVDGNRRRTSVIGQPAYTEKG PLINHEIPQADVVQEEPDLAWSRIRRYFREPLAEFFGVFILILFGDGVVAQVVLSGGR NGDYQSISWGWGCWAPCAPPASSTPTTNPRSTRTVPGSGSNTSTAGIFCTYPAPFMTK TGMFFSEFIASAILMFCIYALKDDGNLGAGHLTPLAPFFVIFGIGACFGWETGYAINL ARDFGPRLMSYFLGYGHEVWSAGGYYFWVPMVAPFFGCAFGGWLYDMFLFTGVSPINT PYVGLQRLVRPRRSVWSNTYQDRHSGVV EPUS_04297 MGSVGNTDTIVSLPDNQNAWSCSSLPATTDRKPVTEQHVSDLRK ALKELDEGSILLVPGDDGYEDSLRRWSRAAEKRAGAVLLLKTPSAIAKALRYASEHTI DLAVRGGGHSTAGASSTSGGLVIDLSSMRSVTVDSTAKTLAVQGGAQWSDVDDAAWEH GLATVGGTVADTGVGGLTLGGGYGWLSGTHGLVIDNLISCEIVLASGEVVRASKDENA DLFWALRGGGQNFGVVTEFILQAWKQDNVWAGVMLFPTEKLADILDVLNEIILEMRGR GACVLGFSRPPPAGGQVLIIIAVFYDGTEEEGRARFQRLLDLGPIMNTATSVPYNSVN RMLHVPQGRRVSMKGASFTLPLRFDFVKSTMDAYAQFTSEVPHSQESLLLYEIFEPGK ICEVANHEMSFANRGRRMNAMVGPMWTSPEHDARSRAWAREVADMFKAELSRSKGSDG KGEGLGVYGNYDQYDEKSRDVFGGNYARLQELKARYDAGNMFNKLFSVAPAV EPUS_04298 MSFRDHSAYSSPQVLEPSSTLTLTSNLMEDQTKMARAAEPDNIE SVVTGLGVSDVLGDEPQITPIRARSPPTDKNARDQSKIIMEEPEAGRGRVEVVRAGAA LSAKAAKKKRRKQNMEKASPALSTIRGFTPGDSGAEESAHARGSPKVKSPVPRPPPLA GASPGIRPASPAVSSLKLQLDALNLGSRPGSRSASLARSKLGSETPSSEISTTSETGT EDDSTSDMISYEVPLDQDFVNPFLSESTNPKPSSLSTICPDQRASSMMTRKMTAADFT TLKCLGKGTFGTVHLVKQHSSNRLFAQKQFRKASLTVHKKLVEQTKTERSILESVNRH PFIVNLYYAFQDCEKLYLILEYAQGGELFHHLEMEKFFGEDVAAFYMAEMVLALDHLH HNVGVIYRDLKPENCLLDSEGHLLLTDFGLSKVAVEDPSTPGSSRCNSTGIGTIEYMA PEVIRGSELSSIGPGYGKQCDWWSLGALGFDLLTGSPPFGGNNYTKIQQNIVKQKLSL PYFLSPDAKDLLTRLLRKEPNKRLGAKGKPDVAIMKKHRFFRKIDWVKLERRELEPPI RPLITDPELAENFSTEFTNLGVSPIRERFTGGLSSTDPFGGFSYVASSSLLEAGYLGE GDDI EPUS_04299 MAILCPHRNSAPRRQQFEGSAKELQHFRNAHTAQYENQFKIWGF RKKQAAQKWVDAQRCVEKRKRDRKESILYIDGVMIPQKKIRKEFSRHFPTAQERYGQA PSPKTPEGFYICTPPAISPNNYLLSSLPWFQFQTIIDPGVSHYPDLAGIAADLLVSST KTVPISTSNAHGSRDSTPGASGENTSLLASWATSTTLTGRANSIRNIHSRLRAVLPEQ LDGEFPPGVSKPQGLIKLGASPQQLSLALYLISNNMLPAENTNTMIEYLQQQRNLQLL RSLLSTKASAIKAFAEKLLIAAAKVGNGMIIREILEAGIDPNVWEMSERRTPLYYVVE TGSIELVEALLDAGADVNAQATADEEEEEVLGRSPLQEAVSTKNIELVRFLLAAGADV NAPAFLDEGMTALQIAAQKDHPELVRILLDAGADVDAPASIWEGTALYLAAMNGSIEV TDLLLIADADVNYLSGCQPALQVAVEGGNIEVIQSLLAAGACVDAVGDGCYGITALQT AAEDGYIEAVQVLLTVGADVNAPPSAYDEGKTALQAAAEKNHFELVQILLDAGADIND PGAKTLGNPALTRAAAAGNIEMVHFLLAANADVNVPGNGYADTTALQAAARQGSIEMI RILLIAGADVNARGDSLFGDTALQAAAQRGHFELVQILLAVGADVNAPAARNRRTALQ ISADGGSVTMVQFLLGAGAEVNAPPASADNCRKYFDNFVGTALQAAAKRGNIEMVQVL LDAGAEVNAPPASGHGITALQAAAYSGDFKLVQLLLNLGADANTSAIHHKGTALHKAV AEDNVKLVQLLLAAYADINALTTCVNYERTVLETAIQNNNIRMVQLLLNAGADIKTPA PDDKEVNYDNYSRRRNALQKAAEKGDIEIVQLLLDAGAEVNAPPVSWGGVTALQVSAI KGYLGIALILLDAGADVNAQGSRWFGRTALEGAAEHGRIDVVQLLLNAGAELHGSGKT QYRRAVELASKHGHHAVRKLIQAHHDCGRDE EPUS_04300 MNRVDRTVAELQTAHNRASQASLRVALIANFEAKDQPDEDSVST HSEEDLPPVSSHKRAHSEAKTASKSKKPRESTSTRQRAAEAAFHAAIPNPAIEIGRQH KCDRPNCPNNGYHCYNLSRIGHLKLNMANIREWRDAIKDDPAINIYHPPPGVLTWLIE GYKKQKEQNQQPLVTPQPLISTTASSIVAGQGMTLNINLSGGPLPATPIHENADNRAQ DTDIKTPVPSAIAPASALPLPSSPILQARDKDARLLTFIQARIRARPARRAAFNYAQD LLISAGVSFSDLASLSNKEWKDISINIDIKMDLLKNDKI EPUS_04301 MDVNTVFLKDMPFMLSVGKDAWNRENKPQPVSITLRVNNVKSIH DVAASDDISQSLDYGKLYKNIQSKLGNPGGHDCLSDIAKQVLAAVEVPETSSSVEVVF PKAALRAEGGLRSIVNWDKDRDVTNVYQTLQILGIKCACVIGVNPHERREKQIVVVNL TFRSTSNTKEATAVEIALDNYHEIIEMVVKFIEGSSYQTVETLANLLCKQITMQWDIS EAEVSVEKPYAIPSIGAAGVCIRRRRSFYEKTGFWKVKVQQQSQQS EPUS_04302 MPICLGRILPISRSLRSGKLRVELLWGSRFYLHDARGVSTAGTR CFSKCARADGTNFEKTPKKILTKKEQSGVSSASQRPLLSSTKTGDQAAWLEALEPFLL PQFRTSSCEGDKSNLSTKEKCEAVTNILAEARGTNSAVDVLAELGLKHRRWPAVQSVV KILIEDATSRIKNDPLAGLPSNLGWPTSISLEDVVFGPIELEPTTSFDTASSTLWDDL HFDPRYTDAMSTENCTAVEQIWMSLGSIILKAAELPPVQSQEAMKNVYQIIALLHNSD LVPEHVYSYINSSYDSAVRRPPIVHVLSSRLLTTLSDAVWRAHSDEVIAQAASAGATY KDLGHDPPGGRFRLKVKELGPEVWLEFILWCCADGGFARAGSWIVERMRTWNTESPWF AVQWMSGSGSASPKASVDWNRVKLRHGGAVGLTEGYSTEKPFAEMESRTISVEVVLAL VEASLNCRSADMHDRGCTQETALASITKLLTFLEPHSLPDKYFDYLTVRLLQPSFFEF EKDPKVLQMLVNRLKYIRSLESTRKAAGYLPSLDVDSVLGQSEVFAGILHQTLENFAL AGRTGRTVETFTEILELIDQSKLRSISSFLHTTWRQDEGFFSPRRFAFGEEYTSSHGQ LPAQKLAPLLDSITDAGMTELGQWLVYSMDVDGPLIPLNLYSRSSLSPSLLRFATATE DHILLRDVVDAVMQKPRKPPVSYLRSLTDSNLLRGHFDEAGDALAALTEAKGGGNSLD NIATTMAALIRIESFAEHTWKERRARHLSPGLSLLDWLLKGDFRGIPGDFRRDQIKNH RRGLASLLRVVEAIPETLLSRAARSWILNFADSNVVGLHSRVFDTVLSAVVETRGARV GMILWDLFCEEPTSETENDRYWFDAGEMKLVSTPSGLEIQPQESSLPGYKIRTPNKML PVKVERSKPAATTDAAISMDDINGSASFDDAFGLDRLNTESHAAVAGGQADNGETKLD DNISRSSSPWVDVGKSEQPFQPSNNKSTALKEDSYMSLQKPPNADITMPLLAFKDMVS ETSSPDSSDDDDVVTLESSPSHVSPVVRPTFRTLRIIIHGALQELEAAKALWYSEDVE NPHLNTSEAPAKANMRALETAMTNIELVEQWAKPLFRKFGLTDEDVAVEFGWKIGDNK EKDGLNIFSTAQLKKKYAAAKTEYELAKMGMLADLSKVNVRKSFLAGPIRKTEMKVHK YTKRELAFFFNKKAKNDGKSIAGNFPKEDFTTKNPSVEDLGNKPPSEKS EPUS_04303 MPSYRFEVAKQGRATCKSTDCKTAQVKISKGELRFGSFVSGPDF DSWSWKHWGCITPAQIDNVLKSIDGFEAFEEDPNVLDGYDEVGEENQAKIRTALKEGH VPDEDWKGDLENNRLGARGMKKNRTPKKKKKGDEEEGEAEGEAEATPSKAKPKKSKKP KAAESGDEAPDGSPTPKKAPRKRKAPVEDEEQASPKPAKKPRARKPKQQIQNDLESEP EVMPTKKQRGKTAKPAAETDGALDRNDAAAAAEVKPVKKSAAKKAQAEEEEEPLPAPA KAKPTKKSRVKKPEAKEVELEAGATADPAKVTMSRGRPKKVAKAEKLEESPADVEDPA NGVEKAANGTAAVAAEAEAEVEADPDIAEPAKDKPAARRGGRKRAVKA EPUS_04304 MSAQTSAILYAATVAAPSLSSARPEDELTKKKAHHKGKGFTNPW ESWSSMGALEIGRAMIVRRLTGKANIPDTTPPTVPVRPPEFLNSRHGTDKLRATWLGH ACFYVEFPSGLRVLFDPVFTDRCSPFSWLGPKRYTDPPCQIKDIPTIDAVIISHNHYD HLSHPTVTEIARLHPNCHFFAPLGNKKWFLDAGINNVTEMDWWDEVDFTLTPSPSTSK ESPRPSITSTEDGCNPSSAGGDIITARISCLPSQHIANRGLFDRGATLWSSWSVSSAP STTTPSSVYFAGDTGYRAVPLSSDGQDDWSEQYAHLPVCPAFADIGRLRGPFDLGLIP IGAYMPRFIMSPMHADPRDAVEIFKATGCKRALAMHWGTWVLTEEDVLEPPRKLRDAL KLRGVQEVGVFDVCDIGESRQF EPUS_04305 MQSGYGPHLPVSTVSSTNSRVVSKQDLAKQLLNTDGASEGGVIA QLTSNPFFTAGFGLAGLGAAAALARRGARQGAALLRRRLLVDVEINVQDDSYQWFLYW MTLHQRAQLAAATSKPSATSAPSPGKTGVLEALLRKITPGMRHLSIETEKIVLPNGAI HTNFALIPGPGKHVLRYNGAFIFVNRVREEKSRDYKTGEPWETVTLTTLYSHRHVFES MFKEAHDIAARSQEGKTVIYHSRGIEWKPFGNPRRKRPLESVVLDAGVKETIVADVKE FQSSSKWYYDRGIPYRRGYLLHGPPGTGKSSFIQALAGELDYDIAILNLSERGLTDDR LNHLLTIIPPRTLVLLEDVDVAFANRRVQSDPDGYRGATVTFSGLLNALDGVASAEER IIFLTTNYVERLDGALVRPGRVDMTVRLGEATRYQVEHLWDRFYGGDGDSVKFKGLFC ERLQELRLLESEEHPIPKYSTSTAALQGIFLYNKSNMQGAISMAGLLVQSGDNDQLRA PSILATTESGG EPUS_04306 MDPVAEAQNAPDFRFELFDTCVLKNSPSLVGIILRTYHDFNSHE PIADCLIIAQEGVPDGILQSFLASGIPPKGYVFIRFAKQEFGHSLLSERSIQLVSRTF AIGDSVKRNAGDSMIGTVVSIFDSYVLEPVCSTSHSSSYPRQKSFEFHTGFGDCNEAC VPRLPDYLSHPLRHALLYDVPGDELKRADDFEPGDYVVERDYLGVVQDHDIDAVLYLK NGTVVMVENALELELVVPDFRQHLVALPELDDLRRPDVPAYQVGGKAFIPTDHLTRGQ FVTTNHRNLRNGRWLVGEYDPACDAYGHVLDVRTKRAHIKWLCPNAYIPRNLGDRPPS ALRPYDNLSSYSNPRDLRRTKGLTLLDRGQRPRSSDSKCSADVNTGQDLDAGDQVRFR DQSGAFVKYQGTGHGQYNRISKDVTFGFDMNEFIVFYSKQNVQVRWQDGSVAEQPSVM LQPYVMPEQELCPGDIISLKEDSIQVAVGKPETTATAFNEMLYLQGDYILRPQKVGVI QAVNARERLVRVRWFLQPTVSLIQQGNLLQRGAYFGPISDEIQDVSLYEVMAHAALMR KRRDIVILPPQHLPVHSILGVSQRLDSFDEEKFGSALLSWTTRPSGMDMHTYLRALAK PIAIRDLKDSSFSPADFHNTPDWVGEIVDLGLDGLLTVRLCGSPQCEEIRVPWERVLI IVDDEAAVEFPPGAPGSFVDEEFPDLEYLSEGSDISAMEETIEYDGGERMDNESGDEG WSTDADEMEIDAETRANNINNRMHVEATTPPSSNTPDTVLRERGLTEDESSQKQVETS SLTTKLQNSIPSSPPEQSSLPKAPSSSSSHPQLSSTITNLISLSKSQTEPPPSFLVLD TPPPPDQFHSADASSSSAPSASFLKRVHREHRILATSLPPTQIYIRTYESRLDLLRAV ILGPPDTPYEFAPFLIDLYLPATYPAQPPIAHFHSWTSGLGRINPNLYEEGKICLSLL GTWPGRGIQGESDTWSEKANLLQVLLSLMGLVLVKRPFYNEAGFEGYEGEGAYQVESL LYSEKAYVLARGFVRFALVEGVRAVEDVLAWVYLVGSRIGGEKAEEREMEVDGDGDGD EGAAKGKAALLPERPGLEAQQRPELLGKLVERAKALMEYSSSCTEEERDELVDGMGRQ NAEAFMRPLSQGAIVMLRRHLDVLEKAFLVGA EPUS_04307 MVRARRCLGWALSFARLSILNTQWMPVALSLPSWERGASLEHGA PEYLGYEFTNTIHSDTYDAINPLKSSNHVGHRVFIIGASKGIGKRTAIAFAESGASSI GLGARSALDDLAAEVVSAAKKAGHKPPQVLTVKLDVLDHSSIDGAAKQAEQEFGGLDI LVNNAGRLEKTALIVDSDPEDWWYTMEVNIRGVYLSTRAFLPLLLKGGMKTILNLGSV GAHTARPGFSSYITTKFAVLKFTEFCMSEYGDQGILAYAFHPGSVMTELAARVPKEAH HLFMDTPELAGDTIVWLTQERREWLAGRYLSCTWDMPELMSRKDEIVQGDKLRVRMVL EPUS_04308 MGPIRKVVQRTISSDSTATTRSGVKRRTTKSVGRGARRVPWCIP WPRAYTGSVAVPRRREGGRKKPSITNIAQWYLDRRYPSQGPTGLVYALQELPPSHSAQ SVVDALNAALAALELEPSKTESYAVWDNPEELWGPHNTQICSSTLSTGLENTSTTTAP LLGLSTFPNTDASRPSQGKALFHESIVETKHLPQLPIFESGSATADDFLPSHPACPPN PFAPVFPVSTDLDVEPGLDHLSSVADSRYPPFRNDRTQSQPQVRRKVGMSDLKARAAT LPQLSVNPPQRTPTCLRVGSPITGPVMTRKPLAKDSLKIRMPKDRNKENLPRSLQATD NGSALNPGPDAGKCVITAADAVKDECKSQLEPLDSDLGSFTCDFPPIGSRGPSRARSG VVRGGKFKESTVFPWETGCSSSDSASTSQTSIAQTNCYSCSSTKKHGSSRHVGTTKDV SPLSNPSEPMKAAHGDLENVAMSNATLKALLATEGDANHSRKEMEERIASKRLSEHSA AVIQGFRDFTHRSFFPSPEDVRSQSDGTLWPRAPSQNRAADLSGVQARAASETSLAIR KQKGRGIIFTDDGPWKVVR EPUS_04309 MGKAPAVIIIARLESRSQQLLQSHADSIRHGARLDQADKQWHLT SPTPYDPPLTYGGWTQARALGARIGGILRAREEEQEDIKSNGMTGGTSEQTGQKRKRK HKIIIHSSPFVRCVQTSIAIAAGIGQFKGRAPSVAKHHTMHSGSPHIHALENSPGLSA IPEPAEDFPTTPLLPEPSPLPTNPNSAEPLASAPSIPCQSKKHRVAELRLDAFLGEWL SPDYFENITPPPESVMMVASAKADLLRPSEAIEGSDSGRRTHGNFPGGWSSDWSASSS ADESEEKTGLANMAALGHALSHSLPNRTRSSTHSSDSPGTSYSRRYPSKLNTSLTTSN SNDELAYVPPTPTYAISSSDAIPVGYVAHARDACIDVSYQWDSMRPPQCWGNGGEYGE EWSSMHRRLRNGLSKMIEWYEEHGTAVNKAAEMFVDSADEVETDTVLILVTHGAGCNA LLGALTNQPVLLDVGMASLTMAVRKGSVAKSARQKKSEIEDANSTNNNNAPSSARRSS RRGSIDLGIAEDYEMKFTASTDHLRAGSNPLSGSAGSPRIGSSASNSPYRRPATSFSS DSFTIGEGAATFSTAPSARPVTAGAAFSSAGGLHRSKSGHSALRNGIYPTISSAPKIP SGLWRSGTTWSSNSNNEATSESGGESDALPDFGSMNAKSIAACATRGLDGSNDLSLRR KDSNVAPDEQVRPGEEEEQQQQQQEEQGTEVNGNGTRALNRSPTPIASSKPYNQLKSP PYTKTHAHTPTRTASQMGLWGSGFKKDSNMPKRRWTVVENPAG EPUS_04310 MTDSQTWWTDERVQSTVTPEYVLKQFRLEEQNLLKRSIIFGDGV TNHTYLGCILERATRFFLILTHLGVPGLIFRLVDESYDDKDLPIAAEAVHTLRLSSGS DTSLDRRFYQAQFKYVARDVRKGHHIHFLHEETVPVRLVGSASGISRAAKDGIEKVHI GSGDVKVYGRKRIILEESHTHLTEADILSEIAFAKTLAHQHVLSLYGSYSHGNSLFVL LTPSIEYTLNSFLDNPPKHFESLPKPQRRETVLNWPHCLANGLAWLHSNRAHHGAIRP SNIIIDSAYQICLGQVDGLPVTHSNVKVSDIESYQYAAPERWKRTATMQTKTPAMLTM HSGGRTARKQNTSRHSASSSVNDSHERRPSLTPPTSSGFPIDTSKPTSTAYPLIPTSK ANNPRGQGRSLDKSEYAASILSSSSSETRQDRSNSLRVPTNQVDHQLRPRLPTSFSTA RSHVSSHSGDGGQNVNTATARSVTVAPSEIRSALIQTWQSAQFDPFAADIFSLGAIII EIITFLCKRGSSAFSRHRSAKNRTAGRGGGVADASFHANLGQVFSWTVALEQDAKKKA SKEDGKIFSAVGPVMDIARECLARSADKRPDASLLETTLEGCIQHLTSSGTAHCSLQS HVNTPGKSRLAARAPLKHSVTLTPMQEKELGISKRFEETNSSLASFNFDEYSLGKESM YKVDNEADRESFGRRRYSVIFPDDEIIDLQSIADRPDRIQNGTDISGWSHMHTDPRAS YMSSDSDVQSDLPPEPQQLDSFFKPASRPPSLPAKDLFAPELGRALSQHSPTHARTST TARKYVTADLGRPRQTGLASDSAGLRGIIPNRDIARSTTSGSSADSSHIRNSILSSTD RSRGRLSVSTLASNSSTIRLEWQQRDVSPVSVGDGNRTPNASACASEDAASEDEGVND GPDEQTTAPSRRGDLSNAEKMYRNLQRSRMSLSKTGGESKGKKKTESSAGAKRAWLQS RYSLLGGKT EPUS_04311 MSLQIYLAHTGQRLQADPISFASPDALKSWIANQASVPIDRQIL ITGRGKNVRQQNLLTETEVFVYDKQYISPTCDDHPPDTPLPQILHLREPPTTLANQAS FQAWRDLSKDRRTWAAELVESVAPYRDITRRCLEEADIIHRASSLALNNLQSHVRSIQ DKFEETKLWAKAASDDHHNVLRDWKGNLQILDRVPATRDFAFLLQRPGTPTKKKAQHR SEPSDTITLRAFINEEEVERAASELSVDAHKFRIRLEELDATMTDISAATQEVEKDIH RGTESLSIEEFENLFADVETLTRKIGTDHEDLLRLPDHKNSIPTASRRALIHTRDLLP SLQSLASDISHFAHQVAIRRTSCLQASTLALQNISVIQSGLGDFQSQVSSLGLTSEGM DAYDTVRSVFDLPVAYGSTLVESIRRTEWTESIKADTDHVSQELKVYKEAEQRRRRKW RKSMGSLINEISDNTGLGIDISISDSGSPWPSSSRQGVFDYIESLRNVGIDDAVQHVT NLLKELEASKPRRKGARAFTGGSVHDVDVAIDNSSVLLGDEDDTMRNLRNEKSRLEDK LRASDSRVRKLEDLLHRQSQMNRPTSINFGPSPSEPERPPHSPVGLPSPRPNELMSRR SSVSSRRHSSNQNPEERVLIQRIVSLEADLRAERDSALKLQREAHADRRASTENRERM NEAESTKRDLLANLEAQRQEFDDERQLLEDEMHKLKIRLEEVEDELDRVLGSRDHQKM TSENTIVELQAELDRLRKCTSEEISKAQGQADFVRNDFMFQRDRANAIEKQLQQAKEE SWSLQDQNLNLANQLRDQADAQLEHVSSLQAAHSHLSPGGPASGDLGRLASAIEILSE GLAIHARNSDEAAQLASAENKSLEEELLQTKSQLEQAKNQLATEETEIFTFRESLAQE RGKVASLRNELADAQTELNNLRTKFAAGETGSEALKDRLSEEECKVADLTERLAVADS NAESFEQEILIWKDKVQNLTTEAKQWKDQLDARGVRAKDLSQRLLSHNDRMVRMLEQM GYSVVRQDDQLVIQRASRVNASMVLTSEGSTSMYRSLSGQLQTQHYSNASDLDTLYWM SDSDATSEDTKFQNFISTLSRLDIEAAADLVAKRYKDVENLARKYQKDSRAYREKTHR LQSEAHDKIAYRSFKEGDLALFLPTRNQATRPWAAFNVGAPHYFLREQDIHKLQSRDW LLARISKVEERVVDLSRSLSNGHHGNNLPAGVDRRSINTEASDHGSTKSVDDENPFEL SDGLRWYMIDASEEKPGTAPFLTPGLGKSTVAATNIDARGSIRLTKEGKKIRDSSGSG TAAIATKTLTKSLDSRRSSSNSKKGHVMSPSTAANTAGDGNESAVLESSRPTSSSINQ NPKPPPEAVNPSESAEQAREDAPIFEVGAVERPYSPRSLFIPGASSGKLRSGKLSNQE TAMFSPLSRGTTITPGANRSPNKDQAQRQQQRQRQRQASPQKKPWDKLWSMEYRFESG GSGNGS EPUS_04312 MATYNGVNPHTAADLLRQAVAQNSARHENGGTPDQIGSNCPTPS GIETPQPDPSDKRLPGIISSFFGQVGLNPSSKPQAPKSPAVTAPFLDPGLDSHPPQHD REARCSRSSSRSSGSLVMVARHRSRDDDSPPESIDEQGQKRTQEDLPEPVPTKLPPTP ISSASSFLQREGPTAVNGQPEVDAAVNPVAQALKNFVLSSSSLKSRRHASLPVASISI DPVLAAHISNPSTFSHLTAPGSPTAPPEEDVKLPNHTSVRELRKLTSSATAESRLKNT PPLTPRALSHEDGYQGKRSPLSSGASMTAGAGKSPELPAIKTTNLPRNNEALPTGSPK GQLSVKINEARGLKPSYDPYVVCVFEWNEYISNGPKHDAMDVDHDEASSRKGRKDTIS AMPIRRIEDDAGKLRAIPMRSRQSSNNGEIDNSQPRSNSPVTDPQWDHEATFDVLGRQ SEIDISVYESNSETFLGHVRLCLNLTEENPVLEGWFPLDQRGPHEQQITGEVHLRMRF QKTNKKHFGPNDFQILKLIGKGTFGQVYQVRKKDTQRIYAMKVLSKKVIIQKKEVAHT LGERNILVRTAMADSPFIVGLKFSFQTPTDLYLVTDFMSGGELFWHLQKEGRFQEERA KFYIAELILALQHLHQHDIVYRDLKPENILLDANGHIALCDFGLSKANLTKNDTTNTF CGTTEYLAPEVLLDEQGYTKMVDFWSLGVLVFEMCCGWSPFYAEDTQQMYKNIAFGKV RFPRDALSTEGRNFVKGLLNRNPKHRLGANHDAQELIEHPFFNDIDWDALAHKNIIPP FKPKLKSIIDTSNFDPEFTNALDNSSSLNARAIALAGGAMPASTPLSPTMQNAFKGFT FVDESSMDGHFKSPGADGSDEMRRDDDDSLKPQRTNSHRMSGVMKTGETEGIFNDDGF DVD EPUS_04313 MARRQRLAAQAAAASLRTSTPLVPDLSDDEMADAPPSDVATPRD EEDDGNDDQGDAEPEELDDRPITPNRDSETPSRSGTPRRSGRSTSLLPRKRRLGRPPR NKQAGSDEERNDVPSDTGTPRRRGGFRGHRGGRWAKRGGGPSHVTQVPIDKEGNMMDV VDDEVDTPADPEGEKKVDKFGYLQDGREYRVRTFTILGRGRRLYMLSTEPARCIGFRD SYLFFQKHRLLYKIIIDDEEKRDLIERDLIPHSYKGRAIGVVTARSVFREFGAKIIIG GKKVVDDYAVQETRARGDVEGELAVPDDRLPLPGEPYNRNQYVAWHGASAVYHSGVPS VPLPSGKIIDGKKRKVAVTSDNWMLEHARAASQFNSSLTAIRRENNEGLYDIHTNTIQ WPRTMQPTHARWESAEREKKAQEYSEQKKLTNGANGDEHDGDQELSTIFQPLNPVYPR NFLIQDLILEGAPESNVGPPGLDNDPQSLSRLPHDILDELPADCRQAFEEAKARESAW RSRWDTETTDGKRGRFMPTVEWFP EPUS_04314 MPSFQPWGQAAQPSRLPPTPPEYLPTYQTPASMMAEQSYYGASS DYLAQHGHSGRDFIERYTQASDYVSQQAINSQSHTRVPQMQGSQDYRKIPPYVAQLPQ RYQYGSMAVAPPLPPIRARDNPTDPVGTQYRVQDAQIQKQKDQAPSGGVSAHLDYDME VMANFVAEMAQGMYAKFISGIHLADIDLMRSVSPGSRPAPQFLKYVLAILSSTRLPSS TIVLALFYLASRMKIVSANGEATRSSSCVYRMLTTCLLLGSKFLDDNTFQNRSWADVS QIPVTELNKMELDWLKGFDWTLHGPMYNETEGFYMWREHWKAYQEDAQLAKTRESQKL TPIDTTVTHLQQIQQTTRQPFMSPEGPIPLQYQRSSQYDTQWVRPFLSDYSPPSAPHT GPTTPDYYTSGNWSQAPPPYSKQSWASNSTPVYANHRSQPPSYPHTPSYIQGYSHPPR NNAAVSNPAAARAGQFFAALSFAFASASASLSTNVSANSLSAANDLAALAPRYFDIPR GQLTCAGVAWALVPWRILASARKFLNFMSAYSIFFGPLAAIMLSDI EPUS_04315 MSTQYDAIGASYNNMSKLPGAGLVYLCIQQTVEPLIKHGDVKVL DLACGTGRFTHALRAWGAKSVLGVDISPAMINAACGAGIRDANIKFEVGDCAQPDVRY ADAPFDLVLSCWLLNYAASGAEMADMFRHAAGNLRAGGSMLAMTPCASEDPVRHIEEA AKVRPKAMGEVHLENIGAVEEGIRIRVWAGTEPEIVQFDSYHLRKSVYEKAARDRGFK GRLEWTDCRIPKLARERGEYDEEWEKFAKIPHSSILVVTKE EPUS_04316 MSTLEDLDDMEREEKDQKKDQDGDDKKTPGGDGSKDEEMKDADG EKKKKEEDDVIDLDILRSSTVDIINRRRMLENELKIMKSEFQRLKHEETTMKDKIKDN TDKIENNRQLPYLVGNVVELLDLDVEAEAAEEGANIDLDATRVGKSAVIKTSTRQTIF LPLIGLVDHNALKPGDLIGVNKDSYLVLDTLPAEYDSRVKAMEVDEKPTEKYGDVGGL DKQMDELVEAVVWPMKEAERFKKIGIKAPKGALMYGPPGTGKTLLARACAAETNATFL KLAGPQLVQMFIGDGAKLVRDCFALAKEKAPSIIFIDELDAVGTKRFDSEKSGDREVQ RTMLELLNQLDGFASDDRVKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARAQI LRIHSRKMTVDDAVNWGELARSTDEFGGAQLKAVCVEAGMIALRQGKNKINHENYVDA IAEVQAKKKDTVNFYA EPUS_04317 MGVPALFRWLHKKYPKIITPVIEEQPYEVDGQQYPVDTTRSNPN GEEFDNLYLDFNGIVHPCSHPENKPPPATESEMMMEIFKYTERVVNMVRPRKLLLIAI DGVAPRAKMNQQRARRFRSARDAKEADEKKAEFQTLLRSQQHSRGDPETALEDVVKKT WDSNVITPGTPFMVILAISIRYWVQWKLNTDPAWEKLKVLISDATVPGEGEHKIMQFI RSQRADPEYDPNTKHVMYGLDADLIMLGIATHEPHFRILREDVEIRDAKAKTCNLCGQ KGHYADNCRGNTKDKAGDYDEKEKTPDLKPFIWLNVPTLREYLGAEMFVPGQPFRFDL ERALDDWVFMCFFVGNDFLPHLPSLDIHEDGIDTLIAIWRDNIPIMGGYLTCDGKVDL SRAQFILQGLAKQEDAIFRRRRQTEERREQNQKRRDQEKDAREQREQGRDAKRRRSSP DYGQGGFNGSIKGRSDDRAPTNAPMNLISPQSIEVDYNMVVNRGAVDRANAANKSAAA ALKAQLMQKNKPAETPEKPTNEPGAVEQTPPSALGKRKAELLEEDDDSSTPGRSTPIS QPETKTNGANPDDLPPDTVRLWETGYAERYYEQKFHVAPDDYEFRRKVAQSYVEGLAW VLLYYFQGCASWTWYYPYHYAPFAADFIDLDKMDIQFAKGKPFKPYEQLMGVLPAASN HAIPPVFHSLMTDPDSEIVDFYPEDFDLDANGKKQSWKAIVLLPFIDEKRLLSAMNTK YPLLTAEEHARNEMGKDALFVSDRHPLYDLLATEFYSKRQRNANGENTVKLPVRTGGL AGIAEKNSSYLPHMSLQGPEEDIKLEPEVDDDRSMSVLYTMPTSKHVHKSMLLRGVKE PAKVLDHSDIAILKSKARNSGRSYGGAPLGDRNGSYGNGGGRGGRGGGNISFQADRPP PPQYDQQRGGTGEVDRSNPFAAFLDPKFVPPPVVGANGRIPPPPPPVSQQYGRGPPSA GSSSYAHGGYGQRDDGGYGSGSGSQRGQYGGYGQGQYNGGRGGGGDRYGGSQQQYSGP PGGGAGGRSISDSYYASGSGQSYGQGGYGVNGDTGGGRGGGGGSQNYRGSYDPRYGDG YGRR EPUS_04318 MLRILESQAPVKQTATDTISILSGRLQSATLLEDRRAAILGLRS FAKLYPASVASGALRDLIACLRRDGDDLDTIKVVLETLLILFNPDEKSPEASDEIALW LADEFTQRQDNITALLDLLESKDFYPRLYSLQLISRIATARPERTQESISTAPLGVSR LVAVLDDKREAARNEALLLLVALTPSSAELQKVVAFENAFDRIFALIDAEGSLTHGSM TVQDCLTLLANLLKLNVSNQSYFREIGCVSKLARLLAGVAEEEEESKDGVSDWAKPQR DMNVWGLLAIVQLLLIHGAQGTPLNQDACWKSSIVLQVLHLSFSSTFGVGIRAKALST CADLIRGNATLQEKFGDLNVPKGHPEAFTESNNQPLPNGHPTTNVIEALLELTLEPAP ISLFDVRLAACECVKAFFTGHKGIQAHVLRRAIEGHKSGEDEIPNILTVLISPNDSRA TSDPYQPWMASVLMFHLLYEDSDAKAIALAVSEGNADKGEEVISFVQSIASKLIAGYQ QQEDERILVGYLMLLCGWLFEDPDSVNDFLGEGSCVSTLIQAARVSSQSMPLAAGLGI FLLGIIYEFSTKDSPIPRSKLHNLLVSALGREVYIDRLTKLRENPILRDFEVLPQCAR TGSESGLPDVFLDKTFVEFVKDQFSRILRAVDRDPAFEVSVVSNGVQKGISRELVDSL KAQVDEKTNTLQNLESEMVLLNRRIEQEELDHRRTKDSSAVELARIKQINDSLHKNHE EELKVVHDGFARQRDELIRQYETQLQNLDARLQQSIAENEEKANKIRERNEAEIGDLR ITVQRLEAQLEKASRDHVQDLQTANEEYVSKAETLENRAKRAEEKVEELEDMIKTIRT ALEGARSERDSLKIRVDEKETARKEVQTELDDLLIVFADLETKRAQDKKRLKALGEPV SEGEDSEEVEDDADDEDRPEPD EPUS_04319 MSVDFSKEEKGVLTRWREIKAFERQVELSRGRKPYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGHHVERRFGWDTHGLPIEYEIDKAHGTTAADFV KANGVEKYNAECRAIVMRYASEWREFIDRLGRWIDFDNDYKTMDASFMESVWWVFKRL FDKGVVYRGYRVMPYSTALNTPLSNFEAQQNYKDTQDPAVVVSFPLLNDPQTNLLAWT TTPWTLPSHTGLAAHPDFEYIKILDEASGKNYVLLESLLRTLYKDPKKAKFKILERFS GKEMKGWRYEPLFDYFYEEFKDYGFRVLNANYVTAEDGVGIVHQAPAFGEEDYKVAFE AGVISADRLPPNPVDEKGCFTSEVRDFAGQHVKAADKSIIKYLKNKGRLIVDSQITHS YPFCWRSDTPLIYRAVPSWFVKIPDIISTMLESIGKSHWVPSFVKEKRFANWIANARD WNISRNRFWGTPIPLWASEDMSEIVAVGSVEELKNLSGYQGELTDLHRDKVDHITIPS QKGKGALHRIPEVFDCWFESGSMPYASSHYPFENKEYFESTFPGDFIAEGIDQTRGWF YTLVVLGTHLYGHIPFKNCVVNGIVLAEDGKKMSKRLKNYPDPSLVIDRYGADALRLY LINSPVVRAEALKFKESGVKEIVSKVLLPLWNSYKFFEGQVALLKKIENIEYTFDPEA ESKNTNVMDRWILASCQSLLEYVNEEMAAYRLYTVVPRLLELIDNTTNWYIRFNRKRL KGALGVEDTQHALNTLFEVLYTLVRGLAPFTPFITDLIYLKLLPHIPTSLHSEDMRSV HFLPFPEVRRELYDEVVERRVGRMQRVIELARVSRERRTIGLKTPLKTLVVIHTDKQY LDDVQSLEGYITEELNIRDLVLSSDESKYHVQYSVSADWPTLGKKFKKDAQKIKKALP SLTSDDVKRFVAEKKIQLGGIELSEEDLIVKRGFEEDDSARNLEANTDNDVLTILDAE LHAGLADEGVAREIINRVQRLRKKAGLVPTDDVKMEYYVQSDPENVGLENVFETQSKI IEKALRRPMDRHTITEVEGKIPNGVEESVIAEEEQEIQKATFLLRLVKI EPUS_04320 MRTDVELLHQIVLRAQQRHDVQKRPKRALFEAYEKVFAENGLDT RQDRACLRIVLQLGEPQIPGDLLYDKFEYVLRQIGVQLAFGDDDLPAHNDYEGTARAK PTDETIENRSLLHTSPRRPTRRASFTSMHDITSQQIRQPKPRQLSRASESRLQDERAP LAIQQQNIASLQMLHEAQPSKQRRYSHSSTSPKRHGVKDAYKRGLHVPKDQLSRDGRP EAHLEGQVLQRYSQDEVPTDSELEGSPSKLYPKEAFYQPSMDLDRHAEVFLDVRLRNL QRNLLHRWIRYARERSEHIRAIELQAVTKDFLTLKRQALDFWRAAHEQKRQRDREERF FERLHNRAGQAYDLYLLTKSFTHWIQITAAAVAKTNAARRKFLFTKYFNAWYEFTVTN ELKAERHGLKAPLNLIRKRAAQYYRDQIHALEVYHANLTKYVFWRWFREWCERAAPRY REQQLQRRTFNKWLWAYRENRARQFGADTGFVRRSLQNVFRTWATKARIDVAGYHQAD SFRKSRLLGTPLTHWHAEARLRPVANMVLRMRDWRIARSQFSIWQLRTRMVFRADAVN RMRTLQNAYSAWNELLRSQTLAARVNERIVAEALYKWVIAQRYALMIRITQRRQKRNT LQFFHTGTREKWQILLRQEAKVVDARKQHVMELTLSRWKNRMTVVRAYARMALDFYDP KLKQDTIEAIRLQLLAKQKLETWAKNARFYFLMTRYLAVLRAASAERKKARERAAHAR MRRKCKMNLARGVLYLWSHKCSENAGMSKKGEEVYNRKMKFLQNRLLDVWQKTALQRK AEDIETSTRYERRLLDRSLGMLVDTSRHLYSLQSRADQFYHLKMSEMCSAQLRRFSMR AFEMRRREQDADAMHDRHWNKHLRNILRHWVSRTQSSVYQGMISDAPTERRQEKEPTD AGYATASNEDQPQSANENDLGATRKAEEWTAFEADLLEGSDWVPSFDNEPLATSTPMP APGYLNTPSKRAARAKALANLSTTPVTPFRPPFAARLRAGNGNSPGQGRATTARRGGL EFKSARGSNVQNAEEDD EPUS_04321 MRAVLPGKPPAKPQALCTAHWDGLRVITYISGRALIILGDAQKI LQTIYIDDAASLEAVTIDERSGKIAVCDNIHIFIYRPVGKEEGVLRWSQQSESQGEER QISALSWGSPDELLVGGSILTLWHFPDREKPFSPWTQKLANPIQHVQFSPDASLIASI GYHDRLVKIWRRRAFGSDDTRFDVSYLRHPSTVTDIHWRKPWHVEQNVEDLLYTFCSD NKIRVWTATDNHALSVLQQWGEIDMNISIQPRQGSLMALTDRRYAFVIDSRDFSAAAE RAVQSSHAETHENHALEHLIEIANRSPEICVVLDGHGRMSAWGLENAGSKNHRPANVF NIAHVEGLNISFGQQSNSDEDYARFYTFAGTTSDTSLTVLVHYYDGRINWFDTQVTEL FDPSQRQYRVRFKATWSGHDGPVKKIIRSLSGTTIMSRTDENHAVVWKQTISKLGPRL IRQSSLLSDEHIHRSCVLDNGKLLVNLHHDAISLWDTQSYSAKRLMMCHFSVSSKPLC VLQIPTPAGTSSGIYVATICADMKGIVWKLVPQVKGVPGADRANGDSSVMEEFCDFAV DLPEPLSYVLPVDPAGSLATASDFLDIFATDIALAYSVSGTLRMMTARIDERGRRIDW LMTSTVETGIPHISLASGSSIRKAAVIDQSRTRLTIWDTTGSQLEYDQRFSETDVIQD LDWTSTPDNQSVLAVGFPHRVILLSQLRYDYLDAKPAWAAIHEVLIRGLTPHPIGDSC WLGGGNLAVGAGNQILLFDRDVEISDQFVSEFRLPRRQSATLDLFQVVRRLNGPLPVF HPQFLGQCILGGKTALVHKIMTTLLHKLKFERDEIDSFLDLPLQILSEEDQFMAGGAS KEMHSSFADFSIEEDPQVVDENVAASLTENLVKVTLPQISHHEQFYLADIVECVAAVE KHRRSMDENAARFLLFFRQHMLRRGRSPDALLGVSWREITWAFYSGSQDILIDLVSRS FHGKMLWEHARESGMFMWITDSTALRAQLEVIARNEYTKTYEKSPVNCSLYYLALGKK AVLQGLWRMASWNREQASTVRLLANNFNEPRWKTAALKNAYALLGKHRFEYAAAFFLL AGALQDAVNVCVHQLDDIQLAVTIARVYEGEDGPVLQELIEDRILPHAAESGNRWMAT WAFWMLKKRDRAVRALISPIHSLLDGSPSSPDSPDHNIPLRAKSYLSNDPALVVLYRQ LREKTVLTLRGATMIRPREEWDFILRNARLYSRMGCDLLALDLVRNWEFLRAAPTPVK RLSMASSRTNDPRQLLRRRSSLVVDDLPQLTSPRSTVPPSPEIKEDTFGKATTGAKKP PPTVFEEPDASSLLDNFGF EPUS_04322 MKGCPACVVLKVLHSEPFIRIVVASCRLSKYLRDMLERNGGEQQ QDENSCDTNKKAMTTGVEASTAATAALPDFSFWLNAVHRAVSEDDFWGLHFWEDIEAR AEDLEMGVKELIRQCCGLSSFSTSAIAGTPQQQQQQQQQQQGSYYQTRRAVSSTLPGN KESAGMPHTITPSQTQSLKQQQLPASGLIKSNTCLTKRLPGEEQAWMRKVIEACWVML TREAMMGGKRRMDPRGSAEAAVGMMRPAGAALRCRSLTT EPUS_04323 MSRKVNRPSSQDVVEVNRTYPKEPVLSGPKTQMLPDLSASTQEI LARVQAESAGSITDEAPILANDLMESTKSTEKSVPPGSLAKNAAQFQRPRMASGIFDK SKLKSAFASALIPKPKTGPSQPSNDSANQLSAVAGISTGKSSTVQSEKPSRSATELSR VGASSMKSDESTIEVLPSSAKSAQGDTNTAGVLSKQKPNVEKPETPKPKMRMSHTYVL PSGEIVNSGKGLGRGRPGIKRGPRKRKSMSSQPPSEAKPISRKRKRTSVESDLEQRSP SPLHSTSDSGDEITPQATQTRSGRHTQRPPAFVPPESPSHKKPRLSEPASSNAGKLPI KRKVYKGKEQSALCEHCLRGYGPLKNAIVFCDGCNRCWHQRCHSPMIPRKLVLDPNSE WFCTECTAIKQKPNKVVRAPKTERPPARVEEPTPTPLPSAESSKKDYFNSLSKEHLVD LLMQASTLAPHLPLWQFPPAPSPPQPSNAPTPNPASSTKEDAYPTPKNEVSDLEDDYD EYEDEIAKLYPRPGSGVQLPPESEDLHMLLEGPESRTFSHALREGIVGTGSGRVA EPUS_04324 MESDSFDAFCDFCRENFNECSPWAGGVYKATRIRSIASLMTSRA QGCSLCRLILVPTNIGFLGHDHVAFELGHSDRFPDVLELRFRPSSVEGTSSGIYKWID LIRINSVTYPTIRHLPHQSTWPRQTRTQASKWITECWDRHQLCHSQLPKAKRQTTRLP TRLIDVGTVSRPYARLVHSSNLSSETQYMTLSHCWGQTSSLVLRKDNFRKFIADISSE LPIMYADAIQVTRELGIQYIWVDSLCIIQDSEEDWRHESAQMDKVYSNSRCTIAGAMS KNSDEGLFFDRAVPAATPCKISCTWNPNHPETFLCYDDSPWIDLEFGPLYSRAWVVQE RLLSPCMLLFADDQVYWECSELIASDSCPSGLPLLIHRLKSTWSSVVQCQPLPLGNIV PATEAELSLSRSNAELGESAIERLMGAWAYIVSAYTYGGLTFTSDKLVAISGLARQIR KVLDAEAEDYLAGLWRYKLARQLLWSSSNQAESKQVRAPSWSWSSVDGWATPVFLEIL EYATTRLEYWLDTIVILQASTYPIADPFGPVSGGHLLVQGPICRIKRPTESVKDPHWW RNAHTLFTTKEGILNLGNAQCSWSIDNLSPDKVEVDSVYLMPSAVVRFARRVLRGETS STSGIAKTSPKKDSTRRLDSSLEENVGGLAMVKMLAVKGQYQRIGAFKLTHKGAQADL RKAIGARTLREEDYLDFDGSESYTIKIV EPUS_04325 MSLAIEPVYEIDPAQVGDLDVFADPNTALNHLTNDIHPVWAYRN WSRNVRVKETPRMECKKYRDRPLSEVDRRARCRELPASIYERIQPALRLASLFLEKSL PWFFNVCYAPYQSFGRPKQKELRLDQGDWTQIKEDRIRRDLNIIAQRYFILHGLYGWE LNATGASLSVRANLDADVPEDPDENEYTIYWSKKEHLLTVIAKETIEFMGSQRWLDLP LAMQQRCLFQLALLMVHELAHIVNFYRSEEALLNNEPYYRRTEPTRELGFSWEYYMFD GLVGVVDGEFTGPRPGDDTQGLTCLPDWPYKFQSHVQDFSEWKHPRSRMDDFKHSPGR WLVASKSIEQFFDMRRWNQWLGMPINHGPMDQSPFVVHLSPSLFMTLYQERSLSYDVW FYRRLHKATGLVWPEVTNYDSHHSDTDWSPPSSPDSPEDPPALANAMDTS EPUS_04326 MLLTLKPPNQNDFKSPHDYPSFNSSSSRYSPPPTVGNSSGFSDT FDSRMSNPHRGLPPPAAMTLPPASSNLPAMAPLGQLPAPPSQWPGAEESMRNWLHAKA EEDKRRQEEEKTKQETMRLDQRRIEQTMLRESLQGGVPPVMVPLIFAGMGGGNLPNQS LEWAQHYMAQMSLQQNQQQQQQLQAQQQAQQQQQQQQQQQQQQLQQQQQQQLQQQQSV SAEDIRRDNRMVPPSAYPSHQQIQPALTAPPGQAQQILAQATQTPLARQAAGPRTSTS SSSLSRLNTNEIQIQGPPTTTAPAPFASQGSHPLQQSQMAPQESQQAQSSPVIYFHHW VPPNTSSGQNPPTPSTKSQHGSPYSQNAPSHLRADYQNSPKKRKATGGHQAPPAPTSQ PESSPQFSRTSSRDRESPPSQQRERAQDSRRGSGGSSTRGYNGHSMARPSSRQLRQEH SGESEGLGLNRQGAGSSSSTSGDEPQIRMHGPGSETRQSRYPAGPEMRERREDM EPUS_04327 MDRKKPPSAFRLSLQGLPYDQTVPDRPPIPITTRSAEPTAEQYK TYGIAQPTYMSARSGLDQEKGPRCDSGLAATSVRDSAITVHTEDHSAGSIRSALSVPQ ILLDNQAISVPPPHRSERAWLDVKQTNSIPSPSTTIDRPFQGLTLDISTGDLVDDFQP ERIEFSKRGSMLFGGRKVTEPAKPVNGLLQPGFGSRRVKSNPSLRKRPAAKVLSTDEE LLSHKVRSYYESGTDCANGWDQDSFLGQQMSRQWQDTCASLEGTSVKTSISDVRSDPS TADQTPESRRERTIEKEELELAGGLEYWRDIQNGDVDRYGFIMPRSSTVDAVNSGAMK RSRSSREPPALQRVSTSLQLAADAPRRKLAMRRSPSNANSVQSAIPGQMSIRQPKIRI TRPRSSQSSYQGSLSGGSSRLRQATNRLPHNRDRRFMDEAGDMLTLPYGLGDIAENGE SEAREVHVRRKEVERDEKWRKMAKVVSKTPDGGGTRFEFDTHSPKLIERTWKGIPDRW RATAWHAFLSASAKKRKESLSDEELIAIFHEHQSDSSPDDVQIDIDVPRTISSHIMFR RRYRGGQRLLFRVLHAMSLHYPNTGYVQGMAALAATLLAYYDEENAFVMLVRLWDLRG LDRLYMSGFEGLMEALDDFEKKWLKGGEIAAKLTELGIPPTAYGTRWYLTLFNYSIPF PAQLRVWDVFMLLGDSDSGSAPNVSVQPSPFGTTLDVLHATSAALIDGTRNILLDSDF ENAMKVLTSWVPIQDEDLFMRVAKAEWKMHRRKA EPUS_04328 MAQDSKPKMRYVNLGASGLKVSKFILGCMSYGDSRWAPWVKNRE ESLPLLKAAFDAGVNTWDTANMYSNGVSEEIIGEAIKRYNIPRSQVVIMTKSFFPAAE DQVGTFNGRPEIRQDPRYINRCGLGRRALFEQVDASLGRLQTDYIDVLQIHRIDDTPF AEVMKALHDLVESGKVRYIGASSMWAHELAQMQAIAEMRGWTKFISMQNEHNLIYREE EREMIRFCKKTGVGLVPWGPLAAGALCRPVSQLTSTDRGQGNKDKIKSDADTEIINRV EELAKKKGWTMAQVAFAWSAGVTTAPILGLSSEDRLKEFLAAVDYELTPEERKYLEEP YKPKPVQGFNQDRTTS EPUS_04329 MHYRSFFTAFLATGLVTAHPYHHAKRAVSPDGSCGPANGYTCPS SAPCCSQWGWCGGGPEYCAAACQPNFGNCDGGGGSTTTQGPPQGPRSGSVRDVPRPKI GSVPYGSVIFNCVRNGDIALTFDDGPYIYTPHLLDVLAQYNVKATFFVVGSNGNGDID QVGQWSDIIRRAYNEGHQIASHTWSHPDLTTLSSAARREEMYRTEQALANILGVFPTY MRPPYLAFDSASQADMADLGYHVISTNLDTRDYANNSPELIGNSQRTFDEFTARDPGS ASYIVLNHDIHRETVYTLAEYEIRRLQSRGYRPVTTGECLGDPPANWYRSVPQSQFRI LNLAPQSAPSSEDLAAQEFAPPARTCNFIEDPNSVQRLFALV EPUS_04330 MASLFNTTSNISLYTVPFAWALCLAPRVYATQLYEKASSRQFDN REPRSFTKMVMENQSIDSATKGRIIRAESAQQNGFENIGFFATAVVAGNLARLDTTWL NTLSVGYVISRILYNLIYLNNTTAGLATTRTVTYISGIGMIWTIFIMAGNKLRSSPWE I EPUS_04331 MGSPRQEYPALLSALEPSQAVNVLNDRIEVISKTNDDIADWLLV DTYISAHPFLDRSHSSRKDGKSRRHMHRVSGSLPEGRKGVEVHRLGSTGSSDTLGFAN SIRIFQLPWQRIVESTESLAQSHESLAQKIEIDAETPLRQFASKNREMQAMSTVRGNL TSIAKDFENAQKKADKLKDKSGRLLSARAANANSSVDDANQQWETQAAYVFEQLQSLD EVRVNHLRDVLTQFQTHEVDSIEQNRKPAELCLNALLNIETADEIKTFAARISTDPPR TPLSRRQSSASSTLRRLSSAGGGAPPMPPPPRLTGDRTRPTPTFSDETGQGGFTPETK KTPKKQGLKSRLGTVMGRRKNAAPPPIPSAEKPKKERNRSSLMPFRRGDSSRSQPDSE AVSVTSRNMAPTTSEETTRPTSSMRRPETANRPSTRSENVRQEQTYMGSALVNGTSSA ENHVDVTGTNASVNQATTTNTVTLNQPLHELSVTPPSPVQHMDVVSRAQQEAAATNAE NEEAIRKLKIRDQPIQEDEIEAQQAMDNMANQLRLQAQSSGINRLGGSMRGRRDVRNT IFVPNPETRLPENEISPGSEAGLAPATAVYAAETVTVGTAASSYADSTTASPIKAPAL LPEERNMSDTTSVHSAQSLGTLVHHPEMHEPGLNSSIVETVSTWFSDGTVNKSFVVGE VALAYNSSESPSDTELIRLDNFQVLEKIAPNPGFVSAAASEKGKEKAISEEGAGQYIV TLSAIKRPTPVVAFKYQLHLDPSNPSVYSPVLITPAWQIQDTQVSVIVMYTLNPAFVL SENKTQSSTISITLKNVTLSITLDPSADAGKATSAMMAPQIGAAFKRKQGVVVWKLPE LVVSSEQQKLLARFVTTGSRARPGYIEARWELPGTTGSRLGVSLLAGAEKSREFAADP FADDGTALASPAQVWKEVNTARSLAPTPLLSARQTTTTTPPSTTPAAACDCLSGGEIA GIVIGSIVGVLLLLWMLNSARNGSQGDSSERRATVVSYSDDNGGSRRRRRRSISTSGG GGETVYVEKVRRPRTARTRGN EPUS_04332 MGVTNSLDSTVAQAYAKELEGQIYDQVTSKDEYDTACTNKINEL KQQSPLVESRASEPEADGLYLQGPDYGPYRKATFYKHGRFSSVFKAVDKDQVDDMDAP TSNLVALKVTTPSAMTPPHDSEREARILQEARSESVIQLLSTMWQPGGRLVLVFPFVP VDLETLMQSSSVEQKDVKSIARDLFKALSHLHSLQIIHRDVKPSNILLRSQTGPAYLA DFGIAWSPNDPASEPAQEKITDVGTTSYRPPELLFGYKAYDTSLDLWAAGCTVAEMIR PYHRSIFDAGDLGSELALIRSIFSTLGTPDEESWPSVKQFPDWGKMRFVDYPAKPWST ILDGAIDLEVEFVSSLVQYEATRRMTAAQALSHTFLKEVL EPUS_04333 MSEDCANPLLLGWIKEWLDQARERNSKGITVYKKAYDSMKACPL RFEHPAEAQQLHGLGPKLCDRLTDKLKAHCTENGLPMPKVRRGVTKRQLNDSAPLDEG LAPAPKRVRKVKAYVPTLRSGAYALIVALATIGENTSQGLTKANTIELAQPHCDSSFT APSDPTRFFTAWNSMKTLIGKDLVYEHGRPLRRYMLTEEGWEVAKRIKGTEEGSVLNS LVKGPSREESSERPTRKTKTAATSNKRDVAHNQGLIDLDEISSADEIHQSDAPLGQRS QIGEQMHLQVDTLPNFSPIVFPPGSCTVNLVLDSREVRTLKDREYISEELQKKGISPI TRGLPLGDALWIARPKSTHEQLLARANIGDDEEGSNEFVLDHIIERKRLDDLIFSIKD GRFHEQKFRLRRSGIPNVTYIIEDFSISAERGDKYGEAVSSAIANTQVVNGYFVKQTG KLDDTIRYLAKMTNMLQEIYDKKSLHVIPSAVLHPQTYLPLQTKLRVEQPDQGHYITF SAFSSLCSKSDAMSLRDVFLKMLMCTRGVTGEKALEIQKKWKTPYAFVEAFEKLDGEA RERMVFEQLGTFVPRKKVAPTLSAKLAEVWS EPUS_04334 MSADIPQGDVMDNDYKSRPGQSHIPVQGDEKPVEDPIDPATADS DEQLAKDEDEAIDKSNIIGERTRGAKPAGSYSEPGDEEGLPGPDDGTSAVAKSGS EPUS_04335 MVAKQIPNSHCTVRPVYLDCHLDFRPIKRKTSLELLPNEVLAEI FSYLIPKERTIDTEFPEDLEINQRIDYHRDHNERNTHSPRPDSNPLNIMATSSLFYQI GRQHPTFKRRSYFAIISPKAIKFEGHTDRSLERLQGTMPLLQNLKLVLDVGDWGVNMR DGHVNRFLSNYELFFRRFGGARMTMRAGRLQLRLQGNFACEEDEEVEVPDCLQELGDH CVEALISGREEDLEGVMQWWDDTWMDGGEGESRPEGGMAAWGRCVRNVQMADFFRLLA RFLYSE EPUS_04336 MAREQKLSGDNIVLLPQRSEAQGDIALVRHPSESCPIPRSDRNS KPFWRPIERASGLSSADREAEEERSETKKKKSPCFRRLEWSDPQLGTLGVLPDELVIE ICKYLVPQEVPGTVFEGNCKRFRGARKNILHFMQASSRLYWMARDLECFGKRTYNFAV SGLGFSFEGHRDCPSRVIKAALKNVESVKVTVEIDLDRMADVHYMETLVVACRKIAGS MQHVKGTEERLQTYDIDIWLLDSRYVPGKPSHPRTVLSGGTPDPWEGHIITIDNLLRK LLKHLVGIQNIRVGNVQLLALPLTYHFMWNASTPLTTYSMAIEAQARWRRVQAVVDAG AYLLSGWDMNELKWCTEFLKMSDFTGYYTRAECMSKLEPPGSQRPPVYDRIVRSRCRC SPICWHI EPUS_04337 MPTAGIGMESRYRVLVPMTIDNTGTTSAASTNMEFACDSHHPCD SCMASREALEVATNVHNAIHQIKLNCECLRINQAFLKNAIQRYSNAIIARWKKRSQTK RAEILEKAFPGMPQKKFSIIQARYAEENLEASSLCCTFLLPYLSVEDLSSDPMRLLSL LYVRTEYDASTWAGFDLENTKADWHEGLLDSVCKSAMIAYGARYGEIVEWDQERVDQG HLIALPRANLLIQIQATLSAMLAETVGILTDGATIEERPTKWLALIESGFRRSREDEI YSSFIDRAFQRPPVFDIDELLKIAEARTANAQDQLWLLQTEPSHVHREYRLLQDSEYF SVVVPQLSGTVDVEKYNLIGNILSVESTGRFSEWNCVVEECKHVREQLNGCGGQVQLG KPLPEKYSQAVSCLEAALESILWRWTRDVASLLPKLRGFASCYDHVLLDIQRAMTRTT AKRLPDYDCYKEDPLFWCLYLIVYHDDSLESSFEPPFVFAFLGDLVGNDTRVDKNRLD QRLMDGLADIGAIWEMIMAIRYHRPSRYRMETLQALDLGSARAGCRRLALETRRLRGT QVFPNQMSLSVKPPLQLFVESFRPGDGTKENDRHERRMASRRLLKSFWDAARDFQRQY LGGIGLSTEEIQMHLASISFDLAPEYLESLEKRNQKIPSPVHVARSLTGSEASQCTSW DVSQEQSSRFVNVGPVKSKMKRRPTPREDDVEAPLPEAGPAANEDVHDHESDSTDSRI ENILLVTPESFKIFMDMFPSKDLDSQTAKKGLTDWKKFVGAMTDAGFVANHNSGSAVT FIRQSGGRIIFHKPHPVAKLDRHVLLGYGKRPRRWFGLDQESFQVAPRAGT EPUS_04338 MVLPHELAPGYGTKLLGQRIPLGDSPKAPCQCLECGQQYRTETA LKMGNKTYSRLTGVEDAQKLLDEQVATIRANQAFLRQMLLNHGNAIFSRWKKASWSRR ASAIQNALPDIQVEKWAIFKKFYGPSVVLNEALLRKSCLLPYINLDDLRSDRFKFLGL LYARSQYDLDDWVAFDVNQTKMAWEHGWVAVEHCAHAVIMFGAEYGKLVPWNRDQAHR WDIIGFPRAHLVIEAQFTLLKLLRDWAELVEGGFGRSSESETWTLLTNQAFTAPPMLN ADSLFEIAISRFKMAQDHVWLLQTDPYYMRNEARYVLDTQVAKTVGEKAGRPQYLNFV ANNMVLRAFGRVDHWDMIVRECQNFQAVSQKFCSAIQIGQAPPPEYQTTIRLLEVLLI NILLAQTKGLEVRLPSFRGFEKCYGEVAISSEWYKDDPLFWILHSLTADPRSSVDPAM LFSFLDEVMSGKNVDIDRNRVDQQLMDQLSDLGATWELLIAVRSQRPRAKEELTNEDL NQCQESFSRVWLRHSKTSGRGRQGLTPEHMPALKAGSLLHQFELLPLPSGKKDAVWQQ RSDDVHARLTAFWTRARQWLENDFSKQGCPEEHIKQFLGLVSFDVAPEHLKALEEQRK TILAGPKPKAKPLTTEDTPAQSSWSPSVGEGSSAYLVRRPKVKQKRRGTEETKGQLEV QSEQVEDFHPTSSAESDNPSTFTIPVSAETLEIFNDMFPTGSEDVGEPKKKKKKKKKK KKGLLDWRSFVSAMTDAGFSTSSSGGSAVTFANAHGKIIFHRPHPVAKVDPGMLAWFG KRLNKWFGLDRDSFVCAADTKKGGKDISTAAKENEDDQINEKGNN EPUS_04339 MTTTTTTSSISLLTTITESLTSATSSFPAAQPDSPPSLLPPSAD GISLLDTKNDLLLSYLQDLVFLIVLKLRHHNGGVLSDGDSGCVAIGDEVVRKLVETRV YLEKGVRPLEGRLRYQIEKVVRAADEVERSAERSKNGRRGGTSNGMRTTEGSDASNSD SDSSSGSAGSEDTTASHEDGTQPEIDDLSYRPNPSALLRRSHPSDSIKSTSRSSGAYR PPQIAPTSMSTTSTTNVASRSALGNRKSHLLDEYISTELSSNPRAEPSIGSNSTILNR GRGALSTRERDKERERTEYEERNFSRLPRESKAEKRKNMGRDGGNARREGFGGEDWTG LGEVGDRVARSIGSGSGKGGVLERREKRRRVGGDVRVGGGGSSGGIGEGFEKMRKIME GRAEKKRKGR EPUS_04340 MAPTFLQSAFASLLLLTSSITSATARATLAHRHIHHQVSKRDLP IATNLPDPWTHQGCYTDAIGSRTLSSAFYGDGNTMTSASCIAFCAQGGYSYAGTEYAS ECFCGNKLASISTLASDSECNMGCTGQNTTQCGGPNRLTTFWNGQAPPTGPFENTGVN GWTPLGCYTDGVANRTLTTIMPTTGGQAALTVALCTSACQQAGFILAGVEYGSECFCG NETANGGAPAPGTNTGCNMACNGNKTELCGGPDRLSLFGYKGAQPTGWGYQGCYIDNA QGRILTKQQPDSTTNTVAKCIATCQSLGYSVAGMQYSSQCFCDDFLYNGAALTSDSDC NMPCSGKSTEMCGAGNRMSVYSNDTLRVYQPPAVQKTNLPGNWQYQGCLHDLADPRSL KYQLILETNNTAENCLSQCSKFGYGAGGMEYGLECWCGDEATVKSLGRTFYPESDCNM PCPGNASTICGSGNRMSYYTWTGTPLNSWDFPTGTAAGKYEFLLGGVVIPLITTLAIN GKVTFIEKYGTGAVNTTGAYELDVAQVNNFTGAWRPMHVKTDVFCAAGLTLPDKAGRQ INVGGWANDDTFGVRLYTPDGSPGVWGVNDWEENVKEVRLQAGRWYPTGMIMANGSIL VVGGQEGANGAPVPSLEILPKPAGGTVQYCDWLQRTDPNNLYPYLAVLPSGGVFVAYY NEARILDEISLETVKTLENIPAAVNDPKGGRTYPFEGTAVLMPQHAPYTDPLTVMICG GTTPGPEIALDNCVSVAPEEADPKWTIERMPSPRVISCMTALPDGTYLILNGGQQGRA GFGLTVNPNHNAVLYDPRKPVNQRMSVMANTTIDRLYHSEAILLQDGRVLVSGSDPQD VRFEQEYRVEVFLPPYLLSGAKQPEFTIVNNQTSFGYGETITLNVRQYQGTAGDIKVS MMGADSSTHGNSMGQRTIFPAVSCSGTTCTVTTPPNANVSPPGWHQLFVLDGPTPSHS KWIRVGGDPAGLGNWPDFPDFTKPGV EPUS_04341 MSAANSQLNSRRNSIHASISTPQTPVSNPFSNPFTSPTRLSRTA SQDERRPYSYFPAVSGVHIPRQRKFKSARFKGGEYEKPWTEIPEPRKKWEKIIFWASI AIGFALGGLICFVSISSIANHSYCLLMEDNFQTIDTNFWSYEIQRGGFGSGSFEWTTD DPKNAYVDGEGLHIVPTFTTESTDITLEQLTDNYVLNLTTDGVCTAQEIDSCSVRSNI TAGTIINPVRSARLSTKGKKSLRYGKVEVVAKMPRGDWLWPAIWMMPEADVYGKWPRS GEIDIAESRGNSGDTYTDGRDSLIGALHWGPVSEKDAFYKTSGKHNLRRTDYSEAFHT FGLEWSENYLFTYIDSRLLQVFFVKFNLHYDNMWERGGFGKATLNNSALFDPWSQTGR ANTPFDQNFFLILNVAVGGTNGFFKDGVGNKPWGDASLTAPKEFWDAQAQWAQTWGPG DTRGMTVKSVKMWTEGTCGSA EPUS_04342 MATDTFNIGAPTFSSKDVHNVRHSRPGLSSKNSAPASVRSNVTG GQDRHDVMVKYLYRKCYESKWLEPTEDDFGLSDGGASNLGVLLRRPDGVYTADPMFLN TELVKSVEKLGVPVAFTMSSDIVQTLLSTVTPFQTELCLDPRGFVLPIVNSVKELATQ KSSVTKEAYICLCRREKYVLVWSDTVQGVLAHGADVETRLLGLVWGSPITTPPTNGSL NLVRASMMPGASPGWATPSDPVVNEKVTVIQAAIEQEELGDKAYDPEKDQGVPKRPFL LTHAMVIGLAMVLVVVVEMACVAKLLQEVRLDGQMIRFALVATIPLFASFSLFFMIVI TGSLFQLVGPLSSIGQNSLYYSGKAPKVGRYADLELPHITIQMPVYKEGLKGVIMPTV ETLLAAVKHYEEQGGTASIFVNDDGMQLVKPELQEARKAFYEINNIGYCSRPPHCTQE GDKYFLRKGQFKKASNMNYCLDFSLRVEDEWLKLMDETCRERDCTHEDISIEDEQEMY DQARNRMIEQDGGRTWAAGNCRVGEYILIIDSDTRVPEDCLLLGALEMHESPEVALLQ HASGILQVVNNVFENGITYFTNLIYTSIQFAVGSGDCAPFVGHNTFIRWKAIQSISWE EDGMTKFWSDSHVSEDFDVSLRLQMNHFVVRLATYHNGGFKEGVSLTVYDELARWEKY AYGCNELVFHPLIKWPTRGPITPLFRKFLWSNIKITSKVTIMAYIGTYYAIASAIPLT LANYLIVGLFGDNVDQFYITSWRIFVGMAFVFNILSPLAYAMLRHRLGQKTFFKAVLE TIKWTPMFLLFFGGISFHLLKALLCHFFSIKMEWTTTAKEIEASGFRIGLDRIVRDFK WMYLIIVPMAGGMVYLAVYAPRGWQIKDFAAIVPIANQIGCHALLPFALGLF EPUS_04343 MAVQRSPALPPRLSGLIDPSRQAEYPILLGKSFSETGGNAAQLL NVRYNWKSKQIPQRQVITENQTSSESYKLVVREGTSEPYKYNGTADPKTTDPDLVDLA LVFDKEKSAFTLESISKSLNFNLTSAITKPNIEQLQQLETINDISRDASNHQDQEELG ESDEDGADAENPYDYRHFLVDARKEAEVGSATPKPVTPNMPSPAPGTSGRMASSKAAT SLQSPFMGPSMSKRRKVEPKTTARGVPNTSHPASSNAAKSKSRTTATAPRGRGGPKSA ERVDLTDEEKDQAQTSRGRQAPSRVASAAQQHTQSPQIIVDEASDLTIDMGSPPVKAK QKHRINVDAFASHSASQSRANTASASPQSRDSRANSEDGAEDDANLDDGDEDDDIEDL ALPSPRETRVASNSMSRVTQDRAEEEDEDDDGLAAELEAVFDQEDDVDHETVGLGISG NQHQPQMPSEEESEAPVVVMNTQNGDRQIGRKAQLSNITAAKTVADIIRSCLGPKAML KMLLDPMGGIVLTNDGHAILREIEVAHPAAKSMIELSRTQDEEVGDGTTTVIILAGEI LAQALPQLERNIHPVVIISAFKRALADALAIIEDISRPVNTSDDKSMIELIESSIGTK TIARYSALMCSLALRAVRTVSQDQSFASNTHLTNGTPSSSPSKKPASAPKVHEVDIKR YARVEKIPGGEIEASQVLDGVMLNKDITHASMRRKITNPRIVLLDCPLEYKKGESQTN VEISNEDSWNRILQIEEEQVKKMCDAVLSVKPDLVITEKGVSDLAQHYFVKANVTALR RVRKTDNNRIARAVGATVVNRVDDLQESDVGTECGLFEIEKIGDEYFTFLTKCKNPKA CTILLRGPSKDILNEIERNLQDAMSVARNVLFHPRLSPGGGATEMAVSVRLAQKAKSV EGVAQWPYKAIADAMEVIPRTLVQNAGASPIRILTKLRAKHAEGGSSWGIDGDTGNVV DMKEYGVWEPEAVKSQSIKTALESACLLLRVDDICSAKSAKQGGGDMGGGGED EPUS_04344 MSSNKRRKLSHEVNTGHDSSSPSAPVTPTGGDEIFSEGKADDEV GTKTFKDLGVIDPLCEACVALGYKAPTPIQAEAIPLALQGRDLIGLAETGSGKTAAFS LPILQALMDKPQSFHSLVLAPTRELAYQISQAIEAMGSLISVRCTVLVGGMDMIPQSI SLGKKPHIIVATPGRLLDHLENTKGFNLRGLKYLVMDEADRLLDLDFGPILDKILKIL PRDGRKTYLFSATMSSKVESLQRASLSNPLRVSVSTDKYQTVSTLLQSYLFFPHKHKD IYLVFLLNELAGQTTIIFTRTVNETQRIAILLRLLGFSAIPLHGQLSQNARMGALNKF RAKSRTILVATDVAARGLDIPSVDVVLNFDLPPDSKTYIHRVGRTARAGKSGNAISFV TQYDVELWLRIEAALGKKLKEYETVKDEVMVLADRVGEAQRGAVMEMKELHEARGKKG ATLKGRRRAGGGGGMGTGKGKRGRDDMDREEG EPUS_04345 MVIRMGAGEVGPSITQLAKDVRAMMEPDTASRLKVCFPAEIHSR AKVAHSGVQERKANKLKDYISMAGPLGVSHLLLFSRSNSGNTNLRVALAPRGPTLHFR VDNYSLCKDVTKAQKHPRGGGKEYQTAPLLVMNNMKTQQDASSDPIRKQLEDLTTTIF QNMFPQISPQTTPLSSIHRIMLLNRELSNAEDGTFVLNLRHYAITTKQTGVPRRIRRL DPKEQRQRQKKGSAIPNLSRLEDVSEYLLDPSAAGFTSASETELDTDAEVEVLEAQTR RVLSKKQVQKLRDGKSKDRSSGGSNVEKRAVKLVELGPRMKLRLVKVEEGVCEGKVMW NEFVTKSKAEEQEMDQKWAQRRKEKEERRKEQRANVERKRRLNANTRANSNGDRAEDE EMEDRWDSEDSDVDDVSLEDGADEGE EPUS_04346 MAQGSQKRITKELSELTSSPPAGITVSLADESDLLKWKVTMEGP ADSPYAGGTFHLLLTLPPQYPFRPPTLTFTTKVYHPNISSGPTTANPANTPGITTPAP AEAGVMCLGILKPEEWKPSTKIAAVLGFARQLLREPNPDDAVEGRIAEELRRDRAAWE KEARDWTKRYAMKK EPUS_04347 MVTPAVPEIIEDEDLFSAIDARTEALQGIRELGPPDIVYLVKQS SKGNGKQTGVYHHVTGVDASSSASLAAYVNTLIYNSADRTHRVVSGLYCCYNAFSNLD MRVEVKIPGSVESYSVDEKGDRRVASESLWLETFLCGILRAYSYADDGSGDTIKKIVG VRRFNPITNTETEHKFLDAAEQLFFNGRQLGSDPEIQVPNLVCNHLTAGLLKYIKTTG RYTSGINLFEKIRTKDVEVSSLLAQVLIAGDEEVQAVRLMHDALQEVPMDYALLDCQA AFCQGKGEGELALECAKRGVTAAPSEFGTWARLAEVYVSVEQWDLALLTLNSCPMFTY QDKDSPRMPEPSRILLPLLPESLLDEIDEGQPKQGEPYDEVHASLRKLQAATYQGTFL KAYNILTEIAKAIGWDQLLRTRSQVFVMEEEYRTERQTAIASKPSSSRNASTVGLSGT PNGANVGASKINGDDSEENREEGDSEASSGPAQTNGEAETRMRAAEKSMHKPEPTIAS ELVTSGNEDPNPSHPGYSQFQNKRLCERWLDSLFMGLYEDLRIYTIWRTEMAQYRQQQ IQYKKSATEWELLGELAERLHHFNEAIEAYHQCLAIRFSPQAMKGILKLCEREGDTRG MLGALIRLICWQYRWYSEFSPELLYTVRKLIEEEGAVKVRSIVQATNLPQPVLDLTHQ YCQLCATFRSSGSEG EPUS_04348 MSGFPALNIEPEVDNIEEVDDTREIQLEEALKLYQNALRLHSLG PEYLDQAANAYTELFNSEIFRYPEAISEFTRDELDNGPGDTLTVDQSLPQVNLVQGAS TEASPSSLPQIIYLSFKNYGQFLLEQDAFANPHYDSQDGSKKSSSHDIILICSKALKQ FAQALERDDTDLDLWRKAARVAQICSSDRTIRFCLESVLAGDDDRANEVVEILGLDEA LAAGQLEDIVGSLEDDLSFIQSSSNKPRKDLLRLLGKTIEPFPFLPSQSHLSRDRHPK RRALAYQPKRWVVQATTRSWHGVGQAILQALNDEQQSNIDPGPGAAVQIDVPAEPELS ERSKVDIGSLPSKVESRPAREASGRDSEMTDGAAQPSTDFVLSVKTETVTPSERDSLP DPALDIVMDSSKAEASSKRANEICQGDEINKEGGQTCLPTRKRSAEFAGHDEPVDNGR SKSKRLRNRESNADAMLQEEETANTLAKYYQDQLSDLALADQWLFSTSASLLSKLGVE ELGSLEDLRDDILHASNVEDLSDTDLSADAIAMKDLRSALSSWTEEKASAAAYGYGGS TFGGGKAGLTLFLEHSKTAVPRSDSILPFASSKDLFQFIARCNEGWYSIQQTAFDWLE ALIQPSLSYDATCSSNCLTQSSYKTTLWPEDLKLTVVQLIVRADEYIYQVMQQRLDEL VSRMLSIAQGSSAEYTLRDVAIADAAETLYELHLDIYSRITNPSSQVDSATRVLQHDR LQRWSELAGSFINIYADRADDVPVQQWHVIRFIWTATTHANMADNTSQEHITLCLQDL QKLMSLAGNPTIILPNNAALPEISFSAVEQEISRISTLDFFMSVFNDDSKDPIGVIES LEPILEPCSQPSAISPISVDGSEQPSPISVQTQQLIEFLESGDASLRLFLWRRLRDAY VAIDYPPKVLSCYLRSIEVIVSELLSQRHIRLATNTRQLALLKWFKELDDIIGKLLHR ILADSEAFEVVDEPHLQSSFSTIARLSRILHSIVLYEDAVRVGQLSELKGKNISGTKS LDKFREKLRDMYVRIWTLQYTLLREGIAQKKDHFENPADDQAAFLQSLHHALGLRGYC KHSSKIFLRLLKSELMTLNTKDDYSADMAQVLFDLHQLRFAPGIGDWNHDCPPEPLDK KSAAHILENVVLQARKMNIKDLLKSELKSTIEKVQQALGAPKSSPTLSYNRRVINAYL KSPVNQLQLFRASRGEGSLPVRIVQAESVKIAAYGWYFLLGHIALAKFKSIKRTNPGP TDDLDVAITFLKQDLEHDIERWETWFRLAQVYDAKIEEELLWTADKVNNFRSDLAILQ RNAIHCYEMAMAMSIRGADDSEETANMVSEMYTGFATRLYASSREPLSMDAFDTKYQQ RHFTGSNEQSGLYEGPSTPSMRIFAVWRFSAHLLRRALADKPRSWINHYMLGKCLWKM LTNTEPESPRRVLVEEVLDAFSDAIENLPGRKDSRTDPILEPHFKLVSVVHKLVHRKM LTPLQGSEALQVTPWARNVHLAEEDDSWEPYVLEILKKLGHADKSNWHHRIIARAAHV IYDDQQTIAGALGAKHEFTQQIFTKTMTLQVWKPEHERAGRHFIYTSRYVLFFVSLLN QLNDRASLDQLVRRIRRRPGDYLNHQQVWEQVVTTYIGLLRRLAKVPVGHEEAVFRAM DHDEFQINARKLESHAHEPETSNLVLDILRDTIEVKKLNNSLMKGSLIDDLIVDCYTS LYQSYVATLPQEEKVEPMDSQTIQHESVQDDGNRERMKLGSILTAQTDGNMVLPGPGT RPTAPALPTVGPSGMPAPPAKPARPKTVTRREVQRKAEGLIIRPPPIKTPTLLKHTAF PRPPIAVEIPQSSSQHVRSSSTTDTQAINVGLGLGPIEGSRILNLREDAVNGTKSAES SRPGSVHDSADDESELSELEEGDNVLDEEVVEEDRVERFNTALRMSILYPGLPSKVSE NDDSSVVISDSESARNERPEDMNVDENVECGKESNMNVINAVGEDTMKE EPUS_04349 MLAQTSLFQVYLRLRPALQNQTNKLNEPEPWLIVEPPSPTTSSE GNTSNAAPTHITLQPPSDSRKRAVEKFGFTQVFEEEATQLDIFESTGTVQLVKNILNG GRDGLVATLGVTGSGKSHTILGSRSQRGLTQMTLDVLFRSIGTRVRVADQSTDSSFLS SIQSSDPSEAQVLSATSFLESIYGDVDRGRGSRAQTPMATGTFSRSQTPMVGPSSHLP GSFPSFQPNDDCGPQSLYPKLASVARDPNLSFTSDQFTENGSSRTPAFKTTIRLLPTV SEAPRASVIAPSKLPFWNRSPQLKKTLTKTQTRKESMLAPRRPLPARPSALPIQPDVS TFTTEVDQSADYVVLISMYEVYNDRIFDLLSSSMQNNVGTTATRQGTALQKDLRRRPL LFKSTEMSPDRKLVAGLRKVVCGTYEEAMMILETGLAERRVAGTGSNTASSRSHGFFC IEVKRNNRFRQYENDIWTGGILSIVDLAGSERARNAKTTGSTLAEAGKINESLMYLGQ CLQVQSEQHDGSKPIVPFRQCKLTELLFSNSFSAGSCAGRAPQKAIMIVTADPQGDFN ATSQILRYSALAREVTVPRIPSVTSTILNRPDHTIKHNSRQTPHGEQLFTAEELEQAA NEIARLSEDCDQLAVRLTEEENKRSEAELKLQAALQAAEERALLIEQEVREECWMKME ADMDAERERWRMAKEEERVRAEGYLDGKIEILEKGVTIEVHEDSQQQLGEEIQRENEA LRRKVKALERQLNLRSPTKTRTAKTKPITTPLKENTNPASNPFLSALRKSGSESSQGA PMFEDGLSTSMNKLDLRARPSAQDDSPRKASVPKIPGTTKKVRKLTTRKWDFGNSEDE SGF EPUS_04350 MVTRRYFFLLGPLVIFLVILSLYHPPNPLSAPSLVQPIPTVNES SSRPDETVFKPGHAKPAGANYSRILVLGKLKSEDTSWIEHELPDLQTAIYVVDDDFAT LRVPKNKGHEAMVYLTYIIDHYDTLPDTSLFFHSHKSTWHNNILLNLDTAFTIESLSD ARVAREGYFNARCHHDPGCPDWLHLDRPEEEWDLVKKTEERYFTSDLWQELHPGAPFP ASISQPCCAQFAVSKERIRTIPLSQYVRYREWLLNTDLEDEISGRIMEYTWQYIFAGV SEFCPAMHVCYCDGYGACFGGAQQLQDWMDILKRREVSDTEISVLLDAGDQDSESLKF LRKQSDELNKELSARKEEAFRRGDDPRNRAAEAGREWKEGDGF EPUS_09276 MESTHAPALEYPAPSEVEKDLSSHDSSHSSTASDAEKDSASAKQ HDGNSLSQQVSRQLSHQLSRIATSDYPTGLRLAMIVVALVLTIFLMAIDMTIVATAIP RITDQFQSLDQVGWYGSAFFLTLASFQSTWGKAYKYFPLKLSFLVSIFVFEVGSLICA VANNSTTLIVGRAIAGAGGAGIASGSYTIIAFSASEKQRATYIGLLGAVYGLASVVGP LLGGVFTDKLSWRWCFYINLPIGGVSAAVIALFFTAPPAARPQKASLKEKLLQMDLPG SLTIMAAVVCYLLAMQWGGTSKGWSSGPVVATLVVFGVLVIAFVGIEWYSGDRALIQA RFLKDRTIAAQSAFVCLASGLFFIVIYYLPIYFQSTRGASAARSGINNLPLVLGVSLF TAVSGVLITVFGHYIPLMIVGSAISTIGAGLIYTLERDTGSDKWIGYQAFVGIGLGLI FQIPVIVNQALVSPSDLSSITAQTLFFQTIGGAFFISAAQAAFANRLLARVVITAPTV DPGLVLATGATELNDYFNAEELDGIIIAYLAGLKMTFAIGIACAGVAFFLAFTPRWQN LKGKVNPGAAV EPUS_09277 MGAHYSESPPGPTHDTSHSGHVQGPPRKKMRKGTKSCLECRRRK IKCTFEPGSTAVCKECYARGSTCIDQEHGDLQAVNSVAATDQAYSLRERVTQLEGLVK EVLNRLPYGGDRSSSASSSAAADTHSAAVVLKSLRNTSTVPPAETPLPLPSGLREDAP TLSLFDNAVFTRKDDPPQISRERYNKNKALTQALTAMLPGARELDIILDVSKNWWTIW RKMFPEITDRRCSTIKESISHSLRSENPAEVAKMILCIAISIDQLPSDWDFSQLHLDG SPQELMERYIATVDQLITSDDEIAGTVDGIECMCLQAKYYINIGRPRRAWLVSRRAIS FAQLLGLHRLALMKPAHPDLQHTRQVSLWVHLFQGDQYLALTLGLPLNIQSQFCDPCI PALGQPTEHTEGDAFLLRISPIVAKIADRNQNPTLDFSLTLRLDQEMDELAQSVSKDW WGKTDAPAPTLEEHYDRLHSQFYFHLVRKLLHLPFMLKSSADKRYQYSHTAALDSSRD MIRFYDALRGNETVGPFICKLVDFQAFSAAMLILLNLYGYSYGSQKNAEQDQSDSALV DTTIELLRGASKEAGGIVAAQSLKALEMIAEARHGCNEEHHGQTVKVSIPYFGTVRVG AGKNFHIPKPGVYPRSAARPRQQNCGPAPAPRAKAPSNAGLPTPPSATASTSPVPPPP SLPNDPSCSSTTTSLFPSPYTTNSLSYTDVSSQPEPARNQNNHANNNFADDPFISFDS YMAVPPMGFGGMNMDHFQTGMTPGVEAGGPEMMNGMNNGFPWLGAGLDLDAGWNWFGT EGVAFGSTGNADP EPUS_09278 MAANLDLITSPTIPPHLVAKADQSTTSSALTRARLIPGELRASL SAHGLEEILSFFSTIVAAHVPIILSALSEIAVADLTSLHKSQNFNFRLCDYTSVTAAP LSDNGCGAHTDYGTFSIIFQDGHAGLEAEDEATPGRWIALPGDATIVLCGWCALILSG GRVRAARHRVRHMAGVRRLSAVLFVAPDVDVVLKPLKSDEGSKAFSEKITSGDVNVGW FKEVMGKRWRWREGNEMLGDGESNEIGQDEDVERLVGG EPUS_09279 METAGLAVSVAVSQVSALLASTFSSIFSWVGNLGRTMESVCSGQ MLQKHAWLAGERLWESGLSYNNRIKDYFEDAEHLSEIFKNRTIELAKQSEDLLTYDPQ SDLDPELQRLHLTMRELAIKRQRSTNLLKKTTWALYEKKRFDTLIAEVTGFVDKLVDL FPSIQDSQKTLCRTEVSAVGDTGDLALLKDIASSDDPYLEAAVVKEMESRGHVFTDWK ADGKSKMWAGDENAFGET EPUS_09280 MAMLIPADTQNKEYRYRRSLIPHMDACFALHENGIFHLCKVDPG LANLCSAYARVSCAIYSEHGQPGKAPRLIEKVVDMQKQTFGGENSLTLESLGYLARSY SRVDREVEALQLRKRLLEMSKHTLGEKDPVTLTSMANLASSYSSLNQHEEQLRLMEVV VETAKRTLAEEHVFTFNMTLSLALIYCMSGRYREAWIQ EPUS_09281 MSTFKIKSTNPDEYPLPRDDEEGLTLQRDWTEDEEVKAKRKLDL IIMPLLTLGFFCLQLDRGNIANAITDRFMEDVGVTQDQFNVGQQMLSLGIVLFEIPSN MVLYRVGPGKWLTLQLFLFGTVSTFQAFQKGYGPLIATRFLLGMTESGFIPGGLWTLS TWYTRRETAKRVMIFYFGNQFGQASSKLLAYGILHMRGVGGKPGWFWLFVIMGVFTVF SGCILGLFLPDSFKNPRSTFLPGVCMFTERELHILNRRVLLDDPMKGKKKKRIGRGAF KKAFSNWRLWVHFLITLCNNGPQRAFDTYSPSIVNSFGFASLTSNALASVGLFLQIPT SFSFSYVSDHFNKRGETVMAGFSMHLLGYIFNRIFTEISLRGVRYFGVVWTQTFGTFS HPLNIAWMSLACEDSEERALAMAMVIMGANIAGIYGAQIFRQDDRPRYRRGFSINIAV LTVGLSLAVVRYIDDRLRRRRSAEQLQDQSPSEHNSPDEDELKAARPSADQPHPILIA DDLKPVVTHVTR EPUS_07377 MEFFSIINKEYIIHIVIERVELDPLEQLKEELSDEDSINIKKEK IKNIKKEKNTELVPRTESTAWRILKFKVPSAEPESSTTESHKRGHSSTDDSFDRSTIE LDQALGLPSTPKEKKSKKKKVEKKKKKVEKKKKKKGKKKITEEIEEEEDEDKEEEEEE KEEKEEEEEEEEEDKSKNKHELFYSPPVLRIKK EPUS_07378 MSEANTTSNKSQVQLRTALIPTKKELQPASSRMPRWNVRWWSAM QMGGLFLLGLATAVGHHVLYTSLNGSLAGDVKRQEWSIRFGTALAYLTQTSLAASVVC AYSQHIWTVFKAKSISIKGIDAMFSASTDPIAFGTTEMWMKAKLASIMVVAAWLIPIS ALITPATLSVVSIQRSRTIPTKVPTLDLDNSTKFASWGWMNFAPVDPLEQPNPWYFDG PSSRSSRLAVVVSAGATILAAEAPFQNSSYLMQFHAPAVKCRHANQSEISTINQTWRD SEEPASRGFGPNFYAIVPSPLRNGSYSPAYIFGSGHESPSYGNFTNNLLCKFAEEPSI SCDLYNASYIVKFGYFNGQQSTDLQSIMYHDPIPYRPGTDDIENPLDSSSPAFSYQAI GGLSIGRLIQQTSLTAAKELRVVFGYTNSTDDSDLGPDNLLAGNRSLGPLIEELSHNI TISLFSDPSFLKSLEDRSTVPVNMTETLNVYSYNARNLWLSYGIVLLAAALGLSLGIL AVYKNGASYSNSFAAMLYATRNETLSRLADRLSMAAHPPKKPMSKIFLRFGLLKHISS DYSSMSAPQTAFGLDSEIREIKSKRDCC EPUS_07379 MQPSEPKIRGILQKIKRKLFYDSPLSDGALFDAGRRANTSRRFD DVSNGLRSVGFFVQDPDENSSHSNPIADVKADSGQCEGQPQSAVHPRPLASPRGHRNQ HRGFSSPTTSDIGTHVFSTRRNFSGSHGSSALRSCNICAEERPERDFPTVPVTSDCVH AANFACNECVRRQITMQLSNHGDAPPTCVGCQRPLSDQDMQRNATREDFERYCERAAY KLLESDHRFIWCPLSGCGGGQIHEYGSEEPKVTCCRCGGAYCFIDKVRWHTGSTCREY SMNPELAEYVRSQEEAGPRGSRGAPAESLQDEATAQERRIKAAQEMREIEERRDADFV RSRAMPCPKCKYMTQKDGGCKHVTCKPTQYPSLPILLVWAWLTLDSRGDKVGNVACNI AGSAE EPUS_07380 MVKALFQALLACLSVQSMLAASEYACTRTKVAVLGAGVAGITAA QALSNNSVSDFVIIEYNAEIGGRCRWMKFGNDSEANQYTVELGDNWVQGTGTEDGPEN PIWTLAKKYNLIGTYSDFSSVQTYGENGQVDYLAKADDFEEAYAYVEQDAGIILSENL QDRSFRAGLNLAGYKPTLDPEASAWEWFSFDFEFAQTPDVSSQEFAVVNNNASLYGFS EDNYFVHEPRGFNTFIRGEASTFLTPSDPRLLLSTIVTNISYTPEGVTITNDDGSCIS ADYAICTFSVGVLQSDLVTFDPPLPQWKRIAIETFQMAIYTKIFFQFPPDRIFWNSSY QYLLYASPQRGYYPIFQPLDLPDFLPGSGIFFATVVTDQSRRIEAQSDERTKSEILAV LRQMFGDENVPDPIDFMYPRWGQTPWARGSYSNWPPGLTLEGHQNLRANVDRLWFAGE ATSQQYFGYLQGGYLEGKGTGERVATLVNGGLGEGDTRVEGEVQGDEARYEVLKGTTT IEEYGPFNGWYVSSFQEGEDEEEGGF EPUS_07381 MSGYVHPLPDGTVTIQTPRLLLRAAQASDAEALHLCFVDTEVMR YWSSRPHKSLEETKEWLAKMVNSKKTNGITDFVITLRDNAPSYLSISQPKHVQIETGP IELSKSNISPAIGKTGIFAPLDASMSGEIGFLLNRSYQGRGFGSEALTAVLRYLFEQK GVETITTDVDPRNGACISLLKKHGFVLTGIAKESYRIGEEWVDTKRGKVDVFHTAQLH GNRDRLPSLSVFTASTLLLRLKRPA EPUS_07382 MRTNNLTTAALISVLLPFAFANPNPNPQVDSLVNSILGPATSAA SSAIAEVTSLASSALDAGNSLASSAVSEATNAASSAISVATDQASSAISGAGSLVSSA TDGAGGAASSASGFVSGVLSSLDSAVASVTSSVGGVISSATSAASSLRTAATGSAATG TGAAATASAAGGSAPGMMDSGMGLGGVVGAAVLAVAAWL EPUS_07383 MYEAFEAGDRIIRVINNRSQTNDEQRGYDVDLAEFNTDKSTVYW RHISHQPYHKDPPTPPGPEEVLPTGEVRIYTMIVTVKGPATVRALQSVKHRISTGTTI CLMQNGMGQIDELNREVFTDPETRPTYMLGVISHGVFLSRQFAVHHAGIGSTAIGVVR DLERHPLPPKSPPVSLTEVDRKRMYPSDQDLYANITSRYLLRTLTRSPILVCAAFPYL DLLQLQLEKLAVNCIVNPTTALMNVPNGSLIGNSSLAKVSRLLIAEIAAVMRGLPELE GVPSVRVRFSPERLETLYIGVAQRTAQNSSSMREDIRNGKDTEIEYINGYIVRRGEEM GLKCVLNYMLMQLIKGKSWDTRDAEGQTLPYGVSEVIGKATRTDLSGDRQVQLEERGT LQRGEPGQMEKARPSQRNGRDKNDIL EPUS_07384 MDATNLVSLVEHLEDNIDELEENLEPLLSAALTATTQKLPVLDK AKLYVLIVYSIESLLFSYLRLNGVKAKDHPVFKELTRVKQYFEKIKIAEEGPAEPRKN LSLNKQAAGRVIKHALSGNEKHDLERAEREAKEKLMAKRKLQVMNQKKDIEAETTLDT SEDESEEYDGEAEQGELKDTNTVSDTPKPLANPSNHKDKTGKKDKKNAKRKSKKAEKR ARKRKRGQQDQGDQATKKKKAGRGKNKQDRSPPADRTWL EPUS_07385 MSKRSDPEHPELTHPSTKRAKQVDQDTPYKALQEQLDTQAPEKE ISKVAHWFRRDLRIQDNISLHAAAERARGAKRPLICFYLNCPEWIEWHGLSPARLDFM NETLVGVQAELKGLNIPLVYITADKRHEIVPVILKFLKDNSVSHLFANFEYEVDELRR DAKLLKTADDSFHVSFYHDQCVMEPGKMTTSSGGPMKVFTPYHKAWLAEVKRNPGLLN TVPAPSAVDSSTSKKVFALFDSKLPDIPKGKQFRSEEERKRVRKLWPAGHEAGMRRMD NFLNDRIEDYLATRSQPAADSTSRMSPYFAAGVVSVREALSKVKEYNRGSSDFSQSSC RPGVYSWVREIVFRELYRQTTVTTPHTSMNLPQNLKFEFVQWEDDEEGFKKWYEGKTG VPFIDAGMRQLNHEAYMHNRLRMNVSSYLYCNLLIDYRRGERYFAETLVDWDLNNNTQ GWEPSYTVFNPVSQAEKNDPNGDYIRKWVPELRGVKGKAIFSPHDRLSKEEFKRLGYP APHVDWKETKARAIERFKNDMKEAVP EPUS_07386 MALSLPSVHNEWLNLFSHIASLLHVPPWHTSELPDLDARTTAQL IDHTLLAAAATLDQISTLCVEAREHHFRTVCVRSNHVAQAKKDLLGTDVGVASVVGFP SGDDYPSYSTAQKVAEARFAIADGATELDMVLNYEALKEDGQVSENEHTSMYTAIYED VVAVREAAPRPMILKVILETSQLTDEDVARACVICCLAGADFVKTSTGFRGHGATLEV VQLMRAVCDVCQSEGLTTGRVQVKASGGIKTIDDVRKMVSVGAERIGASAGVAIISGL KRRNSVVPKALEDRVRGDYDISEANQRLESTLTESSQPGSQYSPANGQEAV EPUS_07387 MAELLHGPELHQRKTLRTVEINENGGRRVVHLDELNKADAELAG KFGYNPVLKRVEISYPRFASPTNGAPYLQPSQPHSSIHCLQEAVPVLSGPGRSQEQAL SVAELVSAYPTSGGLYYSVSRLAPKNSVPWISWVTGWLNILGQVAGLASSEYGASQLL LAAVSMGSDFTYVPTTGTVIGVMAALTVVTGIVNSLSTYFIEKITRSYFFIHVAVLLT CSIALLAKAETRHSATYVFTNVESVSGWSPVGFSFLFGFLSVSWTMTAYDATAHITEE MREPELKAPWAISLAMAFTYVGGFLFNIVLCFVMGEPSEILASPMAQPVAQIFFNVLG KAGGLFYTVFALIILKFLCIAGMQSLARTVFAFSRDRLLPGSRIWAKINPLTQTPIYA VWISVVLCIAINLIGLGSYIAIAGVFNVTAIAIDWSYVIPILCKLKYGQFEPGPWHMG KASFWVNAWACIWTAFITIIFILPTVRPVTALNMNYAIAFLGLIFALAAIYWVISGRK SYTGPVVEADVVESFSDGIRDGFSEEDHGKESKDHVTLSHKHSKSGMIAPALLTAVDS TGHVHLIIGSGPLAASRAAKSSEVGASPVIVAPAGSECHYTLLKRIEEGKAEWIQKDF EDSDLQTLGRPEVDNVVDAVFVTTGSRSSQSIHISTICRRLRIPVNVTDAPNLCTFTL LSTHTDGPLQIGITTSGKGCKLSSRIRREVAASLPPHFGQTVERLGTIRRRIWEEDHA AELATDPTPEIEDEETPNQKHTFNTLIQSTDTSPSIARTRRLRWLSQICEYWPLRRLA SITDSDISTILSAYTNSTIDTAPLTPRTVDCRTHSQRGTITLAGSGPGHPDLLTRATY TAIQDAHFILADKLVPSPVLDLIPRRTPVHIARKFPGNADAAQEELLSLGLNALKQGQ NVLRLKQGDPYLYGRGAEELDFFRRNGYEARVLPGVTSALSAPLFAGIPVTHRAVADQ VLICTGTGRKGASPEPPAYNRNMTVVFLMALHRLESLVSSLTATPDHSTSDAMVQEDK KAPWPKETPCAVVERASCPDQRVIRSTLEYISKAVEEEGSRPPGLLVLGWSCEVLNRS GGQRWVVEEGFRGLDLDLAEVVAGEKSAGLDLVREMGRLDAGGGSTVKQAGGA EPUS_07388 MAEVYGDTTTTHGNCASDQLNPTADPLHAAKSEKAHSENLSAIS VNGTNGDTDVTHHHHHEHNHEGDPNGGALFQINVKLPHDPYKMFLTVTSQEQVQDVRQ SIVESPGTFQYTCFHLEHNGERINDFIELADVKDLKAGSELVLVEDPYTEREARMHVV RIRELIGAAGDRVDQLQGICAGISLHDTAVAARNALINDSSAPAHPLVGFEIDAPSSI QTIVPQQQETLPRTLKSISISPWNPPPYHLRQRGHLLYLQVTTNEGEQYQITSTVSGF FVSRSSSNKFDPFPRPAPKNHNAHSLLSLIALISPSFNKAFTELQEVNNKKDLLTTFP FQNAIPANPWLVLSPSSPLNQHQPDQTRAQEAYLMGGVDNTETLRDWNEEFQSTRELP RDNVQDRVFRERLTSKLFADYNDAAARGAVLVARGEVAPLNPTEGKDAQIFVYNNIFF SFGADGVQTFASEGGDEAARVAVGKDVMGVRAVNQLDIPDLYTPGTVVVDYLGKRIVG QSIVPGIFKQREPGEPQIDYGGVEGREIVTENEAFVPVFERLSKAIHVKKHAVWDKKC ERHDLEGSIETKGLLGTDGRKYVLDMYRIAPLDVAWADEVASSDGSDFGEYPHRMAIL RLELVEAYRRMKLQEYVKAEVERRKAEPKPQVDEKDEQNGLEQSSVNDLPPQVAEGSV EKTVQTSQEEGGQDQELVDLSNFKFALNPDVCSGQVPQTDEEKREYAQDEQEVLAVCA YLRTKVIPDLIQDLYDNDVGYPMDGQSLSQLLHKRGINVRYLGKVATLAAEKGNRLRA LVGLAHQEMVARAFKHITNRCLRHLPSVFAVSCVSHLLNCLLSFQVNENPRPDIDEEL KEMYPEGDFSFEKTTPQDLRADIEKQISIRYRYCLPPEWLADLKPLQTLREISLKQGL QLAAKDYIFRKNESKSDDGAAITNGVNHANGHNSEEQRKKKKKGGDHALTNGTSPKPT NTFTPDDILNITPVVKDAAPRSALAEEALEAGRISLQQNHKQLGQELILESLSLHEQI YGILHPEVAKMYHQLSMIYFQTDEKDAAVELARKAVIVTERTLGLDSADTILAYLNLS LFEHSMGNTKIALNYVKHALDLWKIIYGPNHPDSITTMNNAAVMLQTLKQYSDSRRWF EASLAVCEDLFGRQSVNSATILFQLAQALALDQDSKGAVNRMREAYNIFHSELGPNDR NTKEAESWLEQLTQNAVSIAKHAKDIQNRRLRRFQLTSDVSFGTRPLPQVGRTVAETA SNSARSNTRPMGLDSRSIDELLKFIEGADGGSSPQAKQKKRAKAMNPKLRSRAKEAVA P EPUS_07389 MFESAATTFASIAVLGLAGYAYHRYYKRLVLQKIENAFQPGDPV LELAALGKSATGSSTHISGARDGKDQNWILRPEQDKIDAVVNGTDKGKYHLIIGEKGT GKSSMLLEAMRKVDGEGISMFEAHADLEIFRIRLGKALDYEYHEDYIGSLFSIRGPRD TTALLDIERAFNKLEKLAMQRRKRRRGPLILIINCTHLIRDDDDGRNLLELIQQRAEQ WAASNLLTMVFNSDDYWVYERLKRYATRMEVVPVLDLPKDRAIAALEKYRRRYFPEQT LSSEILSQVYDQVGGRLAYLNRVAKSSNMIHACEKIREAEKTWFLNKCGILGEEMDDD VMDQQKYASAAMVLARALVKAQDQMEASYDPREGHKLPQIPLYKARQIMTRADFIQSY DHDNIFTIDSRANVRADSVPMMNAFREICSEPGFDDFLEATLHRISAIESLNRTKELT LKDLWIEQRGEIRGKYLAVMKDSKGRDTGTLEFAIKPNENLEDDDAG EPUS_07390 MFDMMYAGILLAVSNVALAQHGGKPKSAADIAEEGAAELLNHKL PRNYFLALAAFACMFIFYRLMVVAVQNFRTIACLSNDTQRYFAIASPQWAKIKSMMLY APLFRARHNREFKLSTAINMGTLPSRFQSIFLGVIVATNVVLCVYGVRWTAPRAKVLS VLRNRTGTISVANMIPMVLMAGRNNPLIPLLNVSFDSFNMMHRWLGRLAILEAIAHTL CWMISKVDTAGWSAVKASIINSNLNTTGLISAVGLVVILVQSPSAIRHAFYETFLHLH IALVVLSFIGLWMHLAELPQRSLLVATIGAWIFDRSLRFWNLFYRNVGRGGTKATIEA LPGDALRVSFDVARPWKVRPGQHVYITIPSVGMWTSHPFSIAWNESGAPFSRGLNLNE KSDSIIMTHQDLQSTKSKTISVIIRRRTGFTDTLYIRAEKAGAFDGASKLTLNALVEG PYGSSRPMSSYGTVLLFAAGVGITHQVPYVRDLVAGYTAGTVAARRITLVWIVQSPDH LEWIRPWMTQILAMEKRREILGIKLFITRPRNKREVVSPSSTVQMFPGRPCVETLVGK ECESQIGAMGVSVCGTGSLSDEVRRVVRSRQGWCCMDFVEESFTW EPUS_07391 MLYLGDLAAPIWDSVFAHIFGDKIWAKWSQHFLRTALRAHPPTR YLSAKYGSFQLITTPNKFYVAIIATMSNSLFSQLMFDPVVDASLSTNLQQLSLGGRCM IENLPNEVLHNVLSELPVTDLKAFRLTSKKLTRAPIEHIFRHIRITIHPRSIFNIGEI AANGEVRKFVRKLTFDLRMLWEAVIPLETWPDVCVRAGKRSCPDLGRIGSANYDSYVE YVKGQNEVARSGMGRVCSFFEDLTNIQHLELTGGTDHGAMIPTTQDYIDFKTTWPRLG VAPHRKGNRNAEVHVDRVLTAALNSKNSLTSVFLVGVEVDFVCCTTPDRQAQWHHGLR PVRHLKLHLCMPNPKPGILEISNDAALLAGDYAQFLTSPARLETLDFALFVEPPQTRN EMPWTSYGYEKDLFNAILARPSFMQHMKKLRLAEFVFSPNDFITLLSHNTARTLKSLT FHKIHLSEGSWLVFLRQLAVAAELDHFSLSGWISSQHEGWNVLTQEEAATYYGRKDER LHPWDRDIWDYNPDCLVTCEAFKDWNDQIEALEEKWCIRSQIEDWVVSAGGTRRDLSN KGDDPTKWAGWSCDHSDFLTSSHHPSKSWHDDGFPLMQGYLPTCAVHHAPSIERQKQY HYESRWRTRLNRDFSFVWAEELMRSVREGGRSWQPCPEAGSETVWNARTRFCPDVLAE DEFVVDEEMVYEDREEEFVVVGDHFIAERLEDE EPUS_07392 MNDTRGWATHDGMNNSSLCSLTGKRFQQQWSTSTDTTLESQIAQ ASNNYAISDSSFTQQLNNTDISPQSDNSSLQSWPSAVQNFTTHSASFPGAFSTASYPT TTAWTSAGIPALNNYASNPSVENFMGSSFPKFDNLGLHNQKPLENTIDFGDFNTDFSA FESDGTGSDGHTIINLGQFPTSLDDPINLQTTPFPRRQSASSFVSSAGPMNDPPDASS FPETTSHTSDYTPQGSLNMSSTPLSPVMSPRCTPQEMVRASSRTRATPSPRPSIRSNA YSIDAMRHNRSSTGSFTSSPAKRSSPYGYPAAESYFTQMPVQSQVSTQSMVSNVLPSS NLNPTISYAFGGIPRFHQPSMPPSSFDPASRIETVPYMLSNGTFRTLQSNAGPGGHAH DHFCDHADPPDLFGPLSEEQLVPPLEDMKPDDPDLTPHEQELRFEGDLYTPRFVRGHG NRREGWCGICKPGRWLVLKNSAYWYDKSFTHGISAASGQAFEGPRETRRMDGNADVWE GLCGSCGEWIALVSSKKKGTTWFRHAYKCHTHSKVKDAPKRRREGNTNQRPTTMNPMT IPGPTPGSIISASTSNPMHNMSGRIETVTPLRPLSTMV EPUS_07393 MPPANGGRERRSSKESDKRRPKFRVREGNTVQDYADSHKLNSRH LSQYLASHPAHSRPAGNRSNSEPVHQSPLALKPRHNGSLTNASCDPHSHSESAIGHSQ MRKKSSPLKKDKKKDKDNRDSHPLNLPPDELRRLSAVMAAQEGARGDPMDLDSNGPFV SQETESPATPVGTAPGAFPENANGTVNGVNGDHGDEKSPTPPPHRVPIPEKASIDAEA AKTAGNKYFKAKDYSRAIAEYTKAVDAQPSNATYLSNRAAAYMSANNFPAALADSLKA NELDPGNPKILHRLARIYTSLGRPQEAIDTYSRIEGGVSAKDTALAQQTLQAIQNAEL TLKDEKGSGNMAIFALDQADKSLGYSVSRPRKWQLLRGEAYLKIGSLNALGEVQSIST SLMRTNSTDADALVLRGRAFYQTGENENALKHFRQALSYDPDLTQARTLLKTVQKLDK AKADGNVAFKSGRYSTAVKLYSEALMVDPSNKGTNSKLLQNRAAARMKIKEYKEAVED CDQALRLDPTYTKAQRTRAKALGESGNWEQAVKELKEIAESNPEEPGMQKEIRNAELE LKKSKRKDYYKILGIEKDASEQDIKKAYRKLAIVHHPDKNPGDEAAAERFKDVGEAYE CLSDPQKRERYDSGADLEEMMGAGGHPFASGGMGGGVQIDPEMIFQMFGQGGGMGGMP GMGGGGSRGGGFRFSTGGAGGGGGFPF EPUS_07394 MGLFQFLVKTISIVPIHPFYKSFSSDLPSAPQIAISFRATASAP SWNPELTIKPKTSNSNSRTAMFAKISRMLAGKKHSETKKAGKDPRQVEVTKRPKKSVG FENDAKLVQTIEVPPNVDKPATGEPPVLRKEKHPLHLGVKEQQPSCRTDLEMAPVAEG GSSSTMPSRAPPASFPNARIPGCDHHNTTLISTDMAGKSMTSFRARWVFSDGTSTTHT HEAAPFSPIMACPTSPFHGLDPLIPGTTIVNTSVISTDEAGNSNLRCRTTCMLLDGTS KTQTRDPVFIPASADKVGDPSPLSHATPAEGVPSFNDSSLGESSSIELSSLGSTSHTV AETANPQTQPTLRQPRKFYRQPWNLFVRKPKFCNLTPRPEEDHRLTDEAWTVILNRIA EQKTEVKNTKPEQTQPQEGTKPEQTQLKVSPTFDDILAALDGSVEERSELEGKKRKQT KAKQSKAKREKKLLSDQNGPAWWM EPUS_07395 MPFPILLTLLLSVVPALSTPLVTERTEGTCNRDNVLRALIDKRY IDEAIPFCSKYIKVPASTVTATTSCQTVTITAIVDPLPVTTTITPTVTVTATTPGSTV YSTYVPPYYKEKRDEPVPAFVSQYPASRISSACSCLTITPSTTTVPCPIKTVATTIKP PAPTITITASPPATTTTTVAGPATTLPALCNPSLFLDYRSGVDREGFGGLVQTSDATK QACCVACFRAGNCTAFQFRPDNPPETRCEYYTRRSPTDPSNRKDICPLGVTIGSELQS PVGSGTGTIFLNYGPCLDRSPLSG EPUS_07396 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVLDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTETLCRNFPNEFGTYLNYTRNLRFDDKPDYSYLRKIF RDLFVRESFQYDYVFDWTVYKYQKNAAAIAEQSQTQDPNAEEKSTRRATNVATAGVLT PHNPSATTKPPATSTNRRNKIAGRGDEFQPGDSDRM EPUS_07397 MDYTYYPTPQAPYQFLGFTSEPNRTHEDPKLNGFTGSASSANQH FDPGFPAFDHSVYDTNNFVPPHFTSHQHPVHESPAPSISPSGSLQHNGHISSTSEPNI SSNNGVVEQNVLPDPMGDVVGEDFMNRTRSSSEEKDSMTPAQNKRKAQNRAAQRAFRE RKERHVKELEAKLNDLEAASNKIHTENERLKRELAKVATENEILRATSSTGPLHHRAA YGSQSARQDEEIMNTGPMKYTPTDFLATLGVRHSGDNESAYTLLDPPTTKNNGNDSSS PSLDPNNPVSVHHSLPAPLISSQGSFTLSAHKITISPLTGNRLLSSGATWDYIQAHPL FKQGLVDIADVSEKLKGKSECDGTGPAFEEESVDRAIRESAALGKDELI EPUS_07398 METSYWGANPPTDEYTMKGLVHEGKLHRIRRDNPPGRVKELLEP SERPPQRRKTAPHREQDTLLAMSNRSFMLHALQPKHTVIQSSTS EPUS_07399 MDMPVNVPVDDPNADTEWNDILRAHKIIPEKPPSPTPIIQEALF SAQQRAHENRLEDKDLSELHDLEDEEDDTFLEKYRQQRLAELSTLQKTSIHGQVYGIQ KPDYARDVTEASSKYWVLVHLASSLGTNVESRILTELWRGMARKYGDIKFCEMRADLC IEGYPERNTPTILVYKDGDIRKQIVTLAELNGPRTSVADLERMLLDLGAIKENDVRMK RRDSEEIVRQQIGRSAAVQEDDDDWD EPUS_07400 MSYAKKDEDADMPGFKLDRTSVFQDARLFNSSPISPRKCRALLT KIAVLLFTGEKFPTNEATTLFFGISKLFQNKDPSLRQMVYLVIKELASTAEDVIMSTS IIMKDMSVGSDVIYRANAIRALCRIIDGTTVQGIERLIKTAIVDKTPSVSSAALVSSY HLLPVARDVVRRWQSETQEAASSSKSSGGFLSFSTSAQHSLAASNTNYMTQYHAIGLL YQMRSHDRMALVKMVQQYGQAGAIKSPAGVMMLVRLAAKLAEDDPSLRKPMMQMLDGW LRHKSEMVNFEAAKAICEMRDVTDAEAAQAVNVLQLFLTSPRAITKFAAIRILHNFAT FKPQVVASCNTDIEALISNSNRSIATFAITTLLKTGNETSVDRLMKQISGFMADITDE FKITIVEAIRTLCLKFPNKQAAMLAFLSGILRDEGGYEFKRAVVESMFDLIKFVPGSK EETLSHLCEFIEDCEFTKLAVRILHLIGVEGPKAPQPTKYIRYIYNRVVLENALVRAA AVTALAKFGVGQKDPEVKRSVTVLLTRCLDDTDDEVRDRAALNLRLMQEEDEVAERFI KNDSMFSLSTFEHQLVMYVTSDNKNIFSKAFDLSTIPVVSHEQALAEERTKKLHTATP TLKAPSTGPTKPQMNGAAERGASAATNTQKYQEAFERIPELRAYGPVLKSSSVVELTE SETEYVVTAIKHIFKQHLVLQYDIKNTLPDTVLEDVSIIATPAEEDEPTLEEDFLIPA PKLATNEPGTIYVAFKNLEEAFPPFPITSFSNILKFTSKEIDPSTGEPDANGYDDEYE VDGLDLTGADYVVPAFAGNFDHVWEGVGANNNGEEASETLQLGNVKNLSDAAEQLPQT LSLQPLEGTDVVLSTSTHTLKLYGKSVRGGRVAGLVKMAFSAKSGVTVKVSIRAEEEG LAAKVVASVA EPUS_07401 MLSRRARLLSYARRYPYHIPCPGTACREANRWKPSRKLSGRTPA AKKEPPDFAFAFDIDGVLLRSATPIPGASQSLAYLQQQQIPFILLTNGGGKHESQRVS DLSRSLSLTTPLTTQNFIQSHTPYADFLHNSSSGAGLSAHSTILVIGGSGNQCRSVAE SYGFKSVITPADIFTSYPEIWPFSSVFDSYYSSFARPLPKPINPTSPSSSLKIDAVLI FHDPRDWALDTQLVLDLLLSDSGILGTVSSRNGDKDLPNNGYQQHDQPPLYFSNPDLL WAAGWHLPRLGQGGFIHSLEGIWRRLTHGAELRRTVIGKPSALTYEFAEKRLDRYRRD LICQTMGSEMATPGTIRDLKKVYMVGDNPESDIMGANNHKSQRGTEWVSVLVKTGVFR EGESRYDFGKRPELKPRIIVENVRAAVDWALRKEEWEGRVE EPUS_07402 MGKKRVLVGYGVDIDAVAGWLGSYGGEDSTSDMSRGLFAGTLGT RRLLKLFEKYNIKTSWFIPGHSLETFPEECAMVRDAGHEIGLHGYSHENPSSMTLQQQ KDILDKTYRMLTEFCGGKPPRGSVAPWWEVSREATELYLSYGIEYDHSMNHHDCQAYY LRAGDEWTKIDYDKPAETWMKPLKHGQPTGMVEIPGNWYLDDLPPMMFIKKAPNSHGF VNARDVEDIWGDHFDYFYREYDEFIFPMTIHPDVSGRPHVLLMHERLIEHISGHEGVE WVTMEKMVDEFKKKNRPAEGALMPEKQGEVLKRLGLNSKS EPUS_07403 MTSSKEGSTSRPTSSGSFPHKSASSSRQHAHSVSLGAMNPTHRI SRRKSVNSTAASSTAHAVAAALREQGEAPVNSTSHRRSLGSRKGLESTSMGNPSSMGT YFSRPIVGTSNVYTTDRKPSTASIEDDPVEDEHTVERSMNTKGRNRRASEGAYLSKGE GKRLPSELRCDTCGKGYKHSSCLTKHMWEHDPAWALTSKLLISKHQQVQLLEAASVLV NMNADGSTPPDISHIQDSEYSSASPGFSGSSEAQDELSSTETTPPPMSDAAVSVPSSK RFSSSSGGFSRSYRSIPSSSFAESVASPGLPPHRFPSVDHRPTTSGIDDGGLAAAAEL LNFGTPRTRPTQLSSDVPPVPPLPEQYQSANKLLANSSTPTVFNSLGIHALTQPISDE RDARMQENASTPHGGYHGNMNMDEDEDDMFRMEE EPUS_07404 MATWVYPLISPERLKLEEEEPLIKLSHALRDDSSGFSPLDRLFG PGHKMLTHDETGEAFMWRGGEEVNVREKVKVESGDPSMISVARS EPUS_07405 MEYSLPNPPFTVGHAPELSYLAQNQGSSSQAIGPYGMYSIPEGS ASETLLPATRPAYTDTQLLPHPFNPHPAPYAAESHHVQPSSDIQDTPMLSMGPPTNTR KRKAPTLRRKAWEPYQDRIKELHITQGLPLWEVKDTIEKEFGFTAETRISQWGWDKKV KPDEMKSIARKRQKRKLVESDKGELAFTVRGNPVAPEKIDRWMKRNRVGESTLYAPSP AASTPSAISCWTVSEQGSPALSLTYSASTPTFDAPSFGQGPHPSSPASSVSTIIRSTN SAFTGQSPAPISSFVDESIFVQETPTAQPDSWPQHGPFIGPQMQTQQPSTGSIQYRYG HEDEVRLSQELSRLETLHGKDQPETLDTLSELGEVFLDQGRYKSAETLIRQLVHACQK CYDKNDTATLQAFDSLGRVLYMQGLYLKAEKIHRRTLQSRRDILGQEHTDTLVSMTNL ASTYWSQGRLQEAEELEIQVLETRKRVSGEEHPDTLRKIQVLETRKRVSGEEHPDTLR SMYYLALTYWSQEQLQEAEELGIQVSETRKRVLREEYLNTLKSMHNLALTYRSQERLQ EAEELGIQVLEMRKWVLGEEHPDTLRSMHSLASTYRSQERLQEAEELGIQVLEMRKWV LGEEHPDTLRSMHSLASTYRSQERLQEAEELGIQVLETRKRVLGEEHPDTLRSMYSLA STY EPUS_07406 MGLGALKTAWQRQTLHFSHASPSRTSKYQSLIFDNRGMGASAKP TLRYTTTEMAHDTIDLLNTIGWTSPRQLHIIGVSMGGMIAQELALLIPSRVASLILVS TAARLENTVGFIENLRQRINLFIPKEINTQLTEIKTRLFSQEFLDTPDEDGGFPTNGD RFAAQELSKRMDKEGFTRKGFVLQAVAAGWHHKSAAQLRKLADEVGRERICVMHGTED RMITYHHAEVLKRELGEGIRFERFDGRGHVLTWEEREAFNRVVEEMVEKGEKLDG EPUS_07407 MSTCPCFMVKVPRHSFAYSHEIMERSDDHTSFAWGYGKYSRWDP ETNQKLAFVSNGLAASAWNFYNIKDVQLYRPDWLRSSHYGKTNKGILMKLPALTLPDC DTILVALNCIVITHSHIILAHPLIPHGTDLDVYERFAESVPVLVPESDSECRSIYITS DPRYQRLLSVFQVGIDIDLNTFWDQGFSISLIYPPPLGVQLKPIQKLITLEYPDTDWS MIRYSTIL EPUS_07408 MRIETCHLCSRPIYPSKGITFVRNDARTFRFCRSKCHKNFKMKR QPRKLKWTKTHRALRGKEMIVDQNVLLSQFAKRRNVPVKYDRNLVAATLKAMERVEEI RAKRERAFTRKRLSGKMARERRRAEDRRVVAEGEHLIAKELMDREAERPLVESVQEER SVSIVVGEERVRTKTKTITKTKMKMLVNGGVEEEMDLD EPUS_01358 MSAELLREIGDRTFYDASTIAHWVMKQENRLILIRRKEREEERI CNRARPNSPRPDTRRPEARTTPGKAYPFPLAPTVGPPRRSPTPSPGQATGSKSKRVGF KKDDTVTCFHCNKPGYVKPDCPDLHVSKIEEAESDFGDESSGPDKESAESENEMP EPUS_01359 MFKEAVDRLQSYSFVSLRKDETFEMHGLVQLVMRKWLVMHREDE KWKAQFIRKLNAVLPNGNHENWARCEMLFPHAKSAERQQLMDDRSVREWAQILRKAGS YAWARGDYHEAERICEKSIRALGKLLGREDVETSYSLGMLASTFWNQGRWKEAEELDV QVMETRKRVLGQEHPSTLISMGNLASTFWNQGRWKEAEELDVQVMETRKRVLGQEHPS TLISIGNLALTYNNQGQWKEAEELFVQVMETSLRVLGQEHPDTLASMGNLASTYSNQG RWKEAEELEVQVIETSKRVLGQEHPDTLTGMNSLALTYKNQGRWKEAEELVVEVMETR NRVLGQEHPDTLNIMNNLAITMKEQGRKGEAIKLMAECVQLQKRVLPTEHPDALSSAL TLAKWKSVRLLGTH EPUS_01360 MTGLGLQVIKTRDNLTGYARQRYENAATRAQLELIEFAQELDAD DLHVRMPDIVKKAGWNRQKKSHDRAYKRLITGTEAAERDANDREQIAAREARQREREA YALTFGREPIPLALSPPSAPEIAPRDTLEEEEEEEEEDPFILPPSTAPAMIQVSRAGR KRAPTMKALETEKAPKRGRGRGSGRGSGKGKNKGKGREVREAQRELSL EPUS_01361 MPGKPIFGLESSCPQTKTTTEVLVAEVRGNNPGSNNKRHYRWNN IQTSVRISVTRDYPATDEDSNAESDSVNEQQLVDNGDSEGDEDSDYEPSASDSAEFSE EKDLTGEELARGQKEVAWEVKGLISAQHTFLNNGVELTSSIGSLEVDKYAQPNRENSQ EVKENRQRMTQ EPUS_01362 MEVVIDQKHLRKQKELFKKPFIVEIMGAGFDRPADTDYWTWRFP RMQKVHEDRTSKDVVSFDELQELANQCQQLAPEMLGK EPUS_01363 MGLPYSLLANVDFESCKTVSERLTYQSCVEHCGNGIDIWPADQI AERFTLWLVPAVVLVAHFHYASISWANTLIIVSHHFSSPIDSMRSMLARLSIQRRYLH LAELVHQVHGGVRSGYESNHGYLHHLRTASRHEVHADSQHLAVIWCAYDEFGLRDVSK TLDDVEESPLNWPCDDEEWLYIKEAAYQLTNNRTESQLPTWIAVIGYLGAISAAFIRT KRTRQNNQTSHTIAVVALLSYFVPLVLVSSTIGVFRSVPDAVNVLQQLHRNISRHRRE KSRPTKPELFPQLQLPTFGGDNAATSDYVKIPNSSMPGPESWDHDLERLRGWLRIAPW TGMNSSWRPGAAVTVNRAQRNHRPRQLLAPIIFVLLGSYIPAVVLSYFSGSLGFGCRC MAWTMVLGVWLTSFGIDYAAKYQIRSPRTQWICTIYKDAICTCFLIGAILAIQVGILN SCYCRANVLMDPENATVNLGGLKDAEWNLNWVVWPSVTVSGFSLMIVFGYLIHIIELV QGSWWRIKFVGGVLCRGEDERYEDLQELVWLSHRLGTAGLQHQLLRHRT EPUS_01364 MRGLANVNSIQSALTKSVLSSRSSRSLPLLQGILTTGGVAHLSV GATAMGTPQDSDKTPKIRKRIIVACDGTWQDADSDSERVHPIWKFWRRERYLMPASNV ARLCRCISKEGVDEQGRPVPQLVFYQAGVGTSFMQRLSGGLTGRGITSNIRDAYSFIC NNYEDGDEIFLFGFSRGAFTVRSLSSLLRTIGILNASGLAHFYSISQDWKHQNDDIWR LIKTPFADVPWGSTKDRRPSPRTVAGQENAYVQKLKELDLLRSDQAPIGIKACGVWDT VGSLGIPQVWFLPQRDSKMYAFVDTNIESNIEYAFQALALDERRGPFTPTIWAAPTNS PKELKQCWFRGVHCDVGGGGYRDQELANLSLAWMITQLESKSLMQFNHDTFWKLMEIS ARDQTKKITGSSPMPELKEWGLGQIHDSMLWYYRLLTKPRIREPRSFTQRQLNPPWWK QLLSFFSQKPGQPLENTQECIHSSVSTRWTVADTPCDALRNWSYDRRVRAWEGPGGKS IPEDKLDGLELELAKRWGSIVAEANTRAALGGQD EPUS_01365 MNSLLAAAPPVPPHRFQDSRFSPNRTMATPSSSRKRKASLSPSP EGDPMSTSPAMPNARLPTNTTPRSIKRARPNLTGKPLLIPRLLETLDAKSLRSVIQAL CDKHGSAIQEEIRHLAPRPSVSSTLQVLREYQHTMNAAFPIGDNPGSDYSYNRVRQHL NTLLDALSDFTPQFLPPVEPQSSTSLTYLDGVMSIIHELPQWDSASHNLVKENAYEEI ARAWGCVIREASKRGGGIQLQYGGWDDKLRRHNELAGGRLAEAVNELRQSLAWMAAGR GPGTDHPEPQSSIRQQLFSGTYGPGAASMRTGTGW EPUS_01366 MDELFDVFDDQPHSAKAAADFPKRSKKDKSKKRQANGEVKEQPN GDVQGKGDESRNTPTSRSENGETNGQESNAKRQRLDAEPEPVVTDTFETEQSREVAAS AGLQGTKDSGAVVLSHQVRHQVALPPDYPYVPISEHKPPETPARTWPFTLDPFQQVSI ASIERGESVLVSAHTSAGKTVVAEYAIAQCLKNNQRVIYTSPIKALSNQKYREFMAEF SDVGLMTGDVTINPTATCLVMTTEILRSMLYRGSEIMREVGWVVFDEIHYLRDKTRGV VWEETIILLPDKVRYVFLSATIPNAMQFAEWITKTHGQPCHVVYTDFRPTPLQHYFFP AGADGIHLIVDEKGTFREDNFQKAMSSIADKEGDDPADAMAKRKGKGRDKRMNKGGQK GPSDIYKIVRMIMMKNYNPVIVFSFSKRECENLALQMSSMTFNDDSEKAMVSKVFKSA LEMLSEEDKKLPQIEHILPLLRRGIGIHHSGLLPILKEAIEILFQEGLIKVLFATETF SIGLNMPAKTVVFTNVRKFDGVSQRWLSSSEFIQMSGRAGRRGLDDRGIVIMMINEQM EPGVAKEIVRGEQDKLNSAFYLGYNMVLNLLRVEGISPEFMLERCFHQFQNTASVSGL EKELHELEVERQNMEIEDESVIRDYYDLRKQLNIYTQDMRDVMQHPNYSLPYLQPGRL VDVQYGDFWFGWAAVCNFQERGQDRGSTKQLTPQDSWIVQVALVCSDEPANGLKNIEA LPPGIRPAKPGERTKVEVLPVLLSTIQKMSSIRIFMPGDLTTRAGRDTVRKALSEVQK RFPDGVPVLDPIDDLKITDDSFTRLLKKIQVLESRLISNPLHNSPRLEPLYNQYAEKM IKTDKIKALKKQIQQALSILQLDELKCRKRVLRRLQFINEDEVVQLKARVACEISTGD ELMLSELLFNGFFNELTPEQCASVLSCFVFEEKANDDGHLREELAKPLREIQRQARII AKVSQESKLPLNEDEYVQSFKPQLMNVIFDWAKGKSFAEICKMTDVYEGSLIRVFRRL EEALRQMAQAAKVMGSEELEKKFEESLTKVRRDIVAAQSLYL EPUS_01367 MSFKRFASPSKPYCNIILLLSPFLSLLPAHCSAGCFTPNGTNIN GFNGYEDDAIYAPCKNGTSASMCCAIGPLRGSPDNCFEDGSGLCYNKNVGPYVHFWRE SCTDPTWRDPACVELFTNSTTNEDNWGDKELEQCKDGSYCEIAPDENVAKACCDAGQG LFVNIVNGQVTVDTTSSNTGPTISMASLSTVTIAATPASASGAAASTTPASIKPDESS SNNGLSTGAKIGIGVGVVGALAACALLGWRVLVRKKRRRAPADYSTSNNGPKELASNE VNIQRVEMEQPN EPUS_01368 MAGRASLCSTLITQNSISKDESSVPSSRHFRSQEPKSKDGITAD PISIDPNLTQADQLVLEYLLHNAASSDPSSKKHNQRKHADHRGAEEELLEKLKGMNDE NSQDFEPTVFCTWDLKDLPVRPAILRTLLNSYIQWASHVARRPTDVVFITHLALYFTT SLPSALYLFFYRFTWLHGVSHAVMSAYYFGTYTLMRHNHIHNNGILSKSWWMLDTLFP YVLDPLMGHTWNSYYYHHVKHHHVEGNGPGDLSTTLRLQRDEISSLLYYVGRFMFFIW LDLPLYFIRTGKYSHALRAAFWEFSSYMFMLLATQYSPKASAFVLLVPFSLIRLGLMI GNFGQHAFVDEVEPDSDYRSSITIIDVPSNRFCFNDGYHTAHHINPLRHWRDQPLSFI KSQDAYVRGRALVFRNIDYLEITYRLMRKDYEHLARCLVPISDEQKSMGQEEREGMLR RKTRRFSEDEIGRKFGQ EPUS_01369 MPQLLDAAPYIEESIGNLTGVFSLVSYSARGMLIRAGRTNEECL GNLSWVLDNLNPLSAAEYNGAASGLTLLPTAGALIGAPAKELWIVYKLMPVAGVLTMC LSLGGTIMPSQAGQYDPKGAFDFGGILVSDRKARKEYAKLDEETKHNGQAQLFAEKVR ERADDQRGANYGKIWLAVVMLLAFNVQIVVILWYAERGAVIPWWCSFYGWMLIWYFLL TVSCLIDNTASNPFYQTWTIRVSRAPEIMEISDRCPLLVTDSIEDDGAIIQNLRKGYN VDSRVVIPHSRSYAKSRVCFYVVVPQTGTSRWRAALRIFSRGSNIASFAFGTTLFASS QLLSVSLALITIICTMVPAVLGRVLSMWIALEMNKHNKAILHAVVPTHLEASKYLNAI LKQKGLVFETQGHIVVDGRVICRYNQWFSWSRYVGLLAPPFNIIKKATSGYMTAVTAM PADGEPLSGPPGSSMKKSATVRTTSAETLINGQTGLEPSV EPUS_01370 MRNLKNIFLEEILIPGNLHSSATAWDATDDSVICAFGPTDLSPT INLKRKKYNASTAKSEFVPIASWDAPCPLPDLSSDEIICLQYFSDTAISCIILAGGDL VVVRENPQDGEEKLEIVGSVDAGIAAAAWAPDEELLAIVTRADTLILMTRDFEPVTDV ALTADDLKTSKHVSVGWGKKETQFQGKRAKALRDPTVPEIVDEGKLSVFDNGRTSISW RGDGAFLAVNRAVLNSRRVIRIFTREGTIDTASEPVDGLECALSWRPVGNLLAGVQRF ADRLDVVFFERNGLRHGEFSLRLSKDEADTWASEVSLSWNVDSTVLAVSFRDRVQLWT MGNYHYYLKQEILFASEALTSPRPVAWHLEKPLRMCVASRGRTAWIQKITSQRAMVPP PMSFAEITTTRNIIDCSISLTGSRVAILTTWGIEVYKWDLSPKPAAVLCKIASWCSES AAETWSNARLKEILVQKEEVVSLLSYFIDGGPTITNYAIQESNESLVDIGNGLDPHHS NKDSLVNRIFTDANHDFMWAQTATGLDCLNQWDLSSSAVHPLTEIVVLEGRGEHPNAL DDYSLRDNNGTYRHTHTFSLSRKGELFANDKLLTRGCTSFVSTNAHLIFTTSLHLLKF VHLDSSDEYEVPGDTPEADERCRSIERGARLVTAIPSIYAVVLQMPRGNLETVYPRAL VLAGIRQHLDDKDFKSAFLACQNHQVDMNIIYDYRPELFMSSISLFIQQVRKVSRIDL FLSKLSEDDVSLTLYKDTLAKREASEQRGDTSTHRKYGVTNGVGRNEIQDGKVNRICE AFLSCLRPKISGHLQNIITAHVCKRPPDLVTALELVTSLRKSEPDKAEEAVSHLCFLS DVNRLYDTALALYDLPLTLLVAQQAQRDPGEYMPFLQSLNALPPLRRNFRIDDHLRNH AKALTSLHALAAHEEVESYTIKHALYSQALKLYRYDSDHLTTITRLYASYLTSQSNHL ASAIASESLSDYALASTSYTKCSPPRWREALHCLSMTQPPPSPQQITTLASELSTALT DQQRDYRSASQIQTDYLHDIPTAARLLCRGSYFAEALRLLSLHNLTPQIPDVIDTALA EKSGEITELIADCRSQLAAQVPRIKELRVKKAEDPLGFFGGDAAAGEGAGEDVADNIS LAPTDASTMGGQSLFTRYTGNQTTRFGGTVAASNASNVSRKTSRTRRREERKRARGKK GSVYEEEYLVGSVRRLIERVNGVHAEVARLVEGLARRGLVIGMSERVEVVENGMRGMV EECERARGEVWGVRNGKGEGGEGVGGGEQRDEEGGDEIGESRSSRPRGADGVYWDSQM EMQAGKGAPEVKIWKALF EPUS_01371 MDIPRLSSPPSIRVYDPNPGFERRYSRASAQTSPSSFSSRGSMP IPNARSDPAPPPLPPPPFIEDLARGHDTGWKFANEDRQGAFRNSTLAPIKQGSSLHGG YMQPRLNTNPKSDDQPELLQADEFGRKSSTVSTIRCNSQPDIQMGCVGAAEEDRQKPT SPSSLANQRLQGEMPLAQQSFQQTSKAYDKHLLSKIGKRNSPPRYSSQASGDVITSGL SRPPQAKDGSNLQRLSVSDVPAASFDPISRWVSSPASAGVSPGSKPGWRDYMDYRSPS VDSSAPSSAVDSDYYARLRDCGRGSLGGPRMGNDDASSLPSRSNRGSYDQQACLTEPE TDFPMEETGGFRKLNLGDRTPPHNAYRQPFSKQQGLKRRALSPPPEVVRDDKAPTNST NQLSDMHQRSGTGHSYPRSPNLRFHPNHGSMSSTSSAGPRNGSYASSVGLSAAGSSMT SISSIDKPSPGGTSPLSDLEPTQDSTHVHQTSLNPPSLIPHIAASRSHLSQNPPESRS ATVARAMSAQSAATEGRAATAPRIGGAYMCECCPKKPKKFESEEELRYVKTLRSRTSI NFPPPQTSLTTCHRMHMMEKQYKCAYCTNRFKNKNEAERHQNSLHLRRHSWSCAAISG YEAAFHPSTSPTSQTSKGPSGDACGYCGEEFSNFPRDWEARFDHLTNVHKFGECNQTK KFFRADHFRQHLKHSHAGTSGKWTNMLENACMKDEPPPDAAAGTVMEVGEPSQPTVQT PTATPTTPSVTEGSQQGS EPUS_01372 MGDGWEACTQGGSLVMARGITHIISVIDWKFAGDSPLIRGYQHF HIPIEDNDDENLIEWFPKSNAFIERGLNDWQHDFQDPGANASEDGDAGRNSGVLVHCA MGKSRSATVVIAYLLWHSHRQNPSASSALTPQHNPAPKKSRDTTPDKSDTLTPETALA LLRESRPIAEPNDGFMEQLHLYHSMGCPDTIDSQPKYQRWLYQKNIQESLAINRAPEV DNIRFEDEHEEENEDAGTSAEKRHLDIKCRKCRRLLAKSSFLVDHQPTSLSSSNQNQR HERQKQKQKQTVMGVDTEKECAHLFLHPLSWMKGNLEKGELDGRLICPNQKCGANVGK FAWQGMQCSCGGWVTPGFGLARGRVDEVAMLTKTAYGASAVAGVRLPPGMRREVGHL EPUS_01373 MTALFPNTWHLRTVAENSSKACYVCYKPTTRVLITPNNKDFFYI CPGHLTDPGFASPVIDAEAEAAKAKKAAMDLEIEKVKQEYEEKQKRKKEKKKKGKKDD GKGKEKEKEQEDEEEDGKAEKERDDKITSIKGGGGESKSDDIPRIYALHRNFYQMRID RIRNAEMAKRAQQRVKDPTFFPSAPKNDPQ EPUS_01374 MRLWLRDRWCEIEEQPVAFLRVMTARVKYEIDVLMPGYTHLRRA QSIRWSHWIFNYGSAFVTDLERLREFIKRIDRSPLGSGTLVGNLFGIDRDAIAKNLGF DGTINNSMAAIVDRDFVVEALQWSATLMQRILRGAEDLILYSTAKFGFVRLADAYSTG SNLMPQRRNLTP EPUS_01375 MPPVASSTGLTITNPLVKYRALLATNRIRPDPAQHRLALQLQKL YYRLKDYHPQLEYRHRLDQISRTVDAASETQSQHDAEQGHVPYQRIPFSSLWRDGSGD PILALTRTVPIQHSALSINSPKGMLLYGEVGRGKSMLLDLFADSLPSRKKKRWHFNTF MLETFRRLEQLRMQRSSLPIQLRGLEQEHSVLALAKDTIITSPILFLDEFQLPDRVTS KILNSFLTSFFHLGGVLIATSNRMPDELAKAAGVEYAPQPSGLGSVFGWSRKRTEAER AASTDFGAFLDVLKARCEIWEMEGDRDWRRDDMVPDLSEKKLYDAVGMENVAQTGPTA TTVAITQVDRSDKDNRSNKQPRHYRLIPSTFPNDQETAVSEAEWSNKIASLLAESHPT ATSPTWSPSYLSVYGRKVPVPASSPTGYTLWNFADLCGTYLGPADYISLASTYHTLIL DSVPILTLMHKNEARRFITLLDALYESKCRLLMRAEAPPDALFFPETQNPARIVEDAT GDGVYQETLAEIYQDQTSPFRPNISSYREDSSTGLTQSLLNPKLRSVLADEDADFGPV YGNGRGHGASTGLEEMEKRQRRDEGRAGPDFTQTATLTGEDERFAYKRARSRIWEMCG ERWWSERPADQVGEWWRPVALESRFWESQLPATTAKERVSEETVMVDGSHDGRQRRAD QHIGGGPDEDGLFRHGASPYRTRTDPPPKFGWQHAWGMVTWGRKAGEWGKGVEGRREK AGKGEK EPUS_01376 MQKRQSSNSSNSLPEYHVLGEDAPPSYPLDDLSGSPARPKEAHT HLPHDETRAGASTPPLAEDYVHVQNGMNFDVEAHMAATNTDRATPRMDTSSSANNARR RPRHTGLDAFANSFFLCAIIFWPINILLECTGDLCHSTMSKVVFYGLPTLALFFLWMA MFQVKGCYAIKREGKTAMQYKRIVSHRLCLMLLLIIVSVLALWQTAFRLCRDGCASGP GDGKACVKEAPWNASLDVSSSLAPNASSNASSNASSDPSPNIVGDVWADISSWF EPUS_01377 MPELEKTQSERSWCRPRTTSIPSGPTGLRLERLYSGQHLDDQSY YHHDDHEYSHDTDSLNTLAIARLEDNTSEDGVADIIGEEAGKVQDRVPDKVDLESRRP PLEKKSTTRSVKAEYLVTWDGPDDSANPKNWTFKRKWAATFIVSSFTFISPVSSSMVA PALQSIATEFNITNDVEIALTLSIFVLAFAIGPLFLAPMSEIYGRVHVLQLSNLFYLV FNIACGVCTSKGQLLAFRFLSGLGGSGPLAIGGGVLSDCWKAEERGKAISVYSLAPLL GPAIGPIAGGFIVENTTWRWAFYATSIADALIQISGLFFLQETYGPSILHIKAEKIRK DTGNTEFKTEFEHPERTLLNTLKRSIDRPFRLLGTQPIIQCLALYMAFVYGLMYLVLS TFPALWERRYNESVGIAGLNYISLGLGFFLGAQICAPINDRIWRVLKNRNNDTGKPEF RVPMMVPGAVLVPIGLFWYGWSAQARVHWIVPNIGACIFAAGTIISFQCIQTYIVDAY TRYAASGIAAATVLRSLAGFGFPLFAPYMYNALDYGWGNTLLAFIAIILGIPAPFMLW KYGAKLRARSKFAAGG EPUS_01378 MSTAELATSYAALILADDGVAITADKLTTLIKAAGVSDVEPIWA SLFAKALEGKDVKDLLLNVGSGGGAAAPAAGGAAAGGAGGATEEAPKEEEKKEEEKEE SDEDMGFGLFD EPUS_01379 MSDSPFATAPLDPSEKPVLDSILIIRDKLLLLKQDKSTYVKSQD VLPLYEQVIEQVSKLNGIRKEGQKQLELNRVDTVLDDCFQLISLFFLTIGRNNEAPAV YSMASTINRLLDHLKEAAFYSQKDLVSMGQTLDNMTETVERGKLTYSPHLVTLLEARM QTCKNQLAELQEYLKKIDPGLVPTWEKLVSLLRSAAALNTRSKFSKTDLEQLRAQLLE IQATMKDGKLPAEDGSIPECQDLVVPLLERCLLWCDIVEEKRGKIDERFQDTYDKLIE IRNHLEKLTMTQAWSLRETDLYMWQRKLDRIDDSRRGGNFLDTEGKPADLHTQRTMLY LLRRGYAYIYQLLISSEPVSEALLPIYNQLMTLRRCLLEVKKSGGVSNPRELYPYSMK LNSIDNMRVDGKFTIGKDIPEGQGSVIGLLSECYDLAYELRTAAEDDANDSD EPUS_01380 MLHSKSSALFSFIALTSLIPSSLCWGSLGHRTVAYLASEYLTPN GSTYVANHLNDEDISEAALFADKVRHMPMFNYSAGWHYIDAEDDPPRQCGINITRDCA MQGGCIVSAIVNQTARIMNESTSHADRGQALRFLLHFLGDIHQPLHTEAGERGGNAIP VLFGNKHTNLHSIWDTDMLVKYAGAEEDEKANALTWAKKLYAADQDHARSLAAECQDL GKAAECSLLWAHEANKWICEYVLKDDVAGVENKDLSAEYYDGAVLIVEAMISKAGRRL AAWVNALAVHALESRLGSLNGLSYIEELILMQQDL EPUS_01381 MAILSFYRCQILVNNEAVLEYEDDTEATPDGPIPTAVKYVEAIS GANFSIKFSLFPQSKFEGELAMQVYLDGTMTTSVVIRCGGSSFDNNCWKEDGAIVGRD NEWYLKKFKFADIVTGDTENHFTPKEMNAKYSSLGLIRVEFWRFDTEYTKSLEESART IQNPQLGTVPEKALKGKALSLSASFGDTIPSRGRPECRGTYLDSIPVAAFDFKYRSRT ALQQLMIIPRSPSPVPLEQKSIDDLTAEEARELVRRQNVRIETAEAKLKSESKSKLKR ERSSTTNGTKRAKVVRTADGKEYIDLASDSEDGSAAAEHNGSDRGVTKTDSKIEVLDL ID EPUS_01382 MRAQQLWRRSAALSHQARYYANPASPSHLNPHPTRKVAIPPYQK ILRKFEDVRRILPKKHLTLAEKILYSHLANPEESLLTSTNNGDNIRGNANLKLQPDRV AMQDASAQMALLQFMTCNLPSTAVPASIHCDHMIVGERGADTDLAESIKGNKEVFDFL ESAAKRYGIEFWPPGAGIIHQTVLENYAAPGLMMLGTDSHTPNAGGLGAIAIGVGGAD AVDALVDAPWELKAPKILGVRLEGELSGWTSPKDVILHLAGLLTVRGGTGFIVEYHGS GVNALSATGMATICNMGAEVGATTSIFPFSSSMIPYLKATGRGSMIDAAKGIAYGPGP YNFLKADTDAQYDELVRIDLSSLEPHINGPFTPDLSTPLSKFADAVKANKWPETFGAG LIGSCTNSSYEDMTRAEDLVKQAATAGLKPKSDFFITPGSEQIRATLEDSNTLQTFSS AGGTVLANACGPCIGQWKRTDGIQRGDSNAILSSYNRNFPGRNDGNAKTMNFLASPEI VTAMCYSGSMSFNPTTDSIGDFRFQPPKGMHLPSGGFSMGNPDFQPSPARPDHSVNVV IDPHSDRLAVLEPFDPFPEAGVKLRVLYKVKGQCTTDTISAAGPWLKYKGHLPNISEN TLIGAINAATGETNMAYDFDGSKYGIPELAAKWKSQGHSWLVIAEDNYGEGSAREHAA LQPRYLGGRIILAKSFARIHETNLKKQGIVPLTFEDKSDYDKIDALDEVEPVGLLNVL KSGGTGHIELHVTKRDGQPFNIPVKHTLSKDQCGFVLAGSALNLLAKKAWTLSTFKLE RGVSEIFAGPCHESARTNTWLHLGINAVSTMLLSGSNYCMQILSAPTRKEIDTAHAGR EWLDIGVPSVRNLKNVARMKVVMWWLLGLSFVPLHLMYNSVSFSIIATNKYNVIFVNE AFVEGGPNSSWNESKFPGINYVQARAKTWERLDGLACLTTRHPVAVVVDDLMVANDSV KHVMPAVFEYDIKLPSIFNPSVWIYETNDGDTRPMLNAGTQWVGTSNYCLSEPVEGKG SLSYSLSIIVVVIILYNITKALVMLFIAFGIRDNPLLTVGDAVDSFLNVNDLNIKEMC LASKESIHAAEMVPNSAKEVRG EPUS_01383 MASNGSLDSPLSSVPSDEEDNDDLQHDSRSVDGTISATGSPSAS TPMAMPPSKRRRIGASNYDHATPISLAGDMQMHAPPSPSGSISSDTSGDVPNSPSFAH LAPTHPLSSAYAASQANPDDPDAGDAIQVTRCLWTDCPEPDQGNMDRLVDHIHTEHIG QRQKKYSCEWEGCSRKSMPHASGYALKAHMRSHTREKPFYCQLPECDRCFTRSDALAK HMRTVHETEALRPSDPVPKGHSEAASRAAGGSGSNGSLKRIKLIVNNGDRPKSVVGEL PSLPTDGIPDENGEAGAVEMDSLPFMLPVPHGYYPSDVADALDDHELALPPSQLYRLL RRQIHWAEQEGAELAKQLDIVESVTEFNIDLQTDLPGDKAEKSRQNGWLQTEALIDAI LGKEAEGARAEANVQPETALEQVSGPWVRIRSIEGLVG EPUS_01384 MSLPSLPTEIHLQILSYLDPASVVSAAGITPHFRTLVKEKLLRM VYLMYEDIECAETELKEAGELSMRPCYGCLNIVDGNDFFKFSGSPLLNKEESKDFTAV RIGLDRGLHKERRCFACDKKVGRKFEKAMISELFQVCRGESDRCSVPLLEKSKATFVI YCVLALFVWAGIDVFG EPUS_01385 MGNRDTSNMKPNIGVYTNPAHDLWGAPAEPDVEHVLDGSTLKPG EVTIEIKNTGICGSDIHFLTHGRIGPMIVTSDHILGHESAGTILAIHPNTITTLKPGD RVAIEPQIPCHSCTPCLHGRYNGCENVSFLSTPPVPGLLRRYITHPAMWCHKLPDSLS FEEGAMLEPLSVALYAVEKSGLRLGDPVLICGAGPIGLVTLLCARAAGAHPIIITDLD AGRLSAANKFVPSCKTFLIPQSGNQTPEDIATQIVQDLGIEPSVAIECTGSQPSIATA IFAVRFGGAVFAIGVGKSELELPYMRLSTREVELKFQYRYANTWPRAIRIMEGGLVDL KPLVTHRFGVEDVQEAFGVAGDRASGALKVMVEM EPUS_01386 MNQKKGDISQILGLFEAYTGQAGRKHMADLRAHIPDRAELSDSA QKRYDILDIFDYTERLAREFQIEAAEAEPETFAWIWETGPGSPGFSDWLAQESGLFWI CGKPASGKSTLMDYLAKDCNTSERLKIARASEWTIIRFFFDFRAGKGISNNFEGLLKS LLFQILEKVDDLRSVLQDFTRGKGHVSTMSLTQTRRLLEALLRQMPSNLCIFIDGLDE YQGDMIQLTRFLKTVPSTGGYLVKLCVASRPGPYISTALTHCPKFQLEIYNSSGIKRF LSSFVKDYPVASNQDKENLIKQITEKANGVFLWARFAVYELVKSFEKGENITKLSARL ASLPPELEDIYSRIINNLSTDERAEASMMFRLVAFAIGDLTLEILSIAIESVLGETRL QKGPVQPETCEKFLRRIRSLTGGLIEVVINVRKVKLTSGAINVFNLSIVKLTHKTVQS YLECNDWLSNSRLEVPSPWLQICSTYLNMVSQELQRLDSKPKQRAVIHDLYASYFLPG SVLVGWWNIEIYRRINPDLNLLNFSRYSAATIFEYALTCEKQLGVSSYSYVRQCLNTS IARLHEGARSCFDDCTRYFSNPTSLDLTLLCSAGHGLALSVEQAIADGADVNALGNDT LNMALYFIHDHFEVERNWGLKLLQKILESNVHVNDANILYAIRYNIVEILELFLYSTP AGKLRLRTNGDERVGALWASAQTFKDAVEKIDLFLDRGEDVNEVFGPDGTALHAVILG FRDFGHMSEFLATASYLLERGADPNISCVSGSPLVLAWSHVPYYLRVANCERLPEMLQ LLLSHGARFEPLNAAVATPSEEEMWDFCKLSRGEYVAKYKTEEELPRAIFPPNNSGYG SDQDGALTELDRDPTPAQIPAGATLHTPLRRYRKCETAWKDSKAYNELKNIFVSQILK QDGRTTKCICFGLGSPTERDPDNASMYQLAAFKSVIDLLPARQRQPPAALAQDPCFNT LDRELLSHLHISVVTHPAAFHHINPTTTFVFCPYVDPDVLNEVVSRSPAIYLGWHPLE VEPCYEVKVLMAELRRKRKKFLRLPAFEPIINPSRNIFDEMSIFWTSSSHRCQRPAVV V EPUS_01387 MTFHNPGRSRDEITPLPDIPRSNQSLGLPAPSGQDSASQSHIRP QTQRQPSSIRIRRLPPSAVVPQINVEHADDINARDHGRKVGRRRSSSEPQRIRPLTGL LNELESSRMPSVREDIAELRASTPRPSNQDAITPGRVRSASISARSALGLKRVNTERN PSLSHPHSEYESGVVDLLDVVDPEVATLSTLTNVQNSLFVPDLGRFLNRQPTYELTRR PADALETGASNADTGPFSRITTSRKEDQQAEESQSGTRHIPTRSPSISSSLRETNYAV LPHNVSLDGWSEEDKDRLNDYVRHMLHSRRSRFRRGLRGFGKYLRRPLGFFVTLYAVL ITLFGLAWVLFLIGWIYVGERQPYIIHVIDSVLVALFALNGDVLAPFRARDTYHMVYI AYYHRLTLRLRRERALPKLQNENDLPTGLSKEGDLESASNDVEGILVLTPKQDEKLRY HQNKFAKSHSFYRPHETITHTAFPLRLLIAVVILLDFHSCFQIALGACTWGISYHVRP QALTAVILSLSISCNIAGGITISVGDRMTRKKEVITRLARQALTEEAMKKVKSDLAMN TLKNKKEGDPGQPIKQNFARPLSG EPUS_01388 MASGSLLSSFLGALQASLAVLLTIFYGVIAAQFKLLDGQSATSI SKVCVRMFLPALLITRVGAELHLDTAVRYVPVLIWAISYTLISMAIGLLGVRIFKLPQ WVTPAICFNNTTSLPLLLIQALDATGILSSLVIGGESTAAAIKRATSYFLVCAIVGNS MTFALGPRLMDAENAPDDPDSGRKEEDEEEDSQERDQNGRQEQETGDPDEQTSLLPRT VRDAHRQAYNHSYDRGKRHWDRLHPKAQYGLGFLADFFNAPLIGALLGAFIGLVPPLH RVFFNSQEDGGFLSAWLTTSIRNIGDLFASLQVIVVGVTLSSSLRKLKRGEHSGNVSV ISTLFIVFVRFILWPIISISFIWLLVTKTNVLGDDPMLWFTMMLMPTGPPAMKLTAMA EVNGADEEEKMAISKILVITYAVTPLTCFTVVGALKASQAAI EPUS_01389 MASKISQGVEKVVTMASNGITDKKHADLHRDTVNIHDNKHFSTT DFGTKVATQDDWLRVVNDGRTGPSLLEDQIAREKIHRFDHERIPERVVHARGAGAFGS FRVYESAEDVTHAKVLTDTSRTTPVFIRFSTVQGSRGSADTVRDVRGFAIKFYTEEGN WDIVGNDIPVFFIQDAMKFPDIVHAVKPEPDREVPQAQSAHNNFWDFQYLHSEATHMF MWAMSDRGVPRSFRMMQGFGVNTFRLINKDGISHFVKFHFTPTLGVHSLVWDEALKLS GQDPDFHRKDLYEAIDEGVYPKWKFGIQTLPDSRQDDFDFDILDATKIWPEEDVPIRY IGELELNRNIDEFFTQVEQAAFCTSHIVPGIDFSDDPLLQGRNFSYFDTQLSRLGINW QELPINRPGRHRITAGKVNYWPNRFEAVPPVPPSEGGFNSFPEKIQGIAERLKSKKFS EHINQAQLFYNSLTPPEKYHLENALGFELDHCDEPIVYERICDRLRDIDLELAKNVAT LVGAPVPEKEGRPNHGKKSKSLSQTYFMPSEPTIASRRVAILIGDGFDKATVKAMQTS LKAANAFPFVIGVRRSTIYGEGEDKSSSSAGMMPAHHLEGMRSTMFDALFIPGGSHNQ TLQKNGRAIHWVREAFAHCKAIGAMGEAVELIKTAIGSVDKVKLASVGEQGVIESYGV VTAGKSEASGIGEMIKMLKGAKDFAGAFFYSISCHRHFEREMEGLSSMVAY EPUS_01390 MDEYFESRNGNHGPKQPGGPSDKTRSWVNSQASDPPDLPPGEGT ILDGEKPSSVALFGENVGGEGRGEERRRPSKKDSYRRRSKHVTSPDPEERRSSRRKES RRTTAEREDVGGGGGVRSGSGSGGDGGVYTSKHRSSRRREMEYDAYADGGPAAGGMRT FDGRPAVANKRNSILGRWGGFL EPUS_01391 MATACVVSTVADIRDVPYHPQRIRTSSRTGLDDVQCRIEEDAAD ICTGITRRCDSANIACCPSTPASRLTLFTSPSYLKAYALVAELLLSIPSPPKRRIDDS SSEEYYATVPQLYADTECLAHARVETAKSAPPTSDIREIEVGKDGLLSDPSMGDPLNL GDATGIQTRGGKAKKAKKAAQARWAGSDNEDGAKNGEGENDGGSGGGGDGAGGGAIGG AGGNGDGGGGGDDGDDWDTGGKGNKKNKKKAKQEEEKRKAQEEEEKKKAQEEEEAKKK EEEQAAETNTLSWANEPNDANGDEEWAGLTGKKEKKKKGKKGVEEVAPVAPAPPTAAF HEISLDDSAPNLDFSFGETDTKTSTGIGGFGAWGNTWDFGSVGTGTVGEKKDDKVVKA EAEKGIKDSATEPGDTNPWSWGNKSKNKKKSNMSSGFDFGNFGATQEATDIDLGMNGP TDVKPAEEDSWGFAPVTKKDKKKKGKDTGEEKNEEAVAALPEPEKDKEADNSWGGWAT GKKDKKKNKKDTAEDIVNAFPSAPPEPAQEGGEEWTSSGFGKKGKKKGGKGAVEESIP EKTGNLPAAEPQFSFGWAQPSSTKDKKNKNKGLIDEVEDDPLMTTEDAATGDIPATSD DDWMNSAWTTGNKKDKKGAKAVTAEPNYPPESTTAADTNTTTSNTVVENDDWTSGTKK SKKDKKGKKVDSKGSGIPPVPPPVPPPVPQPPPAPAPEAVEEADSWGGFGTKDKKSGK KGKSASPEPFIPDPEPPLEEAEEPKEESTWGSWGLSAKDKKKKEKESKKKGTAEPVVD VPIVPVEHVAPVEEPPVEDDIWGSWGVTGKKKNGKKVKDEPPPPAPTPPAQGLTPEPG PAAMPEFEDYGWADLTATKTKSSTKKGTLSRSTTMTGKSSKVDNAKAKDDKDEQLDSV VSPKVETALSKKDTAKEETPAKAAKSIWGSFGGTTTSAAKTKLAKEKEKEKEKREEEE RVRKEEAEAAALMEAEAAALEAEAAAREQAEAAAREEAEAAALAAFAEPAKDTKKSTK SKITGKASKADPKAAGKLDEKKKKADEAALVDILGAPSPKEEKASFKLKKSDAKPADD KKDKEAKEAKEAEKQEEKKSDDYFGFWGGSKKTTGKKEAETKWEIDNLGWTNESDALA GFPNDLEEPMADQPTPIIKPSKTMSPAAAKSKPTASSSVAARIKAFEANKKEEKADKA DKLRAGSQLAKDLETLPSPPPPPPPVEESPKEAKKKDSSPKSKTASASTSKQPKKKEL SPPVEEKKLKDIVPGSFPGAFGDDDDLMALDDFPAAPEIPPVKKAKDDKKPIPKSKTV KMEDILAEAPEAFEAFEAPEAFEAPEPPEPPKLPTPPPEEKKPVKKERARVERTGAAS SWGFWGAAPTPKKDSAKKESPATVEEAITPSPKKKTASPGLTRSKSTKTPKEKERDDK KDAEKTSKSSGSDTKEKRPEAKQSKPNRGMSFSAFMMGGAPPSATRAKPIRRSSVTGG SKTTSRRQSMDVDAGGLMSPPPDDQPPVSDKAAKLMGVKGAKLERTKTVKGKERASGM LSSPKLGIAADETPQVVPDPYPIDDNDMVMVNGLEDPLLNGASSKNPPKEKIAKTRSK QELQNPEPGPFPMMTTL EPUS_01392 MSSGFVSAGSEGTQPRNDDDWHKARLAIEETRRPRQEANTQEGG KSLYEVLQQNKTAKQEEFEEKNRLKNQFRSLDDDEADFLDSVLESTRAKEAQVKKETA EQLDTFRKQREAAEDVLFGGNAAIEKSDTRDKPLATEESWSASSRKRRRVKQKDDEGS GKLRKKSYTTESPRTRLVGSEGLHTTATGGGAIEITSSDNIKHGTGSAKSEPADVNPS RTSITPAPITPATLGLGAYSSDDD EPUS_01393 MANLGGGHLVGKALKVIRFAVEKTGRVLHDRLPQVARAAEVEAQ PVYARNTAPHHPISRVAAIRQSQSQYRSRWYSTQRAVKSSFRPFSSSTRSTTRYDRTA LPTSQTSQAVSRLTSRAPFASSLRPNLTGGTLCRSAGGYSTGAGRIGGARYFSHTPAA PAQVVNNVSQAVRAFWLSGQKAQFDGMSPRNEKRFRTISPLQEKAGRKMRCLPAAAPG SYVDFKVAPTITAIGPLSKNPAAGSAQDQESLNNSYVMDMLSIDFGRALKDLAIVMND LQKLSNLGDLPIYLPNSSTLRVRFPGCDAETVDRLCEELSIRRGLVYQDENFDASNGT EMALLFPFAPSHTPSDNTFSPKVEVRQCWKHDSVDWQDMQSPRQQLSPKHSILSATSH DFEEVQAVGENPWLMSPSGYSSLNGSGEGDATIYSDHAAQDHITRPSGYEGLEGIYRF LEECDRARR EPUS_01394 MEVSLQIRSHLDYATAGPRGPCRRKATVLSTTLTYFRRKLLTPV LQGVKQVKRTSLNARFLFLAPPSLEELERRLRNRGTETEESIRKRVAQAEKELEFART EGSHDKVVVNDDLDRAYAEMEEWIMGGDEALRR EPUS_01395 MTSIMQNAVFPRSHVGFDSITQQIERKLLKRGFQFNVICVGQTG LGKSTLINTIFASHLIDSKGRMRPDEPVRSTTEIQTVSHIIEENGVRLRLNIVDTPGY GDQVNNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRIHCCLFFIQPSGHALKP IDIVVLKKLSDVVNVVPVIAKSDSLTLEERRAFKERIKEEFAFHNLKMYPYDNDEFDD EERAVNAQIKDIIPFAVVGSEKTIIVGGKQVRGRQNRWGVINVEDENHCEFVYLRNFL TRTHLQDLIETTSQIHYETFRAKQLLALKESSVAGGHSGSRPISPAADRELSRNSQRM TMNGY EPUS_01396 MATSRVFTASSMLSRFVSPRYTAFQTASSPMLRYISNSLHPVAT LSIPAISLNVPGLLKDIWEGVLRAVPKKKTSHMKRRHRQLAGKALKDVKSINSCPACG EPKLLHHLCPNCVAEIRKEWGEAERRAERQTGEQAEEQTEKQAEEQAERLSKQYLTTH IIDNAHPIDIYTLAVTPSQILSASGSSSIKIHSTTTSDFPIAQVLKDVHPLGCHHLAT SHDGSKAISVGFSGEAKVWRYGEGMWVEDGELGGLSGDDGEGEKKKNGKKTKLKVGEM WAVALSEEGRYVAATSYDGRIGVWDLLTEQRRKIRQYETKGSFGLCVDMSADGRFTAC GHESGNVYIFSNDTGRLLHSLPGLVKPVRAVAFSPGGKLLAAAGDARLIGLYDINSGE QVANLTGHGSWITSIDWSHTGEYLLSGSLDGKVKVWTVDQKMCVATHSETDKGLWSVK WLPKVGRNEGFATAGSNCSIAFYREASGG EPUS_01397 MSNSPEHGDPSADTDAPAGSGAPTINVDGPERPRPLPRIQTDGL SELPSTIPAHPPSSAEVQRETSVDTTNSHPTSAHNVSSATHKPNDSLGQNFLAVPNTR SRGSSIESNDSRSPSSYGGDTYISSAGTAAEDGKENHNNSKIMNAADALKPDPHNEAE FHVENNKFAFTPGQLNKMINPKSLEAFYALGGLAGLEKGLRTNRQSGLSVDEADFDGT ISFDEAIRAGSAEKELGPVKRTNTSGAMISPAELTSNSFADRKRIFSDNRLPQKKAKT IWELAWIAYNDKVLILLSVAAVISLALGIFQSVRPRPGETEANVEWVEGVAIVVAILI VVTVGAANDWQKERQFVKLNRKKEERFVKVIRSGKSQEISVYDVLVGDLMHLEPGDLI PVDGIYIEGHNLKCDESSATGESDIIKKTPADQVFRAIENHEPLGKMDPFILSGGKVT EGVGTFLVTSVGIYSSYGKTMMSLQDEGQTTPLQSKLNVLAEYIAKLGLAAGLLLFIV LFIKFLAELNSIQGGADAKGQQFLQIFIVAVTVVVVAVPEGLPLAVTLALAFATTRML RDNNLVRVLRACETMGNATTVCSDKTGTLTQNKMSVVAGTVGTSSRFSDAQTVSVAAG EKGDKEAEMPGDAQGVSSSELTSTLSKEVKELLKDSIVLNSTAFEAEENGQVIFIGSK TETALLNFANERLGIGSIAQERSNADVAQMIPFDSGRKCMAVVIKMGNGNYRMLVKGA SEILLGRCTRIIRDPTQGAAETTLTSENMESLNAIIKNYASRSLRTIGLLYRDYDRWP PLGAPTQKDDPRLADFDKIFKDMTFLGIVGIQDPLRPGVTEAVHKCQGAGVFVRMVTG DNLMTAKAIATECGIFTAGGIAMEGPIFRRLTSKQMTQIIPRLQVLARSSPEDKRILV KQLRKLGETVAVTGDGTNDAPALKAADVGFSMGIAGTEVAKEASAIILMDDNFTSIVK AISWGRTVNDAVKKFLQFQITVNITAVVLTFVSAVASGDESSVLTAVQLLWVNLIMDT FAALALATDPPTPSLLKRKPEPRSAPLITLTMWKMIIGQSIYQLVVCLILNFRGADIF GYTDPHELEQLPTLIFNTFVWMQIFNQYNARRLDNKFNIFEGITKNYWFIGIQFVIVG GQVMIIFVGGAAFAVTRLNGPQWGYSIVLGLLAVPVAIIIRLIPDELVRKLLSFLPRR HSSTPSFMFEDDEQVQEWNPALEDIREELTFLKKVRGGRMSELAYKLQHPRQSFVPRS RSGSRSRSNNSLPPSPQEPEKAVPPAAVSPPTPEKGKRRDRSRSNSRFGPAAAMAGII AGSVAGGWSPVERGQEETDSIKFSKSQIHGGLGATNGIEVHPDTRPDDPVIVENPEKS RVPPSQNPALTPRFDHATNNNDSTNSKRGHHHSHHASSNA EPUS_01398 MFRQAFAGRTSIVSLPFLTAPRTLLKNITDPPTRKAVLHAAAWS RHPDFGITHSQSYSTVVVHNRSRYRARRLFNFLAIGTVSFALGAYLAIEYSPPLLTPW IMGSLPTDEETLSIYEAPDEYSRSIDEHIKNCSLATKLRANPDFQESRPHLKIPEAVR SHNLTAGTLAGPGMIVVPPYIWNEREGKELVEIFYLGNNVSGHSGIVHGGLLATMLDE GLARCCFPVLPNKVGVTASLQINYKKPTKADQYLVLKATTTKVEGRKAFVEGHIETMP EDGEEPEILVSANALFIEPKHATHPLIPGRPGEMSKNTKYLFVSVPSSVTPSGHKDDA LQAVQTAANDYATVSPFSIPEFKIGTLDALIQQSEELAKLSGMCEGVVSKVGDSLRNI LEGDEGKIAQQKTVNDKPLDQYLRTFSWNKVKYRVDKPLAELIDSLQKEASSIDNDVR AKYNQYNNLKTTLQTLTRKQTGNLATKSLVSVVPPQLIIQDSEYLETHLIAVPNSSTK EFTRAYETLSPMIVPRSATFISQDEEFSLYAVTTFKKHSLEFVHRCRERKWVPRDFKY KEGGKEEEEKEVDRVAAEERKLWGETLRMARTGWSETVMAWVHVLVLRVFVETVLRYG LPLDFVCGMLTTTGKQEKKARSGLDAAFSYLAGNAFGRDKKGRLTKDDSSMSADMQAA GQMGDAGDYSAYVCYDLQVE EPUS_01399 MATNITYHPTPLTPTLRSTLRKQTGLTIWLTGLSASGKSTIAVA LEQALLRPPYNLHAYRLDGDNIRFGLNKDLGCTPADRTENIRRIAEVAKLFADSCSVA ITSFISPYRADRDLARKLHQEARHGADAAEGEDGEGGEGGVPFIEVWVDVSGEEAERR DPKGLYKKAREGIIKEFTGISAPYEEPLRPEIHIRSEETGVKDAVEQIVAYLDGRGLL RREEGLVEG EPUS_01400 MARPGRQSRGCVSIKCPKISQVVDGFINLIVGRGLADQRDTARY ERSLLGAEARNKLVKEVVFESYKAASPCKAGETKPLLERTVSLAGTIPQQGSIRPPRV RRRRLGAAGGKYVTPMGPPDISCADIPEEQLSRTYSRSCVWDPKTNPVPPMQEMEGFG RFRRSVHADANWQLNKYRGDLQTLGPVAVQRLGDFEIAKLKDGVMNNIRTSSYTSLAS RSDSGESSTCPRICRGFSPAMISSISKASKGSKGRSLSPKRSSFRTTSPNHNDGTNER IRPQYKRTSFLRFEAGSAPLATIDEAAGVQQKKKTVPLIFDEKGAADAVIDDEEYETY EESFALAKAPTKPIAQNAIKVSSNWHEKAAQMPQAVLPTKTRGSRLYRTFDHAPEKAR VVQKKSTKVATRRLSSRGGKALANRVIAKDDIIQATDTQLPAGAKAPVLPINPTSPSA VVSTKPSSTSSDGSADTNKADATLYIATPKASTAVSNGNNDALCPSNRANQPVTTGVP HYPRLSSIQGRQRSNTAFRVRSGNIPTIYLEGNAKHLLDTCEIDRKPLQPMPV EPUS_01401 MQPPTNTLQHQILRLEALLSSNQESQTTPPAYSATNPMAPVLYE DHSADEEDYGYPASRPGPITINIDASLRIEGQANTIVLPPASSPVSSPSSSTKPPPAA MSSQPSCQHGRVEKVTSMVLTALKEAKIFRSTQGMDGQTTTTTRPVDISVNAGIVVKG SKNTICSGLPKLMKGNGAPAAARDKANLKMGEDGVAAETRKRRACSEPPETSTPKKSR LP EPUS_01402 MLKRNARSPGFRQSWPEPVDLLLHGTPRDRSGDSNSGRSCSTPS TRSLSPSTRPEFNESREEKSLSRSPSPPTSSRFNDSNPDILSSPRGPENNDSKADAIS PQFPLPPGSTESNDSGEERTPQRSFSPSMSAKPSDSMEKISYPSYASPSLSLNLNDDT EGKSSPRTLSPSASPISNNSAEGNFSARPLQLSIGDIPNHSEEERPLSKPVLLLASPK PCDGTEEIGCAISSSASLSPPSSPRHISSNNGSPRNEPLEESSSRSHSPRPSESNDET LCSSSLVKVVSPSISSKHSISSDGSICGVPSPGIAFPLISPWPSDSIDETVCKFPSSR SASPLVSLELRPEEQKKDYPGNKLRTSTSIRLLRIDEVYDQTMIISCTLQSVDLADSP RYRTLSYTWGPATRSARLKDQALSEKYQDQQIICNDQPFFITRNLRDALWQIRQSGYS DWLWVDAICINQLEAEERAHQVSMMGQIYTCAVETVAWLGKDESGIEDMKWGIEVMIP KMLQRGPAFWGTWPLTDLELKNIFSVGDLSQRITGIQTFLATRLWFDRAWVAQEVALA PAVCILVGNRHFSWTDLTNLSIVLARVSCDSELISAEPELTKGYSSARAFLENINALR DLIPRHSAGCTPSPTAEMHEMYRLLNSRYGANTELEEAAAWLAYLLSLIRHMQSTEQH DKIYSVIGLAKLFSSSIDELIIPDYGQRVEDVYTSLTTSLLLNSRYLSLLGHVGDISD KQFTNLPSWVVDYSTNRPTNPILDLGKNRATHFDASLTSESPPFPRKVEGTRLTLLGA KFDELSIISPATPTQVINDVCHFEEFMQFVAQLPDRYFDDQTRTEVLWRAMMMDSEET SESIYHPPPLSFARGFQAWIIHMIGRWVADAVGQGMEVSFANESARQFFAQLYPDSQV EVPEEIQGDAEAFALYHKKRMLPYLRSIEGKVFGRKLFKTKNDLVGMGLRSVQAGDQV WLIGDSRTPLILRRKPGTEDFLLVGEAYLHRFMHGEMLDSRWDLAKHIGPVTLV EPUS_01403 MPGMPSRISRNRRSLGQGLDREVYQVTRKIADERTQYGDTSFRL SFALVYDEIRRSNSSLNRKNKKLLEDSIERVIETIEQENAGDSACESADEHFGGRESE GAVFTKPPSNGLNRSIVGMWSVASPKGSSTPKLDGDASLNGAAIPTTNETAASNKRRQ ANGEPLSKRRKAAPAAIDKSPPSHISLADVGGVDNVIQQFEDLLVLPMTRPEIYAASK VQPPRGVLIHGPPGCGKTMIANAFAAELGVNFISISAPSIVSGMSGESEKALRDHFDE ARKCAPCLVFIDEIDAITPKRESAQREMEKRIVAQLLTCMDDLALEKTDGKPIVVLAA TNRPDSLDAALRRGGRFDKEINMTVPSEPVRGQILRALTRETNLAADVDFDLLAKRTP GFVGADLNDLVSTAGAAAIKRYLAVLKSHSETATIDVDMDSTVSKEKIHPPCAEPSPV SSVVTSLRKLIKYTKETSSSSGTEPNAIEISQKDFLTSLPLVQPSALREGFATIPTTT FADIGALRQHRTELLSTIIQPILDPGLYSRVGITAPSGMLLWGPPGCGKTLLAKAVAN ESKANFISVKGPELLNKYVGESERAVRQVFVRARSSVPVVIFFDELDALVPRRDGAMS EASARVVNTLLTELDGVGGAREGIYIIAATNRPDIIDPAMLRPGRLETLLFVGLPSAE ERVEIMRTLVHKSLKNVEFTRQMAEVAEACDGFSGADLEALLRRAGYAAIRKGTVARG IDGEDLQRARDEVRRSVSDKDLVRYEKLKVEWGTGIGGAA EPUS_01404 MSTQQPHSHSHSHSHGGDDGDHSHSHSHDLPPAVQSSLYSQIDF DGIVTLNEREPGSGPDIFTGQCTLHSLLLHAPPTSTSPQTIHLPRNRPDLDFATAVDL APTQTLNLPPPTSASSSTILELLRDERGWDGAPFFISSCLVGHNRYAEAEA EPUS_01405 MASVTPAAVAGKPSFAKVAAMAPPPKVVSATNAQTKATESQKDP GMNLQPDNPAKVVSGTTQVFASQASVLSDVDVIANGIEKLNVSPEVSSNHSPNESSTD TVPITNSAEDDRSHLSSSSTKQASFDTKSMASENTFAMDEKESLRPDDSASVQAADED EPFFVPPVFGRADLQMALDGNNVNLRRPLHDRTIASGPTGRQFPMTIMANPPRFGDIM PAAPPGLHQHMTPLDPFATKQDGVESLQQYPAGPTSPDEKIIEALGTPKDRLLLLQLE ERFLAFIAQSRDDTLHLPPQNSYERLLAHKLADYYNLVHYSPPDSNSIRLCKNMEFKL PLPLSELVRGIVGRDSLPTGAAAVKIMRRTALSGNKLSNEGSTAASSSVPSKATSDAG AENTSEEGMPSPPDTASSKDRSKWTREEKEAHYKAARERIFRDFQEAQPAENLSADAS TNMSRSSSSSGKKKGHKQRTPKDDSFEVRSSYVPGYGGMPYSTQNQYVESYSASGHQS PYGAQTPSAVPTMAFGANATPAYGQYDPSATMSGTNYAAAYPNYCGSNDGWSGSQSPQ PGGYCNFVQQPGPSYPAYGSAVSPPVNQYPPIASATFPVPNQQWGLVQYSQPYQAQPP MQLSMGQNGAPMSWTSCHPYSNAASPGSPYQFAPAPFQQYSSTTPPLNQHPLPGSYSR PAFNPQTRSFVPGGPNHRFGGQASHGRATNSYIIPQGSNSTPNADNTDAAARASSAAK TAQESLQKKWGTPAHLPKKPPPSKVENTSPLPSKTSFTPLASSAVKSSTPVGQ EPUS_01406 MATTPSTHSPTSSITSSRSSSPTYRLFPHAPQPSSRPRHISLLQ IDFQQQQQQQQQQQHISIQPTQSPSTTTHQRNFSRPHYHRRHTTISKTQSPTTSTSTT TRTHSHLTNSIERATLPMLAHLNQQLNLARLESERDRVRRQIQRQETVMTLSGLLKDW GEEEERSWWSDDSDDESEGNDEGWEV EPUS_01407 MLYPSLRFRAFQISERSALSPPRCFFTVRCSTRNHATNSPCELE DNEIDHGTQEPKAGSGNHEAEGQGISANHDLLSDQDETEHATGELCPIESSITSNQPI FHATYTQNDRQTLRLPELPSDWDSRDDRGHLLNLRRRNILRAAFLEAASSRLHRRSQI ISRLARSEAKLKTLVELLYPYRRRPPNWDRNIYALFHFRKSTVLNGSHIPGLSISPLA ADWCQDLLQDGHISEASEIGEELRAQQERAWEFLPDTHMDRHMREARIRWSQVPQRQK MIRWPHIMLKCLTVSTEQALRFLLVTDIKPFPTFESVMDVLLYLKRARAHEINANPEL GELYQQVLSRQRQPTRWIYHIERKHLDLLLEDCSADQGKDIFEKLLDANIYLSYHCML IFMDFFTRIGEIDLALKALNGIDPDVRLRSDQRLLSRCTNLLKLDSISFDENSPNFRI LPQILKAGVKTNLVLHNIVLKNAVNMGASIVAWDLFHYLRDHDLPTDARTYLVLMQDA LARQDVEGLEGLITAIWARNDLIANPHLIAFTLTVIRVQGQESKLSPTVVFSDMLALY GRTFSRAPLLHLEMVSGSSPSAFNQHQVVPDMDTLAYVVQSYILAQQSSTVVQSLWDR TEQLHSEEDQLALGLAQCLPFYDGFIAFFARKMQTLPKCLQIVQLMLDRKISPSATTW GILAVAFTRYGQLEAAREVDTLMYRQGLHRTEKTTRLMMELTPQPARPDFVSMDEDFG KQPEGTSLDVRSPSTDFEQESQFEEEDFIDATASNMPQLQRESPSLPNTLIRLDSIIT SNSAYGETFVDGIFDHAQLTQRGEAPNDTHDATTEPGLVFAGSRFCSPATSDFFFTGV DFRSTYNASFVWSRLKAARDEEYGCWEKAREREARERQVGSTRCEVRNRQGERSQDQE IGEIESGGRVEERAQSLTPQEEETQAQQIQEQVTEAGSNQEPAKKDARHERIDDQKPA TINKQIKKSERKESENPKSTAKKSNAKPFRGRFTRIRKYESEGTNVKVRKMKSDPMKV KIRRMAIFEYLTLNRRRAELSKYLDLKGSKELVSKLQSVETIPPGDRTTSPIPRRKCP EPUS_01408 MSSNHASLDAASTDRKARLAKLASLKRKQPEPVAESEANKEPVE AATRINNKTDIYLSGRNFDVQTRGPKLGFENAPTSGQITLEERAASIASKTAEQAKKD EEAAENGIDLFKLQPKKPNWDLKRDLDEKMKILNVRTQNAIARLVRERIEKAKKEAQL KNNDSTAGQDKEGEEVGIEGNILVEGVHLREREEQEDKRRELEMEEEDSYH EPUS_01409 MANRVGSHVVPISKLDQKYTVGSTGIWETIRRIFAIDPNRSSGI PLNPQYRNPPPGALDPKGYDDPVTLPSADIADNPYWKRDVRRSYPRISAVTQGDVVGL LSVGSAANPSPKLLAGAEGSKQLVAAKKDGEEKGLATFFQQQKAVGSVLGEDGMPPFP TPGGGTQHSKRYELEEEQSYENQYPCRSFS EPUS_01410 MDSVNEEGAPKPGHWPVPPQEDQPISKDRIWIDGCFDFSHHGHA GAMLQARRLGKELLVGIHSDEDILENKGPTVMTLQERVAAVDACRWSTLSVPYAPYVT SLPWISHYGCWYVVHGDDITSDSNGGDCYRFVKAAGRFLVVKRTPGISTTDLVGRMLL CTRTHFIRSLTDVLEGREGSETDEEKVATGSTMLQRIRDYATDESGLQPGPEVWTWLG SSSAKVVGGQSEKGSFTRMVQGVGPLPGQRIVYVDGGFDLFSSGHIEFLRQVNESENG AAYIVAGVHDDSVINYWKGLNYPIMNIYERGLCVLQCKYVSAVIFSAPFSPNKAFLQN IPFGQVSAVYHGPTTFMPLTYDPYLDAKDMGIFKQVQPHGFEQVNAGEIVDRILKARE VFAERQRKKAEKAVGEEAARRREEIEGEAAG EPUS_01411 MSRDAVSSASRSIKDGSTSGGLLSKASNSLRRSPSKKHKYQSNP LPSLDQIFHETHKPGSSEPHPLPQKRPDVYRTQTAPLALQTNKPSLKEGKIPQPAVEG TMLVTSYTSSHRRETPPHLSKSAIAGPQNGEHVHISGSAGDALPPAPAHVAGNQNSDI LYQHIYDMASKRISTLDYFRKAHEGRVFWFNTVHFSRTDLSKWPNFTAAKLSRRATNY LLLGLSIPPILDVHSQNNSAASAAANATAAYDFLKALNSLLGEFESYQQIHPPDGSTA STLSRARIPHMFKRATHATTSRTRRTSSSAGPEIGLPLQQSSASHSSDPQRHHHHHHS SNSSTGTTVDSNNNNTITALHPNLSSTNLSLTAPSSSQPTPTTTTNSTNTAPSNPPTT SSTFPSFPTPTANPSTLDLNLPNSTLTASEGPYTHLLTPPLPFAPDFYTVFATLCDVL IDAYQRILGLINSPAVCVHGTAQGLGEMFAKADARLRKVIVGGVVRDFEGGARESGKR EVLGVQRVVLGGLMG EPUS_01412 MKVFASDCTFDYSWEEVSTANWRKYCPWNDKSPHVMAVDTLSRR IEPDTGILRTERLITCQQNAPQWVVSLLGGGTTSHVYEVSYVDPASKKVTMCSTNLTW SNVLSVRETVTYQPSKTDPLRKTDFSQDAKITALCGGWQKVKAKIEEASVERFRENAK RGREGFEAVLEMSRRVFGEQRELELRQQQQMVA EPUS_01413 MVELRKRKAAAEPAPPPTAKKNSNPIKKAVDKAKEAVLDAPAKA TNGIEKLAVGDTIPLDGFGGEVETHTGEKTNLKKLLEDSKAGLVLFTYPKASTPGCTT QACLFRDNYSTLTESGLSVYGLSTDSPKSNTTFATKQSLPYPLLCDPSAKLISAIGLK KSPKGTSRGVIVIDKTGKITAWEQGGPQRTVDVVMAVLPKGDQTTFAAEAAPQQMAEN GVASADAEKASLNGQAAAVSTSEAAKIEQAPKQQLHEQGTSEQVQTANTAAEVADSAE KIDQHVQLGPSA EPUS_01414 MPAAGSLSSEKRRSPPPPRTAQVPHQDNSKSSGDVNLSERSTAL SPPAAGSSNPEGRRTPAGRTPSLSHDDNGRPRGADVLPQRPGPTAGSANPEKRRMPMA RPAQSRSKENSDPHHEIEFSDGLMPETPPRRQPNTSPSRLKALNPKNSSNGEDNLPRG SMAKPPPRKFNSPQSRLRALDPRNISNGRMPPSAHSGARYCDGTNCEWRPKSIRTEEE LAATKRMLVRATAEIQRLKMMGPQKIQRDPTAVVGAAEGPAYEDQKMHSNTQGVRMPP RERQGQTPPRRQREGAGIMGNIPRPAAAWRPPPALAARPGDRSPPPQDQDFA EPUS_01415 MNGTVYDDDDDDNRSSVVQNQASKRKGEDSSGNHTRAKRNRYIS IACLKDSTEFQDMRMHIASLQQQVDMLFANLNSLRQHPNTSNCSHETTYPTSENQVLP TSGQLSSISSPHRQRRSVPRFHGPTSSAYGFDVANSTLQTMGITQGSAIDEAGMLDDR TATASPLGLVSPHTSKDPLWSISRKEAVRLCRVYEEEIGIMYPIFDIEALIEHVNRLW TFLEAALKSGIGNMPGADTIDDDETNLLKMILAAALVVEGNGQSDLGLKIFDSLKPAL TARFWGPTDTKGLSLLCVAAMYYFNRGDEGQAWRLIGVAARSCIEMGLHRRESLLKSF NNEAEYVSATKLFWIVYALDRRWSFGMGMPFALQDADIDPSLPEPDGSSPYLQQITKY NHIASKIWYYNISHETGPNARGDDIGFLDYQLLQWYKDVPESLQFNRSEMASEEAIPN RGRRRLRLLLYLRANQARISIYRPILNSATSIIENLRNARTVVDVAKDTILVLTRINQ TTDIYRTQQVCYNYFLVQSLAVIFLAVAHAPAEFAGGTRDEFYAALDLVKGFSTKSYI SKKLWQTIRGLREVGEKIGLLARNGHSASDQEGEVADAHSNAAVAMAGLAGHPMEELA MLNSGLRNTASELGASPLDGQQLTNELTNLFELAGGYPNFMGSSANGDILNGYAGTNS ESQAGAEGMSSVFGNEQEFSRIMGELF EPUS_01416 MSVTSSQSPESWISSFCSLIGHEYFAEVSEDFIEDDFNLTGLQS QVPMYKDALEMILDVEPSETGSSAISDEEEEEEDDGLLGEEQDELGRRRTSHETRRHM RAGSDASVIESSAELLYGLIHARFITSRPGIQQMLEKYELGHFGHCPRVFCSGVKVLP VGRTDTPGQETVKLFCPGCLDVYTPPNSRFQAVDGAFFGTTFGCLFFMTFPDLDLSPR SLRHRTAEEDSSAITTQQNNSDTIVTASRSSSLTLPSAPGMPPTSQIYPTRKEEPIIL PEQPAVINGMATSNVAPGLGKGKIYEPRIYGFRVSERARGGPRMRWLRSKPLDINEID ESRIWHAKYGGDPDGDPVAPVDETAGEGNGDGDGDGEDAVMASVTGQSQQTEGVSVPA GQGQGQQGAAAATTVAGRRKAPMRRSKGRGRMGEGLDGGGIGGG EPUS_01417 MDNTYFTDPQYNMSNVTRASYSKSSSATCANAKPSEDWTKMTDL AERRRVQNRIAQRNYRQKMKQKLDRAGEYERRAASSSPPTTNAPLLSSPETRDRSSST GASLNTSFAPHSQMSTYQDPFGQQYGSAYDPQFPAGSEAYLKQPMAQMPTSFMYPGYP QPVSAGYASFPQNTAYTEMRPMNVPYPSSINQGQYIGHGSTGTTTTGHPITPITPTSQ VDRYPQDAGPLCGMDSTGQYGNTQVSTSQAYSASTTSSYLTPIPTDTALAEFHGHLDI TALGGAGFASQRTTSATTTWDLSAYDGINLSIEDGDEKMYTFILKDELLDPDPESGRE RASVNWEVDFKVPERKAEEEEEEEEEGVVDGKGRRKGGRAGVLYIPWKDFKPTYRGRE VKDVEKPINLENIRRVSLMTRSFFGTQEGDFSLTLRSIEAVTVDKEGEDGSVSAGKAD GDGEDQYSDPGQEYSIRKRSGELEGGMTAQQQPRQSWLQWVWARCFNR EPUS_01418 MTLEDFEKDLAASKSQDRSTKHEHKDRQKARSEHRHRHRHHQSS RRRDPGHDEGDEHRRKRRRRSHDGDGDDHRRRHTHEHRHHTREALGRNRDSPPEGTTP SPTNELKRDSWMEAPTALDIDYVQSRKPPPSPPKPTSLGTGFELKIHEKELNHHLRDL HNTTPQGDAPEEPTQHEVSYTFGDAGSQWRMTKLKQTYRQADDSGRTVKDVALERYGD LREFDDAREEEIELERRRTYGADYVGKEKPSGELFRERKMAEGIRRSPEPKVPRNGSQ PPEQGTVSPTGKPQTHTEPLSQTSLNKLKAQLLKAQLRKDPQAAKLEEECNLAVATNN RRGRERGQLEENEDMTIEDMVREERRTRHQTGGESQRFAERIAKDGGYSNELEYMDDN ASKLAKRVQKSELNLRNTTISEFQKMNRILDTCPLCHHEDTHTPPLAPVVSLATRTYI TLPTEPEVSPGGACIIPIQHRTNLLECDDDEWEEMRNFMKSLTRLYHDRGWDVIFYEN AAHAQQRKRHASMEAVPLPRSAGATAPAFFREALLSSEAEWSQHRKIIDTLKRSRDGL GKLAFRRSLVKEMPYFHVWFELDGGMGHVVEDPAKWPKGDLFAREVVAGMVDADPAVV RRQGRWSREDGRVEGFKKRWRRFDWTRVLVEA EPUS_01419 MRGPNLDRMQCHQPSYLALKRSAEAGCRLCSFFWAALERGVGKG PHHNRIALSHVSERYPGRQISLVAWGGADRSLDRIHVITTGEIPSLSSSFEAGDEDEN TTVDPTMHPDHQLALDGVVDLYAYPDDPAAWLGGITGRQLPRTAGNSEDDFQLAWKWL KDCLTNHTICPNGITKPSLPTRIIDVGPSDGSIDPYLLESDERKGLYITLSHCWGGMV PLTTTTLTLEERKRSIPLASLPRTFREAVIITRRFGIRYIWIDSLCILQDSQTDWEKE SAVMGDTYTFGFINIAARGALNAEGGCFIPREAEPPPCLVKYFSSDCSITGSMYIRSP SFQAERLQDAPLDRRGWVLQERLLSPRILYFGRQQLYWECAETTFRQDGKHCDVATDG LRLHLDFKASVVFDAAYPFSSGRTHAQYDQQSGQVGVTARSFIQWYKVVRQYTRRDLT FHTDKLPAISGIAKAFQAKTGCTYIAGIWKEDLIAGIAWYLTQPSHDVICMSLPSWSW ARIKGEVFFRSLSIAEVKMRDSSCQLVDVAHRLASGLNPYGDILDARLKILGRLIQVH YEALELSDDMFSFGPSIFALDGRPIGRVSFDTLASYPDNVAVFFCLLLYSDDHRAAAL ALELEKDHCEALYRRIGYVSVDSSPSGQPDGRMPFWQSEPQIITIL EPUS_01420 MWVLLIGSSRVDSTSDFFTQTIRALPNQLRCIPDGEIGNRSNFI SWQRPTFPITILQPRRGGQPTAESAAKQYTLEDIKPTGYDDQAIVSYATFCELRDSGT IPAGVRFQVSLPTPLCVVRGLVEDDRVCAQVEPLYEQRLLSALRRIQDHIPASDLTIQ WDIPIEIAMLEYNRHRLQDKYWKPYFTPVKAGILDRLTRLAAAVDLGVEMGYHLCYGD MGHTHFVQPDDSGLMVELANEISKIIQPSHPIEYFHIPVPKDRVDEGYFKPMQNLELG DSKLYLGLVHANDESGTKKRLEAAEAVHLNIAGVASECGLGRTPREDFESILEICATV TS EPUS_01421 MADLPVAVVCVGMAGELSDFIHFDSLLTKLPGSGKTTFMQRINA HLHSKKQPPYVLNLDPAVFSVPFEANIDIRDSINYKEVMKQYHLGPNGGILTSLNLFA TKVDQILALMEKRSRIPPDSKTRPPHHFLIDTPGQIEVFVWSASGSIILESLASSFPT VIAYIIDTPRTSSTSTFMSNMLYACSILYKTKLPMILVFNKTDVQDAGFAKDWMTDFE KFQDALREEEAKGVFGGEGAGGGSGYMSSLLNSMSLVLDEFYSHLSMVAVSSTTGDGV DAFFEAVEEKRKEFNRDYKPELDKRRKQREEEKVATKEQELTKVMHDLNVSAARRKPA ATHEPDVDEDDEDLNDADQYPDEMDDEKSDDDLSSRYKAALKDAEGKGSTGKLTKDLS HLAVCFSLPSPKEVSIEIHHGSRKNVATLRTVKTLINNLIIGVTRGYKYKMRYVYAHF PINVNIEKNNDTGLYEVEIRNFLGEKIVRRVTMQKGVDVEATKGVKDQIELTGNSLEA VSQSAADIQQICRVRNKDIRKFLDGLYVSERGNIVEA EPUS_01422 MDPYHLDPTSSMYTNAPVSVSSPERDRKSTQEVTPSNTPHSITP SSSPPRINSQNRRPVATTPSSTPAAANAHQQQHRWAEGTISALGEATASSPQHKNLTA LFEREKAKNKDVQNKKSGAGAGGSSSGWVERLSGGAKGIGKGIEKNDESKGKGGKKEG EKKGFFQKGREGLLAREMERANPSFWRPSG EPUS_01423 MAQIRGTAGYNLGLQNQFGGPSRADATSDPSPLDAIREQTSKIE DWLNNTGEPIKPYVAQILHAVVPVNAAVAYVCRFRRYLPAIGRFLIVVTFLEDALRIL TQWNDQLVYLHDFRHIPGGITHLFLLVNVIAMVVCSTLVIIRKYSEYAVAGLCGVVVT QALGYGLIFDLNFFLRNLSVLGGLMMVLSDSWVRKRFAPAGLPTIDEKDRKMYFQLGG RVLLIFLFIGFVFSGKWSFWRVLVSLLGLVACVMVVVGFKAKASAVMLVVVLSIFNIL VNNFWTLHPHHPHKDFAKYDFFQILSIVGGLLLLVNMGPGQLSVDEKKKVY EPUS_01424 MPSLATFVRDAVVRTPNWARWIRXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRLERLQVKVDQYEAVQLGGQ RPALTAQAPAEREDRGARQPTRDATLKDRGAQNQLEFVVGLSGAKRAPAQGRSPGRST SRGRASPPGEGPPARGMREGPAFVEEEGTQI EPUS_01425 MVDFTWDPWEDDAETLLYGGCTNGPVVRCLKTPYRDRTVAKVAH NDATDYEMLLFERGALSDSQFRKTIRAAQRYFMERYGGELEVGRLSAAVTRVREGGHL PPRGPILLEGDAPPRTARYPYNQSDLQIEEVKVHLRNPARVERWFRCRVQGGNWQRCG NPDNMKDPNPAPASTPQQAATRGRAAAARRALSTCFESPAVKGETKRIPHPAMPSPAQ GAAALLGREATPNVAAREGVENPRGSEDGAARLRSGSGGPRNTNLDTAWSAGGGLSKC FGGMALNKDGPEEHRLSSDRPRNSNGGGRIGIRRQPIVERGGAAPGDTSRRSFGCLSG QIQDPSGREECHRDLARTATTTQGGGPESLQGGTSQRGISRSSPTRVEGGRQDRGRGV FNSAG EPUS_01426 MNAYMSWAIFLAVLGFLSWHYAGRPNLFDKINSQKLSPESSHQA SGASTRKSKAKGKRGGTDSLTNETNAPHQLHSAGSSVSRKRKIATPPQSGLEVAFSSS TGGVPKQTALTSPKDEDIRKNTEFAREMVSARVGTQPAGSDKPGMNKRERGAQNKGSL RTSNDTESPSLSTGASSTTGADADDDLSPVASPPLLATSTVATSNSGDISDMLGHASA GPSVLRLTEPANPVPKAKAKQSKRTFEPAETKKQRQQRIKREAHRAQVEEAERQRQRL LEKQIRGARMAEGTSAQTRTSAFKPPAQNVWFSGPAEPPAESMAAPSASSLSLLDTFE PQHGSATSVTHGMDTAPLGSVTDRKISTDNGRTGAAEETVDARIAEGPAGSEKTGSDW AKGLPVEEDQMRLIQESEDSWTTVSKRDKKKASKPPIGKENDTSEASGAESRHANGVD LKTTASSRPTFPSSSNSYYQLGDSGFQDSDWVA EPUS_01427 MAQQQQLSHKDAALFRQIVRHFENKQYKKGKFRLKAAEQVLRKN PNHADTQAMKALIISNQGQQKEAFALAKTALNNNMKSHVCWHVYGLLYRAEKNFDESI KAYKFALRLDPESAPIQRDLAHLQIQMRDFQGYIQSRRNMLQQKPVFRQNWTALAVAH HLAGNLEDAENVLTTYEDTLKQKPTRADMEHWEAILYKNYIIAESGDYEKALEHLDAV GKKSPDVLGVMEMRADYLLKLGRKADAADAYAALLDRNPDDSAYYDRLISAKGFAAGD EAAMKEIYKIWSTKFPRSDVPQRRPLDFLSGDAFRDAADSYLQRMLRKGVPSTFANIK HLYLDRSKLEIIQDLVERYLKEDVQPQMNGSAESSPDDEASRFHCSALYFLAQHHNFH MSRDLSKALKYIDEALQIDQKSVDFHQTKARTLKYLGAIPEAMEIVEKARSLDERDRA INTKCAKYQLRNDQNEKALDTMSKFTRNETAGGPLGDLHDMQCIWYLTEDGQSYLRQR RLGLALKRFHAAFNVFDIWQEDQFDFHNFSLRKGMIRAYIDMLRWEDRLRDHPFYTKV AVSAVSAYILLHDSPDLVHGPIPNGVNGVGQDAQANAAERKKAVKKAKKEQQKLEKVE ADKKEALRTSKSAAKATDGEVQKDDPDPFGKALVETKEPLQDAMKFLTPLLDLNPGSI NAQCVGFEVYIRRRKYLLALKCLLALHAIDPQNPTLHVQSYNLRKILNDANGASSKLA QIISTEGKTLLPEDNKLVEWNNNFLNHHRSSTSHIQAGLRVRALIGKEAKATNEKDLL EALLLDTTSMEEASTGLELLNEWGSSKEVKERYRSSAAERWSRASIFQAKQIETQ EPUS_01428 MAPRKRGRDEMESSEPPKEISLLSKLRNMWEFACVMQYIFTFGK AVKIDEDFVIEDFETECLKSGPSEKLEEIGLTLLKWISSHRGLTHENWDEYTRRQYVA KAPHLNPYGTEEQPRTFRCFDIFQKIRVLHQLTRIEELGWDRQERSYFVLDDSRLYRR TEPPLPGAWKAKPKSNTLKAQAARRRASKRRRIEGSETPEMKDGDGSVVADSQSAEHL MNGDQQVDTLGGYKWECVAVSSEQYQGFLRTIEKSRDPNEKDLSLSIIEHVLPVVEKA EESQRRKMERKQKELLNAQKLSGAKRSSRLADKQERDRQEREAVEAAEKHAADLAAAH REQERQTKLEQDRQSRMMTREQRIKDREYKRLLHEEELARVAEEAKRVEAGEARGSER HLKAQMEKHKRDLEDLCSNEDWVFDCSGCGIHGKNVDDGAHSVACEKCSVWQHSQCLG IRRIEAEKDDFHFVCKDCKRKTEDAKRPNIKLKFRAGLSSSPPQAREKPSTEAPSPTL KFRAIEVPTQSLQNGRASSQGQSTNVPIDSSRKMANGPPSSPYLQHAASNASNYHISH QTYASPNARPLSTLAYLSGSQQQQPTYPYPLNPPHHQSPSPSLYTNGHAHNPSQHVQG GRPASAYVNNNFSPDRVHHSQDISHQRDLSLTSTPQVGSVANKSPYSTVPNPTTQHQG RLPSPVLNRPSMSPTQGNADVGPLAGIPHHSAIGSAMSPPPSQLPMNQSLNHSIALQY HNQAQATPYTNGVATNQSHTMHINPTEPQAQLQHQQQHQKLSGLSPTKHSPTLPAPST TASNDHPYAHPSSSTPQIPPATAAATGSGPRRSISGALIFPPTEMLHPSPEQLSKSPV PTPSKAMTPAAVGEGELRRVSKEIKDWVDG EPUS_01429 MSGTPTAVKQQPPSPPQSIDGEFDLSAVQQHIAALEQQAVQPQI NTIGLAEQPIDQYGDPSDYQIASNHVYYPSQPGFHQANHPATPPITGYDSVMRTRSGR SLGSPLTSTNPETSRRSRSPRPKAKRPPRNAKTNRAVTLEEPLSIMTKNWAVPLADIE AKVHRPAEQRQAEVNNKKNNGKVPRPMNSFMLYRSAYAERVKEYCKEGNHQVISQITG ASWSMEPHEVKELYEKYADIDRQNHSKAHPDYKFAPNKNGPASRKRKGRDDEVDSIDW EDQDYEASSGHSKRTRYGQSVESRSHSSTPFEPMRQQNYAAVPVSRYANPSSYHATNP RSMPPAYPLDINDQYYEQWATPYTSNVEDVHVRRVNQPGAISYTSIDDQSLVGLPQGG LPSQIQPDPGYGVPQPMHMDPLDPRLEHYGAQDSYTQYEPVDLAHRLEPQYDYGQDDI FGATTYAYSEASRHPGMATLTSPRGPWDHPLPGSDFDEEIGKFP EPUS_01430 MLPRIRIFALPAALLALLAIFPHQIHSEELRWPYNLPSYVKYYP EEEVFVKRDESLQQQLRQHSVVGVKKMSDDEGEKFYLDYWTFGPMGSNDVLEDSSRGK RGRDEEGRDTRGLNGTISEKAMPPFLLHSTSNSMVAGNMLSRYIRFPISQRSSFYRRD FQCPSGTSNCASISRPNICCAEGQTCQLVQDTGLGDVGCCAAGETCGGSLSECASGYT DCPNHPGGGCCIPGYACVSGGCLLSSTATVVVVPSTLSTQSSSLTTQPPSSSTAIRSS SPTSTDVVAPPTTSQTPLPSTTQSPSEISPTTATTSTTPSTTVCSSGFRFCPASLGGG CCPTDRACGRDVCPELSSTATIGAPVRPTSDVPTTDTGASITGCPTGFYACSAFYQGG CCRLGRDCAPTSCPTSATTTLIDANSVTIVATISSGLSANTILTGACATGWSSCAASD GGGCCPTGYACGSSCTATATVSSLQASQVGKLAPNGAVRGTARYMMQSASGPQRASQR RNATIDQTPGQQVPSMASNTVTNATPRTSSGSSRSQTSRGANQPDKQMSQIEKSVTHL LVATKQLLETLTQWSRGQAQEEEVSDVYVRLGYEFNLACRAFSSIGVETSDLGPVPDL LRSILEDTLSQPASPQSLDNFLPRIRDIIINLLHGLKRKQAKLRTRSSKDSASKVHPP MRQASGASLGDNDAGLTQMLEDVPPQTQSNRQGESRTESNSAREELNGMPSRTTSNGA TTPSSNRHSLRRDIHRTIPQSTSGSSLSSNAAQNMPVLPPYSDPQQTAPRLDTDIKSP SSFPHPPPPPPKQMDAFAALQRGGDLERRASRRFSSYQISKHLGASPNGIPLIPPAQN SPIPNRGRDDRESMNAVQSRKSAQHSRQRYHNRHGDLSPSKSGTVKAPEPISEESSES LQQAPDLPPPTRPDRDDSPTVKTPDEMYGRGDLSPNEKPAISATLNGPPPSLPPEPAL FVVEEEAKPIPSERQHTPSPKPTESLPSSEDRVKKVTPPSQQFVPEESPPPGKELTLF LQYKSKIKKFVLPDGYDELTVARLQLAFIEKFAWNTHNNGVDLPEIYIQDPVSGVRHE LEDLSDVKDRSVLVLNVEVLDEVKRHFDDGIGNVQKMLESVRSTLDGQGSMMERFSNR QLEASKEMARISAVPRQAVPGVGRVQSGRSTPAKPPASISEVQSLRRDIAALRQTYSS MSTDFAASLAAIRQKGANVKSAAADAVVPTFEGNAGRAHVNNGKKVLLHDSEALVNRV DDLSDLVEDLRKDVVTRGVRPRPRQLEEVSKDISVTVKELTKMKEFLKREKPIWTKIW EKELDLVCQERDELTQQEDLMADLHGDLEDLSGVFKLVEEATKQQNLQNATPGGGMRS TSRNLPIDPDIDPQRAKDGVLGEVRALQPNHEDRLEAIQRAEKARQRELEARKGGEFQ REVEKFVEEGKLKKTGGAEEVERLRKAKDEKARKENWERAQQRQAEMEAREVEQNASS AAAGDEEQESTADARPNVASYPEVQDGTPNSGADHDQRAPLETDGAYEGEQSSKDTAD VPQDEGSQQQQQPGTSFLDLHPE EPUS_01431 MASTTSIASNANPASGTSLSAQPNGAGRPATKPTSSMKALDGGR KQTTSPNDVSQKRALPQKAWTSTINPITQKNSSQLQQNGNLLQQKPVGPAKASIAKEG NTADKQAHDRLTFILAASTGLQISVSTTSGDKFEGVLCRSTLEPSDTSITMKMTKKIL TGNDTRVNGVAAQSSTFIGSGPDFAMSIEGKEIAEVSLPSLNIPDTPKPQNGAIAGFR TDADIAGTVERVERPLQPWVPDATEDVDLSLESTGSTGWDQFEANNRLFGTSSTFDEN LYTTRIDRSAPSYRERERQAAKLAKEIEGTTSTNAHMREERGHAWENDGEDEEDKYSR VQRDDHNFPPLQSGSSNKYMPPARRAPTGQPTVAGAPVDPAIISAQISKPESAKSTQT KAQHLKDMENTQSDATIKEPKREPSPAVNPEPIDRSGKKILTPSTSTLSASQSQEIKE KGSPAGSSLASSRKAPENATEDVENKLLHQFKQFAASEKMRIHQSQRTRATNDRQAKL KELQNFSESFKLRTPVPNDLVGILAKDPAKQEQIVEKARKEHEELPATPSPASHSIAT GETKPAPKTPAVGKFDPSMVPAPIPDRRTFDRSRQGHPPAPARNERPGQSQTSQPLRG GPGLSVHRSVGVPHERRPVLPQTIPTPIPIHEGRVPPLGPLADQSSVSSPQRSSVHTP TSAVSTKFNAKAIDFKPNAAAPAFTPAATSIAPSSPRPIEPVRDVSGTASPSSFFGAK KPKLAADRPAFVDDFNPFPRMKREVEQQKEQTKKDYSNNGGIPPAYNTPPRWEVAERY QDRTYKDVFDTLIAPSISPAPSARSLSTQQIPYQQQLPYHLPNSAHNIPQVHTTHHAM QPTQSQPQHSHYDEGHARLHMGATPQVFPSPRLGSGQMAYPSPMTHATPMQYGQPVGQ YMPAQGGPSPMQMRPYPATPQFMHAQTPQMGAPMMVHQPSNGPYMGIPQQQYPHMPMY SPNPSQAYPQHAASQPHSGYPSPSRAAPMMMHQGSQQGHHSGQHLYGMPSQPAQMGYG QYGQPQPMRGGYPGQHAPYGTSPGQAYQYPPQQQRSLSNGYGGGYHGKVPMQHMQPSQ GPIINGGPQPAGYPPQDMPIEMAK EPUS_01432 MLTQIPLLALPFTLFFTRSLAQDASTSGPAATETSSSSVPTSTA DASTYDIDVGADGALLFSPESLTASVGDTINFHFYPRNHSVVQSSFDAPCVPLSSASS GNSDVIYSGFFPVQDDVSEQMFSMLVNTTDPIWLYCSQGTHCQGGMAMVVNPPTGGEN TLEAYKEAASGVQAAESPSTGVAGGIVTANEEGEDQGEGEESGSSSSNSTASSTMTGS MTGYSTGSVTGSATAGSTSASATGAAAGLVRPVAGAVVVGMLILGFGAWAIML EPUS_01433 MPPKSATVMTIAVRLPPPFLLPRSFLKQRNALSQRRDFGIKSID PPRPNRFNIGTGLSPLLSSSTAALERRAKADTLPLRTGALAIKKGMTAMFDPETGVRT PCTVLQLDRVQVVSHKTRKRHGYYAVCVGYGHRNERNVSKSMLGHYSVQGVSPKQHQQ EFRVRDQKGLLAVGEEIRADWFMEGQFVDTRSNCKGKGFQGVMKRWGMHGQDRSHGVS LAHRSMGSAGQGQGGGSRVYPGKKMAGNMGGQRNTIQNLKVLQVDAAKGIVVVHGAVS GPKGCMVMIQDALKKPWPNVPPPPKAAEVNEEKRDAVGAKQ EPUS_01434 MANGSEGPGCLRVTASGQVNRHTRQTKSSSQQASQQAPQHARQH DSRRTPPQAPREAFQQARQQPYRRTDTVSNNKPVPASTKDGPQEPWAGSAKEITLPAR GMRQRSSGSAVNPSEAIPREGARRPPIGARGKSGSSSTNSAGEPFGKVTLDIIPESSL STPLCRPSMRPSTRPSDGAKLQDIAAPNQPPPDTGGVIEASGRACLGSKPHDLTQPPR GPPSATGSSAKPSLGSSPSPGSSLDDRVAGPPFEPDAPAGPAGPWVGFSDVPDATAGR KVRLSIETEFYLATQSNQEHLSNWSEVASILMDDYNRQMGVEHPRIEVSIISALEHGY QGWYIDHDPLCSEHELRENNHIWWRLKMISPAFDIVPHSPWKHHIRAVWKFLCDNYRI ANRDGLYTRIRVSLDPKYTLTELKRIASSVIHFETAIEPLQPENTNDPDEARSIWLHS WPFAPFRRSRSASISYIDECFTWPEFRDKINGVAIGEYAWNFWDACPDGLLEFRKAPP CLRPPQVFSWAELTMAFILAAIRYGSPEYLRGCLHNVGSLKDFVGRVDIPGDGPHHLD RIWEGISLNAAREPMMRTDDYTEVDEDELEPELAWRHWVRLREMVEQDAQQCAASVPQ VLRNYLNNFSTSA EPUS_01435 MSSTVLRDQDSRSVMRKFLESERRFTWPEDERKDGQMYHFTEDF PPKNFLEWERRLAIIRKTSTAIIEQHHIVKEKQDIIGFFEAFAVKELVTPVLDEKEAN NEVQAMIDLLHPHVAALLGTYLYRNRLHILTFPAGCCDLGDLMHCISKRLLQEPYTPP EPRQDGHNLEIHRKYTWPFRLELNEQLQMLRRYFLCLCTAVAYLHRSNLRHKDIKPEN IIIDFDGNVVIMDFGISTKFEPAASKATRDPRTPSTSRYRPPEMERGWTRDDRSDIWS LGCVFLEMISVLLGKDIEKCKNHCRELVNNGAVSDDYCLNLPKIETWLQILENVGRTP SQNDAELKATLPTIRKMLSEMKDDRPLAENLWRDFDLKSLANSKCKDCHPDVDGRWKL NDDQQEKAKIGSSLRQRMTEDEQRAIEKTRCRQEMYASVKQVIKEAEGNLPNGLAPIR PRSPSVIDSILASESSRRSSFHGTGTKPGHGRRQLSPPGFELQHPNRSGIVRAKPNPP ALHRRSSPLPWPSEISRPGSSDSDREKRSDTATKVRFSRSIESIVREDDTNLSIAVPN SQATEADLNPLPSKGILSVAQQADTTHLATIHEPHHDTIRDLEKANDMPRDIVDPLHY DPDSKIEPSLHTTAPQPKNQVTSAAGSRVAASRGPTTEEMVSSSLGNLGNTQTQSGSW SRPADNESVLACDCSGGLKLLQGHFGLLRAPLVCFKVPQGRYFSVFADSGFLGILDLA QLGWNYRWGSLKKWCGLEQFRTIYMINYGPNDVPAHLLNRQDNTKIHPIVLM EPUS_01436 MSFRVSVGDLIAESRLIAVMHRAFEDQHLPSDLEQTALELKGTQ KTLEKLRESLDYYPTTSQTSVDYEKVSKRSAQDSRHAPHSLPSTVGSNLSEPVSDRRE PWQAKNVLCLDSGGVRSISSLLILRELMAKIAEHEHKIEPKAMTSKDSPMVEAARIPE PSSEKTAYLPCHYFDYVAGTSTGGLIAIMLGRMRMSVEDAIRYYQEISPRLYQHHKSS VQAWRFLLRPKSRSEDQKLNSTIQKIAPEETSFKSDSTMCRTIICSLQAKASRGSKVP YLFTSYGRNTLDTISQYMASSINLAPEVKSLGIAPTTRTTAPMSGTVPMRKLSHPPDD IRVWQVARATSCSPLYFKAVTVGEHKYYDASISLSNPSLEVYREVNMDLTERDSNQHL QPINIFVSLGCGNSSPDAPNTFLQHKAKPGKTALRLSKALAASSEGVHYIMQALHDDT EAFSYYRFDTKTGLEGLRQLHPSSDSMHRHITSATEDYLQRIDVQKQLDECAEKLVRL RRLRCNTSRWEAFAFGTKYRCKHDDATCDFQVRRRVVDRDELLDHLRMDHNMPPPDSV HYQEIKTLVEKGRTDSD EPUS_01437 MNTSCLELRTRLEMEQCRLLNFAEATGLLDYEENDPLSESLESE KLVLIAVLTQIGCKLDDFSALTNRYKPLRKEDKTASEAKNVKEDFISLKKKWETSVKK SPKRRERLRGTNHLFKWYGMGVDIAKEPERLWWAAVDEKVFKKVLQELVEYNNYLHEL TRGQHAKKLEMTTRETYLEMVLVRGQVDELKRLLVNSILLQDHQQVTATPRAAEANVE HGRLLHGLVDTKSQSLMNDEPDEKKPPAYEEATRDTKLSYKSVDLLTELKKVDVMQQR VQTSGKYWPEGKSKTEERPSVQVWVEWKEYKTEINDETRNSVALPECLKRVKELVALL QSCRLNAFRIPTCLGWYDYRDDDIERSLHAPLFGIVFRKEDQSDNSPDPISLLDLIKT EPRPSLSARATLAHKLADSVLYLHAVRWLHKSIRSDGILFFPNTKTREPDIREPYMTG FEYSRPDRTDAHSTHIPPSPRNEAYVHPSYQGVKARGTYRKSFDIYSLGIVLLEIAYW EPILTILAKEFATEAEPISSEVQLIQTILIETKPKYVENLKGMVGERYYTAVDSCLRV MAGKSDETAIEVSAKLQSDFTHLVVGNLGSVIV EPUS_01438 MASNATEIATSPAPNTFTTQTSFFTFPPSSSAAPPPTIIVSTSS SGPPSSAAPSPPTPSIVLITSVTTQSPASAGGTGSPSVVVITSTASSNPQQISSLTVA SPSSRSSSPSAAPLATSNAESSASSASSGGLSQGGRIAVAVVVPIVVIALLVVGVLFF WKRRKTRKSAEEQRKKEMEEYGFNPNNDPSLPPVGAAYGENKSEEAEDGIGYRGWGTT NSATTRKPSTNLGSGQGGIGVALSDGASNNAGYGYQTSPSHGTERPSDGHSSDPLVGS PHVRSTSGDSDLAALGTAPVAGVARQDKGIHRGASNASSAYSNGPARSEGSDDMALPG GAPAGPYYHEDSPYYNEGHGQPELYGDNAFGGAQPVIRDLIKQLSATPTIAAQNAQAE AQGSIDPKIALRANKEVFFFRLEREIEKVNVLYLQKEAEFSLRLKTLLDKRRTIQARN ASTSKISASFATLVEGFQQFDNDLNKLQQFVEVNETAISKILKKWDKTAKSRTKEIYL QRAVEIQPCFNRDVLRDLSDRATTSRLDLEAWVEGENIQYDATRPADKVTGQRVGTDE SDIDLQVLQTVATDNLASLKEWIVRLGRSPHASDRFTRIFLASIHDSSDEALALLLQT QCVDLHAEDDINERNCLHEAAIYGRDYVLRRGLAAGVDVSRVDVYGRIPLHYACLKGR VNMVITLIEAGNQTVDYKDHDNFTPLIHSIVRHQFACVQQLLIHHARIDPLTAADHIP LNLACQHQSIQVASLLLERGAKLLPDAEGLYPQHLVARSSQTSTLLLLLKDHGADLNQ KDKLYQWTPLFHAASEGRVECLRTLLANGVNVDALDEKGLSAMYYATWEGHLECMEQL WQQCRRSRTTSSTPREGLLRGQGRQLPDLMDAELSGNPQEGDGIPDLSLPPPIIPLRR YGHNFLDTKTLISIGFSPGSEAIAFFNEGRYPAARITISSKLSDLIPRNIMLPIQEDS RTVSFQIDGLDTFAVDFEVFPTFGSKVIAKSVALPDVFKASKSSSGSCCLPLFDPRLR AIGQIRFSFQVIKPYYGDPLDITHFATYWKATSALDDQSGLVTGSSLCGDYLRLYIQL THDSIPIVYPKYKLNHHGLNVPISQLTHQEMHNAGAGGFSAVRDPLADINSSGSVPSP EMLQRLICSTLSLHNVLVNLRTDIHLNLQILYPTAGEERSLGLGPTADINAFADAILT NVFDHARLSKEQSPDFMRSIVFTSNNPNICTALNWKQPNYPVLLSNDLLEPKNTRSTA RYHESLFNQSACSMSIKESARMAQSNNFMGLMVQSRVLGMVPALVDTIQELGLVLVAD TSNEKMGSNSGGGSTEGWSAMPEGVNGILKPNGILRFHDTIDM EPUS_01439 MAGHSILHRKLSARQSPRSRRMVDDPLPADEALLNVDLTPSIVK REPQVATASSAITSKSCAPDDSSSICEKPVSGSSNTTLPIALGIVIPLTVALVIFLVL HRRHIRKLRSEDANDRHKSLDFGMGDVNPPGRKGGKPKKKGKAPPEMSIADTEKTLGR GHGRGLSMDMDMSNPYLLPPELQQSRESLHSMSRTVNSGDDKYRPATTFIPDDGTTSR EGSTRHGADDSSSYTASTRRVFGEKENESNQSLLRHAQRMSRSMPPTRRTSVTSAGIA PVTQESEQSNKVPRKPVSPTPPSENLAPTSSDENRDSYRSDVSKDGPVAGLRKSNDYL GAFIRSGGPSALENKSHEEKGRTAPTPPPVESAEQVTKIGITSPSGLVAEPEPLNFDF PKFDISIPTIQMSAPDTDSQVPEVPLSADRAKPRVEQYEQDFDYDVRRLTMGIRPLPP DDPTEDPEQRAIRIRSFYKEYFDDAKPGPVYTQQDYHIGGEHLDYDGPAYHEYSGHFR NNGIAYAQPIARRAMTPPPRGASSLQASSRMVASASGGHFVQAGPRAYSSASVRLGGS NRGPPKKKASPPTPLQILPTPHLLKDDMFIPIDFAPPSSFKERRAGTPDSLKGGLRPY SPALPPALPLASSFDDLAVMPSPHALRKSGAFTALDFAPPPRFRSSTETRSESRSIRS NQSGMSAAQLHSIRAGAYRVSRIPQDLGGTRQEFTDTLRPQWDMRK EPUS_01440 MRASLATGANFWNGGDLYGTRERNSLHLLKEYFTKYPEDAKKVV LSIKSGFSPETMRMDCSPDNLRRSVDECLRILDGKKTLDIFQCARVDPNIPIEESVKA LGELVKEGKIKGVGLSETKAETIRRAHKVHPIAAVEVELSLWATDILRNGIAATCAEL GIPIVAYSPLARGALTGLIRSSADIPEGDVRKHLPKLQEDVIATNMKITHEVEKLAEK KGVTKPQLAIGWVRTLSGRNGMPIIIPIPGATTEERVLENGKEVDLADEEMDEIEKIL KENEIQGARHSGPVAALSEY EPUS_01441 MRSSVFVSVVFVLSGASASFDSLSPYVNPLDYTDSSPRLFKRQG CPGGFTNCGALGNSGACCPTNQVCARDSAGNVACCPVRALCTGTIGGTAPGTGVGSST GAVIIGGSTGTPPSSVTATSGFLFSSVTTTPAPSFTGSTVPGAVFPFVFIPTTFANAA ECSSYATSCSNQYQSCLATLGGGVNGVTVSGANVGITVQGASTTVASASSVCSSLSQQ ACYGLQTGICPQYGSGTGTGGGTGGFAVGSGAAARATGCPGIMYAVGAGAMAGAAGAY L EPUS_01442 MRLFAVPSPLLCLLAVAPSTSLIEAAIIPSEGRVPKQEDNVIAK RQCATHCGFYGQVCCGPNEVCITDANNQAQCGPAGAQVTVAATTAAGQGNWQYYTTTY VQTDLRTITSTFSTFFPISTQNLIVTSTAASQCRYSLGESPCGSICCASGQYCMADIN QCAAAGGGSSAYFSSFYTVTQVASVPVRPTSNTVLTVTSTGVATATVPYSTPVGTDGS TIIGAQPTTQGQRLSGGAIAGIVIGVIAGIIILFLICVCCCARGAIDGIMGIFGGGRK RRTETTYIEERRSHRGSRPAGRTWFGARPARVDRTEKRKKTGGGLGGLATVTAGLGAL ALLLGLKRRRDQRNDAKSSSSYSYYDESFTGTSESSLSSDRRTRDSRRSRR EPUS_01443 MLQTPHIFSHQHQYGQQNDQVSSWQQSQHQALNHVQQQAQQAQA AAAAAAQAQQQHYSRIAVNQSHSSANNRSGSSNDPSSNNINAIMNGGAETGSPGMEQG ISEENRKVLQWVAELMDPARREGALMELSKKREQVPELALVIWHSFGVMTSLLQEIIS VYPLLNPSQLTAAASNRVCNALALLQCVASHNETRGLFLNAHIPLFLYPFLNTTSKSR PFEYLRLTSLGVIGALVKNEPSTSSALTTNGQPNNSSGNNSSPTITFLLTTEIIPLCL RIMETGSELSKTVAIFIVQKILLDDTGLGYICATYERFYAVGTVLSNMVGGLVEVQTV RLLKHVVRCFLRLSDNNRAREALRQCLPEPLRDATFQAVLRDDAATKRCLAQLLINLS DNVADAQQNPGIGM EPUS_01444 MSGNTTADPLAHTSLGSKSAKKRRKKGATDKANGDVKAASTTSE ATEVPTKMIEAEDEEPQPEEVDQDQDQDQDQSQLSPITVTDNSSTTPLTNGTQKTSMT GSTEDSDARFEALVKDRDALRIEVTQLRQSLEELQANHQTSLGCVQQELRETRTEKEN AEEQYQTLLGRVNTIKAQLGERLKADAEDLAQARSRIEELEEQNNSLQEQQNIHSAEL ENLTSEIQAQSKELSSLRNRATLSQQNWLKEREDLIEQESYAREQYQNAEQAMREWEV LALEERSIRKDLGEKVGDLEEQLSGLKEAYERAAGERDSQSNTVDGLQKALQEIQTAR KRELREVVESSQSEAEKLRSQLAEAQQASASATQELETVKKELERALPFEKEVKEKNL LIGKLRHEAVTLNEHLTKALRFLKKGKPEDNVDRQIVTNHLLHFLALDRPSAGLARPS STTTTTTTTFSSNTLTGSLRLPHSPLVHRTPSTPALTGDYFPDGVPGSGVGSPSSRES LAELWQGFLEQESGAAAKGKSRTGSLADSSPR EPUS_01445 MEDLEMDDVDNRVNLRPNILVDEESSSDRGKSNLLKISEQAAPH GAIFLHLFTLRFRARDQAWQWVKDSFGEQDGEIRFQDHAPRPDSFQSCCPGLDPALSI TLGCKTPEQNAVTVWEIFEHVPGAEDPRSGYSTRMIGIPRGFSHWFALVRHSTAWIAP RQGKEKITLDADAIVCSFLRTDGLHLVLLAVTAVDVLTVLNTNEHGELLMVGRNDSLK DGQAVVVAALGTSFEDANAAAMARAEGVIRRSQPSLPTISNKTAGGNVNDDAKKLQGW YDGLTYCTWNALGQELNEGKIHNALEVLSEHGVTITNVLIDDNWQSLDHAGASQFERG WTDFEASEEGFPNKLSGLTAAIRHRHPNIQHIGVWHGVFGYWGGVAPDGNLAKKYRTK RVHKERDFCDRDSFTVIDGEDASQMFEDFYEFLQESGVDSAKADTRYLLDCLSASNDR RDMIRRYNNAWLEASAKHLSMRVVSSMAMIPQLLFSPEVFFKRATSQHQQKLIMRTSD DFFPEIEPSHLWHIFCNAHNAVLTQNLNIIPDWDMFQTTHDYSGFHAAARSISGGPVC ITDYPGKHNLPLIKQLVANKPAGSSVILRPSVVGRSVQVYTAHKEERFCKVAAFQDVS FGERELAAGTAIVGVFNMGTQTHVEFLPLAEFLNFEAEGIEYALRSFVTGNIVAPLSG ASGRSLVKLELGHRGYDILTLYPLLLLDGRRKIAVLGLLGQMSGAAAVIGIDVKASEA AVSTKIKALGSFGLFVMGQEDGEKLLTGTATLCGQRIPDAYQHVEDLKNGLLLQLDLE GAWKELALPDQQIVVEAAI EPUS_01446 MSATPAKSRVQQAYEVAAKGIESVKDAEIKLMAREDITHEPQDV YPTFLRQIAEYKPGYERRFGVARAELERRYHGEAALLYCARSGDGRRAGLPADVCTKI AAMNNTPVAWDNALELPRGMGFGQGEGTRAQAVPAGRPESRSSSQASISSEPGSRAQA ATQRQASSSSLAGSSTHAGSPRQGGNRGLAKRLKDKCAID EPUS_01447 MARHAATLDALPSELIYEIIIRVPFSKDDFTALQLINRRVYQIM GKRGWMIFNDIAAEQCAQALAMQRLPYCSPFAQGLGYPTQNQLADVCEMHQKFEKQVN SMAKAEAGMLQQGLDTKYFRIKGWKSNVLTGLNVVKAMEKYVQAPAARLPNPNMLRAE KKAVRCREFVECLPISYCLAVRHTTLLSVQVVDYLGLHHEVYDIRHGRGRGTGLGGEA GVDLCDRTMKVMFENNYCDTTFKQALLILEDEEGRNSKAARRQLRDLVNVGQRIQGIE LYNITEMLSVASFRLDHHITRRIAEAMEDWDDFASGAGKGFIAETQRTEGGGEEASPS AKSLVESFLDDLTGF EPUS_01448 MPQDDSHVGAKSKEHADGLEEERALLSHGSAAHDNDEDSSAVAP DAPQKPTASERPAPKRQSSLSHPPADGQPRTPRTPNRVRFDLDAVPSSPELARANGHP PDSPLWIDDEDDYLHSNGDARQHAPLLTGITAPADSPFLSDSFQPEDHLPDARPKSGM CSAFMNMANSIIGAGIIGQPYAFRQAGLTMGVILLVALTVTVDWTIRLIVINSKLSGA DSFQATMQHCFGQSGLVAISVAQWAFAFGGMVAFCVIVGDTIPHVLEALIPGLRDMSF LWLLTDRRFVIVLFVLGISWPLSLYRDIAKLSKASTLALISMCVIITTVITQGARVPA ESRGDFRGLLFVSNGFFQAVGVISFANPAFVCHHNSLLIYGSLRTPTLDRFSLVTHYS TTISMLACLSMALAGFLTFGSLTKGNVLNNFPTDNILVNIARFCFGLNMLTTLPLECF VCREVMNNYWFPEEPYQPNRHLIFTSALVLSAVGLSLVTCDLGAVFELIGATSACALA YILPPLCYLKLASKSWRTVPAILCICFGCGVMIVSLVMAVAKMIRRANGFVGTQMKGI RINAERRTEIHLRVVGRSGEAIIADSITLSTITATK EPUS_01449 MHHTSWFAYATLFMTTVSAFYPYKEASNSEEDSADILPQANNVQ EHVKGQTTTIDIKKRRTKWNKRDKQYSVAIASEPTIPDSMAIHQDGQDYSYFSSVKIG SEGKEMWMLIDSGSANTWVFGADCTAQACSKHDTFGPNDSDTLNITSREWSVTYGTGE VGGVVASDTFAFAGYEVEIGFGLASNASNDFSTYPMDGILGLGRDSSNRLGTPTLMDI MASKRLLKANLFGVHLSRSGDGANNSQITFGAPDSSKFSGNLSYTDSVSTDGLWEIPS DGAGVDGQSLGLTGKTAIIDTGTSYILMPLKDAQALHAKLPGSENSREAFTIPCDTNL PVQFTFSGVTYDVSPKDYVGKPDEAGKICASNIVGQQSFGPDTWLLGDVFLKNVYTVF DFDKDRIGFGIKSAAAAPSSSSTSPTATASGIVTSVSSSPTSQVAAMGSTSGGSGTPM EGKATAWSASPLPVICLTVLLAMSRI EPUS_01450 MAAPRSSSFKALRNLQRTSSSVPAKRSLHITGSNSSPQAYNPQP KTTYAPLTLSDLRNECRRRSILYTGTKHELVDRLANHDSMQSRAFSIAMRRFGSTQTR KGLGSKSPAESPPTRHFNTSRQLKSVNDSSTIDFAYLPKLFDGSLDPPTAGIRVPILP HIDSTEAQDTLARNPDLDAAAGGFQEPGGDSSSSDDSNVMKAQIVTVQETMADGGAHV DLDLGSQASPMSEVVDNHAVELGIDHLTELTETVGKSARKMVDQVEESAMSRIWNGFL DDVFGEKKGSGSRLA EPUS_01451 MLSPIVIGLTLLFSAYLFSSYWRLRHIPGPFLASFSKLWLLKWM IPAELHVGLLEAGHVVRIGPNEVVTNNPEIIRRINAVRSPYKRSTWYNGTCFDHSRNH VFCERDEARHVKLRNQMIPGYSGKDNPYLELSIDNRLTHFISFIRQKYLSCSVEASSL EKHESQSSYCYKPVDFTRITQYFALDVISDIAFGQPFGFLETDSDVHDYIKTQKALLP VFEWFAMFPSLERLTRIGWVSRLLMPKTTDKRGLGCLMGVAERIVNHRYAATSKSAPK SDMLGSFIRHGLPQEQAKVETVLQVMAGSDTTVTSLQMIVLFVNTSPSVLYRLRAELD AAEKAGKLSRPMARESEIQAHIPYLCACVKESLRMWPPVLGLGYKDVPEGGDTINGIF LPAGTSVGYDAWGLHRSKAVYGDDADIYRPGRWIDTKDEKKLSEMNRSADLVFSYGKN GCLGKPVAFMELNKAVTELFRRFDISLVKPWKPIRSVQRNGLFVQSDMWGVVTRIHKA PARQTSLCTVHGCLFFVLQLLSEPAFITSWGNGHRVCSVRLITYY EPUS_01452 MEADEYDNDSAFGESLASSTTSLRSAVTKYEFEHGRRYHAYKAG QYAFPNDESELDRMDLEHHMFGLLIGQLHLAPLQSPQSILDLGSGTGLWAIDAADKYP TAEVIGTDLSPTQPKWVPPNVRFEVDDFEADWTFGPNKFDLIFARLLLASVSDYPRLY RQAFDALKPGGYLELHELDPETYCDDGSYTESHAAMKWGKLFKEAVAKMGRTLPDLTQ YKSLMEEAGFVDVQERFYKRASNDWPKDPKMKEIGRHECMNQMEGIEAFTLAPFTRVL GWSVEEAQVMIAQVRSEWPKRSLHGYQKV EPUS_01453 MQLINISLALAASVASAVQALHAVEPNLHRRHYDNSTDPPVSTI TVSPIPVTSVPIGTGASTVTDALTTKVSNATLTYTMGYGSSVTVVTTTVRRTETLTQT KTVYATRESGSAVPSDTDGNNKAVTSASGEEAEPTTTITSRSTTTRTVTVESATPSGG EDGSPATSVAPGDGSSPTGSANPSDGEHGSPATSVAPGDGSSPAGSACGTVATVTETI KSTVTVTASAPMAPSTTEDAGSGSGSSSASPSTTEDSGSAFSSSSLPILPTSSAVPYS NGTSAHPTQPKKMCGGSGFVTKSKPKSTALPSGHDYRRH EPUS_01454 MSSAPALPTRQLGRDGPQVTALGIGLMGLSAFYGSTESDEERLK FLDYVYASGQRFWDTADMYGDNEDLVGKWFQTRGKRDEIFLATKFANYTHPDGTRTVR NEPSYIREACDLSLSRLGTDHIDLYYCHRVDPKQPIEITVETMVELKKAGKIQHLGLS EVSAETLRRACKVHHIAAVQIEYSPFTMDIEDPKIGLLDACRDLGVATVAYSPLGRGF LTGRYRSPDDFEEGDFRRFAPRFSPENFPKNLELVDAIAQLAEKKGCTSGQLVLAFLM AQGADIIPIPGTTKYKNYDENMASLKIEVSDEEDREIRKAIENATVLGGRYPPGFAAM LFADSAPLEEGKGKSGNL EPUS_01455 MFSAAFKSFTSNIAANYEIAKTPTATSGAWTIFDGKKKSTGILV SVFVFDRKSLDIGTSNAFGARTSATSVRKVQDEIVERLKKEASSLARLRHPSILQLVE PVEDTRMGGLMFATELITASLAGLLAERDQQERPSGPAGRQRSRFVIEDVDGSRRRRE VEMDELEIQKGLLQLSKGLEFLHESAGLVHGNLTPEAIYVNAKSDWKLSGLSFTGPPD GAQGHQSLPPIALSEVLYQDHRLPQSVQLNLDYSSPDFVLDNNVTTSADIFSLGLVII ALYNSPHTSPFQTGGNQSTYKRLFSSESTTPSARNEFLSSRPLPKELKQTLPQVLARR PAQRLTAREFQQSAYFDNILVNTIRFLDALPAKTSSEKAQFMRGLGRVMPQFPTSVLG KKVLIALLEETKDRELLSLVLQNIFQIIKTIPSGRRVFPEKVMPVLKEVFVTKSSTQD RDTNREAGLMVTIENMDLIVENCSGKEFKDDVLPIINLAMESTTHSLVDATLKCLPSI LPVLDFSTIKNDLFPCVASVFSKTSSLGIKVSGLEALLTLCGGSPEERSSAGDDLSGI LHEEKKAKASTGTALDKYTVQEKVVPLIKAIKTKEPGVMMAALNLLRQIGKVADTDFL ALEVLSIVWSFSLGPLLDLQQFKSFMDLIKSLSSRIEREQTRKLQELSATNRPSDARS INTPSKAANGFSGSNTASANDDDFERLVLGKPAPAASKPSAQVPAFSWSSANLPPNPA SRSITPDTALSSFPSLQPANNNNRAHLEPTPSPWATPQQRNISNPSLTTTSVLSNPQN PPSRMPLNLPFQPTNQQPSSMYTIPPPNPSPFTPAIPKPPPPNLNPNLATPSLNWGGA GLNPPNNAPPPSVQAPGQIRNGNEQKSGLDKYQSLL EPUS_01456 MLSIEWTASEGWLAPRITPYQNLSLDPATCVFHYAFECFEGMKA YRTADGSIRLFRPNKNMERLNKSAARIALPTVDGKSFTSLIAKLVQIDSRFIPDSRGY SLYLRPTLIGTQRTLGVGPPGSALLYVIASPVGPYYPTGFKAISLEATDYAVRAWPGG VGDKKLGANYAPCIVPQLQAAKRGFHQNLWLFGEDEYVTEVGTMNLFVALRDKETGKP ELVTAPLDGTILEGVTRDSVLALARERMVPEGWVVSERYLRMAELAQASQEGRILEVF GAGTAAVVSPIRKISWKGELVDCGLKKDVEAGEVALQMKAWIEGIQYGDEEHEWRQSS IIDEELRIERSVLPEVPSAWVLPSFNNPQLHFAVQLARPRIFTNTSDCSPAFEHQTFT ASYPTSFPFFARATKLDSRQADEYSAPTANSANLPSSQASSVPTMSQTTPWALPVPYF TMELDTEDLASNNEYGINEETGELFQYPPPPTPPFEAQAAASSAPVLDPALPLDPALS LAPAPAPAPAPSRRSTRTRQPTTRQTATAPRKATTGRVYKTTDKHRTASKEAHAQYRD GEREAARALQGMVPGDFQSDEVPKLGGTKEKMRAMEDAMSYIAVLEEENRELKRQLGG EPUS_01457 MATTGSPIRLLPVEAQLQLKSSVALNSLNDVVVGLIKNALDAQA QKIYIGLDYLRGNCCVEDDGVGIPAAEFEAGGGLGLMHYTSKFTDIKEIHGHCGRFLA SLSASSLLHISSRQIAEESESTLVYHHSRLLTRQCNVAEKEQKVGGRHGTRVIVRDLF GNLAVRMKQRALHFGNTENNERELERLKLRITAVALAWPQNVRVVVSDQQAQETRKFA LGGRGKAGGHDHKEVSSRRTSTFRLDQICTILSRAGYITPTDFDSWTTVSARTSQLCV RAAICLQPAPSKHVQFISLGIHPLDYSSTLCHVLYVEVNSLFAESAFGAVEDDFDVPE EERLRRLKDRRFKNDGPTDRQLKGKGKGADRWPMFYIRIDPQDSNLPVGVLRSDEDDK QAARFFEKTVQLIVSMIHRFLQERHFQPRTRRRRGGVRAILSRSNTTSQGSNGPADSR CAGLSAKGNSQMAVDHTTELGSNPAILDPSERQKTPQKFPSATAFCSWSRIKSGNPHA VEGLLSGLPRSALSVFLPRNSSEPNRCSKVPTSCAKKDLQHDNLIVEHLDEDIQLLLR DLTESPDTETGNHRGVMDERPLSAPQPMTAEDHKNSFTLEGHDSDDGIIVWKNLVSGE CIRINSRTGLSLPTLPFCSTKESQASASAQTAGNGLQKRGILRQRGIDSHEFDVGEKP WSEPNNWLSSVIAGWQNPVFRLGERPIPSTIIENEKLASVQAGKCCHSKYRHCTDSDA VGCDNRLSKAGLAGARVLGQVDMKFILAIIRASRSEKVSEACSDIDNNALVLIDQHAA DERCRVEELYADISTGTGKMAVLTKPIIFEVTARDSQLFCREQTYFETWGISYEVGNG DNCKGKNTQAVRPASARPHSKKIQADKSHKWPASAVTPATSAGIPARRVATNPGLQVT VHALPEPIAERCRLDPKLLINILRSEAWARTDNHTRPYELRPATPISVTQADDKEISP LPWLTRISSCPRRIIDLLNSRACRSAIMFNDKLTQPECEELVKRLAQCAFPFQCAHGR PSMVVLGKLQENDFPISGCRPMKDYPPERIMDDEGKEKKDFLEAFTTWQSRTRGAA EPUS_01458 MSRSKWEQFIRRCLLHRTHGDEFRELAEFMNEKYQISGRLLIQI IVNCRQAFSISSDPLIPQYIRAGITCGLSKTSDVLYVLIQNWNSVSPEQGLSAESKQP GCLSSPDAIIINDLALIVASNATSHNSSEIRRSLSFTSRWLLALIEWISGDGENRSYL AILTLLEALGILFASIASTEQGILLLGSQDDPDLRQLVVEALDTVLPLLTSISIQLHS RLDMIQKHFHLFRTGFPKEVTQPMQNVQQTPETLQFEANTVDDSNVHARASLYIYLNG ALCGRPMLDDSSLISYLNVRFAGDHVSMFIDIIVAAFDVLSNGQARSEGEQAINLYRS FLANRLPPILCLISTSSLEPVPVSLCISQALGRIDLGAFPLSAYDMGGRSSFAGVRQE FLFACALHRLIPEAGVEDLLGEKPMQSLPSHGLYEKDQLVQQISANAQRAEQLIKEIE LMEGNAGAIVAAIIELMHSHCRNRETVPLKDLCNLIVRKPPVIDVMTLFRSPGHILSP LCSLLDNWNWDELHGESQPVYEEFGAILLLVLTATSRYELTCSEIGATSSSSFIRQLF QLEANEQSLDDLDEPKRKHLGNWIAALFVADSLSDELTSSCSPHDFYKLVPTLLHQSL EACASGKLNIETLKSGFDYLLEPFLLPSLVVSLQWLASHLWQTTSGTKVTLPLISSLI KPPSSSEAREIHKTVLSMVADPLHLQLQAIADNNPQKRVALAIAEALNPYLTHKWRVN WKSEDLQPLTASPGGLLISICRMFHQLLDWSTSLEVNASPPKFTFKHVSAALHLHGAP KVLLVYLKETKSLVGTEKLEAGLDMLTSIICAPFADTYASTHCLSFRDALKIQHANLV KTLKAGDTLFAELVVRLHRKVEAFSATAPQQEMAIDPTNAISQEISNIDLQNINLEAA AENAEIDVGALGVQPTSDDIDQILEGAAGMENFGTNTMGSGTDDVFGLEGGDMQMMNF DDMDLEGMF EPUS_01459 MDADSQRQFHAHAFTGFERPPETAQRVDDPQFDIFDWYPKYQSC QRYFLDHAQHSVPVQALAAFVNILLPFQRPQYPAVRTVRSESTASSSRSPGTIRTAQT PTNMTPASFTSLIPFIRRLVVTGMDFPGVLHGFFGDDWPVGVGPLHEQERRNYLFAAK SGGWASVKRDYDMLPMETVPFLRPLQRPLDAEIEGAERAWSQWLAMEDWMVGSRAPET MNNTNHAGNADGVED EPUS_01460 MPQYFNLFEAHGILAAITLLFIVPFAVVFPRFYTRDTRSAVRSI SGARYCQILTDLASTAVLRITASAGPVAIHPKVVDI EPUS_01461 MGLSPICKPRRLRIYGLVLRNSFANASPASSVAFLSKPPASNHE HPSRICHQGRALVTIDGCRDSKRVLEAYGTHSSVHHKFLFHALHHTNQVLGQNVFFED HLGLNTHFDEPSGLSLQNVVAKTSLDLLIDGKYLKILCGEEVHMCQSGKWHQQQVHVL VETGGLQIVGMAGRRWRLCVDSAQMYHNEREVGSAILQFLSGPTNSNGLKREDIFFTS KLASNSSYEAARKSIRQSVKTCGLGYIDLFLLHSPYGGKTKRLECWRAVEDAIQDGDV KTGGVSNFGVKHLEELMASKPRIMPAVNQIEVHPFNTRTSITSFCQKHGIIVEAYAPL VRALRMKHPVIQSFSKKYGCTPAQLMIRWSLQHGFIPLPKSVTKHRIQENANIGGFHI EEQDMKKLDTLDEYLVTDWDPVDAD EPUS_01462 MSNLAPEPEFEQAYKELVSTLENSSLFQKKPEYKKALMVVSIPE RVIQFRVVWENDKGEVQVNRGYRVQFNSALGPYKGGLRFHPTVNLSILKFLGFEQIFK NALTGLNMGGGKGGADFDPKGKSDGEIRKFCFAFMTELSKHISANIDIPAGDIGVSPR EIGWMFGCYKKQTKLWEGVLTGKGGDWGGSLIRPEATGFGLVYYVQHMIQFASDGKES FSGKKVAISGSGNVAQYAALKCIELGATVVSLSDSKGALIATDEKGIQPEEISIIAQL KVDRKQLSELANDANFKGKYKYIEGVRPWLQVGDVDVALPCATQNEVSGEEAEGLIKA NCKFIAEGSNMGCTQDAIDIFEEHRKEKKHEAIWYAPGKAANAGGVAVSGLEMAQNSA RLSWTSEEVDGRLKLIMESCFKNGLATAQEYIEPKEGEFPSLVAGSNIAGFIKVADAM KCHGDWW EPUS_01463 MLNLYVETAVHLTPPTSSLVITRPIPSASSLQILPRAAPSYART SATPGQAKDETSYAQKYLTSQGSIYFRCAKAYPRTFIWRVLDGNRILELRCADLARSE HESHEALLIIRFEVQDGIVPGGVELSDTEDQDVLHAFIITTKKELHTLAVPLDFFRNP EDSKADSRKWCKTFVPSSFTIDTPHRLYAHTPFELFVALDSGRLQRLTRKAEDDGSYW TQDNFDDASWGASLRGMVKWRSNHFITYESRSLSQTTANAMIASSDSTYLYTICLNHT LRVWSLTTGKLVVSKDLLNRPIQPQDPHTILSPATPSFLRLFKVGLIDHPILVTFSPH NEGQFKFWNVRGGLTEPLTVEDMFPDLSLRPPDPDPSGNTIWSLAGFELYPGDFGEPA DLWLLWRNNNLCQIYSLHFDMQNMWDCWATNWVKTQPSISSKTTAPDFVKHDSVDPTE KWMKFLFWPERYPVSVLETSLNIYQEAMRIEPAPAKNSRPLQQRLCASIASGVSLRKY SESELDYLRFALDTDTQWRNFWRIAEAINEKCRSPISLAFDAYAGTSWVLQADQCCAI RECNELELVCYNSRQSAHALESIISARWPHRKGVTEDFPSLTSMSKLLDTAATFMQSF SPELVRDCENALNIELFEEAELPISERINDFYDRCKFGDAISQESVEKVLHEVQVIGG LQRLGAELIPAVLALMPETVRGSHTALRSTVFGANVVDAGLRDTITLRRQVAHNLFIL VIVLEGEIGEEERRIDAFDAPGLFSMMLDILKEYEKKMWLLSHVRDCPIEPSEHSRDS PTENKPKPSAQSTQSSIRRVNLLRDTKGKDIKPQPAVGSPQSYLLTEMLDDVEAWVGG ASEISSDDGCVWIQCDLLAHGNIDLATEFARFQPKTPWSTQVFLLLHSDFFDADSRLA HGKAIGMLDDMSAGLLTIDETDNFHSGISRYLEHISKLFDTAHAHSFAAHFARLALAT LPPDKSEDVEAYRDDILLCWFAAELQSSRYISAYTALTQFSNEKLQEKSAIAWADAIL GRRCVPRLEATEIIHLLQKLPLDLHAHITRVVDDHLTTLALNQASAPRLSNRIRADNI GTDYSRILYALRVGRQEYRGAISVLMNRLHTVKKSGHARNDPRAMVLRNTLLALINTL SCMAPEEAYIVTTTQESAVNAMDFGQDADGRDMQTGWKARKRIIITLEDLRREYQQLL DECSRIERGDFEFDACTDDEDNGNESEGAAIINGVDAMEP EPUS_01465 MAALQRVRAFFRDAMLDTIVPEVSQPILPEVLESTSEAATEDES SLIPIKQRRHLYFDERLTVYVVLRIVNGAEEALKEYLPRLDIRLDVHAIGNFSSQSNQ SNQADSGGYRGSEKDLVFSGHIHNDRDPFIVVNADEEPSNHNQSTILAIWATEAVLNR PRFRLPNATVTFTASVKLRPAKHADGSPIDDEYLPPLVPAPTNILERLKTIPALEDRA PYLPASRIESVLPGPQIDSEALHIRHQPTKRITISPAVSARIRCSRMATNFPHPTTIA SLDFEVTPFATFDVLLDRANVSLASDGKIEAISPLPMPIRCRPRSTVTFLYKVQPPAH PAETPLPLPTTAPNTVGFLDILLESTLQISEKCKPTITMRWRSNVDLSVPPNARLGPS YPRLPLHPPAPLQASRPTSLTTTTTTTTTKPPPFSGLTISFTFPPTAMLGQSFPLTLL LTNHTAHPLKLAIIPIPRRPASSSTHHSSRKHAPKPSASSALDRRHHTTSFKTLNNAH PHPPVDMAPAVLDENIIHALQKSSINAHTDTDLIPISTDVRVGPLGSGACHEVQLKLV ALRVGVLRLEAVRVVDLGREQEGGVGSGVVDVRGDELPEVLVVDGRVALGMGEKGGMG SS EPUS_01466 MNGLPPTPAIWQEARTAEGRVYYYNAQTKATQWTKPVELMTPQE RAIANQPWREYTTPDGRKYWNNVETKQSVWEIPEAYKNATSQNEPATRSVAQPPTFVA GGTSSSLTSYTPQRERDEYNTPERKDAEKFPGANGIPVASGGEQAPAYSSFEEAEAAF TKLLRRSNVQPDWTWEQTMRATIKDPQYRALKDPKDRKAAFEKYAVEVRLQEKEKAKD RLAKLRADFGTMLRRHPEIKHYSRWKTIRPIIQGETIFRSTDDENERRQLFEEYIVEL KKANVEHEAITRKAAMTDLVSILKALDLEPYTRWSEAQGVIQSNERIKSDAKFQTLSK SDILTAFENHIKSLERAFNDARQQQKNSRARKERQNRDHFIDSLNELRSQGKIKAGSK WMNVLPDIEDDPRYVAMLGQSGSTPLDLFWDMVEEAERALRGRRNDVYDVLEDKRYEI TQKTTFDEFAGVMVTDRRTADIDRDNLRLIFDRLYEKVLKRNEEEKHAADRHQRRAVD ALRSRIKHLDPPIRSSDTWDLVRPRVERSDEYRVLETDEIRKLAFDKVIKRLKEKDED IDKDRDRRRSRRDDDRDLRNGHSSRLDGRRGRLSRTPEVDAYEADRRKAMADREKSYR KSNALGLSPPPSLSHRDRDRRDRDDRHGRLERSPPPRHLSHYDRERRDREEERERLYR TRGDPRGSRDELNYGEESRSVTGSDRRRRRGGESDGDSDGSGRRTNKRYRRERRDSRE KRKSKTPEEAKKDPPPEPVGVHSGSEEGEIEED EPUS_01467 MASTEGPTRAHDRHGRRRPFSNWMKRLANLKNSNPDAASQDFSA KRSNLYMSSKSKKGNAVKNNPYALCSRPVPSGDGRLSFSTPLSLSESRLSSHGRSAES VHASHDEQNSSRPNAISRPTTLSTTGETAISDAAASKTGTSATAARTDGGGNSTFSSP APSVRSMTTTLTTLQSVAVPGQGNTIPAQNGSHTTTFNSTNASSHFSHQFPSTSPPAT AVPGHLAPHTHPTTYTSATANNMLTDDASILTLASSSKRRRRNSADTNASMRALAPAS MFGGSRESLPLSVLSGIADPSAQSVTNAPGTLPRPSIGGIASAERASLYSSSGIAPAL TSDRNSYLAGKQAAGDGASVRSGLLAHGRNDSMTNSIGGGPTSPLASAPPVAPTGKIS RRSSGWVEVPGDEIEVDKTEETKSEEGIRPR EPUS_01468 MTISVKEEIQAVNRIFTYNGAILKVIFENGYLSTAEISQLCHVD TDLKVAFVKTSTGYGFAEQQDGSYNYKGATVEHLKLMRKELGNNVQIEVAGGVRTLNG LIRVRSLGVSRVGATATKTILEEAQNRWIDQEEVAVDVC EPUS_01469 MSSTLEPSLRAVPAHLSFLAIYNPSLGNTDDSLQEQIVFYSSTV TRARRNRKHETEGQHEAEREEENEQLRQVGLAQGMVDFAKNFSDGESIDTIETERSRL VLHEVEKGWWILASVTLSRMPISRGPDQERASDRGAYDYSSREVSPPQLLLQHLLRAH SIFLLHHAATLDHLWSRLPRTLFCTLLDRFWTRFIWNWDVLLHGNPTVDMYNGMKLAG GGELGVGVGEEEWGSGEREVLEDFIARTEGLVDLIVSRFGDAPIAPSTATAGHGLSEP WRKQQAPWLASDLDPQPNDGVLFSGIGAISRRSLATVSHWMADIYKYGEDAYGVGENP TARPRRKQPKLSSRMAQRTHSSEGETAANRSIKTHSRGRLPLSSRSTSRRGSSTPGIP PPLVKAVEQSLEKATAKASSREPSRSPTKNQRGSSSPEQEPPLFGTDTMMKYLSLGYG SSWTLNPKGLSKGEQRTGAQHVSQEDAPGKSKEEGHDPEKSKNPEPPQLQMVEPTPEV SDNQRNHFVQRLEQSIGKFIIGLSGDLENQDLIDDDTDGAPRIVLRTVNAEMHTPSSD MNRQDSMPSTPNELTPAGASLDDPPKEPPCSTKQLQVAVYVHQPFIFTFLFELHTPSL TYPSFYRSIHHQLGPLQKPLLRSTDPTNVAARIASAMGEGTTTNPPISAPKSPATLPP PIYDLVYDPEKLTIHGSLPNIPPPRTPIGEGSLAAQTVSGSWYTLGIPTSSSSPSSLR GPHPSGSQQALHHSPWSRMEALNIHTQVLNTYIATRRLPAELERTVKTGRGWWVLWMR VQGSVNKDANDAENRGLAKEAVLVRRAREDVGGSSSGGALFGGGGRQVSGWLSRRDAS GSSAGAGAGAGASAAAGGGAGSGATIGVAGVAEGVGVDARRWVEGLLSLNR EPUS_01470 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCPGLPIILVGCKSDLRDDPKTLEELHKTSQRPVTQAQGEEVCKKIGAYKYLECS AKRNTGVREVFETATRAALLTKRKKDKKCLIL EPUS_01471 MQRVLLRNILARNQALKRQRRQSKEALNKEAHEVRQGKFDAARA ARSLVKAERAHRREDWILGPLAPNRLAGKDGGGYGMLGMLSIQTPTVMKGERERYTNI VVNDRVVVVSGREKGKIGKVAIVNEERQSVILDDINVVDVKVPPFMREQHNSKAPYQA LPAQIPFKDVRLVVPLRDNTTGQIRDTVVKHLKSGGPFSVPAYGSNTPKHTRYIAGLN IPIPWPKAEVTKMKAEAGDTLRIDVDDVSFFPSLNNIPMPMSLIDELRSKYGRLRRDQ RQEYVQQKMKKDAEEHWEKQRRMLLPRQEYLEQKLKQKAPGETAVTQETMDLIKATQA ANLVNASERTNGLVS EPUS_01472 MLPEYKGPAPLHWTILNGEKKTGMSLQTLHPISFDEGIILDQTP WPGIDVPEDCDYSVLLEIMQLLGADMLLNAIKNRLYLPPYIDAGWAKNAADGKKAYKH APKIETAHKLLCFETMDSLRMARMSRAFESTWAFAAVPTRTSELKRLRIIFPKPFKIL SPPSVYMDGMTDISGIPPGLPYWPDSATNEEKGRTEQPLLVNTIDGKAMLISSIQVEG SVEMPAYKAAQKHGLMPQPRHVKTKGVITFHECLTAQP EPUS_01473 MAKHSRGKSRGSLSIFSLDAIRSRGWAGSRQACRLLEDDDQPKN LQIKPDQMHSAAQMADPLLRPLSPTPASSILQISNLGPVTLAEPEDIAGPCRQVISDA QKRSFSELNEKEVETPPKHRPLSWLPPGETSGRPSHLPTNNTASTVDRSMISAPVLTS TTNAKVALTEGVHCGKITTSGLATSSWHPKSGWVATEDAEDHAKDQATKLQEQKTRDG QILLPSQHSNGFHKMSKRSSKRSASINNALSKVKEALTSRLRQASDPQTYRSVFSRDK FVRLGDDCQPQSPVNDKPGRSGTEVQNLGADHSRALSDRGQVKRKPMQCQGHDIQDPP KEGKRPFLIEVDDSAKNGCYCADQEQHAVDFHFEDLETSFAKAVEKLDFRIRGDKVSL TSLSSIFQSGKNISAPNKTRIVQPTQGLARVPCLQLPANAGHPPQTLSQDSTSNKLAT DQPSMGQCASCALSCSFGHAKAPAEVYSTPYQMDPVPSQELDNSDRAARAERIFSRGH LNPLASHPDLTKFAEQPSPTMTDPDVPPTPTPTKPKIPSYTETAGVQLTHTKPDLNDL EGAPIYSPSIGSLGQYDRNTPSSASASANFSSHKLGAASSRRPLLETPTRPPRRGTDR RHMASKNDHHRSRTFPNQASPNQAWHGPNKNDENRGSRPGIMQARNVSDGGEEKSERT LETRNQNLMSETHCVGDKIRSGLGANTSASRAIIESSDRNGTGWI EPUS_01474 MASSSSNVVGVHYRVGKKIGEGSFGVLFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGVPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSIKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPNTKAANVIHVIDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTAIKDLCDGFPEEFNHYLSYVRNLGFEDTPDYDHLR DLFTQAARNAHELEDGEYDWMKLNGGRGWESKSSGAGNHHHAVNAAPEASNRALVGAP GVRGVDGRPSKSDVRGAISADRLNAAQPPPPGSPAKPGQAALGKTSRDRGNVSGAGAN LPKRSSGLAVQINATTPSTSMQAQMQTSNLDLASPSRPSPAAGALQNSQGRAVNGQQQ EAQPTFFQKFMKTLCCGASR EPUS_01475 MVVKVPVLARRAFSSGVSVRHRVIPCPLSLSLRNVAVTQPKAPA ITHHAARHYANGRPHPPGGTHRMNLGGEPEKPALEQYGVDLTARAKAGKLDPVIGRDG EIHRTIQILSRRTKNNPVLIGAAGTGKTAILEGLAQRIVRGDVPESIKNKRVVALDLG QLIAGAKYRGDFEERLKSVLKEVEDAHGGVILFVDELHTLLGLGKAEGSIDASNLLKP ALSRGELQCCGATTLNEYRQIEKDVALARRFQPIQVGEPSVQDTISILRGIKDRYEVH HGVRITDGALVAAATYSNRYITDRFLPDKAIDLVDEAASALRLQQESKPDAIQKLDRE IMTIQIELESLRKETDVASKERRTKLEELLADKQKEVARLTEIWDKERAEIEVIKRTK EELEKARHELDQARREGNFGKAGELQYATIPKLEAQIPQDGAASADGKSGESFIHDSV TADDIANVVSRTTGIPVNKLMAGEVEKLVHMEEILQQSIRGQDEALHAVANAVRMQRA GLNGENRPLGSFMFLGPTGVGKTELCKKMANFLFSTETAVIRFDMSEFQEKYTISRLI GATAGYIGYEDAGQLTEAVRRKPYAVLLFDEFEKAHRDISALLLQVLDEGFLTDAQGH KVDFRNTLIVLTSNLGAEILVGSNSDPFLTQSKSSAIKTQDSESVTPEMKKAVMEIVQ SSYPPEFLNRIDEFIIFNKLSRSALRDIVDIRLRELQSRLDDRRMTIHVSDDVKEWLC EKGYDPRYGARPLNRLIAREIGNKLADQIIRGQLRTGGIATVVRKDDGLEVLTESPPL DTGGG EPUS_01476 MGFTDFVSDAGLTLLDNWVKTRSYIIGYGPSQADVSSFKAVPSV PKVEKYPNAYRWYNHIKSYEPEFSTLPGDPSKSYTTYGPEAVTVTSNPKDAPDNEEEE EEEDLFGSEEEEEDAEAVAEREKRLADYKKKKEGKAKPAAKSIVTLDVKPWDDETNMD ELTANVKAIEMNGLVWGGHKLVAVGFGIKKLQINLVVEDEKVSLDDLQEKIAEDEEHV QSTDVVAMQKL EPUS_01477 MYTSSSLVVIASLLAASSYAQEDPSTVSAITQITDGQPQAPVTT PVASSSSYSNPYVTQTNSLGVVTGQPSPETSQPLPVTSQPSVITSMSPAATYVSNAPG IPVGLNTTLLPVVSSSAVEGTSAAGNLSTSTSTATATATNTRTQTASRTTGTSGSGTS GSSTSEETGPASATGAAGAIHPFLVRAFVDRYLKVDLFWRSLHFFTG EPUS_01478 MWSSSLRRLASDHASLRRSGLPPRYLFPPNQETSILPDDLTQLT VLLTGAQDTPYAQGLWQLQLRMPNDYPKDPPRATFKTRLWHPNVEESTGAVCLETLKR DWDPKLTLRDILLTISCLLIHPNPDSALNSAAGSLLQDDYGAFAEQARLMTSIHARIP AHLRHAVDAARRRGEEKDRLSDHAEKEATPAALKLKSHPVSSLLQRVPSTHPQPYALS PIRQPVDSESYELSEDEEDHDPCKENDPSQIPSPVIESPRSPRKNVLGKRPLSELPTP TDLEEGMTESEKNIAVNQDSQSCAASSFGPPKKSPRLAVTLAVANISSRRREGAVDEL CAPDPRVNNVPSGADDEKENLEAIDTKNASEMTKIPNRGPISDTATIRPTLRKVSNLG SIKARAQPRVGLRRL EPUS_01479 MASQLRPFRVPERSRGDDNLALVHVNTQNTTPAAATTQPRRKRA SKPKVRTGCTTCKIRRVKCDEIKPSCNRCTSTGRKCDGYEYTRDQPSSSTALTVPCPP RAPATQSQLFLPSSLSVTFPGNAKERRIFHYFQVRTVPAFAGSSELDFWNNLVLKVGQ QEPVVHNAIVALSTLHEDYQNRNGRFSQDMNTDPSYREALVLYGKALRQLNDRLGKED RMSAELALISSILFTCFEVLRRNNMSAVIHYSAGMKELARQIKKSREDEAASSQSLSA IPEFQPIPQSELDVLFRVFARYDIQACTFSKPRAEALSLHLSSQPPPFMNLTEVKIHL DNLLISVYQLIKSDLPKYRYWDASSVPPEWLVRHNEAIYTFEAWLTSIESFLQDPSPN LNPAAPTTNTSLILPPHEAKTLLGLRMQVKVALIILKTSIASPTETAFDGFLPDFVEI VTRIEDLADSLSLPEAAPLDNESTAFTMELGIIHPLFIVATKCRDQVVRRRAIKALKR AGREGVWEGPVAAVVAEWVMGTEEAGLQGSEGEVVPERTRIHDIRKDVDYEGRRVLVE AKRSMDQSGWKVWETIRESVPF EPUS_01480 MEQNLAPQGPGGRRLHIAHRRSPSELTPLMMEQLALQQQIEMLQ QQQQQIAATHQQYVNMGMIQQPLGGAGGYSPVQGQVTVGGLSPHNNAFQFPQMQQLGG AMNTPTQPASHRRNQSALPGMGMGPPPAPSSGASGSTFSDYNQQAASQNRENSSSRGR GAPAGSGHQRRHSLALPEAKKAAELAQQKRTTTGFQFPIPGASGASATGSQGSDDSAP MDEKASVAVQPPIQGLGLQRAGNIRGGGHGRSQSLAVGNGRGGASAGRGAANFQFPPP MATADAGASAQAGQSDLQRRGSQPGHARTGSRNFEGNWRQQTAGQGQPQDQQQQTIGG FNQPQNVNANVFQPGHRARGSMNQSMGSVGSFQYAAQPQLLQLPQGQVMMAQPQMFAG QQLNPLQIAQLQAMQNAQLGGQGVGLQASQHAQPQLTLQQQQQQQRKTLFTPYLPQAT LPALLNDGQLVAGILRVNKKNRSDAYVTTSDLDADIFICGSKDRNRALEGDLVAVELL DVDEVWGQKREKEEKKKRKDITDTRTSSGSDKPTRSDSQNGENVTIAPDGSIKRRGSL RQRPTQKKNDDVEVEGQSLLLMEEEEISDEQKPLYAGHVVAVVERVAGQMFSGTLGLL RPSSQATKEKQEAERQARDGGQGRHYQERQQDKPKIVWFKPTDKRVPLIAIPTEQAPR DFVEKHQDYANRIFVACIKRWPITSLHPFGTLVEQLGEMGDLRVETDALLRDNNFASD EFSEAVLKNVGLEEWSVATEGDELLAERRDFREERTFTIDPNGSKELDDAIHIKDLRN GKVELGIHVADIAHFVKANSLVDREAKKRGTGVYLMNRAVNMLPPRLAAEVCSLVPGE ARLTVSVVFEVDQQTGEVSDDPWVGKGVIQSTGKLTYDEVDAVISGSNDVKLHGATAE DIRSLHTIANRFRESRYGSRSAYLPPLRLLHQLDDENVPVEHNIFDSSASHEIIEELS HKANAFVAQKILAAFPETAFLRRQASPNARRLQTFAERMTKAGYDIDTSSSGALLNSL FKVRDADLRKGMETLLIKTMQRAKYYVPKFVTEQQRQHYALNLPLYAHFTNPTRRYAD IVVHRQLDAALSNGAVDFTEDAENLSKTAEQCNTKKDSAQSAQEQSVHIESCRMMDKK RQELGGDLIGEGIVICVYESAFDVLIPEYGFEKRVHCDQLPLKKAEYRKTERVLELYW EKGVPSSAYIPEDERPKEKSSHRSNNASAASQTAKQNAKERIEVQRRQTDTGTMSPDD VEALFDEDDSMSEVTEMAAGVALNSPVDRSTQSMPPSPTRNGGPPPAPHRTQSDSKIA VSAAEAPEAKLSNKERYLSYFALREVDGEYIQDVTEMTRIPVILKTDLTKSPPCLTIR SMNPYAL EPUS_01481 MARVRVQTPSSALRAKSISPKFDDSPSRQLMLDLERALSQTQIH ETELHKVHIYGQRLFQEHLDLIDAKRAQEEGAALDAAASRHETVRKEAEAELQIWCRE VEEQERLKKEHEERRVREQQARARAEAERKAKLEAERRARIEKEQAAAKKLAEEKAEA EEAERRKREDALAQAKAERERKQKEEQTLAEEKSQAAEEEAARQKAAQAAAQAEHLIR SQGQSSAGSSPDKEASHQRYLQIHQNLKKFRKEFWAQCKKDANLKTKVGDMRRAIKTS VGQLTEAKGANKLPTERIKSTLKDALTIPSPPVDIRDYFAVPPPESQTPEQSQCPSLL VYSLNIFSKAIISQFGGESGISISAAEPAGVLVAQIFATTEYQCRGHSLIDILLAKLH LACPVLWGVYGDESTPEGRMRLGWRKEGGVYISESRHHERVSGLGAGFASIALRNFTK SRLRNPFPPTNFWECLANILNVPPGEVQPTHLFVLKAMLENSVERFIHFYGSAAIAAL RLALVTFPTTLPRRVQDTAGCKAITLLVDMLIREKNLRIE EPUS_01482 MSTTALKADKPFGFAGSFEPGEKHEKDAGILGSPLRKYSRIGPA VSGATADSDSDNINIERQIELEAGAAIKYRTCSWQKTAALLFSEYICLAIMSFPYSYS VLGLVPGLILTVVQAAFVLYTSLIVWEFCLRHPETRDVCDIGQMLFWNSKAAWYFTAV MFLLNNTFIQGLHVLVISRYLNTMSTHAVCTVGFAVLGALISFVCSLPRTFNTLSKLA TLSAFFTFISVILAAIFAGIEDHPAGFNPDPDHINKTGVNMGGNPIVLVIPAVGTTFV SGMNALLNISYTFIGQITLPSFIAEMKNPYDFRKALWLVTIAEIIVFSLVGAVVYAYT GTQYNTAPAFGSLGNDLYKKVSFSFMIPTLIFLGVLYASVSARFVFFRIFEGTRHKSN HTVLGWASWAGILAATWILAFVIAEVIPFFADLLSLMSSLFDSFFGWIFWGVAYLRMR RSQFGPGFYKKRGLIRGWGGMILNVFIIIVGIYFLTVGTYVGDPVAACLWSS EPUS_01483 MARGNQRDKAREKTQKEKANEKKKNNMSGTEFKRVQEAQADIMR QKQQAALEKKSAEKGGKAK EPUS_01484 MGCFGKSLAGPGYVILNTIRVLNVISLLAVIAACSVMLVKTFVV SKFFFFDACGHVVKAIIAGFLIFTEIPLFRSYLARNWPLFSRDSGFVMLGVTMILLGN AILGNLNKEATSQESLGLAFWRIVIASGIVVIVMGFVNILSSYVFRDTKIGLNARQVR SHGAVAEQKVVSGDSTRKSFRKSFHLGRKDNLPSYYSNSVYSRSNSTRSEKAGSPLRG LQISNPTYNNSEQFSKYSGSPEVATPNLAHHPAMYSNRV EPUS_01485 MPTLLLTIFLIQLSIHLINTIGASTLNELLWTLYTRAPLSTTSQ PMHEQTRLRREVVRLKREMAATSAQDNFARWAKIRREHDKALARHDELAATNNSFRSK FNTYASATRWISTNGLRLFLQFWHARTAVFRLPLKLVLINLD EPUS_01486 MAKFRETHEVTDENDLDWMVLEKHSLLALQGPKAVSALQSLIFL DEEDPEMDTDLSNLHFGNARYLQLKLPDGTNTPSLVVSRTGYTGEDGFEISIPPESGD STELANKIAEALLADSSTVRLAGLASRDSLRLEAGMCLYGHDIDISTTPPEAGLGWTV SKERRSGNAANFNGSSKILEQLASPKTMQRRRVGLIAEKGAPAREGAEIVDVESGDVV GKVTSGLPSPSLGGTNIAMGLVKNGWHKKGTKLGIKVRKNVRQAEVVKMPFVENKFYR GT EPUS_01487 MSCQKDQDQTPYLEGALYHQECLGSEYFSPTDNPCTAIKEEPAM ANYIYACDPT EPUS_01488 MNRIKPFLPFLLTFLVPRGISYYRAIKIAIKTRPPPRPLPAKTS RGLNILFLSICLFFFMSLPSHSPSYQPNIFELTKSRFHIPTDVLFTRLAMVRPLTSSD EALREKITTPIMRQLYLRFGATTLLTCPFCHPTDPNSYLLHHLPTNTLLPHLIHFLLL GLATSTPISGPSTNAFRTKLSLSALTLVILDFYLTTTYSNSIPPSNSPSVPHGIYWTT LTIRPLIICAHDILSSALIYISATNRLPFIFPSTSPTSAHDPEVVKKRQTHLLTQSSV AMQTALTKLRAFGIARSAVVRDAGVNGLKGRDDEYWRAVVGMEGPTRSGADGHGAGNR DVSAGSVWEEEEVMEAVSRVTNGGGLDVEKVRREADIFVESMTQWLEEGVEDG EPUS_01489 MASTADEDPTVSRGDNEPELTSQGSMQTPATDATSIRTALHVGA TSSPALPSPSSSDPSPTAVRYRPKTPCGSTSVGDGYFAGHSRFRSPHLVTPGQPTSVP SSAQTSSASLNALGEEADTLGAYYGYNIGRARSAQGSRSRSRQRGDSMHSKSPSLSYI DPPRIADDLPTYPDQSFAALHSQRPAPPLRTRSSNPSQNSLFTSFSASPWSGRDRTNS VQGAKTAGNTPVSSPGLFSLRGPQPSNSATVAEIAGSEASPRLHPSHLLTPKETHIAE IEHDMFTGNKLINSYEVLTEIGRGEHGKVKLGQNLENGTRVAVKIVPRFSSKRRLGKL GAPEDRVKKEVAILKKARHPNVVSLLEVIDDPNKKKVYIVLEYVEHGEIRWRKKGLRE IILINNRRLEFERQGRKETTETFDQDMLIMRRARMYRQRILDRARAVGQNPVPYWSLE YGAEEDDDAESPTINRVVSTTTHSSGGAGTISNDAPSVPSDHGEQDQWSQYAQLRRES LANTSTISHQSSEHWDDDDDEYSYVPTLTLAEAKSAFRDTLLGLEFLHFQGIIHRDIK PANLLVTSDGHVKISDFGVSYLGKPLRDDEFDKLSDKLSEQEAKEMDDPIALARTVGT PAFFAPELVYWETSIFEGGKTPTITGAIDMWALGVTLYCMIYGRIPFLADSEYGLIQK IIQEEVFIPMQRLKPVELGPDSRASSQVHMGQVSNSAKRADSELIYEDVPETLRELIR LLLIKDPAHRISIENAKKHPWVVEGIENPGRWIGDTDPRRHGKEKIVVSEMDVSHAVV KKTVLERALDGVKGIAGSLMTKARESRRRATSVATSASASAESIAPHSGSSGSTVGKD KNSKFGRRASVRGDEFATALKASREGEHHPLSQSHVVSPGYEDNRSYFDHNTSSQGVK ALSTGCSPLLETEKTSRPHGPERMLSALSTADSTKTIRAPQPKAGRSSLLVTEHQELQ TPDIFETTATSIGNIFSGAGKRLSGMRSRERHPLDSSRSSSADGRSPESTGHSEPSIA VSNATASGKVTTPEALRADTNPLQEMPTQTRPLSPQPRRVSLFQPPSSSAEAFERAQE VNRRRLKLDADIDAERAASRLGSRRPDLDCPPSPDDETHHEHVLADMQDEGNGRIPLS NPPSASTIASSVAAEHGGISQSTSHPSIPSVASGASSIYPEDPPLRRKGALTLLDEKE VQSLMSTGQTVTTHEKPSTMHAGGTLQGVGSTSGSSEDECSSEDEGLTFGRPRKRSTA SKAKT EPUS_01490 MDYDIPRGRSPSQGHSNHISPQPSPHRYHNATSKLGLDPAVNNS TFTTGRFAQAGTNSSLQYTSPYPESSAQSQTLPQTTGADSTLYENNHLNQGVFQHNQP LGLQDSQAFSNPFLLNCKEFMFANGGNNMQGDFSHTNFALDPTYDPNQPNINPANLSK MPSSHASTPPNLLSPENLPSPGQPGSPASTQGQFYTPQHSRHQSLDPASAAYPHGTGP AEWQGMSFQQHREHRRAPSDHSELSSNAPSPFLPQAEVGESIENNHSPMMGAQHDAMN AFGIEDFSINDQQQAQVSPGHSPYMSPRLVPQHSHGLGMGSDFVQQQGMPNHMVGPGP EIYTTQPDESFGGMSQMHARHASVVSDMGQADQFPAPTINIEPAAPVSRQASFEPETN QLGDNLSPPTRARGRSKSDPHVGRSSSRPASRSTSPAARPHSPSLSTLSDNASVRSLS PGLGPASRESSPGPVSGRIEKNRRQSTSSIPTRDYILDLADPSRPNASPAASSTRVQK HPATFQCTLCPKRFTRAYNLRSHLRTHTDERPFVCTVCGKAFARQHDRKRHEGLHSGE KKFVCKGGLGSGEHWGCGRKFARADALGRHFRSEAGRMCIKPLLEEEAAERARNRMLE QQAHFAAGSLQPVQQPMMMNVDASGGGGFALPAALLAQYPALSNIDWAALPQGDDTGD LSDVGMGRNSFDAGSGGDFGYDDSDINESYVANNGLAYPAGSSGGGGGHGGW EPUS_01491 MAADCPPCYEEGDEEWTTDEGSQSDQALSTVPTVPSGAPASPFR ALSGVNNVDFLQCSPTYKKGPRQDPDTTSAYAGAGQKESVYSTSAQRPAISAFAATST PRPTSAAPTTTASNLPKFDLSFGALAITKSLSNRMAPFSKRAYRQAHQTIEFFEQQPT STQAPSDARPTPTMTSAGNNHHRATVPGTIQKCPGPEKCADCKAGRSAPTPVGGSSAL PAGAPPSVSKAGEMSQQNTTIHLSDIHYGITHATRASDQAAIKFETINPQPRRSTLSW GEMFCCLTDRLQREAAHDTILHLVQKIELDKIEKGCIADYLPFLADRQIIQMIDKAKL SSEWLSKPDEVEAARRSQASSTRAEATPAPDGLKSSDPASNPASKTASAHDKDISQEI DGLHLEEEQNNLKRLKKWGDALIRENRKMRNKWISETEAQLDREQHEGATNSKSSLYL KTLLEAVKAGDEESPTGTLLQDDVSIAMDLAVKTAIPSDPTIPYSQNAPRHAKRRARP SETRAKPGRSPLPRTRLSPTTTTSPSTSPHPCPRPLTRLLPLHLTATPPSSSGRSRSR RRNSPP EPUS_01492 MPRPWSSLTRTWNFKYVLVPQIGCFSSISHLKTLISVNSVRDSV SKFVVRSRTTDPFLNLAIEDHLLKTSDPNTHILFTYVNRPCVVIGRNQNPWLETNLAA LKYGVPVATVEDECSRQEVLLVRRRSGGGTVFHDEGNLNYSFIVPNDKAFNRDKHAEM VVQAIQGISPAAAFDGQQLLAPHLTKGIRVNQRHDIVMPARTESGLALDEQQTVKVSG SAYKLTRGRALHHGTLLFSSPNLKSIGRYLQSPTRQFIDAKGVESVRSPIGNLRFSNE LSIRTALKDEIEKSIAAVFEERYPHGKANISMVGESDIEGHAAIQAGITELRSQEWKF LQTPAFTFTNQQLPGESFKPGTQPTSLPTSTKVVLKAKHGMIIESHISLSENQEVADA EAQQARAVIQNKKLHEIKNWQQVFAGMDRWTHPSTGSLVAWLSDMFPPIPD EPUS_01493 MEELKPAPLRPRPLQCDFQNLKTWLRQCETVHGSLCSSPGYDEV PAFRLVDTCSRCIIDASVFEKTPKYLTLSYVWGTGAQKWHLTARSLASVCEEGFLDTV PLATTICDAMQLVRKIGERFLWVDSLCIIQDSEEDKLQQIPYMGSIYEQALVTIVAAS AEDANGGLPGVRPGTRNLQPHVLQTEELTLTSTLETEIENSTGYNHGFWPIDTRKWTF QESILSRRCLIFSKEQVYWQCRRTMWSEEMLLQPTQKSAFAGWTLWCLKRKKININAV AGEAEGKFAKTYRDLVRDYSNRSSTFHVDTLHAFQGIISVITRLTDVKFIWAIPQPGF DYYLLWTDNRSPGRGSRSATTVFPSWSWIAWQGEVKPPLLADVPELECYVLECDEICL DLANTPLLRIHGDSKRIQTLPNFKHQAPTYPMREWKHKDLTVTTTDISKLHVKASLVG RFHIFFWTSLASLYVCDDQEEIRLCLPVTRKCLHACSDFMSGETSSGERVVKLEPGRQ VRRWSMQHGRAAAAAPSLQDFIVVGSMVDHTPSALTIMMISWKEGIAQREYLTTINEK DWMETDRSWRLVVLG EPUS_01494 MCITLSGRAAYCNCAKLLPDNTLVHLCDVALANKRTPCEMVSFP PPDQSLTEPACPRCSQAGHIIVFINPSVSGKASIGGRDEYYIPDVYAELEAQLIAALN SPLPPAASPTVADNKALIEALKDATSFVKVEHEDTEEQFDEDGAPTPGSDNDEDSDGC LDRSQTPVKLGTGFGLALGRLLFSS EPUS_01495 MAFREVTETTPLLSDWERLDAPDMPHEIEAYENVFTTSSDFIDV AESESKSLKPAERAQQKTWWRKAVANVVRARKS EPUS_01496 MPTVAFHPSTNPPAEPTHIHIIPDTEIYLRHSKTWIPVQIFDLI TQHLFHVQPTPTPPYEDLIHTLSFITSYPITGPLISEIQTLLDHHYHHIYNSSSSSSS SSTSSEDDKNEKQEDDTDLWRPFDNMHAQWITLQRQHIVLLERDPDSEKSGYTANSYL TVLCKQIPRTYEPGRIFMQDNGDEDEDEDEDEEGKRGLEGRRRPLQAATLRPVARKKR KLR EPUS_01497 MKVNSTTLVIPLAVLTALAAGPPILAQRQSSLDDFISSERDIAL QGVRNNIGGGGSLVPGADPGIVVASPSRENPDYFFTWTRDSALTLIMLVDEYTNGDGS LQNLIEDYIDSQAILQTVTNPSGTLYPAGLGLGEPKFYTNKTRFDGTWGRPQRDGPAL RAIALMEYSDSLLESGEEDRVKNVIWPIIYNDLLYVGQYWNQTGYDLWEEVQGSSFFT IITQHRALVQGSILAKEIALDCAPCGQAPEVLCFLSSNFWNATGGYLTADINVSNVNR SGINTDQILGSIHGFDIEAACNARNFQPCNSYSLATLKVVVDSFRDIYPINNGIPVGK PLAVGRYPEDTYFGGNPWYLTTLAVAEFLYDAVAQFEKANLLTIDDINLEFFKDIYPN ATTGTYRDNTLDNVLASMTTYADGFVSVVQQYTPPNGSLSEQISKTTGEPLSAYDLTW SYASFITMAQRRDGEYVSWGYNEAEQPPATCSATSYNATGQYTPATAAGAPEVDKSCA SQVEFSVIAPTTFGQNVYLVGNTSLLGGAVNDPSAVILPMNPGRITPSRPEWFIDIYL PAGVPVEYQYVLQQSDGSFTFDRTTYVVDVSPCGGPLVRTQDTFETGS EPUS_01498 MNIPTPTVTWEEGPFGQMQPIWTSEPSVDAIKSVVRQNLDLDQT IEVDFLAQGGFNKLYSVKIGDTGHVYVMRVSLPVDPHLKVESEAATIELVRQRTNINV PGVIAFDSSNQNPLGYEWILMKFMKGQVLETAWKAMTWGNKEELVKTVARSLAELFEQ SFKGIGNIYFAKGGTAPRVGMLPSVENQMFNHTLRVMILVKWCQWRSSGTTVKNISFK YGAVKFDGGFNFDQDQAEGLTSSPDGLPPLSTKPESISGHDDEEIEDAKSVVRLAEKL IESIPLFFSNASTEEAEVTYLYHDDVNRHNILADPGEQGVLTAILDWECVSTVPLWKA CQYPLFLQGQPRHDEYFWPEAEQVASGEEVEQVLYGAHILEYEQTKLRRIFEEEMTKV VPEWSEVRQKSIRQADFALAVNWCDGPFTHRRVKVWLERTLAGKKEYQRLEEVNLFEE S EPUS_01499 MDHLPPPEHGRKPACFAVPLLGNTSIGNHDFWTFPIATDIARDK KGYLVPEGRNGDASNDESFFARVQTWLYFGLLREFFGLSFKPEDFIAMSPEADPSINS GRLTEYMKNWVEKSSKMPGPSMEAELRQKLIVLKTSWRFVNTIDYIELAKTDDHALVL FSIRALITTLSNMMYEHRKDDADPNGYFRINSDIYSLPELPRSYLPLVAYMERTGWCS AEIMRLLSTYTCTTVWYLACLHEGEPNRLDHTSCTPASACIARNITADTYRSTHFLES CQCAAIGPDADAVMDALRHDAIPLIRCTVFDDVNIDFKVVSSMRARDHFAISHVWADG MGVQRQNKIHTCHLLNILTQVRKAYICNLAHQLESIWCPSAQLIAERIATRKQSFLIW IDAFCIPDSLDSEAILLKRKGISQIDTVFAGSEAVLVMDRGLMKDIQDCSSTIELLGR LTTCGWMTRSWTYLEASLSQPITTYICTGTQLVSLFDVLQLGNQYLRLDVSSQGVYRD LITTLQPFLVQWIPKVKYLKPGHEEFCRFMWIWNALSDRAISRSEDMCFIVAMLMRLD VLDLMTCPEEERFAALIQDFPFLPRSFLCKNMPRIASGTAERRWLPSMVKTKINIYED SFDFTDEGIIIGPDLAFKTLLCTDKPLLDTFSIGIPNLGSSRYARTYYTIRLHQPSST VDTPPTTTSYCIALSANGSRTFETDPEHTDKYIRFMFGHGVCLKIRGHRADGAVEADY VTSLTYTARGPGNTPNSSLEHFDVEYVGATVIVPCGRTPPCDKGTDRVFDRYSSYGDD TNNALASVDEEEEEDAQESHPSILLSLGQCRARADESLPPRLELLQYARLHDRPSQRD HQLVMRHHGPKLEGHANYQKS EPUS_01500 MATFCSSDGSALAPHVLKKEREYYTTKQRLGKHSRSDTEDESTQ PLKRLQHTPTSLDLNPLLTHFSTLGISPSLCSTGSSLESLPNELLINIFSHLSGQVID FQCLMLTCRHFHSLLTRHGHTIVNAVASRTFPTEKIILFNLAKTTTKWSPFAWLATGQ RMNATIDRILFRLERVGAFRDEDHIFGAGTTDEEPSHARRLLRTILLGVQMISMQGQW EARSDYVMKNPPDLLNSMVRHLEWLVKFLICNAHLYIEGMDAEMGGIEDGHHCPRLLG IRFAFAAILSGQVLAHGPSLLLQLLEMKPKFRRPKEFLQWLWHICRGKDPWRLQREYY LKFILDDLFYWVSIEHRARWRGQQNTFGIKYPRHVNLTRWLQRVPDDEAWVDLMYERG WIKPVRKFLNLPSQE EPUS_01501 MEAFPEDYIAHNLPLILLSGIGHGEQAASSDSTERSRNLLQEGG FRIRTDVPPLTDSASEDLLQTFLRFDSTNRISERKDVSVKDKPGAFNIKRVGRAYALP PRKASPPPHAPRLGPLSDGGSPPPPLALHSTISPLTPSSPLYPDGIFTSLWITKHQSR LPAVFITFFTLTADPNTSSLRDNQIKTEIANIRGVFYSTNYKTKVVVILIGEADTDSP LDLEERLSSIRKATGFDSRHLVFYQPESSRDAVIEFVKSFLASLQPFCVEYYRDLSKH TRRKRNRTTIPPPTIPPTLGTSQTLPSQAWNVRYEFKLGVFAEFRQEMDAACRNYESA YEGLFSHEIFETISSWSPRFNEARLLADAIAIRILRCLLWTAQPTSAARFWIKHRHRV RSLVDRRGKGSGNYGWEAWEAIWCKSFAQILAMTRNLEISPSGSVESQQVNAQFSPPE KSIPIGERIAPWELLHHGGYWWNRAWKHVRKRRALASQMPEEDRTSPGQSPASAIANR SHLYETYLALEPHLEYPIDNKNGYDYSLEVLNIIRSSIHSFSKRHQERFVEQLRLEEA KECLREERWDDALLIMRSVWPCLSWRRAGWWNMVVEAAWILRKAAKQTADADSLLRLE WESRNSVFPIHPELKHNFHSCLDDLDPPASKPSVVIISEDTLSPVWASLHFATAEGNV GESLKTQLVLVSTARRPSGPIHLSEVKIVFEGGLRPVRVLSDDSETQTQASTTVVADI RLSESSSLVANSAVQSPTSGLASLFGMANITIQPGERKVFNIVCVPREAGDVKVSSIN LLCETSAFSLTYVINQQITSDARWWSVQDTRPFSRQLRRETDASTVRILPKPPKLCIE MPNLRRAYYMNEKISLAVLIRNEEEETADVSITARLLSPSRNEAVISWQGESESGSSG AVLNEKDASSRHIVSLPRHSIGLIPSADSRGLTLNMMHTLNAMQHQLELAATYHLVDD PEAPLTRTLTVDLPISRPFEANYELMPRLDSAPWPSFFDPGSIGKGLSQRFLLISKIA SFVIEPVIVDTAVLRLQEILGNAICNIDDEKSVTANQSSGHAHEADGIIAPEGLRESN FELVVQENALGDPHSVALNLDLVIRWRRSPEDDLVTTPLEVPRYVIPMSEPRVLLSKR SETTKKQSGLVHLQYTIENPSMHYLTFNLTMESSDEFAFSGPKATIFSLVPISRRTLD YRILAYHGTKWVRATSYFSQQRPLSINFRQSDISIHYICADPYLYAMDKLQDTKVPFV SGEKTGLIDLSQPSLFISAASIAFNPIFWNVVARQEYHNHVLTKIFRSPYYGCYALAV TIFGLGVFRDHLYNEALSKQPIYPPIHQPYIAYGLLAAGNLLVLSSMWVLGVTGTYLG DYFGILMDEKVGGFPFNVTGAPMYWGSTCSFLGVALLRGRVAGLLLTAEVFVMYLIAL KYEDPFTENIYAQKDKREKSKKRA EPUS_01502 MPSQQVEVAEAIAGIKRALKRQREGTTIPSILSDQPVAAASNRG NKLRHGAKFVHEGALIHVTGPEVYKQQKIEHAGYTRYILDRNPRRYNEYGEELDDSES DEEADADAADQNAYSGIRLEELLCPLKHPSELPVHPTMSPAYLSSALPDMVSSTHEKL RQERANLWRAKYLNRQLTGDASWIPAGALESPDDWELFEPRNQGVHRQSLGKRKRQES GNHGQNGNPHQHSEAQAEPNDVGKHTAKLGDNDLPAQKNGAGAADVEKGKGGVTDNQA TNPETTEGEQVAHAAADVVTESMDARAEDAGMEQDMELPAKPELNGIDPTPTNPIGID EEMPYKARPPNPQNEADVQLESKADPLPADHAAGPASHAASDADDASPPPPPPPPRRI TRALAANNSTSHSNAPTPPLSPASTSSTTTTTTSSDLQIDPLYLIPPSSNPSTNINGL PPEEALETRRLLTLYIQKQEESVRGYESILSKLLTAQRLRNEVLEMCKAEEHVGELSD GEDWIDAERWGLQPGELRKGRDEDEDVLVGEGQGDGNGMGHIGGVGVGGRKGKRRARN EPUS_01503 MKLRKQAIERIYPVFAGAAAVLVIDKALTSAESAYMAITEALAM VASSQWMTRCWTLAEAALGMKSGLIFCLGNSIIRYRDFKLDGDGNKAYKLLDLPSKSF FRDFLQRAFVLFHVAWLASQPLLSDFESFILVWNSLTARSTSWPDDVWGIMATLLGFS GKEIMRKMAGYFGGDPNMMIFSSPPTFSAPILLAVHFIGGPQFRTRNCCALIDSVR EPUS_01504 MAHQLDEGFDYNEIRSLMVKDLRIIPQLFKLRSRQQLEELSRTP YLCGWAFQSLRYDRANIAMDLRHFHETYRACFGRRSPICNPGPTQCDGSSSHACKRFK NTAVTNQLMHDQKCEGDCQRLFWCRDSFVNVSGAKAVDIVTTDTTTLRYCKVSVKTLT ISHVWSHGQGGRPDDGHPEGTGFNLCLHRRYAELATLLGCESYWMDTPCIPSEKDLRW ECIMNITSIFAMSEKTIICDRDIMAMDISYPTVQVYERILATLLVCDWSIRAWTLLES MRGRRGLYLLCLNNRHISVCELLKSVVDNGRIDLTSLFLARGYLFPPVDLGDFELFPG RVVEDPAGRQVQKGFVNIGEAAALLSHRHATRDADDLLIWSLLIGDLEDESPVEMWKR QVDKVIATGFLVSSAQRIQGHPGLGWAPCQPTASRRASDTSLSPKTYPAYDGVETQRG TITAHGLRAKWLVHEFPVVASSTAAEKGTKELQISSLQEPISRITSRYLLGYTRGALL QALPRRGDLQRNIPVPYRGSTAPVMVICGSVDGAKWEWKDIYEWETSDPVPHFDMREI LLV EPUS_01505 MLLQGRTIGDDVVRQWQNPGDILSLLLLLSPGIIQRAFAQLVGG PIAPVAFSFGWVAYSINALLSVFGDGGLMPISDISALVINANGHQRTNLAWVIGRLLR DFEYRADSQGNEQPHAGKTSGSVLPFVSTKGAIGTAVYGFRPQGPGHEKASREALRVT VFEVDPTRPPGRPIRDWIDYLSFAAIFVQMGVAAIPWALSMNYMPFVVTLSGAALTLL TSAVPQWGMEKFSSYRTGSWTVSITRGNGSRHVMLILGKDHGCPSGLDLEMMAARSNS AAPPPLTPVCMTVLAALSMMLLITVAGLREDTWYLLAVGTLGMVQNLVAAGAKRSSSA LGIHLRHVNTITDSSVSSVLRKTEQQYPRVGVSLLPVFFPGGMRVPAGEKSFWDEARG DHGSIYEA EPUS_01506 MRRTYEDATQALVLDSELEGTSCDAEPEDLMMHITCCDWMCRLW TLQEGALAQRLLFQFDSKAIELKSLESTLWESFVLNFASPIPGDVMSCFASFQKFSKL NGEQLFDLIRALQWRKTSWQQDETICLSILLDLDAERIEKVEPLERMPTFLRMVRTFP LEIMFAPGPRLPQEHFSWAPQSLIPLKSFSLPSCSTSTGAVQTTQGLVTTTTGLRFDT VRVPLQDFCWFNVENDECDYRIRNLGEKEDGGASWQDDGPHLIDSPAIVVDTQNWQDR DVFSGALVAITAEHEKFIHANFHSRVFICRETGDVPSTVMQKMRHAEALQTDMTAIWS TAERIVKKVVGDFLKVQSASSREIIS EPUS_01507 MNLSRQLQSGKGLAGQDHYTGMVDCFRKIVKNEGFSRLYRGIAA PICMEAPKRATKFAANDSWGLFYRNLFGVPKANQQLSILTGATAGATEAFVVVPFELV KIRMQDRASAGRYTGVMDCVVKTVKMEGPLALYNGLESTLWRHILWNAGYFGCIFQVK SLMPKPAPGNKAQAMGTDFMSGAIGGTVGTILNTPMDVVKSRIQNSPKIAGSVPKYNW AWPGLATVMREEGFAALYKGFLPKVLRLGPGGGVLLVVYTGVMDWFRKMQTTTA EPUS_01508 MSPAFSIAANTEKHLRAPTGRTAGPQAVPRAVPRAAGTEGSNLT ERVLQRESVRPIPTCTFAAGSVASPAPSRQLSKSEFEARRKKSTKDRAQTHLAGRISQ ARAPSTTNAGSTPSNVGPWSTSYRRPTSTSKEQQTASQPPSSGANGSQPPTTSDRPPV DINEDKKRSETATDPQHLESPGDLARVSHCAGPSTSHPASEIRTINLGIETEFYLASR VTDYFESDVTSFVTFLTQSYNAKVPQQHPRMRPDFRPYSFDGDYHRWCIVLDATMSSL FSPWGLELVSPIFKAFPFSTWRKDVEAAWKFLRSYYNVLGTELCATHIHISIESEYSL QDLKRIAQAVIHFETALEALMPPDRRGNEYAKSNWLDGHRFGREGLTRHESIAAIGKV SHLRELVDLMQPFRFGTDRDYAWNFLGLQSFPRTIEFRKPPVSLTSDAALSWAELALA FVHASVRCESSKLQKVPPTIGGLRWFLHQFHVPGMNEPARLERLWKGKDPRAAVEPIP LPEGKKEEIEDMKTRLRVLAEADKRQIQAFADTTQEPYW EPUS_01509 MPSAPFSISTQSRNKLQTYILEANPIDSQQVDDKENINVDLSRC ASLVGSQRLHDDALQKSSPQLPPLSSEKPCPQTPANRIPLADLIGNTEDAFNCNPKDT TPEDHICWQHGPTPLSSIPSATANSTRRGKKRARSSSPTTSSQNQKSTRSNAQETLDL KILHESLKTPQNDPALDLWARYTDASLTKKDADGNALPAFAHLMTSSPQTPSTANGKD GGLRRSISCGIEWPVSKAKKRKLNQEAAEGRLKDVFEASKTDIIGPKKSKASRITLLM EKLQENSRKVPQIEVSGPSSSSPLPDRIGLSTVPLLSPVSRRFAAQQEYDEAVTTEGN GQTMHDMPAQQHDDPGSRSSEFGDEDLALDVLEAVEQSAGTQVALSATGKQGCESLTR MENITRQIHAGPGHQSSPPQSSYRRCPEILLPRSEVQGGVDNRASANVTPSAFNGDDD DDEFGDGSDDNGVLADLAARFDTQQSTGDPPRQTHVKQILVQQDVGYNNNPTTAQAAD DDDDTYDDDGDDDLWNQIGDGSLVLQQESGVATASQSRSTTNRTIKRYLVVDVAESHY LNAKGRQQPEKMLSVKDEKTGMLKVILLRQAWLDSRCTKGSHVHLIGHFDHLGQCIVD DHENMIILHPDHLISATVVADSFSCTRRAVLQDRIKATGAAEPSQVYGHILHEIFGQA LKANDWSLESLSIIIERILVNWIESLYEINTPVPQALEYLLSKMPELQAWASVFVTAQ ASPQGEVRDRNGGISNMSVNKLLEVEEHIWSPMFGLKGNIDATIQVTLQEANEPRTQT LTVPLEFKTGKKDNSEMHRAQTALYTLLLSDRYDINVTCGVLYYLETSKTFRVQAVRN ELRHMIIQRNELACYVRNKLELPPLIRKPFLCNRCYAQTACFTYHKLIDGGDGETSGL KEKFENAVSHLKPVHQAFFQKWDELLTKEERDAMKFRRELWTMLSEEREALGRCFGRV YIEPGSVVGSPDGPKINRYEYTFLKQTPRAGFSFAESQLTVGEPIVVSDEKGHFALAN GYITKVRSTRLTVAVDRKLHQMRKKCPGFNPDTNQVFQGIMEVPNDEDSRTTAVTAGE VGDAMLFRVDKDEFSNGMATVRNNLIRIMEKDLFKARELRQLIVEAAAPSFKPTSSAY PPAGPGSQQNLNVDQVHAIEKVMSAKDYALVLGMPGTGKTTTIAHIIRALVSQGKSVL LTSYTHTAVDNILLKIKDDDIPVLRLGAVAKVHPEVQSFADLAGIPKKTIAELEESYV HSRVVASTCLGVNHPIFNTRIFDYCIVDEASQITLPTCLGPIRMAKTFILVGDHFQLP PLVQNKEAQQGGLDISLFKMLSEAQPDSVVNLEHQYRMAEDIMLLSNTLVYNGRLKCG TPSIATRTLCIPNLGAGLAAHHHTPGSFTSSSKSTSVCLQTPTCWILRSLTPSSRCLF LNTDPLLPSSHDTISGSRITNPLEATLTTLLVQTLLSSGIPARSVGVITFYRSQLALL RQTLGRITDLEMHTADKFQGRDKEVVILSCVRSNEARSVGELLKDWRRVNVAITRAKS KLLILGSQATLGGCGNETLEGLVRLMEAKGWVLHLPRDAVEGHVWEGAALATQTQTQP HTHTQSRMQKSDTMDQLGTSPKKKRAANGSDLLSPSKKRKVNALPPFKQPTKRVNGSL AQAERVLGRSGIMQDIVNGM EPUS_01510 MLDFLGEKNGRPESKIFTTLGTLPGYIDRNQPPTKKLPWRAIND VSFVRSVEMILPEELYELIWTKIEQELKPVKYARVIMKLEDVLDGAFFTEYIKKGSIL MLSEGQPGVDNVFSLRDGMPPLGVQSQIVMPEAYERAGLQGQPCRGGGRKHVKARYVV EVNLRQPSMLHGKKGFERVVWAAKNVLNRSVTWLFYHLRSADDSNSVDHVPPISKHHP TMIDFTPIGRHNPAVRVPQLRLDDVIEDHTTSDVGEWTHDVVEWLGLMALDSARVREK DSMDSYLCRWTFPSGTTEDATSVRVLQWKGMVDLGWVTQLLISCIGPPRIPTGCHELC TASKPLPSTVCLMVEAQVLVGASSTHATNPPLSPGTTKRRLTRFSFQTKILPVDSSST WLALTVQAYPTAAVNAIDGYSIVLTADADTIEVETSRSISGESAEREPSTHATIEGNV KGASQGRRGLANFACFQYFDTLTAGTPHQPSGIPNPSSYQPAMFASSSSPDAGNDFEH TNTRQGIYCPEPLNNNIGFNALGDPFYFDYTFAASSAPAPAPTTALAKHLSGLPDTDF NLVNPFTPLGATNSSLLPDAGNLQHQPIPPLAYPPPYQAFPPGLQQQQQYASVLSMPT ALPQQPLTRLRPKSLRLHLFHPYHPQTTTLPTPQATPQHHHDPYNLHPHPPNPNPTTI TTTEPKPRANTPAASPTPRRSTTPTPPLRARSPSTPRSGRPPTTPTNRARSARRSGGR WRSRTRRSCGAGGSPSAEYARIRRRGKDGESEELPERMRRELGLGRV EPUS_09452 MSENFLRIGLMRSAEKGRIAATQYLLREGAKTDVVAANRLSPLL RAVEHNHVGIVQLLLDHGASTETADKKGRTALMTAAWKNHWHILQLLLSRGANVHAKD LKKRNILHNLAADKQCDWGEDVLGLLLKLDICIDGREAQDNLGRSPLHWACATGKKRF AEKLLSRPRHPGANVNATEIRSKTSLHIAAAHDRDDLVELLLTYHADVNARSDGGWTP LHNACEKGSEKIVRMLLQAGAEINSKLLNGMTALHLAAQGGHADVVKCLLECKDVNLT TRDTFGSTPLLRAAQFRRKDIVQLLAPYNHVEALSEDALGACNGFDATIVDFGNYHNE NQVKRRTVYELLYGRDPKDSNKHAISTLPFNVKATRFRWIHLPANNMVWVEALLTKIF IEEGAGDVEGFKALEKSFSHQHRGQRTHSHFMRPLSQSTPRAPRQDEDDETEQMTPSV VVNGATKEDKVPETPVRISKSGSDQSNCAAHIGLKNHATGSHNETKGKRRVKRGTDAP KSEQGRKSSLQLANSIVRSRRSPGSPSRKDFMHFAKSNIYTFMPYLHFETDTRRQEMQ EAIRHAETLKARPALTKARTYDEMLLRAHLSASNTSLHVRRTLDQFFYHNIDTQSRDC DQVVYRYQTKGRDQEYPRIDPKVFMVDQLWMWTLGKDLIVTSFPQRWQQPKNDPLNVL DNIIEDINSKTREPVKSVYDLAMIITGRCCGAFDRHRMGDADYQFLDMFESSIGSATD RETTLFNEFNDASVQASEWLKHHRRPNRFSRNLGSSAEKEDKFKFEDHNRRPLFVDKL LDIGQEIKLLTEIKDIRDELNMIRMVLQYQLQVLPDLQEAIFDIFKEEHRSHQEIKKH IKDQQKIVDVHIKDLDRMDRQAERIYDSITDLLDLKQKHANAFEARFARDQAAGTARQ GQTIMVFTIVTIIFLPLSFIAAFFAIDIQEFPRNVDGSQRLHLSYVAQYMFGIGFAIS IPLVLIALSLDDIGDLYREAIRRLRRKRLGRERQPPQIDDAGRAVGVLKMEQASTVAR SVGGSMETSWVGSLLPVARRTTGESERSRRRFIFRNSVDTERRDGLRLH EPUS_08534 MKIASDLIPDRLYMNVDSGSNGDDDVPGLSSDTSDVSDMDLEQS QQHQDLQDQMERRAEEHQREFEEQARVEDLEGQNRQLDEQASQLEERARQLEEQARLD EVLAQQERELANRLEAESRQADEQARQLEEQARQLRVSISRNIEEIRDIQERQANE EPUS_09289 MNWYLPLSASRVPSCEQSAVILSTRYQQIPRNAATIYNLFVLLF DDERVLPAPRPLQARPITIHTHPASGGPSITAIMIAILSSSALMAIARVALGLLGVVV LALAVISYRYYAFRRRLPPGPAGLPLVGNLFEMPKSHPWLTHTELHKRYGPIFSMQYG LSTVIYLGTQEAARDLLEKRSNIYSSRPRFTMVGECISQGNRSLILPYGEQWRGYHRL QGSFLSPRMSNTYRELQDLESKQLVSEFLTKDDFFKRFHRYSSSLTFALAYGKRMPTG QEEEVKGVEKIMDNLNKAFITNWIVDSLPFLNHLPSFMKPWKKIADFLGDEERDFFYK IRDGAAQRRGYNWCKDILTMKEHKALTDTQLSYVIGNTYEAGADTTTMTLQVFTLAAV LHQDKVKILQEEIDRVVGRDRLPTFEDTEKMPYLAAFVKEIHRWRPVLPGGVPHAVTA DDEYMGYHIPKGATIVGGHWAISMDEGLYPNADNFEPERFLKNPDLPYSQFGFGRRKC IGQHIGNNSMMINVARMLWAYDIKKGWEIVDGKKVEAHVGRLDFYSGFNSPPLPFKAA FIPRSEKVPEIIRREFAETEKSTEIILERIEQAQQILKGGEPTVVAV EPUS_09290 MASSDFKVLILGGGNCGLSLATGLKKAGINYTVFERDSEHDFFH RPRDWGILLHWGAEYLEKVLPPHLRARIKEPRCDPHLDTLAGIPPVPYVNALTVDFCY CERKGPNSADRIQFGKYLDKVSVNGDTVQVTFRDGTTETGNMAIGCDGSHSKVREFLV GHDAAQLEPVDLTMINFPKGGYTAEEARLLQTLHPVFKIAAHPDKPGNGILGGTNHIH SSSTSAETLLIMASTVALDIADPNDPTTWKFQNYVGWWGPPYAKDLQDPETRMNVYRS WVSSFCEPFRTGGLKLAEGEVVPVYPGQQWAPTMAWDNYGGKVTLAGDAAHSMVPQRG QGLNNAIKDASDIVDAIKTVTSGEKTLEEAIAAYEAEMKPRGAKEVALSLEQALKARN QSTLKDSPLFKLGWQRGKAEAPAPTPAHAENVQT EPUS_08245 MPDQVIRTIEMSAHVLYEKLFSTSVETVRLANPSPPLSTTYPRF LPRPNLSAPPIEDLRGNTPPPRKPAATSPAASPPPTVTPHAKILTLQADRPARADLPL PNLRNKTRRRPGFFVAY EPUS_08246 MKSIILTLITLIAFAVAVPFNEAANSLAKREAYHPTHEHDAQAL EDRGVEAVAANGHGQGYP EPUS_08247 MSRCTFYLLSLHGSASDFKASISTISNTPLFVGQVHRWVAPPMR HSIDPLTTQGSWDVFLFFAGSVKLPEAIEAFRKAEWRLEADAPPPMLAVFKNTDQQHS RAGPEDVPALTGRWDHPYQPDPDAHQEFDYSPELQNWVRTFGKQEGKSAVWMFNLLAY QDGKRETFMKYVEAFAASVGKAVGSESQIFGQVIRCSSTSDGEEPWEDAALVHYPSVY HFGDLVGSEEYQKLDAKYKVGTIKDTCILCLTEL EPUS_08248 MGQVPTVHAWGRSDHVRQGSKELADVCDGADTLVMDFEGGHHLP LTDGEADELCGLIVDAWHAGGGKDQKGLVNRLGVRVE EPUS_08249 MPGSDLAFIVKLPLLTLPPTTLSHHPVRKEHIPSTQLLRAHIDL DIDISSSPAPAPVKMVCPISVTKFVGTISLGLLTKHATRFPNHNACHPTDVPAPGHLV QHLRHHAPVSRAPPDTASSASRTLAQIQTATTRHVLTFASVSSLSLITCYSLASARGK HPYLLWTALMAFLAGQGLEYYYNGLDRFPTLRKSASSDRGARSYVMVGDEDEASVNGE KVEMEMSRERRVQAVRALVSGLGFAMGVVGIWGDGS EPUS_08250 MAMKRSDYLSEVWREGIFNNKVVFCTGGNGTICSAQVRAMVHLG ANACIVGRNVEKTESMARSLMTAREGSKVLGIGSVDVRNPESLNQAVESCVKELGGID FCIAGAAGNFLSPLSHLSANAFKSVIDIDVLGSYNTAKAVLPHLVKSASTSTSGGRLI FVSATIHYTGAPLQTHVAVAKAGVDALSANVAIEYGPRGLTSNVIAPGPIAATEGMER LSRGEDAQASRKRIPAVGDGQGGGRCHGLVV EPUS_08251 MFSMSLLAAGALALQTSAFLVPLEVSRDAGIAQMDQEMKHQVVE LDCPQCPFAGSDGDGSVWIQGDDSVKIHLELDITDDDQFLQINGQPVYPPPRTLVPVA LKAPEIRTSDQSQSQPLRLGYALEVLPAMTEVSDVALTPIQLTVLDLEGVSVNVETVK IDLMQSWGHMHIARVTRLPYAESPGANVCTTSICRLRAIIASRLRKMVQSAKAHAGQA KTWIKNTCSGWKHPKADAEHKDHVNAEHIENMNAEPKDCMKPHRRLHHGHHGHGRFRH FVEQTVHFFVLPAIFGVIGGLLACALGMLVGQALASLLNRRSRRDHVSQISETVVEAD EKDALMESGEMPPQYEHVDIVVVEQK EPUS_08252 MDDSMAEQGDLPFCDYTPPLLFSQLAQEPPPQQQQQQLQRAGDQ GHELSSDTNFPVPEFTRPSLPQMLRQRPSRDFLDPDIHEYAQSAISRSEQAERQNPPR LHQQREEPPQPPSKKPRLRSKRSLSLSDVLSQQNKQQSEEMSPQGLLPRPKSVVESRT RGSTSLKLKQAVQRVRSKKESKPRPGLPQTAAQLENSWARMYVENAELKRLLKKKESA IKKKESQVQNNEALLEEATDTVAHLQHENMQLHRRTARTTPQLRDLEGALSDNMSQFS LMQEEIDDESARNTDLIQSK EPUS_08253 MDTFEDPSRTRLLMDEEESYSRDFHRRLVESASPIPQYTPRRPL IEQCTNEWQQHTQWKSRRNSSPDGFDDALESFFDRCLAILKAPKIRRYLLLYTVILIF SIWLWSSMIWPVWAEQRMLARSLSAENRRASGGLFGSNLRPTFPNMIQVKDLDTKFVP KATASKDARADNVNRLIFVGDVHGCLDELKALLAKVNFNTKTDHLIAVGDVIAKGPDS GGTVDFLRETGASCVRGNHEDRILLMAHDANSSPLKVETPASQSSSDSSPARTLAASL TASQIAYLESCPLILRVGFLKPLASDLVVVHAGLVPGIPLDRQDPTSVMNMRSIHLNT HVPSKEPNPEGSVHWVKLWNKYQQSLPAQWSFFPSGIFGAQSAKEKRTTVIYGHDAPR GLQLKQYSKGIDTGCVKGGKLTALVLSANGSWQTVQVQCKDYRKRRPLDVEVEDVLRD GKLSKPDDEQEE EPUS_08254 MLVALWLISSLPSVQAEHEHAPNKDCPLPSDTDREYRFDLPAWV TRGGCIRERGHRECSRILEAILDSLAKIREAEYASAASVLSLLPTIGALFGPPTSEIW RLKSIVPFGGALAMSLSFGGALMPVNVEDYENAVAKGNTAIGSIISLRRHPPGSQKDV PNIDERLQELSNKIRERIDRLESVRPPKKTLILGLVVMFILFSMAQAAMAVVEQGGVI NFLCSARYWMHMWYFLVTLAAFAEDRAHRPFNKTYKLYLSSLSYDVEILGGQPISDYF STSTATENALKQLKTLRPASMNFFGSSLQTPARNSVIVMVSIVGQQKWEQIGKRLSRV FSVAVFVMGTAVFASATLLSLIMAVVVLTLTLAAGIFGRAIASWIVSNVAGTEPMIHI ISGTKEEAYQAIAEILSLKSNDGSPFQVEINGQIFINERRVASRSWLKVALFGVLAEP YDIAKPYQKTKMSSGAGMSLNPLSSGLTNTLRGGVSIPFLSSAADPSLPIHRTDNDAV KTVSQQSVPSIPPDNQPDN EPUS_08255 MACWSGGAVVDNASLLNFIDSQDQNAITISVGSGTASNVDFLLF EHWLSFDPTFPERVETCRNQYLQLSWGQDTRFADRLAVACLRARLGRRLPPEGPPLLQ NDARPTASALLRTTVKAIAAAKSRAVRQAAETKARVEAQEAETRLAAAARAQRTASRG QDQSQASSQSGASGGAVRRHGVQQSGSGGRRGGGQESRGSGGAGHEDAGRNHLRYLHC IFNRMVRSITTQKRHWVSAVPYHDDPGLIKDFVQIGCTDMHGSHKPLQNSLSPTSRWV KGAGEWIWEFCCGKEKLQLW EPUS_08256 MGRPDPQYLPADLKRFMLNNEQMEIICQVARNHAAEHHFLLFEY WIRGDSSFVSLIELRRQRYLQVPTVINDTFYYHQKEAERLRIATTRVRQHGRLPPHGP QLLKGDTPPRRDAVMMALAVRAIEVYRGTAEAQAKTFAAEFLRYARQHELTGAVQTRN PSNQTRDTASETQDRLSALLQNTAIMARARAPSGRKNLASTVEAGSAASRQDTAMTAQ DQAFAGYPTSMNPNRSVDLFSNSYAAAQAQFDTSYHASNAEPRTVESKRRKPCVAQRT RQEATAPAPAPAPAPAPAPTPRSLGPLNRRRICHFENTRERPSPNPPAGVESALNVNR LQSIAASSSRC EPUS_08257 MYSTTSVAFWVLRVGQLLLACVVAGIIGSYLHDFNHRHSWPRKR FIFAEVLAALSILCSLLWLLLSRGGLWPLDLTLALAWFSTFGLLIDWLHGHACGGTFD GSEITSAGYCNQLKAVEALSFLSAIFWSLSAIIGIYYMTRARKGQSDAINETGHDLGA DGGATDVGETAKRGQTPGSSSYARLRAWKFKIPRDTLRIPPLGSDELQGFLTIALDLV TSDEPDIVQQVVESLASNGGLARISEAVTTAAAPSSDRQCLQNGEYMLLPLFNVLTHP DVTQSFILEKPLSDIYCSLYGIGGRRAVSCFSAAARFLSLFDAPSAEKSRAVVAVLTC LHNVIELVSSAKVVEGIHAVVKTLAKLLKDDLKSYSEVQRLFQRIEDRLQEGSAMPIV DYSHRTSAVVPLLGALHLERDQPGQLSSKGARHDNDHDDISRISILPTASEVLSERAE YLPVRDPRHLHLGGVKGLLDRHFRLLREDTIGQLRDSVRAAIDTLRDPSAPELVSSKL QQNIRRFAYKDVKLMKVTFARVTGLQAHLSFPQPKPALQKSEQIRRQWWEQSKCLCHD APLCLVDAAQNVTFFTVCGNQAGGGASDTNSSKLLYQDPLMANVIVRLVEPYELDIER LVTSLSSPTSGARVLCEFPGVLLPSFYPTLKALQKMSKTLDLPFADIIAPTDTNRGIT NVGPAPYAMKSGFKFDLKSIVGNEHLELSMADRFDFATLAANSSLDEAQQTAVIDALS RELALIQGPPGTGKSFTGVALIKVLLDNASKARLGPIVCVCYTNHALDQLLEHLVKDN IKGIIRIGSRSKSDLVQRLNLREAMQSEERTSTEKRHFASNKAEIERYSEEMEPLLED LMNHNHPKIVRDLLQRKFPEQHRQLYETEKDDEGFEVVDHDSREPLIKWLHPKWTKRS RLETRLPGTNRPLNLLKHANVWSMALQERLKLYEHWIEIAKDDTLAQLSWMLSTVGGH VEELAQCRREDELRVLAKAKVIGVTTSGLARNLDVLRRVQAKVMVCEEAGEVLEAHLL TALLPSVEHAILIGDHQQLKPQIANYELSSENPRGVQYSLDVSLFERLLYTNDPQAVP IPYSALRTQRRMHPSIARLIRETLYPGLVDEQSVHEYPAVEGMKSRLFWFDHDHPENS DERRESTSHTNDFEVEMVAALVHHLVRQSRYNSEDIAVLTPYLGQLIRLRNRLASSFE IVVGDRDQAELEQEGFNADSAHTSPVSRAKKTSLSKAVRIATVDNFQGEEAKVVIVSL VRSNQERRCGFLKTSNRINVLLSRAQHGMYLFGDSSTYKRVKMWQHVIQLLEDSNSLG KSLALHCPRHPDTAIEVATPDDFAVKAPEGGCDLMCDGKLPCGHKCINKCHNIVLHQE VFCRKPCPRALPGCDHACPLPCGATCGPCKVQLHDVKLPCGHVEASLDCYLAQNPIRA RCRKIVQVKVPLCGHTAERQCCELDPGPNYQWKMARLFEMTIHPAEGPVNDLTILAVI TVRNLAIPGLSVNSVRGLVKSAALIPGVPNLARNLVHLARRIVRGDALTPGSAIYPAL YLAANCHALGVASQSCLVDISVQSTKGTVVDYLLSGTYGETNLDEDPCLVPDCGHLMT MSSMDGLFGLTEYYETDSDGAIIALKRSSVPFSAEEIKGCPVCRGSLRNINRYSRIIR RSAIDEATKRFISWSNTEILRHADGLHSIQEQFAADQDIAQLCGDGDWRTTLDSELNR QSDTMILEGHRGQVMADISRIKCLKSRHKQPYLLRRKLNKFLHEVRESEQPFVRVWDL LQNRRRRDLSGHVNNDLVYEPSVVQLRASLMSLSLSIRFDITIIADALSLRKRMNGLG PRYHWGAIDLDVDFSQLRAECLNLVDRLAEHQQHRLEIETRVSFAHLAALERSAIAGS PKVDRLNHLRELGLEQLKYARQIHARYPGQTSGVMEELDAIELMLNDGTFYTAVTSEE QRAIYKAMSAEFRGTGHWYTCANGHPFTVGECGMPMEQTRCPYCGSPIGGQHHQPAEG VRSLDEVEASMGNMHLGGGM EPUS_08258 MTSKSAAKLIPSDPAKVMVFRDILPNMATISSPFARFGLFKVGG RATIIKLQTGALAVFSPVALTDDVRSKVQSMGNNVKYISAMDIEHHIFITPWAKAYPQ AEVIGVEGLPEKREKDPSTKGITFSHVFTQSNNKDMRISEEFDEEFEYEFLHSHPSKE LIYYHKPSKTMIQADFIFNLPAYEQYSKSGEGATSGVFTKLFTGLMNTRNDITWQRRF VWYVLSSKDRAGFSESVNKMKRWELQRIVPSHGDVIESEAQSVFDKITRWFPEGHEKK EPUS_08259 MVLLTSSSISVVLSSGVVCMFTFLLFLSGYVVQQQTVRSLQAAL HAPPMPTPTLPVYFQKGHESEWTEVANATEPVGKNAAEAELEFPEERRPSGFQTIMKS DPEPTAPPFSEVGMEVQPLVLGEAVTETSPAAQLSTPSVLSDERTKIVEQIPLTAPST PEPASESSARLAYAQLLSNPSQICSALLFFRQQMEHGDPDISRIILYPSLWDEDSSSD AYSNAITLMWLVKDQYKIVYESFIVEDSSRERSIEKELIAHLATDNWGLDRIMYLRSP GLALNIPALDSALQASKRNSSLSRGWASASVEPSADHPILLISDEGTHMPRGSNRRLI AKAFTSHANHHENEMDVEAAARTAAYVHFEEGELEHRRTEKEWYGGVFEKYERGRAEV CRDISFDDKRTELRKTKRRGWR EPUS_08260 MTHRADASSSLDNRGYTGPLIRGANPITLIETAVRDRITESLYW KEQCFGLNAATLLDRAVDLSYIGGTYGVGMRPTPFLCLAFKLLTLTPEKEIVLEYLNM GGEEWKYLRALAAFYVRLTFEPVDIYTTLEPFLTDARKLRRRRKEGYVLVHMDEFIDE LLTKDRSCATSLWKLPGRQQLEDLDMLEERVSPLQAELDEMEQDEDGDPHNGSEGGAS PVVRSSSSEG EPUS_08261 MAVCTSSFLASDSPSHHDLPPRAGSSPSPSPAPPRPLSPGRSSS SFPVSAKGNVIPFDPASLIANGQSVLLAATVPLSTTRPDVARFIGLGMLSTCIAATRS PAEEVCVGIEDVARPLAEGSLDGCGDRKDGAGSERVDLLVLSLVPCSQSEEEEEEEEE EKEEIHFYHETLRQILTRKIPGAKLGLAVLPVNDLIPATRPENEAPTGRSAAIGKGTG AGWVLTAKIAGALASMGYCFDDVKTVARLVGENVRTVRSMSSSSTGTSTDIALTEEAS QKGLENLIRDMLVRLLQPNLEGTAGIRVNSNEPVLLLNVHAPTTDLQIQSLSTRTIAQ LYDTYHIKPVRVYAGDYHIRDPDRDGDGREKNDFSISILNVVNTNIGGPSMIQLLDEP CGADGWRVGVTKEEWETCDGSITAVVELGMRNWQTSLDRLVDVKSVRLASTRGDDGSF DHTKRLGHEADEGVLCTGARQRQVAGDETADPNWGETSAAEDEEEDSMKREMVDTGKQ YQEEAKEQDASETKETEPGADQVETVVEPDEKSGKSGSNDDAMNKDAEQGKKGSEHTL PVSPGSVSPASGEEYEIVDREQTLIDMVFSHAERR EPUS_08262 MEEIYLLVLGSVFCLTTITYLALSQAQRDVLFRRLRLRGRRASS ANTPPRSLSPGKKGHISVPSPTEYIESFPGSRREALAKVMETIPQASGGDLVGLETEK SMTSDMMMPYEANYMEVDHSKHTPTGFSVGEIKALGDFPNYAELSGVPLPKPYTGFNI DEALPRPYRPFRWSYHQTMSLHKLEPDWWLELENTYKSRIAQRKGLYEQHGKAVLQWL PGSELACKELMEMVLQFLVARYPHYFSLSADKKTFTNRILNTVQDVKAKHPLLIIFDN VPEDFAIMLRNPETGYYSFRAGVICSALGWNVGSKIGLQLHQIHAPIPDYKEKMQFSM DRYFSKMPTEKPIQRGSWGLEVDKPLYMPPGDPHEKFREVQSPEVTLDRCHLRVDWQT LRRLPLSSAIVFNFKALFTPVTEFRDEPFIPAIVHKILKEGKKSLMEYKNTWHVEHVC VPALEQYANEQVENGLVPKDWEVQTLDDPPFFPGWNKKWRTQQGF EPUS_08263 MATFVPRRVYPHLDSIPRSYYLGHHAAGLTKMKSLINQIDLVIE CRDYRTPLVSRNPLFEETLGERPRLIVYTKQDLGSTYTALDQAKEEIIRQWDRPSSAL FADVKDRNAVRQVLRFAKDHAASSNHLVGSRMMVVGMPNVGKSSLLNALRNVGLGLKK AARTGDQPGITRKIASGVKIVEKDKESEGVYLLDTPGVFVPYVPDAESMLKLALCGNV KDTVVPPTTIADYLLFHLNLRDPSFYSMFSEPSNDVHQVLDRLARKQGRLKKGGFPDM EAAALQFIQRWRAGGMGRFILDEVAEDALDRRKDTLEGFGRSMHQARKASKEARRHAA LEAN EPUS_08264 MSQWLGSQNYSHQTQLVGAAIVGGLAAAATIYSLQNLRRNVALG DLKASIPPLSLLKLTDFGGASLRTSTNKEDERNAALAARARRGDYDDELIMEQLARNR VFLTDEGLHKLRQSFVIVVGLGGVGSHATTALARSGVAMIRLIDFDQVTLSSLNRHAV ATLADVGIPKVHCVRKRLELVSPWVRFDCRNELFRVEAAPRLLGEWNHELHGGEDRRK PDYVIDCIDNIDSKVALLLYCYQHNIKVVSSMGAGCKSDPSKICLGDISNSTDDPLSR STRRRLRVLGVKEGIQVVFSSEKPGPGKAELLPLSEEEFAKGQVGELGILPDFRVRIL PVLGTMPAMFGYAAANHVICAVAGYPLEYRVGDKSREKLYAGILAALQTMEAKLVKSI TGNIPLGLRIPLNLEDVAYLIEEVFRGKSVISGLTTRLALVRWERPSDGYQVEPTWEK EGQIFVRLGLGELVCVTKEEAQRHEREVLRGNKSPEDLYAQEVLHLVSKRQQEELSFS RFR EPUS_08265 MARNSEKAQSMLFRFRAAQAAESGLFLPTAGARRPKAPSTISQI PVCEKWRGQILKEISRKITKIQDESLTDFQIRDVNDEINKLMKEKWGWERRIRELGGP NYMRGGAVVDNEGREEMFERAAKRHVHGEDYEEKPRAGGDLARRNVDAAYFGYGLDEE DGTLLRYEKKKEREAMERVAAIPDESEDDGWEPLPGDAGDGVAWRLPTMEEVQEELVD RRRRKLLDKIG EPUS_08266 MARLRESSSPEGSEQLEETSSLPARRVTSESSIRPSPAPSASSD KENHHASKTQAGLEKRRGGSVRMSMQPSGSGSGSSSTISGKKRKLQDAQSQPSQARHR RELEERVDKDFYDPDQDEEERRAVRKGMRDLNKELNDTRSELLKADSNGLVTIIHRAD EYFRAVKQTSDATIDSRTLVQAADLSYKRTNELSLGDSSVGIDVDDFVTKCVSFMRRA DGGNNQGAGATGTQSQRRRRQGDADDEDDEENDEQLNWAHLGRLACFPHNARPCVSGF LLGPLSVQKKTRQQTQRRAREVRANPANATRPQELVEEDLEKQETANLTVICKEIERL LGRTQAKGWEACEKEQAEREDMTEDEALEMMLSHGISDDGCVPLFDFCVNPKSFGQTV ENLFYISFLIKEGSVGLNFDGRGLPTLGCADREPGEEQQAKGSSRNQAVFALDFEVWE EIIESHGIQKSLIPHREEEAYDDGVLEGTGWYG EPUS_08267 MDTYTFATSRQIDFPVHVKINNLDGTPTSIPPSILLQQPELRHL GSVQNPKSSDLYVTVQLWADSKPIGVHMQTSYKYFKNSRVWNEWLRLPVLIKDCPITS QLAITIWDVSPADSNVARDHSVPFGGTTVPLFDNEGTLQKGRQKCKVYRKRPADGLAS TTTPSTPPPKRRRKQKDAYQPTPEEQELERLEQLFKRHEMNEMPRVDWLDKLVFKAVY QKRQEAEEAARKQAASRRAVRRERKAERDQILAQTAPNGQKADLSDDEESESEGEDKE QFTLYIEFPRWDFPIVFEDYEYEPPKVIRQFQSIPTSTNPGPRSAPEVQLGPGIAGAN GFEEDEASRVIRIYDPEQFQRDNPCEIKHRRLIRSDRNGYMDRDLKPNAKLRDELNEI ISYGPTQDLNPEEKDVVWKFRHHLSKDKRALTKFVKATNWQDPNEIRQAIDLIPYWAE IDVDAALELLGPTFDNPVVRAYAVDRLRKADDEELLLYLLQLVQALKFEKYEAEEEGI PDSSLARFLIDRATRNFVLGNYLHWYLMVECDEKSPDVNMTHRKLFARVEYYFMLSLE RNGPEQRRTLLRQGELIAILDKIAKDIRFGRDNRIYKIERLKKYLADPKNDLIKYPII FKTGDDLRQDQLVIQIITLMDRLLQIENLDLKLTPYRILATAASAGAVQFIPSTSLSA ISAKHRGSILGYLRTHNPDTSAPLGIRKEVMDTYIKSCAGYCVITYILGVGDRHLENL LLSPTGHFFHIALGFILGRDPKPFAPLIKIAKEMVEGLGGAASPHYAQFQQYCFTAYM TLRKSSSLVLNLFSLMVRSSVPD EPUS_08268 MDSGLQQAPFNTLVQKAQSLIDPSVTVLSPTTERNPSKSVLFRH QFRLPDSQSPLHEISAELFIPAAHTISTEPSFESKENGTKYAGELHLSESFLCFSTQR TSFLPNSSFSASSSFTGQTHGTGPAGNGFTLPLCAIRRVERMKSQSHVFSLALTTWNG MLIPSSGKDTPTVPPQKLVIHLDGSQHACERFCDGLKKGLREGTKEVESLRTVVSHCY SEYLLERLLGPSRGNEKEDAHRREPPDAGLGMLFRYPGDARKLRDRSKMRLWGEYFRE NGRNATLVRQPTFHKLIRVGLPNRLRGEIWEISSGSLYIRMRSPNLYAETLSKHSGKD SLAIEEIEKDLNRSLPEYPGFQSEEGIGRLRRVLTAYSWINEEVGYCQAMNIVVAALL IYLSETQAFFVLSVLCDRLLPGYYSITMYGTLLDQKVFESLVEKTMPVLWDHLVKSDV QLSVVSLPWFLSLYINSMPLVFAFRVLDVFFLEGPKVLFQIGLAILRINGEELLDVSD DGSFISILKSYFSRLDESAHPKSENEKLRAITRFQELMVVAFKEFAAVTHASVAEQRA KHKDAVLENIESFAKRTSIRNLGPESKKLAVKDLGAVYDRFYEILYERQAKDKAADDE QKRKERQKLRPKFLSANPMVSQENDNRPGRVGMGPSPSIMDYTDFRNFLAKTAKWAVS DSPASPVRADQLNSTQRQRRKSASAWNDNAEPADHDFMHRLFRHWDESKQGGLSLQNV VNGLARLKGTGDIMATISYFFNLFDDQGNDRVDREGILSMSEALLFLSRRGFEGTLTP SDSSNAVDQLASATEPDAKRDTTKLSTSERFLGSVSAFIRRCFEYADPDHPANHKENT STLTQEVEQRVDRFAIGDEDEDEDEDDLLEFLETRANSKRPVPQTVVSPVPVSSDPLR DPTPSCSPPLTARPTVQASNSNNGSSHSETRAASHNLALDPENPLHITLPTFRMVILA DELLEQFFESFFPQSFHLSDAPNLNAASSLTTFTSLGTPVKNLMTGSSTDKEGFVHVG RAGGVVEPGSRGLRGVLDNIVNDGMRMAAEMRKRMDEAQRDHDRNNNNNNNNLAHRRE EEDEDDEFDEKAPARRDQDLLEGAEVASIKTMRSDTAATLQPDLMGGEMKLGGEQMAQ EPUS_08269 MSSYPNGQNYFGLPNEKPQSPRHQYSSHAPHPEYQEHLGRTSGS NAGAGGASLHEAHLQDNQGSAARGGGAYTAYGRTYNQGRYPQASDEASLPMRTSSIVN PLAPYQSQYQGVSSAINPSPYNPSQYPQSQSQSHYPLQVHGSPPTSYTSPTYQAYNPA AYQPHTTQPGPSYYLDQPASHESQTPGYISGQPPVPPPPPHHNEHQYNPYTTSLDNYR GGQYQEPTYNSNPASPLSAQTAPYSPPPPPPPPHDPYLTEYAHSEQPRPYVHGASYVS ERYNIPAGQQPQPEHSESQTHPSYQGRASSLASRISPNAVAQPPSPQSSWPSPSNQHP PPVLPHRAGTVGRHPQDRPLPGPPAQSTLGHDYFGDLNGIRGPYTQSQDGLGYDDLMR EVDDAIQQGSSGTRPTGRSARNFQPSSQNTINEQTEHGTPTSTSPRLAVSPDERPTHI NGGTASIGGQHTNYAGFSDESDAEAAAGLAAMQAAEEQEAAEEARRRSGSTSLFSRHD SPRGSRSQLLPQDVSSDSDYANVDMGLVGGGYGAPMSYGDEPQSHYAAAAAMPQVDPY QRSNPRMDSLRSSGRSSDGRSSQTSGYDSIPAQDAIHPFPPFRHMDTARVDTGGTGGL TEPSPHPRRLSFEDGDEATMVDSETGQTSGSLSPSKDSMPDLFFFPGMSPPRPLPPAP SASDPGRIPHLIPAGTFPSMQSSRYDANGRPFPTAPNSYVQSYLNPSQVPRSTSLASA RSAPRIEQPIRSKTDADRAKLLKQQLGSRPGSEIYETSGPASSVGLDLPAIPRRGFNP AKLTKEQYKRCEEPWALSSIVGWIRDLAEEETDLKEQALVQAIIALFLFAVPTMNMTD AESLGDRVVTEMLSAGALVKEEEWVKFGAGTVSGVLFQLTKKGCYSCKLHEPEMKGKC YSYHCMRTLRKVDLSLPMESEKTSDDWATFYQLSKEYLSARDKREIERQNVLHEIVTT EMSYIGSLDIVRILYRDSLIHSQPPVIQARRLTSFCREVFGQIDQVKKVNEDYLLAQL KYRQRDQGPWIIGFSDLFREWIRKAKSIYIEYAAGFPHADFLVRKEASRNILFKQFLD QAREDKRSNRLAWDTYLKSPITRLQRYGLLLSTVLKSTTKDTEEKLNLQYAIDEIKAV TFECDIKVQEMEKKMELLELKDKLRLRRNMEKEVLLNLDHLGRELIRRGDLLRAGGKG FQWVDTHALLFDHYLVLAKAVVGRDSAGGAKYEHYDVSKVPIPMDLIVLESSNDDPVT KGSVKSIGGISTIAGAPRAATDPRLARTTSTMSGGSAPATVVTTSGSSTKDSNSIHKS MVTTTVLESNTKDDKIMYPFRIKHLGKTEVYTLYAPSQANRTEWCEAIVSAKTRHAEA LLEQNAEPFRLRVLADTAFGYDSLAGIYRPTTIRGTPLDRAIKEVEKKYEGHSRPGPV CRATVNCATAFNHPPGRLMCAIGTDYGVYFSEYDNPRGWSRAIQMSRVTQVAVFEEFN LFLLISDKSLIAYHLDAVCPPSGTAPPPNDASARKAPQKLSGSKDVGFFATGRMKDRA LVFYKKRDGISSTFKVLEPILQKATSTRSRFLPTPARRGHTDFFREFDEFYIPAECYG INLFHSSLAVSTARGVEVLTLDKKHPWSVPNLQSEQPEAQPHLSSIASRIKDLKPLGM FRLSEAEFLVAFEECAVYVNKHGDVSRGVVMEFVGRATSACLYGQHLILFDNDFVEIR NAMNGRMKQVIAGKDIKMLDDGGGSNTIRSPGGGLGGGVNGLGLQGYGAGPRTVKISM QHPEQERSMVVVELIENEGTRE EPUS_08270 MLDAFEILTTSGIVLWSRSNIDLSSNVVNSLINDVFIEEKVRPA SSQGEETSSHRNPTYRHDKYTLKWTLVKDLGLIFVAVYQSLLHLTWVDRLLDNISTIF VNVYKDELIRPNAAVAKYVFDGYYDQQLRELESGTDGAILVEPPRNSINKKEQLQEGD TGGPPPPPVPDLLRVQPSVAPTNGNSVESTPVQSPESSRPTTPIAGHLLTGKGGLGGR GSRRSRKIASISSNPSSGDETRKGKQQRSTAKKMRKWDADGLADEDDVTNLDYSSSNV GVDAKENMKSVPIEAVAQGSWGSKTSKGQFILKDLDDEVSSILSNADAQKEKHTSSNA FVGASFGAISGYFRNIVGGKTLAKQDLEKPLKVMEDHLVQKNVAREAALRLCEGVERE MVGKKTGSFESIDKALRPALESSLRRILTPTSSLDMLQEIQSVTAPTVKTISPRPYVI SIVGVNGVGKSTNLAKICYFLLQNNYRILVAACDTFRSGAVEQLGHHVRNLKELSARE NVGEVDLYQKGYGKDAANVAKDAVAYAASHNFNVVLIDTAGRRHNDTRLMSSLEKFAN FAKPDKILMVGEALVGTDSVMQARNFNAAFGPGRNLDGFIISKCDTVGDMIGTLVSMV HATGIPVVFLGVGQHYGDLRNLSVPWAVNLLMS EPUS_08271 MHRSSFAPPPAQSPPLHHPIPQHVSTVPMMRSPPPPVAQQQHNT NSYGNPYQPQTGQGANGAFGPGFGGFINDPTAQMGFQVGKGVAEASQKYVERNLDRYV SIPALKHYFNVSNSYVLSKLLLVLFPWRHKPWSRQQGRLNTTTTLANGQAGQAQYTSL FLPPRDDLNSPDMYIPVMAFVTYILLSTILAGLRGSFKPELLGSITSTALAVVLFEIV VLKVAMYILNISNDSQLLDLVAYSGYKFVGIIVTLAVSEILSGGNGTTGWIGWTVFLY TFLANAFFLLRSLKYVLLPDSSNDPAIRAGASYTIARAQRNRRTQFLFVYSYLIQLFF MWILSREEAAASTVASKVTGSKALS EPUS_08272 MAIRKLWVRRGNASATQVAVSPDDLVDDVRDAVIRKYLNSIGRT FDAPDVSLRICPREQTNKAGNERTLAPDEPIVRLIDAYYPGGQKVEEALIIDVPQRRT PKPSPRSGPHLQSYYISEEIRPGDAGDYFPPMPALQSPHANAHGTLPTSHSANNHLHS MAVLTTGQLPPLPSPGGRSNRHRPKAGRQNTSPPTILHSAQISNNHLGRHEAGAQLSP ANHPQHADHNQPLNGTIPSPAPPLPTPPAQNSDSRNSTTPPARVASPPPIPRQRSGRR KGLTNSMDRNGAARHHSNMGHVPPTAPASAGLLDTSVPPINVLIVEDNVINLKLLEAF MKRLKVRWKTAMNGKEAVAVWRTGGFHLVLMDIQLPVMNGLEATKEIRRLEALNKIGV LSGSPPDGLRESCLNGDGVDGDLADEEMKSEDRLQDREAMFKSPVIIVALTASSLQSD RHEALAAGCNDFLTKPVNFVWMERKVTEWGCMQALIDFDGWRKWKGLAAQEEANQTSK KGESKADQKAALKAMFSQPPKTRKEREKEKEKELKERENAQVGGPVGGATAASTGGKP KSPTNVRRATGKTNIIEVNGNGYDGKNDSSTSGIAVMPEKK EPUS_08273 MPRAFFSTKLFKKSSHAPSHAFSANKQSALSSLQKLKESCKTRV HTLKASLHSRTTTPQLVGKDEAASEFDISQCLPMVDDIGADLGLTFSHHEVRQSSTPS SSPSEGSQVEHIPPESFQLLPFPANDSHIHVRSSITITYNLQDDSQEMLVPQCPFTDV KYGSTTASVTATPQSASLRPSTDETNEPGPSMGPAAVSKPHNIIIYPSRSSCGENNDG SVLLDPADQTLSSPESDNDTSISDILKKDVRAARQATYDVPRAAGAQQALLDLAGIYA DTGDSQADRKVVSISKNCENEFGDELASSNQEIELWMVESECLSLTKPDYVGRTGTSD NADHASPQTASKLHEHRKSPSGWVSRLQLKILQLQQENQELEAKIELFEENKEPTRQV NEREIGELSAENNRLSLRNSSLYYKFRRAQEALAEERRKGKTAKGSRDANSLLCI EPUS_08274 MVASVHLKHILNQRHIELNDVSHLVATPRLVQSLNNHVIGADTK RGELGMENKKLECQLGEAKVCLVHDHEAEGRRKAELEKVRADLAERKQCVDCWQRTAV AQLDKYAPGVIGQAREVTLTTLQAKVENAEAGMTELVNHNNSLDTHLGELEFELGVNE RRLTWDESLKIGFYKHHCSTVEEIEENKSKTAMIKVFEARFSKELAKNPI EPUS_01194 MEQTTKMLATSQEGPIQSSTESMSQTQTAPPPRSPHAKLQPGYH TIWHAYQQKVLVLILTRSNPPIVGKGLSDSRLQSEHGKEKRKIYPQTACASKTPSIQG SN EPUS_01195 MDGLSGAASGIAVVSLTFQIVESISKLREFFESIKTAPAVVATI TKDLSQLASILEDIKVDGSIKNDVLATCIDKVNDLNALTNELEPGFRSASRKTRSWTA FTAARKSSVISKFQATLGETKITLVLALQARNLLLSRRNASHMVSIERRIRDDLAKSQ QHMLELIDNHRDKLSGVVQQVADQLADLSVKHRSRLVSTTSLSSSLSEHAADLSEELA RMMTKIPNPVIRRRFEAAAKAALESHLVTIIDEEPVLQQAAWSPRRGAFSAPSEVAGH SSINNNTSNKWARKRSVSPTAKESSR EPUS_01196 MACLHNLHFALLAFFTFTNAIPFHSLRVTSETSNPSRLAKRTNP ILGKAIDVNDAARGGKLVARQGLPTTGAFSNAQQLLTYALFEQATAQSLAITSTREIA PNSRPFFFLKLAGNPANQHELIPEGATELGQITFQGIDTPDAGDDDPGCEKPGTNMYT DYYETGGPVVVVCEDAWVTPDRDDLTCNEIGDTLSDEMIILGHLVLHEYTHWDWLLTH ITGGEVVDIHGPDKAN EPUS_01197 MSSPDRQGLEQTFASPSPNASHSQFPFPRRESAQTLVSSDSKQL RKGSNTSSITSIGGVLDLSRSGQHSVAESGQNAISTLLQPPVVRTGLLPHSSVSTSGF KAPTPRDIPPVTLTNIPHVESKAFQPYLTQVGSLYDAFRKAKEEVESDSQLFHRDSKE SKDENLEKLLASSPVRPAHSRAGSAYSAASPLEQPQPRRKSTGQRRSHAVAPLSSIPP VYFDEDFHIENPRTFDVVSERSELVRDPNRSSDTTGSGRKALATNAILQEKLSWYMDT VEIHLISSISTASKSFFSALGSLRELHAEAADSVDKIRTLRKDLARLDKDMAMGGLKV VNLKQRRQNVHQLGQAVSQLRGIVESVIECEDMVENGHVDKALDQLDHVERLMAGRPT ARTENLRNPSSLYDLRRLRALDGAMDDLAQLRLRIGKAYEVRFLTSLLDDVRHHVTSV PADVTLRRWAAAFSRSRSRDRQPSTFPTYMNVDDGLRSELQTELRGLARAQHTMPAAA AFRASILREMKSVIRQNLPSSNDDDSESVTSASTHGGRQLSSQEKSSVLARNLRELDA EDAQVMLTKIYTGVSESLRRLSVQVKILLDITSGLSKPPEPGSRSLASSPNPQSRGDA FSPQSANKQVPLITLQEDIQQVLDMSSLLGEAVDIVQGQITKVIKVRSEQTSHLPLAA FLRFFTLNRLFADECEAISGRSGTSLKSTVDNQIKEFVSQFGNLQKRILIEVMDADKW DAKDFGEHQSTLLTRVLEGGTRDAPAWYQTSMIWLSEDEGVAHGANGVVPNGQIHSNP RDKIRSAVIDEQKFLLPESAAAILRAVESLEHLMTGIPSMGQEIASNLLDCLKLFNSR LSQLILGAGATRSAGLKNITTKHLALASQALSFIIAQIPYIREFFRRYMSSTASNLIA DFDKVKRLYQDHQNAIHEKLIEIMSSRASLHANAMKKIDWEEASRSDSAAISPYVETL TKETATLQKVLSKHLPESAVSSIMKPVYANYRDQWSLAYRDVPLKSTVAKERMLADAQ YFNNRISKLEGAGDLGSHIVEIVRSKTVTADSKQPEPVSSEKEDIPAKETTDRTTHVD GEQEKP EPUS_01198 MDTRSTYSLSVLAPLHDGTEEPKTEIQAKLREFILAFQIDGTFI YRDQIRENALVRQYYCDIDIAHLISYNEELANKLTTDPSVTIPLFEAALRQCTQRIVY PQKPNEDLPQHQLLLHSSVSHLNIRDLNATNVSQLVRIPGIVIGASTLSSKATVLSLQ CRSCAGTYQMIVEGGFSGITLPRVCNRQRPEHEASEKCPLDPYFVVHERCQFIDQQVI KLQEAPDQVPVGELPRHILISADRYLTNRVVPGSRCTVMGVFSIYQSKGAKAKDSAIA IRNPYLRAVGITTDVDHTAKGNSVFSEEEEQEFQEISKTKNLYEKFADCIAPSIYGNK DIKKAIACLLMGGSKKILPDGMKLRGDINVLLLGDPGTAKSQLLKFVEKVSPIAIYTS GKGSSAAGLTASVQRDTATREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAME QQTISIAKAGITTILNARTSVLAAANPIFGRYDDLKSPGENIDFQTTILSRFDMIFIV RDEHEKGRDERIAKHVMGIHMGRSGVEEQRESEIPVEKMKRYISYCKSRCAPRLSPEA AEKLSSHFVSIRRRVHESERAANLRSSIPITVRQLEAIIRISESLAKLTLSNVATEEH VDEAIRLFLASTMDAVTQGEGQGSRELMEEVGKVEEELRRRLPIGWSTSLATLRKEFV EGRGYSEEGLRRALVVLGRRETVQIRSGGSQVYRCGA EPUS_01199 MVSPHIRVIGSLNIDYTTTTPRFPGPGETLTAKSLSISAGGKGA NQAVACGRASYTSTTEHDVQIEMVGAVGAEDPQYAALLKPVLEKTGIDCSGIREVERS QTGTATIIVDASKDGENRILVVPGANYDGMNDVEDVLERALKEPLPEVFVLQGEIPAE TTFALLKAISDTAEKTGKLVEIVFNPAPVYPEGIPLDCMKCVTHLIVNETEMGQLAVD AGDQYVAANTEEEKRAALVMAAEVFHRRMVRNVIVTRGAEGVFGSTPAGPFHLEAAKV TKVTDTTAAGDTFVGYYAVVLARQKKEGGKGASQDALNEACRQATLAAAKCVVREGAI ESIPWGYEMEERSKT EPUS_01200 MASVQTPVRQPFGVLDSSKLRNLQSIKNCQNAPSSAPLLKRRHV ALDLSDSENVDPIDFKAAGSKRMKTDTSDDLCKPSAFTLKTFPKPKSRISSSSILTPR PETPTINTAIKPTITSSAPAAAGRSPIKSKRSGILSNRRTRLNAPVLGGRSRAPLSLA AALNGTIANKKHKKHVDTATLEDSKPKSWFFDIYEETEAQQDFVVNEWTMTQSACSLD ISDDESKAVTQDDRGKENVPPNEVHSLAITPSGNAPSTQAATSRKDMMTDEPRTPLGD LNPSDYYADGHDATSIVLVAEDEPEPEKPTASDAAQDLTPEAPSTDFNFSAGLPATEG EQDKLMTKAELSSLLLGAASSLPSEQDDVEAGLFDRCHGGADAETVEPADIEIWESGS AKDESGDMEARDSIFAVL EPUS_01201 MPSRWRMLRPQHSPNETKHEKGGANLMNLPSEILQIIIEYLSPV DRASLTLCNHDLPWALGNKYWYSLRSGKEDEDYRESFLTTLARDLPGHFFCHHCSRLH LWHNVGPPGPALQPKNQLPCVGHQLELWRCVRAHRSLSRYRFVFPHLQLAMRRHYYGP GYGIPTESLSFTEVQVPIDEDKPEQVTTLLSVEARICLEPTSLSLRIQQWALIGSTKR DSMLLKTKFVMICDHVTTRSSEVSRLIESKLKRHHTESVCRPDSEVLKCRYCNIDFQM EIKEVGNEGTALVITKWLDLGAGLTPTDTRWRVHLARDRDAEIGKSRKAGEIRLRFES EPGLSQDSLSCQNASYLTAKRYMAAMDYWNKGNWILQADAKGVDCQRNFQFPSAFAPL LVPLHQICFLSSRHSFKTTISPRYTHHLGAAPQSPAEESLNAIRPSLFRRLSIRPTLL LHRSRSRSKSKTRLSAKASPSPSPAGSPHPADEDVTGSPNVSAPPESFGDMIPSSLTV TPPAPPSKRQRSRDGRPFLGRASSTHREVDDGPPPSPGLRIPAFLNHSKADISKLFQE LEWRQRFRLQHAMNNPTSSPFRIERSPMVFSRNRYNNVQPWDSSRIKLEVPIAGSDYV NASPIRLKSRSPSSSPNARSSVSVHHQSASTNVDPPEYKYIATQGPKEGQFSHFWNMV MQETVGEIGVIVMLTQCWEGNKEKCGQYFPTSMDIPTFDLGSSEGDDHPSNPIGDPFL DSDPLSADADSNPAEDPGSDRNTPEPKVQRSLEASVTLLEFHHDTISRSEVRKLKLQI GAETKTIWHYLFNGWPDYAKPEGEDRRALLELIKQSALQAGDPSLNPRFVHCSAGVGR TGTFIALDFLLRELAQGNLEINPSTSSRPSSSGQRTQTSQEESDTASVDGNSFAMMMG KESTPEAKEDLIFETVNTLREQRMMMVMNDVQYSFLYEVLREAYVEMYSPPRRKVVGS GLTTDADGNWDGGGGGSGATDADMGEPSPKMPRIGNMLFVGPSEAAEAERKEVEHKAG HANIGAVKANSRVGQGGQAEAEADPFVAVDPAVVRLEQEAKKD EPUS_01202 MSQRQQLSTGPQTSNIPQSTLYLQSSSMDTTEQLTDVEADYLFF CAYDAKGWEQENVDVNVPMFSNLLEVLGNTGAEKKTQRRYPYSRIKQVGVQWQRPQNS MDKSDPGPVIGP EPUS_01203 MRIRFPFAAAFLVLLFLASAGGLLPHSALPNTPTSPVPQTDKFL HLLTFFALTATFYFILDTTRRRVLHITLFVCTFALGVGSEVIQGLLPNDRDFDPLDVL ANVVGSLAALGLASAYHRRSLERRRKAKYSALTGEGPGGEQDLELGEAGIGSGPSNRN RDEGQQTGVVPIASRTVEEELDNWDENAEDDAWDGDDDATGTSTAGTKITPASSSAGD DDAPKKVAVD EPUS_01204 MSLGHHATWLPPNHLRPPDDDHHPPAHFLHSQFKGPSRPSTPRM RLRSLGDGSQSGTAAEGDTSVDDDPQTAKFRELYNACEDKIAHLFSEEYQAALAEKQA EQDLEAQNTQVATQGQTQASATVSKKRKLDDDDYDDFDDDDEDDSTEINASPLKGKSH KVQIVADATQSPMPRPVVQSRLSSDILRTTVKTVPPKSQKEEAEAARRKLEEAKRAEV ESVQRASRMMFFTLENDRDAMLDQQRLDEAERRAEAEADGSSRTHPADQQGSLASANL GASNLTLKNLIARIDQHRSKVHATESELRALMTEVRKNRSKWASTERVGQEELYEAAE KVLNELKAMTEHSGPFLNKVAKREAPDYHIKVPNPMDLGTMTKKLKQLAYGSKADFIK DLALIWQNCLDYNERADHPVRKHALFMRKETDKLVPLIPDITIRDREEVEAEERRQQL ANGEIDDGAEESDDEPIMSSRGRKAPGKSAKKGAPAPRKTAPTVDSAPTADTKPPLQA FGSYASGSIAADSENNEGRLTPPPPGSLTPSGPHGLSSGIPGSTADPLELEGLIGGSL PPPAVIEQEDEEYRLWKQKTKKDRALMAAERHRLFRGDRLNADENALLRSKSRMRRWL KIQKQAIADHTPNAEKANTEEPKQSTNGQTLAEGMEGEDDSMLPDYYDSLAGVPDLDR HLAWEEDSEGQVIDQTEAFLRLYPKNRFVSSGSKLAQKLQSNMRQLQETRKVCTKIGV VKQMQLQSQMYQNQFQKYQPEPFVERDIEDHVMSDSGPLVAPYVAKAALQRSVGELFY HAGFEEFQPSALDAVTDMAAEYFQRLATTLVNYQEAPKIAVTTTVANSAGQPETTVTW KPACTPEESILHTLHESGLSLSELETYCRDDVDRLTSKLTTMHDRMRKHLADLLRPAL NDGSADGSSNFNDGSEQFVGGDFAEDIDEDFFGFKELGLDRELGLASLSVPLHLLQNR LSTVGRLADPNATTTTEHLFPPPPPYPRVMLSPIQSQPTLIREFFLRKLHANNDEPLA EDLELPPKQRPNHGRPRLPATGKIGEGKTANPSPAKKKDGVGKGMSKGLGSVKKKSGP ATELNGINTSAASAGVGAGMEGESPKVNGVLNDKKSLSSASMGKTGVGKLKLNVPSAT GLESPNKKDGSSAGGVNGLTHRGGSAALTDGDPIMMSPDSL EPUS_01205 MSVLPAEVHTALAQILQALQSPDNNVRTLAEEQLHTDWTAGRPD ILLMGLVEQIQGAQDAATRSFAAVLFRRIASKNRKSPASSEMTELFLSLGQDQRAAIR QKLLACFPDEQLPHVRNKIGDAIAELARQYTDNNESWPELLGALFQASQSNDPTMREG AFKIFSTTPGIIEKQHESTVQEAFSKGFKDESVEVKLAALEAYASFFHSITKKAQTKY FNLLPDILNILPPLKDSGDSEHLQAAFVALTELAEAAPRMFKPLFNNVVKFSIGIIQD KELGDQTRQQALELMGTFADCAPAMCKKDPSYTQDMVTQCLSLMTDVGIDDDDASEWN SSEDLDLEESDLNHVAGEQCMDRLANKLGGAAVLPATFTWLPRMMVSSSWRDRHAALM AISAISEGCRDLMIAELDKVLELVVPALRDPHPRVRYAGCNALGQMSTDFANTVQEKY HQVILTNIIPVLDAPEPRVQSHAAAALVNFCEEAEKSILEPYLDELLTHLLRLLQSPK RFVQEQALSTIATIADSAETAFGKYYDTLMPLLFNVLRQEQSKEYRLLRAKAMECATL IALAVGKEKMGAQALELVQILGNIQESVVDADDPQANYLLHCWGRMCRVLGMDFVPYL RGVMPPLLEQASAKADIQLLEDQDQVQAIEDEGWELVPLKGKVIGIKTSSLEEKNTAI ELITIYAQILEASFESYVLEIAEKIAFTGLAFFFHDPVRVSSAKLIPQLLNSYKKAHG EQHHQFQHLWSQSAKKVIEILSAEPAIDTLAEMYQCFYECVEVVGKNCLSDEHMHAFI QAAKSTLVDYQERVKGRIEDKQDAANAGAEDEDDSESVQYAIEDDESLLSDMNKAFHT IFKNMGVAFIPAWQQLMPFYDSFAASHDPSQRQWGICIFDDVLEFCGPQSWEFKDHII QPMVNGMQDQNSANRQAAIYGVGIAAQKGGEAWADFSAVSLDFLFRATKVPNARSEEE VFATENACAAIAKILHYNNSKVENPQQVVESWIDTLPILNDEETAPYGYSFLAQLIDQ SNPAVIQKAAQVFQHIVQALEAEMIQGQIATKVTSSAKQLVAQTGINAEQILQTLTPE GQVTVRTYFQ EPUS_01206 MSRIQIRSFALLSGPYICPSCRQAAGDFSRQKDLRPLHAAPALL LPRRRDFFSSNSVLRQQVQNPDGSKDKQLTQSDNGEVAQIPKRKGARASAAKTSLRRV AVEAQRSKDSSLSKSQSVGQEAQTARSVTAYAVAEQFNLAKVDEILRAQGYEPDPFNT GLYPQFTHIQVPLSSIHRSAKTSTKALSSKEIGDVFIFPSGTLVSWSLPEAFTAYFAT RTLLPAAEGPHVNEVEFEDLDYVEDPSREHSSIKGDTIILGTKQDSATEGDSRNINSV LTKVAFSSGFARSTKLAVLETMLLDYFNSTRDIPTFLSRGSRLPYNRSFILRKTGQLL SLRAQLNLYSELTDSLPDLFWDSRHELGLEGYYDQVGRALDVGIRIKVLNEKMDYAQE IASVLRERLSEKHGLVLEWTIITLIAVEVGFEILRLWKEGWLQEREQERAEECSKFEA GGRYLICFNCTSYMYLPCSNRQGHSM EPUS_01207 MAEGEGSTEPAISDTTPMRNGGIQDGPPASASVQDEDGEEDREE DDEEEEPRLKYATVSKRLSSVYRNGDAVSAFLVGGDKMIVGTHNGNIHTLSLPSFQSL RVYRAHSASVSAVSISPFPPPLPLPRTDNVNKLASEIRPHSTRTFSGASGKQQSPKPF AIAATPSNSIYIGTASIDGNICISSLVDAKDVQLRNFGRPVQAVALSPEYKYDRNYLS GGQAGSLILTTGGQAGKSANASLGGAAAAAQGWLGAVGLGSHSGTDKVLHSGEGVISI IKWSLSGKYVLWVNEHGMKLMRSNLHLNSAEAGSEWKRLSHIDRPSRQGWDDMAGVWK ARAEWINRGNLETDDEPRTGTLQSNGKPLTSSKPDFEEVVVGWGDTVWLIRVHAGSIG TGTEAGERKIGRAEVTNILRVDCTIAGISLYTQNLLVVLAHTESAEDQPAKASSLSST KKGRHQRHNALEPELRLIDINTKEEVSADTLTVSRYESLSASDYHLGVLPPMRLSLTI NQRGAFGVISSGLETIGQGVWDVSMYPGRLFTSGGSMLSGRNSDEKGSSTKVSDVASG QVASPGHQPNQVNEVATSSGMKIFIISPYDCIVALKRDLADRLRWLHDMGRYQEAWEL LDLHPEAVDSNTEPSEVSSPTTPSRSGSFMAGPGHRTNSLADFFTDTNSVSSPSKNDN INSAAEKEKRKMGELWLQKLIANKNWAAAAEVASKVLNTSSRWEHWIWFFVRNDKFDE ISPNVPTFEITPPLPSLLYERILGHYVYSDRARFKELLDLWPPTLFDASSITTVVEDQ LRDAFATPKESRDWRILQECLAKLYLASGRPSDALRCYIRLQDADTALTLIKEHHLLS DVSDDIPGLILLRVSHSQLQHASVSELQDLTSEPIALLVDEAHHGTVQPDQVVHQLDK PSLQLFLYLYLRALWLGQGATTSTDHQSTPKVGHSALSTNLIADEGKLLIEQFADTAV TLFANYDRILLMDFLQTSTAYTFDAAVRVCESKHYISELVYLLAKTGQMKKALFLIID ELKDVVQAISFAKQQDDPDLWDDLLDYSMSRPRFIEGLLTEVGTAVDPIKLVKRIPSG LEVEGLRDGLKKMVREYDLQDSISVGVARVLQGEVAVGMEKLRKGRRRGIKFDVEAGG RKTRSRDLAVKGEDDVAVRSGDGVSSKPGHCAGCDAVFGEYESSPLIGFACGHVYHAP HLLHPPPRHHRLSKSTSSPKSARRPSSPPTPPLDTNEDFDMDLLSAPFTRSIGAKVTN ARLLKMQIDEVGGCWVCKDKKEGHG EPUS_01208 MTISLIAIGFILLSLSKSIFQGFAEATSPPVLLNSRNDVSALGD HDLDEGHEGDAAVKMNRAAGVFPRNVVHTTTETVTVTVCETTPPATVVPPVPGTTPVE STPPGTGVPPPASSSPPPAENPPVSSANPPATPETSAPVPPPATPASSVATPPASEAP PASETTGNPPPPASSGSTTITVPAESTSIPGTSGGSSIPPSSAPSTRATTSTAPPASS SAPPPAPNAGGQINYDKTAIMAGMIFAAIAVI EPUS_01209 MNNQGQAPAGQKEDYGDKAAAFINKNTGGHVKDKKMMEKITDGL RSAYEKATGKKVNPKYSN EPUS_01210 MQYATRHRRLSSTAQSNFAIPKSTIEPDEIFKAKSMDVVFILTS DEYHTLYTIAAIQAGKHFMLEKVMTLSLPSAKKTIEAKKAANGLEVFVAYMRSGPGTS LNRILTLSANQAPFRRISQTICQNPTIPDFATGETVGYIPPDEAAVDAAFQRSAAEVV KYPGVQAGYELTDPVPENSPLPFGQLIEKYDLGALSETTLANFATKAANELGSDALLS TTIMTMDRSTTPARVLVQTLLGRKLILAKKIISTVPHNLNNLPGFDLSSHEEFHFAQF DNSAFYTGVLQDTGLRTNTSIHAFGSNKPYGIPGLPGIYSLNPNAAIDLF EPUS_01211 MASTAKGMSKRDRLRTLLGITPRPTVVPNSAAVTQVQTPSTIPS IQSSPTKDPKSSKQVSHNRALERAISRELESLPAVEKEAFRKASKDLTDENILLKVKE WDDAHKAESCFRPKAETLSRFLGLLDRFMAGVTIGIQANPDISAIVVGGVRVVIDQAV KFVGFFDKLSDMLCRFSDFLETLHEYDNSSARNTTIVETLANVYGDLLQFCKHAHAVF TYQGVRRRMTSWRTFWHIQWLPFEEEFSKIESRMQHHIQVLSNAAQAVTLNATLDLND QERERREKDRVAERESFLNWISSIPFETVHENIHAKKHPQTGEWLVQTRRFQDWFHSP ASTLLWCHGNPGAGKSVLASNVLEHITSHHSPHREVGICFSYYSYQSSEMADLSQIIS ALVKQLCRKRDNVPTTFLRAKQDSLPPSSLGNQSSFLTVAQDFTEVFLLIDGLDECPE DKRSHVLGFLKFVLENLPRQKIFVTSRRESDIVRAFDQLGAPKIEIEAKSVVADITKY VTDEIKRLRQGYDGKKLYVKSKTLEQKIIDTLTTKADGMFLWTSLQIANLCRVSESQK DSELEKELNTLPLGLNATYIRALEQIEKQPSNLKELAYKCFMLVMHATREFSIRELQH ALSTDTEKLHNDTQEMEPYPVDTILGACANLLVKRDCPVSVYYNTIRPVHFSVQEFIQ NPPESVLQRFSLRKLSDVPYINAQLASTCLSYLQSCILEGPCSNARQLEERTVSIPFA WYASCAFDYHITKCGDLPDELHRRVLAFLGQEQWFLSAVLQLRTVGSVPGYSHIPTAY IDFTQPYCTADGNTLVCETELYNLPSLRYQLGDLRPAPFALHRACFAGLGGVVSRILS DGQKVNEADAVGICPIYYAASRGHTHILTMLLDNGADVNVQGGRYGTALQVASCNGYE HIVQQLLNHRVNSNMHGRDHGDVHPEISLHDGLNGLGASFSDKVDVNANCGCCGTALQ AAAAGGHLNIVRLLLKYNAHVNLEGGHHSFALHAASYGGYQDVVELLLNRGANINAEG GHYGFALHAASCGGYKDIVKLLLDRGANINAEGGHYGFALDAASCGGHQDVVELLLDR GANINAEGGHHGFALHAASYEGYQDVVKLLLDRGANINAEGGHYGFALRAALYGGYRD VVELLLDRGANIHAEGGHYGFALHAASYGGHKDIVELLLDRGADIDGQNDSGQTALHM AVIGLWEDGGEASVELVLLKGANVDARDNYGETPLHKASTRGEPEVVDLLLSKGADIH ARSNDGRTALQMASNEVSDADAAWRRDGCAAIVKLLLSKGAVYDENASGKNDVTAAEE ADGGDTADGLDEDEAWTDASDEADGEEEFEANKANEAGLSTTIIAA EPUS_01212 MAGMTRTASILLVLAALLSAVIPINASPKVVGFPFHKEVRRDVP HLHRRQESVEVVIGNAQILYYVNVTIGTPPQPFSLQLDTGSSDIWVPSTESDICTQSR RACQVGAFDSSASRTFVDLLRNAFQIQYVDGSQIQGDYFADTLSFGNSITLQNMTMGL ATEATRGLGIMGIGYAAGESLAMENPEAIYPNVIDELVLQGEISSRAYSLYLNDLDAE NGNILFGGVDTNKYSGDLIALPVQNDSNSGSLTSFTVAFTSLSVVDGSGNNQLTRDSI AVPAILDSGTTNTYFPDDLANAILEGVGVTTDETFGNVVACEVGNEEATFVFAFGGQG GPTINVPLSQFVTPLFTSNGNVPTFDDGSEACSFGIYGAGNDPVLFGDTFLRSAYVVY DLENNQIALAQARFDVQDSNIQEFTAGGAIPGVETVASQVTVTQTFSGPLQTQQATET ATASIVGGTQRSATFRLGTVTASVGTESSPAGMASGLGVPSMERTAFLAGAMALLSFV LGGGVVMCL EPUS_01213 MIPANSKPAGMEIIAEAPEVTSFVPLIEHQSTTPASFYSGPPVL HYHSQRCKLIVLESDMSKSAAVQGLAQASEKLNTLNGESANGDDVEAQSLVEEISVQR VISDIDVWVTSEKLLLYSPSSEVGISIPYPIISLHAIQSVPAPSAGEHQGLYMQLLSQ SPNAEGGVEDEEEDSISLTIIPQNDAPPPPTTTDPDSTSGDHTPQPPSLAMFTALSNC SNLHPDPVNNEQELGGLEESALFQAGMIAPGNASGDLPPPMPGSGGWITAENMGEYFD EEGNLIGEDTAGEDDTLGVGAGSVRPREDDEDEAAHENGTTDETKWRRTG EPUS_01214 MATPTSSFANLSPSLLANPELCTIDTCPLELASIEYIPTLPGNA LYVSLFALCLLVQLYFGIRYRTWGFLAGMIGGLVLEILGYVARIQIHFNPFREDPFLM YIVCLTIGPAFLAASIYLTLSRIITVYSPTLSRFQPRTYTIVFIIFDFFALLLQAAGG GIASAAEDDSLGQIGINIMIAGVSWQVFSLGLFAVVCLEFAWRVRKAREEDLNQQVEF VDLRRTTRFKVFLWVLAGATLAIFVRCVFRCAELSEGFDGRLANDEVTLMVLEGAMIV IAVMLLTALHPGPAFGGMWANATWSLRGAKKRRVVVEKHSA EPUS_01215 MGFFTQCTAPNRTTVLCFDVPDSFRHRLRESLRLSSGKGHDYNR YTLHAFLTSEVINLYDISVWTIRDVVRDVERNRDRNKQLEPDFPALHDLARHAIHSSE TLGIAVETVSEMIRHHKWPLSDKATSTPLELSRFQQTRQLFQFQLQTLKSLKARSDSN LSRLQNEITLAFNMVTQKDSQATVRIGKAARRDSAAMKTVAVLTLTFLPATFVSAIFS TQFFNFTPESPSGQDSWVVSSHFWIYWAFSAPLTVVTIMLWLVWQCWYTARGVGYEMS ERSGQQQRLR EPUS_01216 MSAASKRPRRPRSRNDFEIAVICAIRIECDAVEALFDEFWEDYD SYGKALGDPNAYSTGRIGSHNVVLAFMPGMGKGTSASVAASFRSSFPNIKLGLVVGIC GAVPTGWDDETEILLGDVIISTGLVQYDFGRLYPNKVIRKDTLQDNLAYLREICSKES FEKSKYPGPEEDKLYPSTYRHKHHDPTTCPTCLQCLSKDDEVCDVALESACVDLKGDE KQLLHRDRLQKARKSAMQSAEDGAVIEPPTGVQTLLIHFGLIASGNLVIKSGYHRDDI AAREKVIAFEMEGAGVWDNFPTIVIKGVCDYADSHKNKKWQRYAAATAAACMKAILKG WRTSDKPADRDTYRQGDTLLPVTMLHEQLPAGNSLNTGSREISFGSQAAAQIKHVGHY SHSSLRPVANYIQRPALHKKIQEQLHDRLEHRPPTAKVLVVRGLGGAGKSQLVLHYVQ ECRPDYRAVFWIESGRKQTIERDYLQLYRQLFRGGAGTGQDMVKLEDAVLAVKNYFRS QTGRYLVVLDSADSIDNEQDESYVDLTFFIPDAPNVDVIITTRSARAEDMSPLEVVHV AEMKHEEARKLFIASAKLKNVTEEVEAQVDLITNELGCLARAITLAGSHVAATPRLSS DLRRYLPEYQTKRKRLLGRKPIQYIHHYRDSVLSTWETSFEAVAAVSTVASQLLTFMA FLNFDDIFLGLFGLNNDDFEQPAELGSSDSQSGDAFDNLIHRLSKVDNETGAAPDELA EEFSSVESLRNDEPDESADDLSTMGSQTDDDLDKLGHELNTSNSPDRDYPANSVQDSS TGDGQSSDNLNQAIQRLSIDDTQWQSLISLNDPLDLDTIESAFEVLRTHSFIQWEDDR NSYSMHKLVHAWAHDRLDVQEQYRLSLGALHLLAEVVSTVAMGPTSKMRLRSHLLATF NTFRSLYITLDPPDVEGLKLLQGITRFLDQAGWWSDVVDIQRFCSYHFKHILGKWHCN TITNTVELGVALGKQGRFEESEKILRKTLQTCRIAWGKQHPGTMATMNNLAIVLLDQG KLEEARKMYHRTLRTRKMILGKQHPDTLISMEEVATTLMVQGKLKEAEEMFREILAWR GKVLGMWHYNTLQGVENLAATLGRQGRHKEAEEIFRMILERREQILGKWHPDTLHAAA HLAFSQGKQNRDEEAEELFQQTILRREMVLGKEHPDTLINTGSLAATIADQGRYKEAE ELQRQTALSMEMVLGKEHSDTLRTTSSLAATIANQGRYEEAEGMFRQTALSMEMVLGK EHSDTLRTTSSLAATIANQGRYEEAEGMFRQTALSMEMVLGKEHSDTLRTTSSLAATI ANQGRYEEAEGMFRQTALSMEMVLGREHSDTQWCVRLLIRCLKDQGKNEEAAKYEGEK AVEYEDEDFEEKEKEEDENEEDENEEDENEEDENEEDENEEDENEEDENEEDENEEDE NEEDENEEDENEEDENEEDENEEDENEEDENEEDENEEDENEEDENEEDENEEDENEE DENEEDENEEDENEEDENEEDENEEDENEEDENEEDENEEDENEEDENEEDENEEDEN EEDENEEDENEEDEDQDKEDDPGRGEKT EPUS_01217 MSDPGRLDTGKEARSSASAASGQPRTHPQRNLTARRPEPPSASP GTPPFARQAAAPSEASQVSGLTNIASQQSRRIAGTAGLVKQQHSISTNPASSQSCHTT GTPRSGSRRYNDSTGVIQPQNVAHATSEVTPTLRGGEHHQRPGLLAVSESRGLRSNEV GRQSPASRRRRQDWTKSAAGLPSVAKTGEQFRPDPRQTTRKVPGANQSDRARAHNSKI GIGIETEFLLAARQLKHKANTIEEFGKIAAINHNDCVASQHPRMGKNVLSYPPERAVF DQWVLSEDPTISRGYEPWGVEMVSPIFVAYCGSPWRTHVESTWNYLQQYYKITEDDSC ATHIHVSVEGGYSFEEIKRVARSAIHFEAALDALVPSVRRGGNCEWAKSSWLDSEHLA LKDRSRERSMIFLDTVNDFFSFLSVMNPDGERGYTWNFHSIEKFYTIEFRKPPASITA NQVLSWAELAMSFVQASIRYGSLEKLRRIPSTTGGLCWFLEQCNVPGLNEHHRLHGFW EGRDARAFEQPTPMRLYLLPEEEKVLDKRRKLDQGQTLMNAMKRAPYWQKDE EPUS_01218 MPPAFSSGSSSQLCRIIPTHLYCPACSTWRSRLARSGIALHRAK NRFASTLASATAVNATKSIPPKNRDLHQALNELRKKAPGQVSLSRLQLAIQGLESEHP TARIALLGANVPVTARRLIRLFLADASKPQHDWEARILGEDSLQNQDLIVRFGTPQNE GLQPASSILPVLFVPAPVLQHQGIEILISSVKVRDASTGLNGDTLSDVLLSPIIGTPN SAAGRQSLISQPVHRAAIVANGLDELVSVAELLAKTKFQSGSERRLVDIVLNLEGNVQ SLTSGTITVDAAKAEEGLQVVRTDLAKASEFEKVWTESGMPALSRWLASSSAESSVGL SPLLRDLIASLLEAINANIAIQAQEARIVARSKAMTTETRLSLENAINTFSQLGHAEL QSGLAAAWSSRNWRKLAFWKLFWRVDDVPLIVTDLVTTAWLPRTERAVYELTGRLKQA GVSMPSDSSTMFSPPQPRAIPMQADVKEKASSPVNESLILASTASTESVSTPLLPPSR TVIKTGSVPYRAQSLASTISTSRQAFIFTAITSLSSSAQQIVLKALTITGVSASLSAL SFLSVTNGSIYESATVLALGTAYALRRMQREWEVQCKDLEHGLMEKGRSVLKQTEEHM RRLVNDASQVVEDEAEVRARREAIEAVEKAKLALAKHT EPUS_01219 MADEKPIYSVGVDCARSRSSFSIVSSDGIAILLLVIMESHVKQT RFALQPGLRQGQVFEIFDGDFGEVLQNGFPRDQVFDSLHGDPNSLVRHEPKQGEVFEM LDGSISDLIQRESQHDHTFDISHGDINRTIGYADHVPWEASGLVYRLCEPSFLASQAP KNPNDQEWRDIKPVFERLYSTENRTLKDVRIILERDRGFVASERMYKARISAWKLHKN LKKAEKAVWVQKIRHTGAGGQLIYNGRPVEHRLRRFCKENRVPAGPLREITRHYRDRR RRAPIAVSSRIESATFDLHSVFRSPSQPAPPIALYGDIRFAEEIVRNVDIYMNFYFTS GLGTWYYKVDPTVPARNELTLQPQVLIKNEEAWRDIVRPETVIRQLDNALYAFRNGFI ETAFQESHKALDLVKTILEQQTPTLISYFFAFFTSYRANNTSQFEQNVSQFLVDMASN VLGKTHPLMEVINHLYMLSGLVEKCSVWRAATDVLGHSFRCLKDDEPVRITQWWYGSG IRAAGLITEAQVYLDVICGPNGTIQEQKPRYMGRKACFLAIQHKYLEAEIQLRECLEL LEEDEFDILAEGSDSDSLGWCGEIHNGLFHLAETLEHTDRIDEAMAMLWRVIEFGFVV FGPEGVETRIFGSTFDKFLTWHGAMEERAVLRAQCPWLLSRKEIPKEFR EPUS_01220 MVLPWCLSWPHQSYWGEFSLKGIKIEVKVPKKDTFPGSPAAIPP YFWRSGLRNRFGKLVGRKPRQAPLPIHAGYSEARSLIGNGTVADLKTLSIQARDFIAE LFRDIEDVSETSSQGEVKSLSALESGPRLVQLRLSSDLERWKEAQHAVDNTLPPKGAG LARASDKVLRLFGAAGWPEALKTNNALFGCGIASLLMGAADTPTMFSNYVTDMAFYYE HGYNYVFPSLEPLLQKGLADPQALRTLGGRERRNGVLVGKQYIQDKIALEQQHKKHLT NRSARLNRLTAQIISLSESSLLGMAAEAMARGFDPGAVMSDLVFSSPCTDVVDVGCDL VNSEVMNSFLNVTDITDTGIVSEDVLRRVYDAYAATGARMLTQRWHEPVARMCASLYT WHINNDRHMFFRRAVLGWPKARKMPARPQCESDFDEVFDKQYCTTSVCRPLDPKYACN GEDPCDHVRHFLDCSQEEPLLWELWWFLVTGPLEYVRGGQVDERREHDLVEGSRLRIA ELYSRGLVLEMAWLTAHANHHAWQVNYLFEAAMFGSILDGGTLAGKLDRQEES EPUS_01221 MTAVHRDHTVCGICDVNFFICHRFRDRDRKVQNTNPGNKYCGMA YDAADISQDEIKNCNQVQVLVKKPADQVKPMTDGYPLSKARQEDEEHFLTDVFPIGRR HWGFYCLVRLPAGSDYGIRKIKMCSHKEGTCVDEFDPEDPTHVVGVPFHRNCLEIFRN VSLYKNDRIVWAGLYRLGIQKNHAGFKKIGRCAATETNHRYNETTRENMWLHLRGTEW VVADPYLAQYAIQSACYDPSATGQDARDQVFSGTYRVAVGSIGQGSWSYWGPRVATWR HDLNLQARPRSIAAKRSVDVVVKRTKTEPSALPRSSNNHKVLAGHSNAPPSNTNPAPA LAAAPPSSSPRNRDFFSTVPPETLLHIIQYLPALDLANLRLMARKYAEIPMTHFRYRI AHDMSWAFEVFQDGNNINGALIPSPLPGKKIDYKEMYRLVRRTAGTGFGAIRGMRNRK RIWEACVRILEVIREEEK EPUS_01222 MKFAKELEEQLVPEWRVKYLDYKKGKKKIKAVARALRSVQQTPK LAGRWRNAADSSSFASRLPYTHYDFPGSRTDIHTRDFTGTIIQDAAPSAHTPRPRPAR RESTTILMHTPPLPVSETQPLRSRQNGPIEPGQASRNYGSFVTSPSRLVQSPGQDLIP LKLPDPAIGPGVVRAQDQPTPNDAQSKKQVRMKTPPSDSDAYHVGATQTPQRKALSLP RHLFHSHRTASSPQANTVSTSPFLRRMLSGTGIGTPPSLDVPLEAYQELDNKQEEFFE FLDKELDKIENFYSLKEEEASRRLQVLRAQLHEMRDRRMEQVLAAQRKGELDNKALGN PDSLPNGHGQEQGPGIFKPFGGIVSRPTKIGKTTEAMTQLGTPSAREEADHEARRDYV TRVDPNQRVPYRSAKRKLKLALQEFYRGLELLKSYALVNRTAFRKINKKYDKAVNARP TGRYMMEKVNRAHFVKSDAVENIIVAVEDLYARYFERGNRKVAVGKLRSKMSKSGDYS QTSFRNGVWLAASVPLASQGLIYAFQHLNHHDNKAVRLQTSYLLQLYGGYFLGVLLFL LFAMDCRIWTRSKINYIFVFEYDSRHVLDWRELAELPTLFLFLEGLIVWLNFRQSGEN AMYIYWPVILIGLTLITMCIPLRILYHRSRKWWGYSNWRLLLAGLYPVEFRDFFLGDM YCSLTYSMGNIALFFCLYAQKWTDPPRCNSTHSRLLGFFATLPAIWRAFQCIRRYYDS RNWFPHLANCGKYTFSILYYMSLSLYRIDRTDPLRALFIFFATINALYCSVWDVAMDW SLGNPYSIHPFLRDTLGYRRVWVYYVAIFLDPILRFTWIFYAIFGHEYQHSTLVSFLI ALSEVARRGIWASFRVENEHCSNVGRFRASRDVPLPYSIECSPRRSGEAGGPVEGQSA TGADLEQATSTSSSSLRFRKTRPSSASTPVSRSIHRVGTIINQAHKQDFERKNRSGIV GENSENAPANKQDSSDDDDDENDNPRDEDVNAEDMLQAREIIDRAQG EPUS_01223 MNIRLRRHRDTRSAPATSTSIPISTNLDFPGAQPRRTTLRERLW SPTTAGAAVPQPTYIEATLDATHTTSRKDENRSRSRSRSRSRSCITKKNRRRHMRPHT RRNRSTTSKQPYVYTATPFTNHLPSFQQQAQHTQPPHGIPDRCKTFLKALRRKLHLLK FSFLMQFDRFRIWLSQKSKENFSKLSEWLETHIPRSKPEPARRPLFPYCPDSCNAATI AASRNGSNGSGGAGDGEGKRGGRLRRLSESTVKSLKKACSLNSERDELREEDRRAMRR LDELWRSGDDDY EPUS_01224 MESQQPAAYQHGPPPFSAAQMTSQKLAMDLDYEDDRRNRAASVL SGMSQEDMEAAETLNSIQARKTPNLRPTKSSRLTSSDFHAPSQQRPPNLIQSQTTYSP DDQPEPLFSLLTSQYPLTASMINRSLSVYRGTQSLIPGAYTVEQNVGLPLASMLGRVT GVEGGIRWALQPRRRDSNDASTSKPQKADIEAGIERPRRNGRHTRRSSGMSEQLPAYD DSGRSPPYQEQQLVPQTRDGQTPPGWRAQLMISTSGLGIAMSEESLRSLRYCLSWLRW ANERLGGVILSLHDVLKKREQGQRQAIMSECEQAENEKPSKAAMAAKIQELKGVVLQT LKQVVEVVSNYAGGALPENARHLVHRHITSLPARFRMASSMSLDENGGNESAHKVMML AQEGLNMMGQVSGVVNDTLVSAESWCEKLGRRQGQHQQQESALQVEDMKMDEQSQYQE NPSRSSSASGLSSEQVDVDVKMEG EPUS_01225 MEIPLERAVMEKKPVPEIDFTLHTMEDNTTVSTQERVCKDVQAP AVQPPTDQQFWSPQDHSKPNLQFLKQHFCREGRLTEDQAMWIIKNGTEVLRAEPNLLE MDAPITVCGDVHGQYFDLMKLFEVGGDPSETRYLFLGDYVDRGYFSIECVLYLWSLKI WYPNTLWLLRGNHECRHLTDYFTFKLECKHKYSEKIYDACMESFCALPLAAIMNKQFL CIHGGLSPELHTLEDLKNIDRFKEPPTHGLMCDILWADPLEEFGQEKTGEYFVHNHVR GCSYFFSYPAACAFLEKNNLLSIIRAHEAQDAGYRMYRKTRTTGFPSVMTIFSAPNYL DVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFVGEKITDMLIAILN TCSKEELEDDTTPLSSGPASPALNMDPESTEYKRRAIKNKILAIGRLSRVFQVLREES ERVTELKTASGGRLPAGTLMLGAEGIKQAIHNFEDARKVDLQNEHLPPSHDEIERRSA EDRKQALERAKNEADNDTTLQGVARRISVSSGQPFPMSSHAIIAPTDTPKRVRHSFDK IVGRLNTRWNLELPSIHGTQESALQQADVQHSLAKRCSGQIRYLCFRDCQLDKVIHDF EASVAPICSQWVWKPSQEEGTLPAMPVTKSFISTRPALPRKHRQELLQRLWELLNEEV TLARDSEVYRRTSFTATCDAARSVQGIVGQDNTTSTSRIAAPEVARRQVAGTAIGDTE SGRPQNRRSREATKRKSSGSEKSEKRSKKQMTLSQMKTFRHLRKDIENAADLMPPIKP PLGPQTVSNAQSFYSTTTSNVPSEIFTPAATGLTAPSASAATSLQEEAKNSSPDLFPT QDVMALDADEDFTTSFNMLCEPTKADKIFDELCEKTPFKNADLALSHKLSFRHRYELE RVADALHTTPKELLKDLGRKGISSTNDYDAFLGACRTVAKERGHRLPGRSSSSAWNSA SDSFFETESRKKSVYLAGALSYRSKADDGLYELKLKPLNLDTSCRFHRQYGPSRFMVL SLPSLATNLPTKYKEDARSGVLTESVARWLATAHEFLGRKWRAFFLEADKKKTKIMGQ VPGYKVHLFAVCGEDLPTESVIAVEHFLNWHIPIDYNLQSTNLKLFQRFSLGLSKTIP TIVLEASEFIRLADPTNHAVMNDGCARMSMSLAKEVAKHIGLSEVPSVFQARIAGAKG LWMVVPDDEFDEMGRRNYCIEIADSQLKIKPHPKDHSIADEARRTFEVIKYSVPGKAA ALNTQLLTILHDRGVDRKILADLLLQDIGTFHNDLELSMRSPLRLRSWVQSSGLYSRG EEEIRMTGSWPDESEEQTIMLIESGFTPDTSPTLRECLRSILVKYLNRYVERLQIRIS CSTFLFCIADPYGVLEPNEVHLSFSEVWKDSVSGFKESFVDGRDILVARLPALLPSDI QRRQAVWKRELHHFKDVIVFSTKGDIPLAHMLSGGDYDGDTPWICWDPDVVKCFENAG LPDMPSKEDCGLVQQNRKVKDIFTGDTSRRQLASQTESFFAGCFAFNLNPSYLGQCTH EHEKVVYFDGSLTAPNAIKLATLSGYLVDSAKQGDMLSQVAWQKLRKQVSPRIRDAPA YKNDNCEGHKRESNIVDYLKFWQAVPEKERILTQFNNHWRQEHAKDSALCQPWSSMRN FGNSKESSAELAKTLEQLKKDIQQMAEDYLRQQPKEGNWATGQFRQLVESFHHHFRSI KPSGAHHEYSHLWTYEDNRRLGHWSLLRASCLYNEFSKRRSVWYLAGEELCCIKAHSC PAGFRNVLKDVYSVLKCDTKIAKRVRQRLDEVEIEEETFGWEDMDKVLQNE EPUS_01226 MYKSHGSHNLLAQVPLTVSPFISLPTAVTLPYTYKNVPSSLPPS ATVDSNSTEKPKYVVSSSGHAAHPDEIIASCKGLQEHLQRAKDEAATTLKEWEKKIKE KELAEKRRVAPGWLDREEKILEPTKARRSQNQPDLLDSQSLEGDAATMLPTIAPSREG EELDRAFGGLDVK EPUS_01227 MTFVSLAYQQHLTTSPQDRASTSPLAFLDDPFHSYTSTFLFFST IILASLLVFHLMRYHYRRAKKYSSACPACAQIQAASDATTSQNPQARDIAHPRLDSAL NRWPWRNEAAPPSSGSLTGNLFDQGDGTYHYGPPTITPSSTFYTPLSRGITVPSNVYQ GLYCDLHSASGSITDLQDSGFTHTGLDGVGGEQSERNRVSRWWPAALQQVVVGDVKES SIAKRRSTLKRED EPUS_01228 MADAAMNGGNFAHNNTEPPQEIKVLPLFSLKGKTAIVSGAGAGI GLAVAQGFAEAGANVAIWYNSNKKAIDRAADIEKEYGVKCKAYQVNVQDPAKVEEAIR SQVAEFNHRLDIFVANSGIPWTQGAMIDGEIDHYRKVVTTDLDGTFYCARACGAIWRE QKKNKLDNFKSGSFIATASMSGHVVNIPQLQAAYNAAKAGVIHLCRSLAVEWVQFARA NSVSPGYMATEISDFIPKETKDIWKDKIPMGREGEAHELKGAYLYLASDASSYMTGAD MIVDGGYHCP EPUS_01229 MISTLGVIIIAVGVSVCVFVGGAVSIILILRANDRRRRSKEEVA RQFSAGQRGRLSVGSTNYSHVTEPRTNLRRSTHLPYGVVSEGWAAIPSQESIPQYQKN RTNHQAEGEGEASSQQKRRRSLRASFSARSFSIPKTRRQKKIQSAVPLRAIPRSPLSA ITERSGTNTTEASPSGEIAELPSEVTPKTTPEKDENSLPTGRPVSLQWPLITTNRGSH TGITTVIAVPTSRKSSLVRMNSTNHSVSPIRPSLGERSVSMASTLSIAPEGPLPPLPS IAPNQWPAGRRSRLRFSAASVDTIGSSVLGGWTSPSQTDTDLTSTGLATPIDLNPTRH QAVEREGPGCEPATVITTGSPRARHATKYGNGRAGHGSFQANRGQHASSHITMDELAA ELGDRDSSIPPATGPSLSTKYARNWESYLLSRASSVRSHASPSLPVPIARTGSGYRKG TVAARHSMYEQDTGDRSLTIDPAVLRDTSGNPASPIRRLITSRPASIASENPFHWDRN SLQIGPSSSLRSSPGSQSKGHKRQNCIRISNISAGDTSCRASKLPQMKEESEDLSGIQ ASKKMMIPGLSLLEQGKFNDDADSGQGHVDHSAFLNGPRLEKNSKMRPNYSRAQTSES MISCKLDSDVFSNSRYDPNAPNMFSTNSTSQKQWPLTPTAPRNSRPQATPPSLKAIQE PYDPDSPTLPIPTISSATLFARALPLGTRVSGVQGPRNIPTPGRSSRAHSPTPTTARS TVKLEDLRRSVATLRRMNADVPDKRRTSQMYRDNGLESTNSLPNVNNHARQGLTAELG VSIVDISTTSPISAAPSTILRKASPSHPQATLSPSSKNSLTTTNIRLANKSRSNIIVP SPSTLSAGTTSIWEDASVHCDSPEPDLPTSLSSSKVSSPRVPQQQQQQQKNVDMEAYE NFIGQQKDLDCGKPGSRRDRDKERESRLTSPQGKGLGLMGVKVQAQDRDRDVDQGLGQ GQRKVWGTPGSLYDGEGFLKD EPUS_01230 MWSWFSGAGAQRRKDGPKNAILLLRQQLDMLQKREKHLENQMNE QEAIAKKNLSSNKAAAKTALRRKQVHARSLQQTSDQINQVEQQIYSIESANINQETLN AMKTAGKAMKEIHGNLTIDKVDATMEELREQHALGEEIANAISSPPIGEPIDEDDLEA ELEAMEQEAIDERMLKTGTVPVADLPGVANGPIKGKNKVQQEEEDEEEELRKLQAEMA M EPUS_01231 MPLRSADKDDQEQLPNSETQGADASMATFTASAIEPARNQAQLP REPTISPSTQSRATLTTAGLLRKPSFDLRRRLAPLGALRHSGLLESSTSPYSSGLRSI KIGIETEFYLAGLQEWLNHTSLDGFTAILTRQHNQQVPVQHSRMQEYLRPYHYQGPYT QWCLVKEESLMSFGIPWGIELVSPLFRAYPGSRWREDVEATWAYLSANYDIAGNTLAG THIHIGLEPDYSLTDLKRIAQAVIHFETAFEALVPRCRRGNIHVKSNWLDAPGLAQSG RSRPKSIDAIEKVICFQDLVNLLHPTILPSGQYDRSFSWNFCSWYGKRSVEFRKPPAS LTSKDALSWTELAMSFVQASSRVVSSEELQKIPPTVGGLRWFLQNFGNEPGVNQPGRL ERLWRSTEPGALLEPKSQVSGLPEQERLQLEQRLQTMVLADRRRIEEMIKTAQGVYC EPUS_01232 MAAPSVPAVNVHVANASHCEEQPRPFPERKSSDHSMFRVAQSED PEKGLELSQNLIVDGLARTDTVGVDVKRAEQEFAQLDRQFSDYSERSRRMHSQQSGEY SKGQLRDVEKALNSDRSVEQPWDLETALRGAKAAEHEAGIKVKRIGVIWDNLTVRGIG GAKNIVKTFPDAFIDFFNLPGTIMSMFGWGKKGQEIDIIKDFRGVAKSGEMILVLGRP GSGCTTFLKVISNQRFGYTGVSGTVMYGPFDAKTFAKEYRGEAVYNQEDDVHHPSLTV GQTLGFALDTKTPGKRPTGMSKNEFKGNVVALLLKMFNITHTKNTVVGGPFIRGISGG ERKRVSIAEMFTTGATVLAWDNSTRGLDSSTAVDYSKCLRILTDLYQTTTFVSLYQAS ESIYEQFDKVMVIDSGRQVYFGPAGEARAYFERLGFLAKPRQTTADYLTGCTDEYERE YEPGRSALNAPSSPDSFVEAFNRSENAEKLSKEMARYREQIHEEKELYDDFRAAHREA KRKHTPRSSVYSVPFYLQVWALMQRQFLIKWQDKFSFTVSWITSVAVAFVVGSVWLNT PQTSQGAFTRGGVLFISLLFNAFQAFGELPATMLGRPIVNKHKAYTFHRPSALWLAQI IVDLGFATIQILVFSIIVYFMTGLVRDAGAFWIFILFNISCYVAMALFFRTIGCLCPD FDYAMKFAACVITLFVLTSGYLLQAQSQLDWLSWIFWMNVLGLGFSALMMNEFDRISL ECSRESLIPSGPGYNSLEHQVCTLPGSIPSVPSVAGSDYIITAFSYNPSDLWRNWGLI IVWIFVYMFLNTVFGELLHYGAGGRTATFFQQENSDRRKLNETLQEKKQRRLRKEGTD PGSDLDVKSKSILTWENLTYDVPTPAGQIRLLRDVFGYVKPGELTALMGASGAGKTTL LDVLASRKNIGVIGGEVLVDGVKPGIAFQRGTSYAEQLDVHETTQTIREALRFSADLR QPYEIPQLQKYDYVEEVISLLELEDLADAIIGDPPSGLSVEERKRVTIGIELAAKPEL LLFLDEPTSGLDSQSAFNIVRFLRKLARAGQAILCTIHQPNSTLFENFDRLLLLQHGG ECVYFGDIGKDAEILIDYFRRHGAECPPKANVAEWMLDAIGAGISPRIGERDWGEVWR ESDEFAAAKREINEMKESRMRAVGDVPKVEEKEYATPLMHQIKVVTWRTNLSFWRSPN YGFTRLFNHVVIALLSGLAFLNLDNSRTSLQYRVFIIFQVTIIPALILAQVEPKYDLS RLIFYRESAAKAYRQFPFALAMVIAELPYSILCAVAFFLPLYYIPGLQPDPSRAGYQF LMILVVELFSVALGQAIAAITPSALIAALLNPFVVIVFALFCGVTIPKPQIPYFWRVW LYELVPFTRLIGGMVTTELHDRVVECTELEFNRFEAPRGQSCGEYMARFFENGGPGYL RDNLTSACEYCAYRVGDEFYEPFGFSFDYRWRDLGILSAFIVSSSIILFTASRFLNFN RR EPUS_01233 MLPVSKKSGRSLCLPAFRISGVRQRGASRLHQPRSAKRDSKRVA SSKQSYPSRSSSLHIKKPEPSPAQAQGTPSSTPSISDALASASPSENNLLAPVHIPED PNAVLKETHPATSILANSGLVVQRQIEMMNILLGFEQANRYVIMDPHGNHVGYMAEHD GGFGRSIGRQMLRTHRAFTTHIFNREQKEVLRFHRPFSVINSQIRVYDPLESASWLPS APGSLGRTSHAMISSATDQPAQISTLPLSDMRIIGEVQSQWAPLKRKYNLFLFHQPPG ETSTPVSATGLDLSAAQQAQVAAQSGGAGEGQYVQFAYIEEPPLSWDFSLRSSSNKLI GSVNRNFAGFAREIFTDTGVYALRMDAAGLEEEASKRHIISKTAHGEKAYKDVVAGEK GETGMTLDQRAVMLATAVTVDYDYFSRHSHGSGIGMMPLWMGGGEAAEGGAAAGAAVG GAEGSAAGAAAGGAGRAVGGAAGAEEGAIAGAGSIAGYEAIQRGMGGKAPQQPPPVDD ASPQAPPQQDGDMWGQQDGSPDGWGGQGEGAGKGEDVWGQDGQDPWSGQGGDVGGGGG GGGGDGGSGWSIWDIFGE EPUS_01234 MVLHSRSRLLFPACVLALILVVLFLRSNRNQVSIRSSLPDAQPE KDDSNSTPIQPKVLGAFPRPRYQPGTPKPASQNYSRTLVIASTSKEDTTWLAELANEP DLSTAVYVVDEPHASLTVPKNKGHEVMVYLTYIIDHYDKLGDIAIFMHAHRVTWHNND LMFSDAVEMVRRLSSPKVTRDGYMNMRCHLDPGCPDHIHPSVADDNDGNIPEAIVIGR AWLELFPNAEAPPTVLSQPCCAQFALSRERIRNLPLEQYIFFRRWLLDTDLDDRLSGR VWEYVWQYIFAGVDEFCPVESICYCDGYGICFGGEEKYQEWFAIRRKSRELADLKGSL GDDEKAVEQKASIQVRLTELDLKLSTMKKDAFLRGEDPKNRALEAGREWKDGNGF EPUS_01235 MASHRLKVPERHYSDAYLLYRPAWLARPRGFINFKEKEAQEFND LIDAFIPKDVRHWDCREDDWVFSYAETVLRVTNRSDQESCSIFVSSRRLPRDVVEVMS RDLQCMLDREFAKISGVLDFATLLLRLVEAASAHLLAWREEVRSTKLGLKPNNKVWQH GWDANYVSDWKSILDNPFGLNLDSIADTAHHILGMTPKTICAGIPSNFRILHVESILR KNLAGRFVECQETMRKHLLGRPYDELRACVPRYIGKSIFSGTTKADLVEHLVRPRLTF HGTMKRYVASIVRYGFLKPGQNIGKTSEVVAVRCGNTYGRGIYSSPNPEFSLSYVGSS ATAVNSSDVPSVRLIVCATIMGRAVTLRRDDDWRLHDYPYPNSDSHVGNNELEYIVFK ASQILPCYVVHLDWGSEEARRALENVPQSSNVWAETRARKPNTRHPRLEKQILYPGDK VRLQAAKQAAAAKWFPFGYGSAKGNSFKIEEIGEVSDDEENYGDYQQQAMQKFEGTEY QEEETGTGGHSGQYWFDEYYLERTTQLHVKISPDD EPUS_01236 MARTRAQSQAAASEKGATSKPKAVKEEKPKSQRPEKKPAKSRTP KKSATGKRAHEEVQESHEDKAKQGPTKKSKSSEATSDGRAHISNPKVESILSKYGVLP LQKSKLPAPDQPLPETMLALVFNAMLTSARISHEIAARSVSCLIDAGYHDIQKLKNSS WQERTEVLTEGGYTHYREKTATMLGDLADLVLDKYDSSPSQIRAALKEIKGIGEVGLD IFCDTAQAVWPCLAPFIDPRSLKTAQQLGLGSVEELWQEVGKDALMMCKLATALTTIR LGKKTSEFT EPUS_01237 MAIMNRRAAPLVMALFSLAPSTLKPVSAAVDPSLIPDDCSMASF FTSCSSTPLPCPRLDTPCAQHVNGCYQYEEECFCALPQPIACAWACPWAGWMRVEDWY RNTCGSLKTIDFALAPGCARDCIREGSFNYGCVTEEQSCFCQHASVFDCEQQCTQRGK DRLQEWVRDQCKYTEGEEVWVVQSGSPTREEAMTTATATPTQTGREESNASKGDAIII NSTADPLSWYEILAVTVASVSGAALVVAWFLIWRHKRMDRRNMQRRQKEEGE EPUS_01238 MLFQVVQSGSVAVNQKLVYDPLGPTSADQGSGPRQWTKAVDQGS GPRQWTKAVDQGSGPRQWTKAVDQGSGPRQWTKAVDQGSGPRQWTKAVDQGSGPRQWT KAVDQGSGPRQWTKAVDQGSGPRQWTKAVDQGSGPRQC EPUS_01239 MADRVLAIGFGGAHTHLSALSLKRGISFSSFKLSLSPLDRVLTV ALLLLLLLLFATTTEAAHYSKCCVLGARDQLNIFTEELPWDVCHYNKTVSYPADPVSP SVNRTKGWCINNCQGAQWSNATQWIQPLASWIAPYTGLLLLCPVGGKPEKTEKKRPER SEEPATSMTGDEAPPAHNPALKSGWMTNRFHWQKLRTTCQEAYTWCCNAAEYLRFPAQ EYVMLIGDPASALWGSFAELQHDRLMVRDLSRPSRLEPRLRQTFVIMVDDTVYDPDLT RQLGEKIERVVSPPIHPGELLVEQKMTYDPVKTTAVDTINEKDPQSVSTINRVAATSN ILVHDYDYKSLVDLERALRSVVEARVPFITAIALPAVLMLAVVAYDAYNKLGDNDTVH SIAYGVFYSWIVVLAVVGNCAATSVNAGVLDNTLGGVVGFKFHPQVPLRKRYSNAVRW SAWRYNVEGRFWVVLPSPLLHWPGAGVAGRGVVMRMRGVDLVHDADGGDGVSVVHLRL VEMCDDADPDSKRTWTRLDVAVRYVYGFLVLCNACLLVLGTVFNLAGLYRTCRCKLLF ASMDALVELNTNTQQYLDNARKFWYPVGYVVFTLVWILCAMAVALRKHMTLHLLSALE RRRNGLPFDRSGSVPLDRGVPLGYSDDIEANVRCPLCRIVADAADTLTREDDREVEFW FLKPVFGYEVLTFGERRSDPAAHARQKATPPTSSVYLGVARSFKMQDEHGFGYYTSVS SIYIGLLNQAELTGRTDWAPRLHDLQSVDFEMIKNWLSRCRSHETCNQLSPASGHGLT LRVLDTKLLQVIDLPHGSPYIALSYVLGGIPPVEQKAIYKLSELPRFLQDAIAVANAL SHRYIWTDYLCISNTDSLQRTADIERMGTIYRQAFATIINAPTWDNPQGIPGVNDSDR WVRQSSEQFDGMTLVTSHLSLGMVVKSSAWGRRGWIFQEGLMSPRCIVFGPEQVYYQC AGGIWCESMLEPLSNMSQSNSNASFQGTLRDPFLDESSDSTSLYWSLVEDYTSRNLTF PNDSLRGFKGFLEYFSTLRNMSFFWGLPNTPSLSRNLLWSHTPAPHQSVTRRPDFPSW SWAGWSGTASLSYLGEEDPQTVFTMGLEYDGNGEENAAGEENRAREGNVAGEKSEGFV LRCTADVATVPLSVKSASFARVSNASYFDLNCGTSEGLGFNKTRHEYMEVYRDPNFTY GLLLRPRADDQDVFERMGNGWMDIRTLALVHPSRKTLKLG EPUS_01240 MVSVSDPAEILNLYSFTGKFVKSDFYHVLLFYAKGKPVPTIFAT QNENLHRMLKKPIAGIYLMSNLMSFKPYVDTTISYFFARLDKLFVQTGDVCDLGAWLQ MFAFDVMGELTFSKRLGFLERAEDVDGIMGSIWHYFHKTSPVDWLWAKNPILQRLQSV RMNPIVGFGLARIAERKSAAKNPSDKESSTNSRDFLSRFLEAQAKDKSIPPWALIART TSNVTAGSDTTAILLRTTIHRLLSHPATLSRLLSELASLPHSAPSTPASALSPLTRRV HQRSWASASALRAAARTGRAARGSDSVWAKV EPUS_01241 MPIVVTPPEPTQFYVPTVDISPYLADPTSAEAGKIIADVRAACI STGFFQITGHGIPRSLQKDVFDAAAAYFALPYDEKKKLDAKTTIGHRGYDVLASQSYE ADVLPDLKENPGLEVLDTNSNEFVPIAPNPDAYVVNVGSMLSKWTRNEYKSSVHRVIN RNPGDRYSVVFFFDGNLDCRLSPLDGQGEKEGEEVLTVEGHMLKRMRESYGGKK EPUS_01242 MSSTPYDVRALLSAIDENRKNLGRDKGEARRECLAAARKLCYAL ETPVESILRINWAELSHHAALRTAVDLKLFEKLDSDDCSPKSSSELAEMTNSDPVLLT MGSVYEAGPDSYVSTPVSKAYIQPVYRDAIPFCMDMAIPALYNLYQFLAKTQYKNPTN PVDGPFQYGHGIQDHFFGHLQKHPERFTQFSNHMAGYRTGRASWMDEDFYPVIENLVK GASTDEDAIFLVDVGGSKGHDLKELKKKHPSLPGRLVLQDLASVLAEATELDQSIMKM EHDFFTPQPVQGARAYYLHSCLHDWPDAKAKEILANLKPGMTKGYSKLLINEYVIPDM DAHWVSTGLDMLMMAFLSSSERTEEHWRMLLESEGFKIAKIWTKEPGTESLIEAELV EPUS_01243 MSSHYQQTDAHPLSEKETNTDDAKAKTTLAAVFDPSFPLPTLEP KKTPTTRYIQSFLVLFFLLAAGVMGARFFELSILQTSSSISTSPNPKSKHVLSVLGLV MPKEQLAVLIGVIVVLFLAMWFTGTIWIDWWELVVGYWWLSLAICVAVALLFLMAQER TDTIGQVD EPUS_01244 MDCTRISPECPIEETIYGYYPNLAANAAFAAFFGVFMIVNIFFG VRYRSWTFLIAMTGGCLGEAVGYVGRVLLNDNPFSEGGFNMQIICLTLAPAFIAAGIY LTLKHVVICFGEEHSYLKPRYYTWLFIFCDLVSLTLQGAGGGIAATTTNGSSEQAVGN DLMMAGFKLFLGGFLLAFVTMFIRCVYRIAEMAGGWGNSIMQSELDFIVLDSVMITIS VLAMTIFHPGYCFPQMVSQAKRSRLSDIEKKTSSETSVEESSQPAKRSRFGRWRS EPUS_01245 MGASESKLVFKQGIFRLSEDQAIPATDPYWTGFWRLPESVEDVF SLFSPVDIRRARDSSLANLETLILAVTARLDALRNHPSFPDLELAPERDALNCIRILT RVLPYVYEADQLADWEEKFFWGIRRRKKRRAQVAAEVLFDEANDGDMQKQEAEEQEYE ESKPLGEELLDTLTDLLFYTGFTIPRLPTAKDKVTYAIWQSGVGCKTSIGTSKELESN RCEVLRLLLTMTSKSLYLSSSMLPVHGVKAITYLVTCPDKQIVLSVLCSLLNTVIKYN AATWRVPYDHVVWKDPKQALVIYSLQFLLVLLLYPVPEEGHGPPPKNYYRSWLGKLHR PEDFQFIAEGMARILSQPMQATSSYLPGSQKSVRWAPEMIMLFWETLQCNRRFRTFII DSNRAHDFMILCLFHAIESKTDLSKQGVVRMCVFVLQTLSVEPKFGKSLNKKFDAQET LPQIIRLPNFRGSYADFLIISIHALITGSKGKLDAIYPALLAIINNIAAYAQHLSAAT SSKLLQLFASMSSPSFLLANETNHVLLQSLLESINAVIEHQYADNPHLVYAVLKSKKR IEALRAFTLENGQNEIEKIARRRKEILNGADGVTSPTRSGSVDHLPYRTPPIRQGSLN HVPEDGTFAIGDSEDESENDMIQHTPAQSPPSIRHSRASSLSSADDNLPVQLRGMSEK ARGKMPANATSFSRHGSTTSLGSHTTRQILSPTINSFGGFTPTPQWIESWLPELPLHT MLTLIKALGPHLPPSSSSSSSSSAPRATPGATNTLTNTTTPTRPSTSSIPDPQTSTHA HHAFLTSLPQTTSHPLIAPLLSSPTPPKIQTFEWSSLSLGWYESLLWSFIFASEMLVG NNASTSSTASSATAGLVGVTGGGGSVGVWNGTAIKLFHVKEGVREGPTLMRPKGAVDA VGNRIVKGIGGLGIGFGLGGGGQQQTQTQVQTHGAVGGGSGGGGVIGGRSVREV EPUS_01246 MNTLIPLPSTPRATPTPTPTSLPAPPPSPGTWRHPQFTEIVSRR NASTFSDQDFKRAAFNLVALLLSFTFNTQVHATFRTIIQTIPNLSHQIQPTTTYTTYV LLTLRLYFLLNLFLALLPLLRSRTNDTISDIPLTPSQRVLMGLPPSPSPTKRTPVAGV AAALLTIGSSSSNSPVTSSPTYITPPRYKRTSFSTSPSPSGAGSSSSNNNALGPSTPA SGRSISANYSPSSPLSGRDAAFSPDSTFRSPSSSMKQPRNRSNSPFSPTPRSSSGSPL LQKALAPNGNNRDSDAAFRSSFSPSTPSSGLRRSQSVKEATGVRNSGSGGGSGSRSPA VNYKWLYEKEKRIHVRGGATGLLSRSESIQF EPUS_01247 MDLPRSERLRMFDAEKARAFNEIQDYKKLASAGQTLVAGLRPGQ LEICDGKPKVPSRENLGKRIMLKTTGPAGEYERAANAHELTATASVFATALSNNASTK ELQTMADNLSKQGCLATNPHAATALKTLVHYMRTLQLCTARFLPNIERLRRGYYDFDV QLCCIELAIQLKAEQFGQCVVREVVRESFFLRLNLRQWRAVADKLHGMLDPSWWYGPQ ASTIRTSHTAKAKSPASNQLSRSFPPFDPSTPKPFVKIKAICVQTAPVVATVVADAIR EARAIKARKAEKAARKAAEQAAKKAVKEEEEVQQHTGEGAGVEKAIKREAVEEEEEEE EEGVHQDTGEGATVEKAIKREAVEEAEKEETKKVKKSP EPUS_01248 MPFNTELTRRLGIRVPVVQGGMQWVGYAVLASAVSNAGGLGILT ALTQPTPEDLRSEIRKCRSLTDNPFGVNITLLPSLNPPNYTAYANICIEEGIKIVETA GNSPGPVIKLLKDNGVTILHKCTTIRHAQSAVKLGVDFLSIDGFECAGHVGESDITNF ILLSRARQSLKVPFIASGGFADGQGLAAALALGAEGINMGTRFMCTIEAPIHHNIKQA IVKAQETDTELVLRRWRNTTRLFKNKVTDEIREVEKGSQEGKFEEVAPLVSGKRGREV YVNGDKDFGVWTAGQVIGLIHDVPTCEELVGRIEREALEALQNMRSLWREDNPSKSIA RASKL EPUS_01249 MAFPGLHHLQHVSSNDTTIPGIGHLPTSILDAFIPGYSFISKYL LDVFGFDISIIVSVLVLGFACTTGVHYIYRHLWASFLTYFTASVVIDAYDIIYDNLLS WAAEQEDLKRVRSLKVQSADYTDDVDDLKGDDNAAAGAIFNFNSWAAKVPPRYEPDTG YHWFRHKGHWFKFSRELNKVQGGWMGMMIQDQETVRLTVLGRSTKPIKDLILDTRDRN LSKQIAKTTVRRPSPKEQRGRGRQAWNKVAVRPSRPMGTVVLDSKQKAAILRDINDFL DPATTRWYSNRGIPYRRGILLYGPPGTGKTSLSFALAGVFGLDIYCMSLSEKTLSEED LILLFNSLPKRCIVLLEDIDSAGIVRKKSDTTKEEEKRSKGEAEDKKEDAKNEKKDES AETTAAMIAKEVAKAVKSANESNDRSAGGRPGSSNDMGITMSGLLNAIDGVASQEGRV LIMTTNYPEKLDDALMRPGRVDMKIEFTLASRIQMRELFLRMYCVDSREAARTPTNLK QIMPNILEAGAFADRPKISEKSNNEKHSTLLPSTGPLLPTPPRSPTTATPSRLHSASK ASSQLEPTFLLQSEPSPDLKEWADKFADSLPEATFSPAEVQGYLITRKKDPWSAVAEV AAWRDAELAKKNAKEAQREAQKAETKQDKGDASETSSTNELVKIEKEDANEEGRAGEK LADNGDGEDLGGDSSRPETSIPATAESGCSALLGNVEGVREDSDDGKSGSEDGDSADG ADTDSVAESEASQSSVESDEGSDEYVG EPUS_01250 MSNNGWPQTAAHERLSRPHSEHGPSMRLPGVRQLLQPDVFVGPD PSTLTPQHHPEQPRSILPTTTLSSAHDFLSLSKRASESSIKGLQSDPFESMTSSPPSM RTSSSDALIPIHHQTPTFMQAPPQQSRDALSNRNRERGPQPNSALCVISPDLISTGAN SADGSDQNEPKHQPTSRRMTAEKHQTITYPASSAAPDYGEKVMKRPEQRVDSRQDWRE TMKSPPGRLRSSDASSPILDSPPVFDMMEKLGLQAADWNLDPYPISPVTKGYLDLYFA HVNQGIYYIFPKGPFLTWVGDRREKTLDDKMLLYAMISMGCSFSTHKESVVHGKRMLQ LARYAEQNSVGRFTLQLVQTRWILSLLKFSLGDFSEASDYCGAAARGVCELKFNTEYG VVERLNTHGCEYGLDRRTMAECQRRTFWSVYLLERCNGLCSGLPPMLQNEDCFIRVPC SEELYENQDIPVTPLFGTIDQNVSWPKPASLGTMSYLIVISSIWGQVLQYLYREKHRT FESDDDKYEKFYSGKQRQLRRFIDRLPPHLFACNTQNIQQALQEGYAETFILLHARYH TVLMKLNRHAPHREMDESSLSRNIRAAQFHARELLKFTLLLSKVYEEQRSSKPARTFS VPFQAYSMLSAVDILTSIGTLADLKSDLQLVQSSYEVVQKLSKYWASAQKQLKVIALR FEEIMKALQCAPREYAFFVTADAMEDLFGKDLDLFFAPTVEERFAALGFPLTISNESG VLRINSLDSDNGCCGIRKEPKS EPUS_01251 MTTRPTVTITSADGTDSGSTHPLPAVFSSPIRPDIVQTVHTGMA KNKRQPYAVSEKAGEQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNQCRSGRMFA PTKVWRKWHQKVNLGQKRFATASAIAASSVPALLLARGHRISTVPEVPLVIDSKTFEG AAIVKTSAAVSLLKAVGAGPDVVKVQKSRKLRAGKGKMRGRRYRQRRGPLVVYNPDTD GKELVRAFRNIPGVETSSVYALNLLQLAPGGHLGRFVIWTSSAFAALDDIYGSTTAPS ALKKDFLLPSNVVSNADITRLINSSEVQSVLREPRGYPTTKRSGVQKKNPLRNRQVLL RLNPYASAFSKEKLGQKSIEQENPKGAGEEFTKILHEN EPUS_01252 MALILWIVTPLQSGIFRVASATIAHNVSVSPPARLLAPPLQANL LNAKLHSTAYGVTWLGQTLPPFTTRQFALAPFSWRGLELAAKNATLMGNTTLYGTDLN CSTPASVDAVQGEGGDVFVVDDGQGCRQKADISSTLGNGSQNGHQKMVCNKYFIVWKE NESPKDPSALVTRLCKIKYYSQPVQANVSIPEGRVLQTSVLGPQTALPTDVFDATFLE SIISRGIAPGTGKNYTSLQQKPPRPFDVAEDTLLAQGFRLSQRGYPPLASPLAGIAIG GQDLPFEAFINNTEAIDAAFRSAHQLFFALAVSTVITPSISSETSSSAIHTFSIRSVQ LVPTVTRTVQAFMGLVALLIAALAGIYYNMFLPFESDPTSIAFLAALASNRNVLNYFK SLDMEKHYVSSLEHRQAILRNRDGALSLSLDSIGDEQHIDNVTEGSKLNAIGLGGGKY LKSHTLWPSELKLPTGIVIALGLFLALAALVFLETWTRIYQGLPLPFKRTVAQQIILY YIPTVFGTFLESFLVVVCRYLCFLQPFEDLRRGSAEASRTLLQKYTSLPPQLNLIVAI RNRHFRLASLSSVAILANILTIALSGVFIVRETMIPTDFDTTQSCLPALNMSVIKASQ SFETSTASVAGISGEPFQVLLSSITAGTPLPPWTTNERFYLPVNLPFTQNETLSYRLT TLGFEGFTDCSVLTEFQGNHTYNFFLNSDATQIGFHTTEFFSNGTKAECFAPMAMTDD TVIFSDGNHPTTQIFVSGNPVGQNALETFLHPIPGFSREYKNKFACAHQFLGLWARAN ISLSDRLAIVNTPATTENLGLTTSPTANTTSVSLEKLVLVCKPRGYAASYNLTVSPQG HVLSAIELPNSSFVMSSTISDGVFEQLEYLFSYPDDLLTWHKDVRARYWMSFFVTKFT ESDALIDPTKPLPDGIELGYHVSAVFRRLFAVVLAINKDAFVRSQEPIKIIGQKVTVV NRIFLSGVMYKIAVVILCVDILVILNVYLRMPKPFLPRMPTSIASNIALFAASHFAQE LAEEAELGTAPEELVEHLKNSDRRFGFGKFVGTDGHVHLGIEREPFVHSLVNSEGIRR RSKWKVWQL EPUS_01253 MLSTISAKQAVAILAFLAASVHGHVVMTEPVPFTYPDPNNQQAP LSDSGSDFPCKFQAGITPQTVKVTALQPTGNKLKLKGGATHGGGSCQISLTQDKLPTK DSQWEVIKSIEGGCPSDAPGNLSDNAEEPLPEIEYSIPEGTAAGEYTLAWTWFNKIGN REMYMNCAPVSVGGGAAKREVAKISKKRQSGLPVMFTANIGNGCSTNEGDDVTFPDPG ADVVKGSQATPGEPVGSCGAAGAPSADTASTSTPASGSDSGADQAPAPATTSAATPAP QAPAPQAPAPQAPAPAAVAGGAACSPEGMYVCAADGASFTRCASGVMSAPIPIAAGMK CTPGSDASNLQMAAVKRAASFGA EPUS_01254 MATQSTPRKLWEHPNPGTTQMHQFKNYLSSKTNTRFPDFQALYT YSVTHPTTFYHHLFNYFPLIYTDTLPNATSDRPNHIFDPTARMDSIPAWFPGMQLNFA ENILSSGPRHGQPCKTNKEDDKTACVSVREGSFTEPIQHLTWSSLRHRVALLANAMRA HGFGKGDRVAVVASNSIDTLTVFLATTSRGGLFSSSSTDMGVKGILDRLTQIRPRWVF VDDFSVYNGRTVDLRPKMAEIVTGMEGVPEFEGLVAQPRFSDRPAEVSDVPRTVSWEE FLRKGSGDGELRFERMDFSDPFLIVYSSGTTGSPKCIVHCVGGVVLNGFKEGMLHRCL GARSVALQYTTTGWIMYLAAVQALLFGSTMVLYDGNPFLPNLETFIHLTSRLRVSHLG ISPRYLQELQKNSIIPRQVADLSALQVVTSTGMVLSDQLFEWFYDVAFPKHVQLDNVS GGTDIAGAFATANPLLPVYTGGCQGPSLGTPIAVFDSTLKGGRGVKGRRVEDGVPGEL VATGPFPNMPRFFWGDEDGKRYRESYFAKFDDCWVHGDFVMIHPTTKQIIFLGRSDGV LNPSGVRFGSGEIYAVVDARFGELVADSICVGQRRPSDTDESVMLFVLMKPGRKFSPG LVNDIKAAIRKELSPRHVPRYVFETPEIPTTVNLKKVELPVKQIVSGKIVKPSGTLLN RGSLDYYYQFAKVEELAGPLKAKL EPUS_01255 MSQQHGARIVANAALSEEIDAINAIYGSNVAAPVGGGSSSSSSS NNNRSNDNPDHHLVLQIPGQSVSFLLSFRADYPDTCPRADGTHSTGKLGKKGSGQHAV TLVQQTLSSVWTRGSPAEESVARLPAAEEQAARTGLTSDAARIPGSEWSVSDPITEKK SVFVARCASVAGKGAAASALAELLATNKKVAAATHNISAWRVRDGETGVTVQDCDDDA DGCVECGRGGDEVWYGGVKLGADRFRLINQAAREALLRGGFVKVEKEEEEEEKKGGKK RGKK EPUS_01256 MVVSSQSSPNVFVRYARKVYHGVGFSQGYNFVLFTIFGGALLGF TLARLQYLNFNGVFCTQGGTAPGECYWYTQFPKYKVGILLHLSTILPAAFLAIYQFIP VIRHKFLLFHRINGWTIVVLVLISNAGAIVIAPHTFGGDLSAQTGIGTLVLMTTIGLA LAIYNVKRLQIDQHRAWMLRTWFYFGTIITLRLIMFSGTAIISALGGYYVPMECGKLD YMMEDRNSVLAAYPDCMSYYNNTDPSKRALVQADVNGSIAQVAAGLNMTFGMALWLAL LIHAIGVETYLQLTPRESQRLRHVSYEKQLEAGLKQPGSAGLTADRVGDAHAWQPTKS EPUS_01257 MVGVAGKSKGCNTCRKRKKGCDLARPSCGQCLKSGNVCGGYQKD LTFIHHKIPDKDRQPSTVPKASLRKGSVASSDRSYSPSCSDLVSWPPVSPNHDWEVSS EPGQQQSWPSPSLQLLSSSLTLTALTTLHTSLFNSVFMPRISFATQPSLMSFRHSTNW THFIPELVNNDLSLQLAFLALSSSRIGHDSHDENLITSSQSLYGKALREMQRALLDPK RRHADEVILACSTLSLYEIFETQALAAVQLGATPHGWLSHAAGVGRLLEARGPEGFAT GKGHAIFLHVRILIAIRYSTARKACFLAKPEWLTSPWKNHPKNMLHKMIDVMVFLPVV VETYDRLQADTSFDFGETRRERQSLLAKCVALDKQLQNWYTALCAEVNGRPLWHTFPS DDASYPFSHLFRFDESIIAYTILLYWTCAMMIQSTMCQLQRQLGQGAAQLPDMDNLPD HINPRIYAVNIAQSLPYMLHPDMGSLGPNLALFPLGMAFAFFAATTRTPRNPDMDAME SSGSTIDGLDEVNDPLADDSAIDVDYCQWFAKVFTDLDSRNMPGEAFLFRLMKAVGIA SAFTGVHPVIASAASTND EPUS_01258 MVDAFAVSNLHSCVEYWGEKFVTEMFNTASTFAYIDAPPAGPLS QNEKNRIQRALYRFEVYQNLCRDSDVRENRGLLICKFSDCENEQLACVHDYLFRAVLV KHGTEL EPUS_01259 MLCASDRKFAKTFIGDITFDIQRHQKRFPLFLHRNIMRVLNHHA KRRRLGSRSSAVNLQTSPSRRKSQTDLVTPPPRSTRLRDQLIEKFHQEDAELILDVRS IRRKLNYRENLDQRPLKRVKREAVECRCYLAVWDNRQGHRQLEPILKRSGDCVVTPAD IASDAHTVEIELKSPFRVPAKEFFVPTVNRDGEVTKWAVGDKYLLEIKIIPCNTSDLW PPIPILSKSEESLTRDLVKRKDLSFTEGMLISNYTNLPHAPSVTVPLNVAFDQGGRTF KTKYGLEVNSEWTYPHYYDAKIKREDSILAKKIEEEGKIDPLCRSVDSYRTVQTCTSR ISKLDESPPVVPAVKVSYIWDIETRTPVPRESRIASLEGLYCPICQNQEFTDLKHLQF HFVNNHDKYTFSIEDQQDDVHTKGLKCVTFRVEVAEVVRPSAANQVKDGQEISWQRPE QPFDIDAYISGDQSWVGALPRRRTAAAPAQSRPFQHTTPNTVAQVEGVAKPRSTFRSA TEVSELPLPARKRFYVPVARTMRRTSFYRSINHRAMETGELLSETDDDIDDDWWIKRH RDTIAETEDLTAEEKEFRQRWDAHVMSEGCPSARYVSDMLVRFVRGNAAWLNGVNGNG DMLIQFQHLISLLIERGLIDARVVKDCQRIIHDGEGLGKKQVLRLTADVSDRSFPTEV LAAGESGEVQDSNRSPEPFRQPGAQIEAEKHNATNVKITPDQFPSGRGHSEALAGGHS TDISTPGSGLTGLDEISQHSPPPLEAKRIQHTIANGCCATCHDYIYRPKRNAITCSNL TCRNGGIFHHLSCLGLSLKISQGERQTFKCKDCAAALVIT EPUS_01260 MFKKKPQIKNLSPLRSSDRRKLADQIIAEYQIQVPAPPQTLDEN GATTLDPNPTSLTAIRSSLLPENCLSARFNTHAGPHSKLVSGTIYVGSQPGQEERILW FQIGQSDQRLYPTVYTLWQNPGLVPLLHTQEFVVEKLRSGADLMTPGLTRGPPFPEKA KKNAIVAVASIEKPSVPAFVGVCEIDVSALEQVQGAKGHAVKGVHWEGDELWAWSHGG IGGKQAAEKIDGWDDSANVVGLSKGVESMALEEDDADGQEGGVSLEETKNDNGGTHRA SSERSDADEQEAEPSTQEIDQAFYNAFLFALHNARKNGSPPHYGIDLPVNPSMLISHF IQPYLPIHSTSQAQYYTMKKTSWKNAKKFIKYLDKQALVKSKDRSGGETVIFDIDFDD QHILDFIPYRLPKPREDAGGGGSSQTPSKAVNTASDPSVNQNLSLQTLLRPSSKLVPD LLPSKTDFYTPNQISSFLRTYIDQDPELTTQSSSPRFIKLNPFLANNILGGSGASNPT TQAADTKALAAGEIARDVLQKRLLEDTHLCQPYWVLLRGDQKWSSADPSLPKPKSGTP PKLSVIIEKRTGTKTVTKIGNLEVFGINPEILAGELQKKCASSTSVGQLVGGKPGQME VLVQGDQREVVEKEVGKRGVDRRWIEVNDKTKKKAKK EPUS_01261 MSSLQELRRQSQEATSQKPGSWAEPVSPGARKPILPPFRDILPA AHIRKTSLDSVESPSIIPAPTLQSYYLPFRRAKDDIVKMPFTMVKPENESIHSTTRKT VHGKTLTYTLNVKQQPERARACGAGARACADRRPVDPPPVVELRVFEGENTKTDITLS YNANFFLFATLEVARPIANGRMHPSPAIPVLTGCPVAGANYLDRPSPAAYFLFPDLSV RHEGWYRLSFNLYEATKDAEDFDVDRPARNADLEVLTPCPGPKTQESMAWRLEVKSTP FQVYSAKKFPGLSESTEMSRIVADQGCRVRIRRDIRMRKRNTGKDEYEAYEDGMRSGD RYATPDSAYPPQTPTERQRSASRCSMDGSQFGVDSYHRGSLQDSAYTSHGFHSVTPSM SNCGSSFSVPPPPMNGASAGMPAPQHPAYTQPAPLQPPASSSTSSREFAVPLPPARLM DRPSVDQPKSGYPQLPNPAAMPHMATEVTQPQPQPSSGMQLPALLTDNQQSRPSSGTV FDPTRRQWNLPLPAPSSKRSYSPRSDNAQLPLKQGMRPDNLAPVTNYISGNIEADSDP YNNNTDEDDQYGIHSQGFMEYRRACGKSNRKRVPNLAV EPUS_01262 MVSDEDYVGGSDDDVDIGGSSNRDALAGTRSKSTRANKESSGFE VTRTWENLTEGADGTITGAVEGLLQAGKRQRLLKDTTPLQRGIIRHVILILDLSIAMQ EKDLRPTRYHLTIRYASSFVSEFFEQNPISQLGIIGMRDGLAVRISNMSGNPNDHISS LHELRKQEPRGQPSLENALEMARASLFHAPTHGTREVLLVFGALHTVDPNDIHLTIAN LVKDKIRARVIGLAAQVAICAELVTKTNNGDRSNYTIVLHEQHFPYGQRVRRNSFSLH LSTQHSYRTTQQQQQQQQHPDSRKLEQNARAPHFSTAANPVDYSTQFRLHAGIWRAHH PHLSGDCGCDDEALMISQLPSGSSDGRRENNDGRVRWERERKSERGGYERLITRSACL LQRGRWETGE EPUS_01263 MSFGFSLTDFKEVIKFSWQLYESLRDGPQDIKDLARDLTTIYGV LNHIEDDLGSKESAVRAHGEGRMKMLQTMTLGLKATLDEVQGLVDKFRPMVAGSKGPE QLWIKIKWLTGQKKIGRIHRDISFHISSFTLLMTTMGNSSLQRIETGLSEMRLISTEK DDDASSSASEEEEHIETTSTTELESPSDKTPDAEIPVLRASTPIQPTSSGHPIQVEDL YGVGFPQWLSPKVSMPSAEFKAKVRDFSPTTHTRLIETVFSNCQIACFDFYQANGLWR TKYGAEDTPGERKLFQMDNGKFPISPKDLSLQHWLMKMGHACASNRINNSDTVQRDSI YDYMTLYRTFQGVPKIPSLCDFAGRIDTDSFEECLLYSLRLCRHLQAWDAFGRLKALW KDIHTTSANLEGDASPYSEYIKSPPVQLLPSTRRDVLSPLLSDIKRTTGHKPLESGRK RRRGVAYLGGLE EPUS_01264 MARTDRDILPDNVRPSNYNLSLFDLEFGGGWAYSGTVKIDAAVK KPSKEIVVNSKELEIKSASLRSVNSESTEWKASKIDVDTSNERATLSFAEEIPSTEEA VLTIDFKGTINNAMAGFYRSKYKPAVTPSQGAAKEGEDYYMFSTQFEACDARRAFPCF DEPNLKATFEFEVEIPEDQIALSNMPEKATRKGGKKGLKVVSFEKTPAMSTYLAAWAV GDFEYVEGHTERKYNGKNLPVRVYTTKGLKEQGRFALEHACKTIDFFSETFQIDYPLP KSDLLAVHEFAMGAMENWGLVTYRTIAVLFDEAKSDSRHKNRVAYVVAHELAHQWFGN LVTMDWWNELWLNEGFATWVGWYAVEHLHPDWKVWSQFVAEAVQTALQLDSLRASHPI EVPVRNALEVDQIFDHISYLKGSSVIRMLGNHLGNETFLKGVAAYLKEHAYGNATTDD LWNALSKASGQDVKQFMDPWIRKIGFPVVTVAEEPGQISVRQSRFLTTGDVKPEEDET TWWIPLGLKSGAQTKSAGSALTVKEDTIRDVDDCFYKLNADQSGFYRTNYPPDRLMQL GRMRERLSTQDRIGLMGDATALAVAGDGTTAGLLTLLESFRDEDNYLVWSQISSSLAK CRAVFASNKKVADGLRKFALNLVSPAAEKIGWEFKEDEDYLTIQLRKLLLGMAGGAGH EGIIAEGKKRFETWKSGGELHQNLRAVVLNMNVANGGRSEYDTVKHEYLQSTSVNDKE ACLQALGRAKDSDLARDSLEFVTSSDVPIQDSHYGPMAVSANNSTRDEVWKYTKTQWQ RLNERLGVSNICMDRWMKMGLNNYSDHGIREEISDFFKDKDTRAYDRSLVVISDTIKG NANYKERDEKLVLEWLEAHGYA EPUS_01265 MSTQPRKPQVYYGKPRTDPDPNRYDYLFKLLLIGDSGVGKSCLL LRFADDTYTESYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHG ICVVYDVTDMDSFNNVKQWLQEIDRYATEGVNKLLVGNKSDMSDKKVVEYTVAKEFAD SLGIPFLETSAKNASNVEQAFLTMARQIKERMGTSTVNNSKPTVQVGQGQGVQSGSAG GCC EPUS_01266 MELPVMGDNDGWFDIGGHRIRDPASCASMTAPTTTAPASLLMAC GNHAPCYSPSSSNADPTQTDASNTLTSDQWRMTNRIASPYQESFTITPSSSWSTLATI TRFSLVEMSSLLSSSTASSLTQSSTPAIDTNQANQANIGSISTSTSSTSSTGISNTST SASASGADSKPSSIWIGSVTASGDGTDPGPTNRATDAASACPSTVVAMVFQLAAAVPA ANSPPNARTPEVAWHPRRDHAWTRARTRTLTLPAEKSRGGRQPRARSGMSSKDLLREV ELDWTGLGWTGTDKQGRVEPWVAVGGGGGRVSIPIPIPIPRFPTPTKLTHACIRDTNK KKKKKKKKKKKKKKKKKKKKKKKKKKKNATIAI EPUS_01267 MAEGEVVLPALLQWFNSLRAQKVRSLSELSSGVVLWEVLHDIDP EAFADELPLAADEQNPLKVRNVNLTNLKHIYEILKTYIVERCKRKLPLPSGEPDLEAI AQSISEKDVIQLLKLVLLAAVFGRLSLDYIQQLIYFSEDAQAQFYLVLHESEAIEVEE DFAPSPPDASMGPDDDRASPLSENGPGKEPGLVYEERIAELVAANKGLEHKTVELEEQ LENMHDLHTKLQKNYDNLEIQQKDTAERLDALRSGKGEQSILGIQRTKMQQQETIIAT LETQLTSLQEENNNLKIQTELLQSQSAGFQQMQDDLYELKLDREQLQRKANAADKYKQ KLQSLQKADEENESLKYRVGEMQRQLKECDSEQLNVSDLRRENDEYRQLVSNIEQQLI ESNEAKKRAEFENMKLAAKAQQADDQASRWHLRAEELQTMFNENRAPESPTTPRAPLS NGLGLTASEDGSPSVSNLSEEMASAAIDDSNMISEDELQSIIRIMKEHNKGATNAEKT SSIQEQQKLAAKIENSRATTKALSQVIDLLTQPRVSFVGVKELDGSSPYKPVSPHIDD LASVYGVASQSARSSVTSLSAASRRSSAASFHSVQSSAKNRRTSVLRGLFRSLET EPUS_01268 MKLFLLSYLLICLFGLTVIAVAPQKAVIVTYPDDAPDSVLDQTK KEIERAGGIITHEYKLIRGFAAQASTRALEAVQALSSQYLPLIEEDSIVSIDGDLIRG GHTN EPUS_01269 MSAGLPSDEVVDDYKNSLDDLVTNDRISIGNLTVIAKECIEHAQ AIARVLENHILRAPPARKLPAFYVLDSIAKNVGTPYTVYFGLNLFHTYMNTFASVDNN VRRKLDEMRKTWSQPVPGSQSTYPVFQRTVTSKIDEAMDSWARKQFQNRPPQIQSRGP VAQVQAQPYRSTPTPPQAQPAMHQNYSGYQQYLSVNGVSQMINTTPYSSMPNAPVQQL PTHYSQPPAPVPTPQAYFPPQQPVAQQNFQYGQPSYPTPVPTTVDLDALNRDIDDLIV DAKIGSYSNPMDRASQQKLASLQSLKEIVDSGTLSQRDLGDVRKSISEQLAARSNQQP APPSVPTPQPPVPFPHQQPPAQPPPPSTTPSFLNSNSLADLLRATAPQHQPTPPPIHA TPVPPPVTYQQDHQSHPAPPPAENPLIAQLRASGLLPATPPSLGTPFTPPVSNINHAI NNNTTTTTVAVKFTSSSFKTPRPDLLKYLTDARPNRCTTCGRRFTSDDQNQDPDGGSR TSREYEDDEGPPEDTNGDGSNFSPSATGGTTAKNRNKREAFIRVPNDAKMRSEPCPIC QEKFESVWSEELQDFIWRDALQIGNRVYHASCYQEATKDRENNGATPVAGGNGVGTRA RTATPDSVLGKRKAALFADGDGGGGGDGKAVKVKMEALS EPUS_01270 MNEPSASHSPSPITDAEAILDEISHRAELELQSQPLPTQVNLVK QTRRLFDHYSKRGTATSLHNAISRYFKPKTEDNAELTFYPPLPPDYTPPAPDPKDSRM VDDESKSLVRGMPFYVDRKLHIRPNVKLPEGFLEGIDRIFRIYQAQAEAMLRHHCEKY GEEAIFRVGVRSLILSRSEMLFANPFGLDPETRLFYLQVYEKHPDLNIAEQRLLARAG RVQVDVVEAFWEHMTHIRSNYLAMRTVIAARELRKQRQYREFVKQLTEEHRLKAEKQK REEEQKKREQERKKRERDEIIRRRRQFQILPGAQHGFPRPG EPUS_01271 MSSAVAELDGYLQSMLGLKPPGVSGSKITSITSLCTANVQSESV LIQKIYTHFKKAPGTHKLGVLYVVDSVTRQWVDQARKAGQTPGSGAPDGTFAAGVNRV TELLPVLLTDIINTAPEDQKARIKKLLDIWERSNTFPVAMLSSIKEKLDAPKPNSKQA LLSVHGQYAQSTTPEGTPSPDTIMTDPQRTNPNPPAPAQNTSAILAALASMAKQSSSA PAAPGIPAQASMHNVLGQQNGQPQPPAPSVDQAQSATNGQAVNPLGALFAGMTGMSNG GQGMNQNQNPNQNPNPMTAQPNPLAALLPQMQSQAQVPVPHAMPQNNAAVSPDVQQQV QLIQLMAAQGIPPEQWATALQLLNPNAPKLGAAGMPNFGQPPQASAWGGQNDGRSRDA AGQDYVRSPTYGRRRSRSPGWDRRRDVSPPRRRDSPVYGEYHGDSPGRNRDRGGRRDY RQRSPPGRRRRSPSPPQALPPPGQKVIQWENLPKGMIKVLSRTLFVGGVTSSEAHLRS LFSAFGTVQTCIVNTDKRHAFVKMINRQDAVKAREGMEQFKSGDMQLRTRWGVGFGPR DCSDYQTGISVIPIDRLTDADRKWMLSAEYGGTGGRPIESGMVVEEPDIEIGAGVSSK GKHPTSSLYSDCTINPSPIPLSCSSLRGIISFVILSTAISRRMATDQGGKHGPQSSRG DNRGSNRNDGRNRFRNGYDDRDRDSNQRDTAAAPINNPNPNTIGVPPSVPPPVPGFGF NFANGMPMFPPGFMMPTGQAQQQQQQQPPGNE EPUS_01272 MEPGLSPPNPPYAMLFYPSCPSKLRLLTTPSQSLKETFAAKLPG ELEKVKKLRKDYGSKVVGEVTLDQVYGGARGIKALVWEGSVLDSEEGIRFRGKTIPEC QELLPKAPGGEEPLPEGLFWLLLTGEVPTEEQVRGLSADWAARSDVPAFITDLIDRCP SDLHPMAQFSLAVTALEHESAFAKAYAKGINKKEYWSYTFEDSMDLIAKLPTIAAKIY RNVFKDGKVAAIEKDKDYGYNLANLLGFGGNKDFVELMRLYLTIHSDHEGGNVSAHTT HLVGSALSSPMLSLAAGLNGLAGPLHGLANQEVLNWLQQMKKAVGDDLSDKAITDYLW STLNSGRVVPGYGHAVLRKTDPRYVSQREFALRKLPDDPMFKLVSQVYKIAPGVLTEH GKTKNPYPNVDAHSGVLLQYYGLTEANYYTVLFGVSRALGVLPQLIIDRALGAPIERP KSFSTDAYAKLVGATL EPUS_01273 MSTFDARTAPGVQPKKLEKKPIKFSNLLLGAGLNMFEVTTLGQP LEVIKTTMAANRTDSFAGALRRIWQRGGALGYYQGLIPWAWIEASTKGAVLLFVASEA EYYAKTFGASDFVGGISGGMVGGVAQAYATMGFCTCMKTVEITQHKLAAAGVKPPSTF QTFMNIYRKEGIRGINRGVNAVAIRQTTNWGSRFGLSRLAETAIRRATGKDEGQKLGS FEKILASGLGGGLSAWNQPIEVIRVEMQSKTEDPNRPKNLTVGKTFRYIYSQNGIKGL YRGVSPRIGLGVWQTICMVALGDMAKEAVEKLTGDKVTAKH EPUS_01274 MNDQGVHHRQNSPHQAYHGFRQLAPSGMESGMHNPRSSMTGTHL PRISGAPSPRHVRQGSIRSLQSSPRMLQSSPRLQRTGTPTSTVGRAAYSMSPPPLFDT ASRGSQHTIEPHVVDNPALPSRDITNTNIDDAYVKFILYANPGVPRDVDTTELRRVFR APPRSDGKSFSIYTLWQLIKKLENKELKTWSQLAIELGVEPPSTEKGQSAQKIQQYAV RLKRWMRAMHIDAFFEYCLGKAHRYFTDLPTAAANSSEEGRDGVPLEEDLALRALMPE WRPKRGRKKIEEKESENNSEQPSAKRPHLDSHHSAVEYDGLGVHSAIFPGSAASWTAY PDDMNQDAWTMASAMSQGHNMPPNINPNMYSGRSGFEFTRWRRDMSPSGYPQSAITLS HREPEPIINEPQSAITPSASSKPKSSRRRHGPAVSSAWPSQGNASSGKQRGRPPAQKA TQDEPLSAIPADGRPNGHSVSTQNTHHEDHVIVPTVTSQAPPQQLSQSRPNKKLQLQV PERLGGPVRLATPPLVLVNGSGHLRRSSADYFRNPEGDEADDGESIIVEQTRPSETLH DNHFTIEDVARSFATRLLHIKVIGRPVDLSVEEANMVANKAVLSICGDTSGAGPRYRR ILAMKCAIVLGVEKEMGISSSSNADSHSHLSVRSVFQNADGSVSRSRKLNSSGTTDGT KGSYNYTISIDTTNSSSTFSSSILIRDIIVPAQVSSNVMEDDFSSLREQIKALSEIPA SAIEPPTAINGDEDTTDDAWRQRFLDIRRDEKIAEEKLRRLKRLMLEVVMGSE EPUS_01275 MTISSSRPRRTGHPPISYRDDSTDEDTTTPPLTRTTQRIRRKSR HSRSSGEETDISEESQARNVNRSRPKSSSGNPSPAIRASRRTRNVKNYKEESDQDVNN FEPEVVHHVRSAPKAQSNPRKRPRSGQPSSKGGFSAFKRRKVNQSNTSQHGKTKSAAV LAIPPGRIPPWQTLPYQILLSIFQYASYPLYRDASHDTGSIGWLIGVSKLSKSLRDAA VAALLYSPPLFPADRAHGLQQLLDAPQETLSVSYRNKTKRLEVEVRNLLIKKSGIELV KLIKQTPLLSALHLYHNNDRVGAVSWAQPAASTGRAWSYPLEIFDALEENGIGLKEWS WNGRFPDTKPVLDQMKNLHCRECLKGLRSLSLLNIAAPEKVKECEEGNVETSLTTALR CLPELQELQIQNCSIVNHRVLPFLPPRLRHLSVINCGEFNSVDLRSYLTHHGYELQEL ILNGNQALDLWFAGSLERLCPRLQVFKMDLTYTDPTAYHDVDPHYEGVFPDGIMPTWP RTLQTIEIENLRNLDAQDAENFLSSLIVIAPELKDLRRLCIRILLQDDGWRERAKLRQ IWMPKLEDVFLRQAPPPTPFVPLALLPPPFPPTTSSSRPSTSHSTSSSSFTTDDSTAA TPNKRKSARIAKRELSDLANEAFMRTSSTRKGSEIVGNDNLDTEEFRQGMCSKVVLHI DGQRPADEQFKEADFLDEELSGDEDWNGRDVEAPSRYAW EPUS_01276 MARNRLMLMGLLAWAALTSAAPRPQNAEADYVLVGAGPAGLVLA EYLTRNPRIHVVLLEAGPDTSTDPMVTTPGEFFAVPQMWPYFSQPDPGLNGESPNLAQ GKCLGGGTGVNAMFYCRGSASIYDEWAEISGNDGLRWDSMLESFRATTHWTDEPSIEY EQPINTTSFGNGPVEISRQRELLTVDQPFADKLVSDFNLPEIDFASGGGIGVTQGLES IRASNRTRSYAYNTYGYLANNRPNFELHHDAWASKIGFTNNKADSVTYNDTLTGTMHT IRAKEIVVTAGAINSPQLLMLSGVGPADRLRELDIPVVQDIPQVGQNLYDHHYAVLEY AATPEVDTVWQWRANATRATIERERYERDGSGMLGTVGGDVFGALRIPDAVFEGTGSF HTSLPADRPHVAYEYITVPALPTLPNVSIITTFAAVVQPEGKGYLTLASADYRDAPLI YSNYWASQADRAAMLYGYKELRGLMQSDELRGFVTAELFPGPNVTSDDAIWAAIQQGS QSFHHPGGTTALGTVLDANWRVKGTRGLRVVGMSAAPIIPTCATQAAAYAIGHRAALD IAAADHV EPUS_01277 MATQPTFEDVLGCQAALYEWAESYDNKDWDRLSKCIAPTLRIDY RAFLNKLWEDMPADAFVGMASDPKVIGNPRLRTQHLVGTSKWVKTSETEITGYHQMRV AHQKYADDKMTEVVAKAHAHGKGTMWYRKVDGVWKFAGIEPDIRWSEHDHDKIFEEGE EKFGEGN EPUS_01278 MVIYTPSRSDTTFSGSSKMKLVYFSNEFPHDDLQGLLRGLHQHS KDRRHPILARFLEEATLAIRDEVRQLPTSLKALIPPFENILHFADFADLRKGQLCGSI DGILLCTVELGTLIGYYENSPEAFDFDTVSTSLAGLGIGLLATAAVSLAPTVADIPLA GAQVVRQAFRLGVLVDEVSQNLQPRDLTDTSTPDTWAYVLPDVAADDVQQELNAIHAK EKTPEASKVFISALSATSVTVSGPPARLKGIFRTADFFRDRKFVALPVYGGLCHAKHI YNEDNVHQVVRTRSMEFLNSRLSPRIPIHSTSTGRPFPATNATDLFEHIIREILTQAI QWDSVIQGVIQRAHDIAASQCEVLVFRISLPIHDLSAALKTLPDFEPSTEELIPWIHE KTTPDGGPRGPMQSKIAIVGMSCRMPGGATDTEKFWELLESGMDVHRKIPADRFDVDS HYDPAGKRINTSHTPYGCFIDEPGLFDAPFFNMSPREAQQTDPMQRLALVTAYEALER AGYVANRTAATDLHRIGTFYGQASDDYREVNTAQEISTYFIPGGCRAFGPGRINYFFK FSGPSYSIDTACSSSLATIQIACTSLWNGDTDTVVAGGMNVLTNSDAFAGLSHGHFLS KTPNACKTWDCEADGYCRADAVGSIVMKRLEDAEADNDNILGVILAAGTNHSAEAISI THPHAGHQAYLGKQVLHRAGIDPLDVSFVEMHGTGTQAGDAEEIQSVTNVFAPLTKRR STKNPLYIGAVKSNVGHSEAAAGVTALLKVLLMLQKNAIPPHVGIKNSLNPKFPKDMD KRNVRIPYEKTEWPSVPGKKRVAVVNNFSAAGGNTSLAIEEGPVREVTETDPRSTHVV ALSAKSKISLKGNIERLLGYLDKNPDVSLAHLSYSTTARRYHHNHRVAIVASDLAQVK KQLTSALGSVDSHKPIPTTGPPPVAFSFTGQGASHKSSNLDLFHHSPQFRSQILHLDT LAQGQGFPSFVSAVDGSHPQDYEHSPVITHLALVCTEIALAKYWASLGVRPDVVIGHS LGEYAALHVAGVLSASDTIFLVGQRARMLEQKCKVGSHKMVAVRASLAQVQKSAGDKP YEIACINGPNDTVLSGPVTDMDAIIPVLEADGFKCFSLDVAFAFHSAQTDPILDEFEA IANSGVLFQAPHLPVISPLLSKVIFDEKTVNANYMRRATRETVNFLSALETAHKIGTI DDETAWIEIGPHPVGMGFVKSTLSPVNVAVPSLRRGENNWKTMAQSLATLHGAGVQVG WNEFHRPFEQGLRLLDLPTYAWNDKTYWIQYNGDWALTKGNTFYDAEKGLNKAQIAPP EPKSSLSTTTVQQIIEQDLNGTAGMVVMQSDLRQVDFRAAAYGHKMNGCGVVTSSIHA DIAYTLGEYLYKLLKPGSKEVHMNIASLEVLKGLVANSKPETPQLIQVSLATDDIESN TAELKWYNVQADGTVDEPFASATLFYGNPAEWLASWVPTTHLIQGRIQELERLAESGI ANRFTHNMAYLLFANNLVDYAEKYRGIQSVVLHGLEAFADVVLTTEKGGSWTVPPYFI DSVAHLAGFIMNVSDAIDTKNNYCTTPGWRV EPUS_01279 MIPTAEDPSVYLGDIYILQGDVIIGMVGGIQFRRYPRILLGRFF SAPDDSKAPPVAVAASSKSASSKPAATAKPAAHQAAPKPAPVHVATPTPKPAPTPAPT PAPAAAPAPAPTPAPEPATNGVQDADTTTSKAIQIIATEAALDLADLTDDASVAGLGV DSLMSLVIAEKFREQLGVVVSGSLFLEFPTIKDLRNWLEEYYD EPUS_01280 MTQTNGSTQKQLTCQDGESTEVWRALSQYLPSRDPDSDYWWRLT GRQLAAVVEAAGYPIMKQYEALLFHYHWTLPSLGAAPRADGVPIKWKSLMSLDGSPIE YSWKWNTATSGPAAVARVTWGNHFLATLYEHDNSKHMRDAAAGAQMGSSIHLATELTP KGPSLKTYFLQGKIGQEDSRGIGLRHIPLVVSIDNKAPAKSRLKWYFNTPHTSFASVR EIMTLGGRINTPHLAAGLADLQDLIKTCMGLPDDFPEDAELSAAAHWDSSRADKFPDQ GKKLSGFLYYFDIAPGYTLPDIKVYLPVRYYAHDDLSLAHDLMRWMEARGRGEYCQRY LHLLETLAEHRRLDDGNGLQTFVSCLFKKNGELDITTYLASESFHPARLANRRATRRR ET EPUS_01281 MVFGGPAFDPKKIPDLAGKVILITGANGGLGYESLLHLAPHNPA KIYLCARSQAKYDAAMKGITAAVPNAASMVKYLELDLTSLASVKSAAQTFLNENSRLD ILMNNAGIMATPAALTKDGYEIQFGTNHMGHFLLTKLLLPTLQSTASATPDADVRIIN LTSDGHSLAPRNAGFVPDAVRTDMQDYSTWTRYGQSKLANILFTRELARRHPDITSVA IHPGGVATNLANSFTDSHRFLSLLLVPVLKAMTAKPSVGALNQTWACVAPVQGRKVVG GGSEKEIKSGGYYVPVAKPDVGSKFANDAELARKLWEWSEEDLERQGY EPUS_01282 MASDRDASEISPLLGKTGNGSIIGRIDSVAVDGGLTTNAADITA DVERRTSLDEGRTAQFQGSPEIQKKLKYIVPALSVGILLSAADQTIIVSSYGTIGNEL KALNLTSWIATSYFLTLTSFQPLYGKLSDIFGRKACLLYAYAVFGLGCLFCGLSQDIK QLIAARVFQGIGGGGMTTVVSILISDIVPLEDRGLWQGYINIIYATGAGCGAPLGGLL ADSIGWRWSFLGQAPLCLVAFISVFFVLKLPPKEEKNWKTNLGRIDFLGALVLVFAVF DMLLGLDRGSNVSWSTPLSYGPLVASVLLFALFILVEMKYAAEPFAPGHIIFDRSLFA GYLCNFFSFSGWLSGIYYIPLFFQAVDGHGAAASGVRLLPAILAGVTGSLLGGLVMKK TGKYYWLTVTAYTMLVGGMVIILLFAGTIVNSTWGLSLGMVLCGFGNGVGVTTTLIAL ISNAAPEDQAVTTACSYLFRSLGSVIGISLSSTVVQQSLRSYLIRDLGSGEEADRIVQ RVRRSLEYIRTLDPEVRDMVRRCYGHAVRDAFALMIGITFFALISSFFIREKRLSR EPUS_01283 MTPSLNDPRYRPALLALAGISAAYAIYLIRQRYLTPQQNPDRQT QLRRRNAIRRHDLPRRNRTRSSPRGEDSIEVSEQAIAALRSREADGRSYGFLDIHSIQ HELGQDTARRHEIQCPLLPGMLPTVEQFQTQEGITEEDAQVLRNHAEVMFMDAFLANQ YPPSHHIASLEASYLSEELSNMGIGSAVIQQSIERFNTDPNFGSEMRQNPNNGARNPA LADGAAEYQRLGDIMQALDGGETIGDEQSNFEWREGNGAGSADRQEQNTLNLLYNIAA DKARMDGYIHRGVTCDGCHTMPIHGIRYRCANCLDYDLCESCEAQELHIKTHIFYKIR VPAPSMGALGNSRQGLPVWYPGKPSAMPPGLPRQLSSRLLHETGFDTAELDALWDQFR CLAGCVWTSDPNKLGMAIDRKVFDRCFVPPLSIRPPPPNLIYDRMFAYYDTNNDGLIG FEEFLKGLAGLNDKSREGKLRRIFQGYDIDNDGYIDRKDFLRIFRAFYTLSKELNREM LAGMEEDLMEGGAREIIHGSQPISSAFPSNIPDGHVSRSGTGKEVDANGDLTITDHRG VLDEDAHDIGDRNQIIADIAISYPATHPRAFRPQTSTDSPLPGTSQVESVAPNIDSAP DENPLTESYPPTDESGSSLHDSTHSWPPFGVRVSDIVNALGREVPLSEIIDPDDRRKV QSAVLRRVEAELQADIEQRSNDAIQERWRRRRFYTDVEEGGSAPQGYTEIDSSDDGTG DTGEAIDSITSASASRPLSPRSRSSSKVRFEDSVTDTDYETRSNTSSRSIPVGERWGG YDISEIEKDVGKEILYQAVQQGFNELLDQLFREKEDLTMEAHRTRKERRHHAKEIQAY AAVLKAEPGLGDADHESKQKKEKATPAASDQRPARKEVELSTLLHAAGYGDGDADSDA ELDADADADALSPTNPPPPPPDHPLYTHQTQIYGYRMRDHLYRDPTMPQFRPNDSETI APPSASASASASPFPSTGPTTTTTLPSMPPMNVTSTTTTAASSLPAQTAPTDLSDFSS PQTREMLTKYLLHDRIDAEAKTRGGFGRLDYAEFVRGMVGDDTTNNNKNNSSSGRGRT GNGSGSRSGAVGDGDVDVDVTGRGIGGDKQQQLQLGRLGFVGSWIEMASF EPUS_01284 MSIRDAYHAGSWYSSSGPTLTAQIDQWLADVPDELPGIGKTPIP GARVVISPHAGYAYSGPCAAWAYRSLDLSKAKRIFILHPSHHVSLSTLALPSVTAYST PLSAFPLPLDLSTIQRLLTLTTVVSNRTLKFTTMSQSVDEAEHSAEMQLPYLHRLLQR QYPDRPESDYPPLVPIMVGSTNPATESAFGKLLAPYIADPENAFVISSDFCHWGSRFG YTYYLPSAPSPALPPTSLPNGVISSPADNAATQLHDDPNLAQGSALGSRDKPARNEPA VHESIAHMDRACMCAIATGKHAALLKALKDTGNTICGRHPIGVFMAGWEEVESNQQNA SEVGESGAVEKGRFKFVRYERSSDVVSARDSSVSYVSAFAVL EPUS_01285 MGHKRKASDSLSPHQSHPITNHTPPAGWNSPASYFSNNLNSSRT RKRLRDGRPDEETIHQNTLSKLYAAQQQRNEQSDAHQDHSIPFTPSPTPSRTLPRTES TTSATNTIPHHREKAQASLYAFFGGGHNQSVPQIPGPDPQSSHATTLPSVATATPQCE DCSAPLFPLSAYPNDVTTVAGDTEMMDIDATAVGYGISSSSDEGIAYSCVNCGKRVCD TCAVRGDWRVCLECANPGNGSRFSQGYGSGYGYSSGSGGSCGAEAGNMSAQGAGASRM GDKRWVGGIGWL EPUS_01286 MATNGNPNNEGMTEAKLGLNGSPENMNHEEHETAKRAARLGYGP LANARTNDSTRLPAFGGEFQPGLYKGVEKRKFANPAPLGLCAFALTTFVLSLINLGTL NLSAPNVVIAIAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWLSFAIILTPGGF QIVATLEEEGGVQAFHNSFGLYLFGWFIFTTILLICTLKSTVAFSALFFTLDLAFLCL AISFMQMDAEGNVHPQLTIAGGVFGLLAAFLAWYNALAGLADTSNSFFIIPVAHFPWS EKGREKRGLNSDKGHSA EPUS_01287 MRFHGAISALLLVASHYTCACPTQIDEREAAAHLSPILKGRDVT INTYDYVPTNGPLTWHKTPGNGLCKSGTRQSPILLGNEIHQTGVGAVQFSAPNAAGKL EHKGTGIEVKEVKGTLKYAARTYELDNFHFHTPSEHRIHKEHYPVEMHMVHRDTNGNN TLVLGFVIQLSTKQYSSLPHVALAKVGQISPGQHVMTTTLQFDEIAYYTSHQRFYSYG GSLTTPPCTQDIQWLVGTEPLHMDVGTYNALKHAVKYNSRIIQNSPGSTNVIQLAC EPUS_01288 MAAAFNIRRPLRALSSLISRKRRELDPTQLNKSLSTRLLEVFLR TWDLGLTAFGGPPVHFQIIYGRFVEGKGGNKWIDEQTYQELFAVCQGLPGPASTKMIF CITLLHAGFIPALFVFILWSFPGALAMYGLSLGVQRMSEILPSPVYALLSGLNASTVG IIALAAVQLAEKAIKDKITRILVIFGACAGVCHNALFYFPVLMIIGGLATFLWDGHLK PQIVKYKARRRLRRSQDEFSEDTANDNAVPLEDTSSLPNVAHRRNNAISSRQSAPHGS SAHELSEAPVQKDHTIRIRLGVPIIIAFFASFIAVLLVRGLLRPLPLSFSLFANMYLA GTIIFGGGPVVIPLLRSYVVDPGWVSGRDFLLGLAIIQALPGPNFNFAVYLGALTLQR SQYPTVIGSLIAFVGIFFPGLALAVAVQGFWRVMRTNPGVLNVLRGVNATAVGLVFTA VYRLWEIGYLTPEVNSGRSLALNPWWVVVATVTYAESAWFKVPPPLAIVIGAVLGLCW YGAVGR EPUS_01289 MTPDLPTLQDSGRISFFSLPLEIRNLVYEKLLVSPIPLPPTPPQ SEQHIWTAILRANRRIYNEAIDFLYGRNCLAIHLRSNLNQSAYDPFLSSLSVQNAMKI KEVEIVLWGRYNEEDGDTVSFGTENFGIALQNLVYAPKLVVCIDIDTQHDGDEVELGN GGRIFCAFDWVMATFVDEWFFNKTYFDLFRAVARFHMSRMVPISQTKTCECRWFKESR ANAALKQHERTLLWDLEDRYGLTHEDDEERPCASQEEGTGILEEDQDIQDGIDDEGLE NNGNAASEGDEEDGEDAESSEENDTDVGDDTNNEDYSRTSG EPUS_01290 MADSTAAPPPGPPSAALPSSFDSNPEFFEEKRMAKLARRIREEP LIPLGVAATCYALYQAIRSSQVGDHHRTNRMFRARIYAQGFTLLAMVGGSIYWKDDRM KRKELEKVLVEKKAAEKREKWLRELEERDREDREWRSRFEGVAARAKEAEEGAMLRLG AGKGKREKKAVEGGEGVVEAVKNGANQMKESVREGTGHGKESLQGAKAVAEQVEEGAK QGKQSMKQAIEKAFQNKTVLEQVQISGWGSGTWFIREAWRRR EPUS_01291 MAEEPLVNGLHTPQTPKPHGLSMTEYASNPSPPSERVHKRAEVL SQIPAEYLLPNGWPDYLRLILTSRVYDVIEETKMSYAVNLSNRLESRVLLKREDLLPV FSFKLRGAYNKMAHLPPDARWKGVIACSAGNHAQGVAYSARHLKIPATIVMPSGTPAI KHKNVSRLGGAVVLHGADFDAAKQECRRLEKLHGLTDIPPFDDPYVIAGQGTIGLEIL RQASLQNLEAIFCCVGGGGLIAGIGVYVKRIAPHVKIVGVEANDASAMAQSLIKRKRV LLPEVGLFADGAAVKTVGEETFRLCMEVVDEVILVSTDETCAAIKDVFEDTRSIVEPA GALALAGLKKYVAAHPSPDPKRELVAIASGANMNFDRLRFVADRAALGEQKEALLLVE IPERPGSFAQLVEAILPQAVTEFSYRYSQTSPETAHIMMSVSVTAASSREAELSSLST RLASEGMTMTDISTDELAKTHIRYLVGGRTNVADEKLYMFEFPERPGALAKFLTTLKP GQNISLFHYRNYGGDVGRVLAGIQCPETEKAELEDFLKKLGYPCRECSDSAIYKIFLK DQQRCS EPUS_01292 MELAAAIGAFTSLAVNAAQIYSKLSTLAYQFRFASFQILRVAQD VSGVEAALHQLSELLKDEDLPRYVEPENKSRYLIQNLTSTCRSLFESIEKSLRDASKQ IKAKGLSPGVEITLTHGEQALWPFHHDKVESLMKELNAIKTTLMLVSQMTTLSLVKRL ATGSHPRLKDNLHHVDEGDKELLYRAIYSSLQDPQIKRILHSMDAQLRIGGSISDEAD FVQGAGPSVLPPASPDRALGQNASTFPAGVISVKSSQETSPPAKNAAWGDSMPENGIS RSRASSVQEGCSPEDPKSPVIQNATTGNNSTAKFSSAEDVARNKRGLKEDISDCVPHV PDSAVHRHSNTLLRIMQQRPSNIYGVLQNSPSGRPEWSQNSRSRPASKFHKMEAQSVF WKVANGYSKQKDRYEVISGVNRIAYLFMPQLHQDPQNTSVTYKVTEIELSQSEIAHAL SYWGACKSGARHFDTWEPSQKQALDQIETERGKLFPAHFIIESIQYTNPRIFSSTTAL LKSHDILVVVEELCLKPGQERKAGLGGMGRHRTKMAFPIPHFKSRSPRAKKSPAEHPA MGFEHFPKPVPSDASYLVMICGTTPQGDQ EPUS_01293 MSTLTSQSGSSPADSKERIAVQELGRPDVDLEQIGEKEGYVLDE EHLRKTLNIPDSAIIKTAADGKTVLIPQPSEDPQDPLNWPAWKKTVILYIVSLTAFTG DYGSAIGGITLLPQAQEWHMTPDAINHATAGNVFMLGAGGIVVVWFSAYFGRLPVLMF FQSLNLATSVWSGSATNFESFMTARILHGFFATVAAAGGLMFIKDMYFFHQHPRKINV WATAVILSPFLGPFIACWIVAHTTWRWAFWSLSILNAVAWLLIVFCMDETFYPRNLAP GQVPVRKSRMLRVLGIEQWRTNLVPNTFLQAGMRSWTAITKLPVLLSCIYYFMTFAWV IAVNITIGVFVIPAYHFTFDNLALLYLAPIIGTILGQIVGHWLHDYLGDMYMARHNGR ITPEARLIAIWLSTPFYIVGIVIMGYALGRHWHYMVLAVGWGLQNFGIIIITTAINAY ALDAYPEGSGEVAALLNAARAFGGFVAGYVQIVWAHRSGEIVEFGSQAGITAAAFFIV VGLQFYGERLRKWQGAMNFATHSPAFAYALFTRAAALNCEYDSLLLRAARVSTHTSSS SPLVRSYATVQSDIFKPTKYGGKYTVTLIPGDGIGAEVAESVKTIFKADNVPIEWEQV DVSGVDTGDKHSEELFQESIASLKRNKLGLKGILHTPIERSGHQSFNVALRQELDIYA SIVLIKNIPGYQTRHSNVDLCIIRENTEGEYSGLEHQSVSGVVESLKIITRAKSERIA KFAFSFALANNRKKVTCIHKANIMKLADGLFRNTFKKVAEDFPTLETNDMIVDNASMQ AVSRPQQFDVMVMPNLYGGILSNIGAALVGGPGIVPGCNMGRDVAVFEPGCRHVGLDI KGKDHANPTAMLLSGSMLLRHLGLDDHANRISKAVYDVIGEGKSRTRDMGGRATTHEF TAAILDKMEAAL EPUS_01294 MSFTPTIAIIGGEYGLTLASLLQHNHIPCTDFESNGSRDIRGQG GSLDLHAGSGLQAIVEAGLWEQFAKFMRPQGESFTPKKPETMRHELRTILLDSLEQGS VRWDSKCSKAGPAVEGKDDIHWKVTLLGEAMILLLARMVLGPSWMQIATPADRVRSDV NKIVRTGAMIASRDKQTIWAERHYDGSIQAALYLCAAETWVRDVDMDWSHQLSAKKAV VDRYLQQSNGWVEPFRQMILGGEENLIAKPLYMLPVGLSWKSRNGQVNGDAAHLMAPF AGAGVNVAMEDALILARSRETTWQHFDADGYCTDLRKCSNHIQQLTWDVWAA EPUS_01295 MQPTRTKAELQQQKNKLANSYNELLEEFSAKDLRHVGNYTLGRL IGKGSFGKVYLARHNLTNGSKVVLKSARRDDANLAREIHHHRQFIHPHIARLYEVIVT ENLVWLVLEYCPGDELYNYLTKQGALPLDKVQKIFTQLVGAVAYIHNKSCVHRDLKLE NVLLDKNENVKLCDFGFTREYEGKSNYLQTFCGTVCYSAPEMLRGEKYAGEKVDVWSL GIILFALLAGELPFDEDNDQATKEKILREEPKYPANMPDDAKSLIGKLLSKRPLLRPS LADILADPFLSDHAPQQQALLKLTQPAPFSTPLEKTTLERMRSAGVDIELVIENVLSQ RCDGLAGWWTLLIEKEERKEKRRERKRKEKELEAKLIRRLSGASTRLDRVAPTLVEVD EEGQSPAIKDATQNRGRTERRSTPHILISDLPDLPEGSAVESPKSEMPPIPIEKDGTR SASSSRPPPPPKEHRRRSSDLRLNAPASESLAVTNGIQKQRPSRRHQHSLLNQLASLK HWLVESAKRARSPAKSSSSTSNHKGASLKEKEASSKQPNILRASGAHQRNVSQATDRT HSSTGKPVTPKSARYLNHTSNSRPSSLVLQPRIDTRNSRHRDSLSPSPLTSHSSFRRS HPGLRGRKSTSSSVSSIRSIHHHHTQSKASSVSSNSIDTVHTPTGKSARSPHTSIKVL PATPSASTKLPSNVRPGRPSLGNSEGSVYNEATPVAGSGDHGFSGSSGLVFARRKKSA FKGPMLNTSLFAHSVPGGSGTPGLRGREDSRPDRHLGVRRSTSGRSRSRKRRSVVIEE EEEEDEQEAEEVEAFSPVEIRRGESVHSIMIWDERVDPKPSGGFGSDEQPSEEGSKVT PD EPUS_01296 MSFSLHHYVLLLVCILTCASAVPTPAELAPRACSTLGPANISNL NKTAPDAYLNGLTFALHRDGGANSNTIKSVVSFAYIPPGATGCMLQIDIPKLPTRNNP GPIASGTGTQADVWLITNPQRSNPYDVNFIYEYSWNKPPVKNQFVSTTIFPTNSPTDA PYRTFLWSGTCQQQLSFQFELSDWQQGSGDVNFFNTLGGKIGLTPIGFRIVYNC EPUS_01297 MWLLSYWILPVISAAMWLGMLLAMFIHWFVISQPQYPSMEASQD IAYISDVGAYGLKPLFITGSVITTVFLDLSFFAERYLRHTGRLARNTSLAQVILSIIS ILFALAGSAGLVLLAIFDTYRHRRLHNYFLLLFIAGFILSAIFVCAEYQRLGIHYRNH RILRTSFWVKLAFILVEVALAIVFGVMTFRGNRDVGAILEWIIALLFTGYIISFFFDL LPSVRTKHRVCPGSEEELPMSKQSRRQSGSDRGVSVHGAGPDEYEEDLTHDSAGPVPN PPYPVSTPYHETRQGTNTPNAYRANGYEDGVNGYTNRTGRISSWVNRWRNA EPUS_01298 MAGRPGAQAGMPRDDLDASGLSRQFDQLLRTRRLNELEERVRSP RSQSPHHPRSASSNLSPRPSLNLPPAYTQFRSLPIAPSPPQDAASLKFRNLLITLSYT PIKYENPGLLDEALTYIPTQRIYEEADEEHNIMLASAASMGDDVKPEWGYQDCVIKAL LRWFRRSFFTFVNNPLCPICGSPTDAQGMTPPTPDEAARGANKVELYRCSTATCNAYE RFPRYSDVWPLLETRRGRCGEWANCFSMLCRAAGARVRWVWNSEDAVWTEVYSEHQRR WIHVDACEEAWDNPRLYAEGWRKKLAYCIAFSIDGATDVTRRYVRNPAEQGLGRTRCP EEVLLWITQEIKKMRRENMDKPDRSRLMKEDAREEKELRSYVAQALTAQMVSTMPGAI QGSSNYVSSLPADDVKTPVGRQDGSSEWINAQGDNGTNIPDPNQRHERR EPUS_01299 MDIHRCRFVPYPSQAINALAFSQPSDTQRRCPPDQRLAIGRANG DIEIWNPAQGLWSQETIFRGGKDRSIEGLAWTQDLQDTATEDDEVKEAGRLRLFSIGL SSAVTEWDMARGIPLRHANGNFGAIWCLAAQPRWTSTGGDGEQHDPNRISRHDQYLAA GCEDGTIVLFSTEDGDLHYLRTIAKPPTKKPRILSVTWKDRNTIIAGCSDSNIRVYDI RTRQTLRTMTLGKSAIGGNETLVWAVKCLPNGTILSGDSAGDLKIWDSNNYSLVQRLH CHEADILDITANANGSTIITGGADRRTVAYKSMPGDKGDTTRRWTQVMHRRFHKHDVK ALASFESQDLSIVVSGGLDTAPIVMPLRGWQKEYHRTLSHLPQSPQLSSAPQARLFIG WWERQISIWHLWRHAEPSEAPESLSSSHTSHKLLSQLFLKGEENITSAQISNDGRYIA VATVSNVKIFRLRSQRSSSDGRVNIRTKKIETLPSIGRFGARLLAFSPDTRWLCSIRL NNEITLVRIEDSSDSEAPPHFSKHAAKLNRIKSKTSRDTKTAGLDGYLDTITTTSFSA DSRLLAVGDLSGRIATWVLEGLGASSNGFVNGIHNDRGSGSPQSASSLSSGESSSDEE DDSQSIHGQRWRKNPAGAYLPNLDASILVLAFRPSTHENPTNTGRTEIGLHPTRHTPH PRAHDLPTGEDRLIAMTAEHKFVEYRVLQGMLSEWSRRNPASYLPQQFKRIKERAMGC FWDASSLHHRLWLYGSTWMFMLDLAQDLPLEEPSGAVGMDDVQQPQQSSKQNKKRKRE EFEAEKLRQRGSGAGGIVPLSESYVGVSNCSRRFKSLTQEDSQMIQLDETRSAASEED EGSGLGLMALTQLRRRTEQPLVNGHTEHQVNGVPSLLRETSHTNAPQSKHGDKPASFI TLRYRSILGIVSIGGAGDGNRPMDTKNHGDELDPESGFEVAIIERPLWDVDLPPRFDG DQDWET EPUS_01300 MCMMGTLGGVDRPSTFKALERRKEDPKSAPSATIGKPLPAKTAD ETEDETPKKSATPTVKPSDSRKTTQEPKKTSAEPETSAPPKTSAATKTTSAATTRKES AKPTSRTPSPTESTKKAASSSESSVFATSMTSPSAFIPASSASSTSLLTSTDVPQPTP ILAQQPQAANRVSVGGIVAGVILGAAVLIGMAWIAVAKWRENRRHRAHFGDDEAKHGL SAAGADDHYSSSHDSLLAGGKLVRDSDGLRLQSLSSPRVGAQSFVSSYHSPTSQVPQD VLPTISPIEAKSLPGLPTSEHQFSTALGSHPIIAGMPSPRIGMLPAGQQAGGSAARKP LPQINVSSPVHDQRHPSLSQQSPAVELP EPUS_01301 MATSFDEKHGAEIKGTTVTGSEPPTPVPGINRTWSTSFDEKHGA EIEGKPVMGSEPPPPVPGINRTWSTGSYPRLSEFMGLWPEVAIFRRFGALNAQNLLFL QAEIAHLERELQVIREREEQGEDERGLLAQRSWFELSQPTVDGEYSPQWAVIQDIRSK LSEYNAFLLQYKEICALHGPSKHDVNCLREWLIRPECGDNFLEGVERDILHPRDERYL ENQRASDLVTLSREAVERDFFSQWISDEVLGKFHRWIGRHYKKPFDPESGLYHYRKGN VRAVSHLVGILLASLIPAASIFTLYFVPHMTDRLGVIVAYTALFSICLGVFTTARRVE IFAATAAYVSFLSILAFSGVFGYF EPUS_01302 MVPRQAPTITGTPPTPIEPQEKRSLRSRSSQFLDTFVQEARSRS SSASSRHSKSSDGVKDVIANLGLAAGTVGAEHIKPPGPSDEDMLEGVRVFLSGFQNII RRAKAGHGDNVEIIEVDDDAKSVHNPHPITQAERNPFGTSTDENADQASAAELQETAP EANSTSHRERRESYPRFSSMYREASFGEFVEDIQLEKGSGEKMPGRARLDTGMTRNAV SHSVALMLGYPIEEYTGDPCIVADGTEFSPLGQVVLPFHFVNFQTAKTWHIEFIVFPD ESPFDVCLGRRFISQANLLKRNPEALPVAFGHKKSSEQQDIRIRTYQADYRSGHTKEK QDDRYVDRRSYKTTSGSGRVKDSKKWDPTHDKQKDGPTEDLTEDEPVATSDNKT EPUS_01303 MADDKPMEMGSRTKVSKPSVHEAISDNTNDQIYDSGRNVLERYL GLKPMVSFGLTLQASWEAIAITFQAALLNGGPVSLVYGMILSAVGSAALAASLGEMAS IDPTVGAQYRWTALYAPRVMSPAFWSLLQGWLTVFAWIASCALTPFLLGTMVQGLIIF NYPDTYIYERWHGTLLSWAFIVVPVICNVHGRRLLAPLEIIGGVCHLLFFICTVVILV VLATRSTAEFVFTTHVNEISGWTNPGVAWCIGLLSTTFPLVGFDGVLHMSDEAKDAPR RIPLSMILTVVINAVFAFAFIICLLFTLGDLETVLASPTGYPIIEVYYQATKSKAGTN VMMLMIIIVVLVSCFSILASVSRLTWAFAKDHGLPFADFFAYVHPGLKIPLRSLTLVT ILSCLLHLISIGSTTAFTAILSLTTLALYISYLVPISLILLRKLEGRHPAYGPFRLGR WGIPINIFALLYGIFIVIWLPFPPVLPVTALNMNYAGPVMIAVIIIALLDWVISGHKR FSVPVMKENL EPUS_01304 MESCLLSTGPIIRTGPNSLSFNTAPALQAIHRDRYANVKRGDWY KTLDISSGAYSTQSVIDKGEHAFRRRVLNHAFSERALIDAENVIADNVSSFCDGLGTL PRDAKPGDWTERKNMSDWSTWFGFDFISNLSFGKSWELCETEKNRYVPNVLRGTSQFL YYAGYLPFAALIRPVLGTPVMHYIGGQAAADSLRFTRLANSSLVERVDAEEQAQSSGK EPRKYVFHYLLKSRDPVTGKGFSREELQADSGLLIAAGSDGVAHTISAAIFYLLRNPE VLAKLTEEIRSAPTKHDAREVLAGGIDIDGRHIPKGTTVGVPAYAIHHNELYYPSSWD FRPERWIVDGKTGVTLDSVGAARRAFCPFGAGPMSCVGKNMAYLALKAALATLLYQYD IRPAGEPTGGGSLDLEEGRRRVEEYQMIDYIAAYRNGPMIQLRARA EPUS_01305 MIFHTERNQSDSEIEGKKPVKEKAHGKSAQRELSVPLIEDSNQN SFEVPSKNGDSDAKLIYSVSNAHVNSRGVSGSLYKINISRGMTCNVYEVDARWAWVST EAVRAEGSHGVKLAVKRLSRKITPKQFLQEYDTLRAVTEARHRNIVEFLNAFRYADRK NTVYYNFSFPLAAGNLKQLFRSTSAHMIQGTDHFETEPVLHRFPEGFYSTALKSFWSE FEGLASALAYLHDPSNILLYEGSGVPPIIVKLTDFGLAVALQTKLSWRLGTQEAQSAW QYDAPEIREDQSSFWKTYASRKTLQPTSEELKSGDVWKLGSVFIELLSFLVKGDTGVL QFRKFITTTHNELTSDDISDTRFDDGKKAKDEVLEWLSYLATIDVRVQEMEASLRSMV DIAFASILMARGIFESHHLDSFVLQALLTSARRWSRNGSATVWNGGHSKMGTGRVLKA IQEISWEWSSESLYIDVPDPVAQAYKETSQPISHIRQPVSCPPAPSYPPPTHNSKQIA DSQFGMILRPLRNNQTLHQLRSSSPNRGRLGNISAGSSASTGALQSQNISREIYWCVD KAWSEPRITKLCSVQELHQILDDKSLCEQLMKEYNRVRTWKGRLLSWKSCLGVEFIKF SRPYPGQDDVVKIQIGLPPSDPLAYEYTLWKPEEVHMKIAATQLIASIYHPEKASGIS TTLKMIPKRITAQSGSSASSEDWGMHALQRFSLWKIMTWIALLTILGLVFVVFWLVYI DKTDLQHAFMPYTFLATMVLIGLGVPQFLEVD EPUS_01306 MAIYNFQMRTFLLNFIPSILPYRLAGFSPLSLPIHSVIPAKMYS VLGQSFTTLFTLLCLCTLTQAAPRGPGGPMHKPNCPYHSVEQGNTRVFNRFWYDPNDL IESNGGYRAYTEDHFFDDFSITDNTPNYPSPVKGVQFPPPGNYARSRLQFADIHFWVV PQAEAVLGKPNTDPSYPGGGGMDDNDCLIYRWHHRLLATLQKPYGGKPAGANVSWYDT RVIKFQNYTAEDNGQDYWIKSATVTMDWSDWYK EPUS_01307 MYKLAGLIESHAETLASIETWDNGKPYSVALNDDLAEVVLCFRY YAGWADKIHGQTISTTPQKLAYTLRQPIGVCGQIIPWNYPLAMAAWKLGPALACGNTV VIKAAEQTPLSILYLASLIKEAGFPAGVVNVLNGYGKEAGAAIASHMDVDKIAFTGST ATGKQIMKMAAVNMKNITLETGGKSPLIVFEDADLEQAAKWAHIGIMSNQGQICTATS RLLVQAPIYQAFISKFHAAISSTSKVGDPFSESTFQGPQVTRAQYERVLSYIESGRSE GATLTTGGHPQKDVGSGKGFFIEPTVFTDVRPEMKIYREEVFGPFVVVAKFETEDEAL RMANDTTYGLGSAVFTRDVERAHRVAARIEAGMVWVNSSNDSDIRVPFGGVKQSGVGR ELGEAGLEAYCQTKAVHVNMGTRL EPUS_01308 MASKTWQEIARAAREHRDQSLAEVKPPLPEIRSEDLPLNVTAIP WKHLSSFEIELTETSPEKLVQSLASGKLTSTEVTNAFLRRAGIAQKLTNCITELLPSR ALARAAYLDSYLSIHKTPVGPLHGLPISVKEHAGMQGLGQNAGFVSWWDRTAPEDAHS LQLLWNAGCVFYARTTQPQTLMHLETSNNFYGETVNPYNRSLTSGGSSGGEGALLGMR GSCLGIGSDIGGSIRSPAANNGVYGLRPTSGRLPLSGFAATMMGQEHVLPVMGPLSTT LEGCKLFMRTIIDQKPWLKEPSLVPVPWRTNDQARFGAKNGKKTLKVGVLWNDGVVKP HPPITRALKEVVEKLNTVPDIEIVDWKPYKHDLAWEIIASLYFADGAKEVKEAIAASG EPWRPLSHFIITENKYCKELTVPEVWNLTLQREKYRVEYAQLWNETAVDGDPDTVVDV ILCPVGPGAAPPLDHARYWGYTAQWNLLDYPALVFPVSTVDQDKDVVERDYDPMNDQD RWNYELYALDKYVDAPVSLQLVGRRFDDEKVIEALEFIKETAQI EPUS_01309 MRTPVVALIALHALLAQGKLNSYSTVAFPPAVRRALPNSPNGYA PTPVTCPSGQQPVVRDASSLSPNETAWLERRRANTVEPMRDLLNRLEIEGFDVNTFLD GNANNISALPNIAIAFSGGGYRALLNGAGVMAAFDSRTENATAPGHIGGLLQSATYVA GLSGGGWLTGSIFVNNFTTVTALRDDTSATVWNFNSSILEGPEQEGIQVLNTAEYYQD ILDKVSGKEDAGFETTLTDYWGRALAFQLVNATEGGPAYTWSSIGLMDDFQSGSYPMP IILADERAPGETLIPRNTTVFEFNPFEMGSWDPTTFGFVNMQYLGSNFSGGVVTNDAA CVVGFDNVGFIMGTSSSLFNTIVALADSPDLPQPVRDVLAQALTSGGSENEDIADYPN PFFGYNNATNPSANSSTLTLVDGGLDFQNIPLHPVIQPDRNVDVIFAVDSSADTSFNY PNGTALVATYERSQSDISNGTAFPSIPDVNTMVNLNLNQRPTFFGCNSSNITSDNPVP LIVYIPLSPYVLFSNVSTFDPLAFNDTFRNAIIENGYDVATMGNGTVDAQWPTCVGCA VLSRSLERTGTPVPQVCVECFQAFCWDGTLNSTVPATYEPTLRLGSLNRPSSAASGTR WPNSLLLATLATTVALLAML EPUS_01310 MGSSSSKVAKTASSTARRYPATSSLAKSTSAPPIQPTSSEPLPG PTVHPRPSASTSRDRNVDLDARDPDYGSRLSQLGPVQPPRTLSNSSTFAPTPQVVKSS SSSSSTRSPFQGQQVFPSSSSNPELLIVQARDHFAKIFEEESESLGRQSFKGRTLVSA AELKAVLSMRDDGRKPPGEIEKQLRLRPGILGRLGRPGLVENA EPUS_01311 MVYLWSLLPTVLAVSNLALCRASSWHFSDATVSVQGKGAGVGGG LKEKSAHATESVFSANTFTRFVEHKPLSAPVTLGASDSLKVVLTIQDGKMAKRPHQAF LLLKDTDAGLEISYPFNVKESGKAKVDLTQKDLPSQFLTSSKPVEAHLVLGSFGSSQG YNDRIFSLNIATDSNNPIPTTGKPLRYGKLPEIHHIFKPNPSSPNIVFSLLFTGAVLT TLPAILGVWLYLGANVNHLSKAFQSAPISHTIFYGSIIGIEAIFFLYYTSWNLFKTLP VLFAAGTVTFLSGSRALSEVQERRLAGER EPUS_01312 MATQSPMTRSTRSQSPSAKFYSRTFSSRNISQHEGDASRNEDMN ATAPLVKRRKLNPGSDTENAMDARMGRFRTASTKISQYPSSRQRDTARPKRAMEVGSS GARAPRTLTSSAYTKRASALNLHNYPADHHPVGIAIWVIQKIDSARRERSGSTSSRES SPGALAASSSPAGIFQASGHASEVDEGDDAEDRRQAQRERKIKQRLENWAKNKENDVV ARAKLDAKKKFGSNPSTNPIVLSNGQIEKPSPSDERDSYIRRRIRDDFTRLMNRQTKV FADEISKLEPFQAGFSRKCFPATGLAFGSDANLVTAGTLLVNVLPALAEKEVSRTSQL AADALIHALETGSLSPESFFDAVALLAENRQILEGSDRILAQLFSRSSSSGNSKVLRT SSGADSKLFATTNGPFIIYNTIENTEKATPASSRHSNRSFGAANPTPVRASPRERRPT TKMLSVSEVSTRPRTRTSTSPRASPSKVLKLSRPSKLRGSVSFANSPSPPPAPAPPQK RVKLRHDSVSAAQDEGEPHSPEPELARLPQSINQYQSEQTAPLQITPNEDLIKTLLES ALLASQEETDNESELENQGATAQNGAAETHASGSVQLAPAKQNLQQQPHSLPKSSRPT AHGDACQPSLRVSATAEQMAKFNRIVEQLPASVANLNHEQVKHVAALYDQLAEGPTFE RAKELGLSYPEIPRPFADRDGWKLTGVVNEYDEEIVEIDPQSWVYAPERSDDTQQPLR RLKHKAQVEQEQVFGFPPIAGFGNAPQHTRQRVERVDEENVAYEKLMSDVRKAAADRG LPHDKALGWEQLARSIRSFDADHGIALEGDVTTSVVDRVRDEPDEPDDGLLGPVEASE DSNAESDDDSEYEPDPVVKNDVEEAWTGSNGAQMSTFVAVNGRRGSLRKLRDRPSSSS AQGYQNRAMDVTPVLYHPISPATLICHHRDQRPNTTLVLLPCPAPSTPSTDPSPPPTP QPPPRLERPP EPUS_01313 MISTSSLASRPPSTQPLDIDNTNAYNTAKGYITSNNTSGSANTS NPGSSPGGLSGGFPHGGVIINAPDKRGDGRGQRRKSKAPDFKMTVHKAGKEGPKVQAR AVRGGTVLKFT EPUS_01314 MESNFGNRAFVYPTLSQLHSEFIDSLDMVAKIQPPIVLPSQSEQ GTVPYTPLPFSSNQVSTKQSMNHQASASFSNSSLTMSHRTPSSTSRPVVCRLQTPTTP SGRRTPSLTPPTAIPPQRSQQALQPTPTPSQQLQTPRQIPHRIPTLPQPHPQLQTPRQ TPHPIPTLPQPHPHPHLQPQPPNPPAPAARPTSRAPPSAPPADREWKGLKAAGNREPY RVQGGSGVHPASKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKK KKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKK KKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKNLLREIKP LARGVRHACSSCNYSTTRLQGQEHRSLCSN EPUS_01315 MPLYLNAAHSSRIRKPGSTTPSVKRSSSSPFSTLPRRKPVQRRK SVGNEIHIAGDDESEKLGDTGQVISLAGMSPVSNVLQAIKHSHGSMFSDVPERAGMNS TRIAEVLNFRRSLPLIVSLAHVHALLAASTRTEREVANLIAAGNIRKLSVSGRGNEVS GLGEFLVHTEDLEAKIRDSRLEPSTLGKLIELLHQQPRSSTISVKSLSAGEITALRNA GFLVSSGISRKSSVATTAGNRLAPATIARQASGSVEAAGGEAAFESLGGIGSARSDSC DLVATGSGSELTLSLPNTGVYLRLINDARAHLLHLLGKSKYKEAPLYILRERWDGAVD SDNKVSNAKKVRGEYAGVLAGRTKKWKQLYGLNFDWVLEECLGAGLVELFDTGSVGHG IRAV EPUS_01316 MTTLIVSLFHPYTVNFDVPKEEIDVPIRPLSRPAPIPNAKSQEI SLLNKSYGQVTPPLTPSTTEQDELFLRDISCSRESVPTLNSFKATTPFESHSPGWGMG LNWNQPTSRAKSPPPVSILQHSRHRGQSTSHMRKAMQKQRAREQLSGVSRDRQFSHAG WTVERADLGNAGLSNAVKAVSNEGFLKEKLWVGTLGMPTDALEDHVKSGIAEKLEDDF ETLNVFVDDKDFDGHYSHYCKTMLWPVFHYQIPDGPKSKAYEEHSWKYYVKVNQAFAD SIAKNYKRGDTVWIHDYHLLLVPSMLRKLRPEAKIGFFLHISFPSSEVFRCLATRKEL LEGVLGANLIGFQTDEYCHHFLQTCSRLLGVEASKHGVQLENRFVNVDTFPIGIDPQA LEESREDPEVADWISIITEKYQDKKIIVARDKLDHIRGVRPKLLAYELFLKQYPQWKE KVVFIQVATSNTEQGELDAAVADVVTRINSHPSSVADVLLVFLKQDIPYHQYLALLTA ADCLMVTSLREGMNLTSHEYVYCQDGKQGSSKHGPLVLSEFTGSASIFDGNKSILVNP WDRRACAAAINQALEMSDSEKKRRWHELHSAVMHYTGSSWFKTFINQLDHVYGEQSRQ DGASIPRLSYDVLKQKYDNAQRRLFILDYEGTLASWGSPSSIVQSTPRRALGVLNDLL DDPRNIVYVMSGCMPEEMERLFRQVPGLGLIAENGCYVLQAFSGSDFQDSTWTSLIDE EKVNAWKEGMRNILQYYQERIEGSHIEERHCSFILDFKNAADAPGAKQKVDECADHIN GLCQDQHVHALSPDGHLTVEHDRINKASAAAIIVNNLRRMQEGKGTVAGFPDFLFVAG DSREDEHVFRWANRLGNKKVVKDVITVSLGHGVLSVLQRLAAGR EPUS_01317 MALTFSDIAPIQPLTTHTYSTIIEDSWNIGSVPHGGYTTSIFLV VARKHMTLNHPSRNQSHPINLHLEFLRRTSASTNTDSTPATFTVRDLKLGSRVSNLHI TLSQPNGPNHALRDNVEGYIIMSNLHTERGLTLPTSFTLHPTPPSRPSNFSALESNSG DENWILYPPSAIPAFRKAAQHIRIYLPRPEIQPRSSAGTAKNHLDQWVRFHPEGRPGS WTNDSLGFVVDMFPPIVAELFLLDEADAADAPSLQIKGKVGTRRRPRARFWYPTLSLN LEVKKALPEPDGVEWLFVRVSATVIRNGRMDLQVLVLDAEAEVVAISSHVALMMGTER NLAGRTSRI EPUS_01318 MADSTIIKTRCFCGANEYSASTSNSSLPLRRHFCHCNSCRHGSG LLQYTAVDWPSPVPDSNTLTKYAFSKNCDTYFCSTCGAHMFWHTHHPTDYVAVVPGLL ENPDSILDITSHIFVGDTIDGGFSDWLASFEGRPLPKWEAEEETSKVLASGWNGSEGQ DLAQDLAQDTLRAHCKCGGVDFLIKRPREPEEADKPLDPKEWWVRSKNRYLASNCACN SCRLTSGLELVQWAYVPTPRLANASGERYQFNCSTLKSFKSSENVTRYHCGRCGATIF YWSDSRPQVIDVAAGLLEAPSGARAEGWLDWRMKISYEGDATHGRLLKAFKEGLEDWG KRNGKTYRVLRSPTFRFFVGKDRTCLTVPKCLAKDLSDPLHAMMNNEHMEEAREGRAN LEDVEESTFVGFCEFAYTGDYRSRMKDPKPEVQSNNDAPSEEDVVPEKAAAEEEPMAA EPEPAPEPAFDVPIEDTWGGWGHNKKAKKGQKKSNTEHLWDDFKLLTFDDSVRTNEQP ALNSDPSISHTPTASLLYHAKMYVFAQKYLIHNLRILALRHLHGCQQNLALTKRDTGD ILEILEFTYTNTDRAQSNDDDLRKLIVHYAVCEAKILKRDPDLRGLLEEYGELACDLF YKS EPUS_01319 MAGSSSEPSESPTDTSKVSPAPGARSSSATFVKPSNPPKRSPSQ GRSSNDPSPRPGKRPRPNPPPMTAAAALQDKRRAEKSQIPSTKTSPNPAKEALSVLMG IDNGATSAVQDTPLEPAKTISEPLNMVAQHIQEKPNDTHSDSPAQTSPVSLSSIGTLE SNVALSGLETATVDSPRSIDAASQEAEASPEKASQRPSSADEGPKSFSYPGPMLQAQV HSNRGMSLPGSESRNSERTSSNKKHRCPYCATEFTRHHNLKSHLLTHSQEKPYICQTC QSCFRRLHDLKRHTKLHTGERPHICPKCGRKFARGDALARHNKGPGGCAGRRGSTGSF GGDDDYEGGNEESMEGLYGEPEAMEEEEASGGRQSVPSIRRQGPAMNVRGQAAEQGSF QPRQPSTYPPIQGRPPGGIAAALFPPPPPSGPSGSGSSASKLSQGGTHSYPPAPGSSS NLHPAGSGGSIFPPGSMTESPKPLSPGAPHGTHTEGGLYRNRSPSMTSQFQQQSYGRG SSRRSPPPASMAAPLSTTSQLPPPHPSGLNPPDARYTLPSQGPAHPPTGPTGPPTHMS GGGLSSQSNSLSSHGQSARGSGENSAAIFSPREDRLWAYVKSLEDRVNGLQSEVASLK DQLAAASQPNSR EPUS_01320 MCAWQYQQYDANHRQPWQSPQNGRSSWQDPRFTNQNSHIASPPV AQSPLNPVQAYNIPTAPSSSGLGVRYHQLQQSGLSSSNKPPLPPRPPSGLAHTPSPAP LAGGPIWQASIWQNPVLQPQSGYVQGSGYDLGQQWSSGAFSNQQPHSHSNYQPPLQSP APPAPPPRPPAYQSQAQPDSLQWPHQQTLHYASPLQQDQEDHPQPHNFSQPNQIEQPY LENRPITQYPLTSNETQNHHNDQQPPVSPEVTHAVSWVSEPPVLPANQHVSIESGSSA LGAGGPSDWEHFQASAGDVEDEGTNTANAEDRLGKFAVNDAYAELPTDSSQTAPKQES SGHAPMQRTQSTSSVSVVGEAQAREHSVSPEPSINGLRRTGTIDGVIQAWSTPLQSNI TPGESRKSSVSTLDRSRRDAFDSIRSSSGQALRDSPENRDQQGALESNQIIQPDQQHS TSPSNVPVRQDSIAPPSLSTKIIDRDPYADLGPEYRASLARYVTMLRKEEAAPEEERY EIFRAFVLKESRLRSILYAVDADDTDIWNPARARAKKTESTNVVAAPSQTNAPGASQS LPLQVGISEAPQLASDSSPRLKIDTNTAVNEESFVVVERDDQSEYSPGGRPRMPRRVP QRTVSHPAPAPTQKATSSPSDYAPIVVDELMRSPINKVLAAKESLPLRPGTAPLLMQS SAINNPIKFEPPRPAYTPFRYAEGLQRSSEQHVIARPAYEAYSALRHQSVDSGRILAQ GTADLISPIRRDTPVSANGRVVRSEHEEAFLGLIREKSRVYRKGKLGKAAGPLAEEPM PLPKLVDRQTRAVNGLRTFIPRIMPQQRQRSHKILEQEREMNRFPDIFTWIPETVVKW DRQNRVIRKKQEDERQARQEESGKNIDALFNEHEIGYSDIGQLELEFKLAEATRRYDE DVQELDSFTDQVFNPVTERLKEELIHLKAQYMRAVDVLDLDSDSGSQYIQRNDDRMSR SEALTILLALYEKMQIRHVKIAEAHYERERRRKKLELSVLYTNGDSEAVKKLEQEFSK AERQQALHEAREKDSRANELMDTFDRATVRGLGDNQQFIDDVSAGLSSINDLLKERPE NAKELLLAGLHETLRSIEELLDYVANDSKSLLMLSNKVDNMLNSADYDVSVAEARVSN ATQASMKLLQEEKGREDERIKAEVDTRMGSVAKGPENALFLLKSIIKRTGDDPQHQDR VQKALEAAKLRNASKTPVG EPUS_01321 MRSGLVAAASAALVTSVAAQASTTISQSMPPTATAGFNSAPIPR QQRFQWCLGQRNACPQICGGSATTNNCEENDLTYSCVCSNGTVPDVSAYRDTLPFYIC TETFNQCIAAHPDDAEGQDTCQENQRCGTLNATAVESSSSDSSASSATSATSASTVAT STSAASGSASAASTASAAPTNAAVTVSQQISTTALAALFMTAFKLFL EPUS_01322 MNADTQDSPAPAAAISHFKSTTWAGKYLDSSSYRLIPTSPRYLK ATGEDAFFARTINTPTTIPYCLSLCRHDLKLPEGGSPFNRIASPSTKSSPSPTPSVFD CVWLLHLAEPGINGHPRTAHGGVLACILDELTGMCAILHQADRSIPIYTASLETRFKA PVILPTDVICRAWVTRKEGRKYWLRAHILDERGTVMTEGEALLIESKTKEKL EPUS_01323 MLDTPRRARLLADARHTAGKLPRTEPFKIHNISETTGYRIIKEG TARRSQKVHNRGRKQVLAQYECEAIEAVEDANFGFASSSHFKVAKTIGIANGSERAIQ RNIKLQEVQRTAVRTNQCPSTFCDMAVSINSVQLSLPQAIIAGFFLVLGFLYFAFQIS ELTRVLLSTFVTPGKPLTSFGPRGSWALITGASDLGIKWEMNPPTSPDLNPIETIWRI IKQRLKSRGVIFEEAVLRRAIQEEWDKITLDEINRAEMGVLSPIKGVCSIAGI EPUS_01324 MATGKQQKRLFMTGASGYIGSVVTELAAMDGYDVHALSRSETSD EKLRSLGAVPVRGDITSLDVLRRESAEADTVIHLATAYTIGVGTYDAVMSVDMAAVDA IADGLAGTNKPLVITSGTLLAEPDPTGAETTEASPPSSHPISARIKTEQHSLALARRG IRVVGVRVAPFTYGRGGSGVKLFMGMSARAGNVTCVNGGKNHTTVVHVDDAARLYLLA AQKGKAGELFNASGSTDFTARQIFDAIAAALEVPVRDITYEDAQTQVGETFAWFL EPUS_01325 MDQAAEKPRKCPGLECENDASTLQCPTCLKQGTESYFCSQDCFK RSWGEHKKVHKSTNPLRKLFPPNIVSEPDPESGHFNPFPTFGFTGPLRPVYPLSAKRE VPRSIPHPDYAADGIPRSEQKFVGRHNVKVLDAKEQEGMRKVCRLAREVLDIAATESY PSPLNYYHFPKSVCTSPNEVICHGIPDQRVLLEGDILNLDVTLYHEGFHGDLNETYHV GEPARADADSVRVVETARECLDKAIEIVKPGMLFRDPGNVIEKHAKSRDCSVIKTYCG HGINQLFHTVPNIPHYAKNKAVGTAKAGMCFTIEPMISLGSYRDKTWPDDWTSVTTDG SRTAQFEHTLLVTEDGVEILTARLPTSPGGPIAMPEKVEAVPNGLK EPUS_01326 MEDTGRNIRGTAPIDESSHFNQIKLNLFCPDLRDTSTTPLNFTK SINLALSERGINALRHSQHPQLVNSIIVETIPMYGRMIHGLSTTGTPTQSPQAYDVHG RHISAVDRGGLNKRLLDELEKMPNVEFFFNHKLTGADFQGRKAWFEVRRQPEEKSGPG HRAPGIEVSFDLLLGADGAHSAARYHMMKYAQTNFSQEYIDTLWCEFTIPPSLEHDFR ISPNHLHIWPAGDFMFIAIPSLDKSFTCTLFGPTSLFENLKNKKDAYHSPLEDLFTEH FPGIIPDLISRQDVHEQFNRNPHLPLINIKCNPHHFDSSVVILGDATNAIVPFYGQGM NAGLESVRILFEFLDDHGVYAANKKADEVQQARAAALAAYTAYRVPDAHCIADLALQN YIEMRSDVRSPIYRIRKFVEETLDRYLPGLGWATQYSRVSFGNERYSKIAQQTNKQGK ILAMSLVAVAAGLIGGGGGLLARRWYHARQGPGWLSKWLMTTGREVEKRGR EPUS_01327 MTGAVEAASLALAVLPIVISVAENFSSAARALKRYRHFSQEVGH LSKLVRLQRTIFQGEIRSLLASCVGWDRAEQLLHNADQSGWDDKDLEESFVASLGNTR ESFLELVQWINAELSEMEARLSGFEEVAQLTKEGDMRNDRLWRHQIREKSRFALSQSR LQESMNRLKELIENLRTLVSQTKRSEQRRPLSRPSAQAQQVIQQFVLVQTASRNLYEA LSTACTQHTQHQAHFSLQPIPVPGAHQVRFSVGFRQVIASTSGSKQTSWFTVESSVTP AAHACPSSTEHKPLAVLGQSIKRGRTPSSPPPERQPSKLRGKCIQFEHSSPPRSRSPA VTCKAPETVSKPPLSDLWSHSQRAFRKRKTHAKELEAGLNDLEAASNKLHEDNKRLKR ELANLASKNEILRATSSMGPVSRSAHGSQSARQDDEPTNPHSGMQGVSRLQLSSLYVP ETVSKPPLANLCSHSNFCNHLQNFLSRSAPRSECCIGYFEHSSKTKHLIYLKGQEPRE DEVFNVPSKSLAELLVQYQGNSDQTAGIAQYERLRLSRKLATAVLQFYSTPWLRNSWG SSDVLFQLQQPSSTALIEDLKEPFVDVSVRDPTVATNGGQSTTCYPFAPNDFLFGLGV MLLELAYQKPLRSMQQSCDVANSHDERHTLFFTAKRLSRLVSAKSGSRYGEIVRKCLA CDFGRGDDLSQPALQEGVYREVVCELAQLEELLRAMDLGS EPUS_01328 MASKNVEVAVAGKQSHLQNWINDQSSNSHPSSHFELGFVTSFYQ ECCQSLAQIWDASQTQSTVVPKRQLQESITRFVLWGSGWSEGRLDFCLNGSVELRNNV IELLSGLAKALLQICDSHLRQPKPVTQEHVRALIALREQARQFSVPVDSDSEASDSEA SDSEASDSEASDSEASDSEASEASDSEGEASDSEVCLSDEEASTAEISVPRLWRRALE NIEFHTKCLMDLLPSMEQTYKNVCDPGLRLDDGSGKVSFRVTKAARPYVLQVHDKFRN ASISLAERLGEANWQRFMRVRQRMAGGLRANDLEDLHVGARSTCFPASKFHDSGPGSS LRPESSLALTNASHSSFVSNLSEKDGSRARVPATPNEVAEGISFECFICRRILTKIKN RIDWKIHVFADLQPYICTFDSCRSMLVTFPNRKAWSEHELTQHRTHQSWRCRLCATTF TSETSLAEHSQLKHELPQHYCKLLAASSVAKSIDPLPVTDERCPLCLQEGWPSRRKFE THVGRHLEEIALSVLPRDVESDSDQQSDADATSEVSTWSARDDRILEMARTTKLSLAE ICEDYLPHKSAVSCQRRTYPYVLEIKQWKIALRLQVPHNGNTANAKHHHPHQAPVHEE ETIRISKLDNTRRGKAERQRARTAEEDFRIERKRRRSVQDINRELAER EPUS_01329 MATAYLPQENERLDRERRLAEREAAVHELERVREWGRGHEQKRV RGSFSGTYDDLSIAKDAPGYGSQASQPDVFTSLNADLKVKPTLLKEHERQNHSADPEH QATFGSSTPALPDDAQSRFVPTGSGVQYTHYTYTQWQEKRDIITQLYLKEDRMYRRKL EEWDIGRRLEMSAILLVARQRQAAGQESAFWIRGRKVDMEEVRRYFEARGEDPDSLDV QDSPIPSTITVETPPPNLTLKHDSELDMRTSNSFGGI EPUS_01330 MDAIKSTLAENFGGPTHNLVDAKHQFTLEETPDQSGKVALVTGG SQGIGYGASFTLLQKNLAKLFVLSMSGETMDGAVNDVKEKLGEEAAKKITWLQCDLAD WKAVAETSQKIRDQTDRLDILVLNAARGIMTYQLTDDTGVDLHMALNHMGHVVLTSHL LPVLKSTASDGHKVRITVQSSNAHQNAPSDTRFASLDELNRDLGPNGQYGRSKLANLL YARYLARHLTPQNPNILVNATHPGFVETKQSTKDIHEPYPIAGGVMSTLMNPLKKDQW MGAVSTVYAATKVEDSGLYICPPAIPEQGSKMAQDEELGEQLMKLTREIVMEKTYSDS KEKSCPFEFY EPUS_01331 MLHKAVLALLLVICSQVIAQEPFLYYNTSIPIGQAGFVRWDTNA FAGPVNLWLQQLAPNASAPQVPLDYSNTKGFFLWSAGSNLTPGQYFYQLQSVGGQGII FKSNPLMVLCSECPASTSSLPPLTSGATATATGADGQPTAGVLPSEVYSSSGGSKLSV GAAAGIGVGGALGAVAIIGLGFFLFRRARKRKQSQKQPPSNFAPSTHHPSLAPTSPPP QFSSPYSHPQEVKTGYGDPPPMSLAPDFGPGRNASHELDSAALAGSPQTSAYHSQAAS MTPKRMPSPLSTGIIAPQPRSPPPTLTSSGFQYQRQPHGQVSPPIATGQRTPVSTHDS TSYGFPSSETSPNPESEGFQFQTPQQQAGMSSPDSQHRLYPRRAVGSTGTRGVSQ EPUS_01332 MTSSDHVKNIAVVGASGLVGGAILKSLLESGNFDVTAISRQDSS AAFPSNVTVKKGDYKSPEFLESALQDQDVLILTFAVTTPPEVQSDFIKAAAKAGVPWI LPNEYGQDGANPELAKVVGLLGMKERYRNEIEALGVSSWIGIANNLWFDFSLKGGFYG IDIAARKAEIYDDGSTSIVATTVPQVGRAIARLLSLPVESSSPCLSDYKNKFVYITSF SVTQNDMLAAAQHATGTTSADWTVTHKPVDRWMQEGREMFAKGERRGMINVLYGATFK KGLGDQFHGREVANEKLGLKEEDLDEVMQRIVKEVEAK EPUS_01333 MKAIILSVLGLAVLTSAIPSDYEDDLVFRRAVNDQCKAPEGTGS CQKTSNCAGISYPTGLCPKDPDDVQCCVRINCNVPNVGPGFCRSVKNNGCSGGNFHSG VCPGNSDIKCCVKAIAGPPTPPTPAPTVTNPCTAAAYDKLMFSDSISTFMSAKNSESP SCFNWGDDGCSCSPDELFGYDFLDPCKRHDFGYRNGKDMGRFDAALKEKVDNNFKDDL YDVCNKFSGLQNFRGVECRRIADIYVAFVKKFGKKKRDETSALLQKRECDLKSALGL EPUS_01334 MSRTYADAVASLNTLQSNFAVVDAIRKSGRSMNKLAIPEMVEWV QKIGYQPDDFNRMDLIHIAGTKGKGSTSAFISSVLSQYIGKHPDSGTGSPLQKIGLYT SPHLRFVRERIQINNKPLTEEKFAQYFFETWDRLEASAVQKGQDPAVKPVYFRFLTLM AFHTYMKEGVDGAVIECGIGGEYDSTNIITKPVVTGITNLAIDHIGVLGSTIEEIAWH KAGIMKEGAKCFTVAQREAARKVLEERAREKGVDLEVVPIHPELQSDSVPLGLSASFQ KINASLAIAISHDFLTQRGFTDIGTTPLNESFKQGLKDVRWGGRCETRHENGIKWCID GGHTLESIELVGKWFAEQVSNQQNTITANRRSERKRVLIFNQQTRDANALARALHATL AAALGDEKPFTHAIFCTNTTFKDTGFRPDLVSVNTNAKEIEELSVQKGLARAWEEIDS GTDVKVVGTIQEAVEIVREMAKDGEGEGEVMALVTGSLHLVGGLLEVLESKP EPUS_01335 MEAGSMAESSTVDEVKIDMPMDPRPPEGYDRLASFMGYFPETAI FRRFATLNAKNILYLQAELFWLEEELEKVVKDDAQCTNGNRPLYSRDWYRLSHSEEHS DSNSKQWKIFMNIRKALKEYNTAILQQKELVKLDAPSSLALSAIKDWMESPRMGWVYL LGPDKDLWRKADVYELLALKRKEHDDLLSLWMIKFVRAWLHPMIGRHFKRDKSAQFME RSILTQDAILRITSVIALALSSILPLCSILILNTVHKVSVRLGIVAVFTVLFSLSLGL VTGARRVEIFAAAAAYAAVQVVFIAGDGQSSDINVPGKQP EPUS_01336 MPVVSSGSHVFVQFPEWNAEGVFDKLNDKETANMQDRISKLVLL LATRELAQKTRQETQVVINSVAPGLCHSNLCRNARGEAAKAIEDRKAKYARTTEEGSR TLVHAVTVGWEANGRYLNDCEVQDNALSNFVRSEDGQKAQKKIWTELEERLEAIEPGI IGLV EPUS_01337 MTNGKGDSLIMLASYHGHVPLVRLLIRHGADPNTLNDRGQSPLA GAVFKNEEEIIKALLEGGADPTIGEPSALDATKIFRQERFEGMFKEQIEKLGDSGGMT RNGNGEGNGI EPUS_01338 MDGLSGAASGIAVVSLTFQIVESISRLRDFFESIKTAPAVVATI VKDLSQLASILDIIKVDGSISNDVLATCMDKVKDLNAFTDELEPGFRSASRKTRRWTA FTAARKSSVISKFQATLGETKTTLILALQARNLLLSRKNASHMVSMERRIRDDLAESQ QHMLKLIDNHRDKLSGVVQQVADQLADLSVEHKSRLVSTTSHSSSVSEHTADLLEEIT RMMTKIPNPIIRQRFEAAAKAALDSHLVMIIDEGPVSQQAAWSPRREAFSAPSEVASH SGINNHTSNKCAASGKRSVSPSAKESSRKRARVRCPARSTSSIKDFFGGRIYMQTDVY CDTLHNNHSLEHATSFIYHPASWYLRMCFNFGVNVLVAKAAQGWQWNIQTFRAVPDDS LIFDFCENGNLDGVRTLLGRREASPWDVNPAGWTPLHMAASNHHVEICELLLTEGADP KAKTYRLDSTRYNRPRSAFARACQGQNLLRRPSGNLDTSRLLPTLRLLFPYLELENGL EEDALFLSDLVYHASKGSEEALKWALEACRSELKEEAIRPIVIGACEQASLGSYISVL QHLMTILDIDIDAVAEPGGFSLLHVCVGAICSYSSGDYECISYLVEQGSDLHRVRRCM SLMAALFPISGLQSPTTMAMRQSGMFFYWRMALLEHGHCLHRFVIQELRNSPLANQGW TEHTLLALFMHEFEPVWQPSGLSSLCRRCSQKMPCLRDIQWEGTLKMIKQGRISEVRH PGCRRSIDETYSIGDAYNSTRCSEHATRPLNAAGSIDSRLPCTEQEDCLSASTNEEDE DSETAFLPEDERWQTICDLCEMELANESEQDSEEDAFQPQMPGGFDV EPUS_01339 MAVSNFANQTFDESEEEDEDFNPQPVAASDDENEGVEGDGDAGS KPSRSNSAPRVRPRNDSEDESTVKRRGISPERRNKRPNDEADEGIDDEIDGDNGEDDE GEGEDLNGGLDDDDEDEDDDEDEEAVTGRPRKRRRKGGLNQFFEEEAEVDEDDDAEDD EDDLGNDGFVADTHPDDLAALPEGTETDDRRHRELDRRREMEASMDAERQAEALRERY GRRAAAALTESVVVPKRLLLPGVDDPRIWGVKCKVGKEKEVIMSIMKRMQERQHTKQP MQILSAFERGAGPMAGYIYVEAVQNAHIENALDGIMNVYPRSKLNLVSVKEMPDLLRV TKTEELQIGAYVRVKRGLYTGDLGVVEDVESNGLSVTVRLVPRLNYGIDEDDKNPMLG VNAKGDPLAKRKRMNAVGTNAVATRPPQRLFNENEAKKRHQRYLASTGGALTGKAWNY KNETYEDGFLIKDFRIQHLITQNVNPKLDEVTKLTKGAEDGTERLDLESLAQSLKNNT AENSYQSGDHVEVYDGEQKGISGRTISVAGNIVSIRVEEGELAGQVVDVPMKGLRKRF REGDHVQVIGGSRYRDEVGMILRIKDDRVTVLTDMSMQEITVFSKDLREAGDATGDGR LGNYDIHDLIQMDPATVGCVVKVDRESMRVLDQNGSIRQMLPSQVTNKIEQRKDAIAT DRNGSEIRYGDIVREAGGESKSGVIIHIHRAFVFVHNRTQPENAGVFVARCVNVTTVA AKGGRATAADAKTNMAMHSKNGDGAHAPMAPPKSVGRDRMIGKTVSVRKGPYKGVLGI VKNTTETTARIEMHSKAKVITVSKDELIVKDPITGQTIDMSRFGGPRASGSSRPNYSS GSTTTSRIPNDWSGGSRTPRGAGDGGRTPAWGASARTAAWAPNSSSSGGADGGRTPAW KPQSGSQTAYGGAGNMTSYGGTTTGFGGSSGGGAGGRTPAWSSSARTPHAGGEHGFST SDGFESFPSGGRTPAQGATSGSRTPAWGGGGGSTSAPTPRFAQDAPTPRGWEAGGGNA PTPTAGAARGANTEPLLNSRFEAAPTPGVGGGMTPAPYGSGGVGGGYGGGGYGVDAHR RLQLGWERDRGTSIVMRSDDSDSGSAGVGSFVLRWAGLVQCLSSRRMGLRWKQKRN EPUS_01340 MADTAVEDVVQDGHQNLVHQRLRANSSIMRLKKILVANRGEIPI RIFRTAHELSLQTVAVYSHEDRLGMHRQKADEAYVIGKRGQYTPVGAYLAGDEIIKIA QEHGVQMIHPGYGFLSENSEFARKVEEAGLIFVGPTYQTIEALGDKVSARTLAMKCEV PVVPGTPGPVGRFEEVKAFTDEYGFPIIIKAAFGGGGRGMRVVREQETLRDSFERATS EAKSAFGNGTVFVERFLDRPKHIEVQLLGDNHGNVVHLYERDCSVQRRHQKVVELAPA KDLPREVRDAILADAVKLASSVNYRNAGTAEFLVDQQNRYYFIEINPRIQVEHTITEE ITGIDIVAAQIQIAAGASLAQLGLTQDRISTRGFAIQCRITTEDPAKGFSPDTGKIEV YRSAGGNGVRLDGGNGFAGAVITPHYDSMLVKCSCHGSTYEIVRRKMLRALVEFRIRG VKTNIPFLASLLTHPTFIEGTCWTTFIDDTPELFALIGSQNRAQKLLAYLGDLAVNGS SIKGQIGEPKFKGDIIMPKLVDDEGKDLDISSPCTKGWKQIIDKEGPEAFAKAVRANK GCLLMDTTWRDAHQSLLATRVRTVDLLNIARETSYAYSNAYSLECWGGATFDVAMRFL YEDPWDRLRKMRKAVPNIPFQMLLRGANGVAYSSLPDNAIFHFCEQAKKNGVDIFRIF DALNDIDQLEVGMKAVHKAGGVVEATICYSGDMMNPKKKYNLEYYMDLVEKIVKIGTH CLGIKDMAGVLKPTAAKLLVGSIRQKYPDLPIHVHTHDSAGTGVASMVACAQAGADAV DAATDSMSGMTSQPSVGAILASLEGTEYDPGLNGHNVRALDTYWAQLRLLYSPFEAGL TGPDPEVYEHEIPGGQLTNLIFQASQLGLGAQWAETKKAYEQANDLLGDIVKVTPTSK VVGDLAQFMVSNKLSYDDVIEKAGELDFPGSVLEFFEGLMGQPYKGFPEPLRSKALRD RRKMDKRPGLYLEPMDLEKIKKDIKEKFGSTSECDVASYAMYPKVFEDYRKFINKYGD LSVLPTKYFLSRPEIGEEFHVELEKGKVLILKLLAIGPLSDQTGQREVFYEVNGEVRQ VSVDDNKASVENTSRPKADAGDSSQIGAPMSGVVVEVRVHQGTEVKKGDPVAVLSAMK MEMVISAPHSGKVDDLKVKEGDSVDGSDLVCRIVKAS EPUS_01341 MLESIFSVLRETWKDRKAPNTSNYNGWRDMLQRNWHLGLTSFGG PAVHFQIFRRLFVEKYKWIDDQMYQEIFALCQALPGPGSTKMIYCINVIHAGFLPGMV SFFVWSLPAAIGAYGLAVGIAQVNERLPDPVYALLSGLNAATVGIIVLAGVQLSQKAI TDKLSRILVFFGAAAGMLYNSLWYFPVLMVVGGCSTIIWDRRWLQNLVKRFRTVRRRV PATVQDVEASESATELKETTTAETSEQRRKTPSIRSGDNTDSTPQSASNEAQATGNDG LQRPEERERIVPDALQMRVFSWKLGVTIIACFFITFIVIMTLRGVLSNRPRGFSLFAN MYLAGTIIFGGGPVVIPLLREYVVTEGWVSPRDFLLGLAIIQAFPGPNFNSTPKVAVY LGALAAKGTSLPLAAGAVIGFIGIFTPGLVLHTGTMGVWRTLRGYRWFTSCLRGVNAT AVGLVYTAVFRLWRIGYIDGENQQGTSLETDPWWVVITATSFVGGMWFKLQAPVAILL GGTMGMVWYGIVRA EPUS_01342 MEGTDMLYSLKSTNRDQLTQTQHHQLQQTFTLSPKQAKEVEENP SSPQAPQKTCDSEANLLEVRVCDCDQSQSFYFPTGFAYPQQEPAPNGSLENVDPLVLA RSFQPGRPSKVGLLSMPSEILLQIVKNTDPMGKTCLSLASKYLALHCLKAEATFPSKK GAYLDDTRRVTFLNLLQSWMPEKYRMCFICRIYRPINGGHLEEEYVSERSGDHTTKIS LKITRWKDEDWTFISLGKRISKSGTMESRTYCPACIKEVNSIKIGRRRTGMKRKNAAV AEELQIDADA EPUS_01343 MPFNGKAKTRKGLPLPFRACDCVSCEEDQGKFREIILHRQHRLN PSTTDCRLKVNFEFAASPANALDSHSTSKTTILRLPDELLLRIFKLTRFVPTAICLAL TCKRLCSLYLDNLKDRSEKIENRLWNSTSGFWKYKLIDNLIRGWIPQQSVRFCWACWL FRPYGEASTEFWRAKIPALTAIPERERSRVAGGYCCCFQNAAHCTGSLLFSLSTMIYE EVPGLRDTSQDPIDWEEEYEYARRLRDCDDLPQYQNNWEVQFWLGEQGLAGVETSLAK DKRIRCPSCVLVDNQLRLGHRGMKVVWPDGHPDPSVIVKDTLKRRASLLGYEWNPRIA FYSLTGTEGLSSRMRKSRQKKRRQNVAIMETRTVSG EPUS_01344 MSATSGYDGFASFDIDPTASIVTEFNRLAIQKSWKKDSKQYKNQ RARLVNQEFNAYFGSNLNDLAAWQALCKAVGITTIPSSVTQCKKVQNSHPSPIWLSLI PFQLLKKVYVNIYDLIDAARLGEVARTFPNNQQLAAYTKPNKVFPKREAKLNKLLKFM LRHIF EPUS_01345 MAQGGIRHTGDYVWRWVASPNHQERRHWGSTSSEKSSPPDHPIS PECTFSDVSATPRHSTSSADVTTLPPPPLSRSSSPGRATKAEITLARPAASSNLLRMS ESSRRQERAPLVHGLHPTTTESVSVSSLETLPPRNITLPPRQPLPLSKDQAALYLAVY EREPQFDPIYDKSSTAKRDCSILVQCEAHIQNYTEHFCDMTSSSRHNHNRQFYVIPNL PNPRMNSPGLLGMHFIARIPQHRIGEVDAAIKYVDAHWTPLMTSRRNFWIDTVLLRLA QASLISHTQAQAMLLAKKRTLEKPHRGPFPNKALCFPSLRIDAASSEGI EPUS_01346 MATALLIIDMQNHFVSMTSGSLIPNITKLASHFRSRSLPLIFTQ HGHSKTELTPPFRNQLVRKWGPEGSIAKGSAGWELLPEIAALVGGVDSSPVVAKNTYD GFINTELARVLEAREVERVVVVGVMTDCCVDTTARGAFNRGFETWVVGDACASANKRQ HEAGLRGFEYGFGEVVTTSEVLERI EPUS_01347 MDVMSPTNDTDKQTTDFTADMGKEVCHTPPSDTDKVVVPHLPPI TSEKEIRQPTNNTDKQLFPMHDGSSTTSTRSTPSHTFRVEKSNSMGLIMPRNQTLLLR SLNPTTKLDQGPAFITSEMDWVDVCAVNPPPFELYTAHVFGRPELVARLVPRGWQGLT SMKSEFELSCGGDRQKWEVVRKGLNRTYQLNGMLGHEGREFVWKGSTKTVKSLTGDEK KTKGNL EPUS_01348 MGFNHADNKGPTRASPPETSGKGSEGFVQEPVAVIGLACRLPGR NTSPRTLWNFLERGNVASNSVPESRFNLKGHYDGSKKPGTMRPPGGMFLEDVDLETFD ASFFEISRAEAVSMDPNQRQLLEVIYEGLENAGVSLESIDGAPVGCFIGSFAADYHDM QGRDPEDRPPSIEVGIGRAILSNRISHFLNIKGPSVTVDSACSGSLVGVDMACRYLQT GEISSAIVAASNLYLSPEHVMDTGPIGMAHSPSGRCHTFDAKADGYIKAEAVNAIILK RLDDAIQDRDPIRAVIRGSSTNSDGRTPGIASPNPAAQAAAVKSAYSNAGIESFEATS YLECHGTGTPAGDVLETQGVSAVFAGGRSASAPLIVGSIKSNLGHSEPAAGLSGVFKA ILAIENGIIPGNPTFETPNPKINFEELRIRASRTAIPWPKSDFRRASVNSFGYGGTNS HVILDELKTLRPEQNANHVSSYLSQDDDIYDEEVSARPYTLVFSANDAASLQAYVATL DQHVSSLDVKVKPRDLAYTLSERRSHHFYRGYIVAHGNEIDSKTMVSGKKSGEKPRIG FVFTGQGAQWSQMGKAVVDTLPSAKPLLEKLDAALRQLPNPPSWSLLGELTESRPSEH LRLPEFSQPLVTALQLVLLDVLRRWGVDPQSVVGHSSGEIAAACAAGYLSEEDAIKAA FYRGQSAKNCRHEGEKTLGMLAVGLGPDSVKEYLVDVQEKVQIACYNSPKSVTLSGAA DALEIVKGKLVKHGHFARMLLVDLAYHSNFMSEIGEDYETLLQQNFKPLDAKRGSVDM FSSVLGQKMSGLTDAKYWRSNMESPVRFEEACREMLSGRTGADYLIEIGPSGALAGPV SQIKNIMGADGLKVQYCATLSRGADSINSLFDMAGKLFISGASIALSEVNNDQDSSLS LPSVIVDLPNYVWNHNNKYWHESQASKDWRFRQFPEHDLLGSKILATPWHAPAFRKTL LLERLPWLKDHRMGTDIIFPASGYISMAMEALYQTSTAQGIHVDKPSAAFLQYRLRSV KFEKALVLEEGTESKVVLSLNPLAGTKNTWYEFQVSSSNDGISMTHCTGLIRTEDVNV KIAPREDLAQLKYPTPAHLWYKAQTEVGYGFGPGFQKQLFVETVAGQRESRSITSLTE PESAHSPQSLYPMHPACIDGCFQTVTPSLWAGERATINAVLVPATLDNLVVYPKVSGV EEGLSLARSQYTGRGRREEAKSFFSDCSVFDQASGKIMLKMNGLRYYKLDMGSDMHDR HTYNRTIWRPDITFLSQDQLYSLAHRDSSRGIQHLIDLIAHKKPALKVLEASCLAEDS TSLWFSSETSVIRSGVAQYSYVSSDAKALLSAKEDYDVMKRTSFHLTDILRPGSSLPE IDYDLAIVKVSDLNEETVTKVAASIRAGMSDDAFVVFLEQQTEAATPVSESDSDSSTV FVHGHELATKTTENTRMACEDHAIVSSIANCGYSNVLRAPQSWAPKAYMGKVVNQTID TATAKRVSVMHLSDHSRLSDGLKTILEQSDWQLTEHFHPYSHVQAKSIVLVIDETISP VLNSISESRWDGLRRIISQRNRILWVTEGSQMEATKPDNALVHGLFRTIRAEDRAADL VTLDVEYSESPSTYLAIERVLRLFLKSPSKTQIESEFVERGSIIHVNRVVPDEPINTA KNNEKLGGPPVARSLQDIDGVAMLRAERLGTLDSLCYSEMSSEELPILENHIEVDIKA AGLNFKDVAVTMGIVPENEHLLGLEGSGVVRRVGKGAERFRVGDRVALLKNGTFANRI QCPVDRAHHIPADMSFKDAATIPLVYLTSMYSLFDVGGLSKGHSVLIHSAAGGVGLSC IQLAQWIGAEIYVTVGTEDKRQFLHENFKIPFERMFSSRTTEFAPKIMAATEGRGIDV IINSLTGELLDESWRICADGGNMVEIGKKDIVDRNFLSMEPFDRNCSFRAVDFSFSKQ IKDSLIASLLERTFKLVSEGHLKAIHPVTTYSFSQVPAAFAFMRSGRHIGKIVITDEE DTKVPVQVRPPPRAVSFEDHASYVIVGGLKGLCGSLAIYLAKHGAKNIVAMSRSGCSD ERSRNVIVNCNALGCEVQEAAADVSSLSDVKKAFQSAKYPIAGIIQGAMVLKDKPYEM MTVSDYHTTLSSKVAGTWNLHHASLDLKKPLRFFTLLSSVSGVIGQKGQANYSAANVF LDAFSSYRLAQGLPAHSVDLGVIEDVGYVAEQGGMQKHFDDRQWIGINEYVLHKILGL SVLQQTAPIKHASASQLITGILVPQPADSELAYDARFSALFHSNADGQELGSTASSAE KDVQALLLLRGSGAEPAAMLGAAAVAVGSKFERTLRLPEPMEPGKSLSTYGLDSLSAV ELRNWIRMELGVEVTVLEITSANSLTALCEKIVAKMQA EPUS_01349 MLLPEVAESFQREGITALIYDPRSIGLSDGFPRNEIDPMKQVED YSDALTFLSKHPLVDASRIAFWGMSFSGSVAACAAALDKRAKLVIMVCPFVKFYTDEK RSKVLAKAMADRASQAKGNDPFSVVPFNSNGDNPAGMAAGGGLEAYEFMTNVKNRGAP NFENRTTIQSYYKIAMWQPWGLMQCINPTPVMMLIPENDAISNPDDQRRVFDNFEGPK RLCKAPEKGHLDILSGDRSHELMGQQVEFMNDVFGRY EPUS_01350 MVADRASRANSHSSASRRPIEDEKHSSSSDMPPALTDSLNAAVE AKDYPSPFIRWAIVIALLLGEFLIALDLTIVATAIPRITDEFKSIADVGWYGSAFFLT FAAYQSAWGKVYKYFDMRNAFFASVVVFVIGSIICAAAPKSAALIIGRAITGVGAAGV TNGVYTIIACIVPPRQVAMYFAFVGVVFSIASVAGPLLGGIFTEKLTWRWCFWINLPV GAASLGVVLFFFRTPKLMKAAEATLKEKLLQMDLQGTVLIVASLVCYMLAMQWGGISL PWNSATIIGLLVGWIVLGICFVIDQWLLGDRAQIVTRIIKDRTVAGLSAFILFLNATT FLLIYYLPIYFQAIDDLSPSASGVRSLPLILAMSIALLASSALVSWIGYFHPLLLVGS VLLTVGAGLIFTLDIGSSTGEHIGYQILVGAGNGISSQIPIIASLAFAAPEDIAVTTA LVLFFQLISGALSVSLAQTLFTNTLLSKLPLYAPTVDPAVVIAVGASELRKAFAPDVL PGVLQAYMAGLKASWEAGIVLAGLALLAALVPRWESIQNRPTSSGGTVA EPUS_01351 MAESNFEYAHFAGGVALLLVRCPCCYEKKIILHFLRLSHYALDK PSTTSVYTRFVPTRVRELTSEARKIHEKYGDSVRLAPNKLSFIQSQAWPDIHGHRSEK KKVWFEKDPSVYLPRFNGAPSIVDANEQDHARFRRLLAHAFSEKALRDQEPILQTYVN RLVSKLHQQIDGPANGVLDMAQWVNFMTFDIIGDLTFGHSFDCLESGELHPWVALLPG AARTMTYLLALKHAPEFIFKAVLAMIMPSLAARREHAEFTNEKIKIRLSDEADRRDFI TPILKANDEKGMTYPELESSINLLVTAGSETLATLFSGAVYHLSQNPRVMKKLRQEVQ TAFHDTKDVTIASIQKLPYLNAVIEESLRIYPPAALSLTRIVPRGGAVICGEHIPAGT GVGVTTWAATHSPKNFAAPEEYAPERWLDDPRFAKDDKKSSQPFSMGARNCVGKNLAY AEMRLVLAKLALDFDFRLQPESETWIVQKLFTFWEKPPLMVKVTRTRQAKKQEAFSG EPUS_01352 MLAVTAQHITLPSGRILWTEVFGAGSSASPAILFANGMASTTNA WNSLISSLPESFLATHTIVLHDAANTGKSVYQPDLPAPTLASFATEARSVLEYLGFEA GYWVGHSFGGQQGFVAAAQDAQFWKGLLLLAPQTDRITPRTISSMHAMMDVFAADPET AAYANEIHGTPGPSMAARNGIYGAFAREMALRQRSEGLALAFRALLAPREGDFAWRHM RTRIVMVFGGKDEIAPISEGLYALGRLEGVEGVQGQLVVLEEAGHWMNWEYEEHTKEE VMRLVAGTS EPUS_01353 MASILDPISISPKLQSFLHTTTESPKIQSWHHEGWISTSSLVVA LTLWATYCVCGIVYRLYFDPLAGVPGPKLAAMTTWYEAYYDLWLGGQYVWKIGELHKK YGPVIRINPHEIHCNDPEFIDDIYSGPSRKTDKYRFTGRKTLTKQSMVATISHDIHRK RRGAMANFFSKASVRGVEPIIQNSLSKLLSRMERASKTGEKMPMMYVFKAATSDIITK YAFGNSTNFMDLDNYNMPFFQAIEVTFLLSPALMHFPWLGPLMEALPQSMTKVLMPGL ADMYKMREGWMAQIDQIKNSKDKDAGKDTIFDGVLKSKLPEEEKATARLGHEAQLTVL AGQDTTATTLSSAVYELLANPDKLKKLKEELAATFPDSESPITFSQVEHLPYLGAVIQ ECLRCHPGVITRMARVSPEVPVTYDRGGKHYTFPAGTPMSMTSTHIHFHPDFFPAPRE FRPERWIENPRLDKYLIAFSRGTRNCLGINLAYQELYTLLAGVFRKYDAYDGTGKQQG PTLELHNTLRERDVDMNADFIVPFPAKGSKSVQVTVRH EPUS_01354 MNIYLCLALAIWASTSTPSSFARDIPARSNSKSCRNLAGDEDWP SSAEWAQLNDTVHGRLIATKPLAQSCHVPTLDATECANVKADWTWPDLQYVQDDWLWR LSSFLIKFNNSFKDPASIMNPYWLNNSCSPFTSETSACTLGNIVDYTINVTSAKDVAA GIDFAQKKNIRLVIKNTGHDFLGRSTGKGALGLWTHNLKDISFSDYNSSTYTGRAVRM GAGVQAFEAYEAADVEGVRIMGGSCPTVGLSGGYTQGAGHGPLNGAYGLAADNTLEWE VVTAEGEHLVATPEQHSDLYWALSGGGGGTYAVVLSLTTKAHPDSPVAGATMQFNSSD IPPDTYWDAVHTFHEGVESLVESPGMHAVWTLTNTSFFLNFVTWPDHTAEDVEALLEP FRKHLKSNNIPYHNEHTYHPSFYSHYSHFTPALPYGAYQISLLLGGRLIPLSTVENNN AGLTAALRNITQGNRWVLNGLSSNLTHARVGNKPESNAVLPAWRDSIFFFNVVREWDP NAPVAEGVASENELTREIVPQLERITPGSGTYINEGDFNLPTWKEDYFGANYDKLLAV KKKYDPMDLFYAIASVGSDAWTVASDGRLCRAK EPUS_01355 MPPKTTSTPPKTTNTPSKTTNMPPSATNTPPSASTTSPKTTFLV TGGNRGIGKGFVEHYLSKPNYTVIAGVRNPNDATSKALFGLPKGPGSSIIVVKIDSAS ETDAASAIKKLQSAHGITALNVVVASAGISKVFPPVSEAKIPDVLEHFSVNVLGIIHL FQAVLPLLNNAKAPKFITMSTSAASLGDMEKRNFPNTPYGTSKAALNYITRKIHFENP KLTAFPLDPGWVQTDMGNDGAKALGFEKAEIPLGVSIDGMTKVIAAATREKTSGKFMF YNGTVEAW EPUS_01356 MDFIKQGLAEKQLLITYVVTALLVSWATYFVGLGFYRVFLSPLA AFPGPKLAALTTWYQAYYDIWLHGQYFRRLDRIHEEYGPIVRINPHELHVNDPFFIEE LYSGSAKKRDKYKWVGRATLRLYPRPAHFIIAKLIPTVPDSLVATVPHDHHRKRRAAI NPYFSKASIRKLDPVIQNTLVALLKRMDQSAKSPDVFHASLAYKAVTCDIITEFSFGV STDYMARNDYEHSFFKAVDDHLHMSWMMAYIAWLGPAMNSLPPSIMGLIYPGLKHLWN MHSQWVKQIEKIRTQDKLNGNGTVFHGLLNSDLPPSEKTDQRLRQEAQLLVLAGQDTT AYTLSSLTYELLANHEILQKLKEELEGALPDLNTPFTSAQLEQLPYLTGIIQEGIRLH PGAIIRQTRIAPEQNMIYRDACTEKEWVIPAGVPVSMDARSCNLNPKVFVDPHKFIPE RWLENPRLDKYMLTFSRGTRMCLGMNLAYSELYIIIAEIFRRYELYDGTDQQTAPTLA LYDTIRERDVDIMSDWNIPFPTKGSKGIQVKVGRSWVD EPUS_01511 MALPISLFAWTLVLTWLGLKLYTLIFNIYFHPLRKYPGPLRAAA TTWWRTYIEAFKKENWTDVLIRLHEEYGDVVRVEPNEVLHFSKPSAYHDIYNSTARWN KDSFLYECFGVEKSSFGFLTYNEAKLRRDVLQPLFSRRAILGMQSLVRQNIDHLAGAL AKNNEDGVPSDLFSAFHAFTLDTITTFCFARSTNAMDAPGFMASIIESVQAATSSNML FKHFPLLRKTIFSLPPWLACRVSPATAGVPKFRLALERQIKQFMANPESLKNQPHPII YHRLLDPEAQKDHPVLGPIDLLHEAHTLLGAGSDTVGNTLMTGFFHILSQPSLYARLQ EEVLTVWPHLHDPPKLETLEALPLLTAATKEALRMTPAVPSPCTRVVPATGATISGME IPPGTVVGMSSILVHNSSEIFKSPEVYDPDRWLAGDSHMLDQGFVPFSKGPRSCLGIN LAWCELYIAFATMLRRFEMRIDGTVVEDLVWRDCFVPHYYGKHLQAWCQPVTT EPUS_01512 MSGRYRPDESALPGQLWRTIHLVSLLLLTPAYSYRRFQTNRDLS SLVPINFVTHAGVSLTSACFAHGIFEDSRAEKCISNLLAAQFRRFIVDLYWDPSSRQF MFCPVSINSVSRSLHSGSLPLLPNTARSRRVPNLSLSKRAEGTTLAFETLRPRWNFAR RGGLRYNVRQATSSIVENALDDTARTSESSTTAAVPVATSTDPSGTTMDDIGPAQCSP TLNLSFFASLIMDYINNTPTSLNANLLFLQFNVHAADSEDASTNPAVAVSGPDLPSPT ELVGNVLDAVASSFSYQPSELLNHRVNLNDSWYATGASEQPVSEYFTTETFPGGQSTP DGWPSERFVELQRLKRVLLGWGIVHRRMEDYDFTGDSDVVFPQDYLLSSTDVRPNSTE QPAPACFFNVEQESVGRHNSSWAVSFLNENSASGGSLDKLQSLANNLTSCGIAPILNV TLNNQTADQNSSQYVQFVQSTIWNWAQDEPRNFSTSDANGRDNESTQGQFRCALMDTS TASTSGRWRVEYCSMHHRVACRTANQPYLWQLSDESVPFSEAEVSCKPNSSFSVPRTA LENTYLYHHILSISEAAQSNLSGDGSGVWLNFDSLDTEACWIANRQNGSCPYFDEEAL RQRTVLVPVIAALVVLLLTALTLFVKCNKNRRNSRKRVRGEGGWDYEGVPS EPUS_01513 MAQPTGPSPEQMRQMQQRFFAEAAKQGMTPEQFQEHQRQQLAAD AAKQGLTVEQYVNQLKERAIQEHQMRQQQAQQQPQQGQGQGQAPHHQHSQTQKIPVNP GAPPKPEALAVAKFLRSQDLKTRTCILDGQRKEMFKVKRAFRALQSPAYEKAQRKNKL LPEVKDEAAARNAVQLLPLSMLALRVTKTDPHEGHNHAKPPKDSKRIKGLWTVKVERD QEFEPMMHYVWLYEGPQWKQKALAAGVLVLIMAVVMFPLWPIMLRQGVWYLSVGMMGL IGLFFLMAIFRLILFCVTVFVVPPGLWLYPNLFEDVGFFDSFRPLWAWQETKKTKKTK KTGKGSKTTKNETVSGTGTEVDATATAKRTAVTKRHQAPQVEEADDE EPUS_01514 MGRNRSHGALSPTPPRSVATAKEAPTPRPKPPDSMSRSESTLLL PGIARRQSKAGLRELFSQNRPTRTLATTSESNQTLSDNHTNILSTKNIDASWAPPPLF QAYHQSLKHISLEASTLSAPSIIRLNEQRSTTRPHNSVQNTPSIPADSTSTPRRPRRI SASVANAEWTRKVYVLTTSGQLLQYAGEGAFDRRPEKILQLGKDSAAFASDAIEGRHF VLHVSQSCSDDGEADLNSSKGILSRIGIRTASARRSAKVLLMVFETPEDLDSWLSALR KMIGSLGGRPYSPEIFSFGPKPSAQPAVSQRYLVQKDACQLSQELGSAESMEIAIEER LSTKSSTYSATDLERLRNSKHSNTSFVAETPTSLLDSAPSSPAQEKFAMTEVPYLELP DLGPTSLVDFSQHGKRQSRLSLMFAEITRRSSIDAKPTVARNSRQGSLQARPAARRNS SMPQIEQDMDQGSDQIAEEVQQHGAPPKDQNTQMDERRVSTVAPLSTLLSLRHTAPEL PSNLFSSTSESIRQLPKRYSSLEYSRSFRQSHLPTMALFKPPSLDIDVRRPASMQLQA EPMSVTQIPARPQSRYSSARECTRPAEAIQKHRIDVRFSVEACKRNVNTTFGPPAGPP PSCPLPAVPRAALPLRRQSLAAKDITLPQSWVKKASDFF EPUS_01515 MFSTVEQHGHSARKRMLSNVYSKSFLQGSPQITENSVKLLRDRF LPSLQEAAERGTAVEVHEMNNEFTMDFISAYLFGIANSTKFSINHVETREFMVPYHSR RHYEFYSQEVPGLKQVTKKIGLPIVPQWVDDANERIEAWFLKMCDGANSYLNGTTSTG AEPVVYKHLKAALEKNQAKGLGTYAIDRMRLEIASELLDHLGAGHETSAIALTYLFWE MSQHPDLQRKLHDEVLVLEPRILWPPERKQEFDLPSSKSIDGLPLLHAIIMETLRLHA PIPGIEPRVTPNGGCTLAGYSNIPANVRVSAMAYALHRNEDVFPESEKWRVDRWLKPT DSPELKEMLRWFWAFGSGGRMCIGSNLAMQEIKLVVAAVYSNYTTSIISDEGIEAIDA YTTRPRSNQLILKFEHV EPUS_01516 MPWRPLPRIAFAVATYPFQPSSPSDLPLELGDELYIIEQGGKDG SWYRGYLVAPPCLLAGLTSVKGQTLEARVFSGIFPKCCVEVREELGETDLARNQPAGD IGAMKHTDMTTSGMQDTWGPAQLENGGEIKVTGRMDSRKSLDMTTNTRRARPKDPRLN GNEQAPSQKSPGSPVTPETWPTDRPHTSKPPAPVPMLKIGDETPTSVREPLVDEIASC LREWHSTNLHELLLNRQYGSLEKMSTIVSQLDLARRQLLHDVLTAQERSVIREQTAWN LVKGNKMLSGEVIVRDPAQRGRLLTGDDSAIDMTKLQSVMSVLETGQIAHPEGLGLHH LLLEVKGIPGADLDTVTITMALCRKAEDGKLSPLSETFALDIAPSESLASMARSSKLK TLFTDLSAGDIGDLGQAQKQQLFLVVKVKESQAPRARSNTKGKANASESVLTTKSPSA LNSPPLDGSKGGRRSLMFGVKRNRAGSKEGIGSVSDGRMTPQPEERSSSATVEARNEA PSRNKVAGPPVVRTVGVGLLEIGALMRQDKDVEQILNIWSPAAVHDEEDEYRHTFDKN ITNILPSPTGRYIRLDKASRVHVHLHPLVGSDADTLVRTNPTSMHMVTRTQKIGFSEA PTRPRSDIYLTLSEASMPRDALLSHPEFGLVPISGASTMRNLQLTMEVRDGAGKRIEH CIYPSSNSTGFTAWRTTVTEVGTQWDQTICLKIPKDKVPGSHLIMSVADAPEFPFALS WMPLWDQQAFLKDGNHTLIMHAYDKTTSSIVNGKGTYLSLPWDSTGKTMVSKDESVTG PVATLVVESNLCSTEYSQDQVILGLINWKQQTSTELMDLLKKIVFVPEIEIVKQLSDV FDALFAIAVHKSGEADYEDIVFNDLVTVLGIVHDRRFKLGPLVDQYARNRFDFPFATS CLMRSYTRLLRNATVPQQARYLRAAFKVGQHILKFIIIAREQQKLKEEGIGITGIQKS FTEEMQSIFQALENLMRNQAPVLIGSKTLVVQHFHTWLPELLAAFPKDEAVDIAIRFV DSCEDVTGRLVLYKIILILNLTQLDDLFSAPLDRQILIENTFSWLSPYWGATSQVTEQ WKDQVRLCGSVVAELLRQPDSILYDFMPKIVASYCAIAAQGTTEKSSLSFLFSKTYPF ASKQINGKQIFDEALLELAALMSSIAKIPPPASIKLPDQELSTYILSTLDAQRSVLEC TAYPRSWLSLHIYHHRSTMQALEYMYNLLASSFLPPPDLAEQFDMEIWKAFFMTLLKL VSSDALALETFPEQKRRAVWKIAGDVREQGANLLRRCWEAIGWETNSDELRRYGLGRS GGYQVQYVPSLVYPIVELCLSAHEGLRRVAVEVLQTMIVSEWALSEDLGLIETEMIAS LDLIFKSKNINESITQKLFIGELLDLFEPIANLPDDALWLALKELVATIDELMDLLVA AHGDSVESSLDTLRLMEFMKDMQKEDIFIRYVHELARMQEDARYPTEAGLALQSHADL YTWDTSKVLPAIGNPPFPEQTSFERREALYFNMIEHYEDGKAWNLALASYQELANQYE HTTFDFSKLARAQRSMGKINEAIATQEKQYPRYFRVHYRGLGFPSSIRDKQYIFEGSP NERMATFTDRMQKQYPSASVTQSNQAENSEGQYLQVSSVSPYRHLNHPIYQRSRVPHS IREYILVSMPHQFSVTSKRPATGTDVKEQFVEKTVYTTAEPFPNLLRRSEVLATEELS LTPLQTALERTWRKTADLLALEKRAMAGEDPNNGALTEALSQLLILDSSNGTCVAHYR QFLPNPEDKRNRRQDEGGEDANEDDNKQADDSPSPPDPLLHALQVAISDHATVIQHCL SLYTRPSLQATRTDLSRLFEQAYPEDVRLPTPPSRSQAQPPELHFPNTSDHQSRKSSS ETTNRLGPGFSPERPASAIMGKLSLTTLTQLRNADPNRAGSPEPNIESGGRRSRSDRS NHRLSLNFLRNTKGSLTPEQLQEPGPRRGENARPHPQLHSEVIEESRSLSLRGGYDRV AGDDRSGAIMPRANGITKDVPLPEASTSTTMPQFNSAGDTSADAVRASTPPYDNVDPD TLITTPSQERSASLDQKRVSVNGKDSRPNGLPLDGASNPPAVSSTTNKRHSFIETVIG SLTGGRTSTSERAVSAPEDSPEVSSFVGPRSPLRRSESHEDFEKRLTDSLGADINSER FAKASQASDSKRHDLDQDGAANGQSRPNTGTSGVTDKSEVSNPGKDKKRTSLLGKWGR KPSRESPRSASPTKGGDKRGRRQRGNNSNNVGKVVEE EPUS_01518 MYFSTITTITTIAALIAALAGQHVQAAPSPMPDIQARALYEALG KPYFAPAPALAKRACNCKECVSCSDNCSAFGGTAGSAIAQGLCVLSCAGAAHCSDSET SHCPRD EPUS_01519 MDIAAGVVGFIGLSGQILQGCNYLCKTFSDAADAPDVIVAVSTE LCAVRSRLEAFQHLLLEIQASAPACLRVQQDPAVPLQSCQNAIQKVQSFVDKYADLSI SIASSSGNVKSYKAAFYKAWQKFDVARRGDQLRGYVSQLEAAKSSLLAVRSPKRQKIS KSVFNVWFGRIELTSSIIKQEDDIDSDYIPPTRLQARQTSFRLILNSWFLRFGVLFET GQSRPTISHPGWDNRLRVIRTHQGDSLVYNIIRRADYVGFRQLLESREVTPFDLVDSK DLFKGSLFEAVIRQFGIEDNKENPVMRRDWLNIAKLLADSGVDCGVGGSLFWIMFALA LSLDEVTLSLFRIIMAQSQTDPFEDSGEAIAKNLFVMVRHSQLSPLIKQDEWDLSEFT KLFDDYGGNGSFQFMVRTEGNTRKWGERQKQKWRRMPVSLRRSRSYCVAVFGSHFIKV LWPKLYWSDGKPAFWQSRQACEEFFGERFVDYEWPKLYCEEEIPSFWRSREACLEAFG EPFVNWDWPEMYWKQELPTFWHSRKACLEIFGEIFIKYNWPTCLGKTCFEFLEGKGAF GQPGMWICKGTNGNIWITQMVECWSKDDIPQRHLRQYCIDQYGVNFVREELPSLLRRD GLPEEEVVRLTEDGPDMEPPPPLPYWEYEDKSGLEYEESEDSQDEHDADSDAGSRGES DDEVSDGDSDDELSDGWHGSIPIGG EPUS_01520 MSSLAQSMKLCIRRVPTLPSRRPRSFNPNLSAIRTFPLRNLSSH VAQSHVPPFRVAIIGSGPAGFYAARRLLTLVDDVIIDTYEQLPVPFGLVRFGVAPDHP EVKNCQDTFTGVAESPRFNFVGNVHVGPQIPIQYLVPHYNAFIFAYGASKDRELGLKG ERDGKHIYSARAFVGWYNGLPEYHDHDPDLTVGEDAVIIGQGNVALDVARTLLTDIDI LRKTDITEYALERLSKSRIKNIHIVGRRGPVQGAFTIKEIREMLQLPNVSFKPIPDSL FPPNISALPRPQKRLLELLKKGSATPPSAAKSWSLDFLLSPHSLHFAPSDPQQLSAIE FTRTQLANPTSPTSPLLPPSPNPAHHTIPTTTLFRSIGYKSEPLPTLASAGIPFDSQK GIFPNDGLGRIVSSPQHAHKPQEMDHEYGIPIPGLYCAGWVKRGPTGVIASTMADAFG TAEAVVKDWKDRQITKKEIMDKRFIGSAESRGWDGVRDAAEEAGIELRRVSWEDWKKI DRVERERGKERGGRPREKIASVEEMLRILD EPUS_01521 MGVEPPFIYDHPSRYSFNGPTDRGFNPKAATQASWSPPVSKPKQ DGPLINFNKHPDSYLIVPYGNLNVKPMNPNTHTRIKYSRRMQLGLRVLALFGSLGLLF CVIAIKGTSGSLGWIIRIAPCVVLVHTLYAVYHLCRSATGRTAASSASYMLFAAMIDA GLLPFLAFSAFMAHNEHTSGTYGWNTLFDVPLTTWYIVYTTFLLCVIEGGLLLLSLVL GVYLAIIFRQIAKLPPDMNPLEPNLTARPHKRNKSEIIASENHMSQTSLMPNSRMSST ADPLIAPARRVPFMHTRTDSADRIQLHQNNSARSSRMDVSRPESLYQQSNHSFRGSYT PINKTLSSPPSRPPSRPQSVAAPSISSRQAGTGFEHRPARSSQLAQEDKPWQTFNANV QLSPRDNYQHRVNAPQYAQFNLFNDENIPPVSPVTSRASTPDSDRDINYLEIKNWYES PHIKNKGSKDYVPIQQDQPEQYSATRAQQVGYERRKSLYDLDRGLGSNSAAAMNKQQL SPPNPLGMNPPSPAYSAHEQEETRQLDVTNDLEEEPQRYALYDAPINLPPLSQRSRSK VTSRPSSFVGSGSKGRYYGDLRSQVGTVSSKFEKDVSEIKSDTASNYSKENGSERTES MDSNIQIHGHDFDDEDIVGKMPYMVVEGESVQVVASNADGGGRRFSYEKDDDDQTERG GNDYESDRKGRVVSSTGHDLSAGYAGLGAEFGRGMGRRREVSGKVVEEGRGSGYAYAG AGSDGEGAQAHTKAGLEGGTATAMAGARRNGDANGKETRTGIAAAGWARFKGL EPUS_01522 MSAKESKSKPMTENNPLNITGLAAHRSQTSLANPSRFSPTNVTA NTQHTPRAVTAPKHVPAHQRHNPCSGHPTENVPVHQHHNPRPDHSAQKSSMQTWRAES AADQPWNGLALGQSHAGTAPKKK EPUS_01523 MRVSGESAQPQPCGTAERACGGLFHPLNLCVYVIDLASPPQACM ASQSAYATFPDDAFVDRGDILARIHERCSRPAGRAALIGFGGFGSRSNTHIASDSSRR THGYSEFMQVTPRALRRPTTILQKESALTLKPNAAADVLPFVRSWLRDEANGNWLLII DNVDDEIVVELKDGQQLSLSSLLPQSDNGAILVTSRSADVARRLVGREQDIVEVGAMI NNEATQLLQGKLRDTQQDGLAQLVKALDCIPIATILAAAYMNRLGPRMPAMKYIVELG VVERRVQLLQNAAPDMRRDEQALNSVLVTWQISFKHIRSKRPSAVCLLSFLSFFNRQG IPKFMIRHCLDDDSDAKMIHYTKASKQRQKILRMTWQSPRLLVCGHDATRGRV EPUS_01524 MDAASLVLSIAALYTTCRDCYSFFTAVKTAEVESSAHLRELEIQ QSILKAWGFHWQIQNEDGSEPEHSKHTRQKRTKLHEYLLSNRFKAEGEKLIKRYGIQL QPTQATQDGSQSTNNVQLTISNTTIEDVKPVISEVKNRLSVLNKFKWALKDKDNFKKL ITDLRSHSESLYRLCPENAFESMNIYLTMECLARQESPAGLKWTSRLATQQAEVDGSS SVREGYELLASAATLKASVNENKGREQADDRTLTSINEEQREMGYLGKGLALFEEQVV YVEMRDYRGPPLELTPEQKQQMKRRRKRARFLRSLSTDGTMSRHYSKASIDQIPEYSS SDEAEEDVEPIEIVRPADPKIRALIRNFFNTFQGANMRESVYGLDIAGMIDHTEGEHK GHCSILYKLPGTIGVQSRERPAENLMLRAPVTLQSLLGTGQKQGIRSTLGARFELARK LVHAVCLLHSSGWLHKNIRAKSVMFFPEHVSALQEDGYEIKIEIDVSKPILMGYIFSR PDDVLIRMNPPSAPKTEKYSRSSHRTHDRSEISRVWDDPMDERANLRRRKVTPRADSI YGRNMLGKVAVAEQTKEINISGFMLDYYQHPAKHADPRRLYRHAYDVYSLGILLLEVG LWEELKNYEDWYSENPGYDEEDRDEEDHYERRRWICRSYLDRLRWACGDTYADVVLSC LMIDSSDDEVAKASQRELCARIVADLEGCQA EPUS_01525 MAKPTASGETGTVEKRDNNVNATNSQQAAWLLKGTGQSIKVLLP DQFEAGETSVTSKTGFELLCTYNWALTKVPSIYVPGAPAQWTEQNLPITLPRDTGSHF IDQNAYRVPQFPFEPLFRALQVMKPEFSLNDIDLVTNRNSLRKLFDFVKGRARDSFRI DLHMVQKTLFLTRRERNTREIIYGSRDSGYGHNFEHTFSTPEPGIEDSASHHRVIRYA LGDLNCVVRFEVDACCPKVEGQGSECEILLPPPASSDDMPRSLEHMSLQENKNTSAAG KSKSDPTHVVHRGRLVPSSTVAEIKARSKGSQVQKALPQLWFGRTPHLICGFHKNGTF DKIETIDVSKQYQDWETQHQDSLRRMVQLISCLRRITMEEKASRCVVVYKHKVKPPSL EVFASSVNNQVLPKGIISQYWTKS EPUS_01526 MNKLAKKKNPTIYVVGAQCSGKTTLAVALKEHFSSDPTLPPIAL LTEAARGVLKRHNFTRSDIREDVNRCIELQRLILEAQWTEELKISEDSMLISDRSGMD PIVYAAKYAHPGATKSLLDSSAWRELRDRMSRSLVIVCEPVKSWLKDDGVRLMPLDWE EWSEIHRSFCAHLEDASIEFYVLSADKAAISDRVSFVTEQWAKFAGLQSTETAETTKR LSSASHTLTP EPUS_01527 MSGSREHLLQDAITVRDGPWSYHVPDLRNPGGLVKSIDLHSDST SQAITLKWTKIPGNRATSNINPDHLIHITITNFRLQDNSVTPPQPATSRETTDYLVRF LKSGIKLNGTHYNFYGHSNSQLKSRSCFLRKGSSEEVDRSVESLGDFAKIKTVAKKVK RIGLLFSTADRVIDVAPKRCQDIPDIEDGNFIFTDGCGLISLEFAELLVRKKPIVFRN RKYLPSVFQIRYRGYKGVVTLDRAMKGAVWLQFRKSMKKFAGSEDLSFAVVEHSKPYT YGYLNDETALLLHSLGISSEVLLDKQKSFFHSLDNATKDPTMAFAYLCSINETALAEK LLIDGLESISKQLKKFIAQEQSKMINKRGEQKCRILVPSSRLLFGVCDHKDVLKPGEC FVRVTLNADGRPRTITGVNVLIARNPCLHPGDLRKLRAVDKPELSHLSDFFVCWDKDL VPTNLSEPAEYPGGKEKPSFKPITSEDRIEYFARYTSASLGRVKKLFLGWARYNGPLS RECQELNRLFSLCVDANKITVPKHLDDPPPPLEGQEPFVLDLLHEAALAYVSQARKDK NKMREHVECDSVEVLESALCDGATISTFELAKIAFRWCKMNRVDFGDMVSLIDLQQLS HDERLWLLGELPPQSETPSQILNDLLQSHILRCEELWPYRLNYQGLHWKCVFRSDEVR LSSLFEKMGQYFELFEKKLLVLRISERFSIAIYLPKRIPKEDDFLIGDSVRLFAFPHS HTDITGHRRLVSTKVGYRLYFDNTKLELYNNHRRDTFVFLNRSQNNDKAYKNIEGAAN RARAIETTIVEGTNYDWRASIALGKFSSGLQQQIGRVNREGILAAEIYVISNTDIRSL QTLDLWLESIDTMNIHPLFDRAPCEFSIPAKVDTDWSEQSTLVQRVARDRQFEKLREP SATASISDLLKFAYKSHDIKLAAEIYDYVIPKGLIFSNTFCDPALFRELLAFLVYMPA NAAVFTRICPWDELPSALRPLLVRSAPQILQSLVQCTNMMEDLVLRPFEAVLRELAEL STTLIQDLVEGISLQVWSPQLCLELFLEIFEPLSPHLMTGSSSVTSQYFLRNLFGIAL DHCDEADEAVTARRELWKFDDTNTDREDCTLKSRRRIDAPQMERLAAGDHVRFKRVSE PSNVFIAHGSDSFDALVEKAEPGLVTFRCLRHPPVHIDLCPWELKHCGSFVTSRAMFD ALKELVENGSECCGVYEQLLSLPLIASSTERPEVPYQYRSELNDSQNSAVNASLQGPL TCLWGPPGTGKTYTIVALLHSLLALESGERILITAPTHNAVDNVLRKYVETSSASSNT FIPPVRVSTDVRKVADDLKQYTCDALEGKDINQFPAARRKALKRVQEARLIFTTCVGA GVGLLRKEKFANVIIDEASQQTEPASLIPLVKGCERVILVGDHVQLRATVGKHSQLVD FDVSLFERLWKSPNEVAVRKVMLDTQYRMHPDICQFPSAEFYEHKLLTGPSCHNILLA QSLFNWPSRRMQNGIWKRSRCVFIQCSHPEDLGQKSKSNKGQADICREVFHQLSTPAA CTTVPPGSQNVEEHPKQSIVILTPYTRQAELLRKLCPGVTVSSIDGFQGQEADIVIYV TVRCNLHGETGFLKDLRRLNVALTRAKAGLVVIGDKETLTMRKEEASVAVWTRLLGAL VRVDLKKSGE EPUS_01528 MLIPATAILLLSQVGMSNGARLHQRRPNTVFILTDDQDLHMDSL AYMPYLQKHLADQGTTFARHYCTIALCCPSRVNLWTGRAAHNTNVTDVNPPYGGYPKF VSQGFNEAWLPLWLQEAGYNTYYTGKLFNAHTVSNYDSPFPSGFNGSDFLLDPFTYEY LNASFQRNQDAPVSHEGEYSTDVLARKAYGFLEDAIAAERPFFLTIAPIAPHSNVVFS EDWFGNNESVHNIKTTPPVPAKRHEHLFSDAVVPRTPNFNPDEPSGVSWISTLPKQNQ TNVDVNDHFYRNRLRALQAVDEIIDGVVARLSDAQILEDTYIFYSSDNGYHIGQHRMQ PGKECGFETDINIPLIVRGPGVAKGQVSHAVTSHTDLAPTFLTLAHGGLREDFDGSPI DIHGHSKDETRFADFYPRKEHVNVEYWGFALSEGEYDQRFFWNNTYKAMRLIGEDYNV YYSVWCSGEHQLYDLNTDPYELNNLYDATDHSAQFFFTNTAHLLTKQTTSSHQTGKST SFHRLLSRLDALLLVLKSCQARSCTHPWETLHPSGDVQNLHDALDPYFDNFYEIEQEK VHFTKCEKGYIVESEGPQSAISFADVGARGGRLWSEFV EPUS_01529 MDESGEREALLPDGASDRKRRTEYESTLRRRLSSNLPPYHEDHT SSSEPSSTLAIRVVVPISLLGVFVANADGSLVVASSQQIASEFNAMFDAQWLVTSFVL AQCASQPLYGKLSDVFGRKINLVVAYTFFAVGCFVCGFGHAYWQVLSGRAISGVGGAG MTALVSIIIADMVPVRDVAAWRSYVNVAATTGRALGGPVGGWLCDTIGWRWCFYGQVP LAVIGLVLIMWKMPTRQSAMLNVEEQSLTQKWKRVDVIGAIAMASAISAFLITLDFAA GESSPSHVLVSGFLFLLMATIFCVIEKSWAKEPILPLHLLTTRPALTAYLLAGFQVAA QYGVFYSTPLYYQIVAHSTVAKAGLRLVPAVVGNATAGLLSGWIISRTGRYKPLTILS SAIACLGYTLVTIRWRGSSNWAETLYIFCGGFGSGAIQSTTFIHLAASLDPEDMAIAG TTLYLAQNLFLLIGIQSATTILHAQLKGMLDVLLEGVKRKAEIIELAISSVRAIRQLP AHIEAIVVRAYINSLTWTFGASLVYALLGLFAGITLRESRLN EPUS_01530 MKAESGERTRHKKKAQPLHGSVELFSVSIQDFSVRSVGLGPHEV NPDRRSSSSMDKSAIIEAPLESAYSNDRAPGQVQSPPWWKIGGKDYSYVSIDGDETVV TSPPSSSEESLDDTLVRKRNSVFQSPEAIEIYKPDESYEGFHRFDPTFVWTKEEEKAL IKRLDWRIAAAACLMFFALQLDRGNIAQALSDNMLPDLGLTTNDYNNGMTIFYCSFLF AELPSQLVSKKLGPDVWIPIQMVSWSIVAAAQAALTGRSSFYICRFLLGLIEGGFIPD TILYLSYFYKHSELPRRLSWFWTSYQSTQIISAFLGYGILHLRGKGALNEGWRYLFAI EGTLTGLIGIWTWLYLPASPTQTARSGLKGLFRPKQGWFTEREETIMVTRILRDDPGK ASMHNRQGLGWGLFKKALTDYDLWPIYLLGLTWLIPMTPPHAYLTLTCKALGFDTFET NLLTIPAYTLYILQLLFWTWYSEKLNQRFLVGLISQIWSLPLLIALVTLPPTFPNSNW IKWLLSTLLIGHPYPHAIIVALTSRNSGSVETRTVGSSLYNMSVQASNIISSQIYRAD DSPYYYRGNKVLLGILAYNVVLFIGAKAYYTLQNIRRDRIWNSMSREGRVHYLLTTKD KGNKRLDFRFGS EPUS_01531 MEFLVSSFLSLPSDIGINYLEAFPKAQHGTAKAAPTILLLHGFP TASSQFSRLIPLITDQGYHVLAPDLPGFGFTSVSPNYTYTFANLAVTISSFLAAKNIS EVAATYIFDYGAPVAFRLFTKHNLKTKAIISQNGAFWDPFREFWTSNNSASIREELRS ALLTYDPTKWQYTNGETPDRVNKINAAATYERDYNLLLRPGNQDIQLDLFYDYRTNVK LYPEWQAWLGRQKLPLLAIWGKNDEIFISPGAEAFKQDLPDAVVKFVDGGHFASITWA EEIAAEIGKFLKDAEV EPUS_01532 MATLIAVGACYLDTILTVEYYPAEDEKLRASQLEKRRGGNVPNT LEVLQQVLRWKSISAPLILLAVLPNRSSPATEEIRASLGPHVDFAHCFYRKTSSVAPS SYIFKSLATDSRTLVNYSDLEEMNTSEFEQAISKFANQPDSIYHFEGRIPDVTAECID CIRIRDPKATISVEVEKPNREGLQRLAQKADVVFYSKSWAQAQGYQSATDCLQRQTIL TPKASFLFCTWGVGGAAALRASDKSIVQVPAYLPHSGHVVDTIGAGDTFIAGVLFGLF YTQNQNMVKTLSFANELAGRKVAQHGFSGLAEKMGQF EPUS_01533 MSEGQIPVGRTVCGPAAVIEDDTEALPFPILASDHKWRNISSFG TDQTWGPLKELKIGGLQRIEITTKLTQARAKWVALGSEFGAATAGMAVVSQNRTTAIG RACTLDFRWALGQTFRKGDIVLNAFETLIGKPPQTSGSTFWDFGRASFFHEGLVPWYG DTIKVDQEWLDTMSTWSVLSNDAGGYNMSGFEALLDATVVASPDHTMFLGPESTYDSL VTLEYITSVYFVDAISRTGWDLSLLYANNTIINPILQQGSTENQKILNGGPVFSRPTN IPYSVVNANWHTYGAAWTLQDSGQWISVAILSVHMVIAIVHSLILVVRRRSVETWDSI EELIVLAWNSMPTRRNDELRNCASGILRTKTLESKVRVVAIEEQGLGSRVELVVVDEM ARNKDGRSVDTIKPGEAYI EPUS_01534 MTESPDPNRIIIDVRESPELSQTGHIPGAQHIPIQSSPDFAFLP APEFESRFGFPKPTKDQEVIFYCKAGVRSRAAAKLAIQAGDFGGRVGEFPGSWIEWEG KGGKADRPG EPUS_01535 MSHVLKHNQDSMLSPEEIEATAATVIVAGSETTATLLYGVTSYL LKIPDVLQILVDETQGIFTAKADMTVHHLARLPYLSAVIQEGSRIVLPVPAGMPRVIP KGEDIVCDD EPUS_01536 MGPEDNSRSPAEHPNDHEDAQESQQLLPTFNHHTGLNIIHSVAP EGESGRHGFDFVPFCKIMWKSSSQVSMLVNVLWPFAPIAIILHYGFSGLHIWIFAMSY IGMVAPANLLGFAGQELARKMPKVTGVLVETALGSIVEIILFLILIKKHSTKEDNGAA EHGNLIPVIQAAILGSILTNLLLCLGLCFFVGGLRQQSQKFHAAVSEVGTGLLLVAGF GLLIPSAFYSSLKSATVTASGSEASYGDKQLRHDTLKISQITSIILIIAFFIYIWFNA RSHDSIFDEVLASDEERDRDRHSDHAKAKFTFTECVVALVISLTFVCLLAEFLVEQIP HVVDRGVPDQFLGLILLPLVEKAAEHLTAIDEAWDDQMNFALYHCLSPSIQTALFNAP LVVIVGWILNKPMDLNFEIFMIALLVLSILVIGNFLRDGESNYLEGALLVIIYLICAV AAWYYPDPDVATSNGGNLVLQVADTVAS EPUS_01537 MALNIKATTYGGLAVTGKRGARITFKPGKHGAKKEEPSMAGLTG GSVQMGGQTWGVKKGASTEPSDEDLEALDLEALTGMRAALLGAQGPGSAAPSVHSETP ALLSTG EPUS_01538 MDVAATSANDNAINPFAKDEKNEDPKQIVPDIEQLAIDPHPIPT PILNNDEHKSEEDVDASDDEDGPTLTLPLEEDVLRKDHLFAFRNKTSEPVPTKTYRPS EQQLLQEGKLGDSHFSARLKKVKFGRYATETGERVPACLILVRVDFIPKSRGWFRFRD ANVEVAFTDGPGAVSNRTSADDEDVDDDDDGDDRVYTGPLVLKFYPDLIRGHTQSASE QFNLSFNVPLAPLPAGSPFIARAAHGRAGDARQVEDA EPUS_01539 MAAADDTGSLATGVNSLFLTQEPNRSTAEPSIPQLLVSGDVIPL PAPLEIEPSPSVERHGNLWSQYHTLDPAAIAPLEQAILHYRVRAYDQSKAIFDAFPPE LKHHPVIAFERYQTYWLDWKLKDCLNVLREAITWAEAHGKVDDASGIYTLLRMSLARA EVLVNGDFSKARDSLREIKRWLENIPIDEYTDVQVQCIIQYYFVILMANNVTDNFEEA YFLTIPHISKGSVDPPISQLRQYLQGDGRLRDACALLYIEVNFLSNKETQVRACGSLL EACAKAPPACEPLWYVEGRTRLLLAQKLRSNGQVSGSNMEFQLAKELLQKAPLPTELN NSWLDISLCELRSSNFPDPSMQLKLWERFSEQPAVQKDGFMMSTALTKAAEAALEVLT ATPSQENREIFWRWQNRQEALLEELGDSYFLYLGHSCTAELAAQLFEDFGAILKWHNE FDAAHPNFKLWELQLMGKRKALQIYVNLKDVENIAKTAQEMNEITRQRDQFWKEDGYN VGSIAEMAVRLDAEHGGDSTEIRVHKKWFAEWIEEVVLPFEKREDSHLLLGSTDVISP RAVLSTLVRWLKTASVNGELDKEELVCILLPTPGATETFNVETLLTHLTPEKLHLNLF GAEASPTLNSRWEKVFMILSEWLRNRATYNETKRHYLLYRLQVDKLASVVEAEDILIE AQRLVNLVPTLCEEAQQSAKSVLLHRRNMMASAKNIIYSRRQGAPLSDDADPEFIEVL DLHKSSLEEAVRAGNILGEAGTALFIAQLYYWGAMKLKKSMLDEFFKYLDQSYEAFQK RREGWKVLSGWDKVDKLLKAVSENMRLQIVPLAVAVLCQFSEEAREWRDTRIWSMIQM GKSTGLGWLMQTNRLDQQALLRSNGALPFTEYREVPVVKREDLSLITSDAGGDVVYVD WYKNTAPTTNSCKPIVVIVLPNKPPLVVLADITWEEVDKIVDMFLAMDEEDLGHADSR KLLRKLNPLVKPLAEHTRPGQVLVFSSIEKLHQVPLHALLVEKEVLIKRNPIVYCSSL TVLDVVFKSRKAKIEKNKANAATTISASAECLPRAVLFGDPPSTEGRQALLDLSHQLS VKAHTVTDNDFTTSAFQSAINIPDLDLFHYHGHASFQEGEPLDQGLEFDDKRYTLREV FDLSAQSGAESENALPEPPEAIIQPALLSAAGPAPPNDSGNRMAAGGQRGGYHATLLG CSSGMSTTMDSSDVVGLVPAFLYAGATSTVSGLWRLDDKDAAIYSKVFYEESFAKPAT RGEEKVTVEVEGKEDENKREKTAKDADRGEQRAVQDTSRLNTPVEPARLEPQRAQGPG NDSNPLTAAESGGIPSPGAAGPPPGSSPDTPSSIANDNRINLAVAHQRAVLAIMEKRP KLVHWAPFVLNGYWMR EPUS_01540 MAPATMTNSSFPAPTTMTDGWTDFFNTVGTAAGPLLTLFGEQAT KQFLSMSMGWADNILLAMGPIGIITIAVSAIRIGGLRLLKAVIGRSRDSRASAEQEFL SSTSEEVCELWSGREVVRLIDKPNHIKYLVVGGGSLPAVERVQFLDAHKAYQQGLLII QPKPPQYMSEEMLKKILLQTRTSL EPUS_01541 MTIRASHLNDQSRRHRFLSAVGSFLAITGFIVQFIGLGALHWSA TIIVLGVSFIMMIIRAFVRRGLAKDPSCVSIPEKFELVWLALRSVRNDWKDVLTAADD NNHSIPSRDCDWGILTGLIQKDPVNGLYAWQPALLTAKRPKSGNTLKDSIGNETELEQ LSKHEFILGFRGFHSQKSYEMHAIRRQISIVPDIPRGEYTDLASKLAAAMIGVMNIMN MSGNIVWKNKGRPPWLNHHWWVDVQQGLREQPLKFWVVDMGPIPDKAALTEQLTAGLS LWMYSLLCQKNSLDRLCNQNDLRNSNAAFLRIIGSSDSCTAEQMEVWMPGTALDSITS SNFEGLHVPRREPLSSWPVFGVYYSASFKMLDPINAKTERTFTLRSAEDAKPQDIVIK TTSRESFEIECALEIFSLFMLSLCMSVDRVKGETVQIVNWLTEEMGEEMINSVFTALA QEVIDAGLENNTDLALRYIIPAFAKFRLLPKCRSNPVRAPRERKIKKHQNAHVAATLW EPUS_01542 MAAAATASPVTEISTWLSGDIVYDVLYPTLLIHEDYAILFDAKE VKTNRLLTVKKYNTPQRIQGALLKRRIEYEREVVAAVRGGPHVIVSGAPFWNKATKRM EMYMEHYQHRLSIWMDETLENRTLRGITIQIAPFYHTLLTQMLSALKYIHSKDIIHCC VIPQNILYNNHNHFVLSEFSLARHAHKRPYVHPGLITAHMAPEVLDKKEITFTTDIWT LGIVLLDLLLAPALGGDTSCFKSDGICKNLSELATELGQDCEMPELVKMLKMSPTERP SASDLLEYIKRHPRPQKSTQDVSAGVLYRYVKLMYPDEDDAFVRFAAIEQYAICQSHR KGSMTTSSTPGNVGAVPVQQDPPSSSGQNSATPSIIRGSPSESEPQELCQGENPKASS LRQSPTPGAAGASKVANTAIGTNNDTMTLSYRPQELVTSIAESAKTPPTTRSLEMGGK TSKITTSSLTESQGIASSSASPVTISSAASQRMPASSSATSKKRRKSGRHKRKGRTAQ DEKAIDLQDVSSTQRSQIHAPEVPEAGAGSDPMGSSCVVSSVSESGLTSRGLWRERQE TICEEAESVREKTQQALMRQAEKPGSIQQGALQVEQAAGSGSHQASALLSAHDTGGHV RLMDIQGPHRAGESKLEQQRPTGKKESEDQEAARARETIEAHEALSAGQKAAKAREKE AVKARENIVAAGEAIRAQEETPRATKAARGVEATRHRVNTTRAQEVRQEAAKAKEEAA RVPGAARVLEAARVPEAARVPEAVKAREAARAKEIARNQKLAREREEAVRVQKAAAES EAREINAIIKATTTQVKPPRIQEAVQKREHRGEAAVTEATRAQEAMKESKPTGILRTD VIQAPTMAPKKRGAPPESSMAAELIGLKDREKMTSTLPRARSTPDLSLILREQEILDA LEAISSCGITGHSDIAGILKRPGVLVGMPGNADATRALVEDAAKHIYRALKMMRVSSH ARASEWQPLIRALAKEPINFSVISFMTRRQDKTTRSRQAALQTPKRNAGGDPIQGSLS EEAASNKTEPTESREVGQTDARREFSTPMLSAHASAWDSSMKCVSPWGAIYRAYVEDR LPPVPPAESTDAEKYQAWLDAELPAPPPRPHPEGAIYDLWARRTVRSDMHNFPDILEE EAQRYYAHVRGEQSHLQGQVAQDRMEQARPTHVAQRPANSGLPLDNIEIIPRQAGLTL SSPSIPTVHYKSLQQSGSHPSQSAPHTFLRSTSSPSARPFRSASAQCPPSSRSTGPEP RVASQVRERPAHPFQGQIRMVKSKSTGTQQVTACLPGPDAETARQRAESQAGPSRLRP ATRKGASYTEGAPSQIDPPIPMPRPADDEAVKSMRRKWEMAQKLRRDHLRILQKMEAK SSI EPUS_01543 MRSSIQSSVYEDWEIARDHQNQPIPYPKIKYHVQTHDKAIIYLG TTGTESQRRDIWGRLATSEDKPTSYELCLQVTAKTDYPAYSWLNQVVMVARAMMTEDM LVYDAYQLE EPUS_01544 MPLPLPGSPAASWGMFQERTRQVFRGAPVRICIAFWLFGLINNV LYVIILSAALDLVGPNVPKGVVLLADVIPSFLTKLCAPYFIHAIPYHVRIIVFVSLSA CGMLLIALTPSYLDGGSISTKMAGVILASLSSGGGELSFLGLTHFYGPLSLAAWGSGT GGAGLIGAGAYALATTTLGISVKATLLASACLPAVMMMSFFIILPREPLRKGPMTRKD SLPIESSLPDAEAEEDDLNIETREDEGLLGAPSDPSKIVRPKPVKAASGSAWDLFKAN LKRSSSLFFPFMLPLLLVYIAEYTINQGVAPTLLYPLRQTPFKHYRAFYPMYNFIYQM GVFISRSSIALFRIHDLYLPSFLQVINLVVLSAHALFDFIPNVYVIFVIMFWEGLLGG LVYVNTFAEISDRVPEADREFSLGATTVSDSGGICIAGFIAADHLGRSFYHFVSGQGH TNPSYSYPTPAPPHNHMAQPISQSPRRAVGDRSPPGQQSGFFTSPHAQSAVAQTNGQR QMLPPSPHPYYSRFSQQPSIPNGNSPNVSREHQAPAPPPPPPPPPPPQHRPSSSMSIS SMLGSESERPSRDLNLGASSTTSSSYRPKPPNPPPSEMSPPQHSAKPSPGDYSYKPRS QTPDRMGISNLIGTRPYRSGSGSIMQGSRPFEDPARMSSRAAFPRFGESTQQAPSQEP TRRTEDSFSHTRRTSISGILQRPSSQPQPQVQGTFTGPRLQPANPPQPGRPAWPEHSN AQASTSFNSSSPPSLGVNRAADEKKEAQNGQQAPSSNAASQYDIRPPTFNSSMQQQSL ALKGGDQQPSAPAWEAPPSNSTSPEVRRPSAGPNQYRPPGGSLNGLVPAPPSQSSESQ RPASVSMSQQDSSQSHGERSIFGDRLEKGRTRLFSPFAGSHTSQSGPSASGHPDEQSR KGSDELSQHRALLGLAAESKKGGRYSPLPQAVQGAQAQSIGPEIGIKSEHGRIFSGLG GGVGAASASPAHGPPGLAASPFKRDDNGARLLNEDNLMKISRSSSGLGKRARKVKEEE GKAASENDGPSRMGKKPRNHHHHYRLDNGDLASPFDLHRRHTPLSSLNPIRRPSTPKS NGXXXXXXXXXXXXXXXXXXXXXVHAPLNQRNCKPNTMIKIRSVVDAAAKNPRRHLGF FLYSPVISVPELHTPVRTKLGVSIRPNITPSFKDPNQVNCTYTIRVSRTWLHGPEREA ICSERFLWGSGIYTDDSDPVAAAIHSGFIRGAWNDEVDTDLLERVSREQNPSIDFKDN VPDAPVEPPEGKDLHIKLLVLPQLEKYGESARYGLKSRTWPEEEEDEVEEEVGKGAPH DGVSFTVLDCTWVDEGSARGQERSGVARRKRLHALTVLRPPAAAA EPUS_01545 MSQSRDRPHEPIGLRFERRISQLEREREAEAVARKEAKVKALQQ DVTLDIEGKRAELPSQSLTSNSSKELSSRYRRPKVEVEDEDEQLSNRQLNGEPVQTSS VRASAMLTPPLYPHSSDTGNAQELDARYPLNTIQTLNSRLEREERRVTELEQELGRAK AQLEHYIADQNSIHLLRIQAVVANDKDEIARLKVAGAGVSGLEWAWCSGLVFGGFLDR LCLR EPUS_01546 MYSEENNYIFSILGVFAKHVRDVIPVHYATSLAASPAILAYARV AMGDAMALFMNEDNGRDSKGHSICDAPSWLPRAFAQMSYQEVPTLHISEYDGQIHSVL VSTMMALLLSEHHIIASMV EPUS_01547 MSQSRDRPHEPIGLRFERRISQLEREREAEAVARKEAKVKALQQ DVTLDIEGKRAELPSQSLTSNSSKELSSRYRRPKVEVEDEDEQLSNRQLNGEPVQTSS VRASAMLTPPLYPHSSDTGNAQELDARYPLNTIQTLNSRLEREERRVTELEQELGRAK AQLEHYIADQNSIHLLRIQAVVANDKDEIARLKEQVAELSILVESSRNDVSRLQEELR QKDRLVDELRAEVRQKAEELHRTSLPVKAHKDRRRSENQVRPGCSRLRLERNSDGIRI KRNETILPIANSVTFPLT EPUS_01548 MAWRTTQKMRMTSNGVKTIKDYELYCHYVAGLVGEGVTRLFVKA REPALLQRSELMESMSQLLQQPTLSATSGKITSRSDTSGPWRCGPMAWICAVEVERIA ACELLEEEYPRLLKQPHDNNAYSFGRIGSHNVVIAGLPSGKYGVSSAATVAQDLLRSF PSIRIRLMVGIGGGAPTQKHDIRLGDVVVSSPTGETGGVIHYDFGKVIQDKAFEHKGL LNAPPTALLTALHDIRVLHARKGHRIVDSVNAMIQHNSRLRKKFKHPGLEHDRLYATK YVHRDGYQPCVDVCDNTTLITRKPRSEDEDNPAIHYGLIASADKLMKDATIRDRLAAE HDVLCFEMEAAGLMDNFPCLIVRGICDYSDTHKNDQWQGYAAATAAAYAKELLQAMPG EEVVRTSRVLRTESQPAPSATPKPVFTVPFERDKHFVGREGIITLIEEKLQEQYRASL SGWGGMGKSQIAIEYAHRFRKKHPQYHIFWVYAANHSRFYQAYQEIARRLEIPRHDDP AVDVGGLVLDWLNEQDAQWLMILDNADDAGLFFLNDSEPSSCEDSISERPLIEYLPSC LSSNKLLLITTRRKNLGEDLINGEQCIEVPPFTIHEALLLLQGRSKDPATGVDSSESA KLMDILGCIPLAINQAAAFIRRNQMSLQKYLAALEKDEKNLKDFLSAELQDARRERGF PNAVFRTWKLSFSQIQDQEPHAAELLSLMALFDRRDIPESLLRDPEVSDYDFSTAIGT LKTFALIVQETDSEKFAMHRLVQLSIHDWLEKAGNKVEYEGQALQRLSDQFPDPKHEN MKTCQNLYPHAQAVLLQSLRSDSLKRARADLLYLVGWYERGQGNYNVAESQVLEALSL FEEIEGESSVCWLNCKDQLGLILELQGKYKEAEKMHKQVLKRRKSVLGEDHYDTLTSM HNLGRALHRQRKYTEAEKMYRKTVELREVTNGKDHPDTLSTLDGLARTIKNQGKYEEA EKMFRHILKHSETILGEKHPETLMTMHNLAMVIQAQQKYNEAEEMYLQVLESEEIVLG KKHPDTLTTMHNLARVLRDQQKCKEAEEMYLQVLESEEIVLGKKHPDTLTTMHNLARV LGDQQKYKEAEEMYLQVLESGEIVLGKKHPDTLTTMHNLATVIQDQQKYKEAEEIYLQ VLELREIVLGKNHTDTLLTVWCLAFLYKEQQRYKDARPLYQRASSAFQESLGPDHPRT LRCAQEYESLLEELGIRRAEMGNDVRVGSASTMASLTAEDEVAHRTDMQDISTSKTGR RRRSARKKREEEAK EPUS_01549 MADQVNRPHRAPKEKKKRAPGEKNVKAFAYANPGRLNKQAVRAQ DVKEKRLHVPLVDRLPEEAPPIVVAVVGPPGVGKTTLIKSLIKRYTKQTLSHPTGPLT VVTSKRRRLTFLECPSDSLAASIDVAKIADIVLLMIDGNYGFEMETMEFLNALSASGM PGNVFGILTHLDLFKKQSALREAKKRLKHRFWAELYQGAKLFYLSGVINGRYPDREVH NLSRFLSVMKNPRPLVWRNSHPYCLADRFLDVTPPTQMEENAKCDREIALYGYLRGTN LPANKARVHVPGVGDLTVKEIEALPDPCPTPHMDQAIAKVTGKSGRRRLGEKQKLLFA PMSDVGGVLVDKDAVYIDVKTSTFNRDAEDVEDRGLGEQLMLGLQGERKLLGEAGSGV RLFQGGGELASADDDKIESHVGRSGQRQARFIEREAGDDDEDEGFESGGSGGSSDAED EDDGDNVLETHGQPGFLTKNDRDTDGKNGDIAFADSDSDIGSVSSIEDQELEDNSDEE SDGEDDGALRWKENMAENAKKLHTIKIAYRAVDLSRMMYDESLSPADVVRQWSGQAVP DEEDDGGGSDEGDGEFEDLEAGDAINGNAPPSDELDLDAERARNAKRKEELKLRFEEE DREGFANIKNKTGGPEEQFGEDEWYDAQKAALKKQSDINRAELDTLDPVARARAEGFK AGIYARIVLDQVPYEFARSFDSRNPIIIGGLAPTEERFGFVQVRIKRHRWHKKILKTN DPLIFSLGWRRFQTLPIYSISDSRTRNRMLKYTPEHMHCFGTFYGPLVAPNTGFCCVQ SFSNSNPGFRIAATGVVLNVDEASEIVKKLKLTGHPYKIFRNTAFIRDMFSSAIEIAK FEGASIRTVSGFEARDVSHAELVQEARVLGPEEADVRDAEEDHGQSLDADAPCPADAV ADAGVVSKQPRIVPLSSPSSEAMAAVLFHRRGVTGEKDLRDARTARPVKRSLIGDRET GRQLLAGHRWLVVKVRLQTRSPSDPRTMLSTFVHVFRKQGFFGLYNGLSAALLRQLTY STVRFGVYEDLKARFRAAPSPPNPRGQAPLPQLLLMSGTAGFLGGIAGNPGDVLNVRM QSDFAKPPEKRWYYKNALDGLIRMIREEGPASITRGIWPNSARALLMTSSQLASYDTF KQTMTQRFAMPDNVGTHFISSLAAGFVATTICSPVDVVKTRIMSAGGKIGILSLLKET TRREGLIWMFRGWVPSFVRLGPQTIATMIFFEQHKKVYRKLKGDPSDA EPUS_01550 MDDAGELEDRGKFWRQDARCKYISIIWKYSLPSPFTPPPSYYGI MIRRSILRQSRTLTAPAFSRSLCTPCSTSRLELCKRPLQPSSFKTVSPPLFQRWQSTE TERKPEDYTVPPEDAQAAQAAPAAEAEKEDALKKELEAKSREIIDLKDKYLRSVADFR NLQERTKRDVESARQFAIQRFAVDLVESIDNLDRALEAVPQDSLTPAEDESADAANKD LINLHAGLKMTESILMSTLKKHGLERFDPAEEGRRFDPRLDEATFMTKVEGKEDGDVF HTQSKGFTLNGRVLRAAKVGVVKNS EPUS_01551 MSRPRRTAATPAVVNVAQPSITVSRSPSNSPEERRRSIKLTVKM PSSKLREVTSQSSVGRVAVNSRDTFEGGEIMTGKRSTRAQDTTKRKRYNESDDPDGWD DDSEESDSEMADLDQDQGSPQDQVSTTEEESEEEEEEDDDDEMEAAPSFPPPKQAPSR PVPRSTNQQIPRPNNKQITNPAVIVTPAATGTFKSVEEKEMEMANEEDEELSELESNG EDGLEDTNMDAEGDEDELGGEEDAEGDEDIDAEGEIDSGDETTGSGLGTPKPQTKRQR GQDEGAFLALPMEPQIKKILTADEHRMRRAEMARRRKNLSEKRNEEEKMSTINRLLKR QVPKRRGKAMNPETLEAATAAEGATPAEDEEFEVERANPLFVRWVNNKDGSRIGVPEE WRGKQVGRVFGPPPPPRNGRLVEEIS EPUS_01552 MTDGSDSKPPSIPAWQTTISHNTTDTSSDTSKDAAQAVPAQDRR AVLDQARIFLDDASVRDSPREKKVAFLAKKGLQNDEIQRLLDTSSSETASSSASSSES SSPASHVSAATTPPSSSSQTQPASQPNREVPPIITYPEFLLRPSKPPPLITLDRLTYS LYTLAGISAFTYGASKYLVQPMLQSLSSSRHELASTALHNLEKLNEKLEGSVSHIPAI SQSAIPKQRFFHDNDNNDESASDIETADSDLTELFHRDMATQTSPRLLLHSPSLSNTS STTSSSAHEKQNENAETATATTTQHTRLLTLKDSLTSLLATESPSEPTTSTSTSSSTT NLLSTLSSTQSYLDRLQFSLNAYNDYHHLFSAGGSTLPATTGNNRRDEGKKGPSDDEA VRFRQEILSVKGALLGVRSFPLGSRVTAATGAGGVGVR EPUS_01553 MVRLREIPRTAAFAWSPGAASPLVATGTKAGAVDIDFSNETCLE LWDLGLDGKGQSSELKPSGKAATEAGFHDLAWTNFGTNSRRGIIAGALDDGSLSLWDA DKLLAGDESSLVSSTKKHGGAIKALQFNPKHSNLVATGGTKGELYITDLNDVSAPTRL GSTAARADDIDCLDWNKKVAHIMVSGSSGGFVTVWDMKTKKESLTLNNMGRRAVSAVA WDPEKPTRLITAIPLEQEPLILVWDLRNANAPERTLRGHESGILSLSWCTQDSDLLLS CGKDNRTICWNPQTGEALGDFPVVTNWTFQTRWNPHNPNLFATASFDGKVSVQTLQST NPGAAQESNQGQSLDGEDFFSKAQSQPQTASFSLAKAPKWMERPVSVSFGFGGRIVAV RPSQPGTSRASKVEIVKFEVDSDVGASTDVFEQALQSGDLRSVCESRIANAKNEEDKG DWKVIQTLVAQNPRKELVDYLGFNETDEAADGIAKLGIGDDQDKSSEPKVNGAESKGH KRFSSMFESTPDGDFLSELAATKGTKTNNPFQIYSGSESESDRRITRALLLGQFDKAL DVCLQEDRMADAFMLAICGGESSIRKAQDAYFSKQSDGPNYLRLLASVVGKNLWDVVH NADLKNWKEVMATLCTFADEKEFPDLCDALGDRLEEKSQSQRDACFCFLAGSKLEKVV PIWLDELQEQEAAGAAQETDGSAFSIHARGLQGFIEKVTVFRKVTNFQDTELSKTSEW KLDQLYSKYLEYADIVASHGQLAAAERYLDLVPAGYPEAEVARSRIKQATKKSAPVVT SSSQPTTGRQKPLPAAGGYQPQQSTFMPTPPAPSYGAPAPAQPSNTYASPAAAPSGNP YAPQQASHAQNPYAPTGGYQQPQQMRGPGMPAPPPYGSFQPSSVPPPPRASNQSPAIP PPSKVSNMSNWNDIPDNFAKAPTPRRGTPTVAAPPVAQPFNVQQPASPPQGPLPPPMA PPYGAGKRAPPPVPPPPRGGAPPPRTMSPKTTGPPQSYPPPNRPASSASNAYAPPPPQ SVSSPPIGQHMMQPPIPRGPSPYNAPPSNAPPPNRYAPAPGSQQPGPPQPLPRSSIPP PPQASAYQSGPLPANPYAPSQPPQQAPAQSPYARMPSAPPQAPPQASPPPAQAPPAQG PPQQTSRPDTAQSETRSSKSQSKSPPDYEKLIPPPALPIYTILKAEFSRVAPLAPPAF KKHVIDLDRRLGMLYDHLAKGDLIKQDTIPQLVELAQALQARDYERAQTLQTDIHRDK MEECGQWMAGVKRLIAMSRATP EPUS_01554 MTTPAPSHDGRKSVLITGCTPGGIGYSLALEFHSHGYRVFATAR SPSTISSLATLGIETLALELHDPQTITSLQSHIRSLTNGRGLDILVNNAGKNNIMPAL ELDMDEVQKTFDVNVFGVMRMCQTFGPMVVQAKGIIVMIGSLAAVTPYVFGSSYNASK AAVHAYSDTLRVELEPFGVRVITVITGGVKSNISRSDRRLSQDSLYLPVEAEFERRLK YSQEGAMATTEYAKSVVNHLLRKQPKKYIWQGNKSWLAWFAYTFLPRRVMDLYMYRVF NLWKLRTNHSVTDKKKQT EPUS_01555 MADTSTRPLSTVYVGGLHPTFVTASTLSEAFIPFGEIADISLPK PEAPSSTDLHRGFGYVEFEEAEDAKEAISNMDQSELFGKVIKVAMAKPERKDEAGGLG SKTAIWEQEGYLAEHAVSAEDRQAMQQTRLGAGSRPDDPMQGLEGLDVAGPQPE EPUS_01556 MSTARLVRFQPMRFYGARPQIRTLHASRGLSRQKVDEHSAHTIS QRLRTLKKIPPELLPLGVVIGFALSAAVFAMGKKLFTDKTLRLHKSEKKH EPUS_01557 MPDIEMPDPEELGGLVTKPFKFVTGYDARFPNQNQTKHCWQNYV DYHKCIIAKGEEFRPCRQFYLAYRSLCPSAWIARWDDQREAGNFPVDLEH EPUS_01558 MAGKMNMESRTGRDNQRFGTKGERLVAGVVPLSADKTRVLLIQS TRRGGWVLPKGGWELDEETAAEAACREAWEEAGVICTVEADLGHIADTRPTSALTQNA PKASYQFFECIVQEEKPEWPEMGKRKRQWVSYAEAVKALDPRPELLEALKLSSIKRS EPUS_01559 MKVEHYQALVDRGWRRSGHTYYKPDLTRSCCPHYTIRLDADKFR ASKSQRRAINRWNRYVLGTDYMSKVSKLYPRSREEKQRRRKSSFDLEAAVHASEYASL QRPIDPRTKISIEPAHKFEVNLESDSFSGEKWNVFLPYQMTIHKEAESRWSHASFKRF LCSGLDQKIIKSKGVTRKLGTYHQCYRLDGKLIAVGVLDLLPHGVSSVYLFYDPEWED WELGKLSALREIALTREGHYQYYYMGYYIPSCIKMRYKGSYWPTYVLDPESLDWNLLD TDLRTNLDKHHYFSLSGNNKRNQDVLEANTITEASPAPVIPKAEPDIDYTSLEIDSED DSVDETEIPPGSLFDYHVPGILSQEEVEKLKLDKWKFLLRNGFVEMEDLKGWERGKLT DPQSLKGIAAELAAALGPEVVKGGSAVNLFYDS EPUS_01560 MPLAGFAMTLDTSKDAPSAQDVCTSAHCEQGNPPSAIEPRTPQK SGAEDNAPFADIDGPVEAKGGAGGAGGGGGRGGGGGRKGGGGVVVVSSGNSKAGANPL SVLRGPILLLNSILPTARAQTPSGFVAPAEAHIDNAAGHDAEIVEQSLPRLRRDRCEA ILGFYCGWAPKADTGPVGRLVKWMRSA EPUS_01561 MNGRGLVPVALAVGLGIVNGYVVFNPAFQDLEAEKLRKQQAMIA SDLGPNLVEVPVSRSEEQKGMDSTQQNPTSSSKATDMQPDNAQPQKPRWWQF EPUS_01562 MAEWKERGYVPDSDDEEEEGLELLSPNAEQQRVGLGLCNNEKLS LSDADSKDACFIKNDYSILLAGAQHDDPIRSEVDPREPARQVEVDQDEGGLEEDKQLQ DKVLPEHDSDLIDQSQIERPQESVAEQLQDELRSGLDIVKEVLSGSSTTIDGHHSNNQ SNLSSPLSSIESFSAGDHSGTTPKDKVTDVPLPLDHRSSEYRFPASAGNDAHRVDDLD YAAHVTRRNLRQRNPIQLHPYALEGARYQQELLARGLRPVYLDDLHRRRTSDVCESQD CKDRENGASQSTQETTSSQQETPGPLQPIHNEAKRRRPRRPSANSLASDEELPDLDAI LEAKHGRLPPPRKRSTAVYNALRSKRTPSHDDELHIYDPPFDAPTSTLGKSPSGAVFH VPPSPPCTRSGQSSQSTEVLPRSSKRNHLARRKRRTPQTLPTPNISSTAQQPADQSLQ CNSGSDSPEPCTAESVGNSSQHSLEQDDSQIYRLQRKTKGVLPASFFRLQGQQEKTGE NPIRSWSSSPDRDIDIPAGAHSVSRPQNRQNSPSRASHNAIVVSDDSSPQEEPLMGTF DDPTVSNLSNSTLPSRRVSMMRLIDSDIEEDNGIDIMMQPKPRKQKAAVSRGKRQRLV SEFGRDMDSHPRHELSQREPKRHKGRSFGLQRPKPNNSTVKALSLGPLDAPGYKSLPR MKQPQFLRLAARRARSRTRGGRQSPSRKYIRMATSADTEEANSELNNWRKGLLEQNTK ASLNAKPRKSTQQDNLPPRGSLAQRLQRLPVLESGEYMHSQERDPNDRRQSEQSLPPW HRTEDLIRDILLRQTGQSIQSSNHSKTNLFRTSDNGISSISDIRAHMHAQHQNRSGRG ALLTSTVGSAKPRGAQLESLRHFKSPERVLNAFGGHSNSSQFERIARVETTQDRYPAL PTRMEDQTDNDLAQDASRQALFRSRRKRTPKQSDLISLSPAWALELSGSATSPNDNND LDALSGVVLAGLGSPEFPITRDFGVVPFAEGVCLHDASFVGEDHLSDLTRNLVLNCRN LFQSKDNECVVLRPSTMGSPYRWGVWDDQVSQQFSAWFLELRGCLGCHASDMANNPPQ NTLSAFKTLTRYLSRQVSFQNRQSLAAFAEVATRMAMELVDQVRSQLESSLSAVPPNM LQLSSLLLVFIVEIACIVASALMNHKLQTELCKTFKTLAVVVLKALHRDNNLERLTNI TSSSSEEPKLINKSSEVDALTVINNLSKQAVLHIDIWDLVTEALSSFIGFQPENLVTF RDYDGWWKGVFLTLPWLDLDASGQLPRTFTSPGWDLIQRLLIRFLQLFMPRRHKASYS TKHYGRVLVHRCFDLVQLWGWEGGHAVIGTLFDAYSSNDFKEMFGETPENRFCLPLNL APGVSVHVGKTDSGFHAFLGLIAQTIMISRKPDANPKLAKRVLRSLSARLVPNKGEDL PKNKTPSRYNIIALRNRFDLVSVMHCVMPPELKPNLRLLQSFVDFPNAHREACRIALE CWLRLVQHALFNEQSVDTRGQKASDTHHHPTLLLLREWHDSIVMDLLAYYTSESHETM GLDEHRHTDNAKLCKTLSFSRKPSADILIDALKTWQQSFEFCQTTSQATALLGRSTLR VIIKYCETKDVASNIVVSAALQIISAYTQYCLSRIVRVGPTDEDSQEYGTWDHFDSME LDGQTDSSSDHEEFSHLQQELHPMLWRFLSNIFGNDTVPDHAILKGTVDCWFEVAHAL VTLRARSWDDFMGQFSTYSWESLRHTQQSQQYKIYFLTKVIDASYGFYEKDRLRVLTI WISSLCRPNRALLWEPLLTSTILFHDPENQLLFNPPFAVRSDVGGKLEISKSELCERR TAMIYVLLRNMHRLIAISSTQPSHPYSKSEFCEILKSMETTMKASYQDLSVDQQAQDE YRVFLHFVIQQMQLYVVDFHKIDAFLTDPAIFSAESYAITATLKRYSLMIQTTGVTKA MVLFLYNANERAAISDTQDQFIPQLCDALLDLGPESIERTENHDSDAALLTSLLQNVF PVYVRYALSAPGHIVSRPLLRVLTYIFVNLRCRSDLWNASALQQLVTAADIMLRSAIS AFQSCAPSLILSSLELLENFAELVSFIHSAMLRTYEAVQAFPCDVEISSLIEVLLVLK DHILAVERPSDADSYTLQIEADEDLIANAPAEPSMMRAYAEKELQNALEKTWRTDASG GWQVIGRGAPKVVKASKRTVSGAAAETEMEQCKEKARFMVREFVEAFVHLDWWG EPUS_01563 MAHLPPTQSVWALNETAGGLIAFSKGLLQAATSDNVSPIALSAC QSFGALLPICPETRLKIGQLARRSHTSHVLKFVSAQIGYLKGDSVEILSESDGGLRFL SLVATFCTMTRYEAAIRIDSLLEATQSRDQLRPTIVQVQSMMRILESKLALSDFAASV AGWEIWFTSQLLRPADDLFRPQIAVIPSKGKLQDLILLLNETSRLGEEQGAHIRSRPE YVPWLVAFIKWLVGEPPFIQLVTGRVLNHQDKPRILLTVLESSQDDHRAEQMRNSVRK SQTTDLQVSASHMNETLRNLVIEEKMPKSKMPWNGLMTVRQWTILQVRRLFNEYPALQ SNRELHGVLENTISLMVGVLPDRLSFEGHEQRTQFDYSRYTQRTDKVSPMDSLLRCTA FPEAGERIALVQELLGTHITVVPIGSVNDDLHCLKSLSSIVEKEPSFRTGPKRVHSDK KAHFVSLIVHLGAELTFFSLFGSNIDAFPLVCASLRPSSLWKSTVEKNWRRILYHPGY ILCDRYMIMQHATQLLGHKLVTPDTIMSSNRGQVIYPAFFESTNFMTKGYLQLCVFPG FLNMNGMRFDRMIDEWHGTYRLSSDELAIPDAAKHQSRVPATFVPPRPTDSLQTPMRW RTSVYDDVLQGTLVLDDRQNGYFGGWNLVQIIDHVLFTPPCQHKPDSPAGDLAENFYL ARGSPHELCTPCLLESDRRDYGTQLYKLAQACSTYDVGDGEATKGEGGAVVEDGARRK RDRLL EPUS_01564 MDRLKVVWRYASKDNATMHSLEKVIAQPAKGDPVPEPEPADWRG EKDKDAAAEASPSSGVSSGRPGGAMLTMGATIMAGVDSLTKDLGLRPDDSTSAEVSRA NSVRSSSHQPHTDRGTNLAEEDESEGVRAYGPEGEDIVSSQHFARDEARMEEAEEKAD TRIGRAVESGVEKLGAMLPGTTKTTDPQNEHRAEKHAP EPUS_01565 MATLLRQIVASPRARHPEAGLDLCYVTDNIIATSGPSSVWPKKA YRNPTDQLVKFLDSKHQAEWSIFEFRAEGTGYPDHEVYNRIHHFPWPDHHPPPFAIIP ALMASMRNWVQGEETPTEMLEESSETARKRRVAVLHCKAGKGRSGTAACSYLISEEGW KKADALQRFTDRRMRVGFGYGVSIPSQLRWVGYVDRWTNHMNKRYIERPVEIVELHIW GLRDGVKVAVEGFVENGRRIQSFHIFKKSEKIVVDDGESPMDTLQSSQISSPSSASSL LSNGTPFVNTPMSPTGTSPTHDLPNAPTRATSIGATVLLKPTTPIILPTSDINIDFER RNKASYTGWTMVSMPILREAMRAMIRAFSKSTGKLWMA EPUS_01566 MKFAATIALTAAAAQLILAQPHGHAHHHRKHVQSVSVVKRDTAT VYVDESGNVMALEEVCHGLASKKLKFKDGDAPEDLCNKAEPAPTPSTTAAPEPSSSAA GAAFYEASLTEEASSVEPEPTPTEEASSSAAPAETSAAPSPKEEGSTGGEAYKGGKGL DSEFPDGELDCSTFPSEYGAIPVDYLKMGGWIGIQKISIANNFVQDIVTGISGENCVD GAMCSYACPPGYLKSQWPSMQGATGQSVGGLECSGGKLRLTNPDLSKSLCIKGTGGVS AMNKAGGVVSICRTDYPGTESETVPTVVEAGATEELACPDSGTYYKWKGMPTSAQYYL NPIGTGPEDACQWGTAGTKLGNWAPINFGVSKKDGTTWLSIFQNKPTTEELYEGTVEI TGDIVGSCKYENGQYCGATGCNKDGCTVSLSSGEATYVIS EPUS_01567 MAHMNGFAKHGLDDDPFSEKSALSGLRTFDAFPKTKPSYTSASR RGGQWTLLVLVICSALTFSELRAWWIGVETQHFSVERGVSHELQMNLDIVVAMQCKDL HVNVQDAAGDRILAGDLLTKHETSWQLWTDKISRKRRRDHQAYQLLHEENAERMQAEE EDQHVGHVLGHMREGGKKFPKTPKLRKGEHADSCRIFGSLEGNKVQGDFHITARGHGY MDWGYQQHLDHTSWYPYFATELGLIEAAFNFSHYVNELSFGPHYPSLLNPLDKTISTT PSHFHKFQYYLSIVPTIFTKRRISTKSGSLDPAAIPQPPTLDLVPLKDKDGKEVLHVL NQLSRADSKTLFTNQYAATSQSREVPDNTVPGIFFKYDIEPILLIVAEQRSSFLALVV RLVNVVSGVLVGGGWMFQLSGWFGEMMGRKGRRSNTGVLHGRHATVEDDHDD EPUS_01568 MASEAVPNQVNIYLSFYDASGQQSRLEQLTTGTVASPVCHFLAN EETDESLFVTGDKDSLHSHACINVYASTATLCLFEDNPEGSWVLPPRWNPGTSNFLDR TPVMRSSHEQQIAIQLRPGDQILSKGGRWSIDFFARPATPNIVSPIERRDSRDDHDQV QATEHPFNSSVERSSAFSPTAFSPECLHRLVELNEPSRSMTWAKLAYSNAEDHAVRQI SLWQHYKSTFETYGSLVSPMVLLKAVTYEFPSVTIMKEFNGVKYVLKGIRLRENQSPF SSITDEDRTEVTTCPRLTEVPLDAGALSPDVMEGTETPTWKVMEDSQGGLPPHAAEKN VYGECILRRSHVPEPLPPMPTNSTGQSKHVEATSPPSSHQMQLAEAQNMRSPVLNESD ASQSLFVEHTSSPHQILSLSSSCIETVSNDPQLRSSTASTDVTLVDLGEVAVLTKGEE GHSECCNVSRTEESPVPEDEISAQLRQSQVKRTRQGSSRSPVPGKRTKIDDEQSLATV NMDGDIIIEQDLELDEDTIVVECGPITQINSQPDAIPQSLSRGKEISMSTKDPIDLSS SSISKKHQESSLPTDNTARTATSHFSKQYSGESPCVLFSSTTEIDSRKNIMAFLRECG GKSVNRITSANMLCVGSNQPLKKSANLVLAVCMGLDIVTDKWLVESQRKGFLLDAHHY LPRDAQRERQWGFKLSEAVARGKLRGGMTGLLGGIDVYFTHGLKSLLAHNFRDFTAVA TCLGADAVKNGLPNRKELRKEFLILGTADDPQTLQASHMGLEVWSKDLLVMGALRGTI QRVDEFNVAKPMKQESDMSEEEL EPUS_01569 MAQSAILSALGLLALTASPVAAFWRLPCQAPVVVERADPIINPG VASPHLHTIMGGNGFGFSMDYAQTQASSCSTCTVQKDMSNYWIPNLWYAGENGTFTSV PQVGGVLVYYLQRPGNGEKLTAFPEGLRMVAGDSMKRSFGNDKESRAISYACLNFNGP ATPETNGFPTRKCSSGLRAQVFFPSCWDGKNLDSPDHKSHLSYPVNDHAYGSCPPSHP VHFVSLFYEVLFSTDLFDDMWYGDKQPFVWSMGDPTGYGLHGDFFNGWDVAHLQKAID ECTSNSGRVEDCPIFKLTTNDVAKGCRIPPSIDEQTSGTLDALPGCNPVQAGPGRAVA QTDCEVSSVIGKPQAYFTDLTQTKGWSYVGCGTDSIYARKFTGASTSSRTMTVETCVD FCSGKGFSVAGTEYGNECYCANSIPSDAAPVPGYMGNCMMPCAGDAGEYCGGGGTISL YQKCTGSCQNVQFAVVGNSTTPAANSAPSVMSNIAVTSSATSKAASSQSTVPATAQPT VAAASASLSSMTVQTNGYYGSQSASSLPVISKTPASSADDGEATSAPEGVIAIPTDAT SAGVSPTISGTAVTEATSFPIPSSVPKTNVTLPDGWKAGGCYVDPIRPRLFKFWAPFS GNEMSSSKCVAYCDEQGFPFAGTQNGGQCFCSEDISENAELKDEEECSTPCKGVKGEM CGGPGRLSVFTKLDDESDVGSKKKRHLHKHRRSHYAAVS EPUS_01570 MDVWPEFEVGANYSVPPDFDPVSQPIWYLLKNGTVDYPFNFDRI DRELFYSTQWSVVHGCTIGAAVMTLLHVLALTPLTKRRTLIFWLNVVGLGQVIARGVV ASLYFTRDRFETFYVVLAGDSASVPPLHRFHSTLSVILSLTMIATVEVIFFVQGRAIL SSLPRPMYFVLLTALILFGVVAMTARLIYAVYNIQDIWVWNRVQRDISPIPHWVEPAT LGCYVVTIGSWSFVWTCFIGRQIFLRWRMNIMRGKSFGAMNVLFLAGIQSMILPIFFL IMQFVPEQHSFIGAAYIVIPSVLCLMPFSCLWAGTISSANGQGCDETNRTPHSLGLSS KLPFAKISSSAKSHSTNNTTRNLSRASATSQHRRVKPVKSARPTTNNHVDKLYPELRD DDQIAVTQTYTVHTDGSSRNDSAV EPUS_01571 MATQSPSAELLNNQSGYQDDNARFFDEDVPIIPNKMQELLDKYS GIPTGEEQVRHVQRLRDKAYQQYQYPCLGLYRFLGLALSTHPLYDVHVLPLLCGDKDT KDATSLDSPRTFLDLGTCLGQDVRKLIFDGVPVDCVYGADLLAEFVDIGYDLFRDEEK LPRTHFVAPADIFDESSRLKEFDKKVDIIHANLFFHLFTWDDQVKAAKRVVKLLRPRM GSLILGSQIAHQESGEVPSRPGRRSDSMYRHDERSWKRLWESVGTDLRLSFTVRSSLS PYPKRKGVDDTHEGLSRMTFEVWRD EPUS_01572 MSQTATNGKSLLGDLSEPLLAEYLTDTPLPDGFPWGKATAFDTN YYTSSPDTGVTRKYDWIVSRATFAPDGFRKPMIVVNGAFPGPLVEANWGDMIEITVHN DIRDPAEGTSFHWHGFPQQNTQWNDGVPAFTQCPISPGGSLTYTFKAELYGTSWWHAH HSAQYTAGLLGPVVIHGPQNVPYDIDIGPVLLSDWYHQEYHALVRSLVEPRPDPPILT SDNNLINGKMNFDCSKLNSSTYVSGADCTNDAGYSEFIFEAGKSHRLRLVNTGADGAQ QFSIDDHEMTVIANDFVPIEPYDTNVVTIGIGQRTDVVVKAGGDPGKSYWMRSIITCS NTNQPEALAIIYYDRATNGSLPSTTAQRYGNAGCANDDLTQTVPSYPIAIEEPETTQT VTMTVSQNETGSWLWYMNDNSFFGDTSRSMLLLAKEGNISFTEFEPLIYNMGSNSSFR FIVNNESPIWHPMHMHGHNMFAEGDGTWDGRIVRPSNPQRRDTQQVRPNGYMRRSTQK NPDDVVITMAIRTPLTKAFKGGFKDTGLDYMVYALLKKVAEESKLDLSVVEDICLGNV GDRSSTVSAYIVRAAMLAAGFPHTAGASSVNRFCSSGLKAVQDIANEISVGSIECGVA IGAESMTTGGDRLATPFHEAILQNQEAADCMQPMGQTSENVANDFNISREDMDRYANE CFRRAEVAQKAGWFDDEIVPITTKVKDPKSGEMKEVILTRDEGPRYGTTVESLGKIKP AFPDFGNKTTGGNASQVTDGAAAVVLMKRSKAIALGQPIMAKFCGATVAGVPPRIMGI GPSVAIPKLLSQFQLTKDDIDIIEINEAFASMAVYCLNVLGLDHKKVNPRGGAIALGH PLGATGARQICTILSEARRTKKKICLTSMCIGTGQGMAGLFVNEQV EPUS_01573 MSTAEVANPVDSNSQSAQDVQDMLAELKESRQTGTATDPTAVDN DEVAQASNNEESVDESKSKMLAEENGVDNPENKKNGEAEEVKKDEGIGGENGEGSHGH RPHQGGYKGRGGARDRGDFKSYKQNIKSDLVAQEETDDPVQIRKQVEFYFSDSNLPMD RFLLSQVGGSKNFSVDLKIIHSFKRMRHFQPFSAIVAALKESTFLELTDDDTKVKRKE PLPETIDPEKMDPDAIKVFEDRAMPRSVYVKGFGEEDVKTQFDIEAFFAPYGPYNAIR LRRANDKVFKGSVFVEFDSEETQKKFLDLDPKPQYKGNALQIMSKKEYCEKKVDDIKS GKVKPSGQPYRGRGGHQNKRKRDDEDDRDWRERREDDRKNGFRDSRRGGRGGRGRDSR RDQGTEVRRDARGVPIVESTEEPPKDENNARAKREAATADALAKAKAMVEAENAKKKE PEPEGKQDDDATDKATQKEAEAKVNTEVPGATADGTAEKPELPPSKKRGRDEAGDEDG LPEAKKVDSKVEGMDKQENTDS EPUS_01574 MAKGKVCLAYSGGLDTSCILRWLLDEGYEVVCFLANVGQEEDWG AVEKKALKIGAKKMVIEDLQKEFVEDLCFRAVQCNAQYEGRYLLGTSLARPVIARSLM RVAQREGCDCVSHGATGKGNDQIRFELAFYAIQPSIKIIAPWRESKFFNRFQGRNDLL DYAAETGLPVTSTKAKPWSIDANLAHCSYEAGILEDPNQEPPNDMWIMTDDPVKAPNE PTNITISFEKGIPTKLVTPQETFTDSVQLFKELNRIGKVHGIGRIDIVENRFIGLKSR GCYDSPAMTILRLAHLDLEGLVLDAQVRALRDQFVTHNWSTFLYNGMYFSPERAFVEN SLIFSQKSVNGEVRLSCYKGAAYVLGRISSTEKLYSEQEASMDSLENFSPEETTGFIK IQSIRLKKYSEEWAERQ EPUS_01575 MQTQIPTSHRPQLIPAWWSGRSLAFSPDRKASKTVFAAMSRWEH GIPPASQPWANKDIIYVSILGPVMLAAFLEWFLWLAAFCYCLGKAYTKSQHWSEKVLA VIMIVSFIAMRGIFLPVMVVTLPLPAVITQYFPETMVQFLQWFAFWTFALLLIVPWLF CVYRLATSSLGRTRQIKYLLNEQIAPKTVVVMPVYKENPEVLLTAINSVVSCDYPASC IHVFLSFDGDEVDELYLATIDKLGIPVTLKEFPPSIDVSYNGARITVSRFPHGGKRKC QKATFQLIDKIYEKYLQRKDDLFILFIDSDCILDKVCVQNFMYEMELKPGSKRNMLAM TGVITSTTEKQSLITLLQDMEYIHGQLFERSVESGCGSVTCLPGALTILRFSGFRKMA KYYFAPKAEQCDDLFDYGKCHLGEDRWLTHLFMVAAKERYQIQMCTSAFCKTEAVQTF ISLLKQRRRWFLGFITNEVCMLTDVRLWKRYPLLCLVRFMQNTIRTTALLFFIMVISI ITTSNRIQNLPVGFIAVSLGLNYLLMLYFGAKIRRYKAWLYPIMFILNPFFNWIYMVY GIFTAGQRTWGGPRADAGAADSQTTPQQAIEIAEATGDELNVIPETFKPAIEARHPGR PKATALQPSAALEEVFVSLEKPSEEWYNHPEGSQISMPELAHNPQHARRLRPVSFDSA GSSDYSITMPRRLASMLEEEGRHNRRSDESNGRNSTNEGSSRRDTLRHSSERGLLQAP ENIHTRNYSPLPHTTTFFNRDRAGRPHVEPFYTVSITAVAMSVVGIDLGAQSTKIGVA RNKGIDIITNEVSNRQTPSLVGFGPKSRYLGEAAKTQEISNLKNTVGSLKRLVGRTLN DPDTQSEQDYVTAPLIDLNGQVGVEVSYLGKKEQFTAVQLLAMFLSKIKVTAGAELKL PVSDVVISCPPWFTDIQRRAMLDAAEIAGLKLLRLINDTTAIALGYGITKSDLPEGDQ KPRRVAFVDIGHSDYTCSIVEFKKGELAVKSTTYDRHFGGRNFDKALVDHFAAEFKNN YKIDIKTNPKALTRVATAAEKLKKILSANASAPLNIESLMDDKDVRAFLKREELEELV KPLLDRATVPLEQALAEAKLKVEDIDAVEMVGGCTRVPSLKDRISKFFGKSLSFTLNQ DEAIARGCAFSCAILSPVFRVRDFSVHDIVSYPIEFTWEQSPDIPDEDTSLTVFNKGN VMPSTKILTFYRKQPFDLEARYAKPEILPGKMNPWIGRFSVKGVKADSKDDFMICKLK ARLNLHGVLNVESGYYVEDVEIEEPIPEEKDSEKKKDGDAMDTDANGSAEPKPKMRKI KKQVRKGDLPLAAGTASLDQSTKDALMEKENSMFMEDKLVADTEDKKNELESFIYELR GKIDDQYSEFASDEEKEKVRSKLEQSEDWLYEDGEDATKAAYIAKMDEIRFVAGPIIQ RYQDKIEAERQAILKAQEEAAAKKRAEAEAKKAAEDAKKAAAEPKKQPEDTEMKDADG EAVKPDSVEEPVDEKK EPUS_01576 MNPSEHLVWSNDYDHLLFPPTSLNFIPPLDGSHISKKMAPVRDS DIDPDRAGPLPSFIQVAKPYIFEHTIQECIRLTGVAPAREDQLRLSGVQWIDSVRKSL RLTFDTAVVYYHKFRLLHADTEYSYVDAAAAALFTACKIEDTLKKSRDIICAAHNLRL PVNEHLSPDDTIFEIHSRAIIGLERLMLEASSFDFRNRHPQQLVIKLAKHYGIERHSE LARTAYKVSLDVYRTFAPLKQTTAALAFACLELAGRLLNVHNDAIESGKDYEIWQTSR AMVMETLLDLLELYTHYRNNTTIGPDFPVDIFLEVRIPLNQEMDAKSLPRFTEWKDHQ SMTNGATKASNGTKISPKEPSNTASPSTPGGIANGNNTRGRVGERGRDGTVRFMLNPE REQAEKEIVAEYFKPIEEEEIEVEDERRR EPUS_01577 MCAARTILSQRSIRYSIFGAAASIGLHRHVYRSQPTSNDSPVAA QWPRDHGLVPQRRHTIAQQSLGHPANHNNAVADPVTEKDEPETHTPAFENDDESAWAS FSNDFKVFRETLAGLDWGNVGNKITDFILPTWARLLPDQVRKLQFELSMEPGTLAHEI WQEAADADINPEIMWDASVRISNNLCEDELRFQNKRRERLVPALARYLNINEKDIDPE DVPTIALCGSGGGLRALVAGTGSYLSAQEDGLFDCATYTAGVSGSCWLQTLYHTSMGK QNFHKICRHLKNRIGLHIAFPPAAFKLVSSAPTNKHLLSGFIEKLKGDPGAAFGLVDI YGLLLAARFLVPKGELGVSERDLKLSNQRAHLADGAHPMPIYTAVRHEIPVEQAKAQV EAADDQPTTKEAIKETAQQEAWFQWFEFTPYEVFCEEFCAGIPTWALGRPFKNGHNET LDSGFGLPEIRIPLMLGIWGSAFCATLSHYYKEIKPALVGLAGFSGLDNLLEGKNEDL VKIHPIDPASIPNFVCGMENQLPPSCPASVFKTDHIQLMDAGMSNNLPIYPLLRPGRN VDILVAFDASADIKKENWLSVVDGYARQRDIKGWPLGAGWPKASIEPAEAALVLDEAQ ATTTQEAVTKVAEARESQRQTSNATSEAVSKGTPTNPSSPDLGYCTVWVGSKSERIAT TSPTDLPSSKRLDPTAPDSETNFHLMHPSAGLTLVYFPFLPNEARVPGVDPDTSDFMS TWNFVYTPEQIDQVMALAKANFEEGKEQTRRCVRAVYERKRNIRLERQGRERERKVAK WLRQGGDHFA EPUS_01578 MVAQAHREEEAEVEVLNANLTKLNLLTKKIQGSIVRLDTSGQVV KEAIGPIYSNTQQLQITNTNILRVSEAIERLRQPLDAKGREEGVIRAGPATAGLQQYL GALQRVDHALTDLTSSNLRSNQQAIADFNKLLASGSSQLQDLFRQALQQDAQPVEPLH YITKGLEFPTIPDQELSQLKPTAAAITSAYTKSSRSAKRDENPAIRVYAEIRGPYLSN SLQNLATASINTSTKRGQDAGIYREGTSGIGAYANGLEGMITAEADNVSKIFPTSEVG QAIEATCQNAMAVFANTLMELNTFIKSRILTDCFLAFEIIDLVTPISYRLETRTGARL KSQFSDALRPIRETARSSVSELLEQTRQKASAVAVLPNDGNTVPLVSETMQRISTLSV YSQPLQAILSSIGDGKWRIALTPTLEPTTTPSAIDSTTLVSNYLTDFLDTLLANLETR AKAFHRSKSLQGCFLSNSYAIVERSIRSSPDLSRHLTSSPTHKASSLYLDAWKDPSSY LLDVQYTSRTSNPQGSSGGGNAANRPVSGSAIDSATIVKALSSKDRDKIKEKFKAFNS SFDEMVARHKSLYMEREVRSALSREVQALIEPLYARFWDRYHEVDKGKGKTVKYSKAD LATLLIGLA EPUS_01579 MNGMDDNEEEDNFFADDFDDLPDNAWDELEQNAIQSTQHPQIDD TKQQTAVGPNSYLGNVVYRNVAPPKPARQPFQLPINHQFPQQQYAQRQPYVQPTDTSV YEDNDIPTPVEEREVYFHQNAGGNVTEREQWRQQRYGEASRPSKQAAIAYEQPYTRNF EEHELNEHNLDMDVDRTAALNSVNDQQSKQQHDSEREEALRSQIAELLRERDDLTKEL QTAKSTVMTQMGEISIIRANQAKDSTGFDRQLVALKKSIQEEAEKHKRDIEATNKRSE KISNDNQFLQHELKEELEKIKALQKNLRDKEARLAKGDSTEGVTTPKKTRQLPLRDGF DDQDIAIVSPVKSGRKSKPGTPTNAGKRKRKVPDASPVPALTFHDLSTTQAKKVDGQP EEPVKRTEKALVIRKDTRVNQSLQFMQRMLNHRLAPTKDRILEVFSQYAFPSDTKKSF TSVVLEESASLSGETLPSGFTKIIIALWAQALKEKYYMPIAALIEVVQFILALESSVM NSGVIQKIVPVLQSSTDVNGTVRFNNSPVSSQNFGQSKETPKSELNDEVDGTETLDML YTIACSCVRKPKLMEDLWRTIDSNSILMMLNVAQPITDLTLTLNLLATSITPTTFGTI KSNETDQQKNERYLVDRVAYLLWETPRVDEGAPHPTKHATYQLRMEALSLLSTLAFSS PHPHNDPSHHGSLLLATHPSIIGRLVRFIYDTLDALYAPIEPASPLHSLLSSLINRAT LLLYRLLELHGKDINLHEKLTAISGGVQKHRVVLTRLAFSEGLHLESGITDETVAMAH EMLEEAVTPEEAEALIEVFPGFKGRGGGEE EPUS_01580 MAKPRGVQFQHRGNNGHREHRRPSISDASESLSEPGSPTRNGTI KKAETIVEEKPLASDYEKKKQTFITRTIWTLVMIAFFFASMFAGHIYIIAIVTAVQII SFKEVIAISSVPTKAKNLPLTKSLNWYFLATTMYFLYGESVIYYFKHIVLVDKVLLPF ATHHRFISFMLYVMGFVSFVGSLKKGHYRFQFSQFGWTHMALYLIVVQAHFIMNNIFE GMIWFFLPVSLVITNDIFAYICGITFGRTQLIKLSPKKTVEGFVGAWICTIIFGFFMT NILMRYKYFICPVNDLGANLWTGLECTPNPVFTPYPYQLPAWTHISYTFWIAPMQFHI MVFATFASLIAPFGGFFASGLKRTFKIKDFGDSIPGHGGITDRMDCQFIMGFFAFMYY QSFIAVYKATVGSVIESAINGLTVEEQVEVVRGLGKYLYNQGVISAKILDCLSAELGK KR EPUS_01581 MAFGAAPSTVPSKAAPILIVLLYPYHVLSHHDPHLVLYHPVHPN HPANHHDHDLKTVDFVSPGSDEVSLRFEAPCHGCFADGANGLIFDIAIHKATEACGNG RIHINGQEFYWLGNPAEGAGTIQTPLVNALGNRGLNATWNATWKASCINDEAQILSLQ INRPAEDIINRGSGFTISFKQQEQPEIFRLVGEPLDISNPENLAAQWTHPDRPQCFTL APTPPSTGCENLDDEYAELKALKARSHDLQKLIREKKKRIHQLLCDDFRTFCSNLSKC GSFKCVFRTMLNKLPEYAHIISLHFGHHLEGIRKQGDEPMLYGHDEINTSPEEYDDLD PSSAHYDGIPRTPLTHHDHASATPVPSQTRHLSPTMPVSPQTKHLSPSPTLHPHETGL PLPSPSHTRTPDSVPIEYTHSILFYIFRHLIPPLLLFITLCSIIFLTLRRLNLLCASP YSRALRASTREERRTRRTYRRAELQHAWRNWWNRYRHPTCTNDYEEKRTLILEQEGVL EDAMQDEIRGLQVAQEIIRDMVQAEEGRSQLYHQANFPQHQQSRQTTTEHEATPSSQS SSTTHPVFKTINPHSYSFAGHRRSTSASSSSSESTPSLPPPSYEQELDSDIDVVDGFM YTATFGSGHTHSMSEDGDGYGVDTTPDSSVVDCSPRMSFDTGRTTLTAKEGD EPUS_01582 MLGACIVVRRCFRPVGAHLVGGRSSFIPYHGQHARSSTHTSLAG AGPTSAAAGLKNNNASETKNFEASINDATAHREKDQSSSTLAETTVKPHIIGKDRGVN DPNSTNEPEKAGTNSKAVFWASPFFQSYQGGENRSTRHAAEGVYVSRTTGKDLEGSST SSRENKSEAPKQELDTVRHYPNAAQNDRRGTWVAEGTPPLPTQQFQLPGLPGSREVRL GDLIDVQQEHEVDKPAAGVEQQMKDTLRQHSQNSQSQNNAFEGDNQTTLKISLCTGSS TEDQRLNEKGERIDHGRRFHEAIRAASVEDIEQKLHHTAHHRPNVDGVQFMLSELIKV RHVQPQAHHYEALILANCEAHHGSALALHPILAEMERERIGISASTLSAVLKVLSIHP DAQLLPRIIQAFFSQWISLSVTNTVHLILCLTRLNQFELAIANLEQLISTSPPISPLL QTAIPQYLYTTILYRLASPAIADHTAILHLLYLLHDNNFPISNVCISYILDSAAEALH LDLTLYLWRSHVDTNYIIPNTGLCRNALLTATRNDNSELMEKAGGWLESRGEMGGDGV GVWGIGELEMVKEAFGGLDMQSGRALGVAGFKQTTKRIVRLRRVEQVQEEREMKVEDQ IEEEAQNDEQAVAETEDDNRQVEERDTEGKLEVQPRYVAGLIRRQRHKVSPEIKEEEE EERHQGREVDDVDDEAGEDNTKGEFKVRLRRVAGSIIYKLRASYQRITGMMHEGEQEK EVDSANKVEEQVGQHEEAEKEEKEDGRDGAEDDNTKSESEARLRWVRIGVQIRRLESG AATDTREPKQEKKMKKNNTKSESKVRPRWVKASLKIQRLKSRAATDTGEPEQEKEVEE DNTKSESEVRPRWIRARLNIQRLESRAATETKEPEQEKEVKKDNTKSESEPKKKKKGE LRPGPKLTPEDAGEETDRQRQPTGQAEHDAHHGGSASESESDPESEELCTHVFAGLRL RRTRRHERKDLLRVEQRERRDAGGRGRRNG EPUS_01583 MAAHAGLIVLAVVVVAAGAAAYENPNVREWLEHSRRKIAHALHS LGDEINPRVKQSGRNDPSMQEDETQAAEERRRRARAEIMEKGRIMEERRRRKRGSQDL PSPTGSFDTLVDKDGMLKKAGMVDKDEADATTTAVEPIALTEGLTNRRPDSPAAKALH ESVDAPISLRQLSTSPDTDSEDPFVTEYEREMRNAWNLPLPPQPAPTASSAHESESLI DFTPTSEFPDPEIIIPHGTTGNDHALEQTEYFSIASNSSHTLSEAQFSSPPVVLPYAS QSHLQPASASASIADSIDHVQASDVEGSVSDDGVLSEFGDGIRTPASGWTDVGSVVSS DAGQ EPUS_01584 MAPSAIIRPGRSSVVRRYLEGEPGSWRKNATEDAFILESWGQGF IVGALLIMALITISNMKRGILLHKLIFIELILALSHGTFCFMSFKGYGWYLSATAGLL YLSTLVHNVVAWLKIRPFLTRRGSIIFIGSFIMTIPPVLFQSINNFLFFNNISDLYTR VRPYEALMRDPWWMFSCFLFFYVIKTRYTVTFRSLMTGQPRFAIMITSMMFSFIFSFT DALVSAVPSLSKVNGVNPYWKLALVFKALTDTIILDDFKTCLDRLRTRMFDSQGDSLP GPGSHSQKEYAPKERPATIITPTAENSSHVVTTQTPKPPGTVRRAMSRVGTHLSPLQP AYQMRPDIHPYELSPKPVIHIKTDFSLDKFAKNGARSASVPE EPUS_01585 MADMKAEKRYKSLYTPLDSSKREIRVLGFVNKPLEKKREREDPV SLTLSVVSLDDPGHPPFSALSYVWGKPVHNNHVTVDEVQMAVTDNLHLALHHLHGSRA EENWWIDALCIDQANLDERGSQVQMMSDIFGSAAITVAHIDFSVFKPKPQVSMSLLTT MAKLRGKREALEWLTKVRRDPDFNARWTELYLLFENVYWRRVWILQELIVSRNLEFFN PDGPCLDITTFAQALQIFEDITPLKLKLGLHISHQIRPIYEDLKFRAMYWENRTPQPQ GLRQMIMNSLRLQATDPRDHLFALLSFTEDGPEVIGRAAYESAPSHVFAGFVQRYYHK YQSLELILLGGCKRKLIDLPSWAPDLSAYETHVKGNIMIHEKIQEKNFPITLVVQSLL LAAPFPSSPVSFSDDNRTLTVTSIQMGEITGLGGYTKGSVARTIDSAMGVRQLDSTHA KNSELSSSSLDRNVLFENLAQILTLGSLPEISGTIPPILLGQALTVLLHYAEEPHEYE KRRQLGVSTLSGWWDLNKDLSIDNKTLLSWLLGDNLTLEEREDELVRMFRTTVLEYED TVIEDAFVFLKRVYLRIRFDTEMVQRVIVYTQDGELGSGPAYCNLGDEIWMLYGCKIP MILRPLPDMNGFEVVGECVMYDFMVKEMPVLARKPERCTIR EPUS_01586 MLEESTETSDEIDTMAPELGNPSTWTSLGLSLSIVGQRPAIILP NRLNHDWQKRLIEKGRKESVSVNPNFEVGRLGPVLPDEMKNGLLAKLYSGISLDKPFD HPEILAECHENGTVAHLIARSFGRISDPARSVLPNSESRGTID EPUS_01587 MARQTSSLTLTEELEKLEQSITLTLQEIDHNFSRAHRIVTSSIL PIVEQYAEHSRDVWEGSKFWKQFFESSANVSLSGYEEQPSTHGTFDDTVTEESSASTH TTLEGSESYTTPSSASRSMVQNEEDIDLSSLTISPSHSTPRPQTKAKEPVPQQQHSTT FADYPSPYEALRREVNSASNSNQGPTPAIPSTPGKSSAMANQGGGITTTPSSTTYSPL ISRQTPTSIPRPSTIRKKTDPLLHRVLDRNYRVQATPISNARYGGFQNSRIAATTGAG AGASIPATPLATARNRLLESTLSSSPNMPEAPQLHAEIFSSPVRKPRTPGVSVLTPGK PNAARSGAASRSKGMGTTAGRGAGIWDSDDDDGFDDDDDGGLPFGQSPPKTMQFHIPQ SRLLKTPAREASKRIVEDLLMSAGLGAADEDEDDSAEDFTDDFAGGEGRARRRSLMDA TSPSVVRTAETFEDESF EPUS_01588 MMDSPTAYPDSPLDPDEAAYPCKGCGEVLEEGKAFELAGNRWHI DCFRCNTCNTLLDSDANLLLLGDGSLICNNCTYSCSSCSNKIEDLAILTGDQAFCATC FKCRNCKKKIENLRYARTSQGIFCMDCHEALMARRRKRTARNAAHRQKAAANNALLDK SLPSLPPSAAPKSSYSPELETPPSETHSETPTEVPPRLQPRSERRGVPTGLSSERRDV SPANSRSYSRDVLNIPHVPTSRHSSMSQRSEVEGLGGEEFLIPVAFDPTVPAQLSPHP AQNQTQRTERDYFPATKTAEYVARNSSSGTPTSPLASPHIAYQEKGRVPSEEVIDSIK KRREGSFSTNGPATTSPLVGLGVNRPQYATPFKDPQNNRDMASEERFKLQEVPKAKRL GGSARSSKSGPASPPTMAVPSFQGQSHEPEKTSETCGGDVSVVQEPESFLTQNNGASQ QLQDYRPTEDGSTDSLKLAQASMIGQLQYPPKRGDSLESSTVSQTIPRKEVPSTLAKT QESPESNQGSSSTSATTNMASGDVSASEKVNGANIEEPRLGGIFDTSLSRKGDSSASK VNGSFVAQRVPPSPPIERTQRRNQSFSTSQSETLKHGSRPTSPGLPRWSAGGDFSISM DEDMARILGGEDSQGHESFLRRVSNSVRHGRSFSDKGIRVSKEQKWPRSPIANNAMNG QDTSRPTTSSSEHRDELAWVKNELRRERQKTMERDQKIAELETALHSTANIKQVNSEL REKRSTIVVLDTRKEMVVRELEILTEHIAAAKKSGDPLDLNKMNHAVMRDFAESLQRL KDSFAPQIEDFIQKRNDLMDEVSNLTQMKDKSVQEFEQLSLKNAQLAELNNQLVHQIQ ELYKASSGAQAEQSRGAPNGLGIYSHHKDRSQISIDSREGRSNTNEMSMVSSGTTLQQ EEAEPVSVLQGPQVVSIRKGQPKKFNWKKGGQNVAKGVTKGLKGAFSSTQASYSREMQ FTETVPYNSTPPTTDYTNNAMRHGNAEPPRPGFGLFGGNPKLGAKGHQPWKSQSNGSS PALTIDASTTLFGSDLEARAEFEKTFIPFIVRRCIEEVELRGMDIEGIYRKAGGASQV QVIKDGFETSPQQNFDISDPDLDIHAVSSTLKQYFRRLPNPLVTYEVYDKLLETTSIS GLPRVHKEVLEFLVFHLKRVVSYEQDNLMTSMNIAVVFAPTIMRPESLAREMTDMQVK NEAVQFLVENCHPIFNGTLR EPUS_01589 MMTMKRSVQNPVVKSMPKPHTEPPPFAMLKDAGDAFVEHPTHID TKLKDDENATKPLSITSARDFEDMSQDMHRLFEGKETEQNWDKRRKAIIKISRITHGN GIHDYRPQYITFIKSQLDNILKVVDSLRTNVSTAGLHTLQHIADALGHGVDFMVDFVA ETLITRCCNTSKVKRDPAIATFETIISNATCNKNILHYIVSASEHKDPNARTAVAGWL IAIFAKNGRHCDHASVLDLIEKCIKNGLNDPKPQVRTPMRTTYATLDFKTQKMLDSNI TVEPTKSSLKQQPSIKDIKAAKKKEMDAQESARPESAHSNRPSIKDIKAAKIREMKAK EVPRPPSAQGNKTFVRDARGSKRREAEVEDAARPPSAQASQPLIKDTKTVRKREAVVE DTARPSSAQSARSSTRDIKTTRKQDANAEDVIRPPPPQSNNATRKRDVEAQDTARPVS SQLYPSTYERKFHILSSAPMRPHRGLIELKRIPPKQAAFVQQKADAETMNILQTDDIT MKKEGDKQVQDLENAALQPSSSRREVSQSETGTTKVPPFELGQDEQQIPVKEAAPLVA TDKNSVRAGMRNRSTSTNVPPVHTEVDKRARKHGDLHKSGPPVSKHGRTHSGGSIQIH EDNASAGQVGTGKISQVTKRRSEERMKAGKEIVKEAPADHAGVEKISQVTKKRSEERM KARKEVEHSDLPGNRPPPSKHGRTRSTGSIQIHEDKASTGQAGAGKISQVTKRRSEER MKAGKEVVKVPSRRRSIRSPSPPTALREIDQLNQILEPIKKEENMRQKYISTEIAVRN RSISPNTKDPGKARTQLSNGIEKIRARKMDDYGYRRLQGLIKANDDLFQDEEKYDELL LALLDTLETPNTERRQSLGRQYDNKFQILVTIRLMLVHSAKYCAPYHARALSALLTAR RNFESRCHIVGGLEETAEDIVDACSSTEVIDPVLDVLELQEHDDAGYRAISMGLHILS GLVARIKGTEILDKIQEERLTHFALKCLRNESSETRRATVAFCVELRRLINPEEKYFQ MVAGNDEALKSLLTYFIATNRRR EPUS_01590 MKRLRLLRWSDTVLSPRTRPRTIIPPQCQCRRWESATHQPSLRE AHSPKDVQGQLPDTLSEEHLLRLPSPPIQAASSSAKLAALHARLQLPSRLPLQVLART LVDPSADPSPQFNNNPFSILGNDLLGYYTSEYIICRYPRLPLAVVFAAMYAYVGPKAL AAITREWGVEAAAHPGGEVDPGYLQFRRVAPESDMGPKPSALISTTPDASSGWKRRGI SSRTVYDDEFGDVQQPAKDKKASSTAIEGVTEEKASTNFVRALTGALYLHSGRAAVKS FFREHFMSRQLNIASLFNFRHPQRDLSRLCAREGFQSPVARIVSETGRKSRHPVFVIG VFSGHEKLGEGAGSSLDEARICAAVAALKGWYLYSPTELRVPSEMEEPGARKWDRMLV DPGDIVV EPUS_01591 MIDSCYIAKRLYATKVSIGEDERAPGTLLIDMLGINAHNVLRPS EFVLNPPHQQDDVPPAHDYSWYHGEILDSSQLSTDPRSGKTSLDLGPAYVQSPSLHTD CDLSQGMDGPVERKSSRERDTLRALEEFPLRVVLTSMPDIINQLQEIIQLSHGVHGEQ DEEWRELVAECARVQVVLENINSTRRRIATVEVDFVTEIDCIAKSAAPSAASVLRLLN CIGMNRGWRSLHSSKIYGTLMPPASILEHDARKLGQDIVERAKQKRLILVSHSFGGMI MEEALSGLGSSRNREWLRSRIAGMISLDWSGLLSPVDWPMNLDCPGSSGWNIPVRKIK HTAAIVPEHAQIYEEFPRRSQFSGLNVRMISGARNTEIWCDVEDVVDCTSWSMLRLLA VEQGNQLGHNPLSLSLNSQALLDHPTSRSAYSLERALQANGLGKARIILRALRWVAFT LRLLDIDELFSAVLTMTAESECYTFGLRDSSELVELCAHLLVMDDDRHVQFCDRDLRN LILCPEISTVDPCQHTQVHEMIATVCLRHVQCLHQQSIFRPWLLTGDWLHAQVKRCQF WNYSTSFWYEHFRIAEGSSQELPAILYRILQSALLEDRLELGPGETISDHRINIGLWI CAQYDLSILGRTLLEMGADVDYKHTVGGTSLHGAATNSSANMLKLLLTAGADIDSLDQ NGMTALHYSSISGFSDVVSLLLDRGANVDVQPASPPCDHSYTHLTGTTALHLAAGHGH AAVVKILLEAGSNLNATTRCKETPLHFAAEHGDYNTFRHLIESGADLEAETAASETAL EIAIEERHDSIVNFLIERGAKPRMTSLADIEYLEKVGSGQFLDNELQQFKNLTLQHNI RPLSCATSTYGSGTPFSEVPEWREQKCQLGSDLDDDEWSLIDQVDEIEYTEGKGYQES VIGGAGTYAAIGARLVAGAEHSESVGWIVDTGSDFPTDFRRTIDSWQTSCLFREDRNR LTTRAWNRYGPEDHRDFKYLTPKIRLDHHSLSVQLLRSQAFHLVCSAERCMDLVDNLL ARRVAEENDKKSKWPRPLFIWEPVPDLCTPDHIDSFRQAIRQVDIVSPNGEELAGFFD GEYSSRDDMAAKVLEWGIGQDSGGSLVVREGKHGCSAFIRGHRIHLNAYHAQLEESQS KVVDPTGGGNAFLGALAMAIGDDVCCPSKVEVGRVLGLDKDLMPNQCSDLVISLIYAI VAASFVIEQPGMPNHQLQSSLNETWNGECFGDRLMAYTRREKDDLSRQMKWQAQKNN EPUS_01592 METVGGTKRPIVRNYDKCDGCRQKRIKCLPEDRDFSKGERCLAC EKRNESCGPNKRATRPSLSRSPQLDGSFKPAYRTLVPSISPNVSPLERYSDQTDSPNQ HDISSPTSRARSSVFGSLPSANSFSSPPTTSTPSTRPFPSPTLNESIRSSFVQLGELR ILFKCFQDRRQAVIDLVLRNANTDSSRKVKTALDFIAHCIKREYGGVLGRVLGDLEDL EPCQTELWLVRALQAIENLTDITRRPSFLGDPGDDPILKMHSEILNKLISIHKAHGDL PEAERLLGQLAALPGGTTVRADPKISERLAESLLMTSDRSREVFGNLKIPKEYTGSLH FNETGPFPPTHRAVLDHMPDVIRHLCKTPQRVLNETDILRRNIVHIAAETANLGLLDL ITHQRQPLLKSRDLYQKTPLSVAAYCGHLGFFERLVNDGANLETRNEDGRTPLCIASG AGHLSIVEFLLARGISPNDDTLQCWSPLHAAAQGGHYDICKALLEHGAWADWLSDFRT AAQVSTKSCDLRITTMLKEAEQHPRNQFPRRDIRDSLC EPUS_01593 MTTNTNMHNLTTLIKRLEAATSRLEDMATSVDSSHPSTVAAISS SAAAPSDSSTAAGPAPTPTAEPLPPSIEDFDILMDQEVKAFAKAVAQAFAAERTYLYV TTKAKKPSPQPPELLTELHTCTGTVDELRETNRMSPLFTHLSAISEGIVALGWIVETR PAEFVKDILGGAQYYGNTILKNYRDKDKSHVEYIQAYYRVFNALIAYIKKHFPGGLTW NNKDGIDAMDALKQIQSGVVTPPGNSASAHPPPPPPPPPLPSFDNEGGPSAPPPPPSS NGTSRGGDMTAVFDQLNQGSSVTAGLRKVDKSEMTHKNPALRAGSGVPERSNSQTSIS SSNSRGKSPAPSKKPKPENMRTKKPPRKDLDGNKWIIENFDNAGSDVVEIQAQLSQSI LISRCTKCIIKVTGKANAISIDNCTSLSILVDSLVSSLDVIKSPKFQVQVDGVVPTVL LDQVDGATVYLGNKSLNTEVFTSKCTGVNIVLPPREGTEEDDKECPLPEQIRSVVKNG VVVHEVVEHAG EPUS_01594 MSFLNKITKEFDDLKSTFSKGDGTKEQMHEETHYGQSSQHGEQA QQYGQSSYGQGPHYGGAPAPGLTNVDSSLPPGWIKQWDSTSQRWYFVQQATGRTQWEP PVLIAQSYGEQNTYPSTGGHYEQHHDSTMKAKGHSTMGAAAGGLAGDDSDSDHEHGAG HYAPQLSGCNQQPMQQQPYQAGDPSLPSQEDELPDETRSGSSVSGSDKEDVEEAREEY REALEEGDDSDIEEAREEYEEEFEETYND EPUS_01595 MRSRSSSASSASSLPADNVQIFVKIGGGKTIPLNVRPSNSISDV LAFLSASTTLPSDVRLLLAGRHLPPSETVSSLNLQPATTLELLSPLRGGTAPPEMGEA STLDTKPAVSAGPDTPSSGAATPTTTAGTTTSGTSTPTTTTAVRRKPRCAHPPCKAAA QAITGDCGFCQKRFCSKHRMLESHACEGLEDAKKAERDRNREKLENERTVMVRGI EPUS_01596 MAAESGLSFSAQMSPTWSSSAKRSHDPSEKTALLKGQNEDFPMP PWTRAISDTHGRRFPQAIAHRGYKAHHPENTMRAFKAAVKGRAHAIETDIHLSKDGVV VLSHDADLKRCFGMEKKIIDCDWAELSQLRTLQEPHEPMPRLLDLLTYIAQPGLEHIW ILLDIKIDNNADDVMRLIAHTIDSVAPSQMRLWRDRVLLGIWAAKFLPLCIRYLPRYP LTHIGFSTCYARQILSVPNVGFNMLQKVLLGPIGSRFIRDVKAAERQMFVWTVNEKNL MKWSIQKEVDGVITDDVTKFRDICDDWSEDGSVVREHGISQDGNLEDFATEGGDRKDV FFYQSDDTRYIPRAILIDLEPRVINSIQTGPYKNIYNPENFFIGQHGMGAGNNWAAGY AAGESVQEEVFDMIDREADGSDSLEGFMLLHSIGGGTGSGLGSFLLERMNDRFPKKLM QTYSVFPDSATGDVVVNPYNSLLAMRRLTQNADSVVVLDNGALTRIAADRLHVQEPSF QQTNQLVSTVMSASTTTLRYPGYMHNDLVGIIASLIPTPRCHFLMTAYTPFTGDNVDQ AKTVRKTTVLDVMRRLLQPKNRMVSITPSKSSCYLSILNIIQGEADPTDVHKSLLRIR ERRLATFIPWGPASIQVALTKKSPYLQNVHRVSGLMLANHTSVATLFKRIVVQYEKMR KRNAFLEQYKKEVPFAEGLGEFDEAKEVVTGLIGEYEAAERDDYLDPDIGKEKAESS EPUS_01597 MSSGTVGKTITCKAAVAWEAGKDLSIEDIEVAPPKAHEVRIEIY YTGVCHTDAYTLSGKDPEGAFPIVLGHEGAGIVESVGEGVTSVKPGDYVVPLYTPECR ECKFCKSGKTNLCGKIRATQGKGVMPDGTSRFKCKGKDLLHFMGTSTFSQYTVVADIS VVAITDKAPMDRTCLLGCGITTGYGAAVVTANVEKGSSVAVFGAGCVGLSVIQGAVKQ GASKIIVVDVNDGKEEWSKKFGGTDFVNPNKLSKQSIQDKLIEMTDGGCDYTFDCTGI VSVMRAALEACHKGWGQSIIIGVAAAGQEISTRPFQLVTGRVWKGCAFGGIKGRSQLP DLVDDYMNGKLKVDEFITHRQPLASINTAFQDMKAGDCIRCVVDMRKD EPUS_01598 MATERSQPAAATQQVQQPPHQGAPASTAAYTAASPPSKRELASW WKKFRKNTEKNEENARISPGIFGVPLAESIRYANVAISLTNESGESFIYGYVPIVVAK CGVFLKEKATDVEGIFRLSGSAKRIKDLQTIFDSPERYGKGLDWTGYTVHDAANIFRR YLNQLPEPIVPLDFYERFRDPLRSHQAQAVGDMEAQEHDVGNFDHNNAVSTYQRLITE LPPLNRQLLLYVLDLLAVFSSKSDLNRMNSANLAAIFQPGIISHPSHDMAPKEYRLSQ DVLIFLIENQDNFLFGMSGTAVDEKTVKDMESGPPTMVSPKATMGRSASNASAGADSL RKFGRRNSVSSRHSKASTGAPSPGTPGTPLTNTTSAGGVQRSNTLPTKKSPALASARF QRSVEPATAMPSDRTPVSTTGIVAGRLAVQQAESPDTPSYSKPTKDQEVVEDTNRNTA PGTDTTPEQKPKLPNLPTNLVVVPSVKSPTRERKISHLFARSPILGPRESDSEQKDGR QPNKLRKKQRLPGSANDSAHSSQNSLQADVAGPQTFHTPLASPELLSHSRPDPLAAFH PSVSSDTATPTAEAPYGIRHSEPVTTQDTSGQDQAGSNLQPPRSPETSVHSRSSFSDF EGGEDLPVKSSGHRHRWRFSSSQKKNGESPLAPPPRIGQNAGARGSNSSLGSSHRPRK SFTGDSQQTQQTGTDTSSQRANPPPRLSQESSELLKDSGSEPEKKGLFGKWKAKIAHS KEERKERDLEKERAKSPGRSEGERTGSRHNLTAFAQDHLPHRGRSMEQQREAALPSVS ETTPGTDTMSPVPPTPVTAIKTVAETTHDQVTANVQGQTSTAQSPTTASSKGKDQ EPUS_01599 MDGFNRPSDSTPLPYTASPLQLLLSELYLIKDILFNFPASIPGV LQPFWSVNAEDELCLLNAKNVRSLLLHVILLIGQPLFLLALVYGLIIPIPASLYLGGI AITLVLNYYFCLLLNGDGTPQTSTIDLTRYRSENPDQKDHDHSDECWIFINGVSVGRD WFQSNLNTLSQIFRRRIIGVHNITYGIIFDLIECLIQRDACYATTDVRTGYHQVKKSL LEEKNKKVVLLLHSQGGIEGGAILDWLLDDLPASVLGKLEIYTFASAANHWNNPNRHH HNSKEDEEAEKKLGVIRHVEHYTNSGDFVSRFGILGFIHLPLKNTIAGTTTKGVGNGR ADDNNRFRGKLFKRNATGHMLSQHYLAYMFPREEYTLPDGTVGVRVKENNGFMDSEAE VWDGERDKQHLGWRDWPWGVKLGWWGFELGKAKQWESMMREDGLDLSGRTGEGPEDRK VARKPRELSRLWLYRNGMSPPDDGADGEQRDQHRQGKVAKSVVNGYVNGL EPUS_01600 MASSVSPSPSLRALHVLDGELPSGSKSPRSSKRQLRLHNSNTSM PQSFTITPASARSVSSSAPSPYMSSLQSSPDILDGQDYSSLFQSPAPLALPESAIGND YAVKHTALKDAPVPSKPPGLMRKLSHSARTGVQSTGQKLRRKASSSAHDKRDQSTGPI TRRRSDSKTSMSNGASTVDLTSPEFDETRSLDAQGICGVSDTASYTSEPVTPASFNPE GAAPTLPSQLIRGCELTKVTKNRRKKLTFYLTDDGARVFWSPSKASKQFYVDDIRDIR FGDDTRHYREELNALPNESERWFTILYADQSRSKQEKTMHLIAEHKEDVTLWIDTLEA LSKHRIDLMTGLIGSSEREHVVRAHWERETARRSMGNLPKVDEDSLDFQAIESLSRSL HIHCSKAMLHKQFGLADSRQCGRLNYSEFKDFVRRLKQRDDMKSIYSALTEDSGSVLT KDQFLSFLQNVQGIDTSTDPAKWTSEFNHWVRTTNSKQCNHSAQSSPGLDIMTFEAFS SFMVSPACDIYTGQKPEVRFDRPLNEYFISSSHNTYLRGRQFAGESSTEAYISALRRG CRCVEIDCWNGSEGKPIVTHGHTGTSHVLFSDCISVISRYAFDVSPYPLILSLEVHCD AEQQGRMVHIMKDGFGERLVLEPIHESLRQLPTPEELKYRILVKVKSSVPASDTTLPL DKSEVGRQRSTSSPYSIPSPLNDSPSILSLPSLATAPSTSPPSNQSGPLWSPGQRSMT ATSASSAGEDSDSARGRSNRSSKRKGKKATSKITKALANLGVYLQGYKYRSLIGPECG EYNHIFSLDETKAGRLCRDALSKAQFEDHNMRHMFRVYPKGMRLDSSNFDPNTFWRRG VQMVALNWQTYDPCMQMNQAMFAAGDDRYGYVLKPEYLRPSGPDSSTTGDLMTRHRLP KHLVKFSVDMISAQQLPRLRAMASENSINPFVEIQMFIAEDKARGIATGSGGTDSSSR NGYSGIGLPYGRRTKVIHDNGYNPIFNDCFELSLETRHPDLVFVRWIVWHSPSGKTTA SNCRQLAVFTAKLSSLQRGYRHIPLYNGNGEEFIFSSLFCRIKKEKSVILPAEVSENG RSERARIMKTVTALRRTLSSDRENHKRKEAEEQKRRVMQELVEKTTLA EPUS_01601 MPNATTDDSWRASGRPVSTIARSFSAALDEAFFMKPDLDNLSQS VAQKYAVPWPPIPSNFQPRLPSTTPPHSKQHQRNQVLTPNILPENRQQSVSTQKSELE ELEARLRETEARLKTQQASDSSSTSPAQTRNRDERKDNNINSPRSRNGVDRAFDPPGP QRNAKSPLPPTRQENISPQAQASKNGGKDPRAKNF EPUS_01602 MEPDISQSATAADTANTARVPKKRFVGRKTAEAQARKRAEENGA VEDTNVAVQKAPARRLPRLLNQVPPDILEDPAINEAVDLLPSNYSFEIPKTIHRIRSS NAKRVALQFPEGLLLFATTISDILTQFCPGCETLIMGDVTYGACCIDDYTARALGCDL LVHYAHSCLIPVSTTKIATLYIFVDISIDTSHLLATLTRNFPSGKTIAMVGTIQFNAT LHNMRQPLEQAGFSILIPQITPLSKGEILGCTAPTIAPDAQVDLILYLGDGRFHLESA MIANPTMPAYRYDPYSRKLTQETYSHDEMLSLRSTAIATARNAKKWGLILGALGRQGN PHTLTMIENHLDARGIPFINLLLSEIFPGKLALFEDVDCWVQIACPRLSIDWGYAFPR PLLTPYEALVVLGGRSGWEEKGVYPMDFYGKDGLGRTTEKDVNPGGVGVGVKG EPUS_01603 MEAILRRYDDMKSVLALPSGAQKQALESEEKHASPKAKSSPDHE LGSSPERSRPRTRRGDAPHPGQTLTAGQEVSSDSSRADSRHKTTASPQEQRAISNSKR ESPHPISAAPGIPASRMHSPHMDQAKAAVADRTPSPASRTALARLRETVPPRSSMGVR RRAG EPUS_01604 MPKRILGTSFAAVPTLPSPGPQTSTTLISSSSSSSSSPSGPATF FDSLPLPRLIVFDLDYTLWPFWVDTHVTPPVKAKDSSTRVQDRWAESFAFYPGVPTIL HATRERGIAMGVASRTHAPELARDLLKLLVVTPPFNAVEAEDDYNNNNNNPDEKKKKK SSNKQAKPLKALEYFAHLQIFPGSKTAHFEKIQEGSQKAGDEVAFADMLFFDDEARNR NVEVELGVTFWLVRDGVTREEVDKGVWEWRKRRGIFPGQSPGQSQQGDHAQYYQPRNE L EPUS_01605 MFWRFGGYANISTIDTLLDKSDVTLEELLDESDLIQELKQHNTK LIEFLRDENTLQRLLKYVVAPGPDVKNGDGEDDEAASKEKGKGKDLTVKTSPDTEKSD EEQEKTEKLRLKYAYVACEVLSSETWSILEALMTNTAHLQEFWDFLRSSPPLDPLQAG YFTKVNEALLEKKTEEMLDFFKSSPGTVPAMLQHVDCPMVMDLLLKIISLEKAEGGQG IVDWLQSQDLIPTLLSYLSPDSPSSTQTSAGDFLKAIITISANASQNEQSCIGPNSLT RQLVSESCMQTLITSMLHGGNPLTVGVGIVIEVIRKNNSDYDPENGHNPDAPPTNHDP IYLGTLLRMFAKHVPDFMELILSSKHTVTDGETTRVTERGKLSSAWGTEIEPLGFDRF KTCELMAELLHCSNMGLLNERGGEEFIRQRDAERDRLRAAGAFAPHKEEEDSAVDISG ESSGYANGNVEASSEELRIANSSEEDGFETVAAPEKSEESMTGDDSTTSEDAFTEIQD RSKLELGDDLVDEPLSPKVRTIVDKMDDEAEISSPLGMPSSGPLSPTTANLEEGVRRV SLEDTTMASPPNETEDSTAVQPDAPNLKADSSNLSGPSPHPEDTPAPLFSKSPDGNKT PTAQSPEPAASPTTSRNDMAETSEVQPEGDTSVNVEPNTSTIDSTIETDIDGTPVVGD YLKIMFVENRVVPTILSFFFRFPWNNFLHNVVYDVVQQVFNGPMERGYNRSVAINLFE SESITEQIIEGQRRSDEAQQKKNMRLGYMGHLTLVAEEVVKFSERHPAELLSQTVMDK VLDKEWIDYVEQTLSETRERDNAILGGVRPDMSVGPRQAVLNAVNASQGFGNSAALAN AGLNGGIGGQQGLDSIELSNNGSASSAAFGSTTSGSLLSGFGSSSDDEDEEMDEAEED DSSRAAAAAAAATALSTADTGVTENNSDAPIPLIPPPPAPLNIPPSRARRQLAARLAL HKQKAEEATATAAAEEAAARNSDDPFASLGDRDEGDASDPFTLDEEEEDITSSGRRDK KRQAPSEARQGFSVGRGLTSLFSSTSRNKGGAGGDEDEEMRRLPSPAEDDEDDEDDED GSSGSSSGEQHYPAEAAPSQERIPLETDEDEDEEMGEMVAPSEDADEDAEEEEEEEEE EEQSNSSDDQAAGEVLSPVEKEKLRGSFGSTGSVGGSRPADVGGRRSGERERERGGNE DDEEDDEEEEGEGLVEIAMPSSGGRRMT EPUS_01606 MNRLFGAKSSAPKPTLNSAISNVDTRVETIDVKLAKLNAELSTY QAKLAKMRDGPGKSAIKSKALKVLQRRKMYESQRDQLQQQSWNMEQAGMMQDNLKNVM TTVDAMKTTNKALKQQYGKVDIDKIERMQDEMQDLMEIGNEINESISRSYDVPDDVDE AELDAELEALGEDMMFEQESGMGEGLPGFLQDEVAPPTFVDEPPEQGKMKEVAGGVG EPUS_01607 MATIKPIEARSVHQIQSGQVIVDLCSVVKELVENSLDAGATSVE VRFKNNGLDSIEVQDNGSGISPSNYESLALKHHTSKLAKYDDLSSLQTFGFRGEALSS LCALSTFCVITALAEEAPKGKKLDFDSSGRLQGTSVVAAQKGTTAVVEGLFEQLPVRR KELTKNIKKEYGKVLGLLHAYACICTNVKFTVKSAMPKGKNATVFATKGNPTTRENIA NVYGAKTLSALVSLDLQLDFQRTTIQNKDADDSHHKILVRGHISRPVFGEGRQTPDRQ MFFVNGRPCGLPQIAKAFNEVYKSFNVSQSPFIFADLEMDTNAYDVNVSPDKRTIMLH DSAALVETLKSSLTELFDQQEQTVPQSQFQTSHMPAYRQLTIARESTMDSTESNRTNT ASPPTMGSPSQEEDGKQPSFLHDFFRNQASTREEPKVNISDAARSSSKKKLLKAGEEE PNDGRRLGQDEDMVESQNQDTCSGHELVEHVNDIRVRDFNQRLTEQQARAADQQPAPV AATEQDNTLLSPPVVPPPRSNAVQNAFDRIRHPRPAAEVATITIGEKTITTMVGTQSH KPISTRTEIGAKILKSRHAAPASTQAFTQRLKGFAAPGLSNALGDAENSKEADARDQK RNMTSNIDNESHLEENSVSVSAEDDDDSEIEVDDDVESEDNARISEEESVVSPQSDTN GEYIDETDKRAQDDATVAELIRAAEEKAASLNMNNFRGGNKALKSRFRKDSTTELQVR TATTVRQAQELTKRWELTVKDIAGNGIMLESEDTADDGGNEEDRLALTVSKTDFSNMH IVGQFNLGFILAVRTPADADESESKPRKADELFIVDQHASDEKYNFERLQQETVVGNQ RLVRPKQLDLTAVEEEILIENSLALQKNGFLVSIDTTENEPIGQRCKLVSLPLSKEVT FGLEDLEELLHLLTESPGLTQTSIVPRPSKVRKMFAMRACRSSIMIGRPLSETKMRTV VSHMADHHGSSSEKKTVYNPRRSDIVFVSCFLATSAHLYGMTGYREDKSKGIMLRFED SLPRLPVPTLEETAERYLKSVHPLLQSDEYLRTEAAVKKFLEPNSHAQTLQKRLVARR DDPKHKNWLYEWWNEAAYLAYRDPIVPYVSYFYSFRDDRRRRNPAKRAAAITTAALEF QRQVEEGSLEPEYMRKQPMSMSSYQYMFNCSRVAAEKADYPVKFDWKNNQHIVVIRKN QFFKVPTHVDGQQLTTSELELQFSNIYKKAARSPAIGALTSLPRDAGASARVNLLNAS PANAAALEAIESSSFIVCLDDAAPITLEERAHAYWHGDGTNRWFDKPCEFIINDNGTA GFTGEHSMMDGTPTHRLCDTINALIFSERLDFGNPSVRSNLAEPSALRFEISSQVQDD IANAHKLFQEVIAQHELRVQAYQGYGKGLIKKFKCSPDAYVQMIIQLAYHKMYGKNRP TYETAATRKFQQGRTETCRTVSDESVAFCNAMADPEVGRAECEKLFRAAVNAHIRYIA HASDGRGVDRHLFGLKKLIGEGEETPELFTDPAYTYSSTWYISSSQLSSEFFNGYGWS QVIDQGWGIAYMINENSIQFNIVCKHLGAERMSFYLNEAAGDIRDMLMPSLEAPKAKL EPUS_01608 MLLDQLPTEIIHHIASQLPAASSIINFSLTNRNIHAQISGDDYA TFRSFVQRSFPTIRTPPIWKEAACILTTRSRAWDRRAFVAKALIPPTDRLNPLYYGRA NGPSIGYAPAIDSYETWDGSRWAQRHEVLVWGAAGRLMMRIKEQHSTTWHTHRIDDDH LPQNDILDVRLLRPNQRQARTGEEVIIRRANKQITKLELDHYHRGIQAGTLFDTKSLV AESMDVSHTSKPLVAVCGPERIHIFDGNANEQIAQPIFTSNTGQDFVYKHRKRCTTFL DSERLAVGIQYLEGHGVAPINIYRITPYGLSSSPEHCLASSSGHTSAPGRIRTNTNTI VPLDDVSSLSGRAGDVFLSGWSDGVVRLYDIRAPTHPSMEFLDGVDEGQILSLLPIGH ERFLAGSFQNACLKTFDLRMPGAKVYSYLDAASSPSIVSNPGAITSSREASRSQQQGP VDEAVSRQLNIFLAIRVQCPMRLWRPLPDQQLSSLPRYRGPVYCLSAPSRASPTVYAG VENHVIQLDFVSTDDIRKGRQDLSAFGVDIGKGGKEQILNLSCYERPRPGHESTDTLL IRNQTSWKGTGSGDVLVDDGWDERWRMATWDARRSTSWRRNIRTR EPUS_01609 MRLLQRSNTGEFSFSEKFVGKDTIPPYAILSHTWGADAEEVTFE DLTKGIGKDKPGYEKIRFCGEQAKQHGLEYFWVDTCCINRADLTELSEAINSMFRWYR NADGCYVYLSDVSSPIFDTKEESNLQPWESDFRKSRWFTRGWTLQELLAPSSVEFFSQ EHNRLGNKESLRQQIHEITGIADSALRGATLSQFSVDERFSWAERRLTKREEDKAYSL LGIFEICMPLLYGEGEEKAFKRLKTLTQRENEDRECIQHLRLTDPQDDKKRIEETKGG LLEDSYHWILENSDFQQWRHDRQSRLLWIKGDPGKGKTMLLCGIVNELKKSMFKSDLL SYFFCQATDSRINNAIAVLRGLLYLIIDQQPSLISHIRKKYDHAGKILFEDANAWVAL SEIFTNILQDPSLNSTYLIIDALDECVTDLPKLLDFIIQQSPVSRPVKWIVSSRNWPD IEERLERAGHKVRLCLELNAESVSTAVGIYIRHKTLQLAKLKKYDSKTRAAVLQYLAL NANDTFLWVALVCQNLEKISRWNILAKLNTFPPGLVSLYERMMKQIHNSDDADLCKRI LALIAIVYRPITLKELISLVEMPEDMADDQGALREIISLCGSFLTIREDTIYFVHQSA KDFLFTEALDEIFPSGREEAHYVIFSKSLQVMSRTLRRDMYSLGALGYAIERVKQPDS DPLAASRYSCIYWVDHLCDYNPNSCADHRANLQGGGAVDSFIRKSYLYWLEALSLCRS MSNGVVSMAKLEALIQERTDASALIELIRDARRFIMYHKWAIENSPLQVYVSALMFSP ARSLIKGLFKEEEPKWMTIKPAIGEEWSACLQTLEGHSGGVNSVVFSHDSAQLASASD DETVKIWDASSGECLQTLEGHSGGVRSVVFSHDSAQLASASDDETVKIWDASSGECLQ TLEGHSGGVNSVVFSHDSAQLASASYDKTVKIWDASSGECLQTLEGHSGGVNSVVFSH DSAQLASASDDKTVKIWDASSGECLQTLKGHSGGVNSVVSSLTTRYSSRRRLTTRWSR SGMQAAASACRRSRTVMHS EPUS_01610 MSEAIKMDMKNGRRNRGNPPKIKFTERHDTPAFCLVKAICGIAF KDGAFVSEWIREPEDIYGIKIPDRLQSVPIKWAAEWKQTPVFRRTVRDVKGNLETSPT LATQYHQMANWNLRLGKSFGLKEPFEFKTLRRAAAAVLPEGVRSQAMGHVNGSIHERS YRNEVVDTDIVSAFLETPSDKSTMKLIGHTSLTRDPNAPTKPTPAHRHQVQEDTEIIE ARRMLDSQTQTIINRYRSLAQATRNAQHDLKLQAELDHHAQLKREHNALFKRKLRAVF EQSRKQYFETLGVICLQNQHTGNPEPPRPDEQPFRFLEREDLIRLLFPRSLPTSPQQQ VENSSKIIRLISTFAITPSRLPVPTPLFLWRDFDLRITTVSRVMLCRYTKLYTALEPL LASIDNPCH EPUS_01611 MNHYFVLLTYSSSFAAHPRNCVISAGAALASIVSPLLLDDADFG VLDTGIELEDLPGGEQIGSRGEGPRTGREARRKEKRAGKLKELADREDMKFSHSIQFN AVPDWSSNYISYSNLKKLIYTLEKQINSQGGQTGQDGHDAERSPLLTGQQLDPDTTFK RMLDSELDRVCSFYRLKELEVYGEVSQLIKDKEAYEEETRGVNMEEVAEAENRRKQSR SGSLFQLPFRRTRRASNMSASIEEEGEQADSDSDADEGHALTRKPSMKQRSKSAWDGS SASNALADSREDLRNPPDFMNPSIRRRPSLALQMDQNDPGHLSALFDSGITLKKRTIS IYVTLCELKSFIQLNQTGFKKATKKYDKVCDRDMRKDYLEKVVNTAYPFKQSTMVHLD ANIAKVESIYADVVTKGDIELARRELRLHLREHVVWERNTVWREMIGIERKAQAANLG IKHTLLGGDNDRSTTRLQGDNHFGETKEVSTPVGRYRCPSWLVSSTFFALVIIIAVFI VLLMVPIMKRPEQQNCLAMLVLVSLLWATESIPLFVTSLLVPFLVVVLRIMRSDEKPY HRLETKQATKAVFAAMWTPVIMLLLGGFTIAAALSKYHIAKMMATFVLSKAGTKPRTV LITNMFVAMFLSMWISNVAAPVLCFSIIQPMLRNLPADSHFSKALILGIALASNMGGA ASPIASPQNLIALQNMSPVPSWGIWFFIALPVCIISILLIWVLLLVSFHPGRGTTIVP IRPMKDKLNGVQYFIIFVTLLTIALWCVSRQLEFMLGDMGVIAIIPLVLFFGTGILTK EDFNNFLWTIIILAAGGLSLGKAVSSSGLLHTIAQAITAKVAHLSVYGMLVVFAGLIL VVATFISHTVAALIILPLVQQVGASMSEPHPNLLVMGSVLMASAAMGLPTSGFPNMTA IMMEVPETGQRYLRVKHFITRGIPSSIMAFAVVITVGYGLMLAAGM EPUS_01612 MAPEMEDPRMTSIKPRIKYNTIGGVNGPLVILDNVKFPRYNEIV SITLPDGSERSGQVLEARGNRAVVQVFEGTTGIDVKKTKVEFTGHSLKLGVSEDMLGR IFDGSGRAIDKGPKVLAEDYLDINGSPINPYSRVYPEEMIATGISAIDTMNSIARGQK IPIFSSAGLPHNEIAAQICRQAGLVNKPTKDVHDGHEDNFSIVFAAMGVNMETSRFFT RDFEENGSMERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTDLTAY CDALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVQGRNGSITQIPILTMPNEDI THPIPDLTGYITEGQIFVDRQLYNKGVVPPINVLPSLSRLMKSAIGEKLTRKDHGDVS NQLYAKYAIGRDAASMKAVVGEEALSSEDKLALEFMEKFEKQFISQSPYESRTIFDSL DLAWSLLRIFPKELLNRIPAKILDEFYARKGGKGKGKEDSGSKDTRDNESKANGETEN LIDA EPUS_01613 MAQDPRALMQKADKAASSASGGFSMFGGRTEKWENAADLYTQAA NAFRMQKQNHEAGAAFEKAADIQKNKLSEPDDMANTLTEAFKVYRKDSPEDAARVLTA AIAHYTSKGAFRRAATHQQNLGEVYELELGDTARALAAYETAAQWFESDNAEALANKL YLKVADLAALEGDYAKACQLFESVAKSSLNSNLMRWSVKDYFLKAGICHLASADLVAT NRALQNYRDLDSSFVQTREHMLLQDLAEAVEKGEQELFADKLFQFDQLSKLDKWKTTL LLKVKNGIEEQGEDFS EPUS_01614 MSKIRISYYKSARTATKEQPYRRPPPDPFVRHPKIREYLRHPTW SVKSLLPDPAAVEHQAPTMAPKELHHLLRLSALPQPKDAAEESSMLKTLETQLHFVRE IQKVDTTGVEPLVSIRDETPQAIEENTITLADLQEDLDAEEKVGKNGRVRRVPRDDPK AKKAEDWDLFKMAEGKTMGRFFVVRKHKEVKEDVVKEKSGP EPUS_01615 MTSLLGRFFTPPTRADPPQHDPLSQISVKAESTRRSRKRQPINA RTRKSISSLFGLGIKVVPEEDRQVILKQTIATCQEAQHYPIYDPTNPEHDPGAVSTTS PQGSVSNKRGLSQSPTKAPRWKESPSPKRPTTSARARARLQVFADTLRAKANIFYEAH QQSFEEELMLHEVPVPPTPNSAKSICSKKSVRFRSGHSVIGNVHQHSSPISVPKKTPP SLLTVDIPSTPAFEINSDDEKSLRQTARTLEQLSLPVSSRSCPKHSNRPQLSLKVEEA IKCAPELPTPMPGTNLPLEDPFNDTAAALCSEQPTIRTPTISICSDQGYISDEESNAG IGIINTARARHPKMLTAQSKFDPSLGTKKSTEPVTSSLANDHAKLSSNKSPTAARRAL SSTNDLPLIIQERSTILRPPSETQYDADAERSDGSEAQKEPPVPPLSQRVHSRKQHVS QNEADLLESASTSPLSISLSRRSSLGKPGILVESQQPGSQGWATDMQQPPDITQCLRV GSIPLQTRSLSNFSIISATETQSASAQHPELPEAIRMIEEAMEDHATAEHYPELTDSQ IKLFTQPAHPNTQSQIPTPSLGVPARKQSSDESQETDETTGSTALSSTVSGSAARRSS SVALKDGLHVEHQRSTDEADFGDIAMFNKHQYIADTPRPLDASYADRSLSSADSHRNP SHLDDAEAEKISSGTG EPUS_01616 MATSAGAPSFDDIIQADRQRRKNEQLAIEIFSKNRRSTGAGNAA RKNNQTTSLASRVGIGKRSSSTSVPSSKANPFGQPKPPSRPSSAAAQHARANRLASAV NNTEQANILPSPQAPKAQSGSGLSIRGTAGPFVVQASNFAPGTTAADIEAAMHTVAID ATGNSGLVTCRILTNNPTVMAEMIFSERYIADKVVETFNNEKADGRILHVYHKHGGPS PAVRRKRNEPAVPVPDTTPASGPKELFDVKVSKAEDVDMDTESPYNNAREVADQDRRS REERRADSNIQDGRFGFTNGRDRDQVQEKPREALPPGDGADQRMDDEPMVMRRDEPRE RRYDSRYSDRRDDRGSYRRENRYDGYRRDDRPSHYGNGVGGSGYRGGDSYGRMYSDDM MRGPPRGSRGGGPRGGYR EPUS_01617 MAASGPNGRNSNLTFYPAYCFKASPTYFAWVKLTAFDIHNILHI NSAFQGQNLYFYLNHPIQFVYLVGVVVSYEDFHEKRWLLIIDDSSGATIEVSCPKPEE TADKNPDQASKEGSELDGIRLAEVQARANTIASIDVGSVISVRGKVGTFRDTRQIHLE RVAIIPDTNAELRFVEQRTKLKVEVLSKPWIVSSREQSRLLKVAEGRRNTDKAQIRKC KERETARQAREIRHAERIAKKYEEDEVKRAAAAEIARRAGEMFMDHNSRKKVKSAVDT SAMKEPRKL EPUS_01618 MHLIWKPEARLKELSFGSFILGHIGYINDLLIISKAVQVLDSAK KDIEEATRKTIDANAEEVAEGINTEEGGLEMLMKNADRGANEARSLKTPLDSPLVAPP HLSARKSLPEAVLHAFVRWCELA EPUS_01619 MDGFSSAASGLAVVSLGLQLAGGLIKLYDFWGTVRDAPQEVGEI LLDLKLLSRILDELIKRKDPSPHIKDALDCCNDKVAALHSIVREFEPNFSSSKPHIRL WTKFKAAHKRQKLKRFRDSLQETKATLLLALLPQLYVVVKSFRQFFPWKTHIDCSEAA PEYIEEIQTGNQDPTKNAKSYQANESKSSPEFNIRSESDLPQYSEIRDSSFDPPEEGI FHAGSKFHIRQLPPDVPASALQASIEGAFQVAAEKYFRGGAFARAMNDTIQRVATIQT SYRYNQGSDDSDSVAGRPDVTLSYSSSTKGHGSIHSVSQVQRPSQSRICHRTSATGTL FGTIWVRKTSVRVDSLSGKNVDIVSSFTFFPSWWLTGFGLKYGMEANLCSTSVGWQFN FNPIRAVSQESPIFKFCKSGNLQAVQQLIADGSASVRDTSPKGWTPLHFAATAENTNV PLCEFLISAGADKTALAYEGPTDGSLSPVTMFAATSKKKPADRKIAMLRLFEDCMDLS EPSSEGWTVLADLVRSFNQESVPQTQNSVNWFLRSLKAESMVAFGPKTMWHGLQHAVR SLVDLEHKNMLVASKLGLKGAGGAEQQTPTSHGMAIAYWIVLRATGKQLLPMLVAAGA IMHIEGYDYDPDTEVDPAVLGQQLPFLYSEWSKALTKSIETVDKVMSSELDAALEEAG WTQDSLGELITNAGKPDLSKERCHQMCCSVCGDDYSLLGVGLVEPRWIEFTECTSSNH KSNCICPEFLQSQGRLGHCEQPPGYESDDCQSDSDEENEIYHDAGSHNTTTATTTSSQ QECDSGDIDWTVKCQEFIRKIEGKKKDPFSAVAALLYRAQARLWVERYGPGELLCGTC FLRREGYLDGEARDDDDIFASMPASFCS EPUS_01620 MHRDMIKKLQCSPRSIFLLVLIASLSGDACAFPEAANTTVHDAN FMLCIYPISGAYGLLPRLLYYATLVLAIFGRNQEWLVIGALASALTYAGTAAIHAMTL CTSRQIVFDLDISGAWAVLSTGALAYITFINWSTTLRHSRAKIVMVCWGALVGIGLIF GRVELYDTKLSPGEPECRSSTGELLSKPFQLIDPSFNCTYQCFSARKPLREQSETIAI PRSVLSGKYSRLSLIMVGPVMFAAYAAISWDTREHSPSQINTRIVMSYLDPKHHAEMT KSIYKAASENWYGGYFALFSYVHRARWSVRKCILSFLAIPWFALALALDLLCIPLLIT NIILNEINLLGSNLPTNEPPFAIGQWGPMVSSLLIVIAAIINRSLEIRDTRKGAPDIP REDSHVVSVAKQDVGELEGQTSGVVLQNIARQETLKDMEHILAASITYDAVNMFAARQ AFNLSQRRAFSASARQASKVTVLGASGGIGQPLSLLMKLNPRVTELALYDIRGGPEQI KGYDPTPSGLREALTGAEIVLIPAGVPRKPGMTRDDLFNTNASIVRDLAKAAADACPD ANLLVISNPVNSTVPICAEIYKSKGVYNPKRLFGVTTLDVVRASRFVSEIKGTDPADE QVTVVGGHSGVTIVPLLSQSNHPDIAGEQRDELVNRIQFGGDEVVKAKDGAGSATLSM AMAGARFAESLLKAAQGTKGVIEPTFVDSPLYKDKNCDFFASRVELGPNGVEKIHEVG KVNLYEEKLLDACVTDLAKNIRKGVDFVQANP EPUS_01621 MEDLDPRQQLETFKQNDAYKQLLTFTESLVTRVVDLSSQLEVVT GDLEDQNAIRRDWKRRAEAAEGAISQNPFISVLIDGDGYLFRDSYLKDVESGGGDAAH RLLSEIKATIKAAQLQSLSPDSQVVVNVYANKQGLTGALLEAGVISRPNDLEEFFCKF TQSQTHFQFIDCGPGKERVDAKLREAYRFFLRNCQCKLIFLALCHDNGYMAELDKYRN DVIAKQKTQLIDHYAKGRAFANPPFPMVNFEEVFSNRALPVKRVNGFAPVPTKSSYSS ALAAPPTVEAASGFGQGHFNPPPLQSKPPPDAQSSPTTPFASRTNSFKELQTPYLASG VELPTSGDQVPVNRLDQRIDRPLQSPSLNQQRHFEDRITYHKLCNEHYLRDYCPDRNC RFDHSPIDKELKNTLRYTARKIVCRAGTACRRAGCYYGHQCPFPNCDTRRCPFAKAGL HEVRDLEIVRFVSPGG EPUS_01622 MAYIGFGGAYNEDGMDIEATGPKVTVREATQDHVDFVLQSTSLS LANSLRRAMLAEVPTVSIDQVQILSNTSVLPDEFIAHRLGLIPLDSRGCEPDMLFQRE CDCGGDCERCAVILELACKCEREGTMMVFARDLIIVDARPNEYVGQPIIRDPKGNGPL IAKLRRGQELRVRCTAIKGIAKEHAKWAPTAAVGFEYDPNNKLRHVDYCGTRTGNPKT RQQTLLFFDVEGVGTLDPDQIVQEGIKVLQNKLALVIQGLGGGSDNDNNNTNTNATNG AVGAGGATPFGAGGVQDQAYGGAGDHTSYGGYPAGGATAYGGGGATSYGTPYGAPGQN GYGY EPUS_01623 MSVGDENETCHLPVHAACLELCGQHQRGHLPLSAESLQDSSMGV LSRLAFEYEEQYQDFCGDKLHDGMLMLKADYGALECYEWMDDDQMPTMDDFGAFVYHA SCTNKVFDMNPMTIKAKQLTDFVLSMLQPLLLPRPTAGQGHAREITHCPGARLPQELL NMIESYASSSFSNADPEPSRILPQSWWREALINKKMLPWLWDLDVDMIRTKDSKPPKN WFGASGTWVEWDWELLVRKLAQTDFYKDIGIHTAGEGPALALKNRRRIFLIMDDLVRT LPGQPRMKESINWQDTDCPDWLLICHLVNT EPUS_01624 MATSLQSHEPSQSQEHSEQQLQQLQEQKSTDSPPISTSSSPKTV SHRRSASPLSIDLSTIPPLTQPSPPSNTLLITQLNDPTIFHPASLATIRQHINSLAPL HSFSPLKSFRRIVCSFYDTESAIKIRQHLDGASLLGDARTRVYFGEPTPIGEQKKYLD RPDAGRLFFISPPPSPPVGWEAREEDPPNKDVVASDLAEALVKLSGKMHGADADNSPI SEEEKDAGVGTRADGQRSKPPLRVTASSDNDPSNSKFTPTSATSAPSSARNRSRSSTI LYDPEAHGDSPALPAVMVEDTTLDAMADVEDAAPLDDIPPEGRTITAHTSRPPVELME NV EPUS_01625 MAAPFVGLALSGATPLINNYEKAWDPLKSKAQKMREHHKGGRRR HDDDYDEPTPEERGWVLKKRSEVQSDEEIVERVPRNQQIIPYRPRANRRASSLDGNDY RDRRVGAYKGRRDASDSESSVPPRSRVSRTRSKRSSSKSTSSSDDLGSSTDDERRCRD INRKKWLTSGLAAVATIHAAAKIYSSLEARDKRHEQVMAGDMSPEEARKKRNRGRIQD AAAVGVAALGIRGAMGEWREVEEKAEEHKKYLKQKEENHRKRMEKQKRMMAASRGEYG SGSGSGYHDDRDDREHRQRAIKDHGEYDRHRSKSMSTYDDDRDARALVRSKSRRRSDE EPUS_01626 MDATDAMELQRHLEFFTGPLPATPAVNTSPESLHDQAKPSLASS LAGQAISCLSDSTASSSSTANNKKTNLLSLPHEILTMILTILLVRNETSIALPTIDHY KFPDLKPDISPAVLQTCKRLNDIGKPLLYGRNIFHLEPHIRPYASSTRGFTPLSHKSL ALIEHLEVPVEGLDVHHMPPRNGAICVALSEAARFYPYPYPGGGMGNVQSIQCVFEHS IDTQTCVLTHLDTDEEFRKLVLEFLSHRGDIAFAPWYKYADFRRQLYDCIVKWQALLI AATVRQRFPAFNRMLHMWRKTEGDHHPRLLIVLCRNAEGLQAAIAMSKSRGMQVDGEL EVDVEQGTVKVISGRRAGYYYK EPUS_01627 MTSKSETVLVPSSASQPGNSKPSLLNLPTEIRVMILEHCLVRSE DINLVFKPDWGGLKGVKTRRYGLVPEVLCVCKRMFAEGTSLLYVSNIFSLGPLTIDLQ WLVQSWLYEPVPRAQRLKTNFRRISKMQIAIGEMREADSYQEDLHSHFGVADLALYSR SMKTALRHWPQLNDLQWINCKVDDSNNIWTARNHVGIMTIAQKLQLCKRFEHGHPDES TLNEQERSCADYIFRLSALKVARLVQERGGGFTRFYRAIRPIEQQCIQRSVVVTKGPV ESVPGFKLDNMQEIRHMGELEIDVDRCEVKEITGPRAGYCY EPUS_01628 MGVADKISPNLKFAILGLTIPIFTLYVLVLVGCVSTSPGVPSLY LFLLKVNEITLRVGYFAVCAVDAANTTSIPVSQNGTANATDGTICIPTLGLNSSDLSF QLFGNSDDVVPILTLALTLQRKILVPLVLVASLLMLFGAIFFALVKVTQMKLKNPSAF EGAMKQLNIFKPATLATLWTSVLFAFAAAVASTMGIGALNFIIPVLATNISVDGGKVL QALQYMSFILGALFALGATMMLGDQLEQQQGVLGDEYSQQNEKVLAEQSYEEQMMQQQ AQMDGQMDGQMEGQMEGQMEGMEGQEQQYPEGPYGEEGQQYAREMEGQEYAQQPEGQY AEGDEYAQAEYAQQQQQLQQQQQYPQQPYPG EPUS_01629 MAADGYRISPEQSSVNSYPGPKHSQSTLYPGSIYTSLHPSQSER QLYRDSSPGQRSRMDAASFQKARQPIDDAVHSAVDKAEANSALPPEVLSQITSEITAN VLQKLKDSPAINPTLGPAVPLNAPTNGVASSSSVHSENSSPLQNRNVYTPPSPRRPSD EAVLVSPTSPTKSTYSAQGRYSPDQERRAVSPPGQVSQADEARNDKSERPKAPTRKST DITTLEKIWGPLFEGNRATARLGQFLRGLAIHLIEDYEPKYSLVITPSKMQKYYEDTK LSNEVYPWQMVFDDRTSSISRLYREVEAQHHLVQGRMDERPDIPALTPSGFERWSILM LLAHPEQEFERLQKAVLDMPICNFDDRKERFPKEISRRLFPKTPDSNTREKVEKAMIT HCNISLLNRRPSGGEPGSQQPQPWNMANRADSVASATSSPPSSRTENAAAAAAQKSNI ERERQPYVTTQSEGAIEDEDDVPTPQPIERERKPYAAQPGGGRNYDDIHRPPTPPESR AAPPTLATASAPTARVGRSPSGASSSGRGIDPQRTQPIPINPGPYARQQTQSNIEGFS TPESAHNTRHRASSLLSHPHPSGRAMRHRSPSANTKGVEHWRTDGDGAFSPSAYAPSG DATEDARRQRDYERHYPPDRHDPMRSSIYELPAREREPRQRYQFNAGYGDSSRGHYNS DEDYYRATGGRSHNSYDGQQYYR EPUS_01630 MHAKYSQKQLNEYRQRLLFDQANAKLPKCRQCVGGPADELTCHR CDLTKGLNSFTKVQRRKPDTALCKDCQQEVDDVEPNIEDALEEQRILETQTSIGAHSL YNYDSLRGSLPPMNSHMGGSDARSIVTPTGNPNSDLLRFSTRSSIAGDNEDGVWTGQH TSGHKGKDSGEDNSTRQRAPSVASSAISTGTTGTAWDNIMNAGRPEQSYRTRIGSTRT NLTAAESASKQSGNWAKQGAAKPDRGALREAEKQREALRKVQEQERARYEEEESSGSE WEL EPUS_01631 MTVALDCVQRSAAQAMVPLEDRFEVIKEIGDGSFGSVVLARVRG AGASVARRGTMIAIKTMKKTFDSFTPCLELREVIFLRTLPTHPHLVPALDIFLDPLSK KLHICMEYMDGNLYQLMKARDHKCMDGKSVKSILFQILAGLNHIHAHEFFHRDIKPEN ILVSTSAPHDSSAFSRYSALVTPPSTPPTYTIKIADFGLARETHSRLPYTTYVSTRWY RAPEVLLRAGEYSAPVDIWAIGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGSPGNWY NKSGTRVGGGDWREGTRLAQKLGFSFPKMAPHSMDTILQPPQWPASFSSFVTWCLMWD PQNRPTSAQALEHEYFADAVDPLRPKSSTARLLGRKHSDLSFKVSKDGIESTTSSTKP SWFRRSLIARDSAPAVPQHDPNAKPIQVDSNHESESAASSKARPVVNKRSTWAIGASP TIGAPMPILPSIRPISPLSNAVTAQANGRVNPGGDVRAGAVDHASEEKARKIGRQLSL NSHGNHYGDGQAERGLNGTRSPLSPLSAHKESFFSHLRKRARRFSGRHGVTSPQADDI EANVLGAPWSNRSSIVGEPIVPAVGSGNDFIELDKALQSVRYSLEHPSNEPRALPVVP SQSSSLKRHHSLRQVNAPRDPETTTTVTPTTSRTRRALQMSLHPVHRYETPEEEDELL DEVLASANAAANRLAQSSKAEEKKRRSALTQRDSNHQSVPKISIPVKGSVPAAYPTPS PSAKRNGVSFGQKSTTSSQPVPIASKRPDPEDVDPQWPTPPYEDNEWGATAAASIFAA GLVYK EPUS_01632 MPTTSTPTTLSPAELSYLHTSLTQTPPLRPDLRSPTEFRPLRAE TDLLPTCNGSAHVSLTDGSEALVGIKAEVHRTAGANVTKRLDEEMHEKMSLDEPSSTI SAAAAGLNSNRKPRGHPDWISLNVDISTLRDDDPLLIFLSEMLREPLLTTSSATTTAA NLADMLVINSNWHWHLHIDILLLSPFTASGTSYPLPLLSLATHLALRSARLPKLKSQG EEDPLVDDDWESSLFLFPPPTSARKPDSASGNAGANEHSIPPEAGRLSMPPITLLVMT VNSNIIFDPSHSELAVADAVLAVSVAFSDTTTHHHHHDDAQTPTLLAIRTIETPARDT MRGVPAAGEVVEGELVPGVWKPKVGGVKREVLKRVVRAVVGTGGDELGVAREVFDGLE AFLRS EPUS_01633 MEPVTVVGLIASIIPIINATQKAIKYLNDVKDAPKDRAMLAREA TNQLALLTDLRYRVEEAKSTEPWFTGVRSLGSEGGPLTQFQEAMEKLAMKLKPESGLR KLGKTLVWTLDKNDIHHVLSKIERLKTLVGFALQDDQFKLSLAIKDDLTDVKDHMLES KKGITKLKQRHEDLEYKEIMAWISSLNFSSKQNDFFSRRQEGTGEWLLGDGSFQKWLH ADRGVLWCPGLPGAGKTILASIVVDYLNQRFERENVAIAYIYCNYKEQEDQTAPNLIA SLFQQLIRRNHPISDEIRSLCNQHREEGTRPTVGEWSKLIQSEVSRLSGVFIIIDALD ECPESKGIRDNFLAEIRKLEQSTHLLVTSRHISSIEREFETASRLEIRATDEDVRRYV EGRINSEVRLKRHVNAAPDLGSSITSTVVQKAKGMFLLAQLHMDSLAKKHNRRDVRDA LDKLPRELDETYHEALQRVRSQDEEDVRLAERVLYWISYVFTPLTVPQIQHALAVQAG DPDLDEDAFPDEDLLVSVCAGLVTVEQGSNIIRLVHYTTQEYFERIRRTQFPDAQRNI AIRGNGVPVAQVPFLRYVGVYWGDHTRDAMEQSVKDLTWNFLGRPIPVICCNQVLHFV KYQHFYSGHSVAKTSRWCAVATFGLVEIVQLLLDEGIDVDTKEYSGMTPLHLASQSGQ EAMVQLLLEKGVNPAERDFHEQTALHIAVQNGHEAVVKLLLENGAEVDMKEAKSFLYS PPLHYAVFRKHEAIMRLLLEKGADTNARDWEGNAALHQAAQRGDEVIVRLLLEKGADI NARDKKGNRALHQATRRGDEVIVRLLLEKGADINARDGEGDTALHKAAGWGYKIMVRL LLEKGAEVKEKDGNGRSALYLAVAFNHEAVVRLLLEHTGEQSKSEKWLATAQLRSAVD SGDKTAIQFLLEKGADINMVCVPAHSLLHLAIARENRAVVELLMENGINIAAIDEEGR TALHWAAARGVETVVQLLLENGADITAEDHRKMTALHWAAEGNYEPVVRLLLKKGASA NAEDEVGRSVLISAMRSHPTEYSDDWMILGGVREITEKARKPVVELLLENGAETSVKT KDGETALHYAMRLPLNQRAEVEVVQLLLEKEIDITARDIFGETALSIAAEHNDEAAVR LLLEHGADVNTRHWFGDEFVGEMTPLHLAADCGSEAVVRLLLEKGADINAKDEVGEGA LVTAVRARREVIVELLLEKGADINAVKEYGKGVLATAVKAGKEVIVELLLEKGADINA ENENEEGILAIAARAGKEVIAELLLEKGADINTKHGCREGTALHHAASEGCVNMAQYL LKRGADINAEDEDGRTALDWASDAGHEMMVLFLTPLTSNS EPUS_01634 MQCGRRFSPPKQPAYAFNATSGVCEIPTALPPTTWQFVPASDYL SFTPRKYACMSQWPRDDWRRQLVTLFLISWCVAHVLHPHPSRNTSNTWARLFAIILYF VFATLSYVFVFDKATLKHPKYLKNQIRLEIRQASIAFPVIAVLTAPLFLLEVRGYSKL YDTTAEGPGLWYNFLQFPLFLCFTDYCFTDYCIYWIHRYLHHPLIYRRLHKPHHKWIM PTPYASHAFHPLDGFAQGFPYHMFPFIFPLQKFAYVVLFIFINFWTIMIHDGEYIADN PIINGAACHTMHHLYFHFNYGQFTTLWDRLGGSYRKPNDELFRKELKMCQTEWSKQVK ETEKVVKEIEAEYDRTYRGDEPKKVR EPUS_01635 MSRRRRRIRSLLGVRSHEGPANHLTRRRQPGRRLPSLSRPRPNL GVRVQHRSVVHDGHTAHLQRPIPPRPRPHQPRTNTKVSEHPDDKVLANNPLLVSIYCE TLRVYVKSYFLTSNQHADIPVGQWRIPKERIVFVNSGISHMDDHFWNTQNGRHPVDSN WGERFIVDPSDPFSGPVRPEVMHEVGSEKKKSEASENDKPFVSMNGLEGSWIPYGGEH AICPGRFLAKSAILLACALMVENFDMEILTGDIEMS EPUS_01636 MSSLLIGKEFSFRPLEKLALSPLLDNVKATTALLVALTFFSLIF NYALSWALHYWTRTHGKPGQPPPRYPSFIPWIGGAVTVLFNGQSFLDRATTFRGKLTS CRVRFLGRELYFIQDRHSVAALWKQNSLSSPIEPYILVLKYFFGMKKEALSVYNKDDS GPFHKPFRGSQVEPRNRVDWITHHEILKGLTGAGLKPLTKRTAEAIVGRMDNTPVGHD WVEYPDLMKFFQELVGTSIMESLAGPALFDLNPNFCDDFWKFDKFLPKLAKGIPDFLV PGFAQVRRSLLDGLKKY EPUS_01637 MAPIATTQPKNHNRSTSTYQNDSSHETRKGNGNENGMSISMQSL PQARKTKQQDQHEQNPPSIPSEDLQPRIRILTHNIWALKHISPYRPERLTHIAHLIAT SPNPPDIICLQECWTYPNYALLRRLLSPRYRHGKFYHSGCFGGGLVILSKWRIVETEM VPYALNGRPSAFWRGDWFVGKGVAVARIALPRKFCRRDDDAAQARYLEVFNTHLHAPY NEGKEGKDTYSVHRVAQAWQIARMMRHALERGNLVVACGDFNMSPGGIECAVIQHQTR GLMRDVWQERFPDSSWGAWIEERERERLRELSGKGGLGKSRTGPPTVEETLLKHGHTC DSVFNTWRWEKRAQRDLLKKGIDRVVGLHEEDPRAKRLDYVFFGDGSNPRGRVGSRDG NGEWTVKEASIRMTERHPDLKCSLSDHFAVETEIAWTTTPGRIRDEQNGNTITPIDKT LSSYPLDIPKILTLLRRRRCIHFLASIVITLSCFVAVRFSPANYVSCILLVLSSLGLM AGTVDGLIGLLFGGWELRALKEWEGEVRRGISGGMGMEMGLEGGGVGNHGLDGVGDED GGGGWQFDGGEEVEMGRVKDWWS EPUS_01638 MLNLVTESKFRRHFSYRNLPPATSSLSSLGVAYSWYLACRAMHK ACRRLQRGSNWYPTRLLDVGVPGDLKWKLHICAEDDLFSPNYMTISYRWGSLPSLTLV QSNINELRRGKLTEELPQTFRDAIIVLHRFSIRYLWIDSLCIIQDSLEDWEKESSMMR DVYANSCCNISATASSEPLGGLFRNHQKGPIQPGVVAMNIGPVQKKYYILDSSYWDRQ VLNTVLNQRGWVFQERLLAPRVLHFASHQILFECFSDQKCEAFVQGIPWQNSLKSFEA IFIPTPQEQNIMSDAAFDLWINLVELYTRCDLTKPDDRLAAFSGLAHLFQDTNGDDYL SGIWRSRLLESLYWEAERPMRRALGVYIAPSWSWACGNGPIKWNRPQRGYTRLSSVLD ARTQNASSDCTGRVVGGSIRVRGLLLRATCEDSVTRGRPCVLALKSKPTLTNNRATFT NETEPPLPALVHFSNDSPYVISTLNEDFEDTNYGGDEDIYCLVLHAQSVIWEESFSDT FLEGVILKPVSPSSSESFVRTGTFRIRGRECAEKSGVRINIKDGSACLSETSQLTEIT IV EPUS_01639 MARCYDRYGRVYTCNRAWNTWGRWVLFAVIVISFILFFFLFSCL SARRRRKRGLQPFYGTGWVGNTPAGHGAATYNPQYQNQTQQQPQYGNQPAPAYGQGQE GGYYNQNQGGYGGNQGYYGGQQTGATELQPPSNAYRAGDQVYEPPAGPPPGKDGIFTF FVHCWLLLTGPSPEIRRDRPGTCTCSATTLAATKPTALILSYLTLPFPSPRSTPHSGS VQQEKPGRGHHVHHDQRDPKCAADLLELGQLHAKGVLQVAGHHRHHRGGDDRRVGALV LPAARGNGPSTRMWMRRRPRAIAAANPYTGGYTPNYGPPAYNGPNTATFDVPGQKING DSLPAMPTWADAKVENSNPYGGDVEMGDIAQPGQAPGVIPVAAAAGRTSRGGYRELPH HDDSLDQPGSYRGANSTHPYGSDLGAQTLMPQNTAYDPPPPPPQPRFDHFQAATAGST SFPRSSPFAPTYNAYAADEGSSSRQAGPPSLLQVGRRPM EPUS_01640 MTDVDPQPYLPEYASYNWTGAPSNSSLQTNGIGGDSRIENLNKW YQSGDQAYIIVASAMVLVMVPGLGFLYSGLARRKSALSMIWACMASSSVVTFQWYFWG YSLAFSPTATNGYIGNLRHFGLMNTLGNPSPGSPLIPDLLYSFYQMQFCAVTAAIVMG AVAERGRMIPAMVFVFLWATLVYCPVACWAWNVNGWGYLYGVLDYAGGGPVEIVSGMS ALAYSMVLGRRQERMMLNFRPHNVSLILLGTVFLWFGWLGFNGGSSFGANLRAVMACW NTNLTAMFAAMTWVLLDWRLARKWSMVGWCSGTISGLVAATPASGYLTPWGSIILGIL TGGVCNWATKIKYWVKIDDSMDVFAEHGVAGILGLMANGFLGSDAVVGLDGVNTGLGY GGGLIGNWKQLYIQLAYIVAATAYAFVMSAIIAYGINFIPGLHLRASEEAELLGMDDD QLGEFAYDYVEVRRDYLAWTPSRTEQFQGEHGIPQKERHGIGEHSTMIEGKSSGSDSA GEEHTGIGGDRHAMALEEKKHAEKAA EPUS_01641 MNPAHQGAKRVASSLPSSKNHICLTCRLSIASQPPRHRSYASTA TAPIRPPPSQATTQLTPPSTSAPPTSQPAYTIKAGIVLSRPPLLTPDPHPFETAYYLY QRRLNERLVLPFTQYFYFKPNGVAGRDVGGYNAFGKEGWNDEALMGDESGEREVIVRK LIEEEGRARKDQDVLGQGKADAGVDMGGLTRRTEADEMGDVRSLERALTRTLYLLVKR KRAKGKEGAYWSFPSGVLEGKEGLKEAAQRILDSSCGPNMFTWFVGNHPVGHYIYHIR NRARITQSDSSPTPANQTPLAGEKTFFMKGRIAKGQADPKGNEMGVEDFKWLSKEEIQ REVSPQYWASVKNMLVEQ EPUS_01642 MPSQPGFEAITPWRQSSSGRQYRTVTFQGRQYTQWDDERGTLSQ LNNQTPRTTDPRNQTSALGPAGQQARGASYQPSGYPQQASAYHTSLFPNSAPPANTNA PAAQLGEARMTSYQTQYSGSRSSHDGAYLYGLQQDPRYVTSPTSPTLARGTESQVGDR ALTGANRLSGASCNSPISPQPTSPSSTLDRSRTYPPGTRRVVSRDGQTYCFDENNRIL DQWPMRRESEPAPRPSSLDTRSPPSNPPATRPAAAAEGNRLSPTRFIERQGKSMEKFF VRGRVLAMLWHENAGSRGTQLSEASRRGPYQQDIITKTRRMVLVKKMHGFSWCIPIHT YSGQGVTKRGLSREDIERHAVIYMQGTKSQPRQDERGLVKEPIAVKPASPDQKLDPMS RLNFGKPYTVEHNIKAMEVGMVTDKSMPYLVTYFQNVCNEQP EPUS_01643 MALKKWQSWTSVSRWIFSWWRVPQLTADTLAGKSGATSDQLIEY ECTGYQDQSDDDSQGREFLTPSSHSLSIVSTPSRSPSLHFGWEKATKLEAFSSDETLH ARGQSSPSSLKGHVSVFPEAATSSIGQPLGNRSIQTVDPRHDREKVQQRRRLLQSQRL IDKRMAVLGVRLRVRESRNALRSIREDLMETDARLSQDLRMLTARSGNVKLEALLSQI EGIQNQREEMQLRESEYNILEDELNRAEWEMKEDETKLYEQMTDVDDHLSLEDSDHHF NEEALDAASSDTASTPPYRSPLEEQWLSRIGDRNLALEQLQELRAERARWVEEERVLH RVGRKLDEEAQHFLDRFDSRHVSLKGDLVQIEADLARLQENLSEQADVLYSSSQFDEE SETIDRPSTEPLLNMAITDDTDPASKDPLFLREDRHHPIFSDAAIDSNQDSISTVSYI NEWLLHILRRSAIEVRRFKMTEKIRTLPLEREQLARLVLDWWSKDETVRLFPQGGKDA GRSVSPTSKGNWEEGTLRATRSDSVSLRRRTCPVSKENWEKYRIRATRSDSVLLSVDR IARRLQATHTLQLDAATGMTVFPGLNIMEDSYRATASTRPR EPUS_01644 MSHSKRNTSLAFFTSYERSLLRNSWGSQSTRLTRDSFLPFASCR LCLLPARDPVACSGGAKADVFCRECALNDLVAQRKEIKRLEREWEDRETDKGEAERLD KEEEARRELEKFERTAQGLEVSSVAANGNRKKRKVDELESAEIPDSRKLKSGEEKTEA SFWVPGVDVKSSNPLMQANDKPSKLHPICPASTPSTKHPYSLRTLITVHFTEEKDDKT ENNVRTCPACKKGLSNSSKATLAKPCGHVLCGSCVEKFMKLPDIPHAHNPDPKEKHGD VLCYVCETDVTERRQKPGKEHGRKDKIRPGLVEISCEGTGFAGGGTNMAKRQGLFDQE ILHALFATQFFAFFAHSRAKSMSEPMVVKYLITRAQIDAATNPFLSATCSLAQTLGRD KSPEKQLEYVRGLFTAMSARFGSAKMLKWLRGAHKPGFSLICDNPNMDHPDMLSIAVT LGNTSHVESLLQKGIDADSESDFGLPLVIAVNTGQLEMVHLLLKHKANITKSVSKGEA ELGSNGQSAFDAAAASGNVHLTRLILSEKYSVVATNPKYERAIYHAARGGHQEMVWYL IDKGTQWSGLVRIKSLVMIEGAMAGQTGIVSMMLKHGIDVDFRDPAR EPUS_01645 MEPTTPPPQPAGRLCEIRQTEKKGLGLYAKVDIAEATIISEEIS VRFDLGEYKFSAATWENPEFWEDIRNYRVRPVEDAVSGLIAMYKDDAFVQTVASQLSR SLSVPGLSDHANLFFTNCQPLEVTSPPISIFFGPITCAFNHSCIGNATFNSCGISDSA DLVTNVVAIKPIKAGTEITVSYTILPFLTAQRRLTLRELYRFECVCKLCMDVTPETIE SFAKVNALMKEIEAPIERDNKKQKTPWVFFKSALEVAEGYRKLEIKDMRCAVLWEQCA SVAAYHSDELRTHYCLLWAAQYWNTLGSDRRGALRHPEEEDLAEKEKLAEQKRAELLA ELDEEEEKKKKKEEPAAVPIKKAKKKRSKKQNGRKGAAGGAEKGSEEAMVPAPPDQVV RLNVVEDREQIEASRAGWEEVGAKKRPH EPUS_01646 MPQPSTYTITRKSTAFRGDVEKHAIDDVETDTLPPSQQEQIQRI PKRPFLLILAVILLLLAVAVLPYAAYSLVTSISAHTSQNDIVDRVIASTGLCKRDELG GGQIFGIFLGVLVLLAFIGASLWMFGCVVAGVNRVSTAKRDKVRVRFDDDEHRIKVAD DGAKLPNLPPPSPAPAHMRRRKPVYRPVLHALEVLILCLVVIILVLLAIWAYHLAFGT SSSSSEVWKRDMLRNMSVKNIKPPAIARSLLHPRSILEKRLSKGVSIGIVAGAAAVGI AIATILLTLRPRLA EPUS_01647 MPAIAFGLGSKAKSGQAKPAIAGQKRKQNLFGDDGEDDDTHHVS ATENVSVLDDKDYDTPQPRKSPKLSNGASTGQKPSQQYTNLSALHTAKKHTEQATTVD TTIYDYDAVYDSLHAPKNKASEDIKDGVPRYMTNLFKSAEVRKRDQLRAKERLLQKER EAEGDEFADKEKFVTGAYKAQQEEIRRLEEEEAAREAAEEEKRRKGGGMTGFYKDLLK KDEQRTMEISKAVEAAATRPKTEADIEEASGEKPMEKSAEQMAAELNARGAKVAVNDD GEVVDKRQLLSAGLNVAPKPKTGASQGKVGTQVSKAAEYSRSSAALSARGAQRERQTR MMAEQLEKMAEQQAQAEAEERKALEEKAKSTKTGTDILSAKERYLARKRAQETEKANK SGP EPUS_01648 MHIKQIIIQGFKSYKDQTVTEPFSPKHNVIVGRNGSGKSNFFKA IQFVLSDAYTQMGREERQALLHEGSGSAVMSAYVEIVFDNSDDRFPTGKDELILRRTI GLKKDEYSLDRKNATKADVMNLLESAGFSRSNPYYIVPQGRVTALTNMKDSERLSLLK EVAGTQVYEARRTESLKIMNETNNKLQKIDELLDFINARLAELEQEKEELRSYQDKDK EKRCLEYTIFSREQAEITSALEQIEDQRQTGLDGTDENSELFLQGEKDMTQMTTEISR LKQQMDFLMVDKRQLEEERRDNARARAQTELQIKTLTDGQSASQQAKAKHDAEMKRLH EAISEREKELTELLPEYNNQRQQERDLKTRLDEAEVARQRLYTKQGRNAIFRNKKERD DWLRDQINDAFTSLSKVKAVKMQTTEEIAQLEKDIAIADPAIEKLQNQLSGRGDAVSE LDQEIQAAKEARDRLMDNRKELWREMAKTDTVVASVKDELAQAERQLSHMMDSNTSRG LAAVRRIKKQYQLPGVFGTLAELIDVPERYRTAVEVIAGNSLFHCVVDNDETATKVLD ILNKEKSGRVTFMPLNRLKPKIPAYPQAQDAIPLISKVQFEKQYERAVQQVLASSIIC PNLTVASQYARSHHLKAVTPFGDTVEKRGALTGGFHDPRSSRLEATRNVMRLREELET SRARDGEIEREVRQLDQRITKAVGELQKLEQQKQQLQSNHEPLKEELNSKLASLRNKR QILEQKQQAKATIESNVRALSDQQNAHEAELATEFKKALTEAEENQLEQLTTTVQNLR EEYVSLSATRSELEVRKSVLEVELNDNLRPSLEQLQSEDLDREGDYSDKGTLKEHQRD LKRLTGVGDALSRRLNENETSLESSASEIRKLENRIAETRKKQEDLAKTIEKQQRRME KSTQKKMALQTQLQATSDAIRGLGLLAEDAFKSYKNLDSATVMRRLHKTNESLKQFSH VNKKAFEQYNSFTKQGAELRDRRKELEDSHKSIEDLVEVLDQRKDTAIERTFRGVSKA FASVFSELVPAGRGRLIIQRKTDRSTAPDDEDSEDEQARKSVENYTGVGISVSFNSKH DDQQRIQQLSGGQKSLCALTLIFAIQACDPAPFHLFDEIDANLDAQYRTAVAQHLQSL SQNREDERASQFICTTFRPEMLLVAEKCYGVSYKNKTSSIGLVSKEEALSNEFDLRDR HDIVTPITTFSKANTTPVPKIQLPAGYRDFAKFKNAAYEVSHRLFPSFESRLRSSAIA ALLKRVVEINNDSGYAMSRLGLEDDYDAYTERIGMDRDDEVAARRLDEISHQCAEMLF EHGALRAVRAAEAPCPALTRNIYRTHTRSRWDAGVLNADKNAVVPSQRSHALDYLNDK NDMWVPRRVAELEFNTDGNSVKELTGPRVDFVYPDYKPFSGWWPTGSMKGHGEVVQGS HMRVTRKIGAQMSCGMQQGTFLS EPUS_01649 MATHDSNTHGLADGQRPTHTFIPHQGYVRSEEEDGGVPVRPPAA RDDDQIEDEHQDDLDDIFDEEDVDEEDLLASNPGNLTKYYNRQRRLHEAATDLNGPKS KAPKSNPQKPTANTFASVDDHIASLSKHASKLKLDVKQSGLATGHGRGAEKSDRATSE QVLDPRTRMILLQMINRNVVAEVHGVISTGKEANVYHAISSLEDGTEAHRAIKVYKTS ILVFKDRDKYVTGEFRFRSGYNKSNNRSMVKVWAEKEMRNLKRIHSAGVPCPAPLYLR LHVLAMAFIGDRKGVPAPRLKDVEFAGSDSAQRWRRVYIELLAYMQTMYQVCRLVHAD LSEYNVLFYDDISWIIDVSQSVEHDHPRSLEFLRMDIKNVNDFFRRKEVHVLRDRRVF DIITTSSIYQDLAQTKESIDKIMATQVEKEDGEDEADNEVFRNQFIPQSLHDVHDLGE DVEQRGEDRRNDTMYKGLLADEISQRPAQDDSDGNLSGPDNESVTDSDEQSGSDSETK TPRGKRFQDKEAKKEHKKQVKEEKREKRKDKMPKHIKKKLVSSTSKLKH EPUS_01650 MASSTPSPRGSAAHLSHNPSPSASLQKPTNQLESLIAHLLASKR SLSSIRHVHRATTILSKARADLESTTILAARTTFLQRSLASQSKILRGVQFELEDVVH SSQAEFSSMLKELDDAGKKLEQTVHRLKGTKLEPGFRDTDLKTSVSSKNDLPAETKET LYDFVDVAPVDRLKDNIKTSIDKVQQAKGEMDQSLQDFEEDLQTVNNALAGKTATSSS ASSTFQPPNIPATVKALENHAREMAEGLESLVKHFDLCVTAIQHTEGGGAAVVKNLEM DELPEGVRVEDFKAPANSITEDEKKDMMTVLDNDAAEVEEVVIEIQDRISQMEAQLDH VQLWREKKETENDDIMAAMKLLEQVGTKLPGYIIQSQTFVARWSEEKLKIEEGIVGLE GLRDVYQNFLGAYDGLIVEVARRKAVRNQMEKVIREAHSRVQKLYEDDVEEREAFRLD QGEYLPMDIWSGLSDPPPKYAISRIGEARESVPDLPRKTVEEALRRLKAASRES EPUS_01651 MATPSLEATMTMPLRVIQQVRPSIWMPLVFLALLVIGTYVVSTI RYHLVLRQYRAHPVSPHGQKALGVLPTLPLPYMLPFVGHSLGFLMSAPGAFWKRLGKA LPAAAGGACRLMIAGRHDQHVLLTPASMAAVTNNRTLGRHLVSRDVAVYALGVEIRDA NHSSLRTDKGRTPEEEIFGEHLLRADRTAELITTFTPELRSVLTNPAFNINGSEETSG SEVAREVSLCEWLWPQVFHASTLTIMGRDLLSMNPTLPADYEAFEEAFAELLWGLPRW LAPRAHRTRDKILASVEGWLASALVATSGEIPDPVKGPAWEPHLGSRVVRAFVRRQLD YGMTPHRMAPSSLGLLLAVNTNTVVATLWILMHLVDRTDQDQTLLPRVRAEVDSVPVA ADGTPDVKRLTELPLLQSVYAEVLRLYVDIIILRYLETDLTLPIGHGGDGTPQVQLPK GGVLHVPTWSVHHDPAAWPGVVPPDEFDAERFLVPDEKGEMTFSLGSMPGRWAPYGGG KPMCPGRFFAKQEIFVTVATLLRMYDFEKVGYLDGKGKRTDKFPGVKSRLAGGGTLRP DGDHRVRMERRK EPUS_01652 MTEKSGLVGTARDLGLVDGILDVTRTLSLAEKHAFGLQKPDGEW CAEFKTGIFSLTEHIFFCQIWGIDKSADAGLFQKFLLSLQNSDGSWSAAPDYPGEVSL TAEAYLALRILGVEADCTELLRARHFVRNAGGLAKVRILTRFHLAQFGLWPWTAVPQL PPELILLPLQSPIHIYKLWYVARATIVPMAIIRHHEPIFALPNGKSASNNYLDELWLD PQHKSVPYGRSLLDLSKNDPVGFAFHAADHLMHTLRVVMQYTPMRYLARKRSVNWILE HQSGDGTWFGYLTAMHCSMSALLLEGFTTDDLRIRRALTAMETWMWEDEQGKRIQLST SPLWDTPMMISALCMSGVRRDDYRLQRAAEWCKTQQIFGSDTEVARYCPDLASGGGFA FQYHNPWIPDVDDTAAVALALYAQDDGALEKYSFVRAAEWALSMQNKDGGWAAFDRDC DNTWLHKW EPUS_01653 MDNLAVELCSVIIHNADSAQGRPPTHLVQRAQHAVPPAIRFLTK LQEPDGSWWGRWGVNYVFGTMSAVSALKKFAEPDSSGGAITDMVRRGVGFLLRVQHLD GGWGESVASYELPPPPKDTGVSLPSSTAWALMGLLAAGVSPMDRAIVAGVKWLVSWPE RLYTGTGFPRKIYIGYSDYRHYFPMIALGQYVRAVAALNNKDEVPVLKN EPUS_01654 MSSKRSGASDVEVEFSQPLAKRRRSGGRSLGQIDIPDHINALKQ NGNITKAESGCHSPDDAKDKSVTIASTAKFADQTIAPFLTRHIPEQYAPLGGPISSQR GPLRDPNSKFCYRHRPDLLCRRQADEPSMDQLQKAR EPUS_01655 MEQKRLRASRRQIQLRAAGRGVNDWSPDITPNPEAHREYLSNGT GTEAILSQGESEDTKIQTSPLLTRTNSPNQPSQSDYLRKFRPWKDVYKDRFKVGTNWK YGRCSTKIFRGHTNGVMCLQFFDNILATGSYDATIKIWDMETGMEVRTLRGHLEGVRC LQFDDTKLISGSLDGTLKVWNWRTGECLSTYSGHDGGVIGLHFDGDLLVSGSVDKTIK IWKFRDKSIFTLRGHTDWVNAVKIDAMSRTVFSASDDLTIRLWDLDTNKCLRIFNGHV GQVQQIVPLPRDFEVDNREADTYVDDSGTQSSTDEVSSQEAANCVASAAKSPYNNTFH YSKDRIAPPCYILTSALDSTIRLWEVPTGKCVKTFFGHVEGVWALAADALRVVSGAED RMVKVWDARTGACEKTYTGHAGPVTCVGLSDSRMCSGSEDGEEDDENLAIGIRGNVFQ EPDQFAFLSSSSSPDPSPPTTAGSSSIISLGHQESRSFFASASLISLQNAFSSSLLRA HNPDPTITTHAVVHLTTNHAKWASIPPGTQVTQHTTIRSATSSSTNASFISITSIPGP APIKAQQAPPFISNLHSIPHQPDLPPSHKDLQPSITAQRGITANQTVDSAHSPHRRSG KRHSGDLKILTVQPENSSAARRFSTPVTMLNAMISDAHRSIIKALRDSGRRLRLCALV GWDLWFGV EPUS_01656 MNLDFDICLLGERGLVQKYEIQSWALPDFKEAVLKTQRIIGETD AWPACFAENHDLPRSIPTYVTNDPPHRAKAGRLTALFLATLSGTLFLYQRQKISMTNF SLGWVLDKICNVDALNYRTKMNKEYPTDTEMLREVKTAIAKFGRDNARTPMRWSGSKL HAGFTAAQGPWVSMNENYKEVNVEVELKADEGVSRM EPUS_01657 MDTFKIEARTSLLHTAAHQHYSKALAQSKALKALSTELYIVKDL TISGLLQKGRRQRSNASFNLAAEMGRAMRMSSPESTGHSENSQHQGCLSQYLFRKQER AQINNIEAVAACGIRAGMLHTNYYCYVTPRPQPNPNAEWTFAEWTFLNGPVRRHSGNP SQSSS EPUS_08617 MDIDRPAPKRRRTSSRSSTASPRDLSPSRQRSSHKSSFEPRRAY QGRESSRSTSRSESEEPDRRRRSWQTHDALRVRRESRGNTSSDGGTPRSRSSSSDSFP RRPLPLLTPTPPPTSSKHLKFKAIPHGTLCSHARGITCIRFSPSGEYLASSSADATIN IHSTTQPFPLLKTLTGHLAGINVLAWSPDSRTIASGSDDKSIRLWDVVSGKAHRVPLL GHHNYVYSLAFSPKGNMLVSGSYDEAVFLWDIRPTGGNVMRSLPAHSDPVSGVDFIRD GTMVCSCAGDGLIRIWDTMTGQCLKTLVDEDRKPVASVRWTANGKFVLAWTLDGCVRL WDYREGRCVKTYQGHVNTQYSLSGTMGTYALAGRREAFVASGSEDGEVLAWDVSTKEV LWRAKGHSKVVLGLDFQRGKDGKGMLVSAGLDRTIRFWEECDEVDDTDEPPGLVNGDS YVDAPDENIKRLRLNEREDEVMEELELKTEPEET EPUS_08618 MWQDDEDNNPYGSFNPDSTNPVLDTSYHDQQTSSPPSQSPENEP PEFLSHPRDLSDDEEAEYSKSQTQSYLRKQGAYDSRIQQLLYENPELEIIIVDAGKSS DGGYIVYRIRTGDIDVQRRYSEFSSLRAALVNLHPTLIIPPIPEKHSMADYAAKPRKA KEDTSIIDLRKRMLSVFLNRCRKMKEVVEDGSEVLHSPPVSSIPKSNLKAPPLDPANP SPAHSWLPVPSSSAKLKSASAVSETGTPSSPPSYSAMPSAAAHSIPGPQVFGRFPPTS QHLSEQELDPYFINFEASTRELEMLLQGNIERVNRRTLEHYAKLSTDLAELGARYNGF ALSESSPTVAAAIERIGQAVDTTYLDTEELSANLGAGFAEPMRESAQFAGVVRSVLRY RVLKRVQQEMTRDELEKKKTLLDSLERSELEAKRIDRYLSNSMIAQSPPKRSTSSASA RSNPERQAQGRREGSNEDAASIDSDFPPTHDGSPSSSPPLHRKTQSGNFVTNKIFGRI SHAVHGFVDVDPERTRRDQIGKTKESLVQLEQALDVSAKDVKDASAGVLKDLKRFQKE KEDDLQRYMIAYARCHIDWARKNLETWTEAREEVDKIVAR EPUS_08619 MTPSSPSEAYANLTLDQSPAWDMSRSDQAATESEDITSDERAIA RYESDRPLRSSSPAVKRPASEMGAQEREDHKSDIDMDKSSLPGETPEPMTDATPKAKQ QDTGSRHENIPLFEVTAHHSNPKALSQIPSEDGAAAVSGQAIPTSSVVSTAATLKPAT NSSADIPPIDEQVAKVSLLARKPLQDKAKGYAVSARWLNRVRARSSVVTEAIKTDKAA TEGEIGPVDNSDLALVIEGSGHFEDENGDPYVPLKPGLQLEEDYFILPEEAWDLITRW YGILPNSPIITRYVHNTSTGEVENCQYELNPPIFSILKLPSSHAISSQTLKEKDLPPV KFLASRHMSFNNWLKKAKTLVGIDLSTKVRVWKVLGGLKSSSGSGVMTPMASRSASPA PGADIVASAGDRMVLDVNTFLTLDRGSDRELVDVKDQTMNENYNGHSSILLAGLSRDE VIVLEEQTGGPAGGEWVSDSLRAQVARSQLAKSGSVADKAMAKAGATSGRSSPVPGMI TRGRQRRDGKVRGITGLGNLGNTCYMNSALQCIRSVEELTLYFLNDRYKPDLNPSNPL GYGGEVAKAYANLLSQIYSDTSTGTFSPTQFKRVIARYAPSFSGYGQQDSQEFLLFLL DGLQEDLNRIKNKPYIEKPDSTDEMVQNPIALKELADKSWEIYKARNDSVISDLFAGM YKSTVICPVCDKVSIIFDPFTNLTLQLPIEVNWSKQIIYFPLRGRPIQVDVDIDKNAS FSALKEYVAKRMGVDAVKLVGAEIYKHKFFKMFDNITTIADNNIQGADQVAIYEVEDV PTNYDPNKQKKSSTLSLSNNSNTKGEIPDLDTPGADKVLIPVFHRVPKNGGSHRGGFF GSPSYIILSRHEAKSYDEILRKVLGNVANMTTLPILKDNGDHIASMATPEDSDTVIMN DDDTTSSDSHVKVESVEGEDGLVDVSMKEAGDAARPSVENFQSNVPSVLKSGSFIPGK LQNMFEMKIYKNDTEAIPLGWNNLDEGKELVSISDRLVRRERRKAKYEAGSGSTSSEE ALPGRFRTDDSDGRSDQIASESESDSELPSTQRIISSARHNFHRKSKKTYSKKGRRLA TNGAVTPPPDDEGWLVRPGEALVLDWNYEVCKTLFESDDDGDEMRGVGTWKHIDTWPD EELAAKRAQRQARRKNGVTLDECLDEFGKMETLSENNSWYCPRCKEHRQANKKFELWK VPDILVMHLKRFSSNRNFRDKLELHVDYPVEGLDLADRVISNDEEKSMVYDLIAVDNH YGGLGGGHYTAVAQNFFNKEWYDYNDSHVASRGSRSSIVTPAAYLLFYRRRADHPLGG PLLAEVVTTTRSHEAGADPALGSRDPSPLAQGEGLLGVSSLNGSSSAFHSTEAGVTRH MGGGGLAQRQGMSQEVDMKGTTNQMEDDGLPEYTEIAPDEGISMQDGDVPMYGPSMEQ PSWSFSHLGSMGAPHSQMTAVPPSSLDGDANGFYTQEEALFAADDNASTQAEGGDRSS IELSDRDEDFVDAPILASSEHRGMRESAPPPLLDETGELPVAEIRVDDVEEENHP EPUS_08620 MVVPCLSSVAYDQLDFNTYPRRRGWDCALLAAGDFSQKDARATE AFIQDWLYFGVLWETLGEAAVKSVYVEPHPTSAYGRITTTLLRGQLLHRLAYLGQLCR DDAERAWSLIRKADNCLERLSAFCCLADCEGGEDHARVVWPLSPEVDFSLRALGQSLS SAFAACLFLAVRKYEAVVFRNLRFPGACWVVARMASNNWCSSDISRVVEQYSPSSLYY ISLMRRAGTTRDHSSCTKQKCLAFQVDPSRYRTKHLDEHCACSFLGPQIAEVVRIIMS GKIPLLSIIVDERADAVVVSVEPYKAGVEYIAISHVWADGLGNTDRNTLPRCQLLRMR QLLDELRDQASLNVSMRFWLDTLCVPVRQHHANARDRAICQMKDVYMNAYQVLVLDTE LQSVDPLDISEAFMRISLSGWMRRLWTLHEGVLGNRLHIKFRNATLNLEKGYKELGFK FQPELAREFENVFGTPMADARQTFWRMRSMRDEIFVGPERKMVGISTKTTVYKDAYQQ LVKGRCVRIMLAFDACRYRTPSRTEDLYICLANLLGWDTSDLWSEPVNRRMRLLLEQQ EVLPQGVLFVPGPRMHERGWGWAVTEFANEAQSRMRAPITDSSPARRDASGLTVRYPG LILPGAIVPDGPRDIVISSSTGHNSECLTWWRITLLDHQNRIGSTDVDVPSIDSAETP PPDTPKDSMCVVFFQPQMHEIRVIVMPAALLALENFDRHKIIKTEDRIHCKFLDLATI QMISTDDALSALLRRRDLQLLHVKEQARYVSCAWTVQ EPUS_08621 MHFSTTLLFSLSLALATSVLADLDTESSPLGLRTKPVKTSKRAV SSFLDRRETCRGSCQSCFGASSRECPGSDYWCYDSDEGSAAELCSSGGSTPSTTTTSA SAEITNTCYSGASCISCFGAFSRDCPAGSDYDCYDIDEHSQAEGCSAGDGTDSSASAS APSPSASRSADSCRETYGGGNIPCGQGNCYDPTAGETCCGDGGHCKAGTTCVLRGSVY KCAEDGTGSSSPSLTAGSRSSRTASGILNTNTAVGPIGDGSSDPTSSSVPRPSRTTSS RSSTITEPAAAATTSTNSVFGAAPALMGRDTGAVAALAAGLLGLVGVL EPUS_08622 MAETLSPLHSLLLGGLVLVALYIVALYISTDKARQKINKQYGCK APPRYPSKDPFFGIDAIYDTLGALRSKTFLSQKKTHYERYGNTFSAKLSTLSLINTIE PENIKTVLLTAFRDFVVGAPRRNAFSPLLKNSILVSDGTQWEHSRAFLRPSFSRSRVG DLSTLEVHVGNLIRAIPRDGSTVDLGDLFLRYTADVTTDFMFGESIQSLSQPDSFRVD LMTAFRDAQLGAERRFRLGSFAKFVPQPTFHRAVERVHDYMDAHVDRAIENRCLQQQS RNDGAQDDKRYIFLHELAKLTRDRQILRDELLGIFFAGRDTTSALLSNLFFVLARSPH IWQRLCDEVEQLGGAYPTLDELKALKYLGFCLNEGQSSSLRLYPVVPGSSRVALKDTV LPKGGGIDGKSPILVPAGTLVIFHFFALHQRKDLWGPDACEFRPERWQNEKAGSWSFL PFGGGPRNCIGQQFALTEASYTTVRLVQEFSALVSRDSEPWKESMGATCSYPIMPLFG KSKKRRSDSEPRKPSSSSPIFKLTDFSSPQPSYSTPVYQSSQLVPTRSPAWQPPLSSP PQCFVPQPNTQVVPPQYFPAPAPAPTPAHSLSPPLPRNLYPVQPLMQMYGHQTYGQQN KGSSCQNLSNTIARPCQVVNATVNRTTEYLNRGAALCDQVASKFNDVISLMDEETFVG NEQDLQLTYYQPPPSSPRQLVAQPMTPGGPSRALIPARKPVPTKSNECPITPKALTTT NVFAKAAMYANSRLPSDLPPFRVYIPTWPLICLAAQYSLNAYRTPLGAERDAFVGADW RVGTKAMVIKSVPIDDMNTVVFAIRGTQTFMDWAVNLNTATVSPSGFLDDPGNLCHAG FLDVARKMIKPVALRLKQLLEENPSRAACSLIITGHSAGGAVASLLFSHMLNTSTKSE LNILTGSFKRVHCVIFGAPPVSLLPLSKPAAQERRFGKNQFLSFINEGDPVTRADKAY IRSLLELYASPAPKAVSYGPAASNHKLTSTSKVNVTSSFWNNKSSKRPKLPKRPATAP VIDRPISNVTWNVPLTTLSNAGRLVLLRTPSKGRNEDVKAYITADDQLRGVVFGDPLM HQMKLYCRRVEILATKAATGRLIT EPUS_08623 MGDTTITERVYFDIEQGGQPLGRIVMGLYGNQVPKTTRNFLELA TGQHGFGYQGSGFHRVIPQFMIQGGDFTKGNGTGGKSIYGEKFEDENFAIKHTKHGLL SMANAGPHTNGSQFFITTAVTSWLDGKHCVFGEVIDGYDVVQKIENAPKGSNDKPKTP ITIAKSGKA EPUS_08624 MERQEAMDSTFVLSNMCPQVGEGFNRDYWAHFEEFCRDLTRHYP SVRIVTGPLYLPKRDPRDGKWRVSYEVIGSPPNVAVPTHFYKVIYGEESSAHSPTAKV ALGAFVLPNAEIPNEKSLADFEVPVEAVERASGLTFAEKLPIERRKRLCQEVRCEILV REFDRERSLQQGQQRGGTWPRLAR EPUS_08625 MVLDNDTRGWIMTGISGIACVCGASIICVDVIARLIPRYRNFQI EDSDTFLSASLSLSFGVMLFSSLYSMLPSAKRYLLSGGFAPQPASYLLIGLFLAGVFG IQLLSRAMHHFIPTHIVDCDHTHDGEEGNLQEEMEQHAHDDSHTTLDQSLPKLRFTQD GDDEETPLLSRNTSNSPPKRRQFSAPEDTNTRQPSANGFVTAPSSRRPTLVPRSLTRT FSKFASAKVECDETGPCRGFSDPCGQDCFKAVTRRHSGLPNSAPSRQTTFQRTQLAFS NLQNAPQVPEADEGGAPTGRSRTRTGGSDRPHSEQTRSAIHHYHHPVKEHTEPVKPDG CRRASNGSSQGDPKPEHHHHVPTNAFLSIGLQTSIAIALHKAPEGFITYATNHANPKL GFAVFMALFIHNITEGFAMALPLYLALQSRTKAIIWSSFLGGVSQPLGAGIAAIWFKV ARGSDGVGRPSEDVYGCMFAITAGVMASVALQLFSESLGLTHNKNVCIGFAFLGMGIL GVSSALTA EPUS_08626 MILGPISLIDCAVFVFFLIPQVLYQAGLGLTLLTVIKVLPFLIL QLPYQFIQEHYFMGRDEQSPFVQRATVFQDIVIRCVRYAFAHMPAKIGRVFFSKQVAY PFFRFRLLRHGYRSSPVSVEEVHKNGIRGLWIAGSESAEKPDVVVYYCHGGGFSMGSA YFYLEFLIAWMTRLRERGFKNPAVFALEYTLVPDAVWPQQFVETYAGYKLLMDYMGDA SRICVSGDSAGATLILSRLLHHGSYDEEPVVYKTRKPALAVLISPWTHLISPLNRNTA SDYLDANALELYGAQYLGAESARNALISPGLTEGRWKLASPTMGYCIVYGAEEVFAPA IGETMRHMKNDGATVETRCEPGGIHAWPVVNLFLGSSREERLKGLDQLVEMVVERMGV GGGVQEQVSETPKQKKNMTRKDDAGEEAYLSPKTTWPEKWKNPESYTG EPUS_08627 MSQENHQHYQKTAAITAASTSTSTSTQQQQQQQQQQQPSENSNN NNTTYRPRSPDLSAFILPPQQLQQQQQPVFHPSYQDSSSSWQHQRQGSSGPALTGLPA GSGSYPPVTHRGSYDASPYFSPQGSFSLGQGQSQIPGQTGYLIGGTGAGGQQQGQVQR RETVGYGTASASAAVGEYSRLTQSPTATAGGERVGPGHSQTLQQQSFWQTPASGFTAP THEQPVGSVPSSLLQLTQQPPQQPQHNMPPSRRKRGQTDDNDDSGDVDYAPESSSVGG AGGKSSRKRKSDNDQAWTSGRAPGEVGPSLGIDIKTKFPVARIKRIMQADEDVGKVAQ ATPTAVSKALELFMIALVTKAAAEARDRSSKRVTAAHLKQAVIKDQTFDFLQEIIEKV PDPTEKKGGGSGRAASEDVDDGGGGPAKRKRAARGKKKVDSDED EPUS_08628 MDSLSWSEPQWMGRVIEAFPVLPLLFDGLISFHIPWLQYLVSQP WHVNICGSQQHVAGMEKFSQYRDRGSGIAPFLPIPTEASGIALPFHIFLFTFRVPLLL TVTSFYFLFLQWLPLGPLAKKAALWVILGVPGIWWVDLQIDGVKKGSLAQNARRLPSP GTIIASSSASPIDALYLAAIFDPVFTASYPSTRLVQPITLFQAILRAFLPPQETPHPN ARLVNLQTMLAEYPNQPIVVFPECTTTNGKGILPFAPSLLTAPRNTKVFPVSLRYTAP DITTPIPASFGTFLWNLCSKPTHCIRVRIAEAVYRTSTAPSESGPAVKTSSYKTNFFD TMQQDTASNGETLVAEDTHSPGSLNKEERVFLDKVADALARLGRVKRVGLGVREKQHF VQSWTKSRSRRR EPUS_08629 MARLLSSPLLLLSLLVSASATTTIISFYHKNSCASDSPSAGDNF TSSNLVAGSGICYNPPINTIALDIAEIEDGCSSKPPLSVRPFLVLRITALLQSNPQPK WNHALPLYSTQQSVPREKKAFKPLTSSPKVTAYLDTTCSMPTSEALTSTDSLCYFLGP EEHIGSFKASCSRTITSTSTNESASQGHGDGSAATTSPGLQSTPTNGASSRVGPVLER WAGGGGWVEVEVKALMAIGAMEVVWGWGML EPUS_08630 MDFWSRIIGGTGSSPNKPRPTNTPAERLAAFKRTCNALQQTWRT SSPLASNESAADQCRNCLQRLNSLLDEESKKTAPYSCLVYAASTQLYIIVTKLALSSQ DSEVINEAARFFHILIDGEVEGVLDSKLFARSLVDLVKRTIGTKIITLSEQEEGDLVE LLFGITTKIRLDPEMLPAWFYPSHSPETIERLATKDAEFAGATRRTDFPLFYLLIDYV HHDGRTGDFARTGLLYLTDTASKSKELERWMIESDLATLMASGLGALYSRLSRRLPSI MDREELPPILALSDHTSGSDDTGIPTENFRQDMDAFLSYLLFWQDTLNHCQSVEVKDT LLDHFQVLFLQQLLYPSLLESSDINGGSTASVVIYLYQILECLENPDLVGRILKYLLA SKDQAINRAESRKQRMSFSRRKSLDALTALEQGRDNPSPDLFNLLDLVMMSLKSKHPQ TVTAALKLVSVTQQRHHHYAWASLIHTREEDPNLPLRKLSELNAHLQGLMTLPLIILN DGRLDQSYSEILKDVLSSLETHSCSAQSAQKETLDEYQGTYMLILEDGVLMNQIEVLL TSFFANDTMTNLALTEAIMCIASCRLTRLDGWLLPRPFQDGKPTITSILEGLIDQVRQ WKHQTPGWDSLIASQKSNLNDQDMEDADAPSLPASLRLSTDVGRSSPAPTPTRQSIEV SSQPATSRGRRSPRTLHSEGFGSIDGSVASSPSPMNRLQRPPYLDSPLRQSLFLPPSG SSPTSQATSRSASNTLDLLQTRLAIPTPYPVTSAQQSKSIFLDRALKDHDSVTSEDAS ASGIGTPSEQGSYDRVGERGDDNSMTTPTVSLGHVLVNAIILQEFILEIAAVVQLRAC LWGEVEV EPUS_08631 MSFSSEPALSKPTPAQTIYQHYPHPNPHPRTTTSSKASIASHNK DSCLPRIKPITKHSGISVSSWFKAFFQIVIALSTLGASVSFNFILSDIKEPKFMWSKP QIQVYLSISWLLFLLALAFASLASTLLNFFQGHAVRDWDGDDPRRKRVLQYYATLTCL VLYGLVIAAFAVMGLVVMAYSFVVGWVAVGFTAMFGIGGFLCIGIQSPLCLS EPUS_08632 MDHNENAFVSQIPQLSRHISPDKGLNEMSASTTNARNAGTMPPP STVRKHAHSSFPEPPSKRRTGTETAGEPIKKNARAPPSSRTVDPAARATANAGLGRNS SVSSMFSSRPTSASSRNTSNSSFSSSVGAGGRPQSLQSHNRLKTSYAHNRTSSRTGPT RPATSLAMHDESQECAKPQGMSVHVTPSMDSLRPSKTRGPFLEPSTPQKALSNGCPIS TGRPHEIRDASLSTAMQGLSIRSGSGDELPREQSPSRLPRPVTPVPKPPLSSPFPLNT SRPRKPPPKFDKFLTRDSNTKAWDTQEQYDNFERMWSDMYMKLNQTVSDTADMKESIN IYKSTSTSYPKIREAVLALSISGVDDKMVAQLTYLFVSLVTELEKARAELTENNTCLR SELETAKYRISEADRIRETIARDQEIELDDLGRQHRVELENVKQDARQDIEQIKNDHR EELRDVKRRLEDELEQERSQRIRAVSQLSTQGALDKQRQQLEVDAKDQEIRVARAETE RLVADLERERALNVDLRQNLATASANAVTMEASRQALQAKVEYLESDSKSQSEAYANM ERQMREAIEQAQELQGKLRREETLRRKLHNQVQELKGNIRVFCRVRPNLSMDAADEQA KIAFPDDGEDAKEIEVRGAEETSSLGTVTTKKYPFAFDRVFGPKSQNQQVFEEISQLI QSALDGYNVCIFCYGQTGSGKTYTMSSQDGMIPRAVHMIYDTAQGLEERGWQYKMEGS FVEVYNENLNDLLGPPDDFDKKKHEIRHDMQTCKTTITDITSVDLDSPEKVEEILAQA MSNRSVAATKANERSSRSHSVFILKLSGHNSITGERSEGTLNLVDLAGSERLSQSKVE GARLKETQNINRSLSCLGDVIGALGQGKDGGHIPYRNSKLTYLLQFSLGGNSKTLMFC MISPLQAHLAETLTSLRFATKVHNTHIGTAKKHSKS EPUS_08633 MRTIDLVPAETVEIHFEVFHYGICATGSNPRFHGKTLLLSQLHP GGDVGFTIELKDYYLRSSREVRRYGEITEELGSRRAEDDPSGPAFTYAAPALLPFAYV VAPVCQSNSTASTRDFRRVLRSSDRL EPUS_08634 MAPLFRALLVSLSLCNCALTVPTDHQDALHTLLNQAPHTSHRSQ RPLHPWTRLRDAIIHKIWGPAPTTVNHSPYLARQSSTPSIPSTLRTRYGGDMVLRFRV KTAEEARALAEAADTLYLDIWEFADDWVDIRMAKDVVEPLLGLLPPSLHNAHSPLMPD LAQAIFESYPSASIGQHASIPFNDHQGFTSSLNRESANDGKELFFKDYQPLSVIRPWM RLMASLFPTHVQMISVGVSYEGRDIPAFRIGVRQANDTMSAQPRHTILITGGSHAREW ISTAATSYLAYSLITRYGRFPGVTKLVDEFDWVLVPTINPDGYEYTWDHDRLWRKNRQ QTSIRFCPGVDLDRAFGYQWDGDSQRSNPCSESYAGDGPFEGFEAHQFATWAKYETEH NNIRFAAFLDLHSYSQKILYPYSYSCEQDPPTLENLEELAIGMAKAIRLTHSQAYGVT SACEGHTAAFHGTADEVWPRMELNGGSALDWFYHELKVKYAYQLKLRDTGSYGFLLPH SDILPTSQETFNAVLDLGKFLLSNKGIELAADADWSSEYIPPRPQGEQSQQDQVLYPP DSKQEVVDENDSNGEFNVELRRRRRK EPUS_08635 MTKNSKSDAVSFVSHHSSFQSIVGSFPSIVLLKEDVDGLATFHE ACIYHAATKSVFVTSNQIPLPNGQTDDLTSNKKIVVTRVYDQDDLTKVVSVDVTPQDL VMPNGGINYKSGLLFCAQGNKSNFPPSGLVYVPNTEPPYSTQYLISSFHGKAFNSVND VIVHRRDGSIWFTDPCYGYHQGIRPQPELPCQVYRFHPDEDSIRAMADDFIRPNGLCF SPDLKQLYVTDTGAVSGAEDVPFDQTGKSSIYAFDILETNHGPFLVNRRLFAFVASGC PDGIKCDTSGNVYSGCGDGVNIWNPGGVLIGKILIEGGVANFCFGETGTLYMCNETRL WKAQLGEHIRGALLGL EPUS_08800 MSYFDRQGIPKKVLSVHSQEEIRDSTRQKDDGVRDDEKDEEEDI RNDDTSEASDDDMFEEAVDRLRSYSFVSLGKNETFEMYGLVQLATRKWLAMCGDDEKW KAQFSRNLNALLPNGNYENWARCEMLFPHAKSAQRQRPTDDRSVEIGPRYYGGWMVCI LRWDERDQATKSKGGYATIIRMHPSSYEGQLTLAPVLEEV EPUS_08801 MPPFLVDEYHVGIICALPIERAAVRATLDEEHGVFLDKDAQDHN TYFVGRVHNHNVVIASLPAGVDGTTAAASVATDMVRTFKGLRFGLMEGYDIRLGDVVV SQPTDTTGGVVQYDKGKSLNGGKFQRKGSLNAPPLALLTALGALQADHESEDSKVPTY LSEMFERKPKLQINGYTFPGTDRDRLYCHHPTSNAICDHCDSACEVYRPSRENTDPQV HYGIIASGNQVVKDAVVRDLLRDDCGALCVEMEAAGLINSFPCIIIRGICDYADAYKS DLWQKYAATTAAAYTKELLLYISTAQTSSEKPIDQVLDVVKEHVQAVSDYCRKQEVRY QNDQDRKCHVAFKIDNYEQQKDINVDRTADTCQWVFKNEKYIKWHQSSTDSLLWISAD PGCGKSVLSKSLVDYELQNTTTHTPASSSSIRYSLLLAVTADPKAPNVTCILDALDEC QDDDRWRLINMLSQFHINLSSSHRRNNSLKFLVTSRPYDDIQQTFQKTISSLPVIRLR GEDENEQIRKEIDMVIRERVSKLAEELSLKPETKTRLEQKLLRMKHRTYLWLYLAISH VYETYRDSLRPDDESIESLPSSVETAYEKILGKVTQGVRETVKTILRIVVGARRPLTT AEMALALDVATSSHLKSAAEFSINKDHLERNICHWCGLFIFINHSKIYLIHQTAREFL ICEKDTIRTGWKHCFDIADTEMHMSRICVRFLLLKHLERKISYELVTSNTWDFHTKIQ NKSNKNVIEDFLLYSAEHWPGHLRRSEIGENDSLITEVHHLYDITSERFLLWFRLFWF ITEPYQAQPDMNELQLAAFIGHDKVMGTILVDEDVDVNRTDRDGNTALMWASLRGHEK VVQMLLDWGADVNVQGGRYGNPLRVASSGGHEKVVQMLLDWGADVNVQGGRYGNPLRV ASSGGHEKVVQMLLDRGAEINAQGGLYSNPLQAASLRGHEKVVQMLLDRGAEINAQSR YYGNALHAASEGGHEKVVQMLLDRGAEVNTQGGLYSNALQAASLRGHEKVVQMLLDRG AEVNTQGGLYSNALQAASLRGHEKVVQMLLDRGAEVNTQGGLYSNALQAASLRGHEKV VQMLLDWGTGIPAQGGRYGNPLRAASSGGHKKVVQMLLDWGADINVQGGRYGNPLRAA SEEGHEKVVQMLLDQGAEINAQGGLYGNPLQAASLRGHEKVVQMLLDQGAEINAQGGL YGNPLQAASLRGHEKVVQMLLDQGAEINAQGGLYGNPLQAASLRGHEKVVQMLLDQGA EINAQGGLYGNPLQAASLRGHEKVVQMLLDWGADINVQGGRYRNILQAASSEGGEYGN VLLAASSRGHEKIVQMLLDQGAELNAQGGYYSNVLQAASSRGREKVVQMLLNRGAEIN AQGGYYGNALQAASLRGHKKVV EPUS_08802 MANIREWRDAIKDDPAINIYHPPPGVLTRLIEGYKKQKEQNQQP PVTPQPLISTTASSTVAGQGMTLNINLSGETPVPSTIAPASALPLPSSPIPQARDEDA RLLAFIQARIRARPARRAAFNRARDLLISAGVGFSDLASLSNEEWKDISIDVGIKMDL LKNDKIWHLQHPEAVDEVAEHLLSELDLDIPEESKGEEL EPUS_08803 MMRARSDASPILATGWDKTLKMNKGFDNTLLHRLCRNRATDYEM LLFQIAVQNDPHFAETVRRLQLDWIVDDKPATCLERLRCANRRLNSGQKLPPWGDPLI AGDRRPPRLHPCQCKLSRERVPWNSSIYWEGASAELRNALAKRVVRPNSREAQIISQR PQAIDPPPSSTVRNRSGELRALVRAAAGSTDRREKCTRLMKESVADQDMYPTDLIHLV ATGEATTDQKRLFKYNVLRNPAFRTEIYYQQKTYRLNEAFTDERRLLTAYEHSLIDAT GLARAQRSQAGGASSRSAHGRSTAPIGGVVPQRTVPAPRVNTAPTGTTEPTGSAASQR TSTAPRDITTPGESAAFQRSVLAPGDSTPHKDYEVPKANTVPRTGTVPRASAVPGAST IPRASTVARTAVGQRQAERGSPSQPLPFPAIPRPVARRLFSPQKTDFDGFPTREHVSS FRGGLGSQTHGPADIIDLVVENSATMDQLDLFEKRVIEDDEFKAGIVDKLRLLRQHSA MDEVDRLLKVMKRIAIHNLFQV EPUS_08804 MEQVEAPRIPPGVRGSFDEETCRMRLDRLGIEHVPRYPFEYGIQ QIYAILLGEYGRSQIADVISVLHELLEDESVEGYIWRSWRHEWRHRNDDILNPFVPAV GDFVLPPPSSKRIRALKAANFSATEGQILTSSRSSVIDHDEELPSYISSQSLAQSPAE SPDYERTFDTGSVVNHEIVQETRQHENQQSKSDSQQHMRPSTDEDPNDQPVRNENDIL SSKANEDNEDLSQQSPLKSGEVGQPSDQGSSVVQDDGPDKDSQQEFASVTNSDGHHDD PNLLNFEMLGTRPSLLSQHRRTHSEPTDTLVLRPVHSGGPSSDIGRDVEMPYRRWSEY LEPGNDHEAEPGGRPANSTPRAQQADASLGQDEPATCSAVLHMPFTSPMSNAEFNEGL LDKSEAHPAPSAGHQEKTDDDIQQLLPDAAYHDQAVRMPVSIVKSYTAEKTTASIDTA SDHASLHSWRGDKSDAIEANHREISVINGEVLSHAPQQSVSPTSGRFPIDSPGEEEIS QIRSHKCEPNEHGDGVQCSRISAERYPIDGHESSHGKRRTSMLLSLKETQPSKLLRRG RSLLQHMPKLFRKGRGSKQTNHQDPDSIEGGSSTTAGLLRRRSTKTGLRSRIGIGSRP KVGPNRTTPIYSFDGACDEDDTMSTMPGVDLNKALPPQPLLTKSETPATCGTNTPSLA HSYESKTQPSTASTLASELSRVSSKKRFHRTTHDLVHPDMAGSREPSPLHELDADKLL VASKYDPLASPKQPDTPMTFSSFSNANPFMDQYDEFIPPMPVPVIPELRLPDPVKSSR AYGIPQSRSDGQIYSPKTLQVEHTRSKYQLGQSDGTDEHSTTTPRGRVPSRNVPQPTA TLASADEKSASRRAESRKRSRSPVKRFLGLGKSQSMKDIPQDKGANDESDKKAGLKLW GDRLRHGFLVSISSPTIQSILTDFWTNTEPKANTNSPQPEVGRSRAPSQSTFPISIPP TRQAKIQCEVELMICVTANKFLKHQEKAGLMSPESVTKVVGQWKQKNRPQVLEFQFDQ ATQRDLVLYNINTFKFYGEAQTSPIVLNATMYAWKVMAKEMSVRTFCTPDSVIRKHLH DTHKVLEMLGAGLPTFLALQELQVAALKEMADKQKVRVERRRQREKEKEAGGSGTMAG SGTGSAKTRKFTPPTPTTSSFMLTPMGAFLDEETLFESQFEG EPUS_08805 MATIALYLSLLFALLYTLPSSAQDLPQTPATATYDYVVVGCGIA GLVTSMRLSEDENVSVVCLEAGPFDHYEDSIQIPQFIGRDIGSIYDWNITTTLQTQLD GATRPIPLGRAVGGGSIINGMVWNRGNQDDYNSWQSLGNPGWAWDDLLPYFKKSETFT PIFYEGVAQQPVTFVPDVHGRDGPVSVSYPNYYWPQTDNWFRALNQLGVATVYDPDEG TAAGGYFLASDIQPNNQTRSDARRTYYDPFLGRRNYNVFQNSHVTRILFDNQRQQNDH VSLHATGVEYAADAASPRQTVLARREVILAAGAIHTPQLLQLSGVGPSALLNSLNIPV AQDLPGVGRNLQDHCLVYVNYPCKSNTPFGQSQCLMSNTDQNQSLTTPNECNTNTTFN NEAANEYRTSKTGPWTGMPSSGVAFPSLQQIMPQIPPATSEDLVNLLSAAQGRENWTA YLPSTYDASLRLGYEVQLSTLLPRLSQNITPAWEQAELS EPUS_08806 MLGKIIAPPRAGLQRRTVARASRQLHLAPPFLVDEYIPRYHLLS SVDASHKRSLAYAHLRHCNLCPRLCSVNRYERSGFCLIGAETVKVNVISPHFGEEPCI QGHNGSGSIFFSGCNLRCVFCQNHDIAHQRKGFDLTPEDLADWMIKLQDVGKVHNINF VTPEHVVPQVALAILQGREIGLKVPIIYNTSAFDSLDSLELMDGLVDVYLPDLKAWHK ETSKRLLKAVNYTETAMESIKAMYKQVGDLTFTGDGIAKKGLLVRHLVMPGKEDEGVA IMKWLAQSISKDTFVNIMEQYHPDAHVGKKKRGPSQPRSMSEEVRYADINRAATADEV GAVTKAAREAGLWRFAEAAKHGGFNL EPUS_08807 MASSNTQLLYACIAHGNTILTEHTSPGASSTSASSLASLILPKI SHSSPQKLTYTHDRLFVHYIADSPSSSSNAPDEELSSHAALTYLTVAQADVGRRIPFA FLLELKKKFLAQYPPENTNFQALPPYGSAAFNTTLRSMLSTYNTAPPADALSNAKREI DDVKHIMTENIERVLERGERIDLLVDKTDRLGGSARDFRVRSRGLRRQMWWKNVKIMV LLCVVVVFLMYLFVGMGCGLPAWGKCVG EPUS_08808 MHASEDEDAELRNALYRSALESSKFGPLGHLCMEPLPQELESVV LYRSVELARLWGHDTDTLVFIGAPPKQPDQAAEAYGRIAAHFVRAHRIHSKNVLNLGS EKLGGLLAPTSQFRTERRLKNQRLLLGGRPSGIKYLLDLRPPIEGEEALVLVTELSCS SGVLSWFKAQQKYGIPRTMVCGQDDIALLPLPKPSTRVNYRDQHPEPRKKKLHTTQQP HGDPSLTEPQEDDAPSQDKEPKRSRIGNWEGIFPTAPPILDEAVNNQAESDPTANANT DREPSGPGTDSGINATSEEQAQVQPEYSQLRHWSSIERLLHAIEGNDPMLDSAPKLWN FVSMAKFFGCASNERISGWVTKWLFTAPNHNFIQCNPEICYRIGLDIQSEALLKDAFS ILVGEKALINVHHEHSAVRSLNIQSSVAGRRLELLDDDDVNRIDHAANIFIKRIQSTY EALIGQDMHWLEQSTVFSILANFVAHSNREKQIAQQLKEQIKTFVRARVLWVLARNYE GDMPEMEQAPESVRPFYPHASAQFSRYTELGEEERIFSRFFWLALRKEDFEVGDNAVF TLPLIRNGSSLQGVPCPGWSSLARNLQGGSTKNKLIPIERDKLCASAASFMKILNERY WKGRSKQKDHGSFLNKILHPQRQNANSIPTEAQPRTPFCQALPDEEIEFDNRASTSAM QHFEDLHLSSPKRARATDPMRHPTEEKRTRLDVYGMLGRSDQTNNKQTDLPIRVAVPQ GMSNAMPVEGPRLMKVAQWQAVESPEAHEPRFAYVETEVSINDSSPASDEKTDAWQPL FEEPAHVRTLKEKGPERPNGPDYYDEHSFPIEKLLNEVTQAVSRICDEVLLAPHFFQD DEIPPTDLIDSLMSLTDDEWKYLPLWAGGCDDGSGGVFNDLEPPILEAGGFDGGTRGL GCSRESSAIGSSSSWSEIISTVGKASKEAVDGTATETATVRSLDDVDMDVRSLDDESS TRQRETETMESMGFSSAYDLDANDNIENPDSNNDDVDLEEPEWYDCDM EPUS_08809 MESGFKRFFLRKKSKSKLDLTKTPPLNTLLQTARYDEFAAGPAP TVGARPIKPSQKGMRRKSFSHLRAKSVGAREARQLGHSNSDARPRTAPNIQPPLLNGL DPSNSSYQSGISQNETLVRSESMQTPPNVPELPKASAEMRGPKYSDLLQAAVFASKTK LPSEAKRTSFDRYNESIASRNSRYAGPPAILKNKGVVRDPPTHRDKSAPLTDSVDTHG ASFGAMRASRDAKNPRTHAAAGRPGTGMPQASAAKVNDIVPAATANNAEREGPLKPGS GSPQDIVPPLTPSESRRSKRKSIRQTDLSSQLAPALPGQPAPLVPLLDPSARLATRKR SKTLPDPARQDNVPDWRRIGKPAILTLKPFEAGSGELAAADSTLDSSISPGVSSTQPN ITIEDLVSTPQPLDVTSADVPPRVSSNRSRRKRDKDKDHSRDLVGGPRNKVSEVVLRV RSASQEKATPSRELMDLTADGPARKAEDVNQNDYDNDREETIAPKADPLQALRASVVS ANSYIQRGGDDVMLEEILHHRPSQSQPQHEIDSHPSTPGDVHLGAQPDKKDETSPAVD SHSPTGTTALNPALQPPALHLDVTPSDSRPAKPPLKPSAANASPKVSQQEPALGRTNL PISTHRETSFSSEDILPRAEADTTQHPSSTQAIAKAPSTNSPSNNDSAAVLQRHEDIT PPSSMEDRVPAILTRDFAPSQVPPRGRAGEVIGGPQSTVAHELCTQKPEQNRSSRRGG STHIGQAELGSQLSELDRSFAAKKQAAAEALLKLQALMAMPTWDETSTIDSLRESTKM PSHWRNLSIEDGSPVAPSDIFKRVKMPILSPPLSRHSTLSSQQGRGVRENPERPMNGR AEGTSTAPPDADLGNVSSIQVQEEHVITSTVPLESSEQLQNKRRGRSDTASSHARGSH SRMGSMVSAMSGTSAHSLPYHMVPARSSSMRDSDSGAGDVGESPKFRVGELGWH EPUS_08810 MCMLLVYKFCTHLQNTSPPNAKFIPCKHHPRLVIEAQSGGPHSI QEKRLSNHLECKFSIFAIICRSNEIDGYTDCFRNAQTVVVHVGHVLPPGTCVPDPSLA VGVKGGAGQGGASSSKLYFRRCDGFYVDVNGEPLPTQREAYQAAQQAQQRSTQQRSPQ PGPVQQDPLPQNPPPQAPPNQDRGSMTGSQILPEERQEICQPTSGLTQQRSSSQSPPR EAAQQQKQERSRQGQQRQR EPUS_08811 MAETSTADNGLSPHSGSTSKPANNSGDVYASTTFSELYENLLAK CRTNPPLLPPVAPFAKTTARTIRAPELGKEIANLSLHPTLEAALHILNLDLPSAHFLL RHMQCEPAWEGMFLHGILHRVEGDYDNARAWYRDVKDSEVFTYAWGKSSESESESRPA KSTNGIDAALGLIADIQSLNETGKGDREELESRSLDEIRAVVAFCAKRFGVQSVADAR EAWTRNEGKIDDKAQAMVVAAPFKPLSVSVSVSVSVSIGIGIIIRRRGGEMAVNESES EATGYITKTMCRNEQGMWQIEPKFHPLSDLSLHPLYR EPUS_08812 MAASFLQFCATCEKQIFTPSNSILYCSEACRRKDSCKPLSLCAG AMTPSTTPPTSTPSSSPRPILPARIPTGSSYTSASFQSRLPTDHHNAKSDLDPTEWKP KIPRRGVSSTTTTTTTTTSSSSNNSEAFRYLSRFNQSTTTPNGEDMTTERIQRPSLPK ANNNHHHHSTTSITSLLNNNTITTNGSTPSLSQTPTTTPSSLSTSIDYTTTTPNYDFN TRPLPPRHDPSYSSSAGAIKGGYDLVMPYVAPPAPPVVAGSAPDHVHLDGEAWEKQTV RKLAPAAGSEGEVREGLGKLFGGSGGAGAGDGMEKMNKKKMGEM EPUS_08813 MEEEKEEEDAYTVLNAPGSGLRDIRPSIPPERRYGHEMTFTGFD GRGDGDGRCRRIDFIHVDVGSGTSVNSSDGDGDTDGDEDPTRQYRREAENTSEDRDQD EANANANAKRNENEDEDDAASPWHITGYAVLPNRFDDGVYMSDHRAVVGDLLLR EPUS_08814 MEKHSEGGGRRTSVSSHILADPEDKAVSFDEAVPFDQQATKNLL RKLDYHLVPFLALLYLLSFLDRTNIGNARLAELEVDLGMEGLDYNIALAIFFPFYVAA EIPSNMMLKKLRPSIWFTFIMMAWAICTTLMGVVQDFPGLLVCRAFLGVAEGGLFPGV TYYITMWYRRHECGFRMALFFSAATAAGAFGGLLARGLSEMSGLGGLRGWAWIFIIEG ILTFLVACVAYWVINDYPKTAKFLTHSERTEVQRRLKDDRSSLADEFDLRYFHQAIRD WKIYVHMFITIGIYTPLYSIALFLPTIVRSMGYTNERAQLMTVPPYVVACVFTIGAGY GADRAGQRGIFMMTFELVAIAGFTMLISSGRPAVQYVGTFFAASGHSRPAPTGIYPLV PLGVAWNGNNIGGSLKRGVGIAMHVGFGNLGGVIAAFVYQSRYGPRFFNGHGILIGTI TMSFVLSTLMTIYLRRENARRDKEAAALGKMTLDDYTQEQKDEQRHRGDYATFFRYTI EPUS_08815 MKCHHIISLVLALSAPIAAFKDNGQLQKADGEKNTTYVTRYVTV CPTPKTCEFASPTAVPSTRSHPSGSRSSESGKPTGSSSARPNSFVKPTSPPHPTGSDS SSHYLPTSAGNTRSSNSTTPQHPTGSKSSSYRMPTGTGRTSSRTSVNSTSQYYPTGSS YSSSVKITKSANTTKSETHPTSSRASASSSSTTSATSSSVRSSPTECVPKATAVPNPR SGSTCGKKGGTSATGIADSYTTDASSCALACHYKSRCVAFSYDTSNPDLPLCRLYATR VSSGINDYGMYTFYDSTCFQVVEDCLSRKKRSVGLSEEEIRRIIKA EPUS_04555 MLLTTSLVALIIALGIYLGFTWTRGLDTDAGLHDSRNVFIMYAV GLTVCILVYSISGLIQDGDTRTEYDILEDHLHDYVLPNPAIVQNWGVKAEVFSGKVFF RPATPTPRAAAEASAPTDTGAPPPAPSSPPV EPUS_04556 MSFDRLPSLEAQPTTTRRQDDPQYRDDPEFSHLTETLSTRLFEL TSNISRLSQQISLLGTKRDTERVRERVHDLLEETKEGFKEVGEGVKKIQGWEDLNASQ SNGFHAVCLDSWPPIAYLNDVSRAAVRIVEAINQQSTDAGAGLVAAYTFDAGPNAVVY YLERDTERVAGVFRALLGERVEGWEGSYGEAIKKPAEMELGTLDEKAVETLKAGVSRV ICTGVGGGPEKVDRHLVDERGEPVLE EPUS_04557 MKGDMSTPDRLNERPIYLRSVFLMLALCQTAIHVYSDYSSVHIP VSAPAKTPEPDSRTHKLPPISMRVQNAIPRITQRCAAVCVLVALFGPFIYALFLRQTF WSWHLRFAKLIYSLPRSDARPTGYPPSKPKFMLRSFGVGFLLMLTWETTSFFFSTFLA QEPLKKDQPLSTGSKDPNGTLITGLKATRNLVKTFAFWELVLLAQKNPERRKVIFADI GREGGPAWTQMLSAALNVVQGINSRIEAATTKPRPKDEAPNPDTMEIDSLPCIAPPIK ERPIFASSPPPQTRTEEIESYIDWGAKRIGQSKNPYEPPISKWKGLLKYVTPAGIPPE NLNLRALFRWFSSLLQNSPIGWFFLTTFARKVNTTILGCPHSNAAVIIDAIEATTRML VASLSEDTYGKVISGVPTTVRTFTATVNAIESFVQDHVKEEAGPDEDIEEVEIVLARL KTGLAELLSAFQLYLTDQGLSAVEHRAAQNACAKGRLLPEREERRKEQNRQVAEMEKA RQRERERELEAEAEAQQKGDDGRRNDHKKDGGAEKGKDRAAKKDKDKAKQNAERRPQK RLEPQDPARKKLFQNTVPKSMGRNREMEMVR EPUS_04558 MSFDRLPSLEAQPTTTRRQDDPQYRDDPEFSHLTETLSTRLFEL TSNISRLSQQISLLGTKRDTERVRERVHDLLEETKEGFKEVGEGVKKIQGWEDLNASQ KYTVQKLSREFGAAGQEFQVIQRRALEKDRASKAALEETTSPSAEGRPSSQQDQQQQL QTQSPRLAPQGEVDFQESLIIERESEIRNIEQGVSELNELFRDVATIVREQGDVIDAI DLNVENVRNDTRGADTELTQASRYQKRARNKACCLLVIMAIVLVVIVLAVVLG EPUS_04559 MQYVSCEKTLEVLDANLDTADQSLPDVVYSYTSNLWQCCGTDEN NAVTCGTPTSQTFNAPPPAQLRAAFSSSASLSTGSTTSTSSPSSSSILTANASATSSS EGTQASESGSDSGLSGGAIAGVVIGALAGIVLVAGLAFWLGRRRRRIRNSPAHGTPDA NMLLNTYANHKPALKPYSDRPAQELHSMHTTELQGES EPUS_04560 MSSKPVQLLRNTKDPVVSSKGSRDPHRSVQASAQPGKGSSPSPS RPQNRITNPAPATKAKPFTQALSAAETLTRGGPLASDRYYPLTPGLPDDDPNDPLNLQ IRSLIWRNKERDGRKGYLNVIRATLLEYASLSYDEEANEFTDKYDLHIKQLVRVLDEL KKWGHCTLGGQVVRPG EPUS_04561 MARTILRTDDATRIPFSLRPDDYEKPRASSADTRPRNDIVPKAS YPPANDTSNPEDGLTVKPDLLSPEEALELFRTKVADAQQDTQNALAGGEDVSEAVRPK LTLDLGHSRIARLPESVVDLIKVEVERLSLSHNQMWYVPLRLPECSQLRYLNIRTNVF RDIPPVLYKLSLLEILDISRNKIRRISSEVKNLSSLRVFSVAYNRIEELPPEICEMSK LLILKVAENPLRFKLKKTIEAKEAEVALSEMNESERETAVTAEIKRHLRETQPIVTTV DCEPGMDVDESVLETPKPLKRVPSSRFPVRPSMNGIEVTPGDMMTKSPSQPKPPPIPT RSHYRIASGQNGNTVRRPSISPLVKGNEQGRSNSENVLQASAAARSKRMGMMRKEKSD LEPVEEIKNNRVSHLRGVSHGSVLRSRISNAISPGSGLTSPVSPKEGRKQRNVYIKRL SSLPEQSQESGWCSPVVEGAKGILYALYQLHPQISGLISVIKGRDSKRTSLELTYYNA SSHVDRLNEALEQAASVEREDGDGVERAENTVQRDCASCIMAYSHVISQLHENVSKIV ASADARYIRSFMLLIYGSMLEVKNAVKSLNVEVRFQETPIRHNTVKGFRTIEEEFSPP TGVIRSTTPTRNRPGAGTRQNSRLRSDTAFQNSVPRIVADYPPKQTYVPSQIGPTPQA PLYPYGPPGMTNACSSTGFFAHNINSTTSTPDYSLGLRSRSNSRSTSTMTLTDTSIAS SLANTPRSGESFNLPVFQNPMRVNPMTGLSDSQEETVFEEIFLALSRAYDSALQALPI ARRQFARCAEIADEDRRPKEVREVWRNLLWRCKACLDVSETLHLRLVNMKVKDAGNSG RNDRSFWQLTKSFTQSFIDLVAEMKEAKSLRLLAQDIVVILRPVQKASREAVRLIDSS PWAYLAEAGSQPLPPPPLITNSVVNGFFTNSPHSGHSVYSNGFQQHYPQHPFNASPQA PALTSVQAAMQGVSPSSVALPATPLSAALGPAAQATVPATPASACGDSFFRGDLFARA DVVLGMRPQNANLTFNSRR EPUS_04562 MVETRGPVTLAVTVTMLVLASLFVLFRFVTRIWIVRKVYLDDWF ILAAWAMAVGFSASICAGTATGLGLHEGDIGVGDHGHLRKAGYTLSVLYNPALMLTKT SILVFFLSLSKDELLFRRLNYLTLFVVNVAGGALTFLNIFQCRPTRAAFTYPEPANSS CINIVTLYLSSAPVNIITDLAILLLPMPLLTSMRLPKKQKIILVATFSAGAFVAVVDV VRLAYLQDAAFAPLSAQTGQTGNTKQEERGYDFAWNAALSFMWSAVEVNLGLICACVP SLKPLFLRFLPRFIKDATETSLRDSMDDAPPTVAKQSVPPGNELTNPAAFRAADPKEK NGAYGGEGGFLDFLSSPEDDAFMSRTATNATKVCTARSNFDFYTMHSTKNMLKLSNRE SVRPVAIVTILFFLWGFAYGLLDDLNSQFQRVVGTTPGQGQGLHAAYYGGYFVGPLTL GRFVIKKYGFKASMITGLAVYGCGTLVFWPSSVLGSYVAFIISNFIVGVGLSCLEIAA NPYIALCGPLEYAEVRLNLSQGFQAIGTILSPLLASRVLFKTVNSAPSLLKVQWTYLG IALFGWALALAFYYVNLPEASDEELDEQADKNFVANQTKVGPLRVIWVTLALGVSSQF FYVGAQEAVGNNFGGLFALATPSRSSSDYVTIGHTVFAVGRFLSAFLNYVLQPRWILL VLYLAAIISCVLAMNLSGDALIAAGQLIYLTESGIFAVIYCIGIRGLGIHTKTGSAFM TAAISGGAIFPVIQASVERSRGIRYSFCVAVAAFAVGTVFAIYLNLVPAAKKQVDPVH EDRTARRNKRLAKHHPNESDSLASAQRNQFGLLGIIARRRRTKAENIPTSLHVEDGSP ARRETSTSSTNGADSMEREQINFVRSPSPARVQGSSGVTADLKPWPPSPVDERQGLKH DLAPWPED EPUS_04563 MRLQVLWRNSALKSLQCSRPIILDAQRRAFSIPEQPRRIFQKKR KRRVVQLAAGGGTLLASAAFLSDEIKHGYHATERSARVMSTLAVCVNDYRKTLKLQRD DPNEESLLLKACHKRCAERTLKVLEKNGSIFIKLGQHLSSMGYLLPLEWTTTFVPLQD KCPVSSYESIQELFVEDTGHRIEDEFETFTDLPIGAASLAQVHVATLKDTHQKVAVKV QHPALKEWVPLDLALTRLTFATVRRFFPDYDLSWLSDEMESSLPQELDFALEGQNAMN ASRFFEKNTKVPLIIPEVISASKRILVMSYITGARPDNLEYLDSHHISRDEVSATLAR IFNAMIFTPGAPLHCDPHGGNIAIRHNTSRRQPYNFDIILYDHGLYRTIPEKLRVDYA KLWLAVIDADEPNMRRYAYEVAGITDAQFPLFASAITGRDYSVLTHQGVSTTARDNSE KQAISAGFGEYLLQQLVQLLGKVPRIILLILKTNDLTRSLDEGLQTSQGPVRTFLILA KYASRAVWEEQKQKLRSRRDWYMPANALALISAFTGFVRVEMKLFFYERYLAIRRHLH MD EPUS_04564 MSLNSSTSTPPPATIPQYASATEPPSTSENFNTMPSQKPPLLSP ISHLQIYSAQIPAAYITPVCGAGAGIASGIVTCPLDVIKTKLQAQGGFAQRKNGRISP SSSAYSGMIGTAKVIWRHEGLRGLYRGLNPMLLGYLPTWAVYLTVYEKSREFYETQFN SWWASRCYSSLTAGACSTMLTNPIWVIKTRLMSQSNRGATDGFRAPWHYTSTTDAARK MYRSEGLRAFYSGLTPALLGLTHVAVQFPLYEYFKMKFTGYGIGEHPEHDGGSHWVGI SAATFLSKVCASTATYPHEVLRTRLQTQTRLSRPGHSPEGISYRGGDIHPSDMGRPPG LSSSDGMPTRPRYHGVLKTFQVILHEEGWRAFYAGIGTNLVRAVPAAMTTMLTYEWLK KIIGHLREEGFKQLEPKDAYPSSTLQ EPUS_04565 MNIKISVDGQHGALIARSDAFSPVEPNNSLALVRDQGSNGAHGA DAAPPVPGSPAGFLAVEVTESKETQGGIRVNVMESAGMEKPPASVEIPIRQDVLFTAI GGDGEPGRTGGDGQNGMDGTDGAIATRTSNATPGNDGGSGVQGEMKMKPISSLPALGI HGVAKVVLLAAMATLAAEVEEDVEVQAAHGLNLWVTITTVQPIVLAGDRNLLRRELSL PLGLELGLEQVYEKFQMLPACDGKDGQPGAIIADSLFPGTDGRDGKTTIYVRHADGTR HEYSTRYKLELVDFDVEDENGDGIFEPGEHLFIRRIRVKNTGGMPSPTCPIPITAVAS RWLAPVAGDAGRTFLPSSIAVQELVTLEGCIKVLIRRDEHPPACGSVFFQQDLLSIIA TMPWLDRSLPYFSFRRAVDIQYPCELRNFETLASLAQGSTNKIAWEVFNKSNKTLGHT APSPRCIEVDASFPADYGALLSSTETWSDEVGQAVASISAREASHLEQVLRISPDAQS YQHVVFQLSLYISDPHQEPSDEAHTRDKVSLIQQKDITIQISSHYLHSSNSSFLLVTN SETTRHRAEAMQAFIHNELSMEIDLWNVDLYGGLQDRAEENRTRESVLTSYEGKTLLF LGDQFQFFRAGQRQITQLCDPRCLAQAALRETSCLFLGSSGHQGFESLTKALISPPSC RVSEIEQHIQASSRFLNVEEMTLSICQERVLGSSNLTTYVMPVQSRWYRLGKASPWSE AKKAVKYLRNHLPQERFLVSIISPVTTDTIKHESSSQPFQEKRNFKNFLSKKKSNADW GSICVLQGVSHRASLVATEAQPLQQVQTSSPARQSAAGRNRILHSHAASLLNPFEKFM IVSSLPNHKRVDIVWSQSTAENTSQPRHSQFIVDAAGLSILSKISTEISVLLHKAPWP DTITFPSRNAPQDKTFQILDLHLPTLSSLLQHPHAKTVAVTIPSCILDILHFTLACTK PQKKRHVVRSALLPTSQRRRNLHTFLQQTFSTLLSGHESHASPEALEEFHKNTKSCHS RFKANKRNTSVLIIRRAAELVGKSEHAFKAGQKSGSDVVPVNQLLNQREWDARVENID QMVARVEQESADARTVLDRMILAP EPUS_04566 MDYVYCQGAGTGVVQQTGCATRGIIQNQLIPGQERKYSVTRENL VVEQIKTVERAQMLALVYALGLAHGTIKRDCPKVFQLQKGTIFSESPKVVQTIKHHIK YAPDSLGDVTSTNDRSIIKRVIAGVHRLSRRGLEVAIAISSEKAKAGKKARKMARQRG RKACRSRHRLRLAHTNLVEEQEEATGDQGTFELVTRAKYSIVLQASITPSLSKPKLRP ELNNMYTTRYDETKMAQSRRLSPIIL EPUS_04567 MSQPSLAPFIRSRPWLKRWMVPFANWYASAQGYRKLGLRADDLI PEENDTVQLALKRLPPKEAYDRVFRLRRAFQASLSHQLLPPEEHTKPEEDIAYLGPII TEIEAENQERDDLEEMFVPKRKQVAASAKKSH EPUS_04568 MDPAQVSTPHSSQTLPLSASSSDTTSSASSFFSKGHPTRSGSNA SSIASSPAVRDSLDMYNSSKRHLTDVKEEPLELQDAEMMEAAGSQSGDEQINATVQSP APLSTANLPSVAHYDFNENETAESPISAASSFKKRRSGDSPASVVANRIGTRFPSFSR QWKSKTGGSPKLSIITHTDTTRSRTNSVSSQLVSPALSVISKHESLLCSSPAQAGPEE SSAEAGSALVNIEEANAYFGQDEGQATTPLLPPIMMDISPFNMPVQSPLQSPTVAETP TSTGCATPSGTPQLPCLPSPPLSTKPSIASIRQRSRAGTVVPSSEIPPLQMLGEGDDD QWSVKLGHANFTIHPEPYLPKVFDMETFKQLRENWDQARHSYAKHLARTGEHYGTTSK TYLLTEEKWASIDATWQKNTAKMTDAIGPLIARSSSGEADGSDSSCSTNVLEQPPSRV IVPRINDATGKFPDMGDEDIVGPMAVGPSRAATMQQLLEPTVQNRLSKKRNFLKFFSD FLGRGVGSGLRT EPUS_04569 MSAKRPGPPLSPPPIKRKIESTTTNKAVASFFKPASQKEPERLS WRIVNKSLIVGKYAEGLDLSRNTAKSSLPQMIATFDLDDTLIAPSIGSKWSRSASGWR WWHSTIPTRLKELHAKGSRIVLLSNQSTISLKDNPKVIQKDSVSLVNFKSQLAAILQR LDLPISVYAATGQDMYRKPRTGMWREMLEDLDLNGPGMIDMSASLYVGDAAGRAKTST RPKDHSCSDRDLAANIGIRFYTPEEYFLGAEPEPFTREFEPTNFLGVLSGEKTAGTAD REYNKINTQDIILHCGSPGAGKSTFFWKRLEPLGYERVNQDILKTVSACLDFPLGAFL RASPNQLQREKCLKVAREHLGAGRSVAIGEQTTRTMSANYLAMMKLTVEDNTNANPEV RASWIDLARAFKIPIRCVHFTASTRLCEHNDTVRALNPTLMNPENRSVLPPMAFRSFA SRYVKPALSEGFQDITDVDFVPHLLKVLRATRLGTNKTRFQQIGGKVSICLGLKDLPS TLEESVSGNDNRQTLQPEAELACLPIMKSILTFLLSLAGTLICKYGVTINTEQARLRC SMWSESIDFLAVLQQTCNRPAIDPQVDLQ EPUS_04570 MASEYVPILSLLGQLSSASPTRAWQSAPHPHLPIVATATSDRTV SIHSLRDFRILSTISGGHKRSIRSVAWRDDGKKGESVLATGSFDSSVGIWKREDAYTK DEGGPPGGMTEDDLDLSNEGFIGSESIRSEDSEDWQFAVLLTGHDSEVKCVAFSPSNP SLLATSSRDKSLWIWEAVSEEDDYETIAVLTDHSGDVKCVAWHPEREILASGSYDDSI RLWRDIEEEGDWGCIGCIEGHGGTVWGVTWEPRLGKASHEELRLASCSDDLTIRIWKK AAPERREERKPGALPSIIRPPSSTELWQQDSILPQMHSRSIYAIAWSKISGRIVSCGG DGNIFVYKEVESNESEEDDSMQGTDSNGEAPDRRWQVVAQMGGAHDDYEINHVCWAPR QDRGKQHDDEEVILSTGDDGVVRVWTLP EPUS_04571 MALFGSTTSASTSAGNTTGDISKDVALNQPPDDSISDLSFSPTA DFLAVASWDKRLRIYEVSEQGQSQGKAEITFDGPVLNCSWSHDGTKVAGASADKSAKI IDLASNSTAAQQVAAHDQPIRTCRFIESSGNPMLVTGSWDKTVKYWDLRSSTPVANIE NQERVYSMDVKNKLLVIATADRYINIVNLDEPTKFYKTLQSPLKYQTRVVSCFTDAMG FAVGSIEGRCAIQYVEDKDANLNFSFKCHRETPSTGPNRDVSNVFAVNAISFHPQHGT FSTAGSDGTFHFWDKDAKHRLKGYPAVGGTISATDFSRNGNIFAYAVSYDWSRGYTNN TTQTPNKVMLHPIAPEEVKPRAGAKKR EPUS_04572 MSGIMNDDILDSVLDLEETSYQAGFDEGKADGLEAGYTEGRIFG IERGYHKALEMGKLHGRALMLNACLFDPNPMPDNGLDPSPFEAAVVTTQDSKPGNDNN APDPSNLPTLPENSRLKKHVETLLKLTDPQTLSEENTDEAVEAFDDRMKKAVAKAKII DRMIAEPYNMSVSGDPIENESQPTSGSGNIEELNNAAVRH EPUS_04573 MASKDKILPKQGQRNVLITSALPYVNNVPHLGNIVGSVLSADVF SRYNKARGRPTLYVCGTDEYGTATETKALEEKVTPEELCRKYNRIHADVYEWFEIGFD IFGRTPTRQHTEISQDVFTKLYRNGFLEEKWTEQPYCESHNRFLADRFIEGTCPTCGY EDARGDQCDKCGVLLDDPLDLIKPRCKVDGATPVRRRTKHTFLLLGKLQPRIEEFTKL STEKGAWSKNGRIITESWLKKGLKDRGITRDLSWGVPVPLPEYENKVLYVWFEACIGY PSITANYTPEWKQWWHNPDEVKLYQFLGKDNVPFHSVIFPGCQMGSGDNWTMLHHLSA TEYLNYENGKFSKSRGVGVFGNNAKDTGVPPDVWRYYLMKNRPETGDTQFEWQAFIDG NNSELLAKLGNFVNRIIKLVNSPKAYNGTIPDAFSASNLPDTFTTPLSEITDLLIQYL AEMEAVRLRSGILLAMKIAEAGNGLIQSHRLDNALIANHPNLAAAVTGTVLNLIYLCS AVFEPYLPATCASIREQLAVPFLQIPSEEEIAGAGGWKPTYLKAGHRIGKASYLFTKI DDSKAGYWREMYGGNQEERRKKEEEAAKIAAKKAASKAKAKEKKAAKKDGAGKKEADG DGDGKAVKGEEAATVDGEVGAPRDEGEVRIEEGEAMNEVLDGVAQVTLPKS EPUS_04574 MNTQSSLRILQAVLLSGRQQLCAQRPKIQRRCFRSSLTQQTDGV YKALTEMRVRTPWIEALRQSKEDKTQNANLPIEPIKPDLTPKRMSDSYFKCVLPLAQD PWLLDTYANSSGQIRLGTLLMDLDALSGVVAYKHTGEGVTTVTAAVDRITIKNQLHEI CDLELSGQVTFATGRSSMEVSLKIAKVPPKEAERVKEEDVFMTCAFTMVSLDPITKKP VNVAPLKLENDAERQLYARGEENYKSKKALKHTAITQKAPNAEESALLHKMWTDSLAF LDSFNPAQKPNNVSYMSKATVYSAQIMQPQYRNRHNFMIFGGYLLKQTFELAFTCAAA FSHTKPNFLSLDPSTFENPVPVGSVLYLSAGVTFTEPDINGGTRIQVIVTTKVRNVEH KERKSTGTFFYTFHVDNDVRIMPQTYGEFMRWVDGRRRAQRVTASLKANEEAGNTHDT IGTASTERVTE EPUS_04575 MQLVSNISVELSTDVACPLWSQLQLRADVHLPHNDLLKDDNGFT ALKLEVRQQIAGGNHQPEHACQHSYEVSNGENSKPPAGIPTLVLRAVNIFFTFSCLRT TTLVPQKRSSCEENILPDQTDSPRVSGDGVLNPAGFDEQSIGIDKTQLAASQRFGNAP FLDGFDANNLMIPSAPNQISSDTSADLFSSAFEDDSDETQSIVFSGQESAFVQSQDES REAGFRESSSSCFLSMNALIDGAFRSIICPKPIRTAPGIKMERSNLERGLADIAPSTF SPGYRKAVAARGPLVPTIARFLTSFLQKAKCFRLITKKDELIQQFPKLQSTGNLQTDQ TGEEGAKLKEVVKMHLWMTMTNALRDPQPARRLKPLQHFRKSVVVDSNESLDIEQAEL ECSGFDRESDDQMLEEDYNALFEPIYHDASYDYDMLENLDEEDEADNFEEYEPDLFEE YEEWLRSACEHENTGSTELLFDNNRCCSESEFISQETSTALLSPMLQPQEKFHEPGQV ELASDTAPPTHPRIFPVLSLGDLDATTDDGGDGDWTDLLDEADPGESGDVAADRSLAR PIASLHDEYEPSFEQWEFDREQGYDAAEPSLSAVPTVLSDSLLLLSPATPWANAPPTK PFSSAKAFKSLAGSKRRSLAKRVLGDAGVVGPAGDLAEAGGDAAGAVLALVAVDQQRL VALVEHEPEDARHRGGRGGDGDVVVLDAGEGHEGGVGGGERVRGQGDDGLEAVGFQGG EVRRLRVRAAVDAGSLSAGRSRRASAVAICLPPW EPUS_04576 MSTQRRLRYADIGINLGDPVFRGVYHGKPAHDDDLRDVIQRALE VGCEKMMVTGSDLKESAHAIQLTEEFPGICYATVGVHPCSSEQFDKHPHGPDAALAEL KKLAIQSRDSGHAVAFGEIGLDYDRLMLAPKETQLRYFEAQLDLATELQMPLFLHSRA CSEDFERLLKPRLDNLPKRGLVHSFTGTLDEMQRLVTMGFDIGINGCSMKTEANIAVV REVPLERLQIETDGPWCEIRPSHASSKYMDDAAKLSMKAVKKEKWQKGVMVKGRNEPV TIMQVAHVIAKIKNISIEKLSEAAWVNSIQMFGLGIPLN EPUS_04577 MSTGLVSIEEISKHDSPSDCWLVIDNQVWDMTEFAPSHPGGPGI IHRYAGRDATQAYSEIHAPSIIKDNLPAINLKGSLDPSTINDEWLKPPPTAPQATPPG DNSQPDLDTIINAHDFELAAQKTSTAKTWAFYSSAANDLVTRDANRSLYSRIWFRPRV MRNDELEVAMRNCGVTSLEEVGPWLVNTADLDHLVPAEEGHPYARDWRRGKRENGLKA KL EPUS_04578 MFVFKRDGRKERVQFDKITARVSRLCYGLDPEHVDAAAITQKVI SGVYQGVTTIELDNLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQFSAVVSDLY HYINPKNNKPAPMISKDTYECVMRHSEELNSAIVYDRDFNYQYFGFKTLERSYLLKIG KQVAERPQHMLMRVSVGIHGDDIEKAIETYNLMSQKFFTHASPTLFNAGTPQGQLASC FLIDMKEDSIEGIYDTLKTCAMISKNAGGIGLNVHCIRATGSYIGGTNGSSNGLTPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFDFLDLRKNHGKEESRARDLFYALWT PDLFMKRVEKNLDWTLFCPHEAPGLADVYGDEFEALYERYEKEGRGRKAVKAQKLWYA ILESQTETGTPYMLYKDACNKKSNQKNLGTIRSSNLCTEIVEYSAPDEVAVCNLASLA LPTYVDSGRGEYDFGRLHDVVQVVTRNLNRVIERSHYPVEEARRSNFRHRPIGLGVQG LADAFLALRLPFDSPEARQLNIQIFETIYHGALTASCELAKELGTYETYEGSPVSKGE LQYDMWGVTPSDLWDWASLKADIAKHGVRNSLLVAPMPTASTSQILGNNECFEPYTSN IYSRRVLAGEFQVVNPWLLKDLVDLGLWSDNMKNRIIADGGSVQNIPNIPADIKALYK TVWEISQRTIVQMAADRGAFIDQSQSLNIHLKEPTMGKITSMHFAGWKLGLKTGMYYL RTMAASAPIQFTVDQEQLQVVDTNVARQRAAPKKRLTSSGYNGSYAAIPRPMYAQKGS GHGSATSVNGMPTPTATPPPTSEQKTFAPAAIKKASRLSEGEDSDESSPRALPTDPAE TPDQDEGLPDPVTRTDKKTQEEDDKENNEDREGDIYAQKVLMCSIQNKEECVMCSG EPUS_04579 MGLVKLSTPFILAASLLSSVAQAAPALHAIKARDYVTEIVTETV WTTVDITTTLYVDELPSTSAPATASAEVTSAEKIESTPEPTSLPAAEPAPTSSEDAPI AASSAAGEFAESSTSPTPEFTAPAPSVPAESPPASVPSASATPEEPTPVVVPTPEIPT SSAPAAYEAPTPSPVSSVAENGSCEGGSTCTGDVTHWDGGLGACGTVVDTESDMAIAL PHGFMGPLSNSNPYCGRTVTIETTSGSTVQATVRDKCMGCEGRSIDLTNKAFNAVTDG KGDGRVKNIKWHFSDY EPUS_04580 MAYPREVEITSPHRTVSAVPERPFLKLLLVAQDITIDETLLVGG VNGSYNT EPUS_04581 MQFSKIAAVLSFAAAAVAAPAPVAAPAAALEARTTYPTNACKTN GKYSAKGSSCNGGEEFYCYQVNGILNILNVNCLLNGNDVNVPINVVVGDISADLLDII IKSVKIL EPUS_04582 MRRESLSRHGVLDKVPGTGGVELFRDARPENRRETARMGDKRAR KLGEDEMEMGRAPRTGVEGRLEGYKGMGRAWSNERTLGGGYSFASTIALLRITASVPG SMGFIHGSRSLEASFVGQSTKNDDQLLSIPLHHALAFLHAVELGLLPDQHKKWRXXXX XXXXXXXXXXXXXXXDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDAER HGQDGGGYFATIIRYWLG EPUS_04583 MSESKGDAQPHNEAEAPPTSAPVTKPVTADDDSDPDFDDLDGDI FRSCLLYSLRLPRCLRPDVLDQFSSAHEQPTPQPNVQPQQPTPSSSGPGRPSSSGTTP MAAPSLMTPESSESEEAFLARLALEMSSVLGNLNPDPSASTASAEDISTMGKELEEFT STMEKQGLKPEDLLKAILGDDLPTEAPPPSAIPSTDSKPQPSAQNPSKASSSSSGEKE SFDSTIRRTMERMQASDSNATNAATKPTAEGTTGEEEDMLATLLKALETSRRWHQRHH LCWRPQLRRPPQQDELDSKYPEWLAKKKGELPDEDYKRYEGQRGVVREIVAKFEEKAY SDEDSRCREFIWERMQKMQAMGSPPEDLIANPFPGMMGGGGGSGKQDDAGCPTQ EPUS_04584 MYTIATPTAPVTFEQSQHDFSPKTPSPLRTSRNANLMPPPLPPP PPQWSSSPPLLDTKSSPLAHYAPVQQAFSLTPRPQPRRASTPSIFAISSTSTSTTSMS TNANVTTSSATFASRSRASTPTATLSAHAAAAKDRRRTLFRDRIRKQRDDARAPATAV AEELDGDGRMEGMDGLGGEADGIVPLTEEEEIEALAQYLLEREDEDEDEDEEMRLREN GDERRGWEEQWQRRRLSDHEGFRSGGSGSYGSDEEDYDQLFMEVISGSQEQGGPGRWP VQQQQWGQDSGLDADQGQLSSSMDLS EPUS_04585 MLVLPSVKYAPQTDVLNGSDIAAGWAVLKAFKTPPMVIYNCGAN AGFSQDHKHLQVFPLPSSMGQGLFPARATSSKHIEDMISNVPFKHFVMRITATATARD VFEKYQRLLIETQKALKAAQTGHDYNVIFTADWIVLIPRRTAVWSGPFGANASGMLGT VVVPSRQQRNQWAELGYTEYLARLGIPWD EPUS_04586 MRYVPKPRSNVPPRFLTLDSPETNNCKRPTFGCRLSLRKHTTNA LLNQLEVRAEFISLLLGQPVVNFLPSASFETFDGNGQLQKLDFICQQPWWGFGHMQWP VSIWTSLEKRSGMTTCLITAASHDQVIEAVQTRLTFAFDASSRLSAARYNAADPLFVP TLISHECFMQSDSSLCDLDKRLNDSIDIVDAAAETPYDRNIMKSLTFKLHQTSQEADF LIHSADAGIALMDSLRLAQERLVYFGGCREKQEHSQSINAADYLKNGVEARKRWLLNT KTRKETAMSLVYNLVSQKEAETNMGIAQDTRNDSSSMKVIAALTMVFLPASAVSSFFG MAFFDGQAGNLTVTNEWWMFLAVTVPLTTAVVIIWRLWGFFGHRAPSSSPKRLQDFKT RQTWFRLFTGSPRVDWINGEKGPHEV EPUS_04587 MHTASRIPSLLLFMLFVVSAVIAADADAHFHLHRRQVQSTVAGT GGSASAAPSSSAVQSQSSQSRFQSQSQSQSQSGSESELVSTSAPPSPSSTAIVTTTRS PSSPAAPASSIPAPTTEVEISSTPTATQISDAPIATAESPTAVQSQTALITTTAAPSG DTDSPALITTTIVTVSGSSTISRVITSSASPSGEPTSTDSPTLNGGDGGSGGSGVTPS QRNIIIGVVVGIGGAILVGALAVVAWRIWGRKKQETDDDMYDPNKTFQETSSSGSAPN PFKSTLDQYHNPGRVNTAANF EPUS_04588 MSVNDMIAWHQHLQTPVLFNHHEPYAVNSSTISHIDLNNIKSTP RAISNQERVLILTPLRNAAPYLTKHFDLLSDLTYPHDLIDLAFLVSDSTDDTLAVLAS ELDRIQKREDKIRFRSATIVEKDFGVGVEMEVEEKHAYKAQGPRRKAMARARNYLLYA ALKPEHSWVYWRDVDIVDSPKKILEDFMAHDRDILVPNIWFHRYDNGQDIEGRFDYNS WIESPQGLKLAASQDKDTIIVEGYKEFKTGRKHLAKMGDWRFNKDEEVELDGIGGVNI LVKADVHRSGINFPCYAFENQAETEGFAKMAKRAGYQVYGLPNYVVWHIDTKEKPGNA EPUS_04589 MARSRARSSGEMPKEPHVVSLKVLRLSRPSLAEQHPLPLEDPRC TASLAYPSEHIDHVFALSPLLTLPPSFGSTYVGEMFACSLCANNELMPGETSRMVISI GISAEMQTPSQTIPLELETLTQQDEEKAFTPGSSIQKIVRFDLKEEGNHVLAVNITYM ENTLAAEIGSAATGGRSRTFRKLYQFVAQPCLSVRTKATELSSHEIEDKTLGPYGKSK LLRFALEAQLENVADMAITLEQTSLDVRAPFKSTSMNCDGDLLIESSFKSMLSPRDVL QVAYLVEQQGEVTDGLDTLRSDMKRDGRTALGQLSIEWRGPMGERGFLTTGNLLTRRI VA EPUS_04590 MATRSRKVQPALKEGVEEFRAKASVSKTSLSHNAEAPPSRKRKL QDVAVAKKPCSAGKEEKPNSYREQLCIPSPTKKSQRSKSPQGSYEKRMKRYRKQAPHS YLQKLQRAQTQRMIVLKRTRAGTEAFPSEDIDLVGSTGNIYTVTIGQIPSCTCPDHQK GNECKHKVYVLHNVLKAPEHLQYQLAFLSSELRDIFASAPAIPTDISSADDCGGKRKP VEGECPICYMDFDEAHNELVWCKAACGNNMHKSCFDQWAASQREVGVKCVYCRTPWHV DPGDLNEVKKAGSIGADGYVNVGEQFGMSQARDYSTYHPFWVRRHLGTAWSIRWFLRG GIQHKYSVHKDTDARK EPUS_04591 MMAGPPPSEKDTTSDHSASGFTAVNGREPLPNGTNGRAETVGKA RNEPLDRREQQATSHTTGRQHSPRPSQDSSRKEMPNGNQPYSSPHEMDTPATSPGKRK RSLTDDGRDSSGSSHYDLSPPRRVSGSPAGVVDPRIQRAPEPERSHASYVNGSNGIEP HNARDHNIAWPTDRQAPPSYQTNGHHVDSSDAQLAEALQRETQAQNSHRTWGIGGRPD DEHTDQYGAYATDRASQGAVQATSKRKRVFSNRTKTGCMTCRKRKKKCDEGHPYCHNC MRGGFTCEGYNTRTTWQKQSNAKGPVPLQSKDGYEGSDHTYSANSHSPRAKRESAPYE GGHQMRPIVVEEGDQQTQYVTSPTSAGPRNRSSYSQPWVRGTSYLPEQARQDLPPFSE IAREPGKPAYSVPPLRDYPRAHGTSQQPSATWPAHPADYRQGYPSGQVDPRSLQGVAR EGLSMEETQKTMMKGDELQKEKMLNGQLFKYNDPLLVEDRKRCKIALKQFHDADNPTM GVAETERARKLREVFAPTRNPLTNMPYAFKWDPMGAIGPGSVVETPFRCQYGYNIRIG EDVYIGENCTIIDSCTVSIGAKTWIGQNVQILTSMAHTSMNMRAGSGANWQGKAVTIA EDVHIGAGAIIYPGVTIHRGAQVEPGAVVKKDVEMYAQGATLDFML EPUS_04592 MHRVGAWFQPRKLHHSASTQSLDALVEAQNLEAAMRAVTLIMND DVEGAERGLAQGNSSYHKLGMGVIVFLRATLGFEQDVIKEASERLAEAESTATLDLYK AQRSERYNAGGLYAPGSEFALCNALAQITSAVVAVLNESLTDAIRAFYKLRKAYITLN GLVEEEDRAMKARGFGSHSASKHPSSESLKSSGVSSTASKALPGSFDTNSRSTRSRAH PLSRSRTTENMFQGKPQNQKTDDDDDEFYDADDAHNENPTKTYTGHLDTGLPKVNSKL NNMSLSEGEGGQDTDSNHSEDLPPAIKVSRIDLRRFSHDPDSHIFTNPIDVFVHSGVN LCFGLLLLLISAIPPAFSKLLYIIGFRGDRERGIKMLWQASKFHNINGALAGLALLGW YNGLVGFCDIVPDANPEDPDDVEGYPAARLEALLAEMRSRYPDSLMWRIEEARMASAH RDLDSAIRLLSHTGKSSLQQVEALNMFEKSLTALYCHRYQLCSDSFIACVELNSWSRA LYYYIAGSAHLAMYRDFRSVGKRDEAAKQAIIAEKYFKLAPTHTGKKKIMARQLPFDV FVARKVTKWTARAEAWNCDFVDAIGVSPSEEMVFFWNGYKRMTAAQLEHSLRNLAWSE DPEQNSNWKREELDEHAILAVLRASIYRHLRKHDEAKALLQKEVLCHDKILFKGQNRD DWTAPTAHYEMGVNLWMQRSQYLREYGAGMTDPSTDRATLAQHSSTDLAPGQARNAKE LLDIDIQKDARLVSECREYIEKASKWERYDLDARVGLKITTAGDALRKWEAKHGPLKK EPUS_04593 MSGAAQGSSTTAKLGEDEKAGREPQKEQQTQQPALLEEDDEFED FPVEDWTEEESKVPGGNAHLWEESWDDDDQNEDFSKQLREELKKVEAAKSS EPUS_04594 MSLSNKLAITDIDLKDKRVLIRVDFNVPLDSEKKVTNNQRIVGA VPTIKHAIDHGAKAVILMSHLGRPDGKANPKYSLKPVVPELEKLLGKDVTFTNDCVGQ EVEEVVNNATGGQVILLENLRFHAEEEGSSKDAEGKKVKADKAAVEQFRKGLTTLGDV YINDAFGTAHRAHSSMVGVDLPQKAAGFLMKKELDYFAQALENPKRPFLAILGGAKVS DKIQLIDNLLGKVNSLIICGGMAFTFKKTLDNVKIGNSLFDEAGSKKCEDLIKKAKEK NVKIVFPVDYITADKFAPDAKTGYATDEQGIEDGWMGLDCGEKSIKLYKETIDEAKTI LWNGPPGVFEMDPFATGTKAAMDAAVEAAQSGKIVIIGGGDTATVAAKYGVEDKLSHV STGGGASLELLEGKELPGVSALSSK EPUS_04595 MAITMRLIAKFNAYYAEKPVLTTMITNAQPPHLHRADRPERKTR TNGPPPFDFERLTRFMAYGFLMAPVQFQWFGFLSRAFPISKTRGTIPAMQRVAMDQLI FAPIGLGIFFTFMTVAEGGGKRAVFKKFQDVYLPALRANYMVWPAVQILNFRTVPIQF QIPFVSTVGIAWTAYLSLTNSADEE EPUS_04596 MPRPGQVLGLVGTNGIGKSTALKILSGKLKPNLGRYDSPPDWEE ILKYFRGSELQNYFTKVLEDDLKAVVKPQYVDQLPKAVKGTDKSVEPLIKARSQMDNM DHIMSVLELEQVKDRDIGLLSGGELQRFAIALVCVQQADVYMFDEPSSFLDVKQRLVA ARTMRELLRPDDYVIVVEHDLSVLDYLSDFICVLYGRPAVYGVVTLPASVREGINIFL DGNIPTENLRFREESLTFRIAEAGEDYMTDKARGFTYPAMQKTLGSFHLSIESGSFTD SEIIVMMGENGTGKTTFCKMLAGAEKPDGTQKVPPMNISMKPQKITPKFTGTVRQLFF KKIKAAFLSPQFQTDVYKPLKIDDFIDQEVQNLSGGELQRVAIVLALGLPADIYLIDE PSAYLDSEQRIIAARVIKRFIMHAKKTAFIVEHDFIMATYLADRVIVFGGQPSRDANA SAPESLLTGCNRFLKNLDVTFRRDPNSYRPRINKHQSQLDQEQKLAGNFFFLEEES EPUS_04597 MHPSSRMETSLPFPNDNDSSAVGKPLSNGVSFSDSESELSEPSD LPVPPASSSSEERFNNVANHLNHSEDEMEDAVGSEDDGDYNMDMSMHQQDEASSQRSS SDESSRPPKRKASNTAIDDEDYIRNNPDLYGLRRSHRARPSRPIHDDSTDQNDSDSDI AVRPRKRLRQSSRQSSKQATPMNGSAGDSDNDSDNYGGARARLTKKQRRRFLESGGNL APTHAEVRFSTRRAAKVSNYNEDDDDDIFEQDDSEMMTPNYWPAGQEDSRPAIDIVLN HRLKETTTTLSPSKDDFEYMIKWQQKAYYHATWETTETLANCRSVRRLENYIKKTLSE DLRIMHDPDISPEEKEKWILDREQYVDALVDYSKVERVIGKRDGEEGTEYLVKWKGLS YDLSTWEPASLVSDIAQTEVDRYIDRTSQLPVSDKTESNPATRSGPQRISEQPSYIQN GVLRDFQMTGLNFLAYNWAKGKNVVLADEMGLGKTVQTVAFINWLRHARGQQGPFIVV VPLSTMPAWADTFDLWTPDINYVVYSGKQEARNVIKEFELLVNGNIRQPKFHVLLTTY EYVLHDAAFLSQMKWQFLAVDEAHRLKNRESQLYDRLKEFKAPSRLLITGTPVQNNLG ELSALFDFLMPGIVNIDETMDLSSEAASAKIAELTEAIKPYMLRRTKQKVEKDLPPKS EKIIRVELSDIQLEYYRNILTKNYAALNQGSKGQKQSLLNIMMELKKASNHPFMFPSA EDRLLPQDARREEMLRTLITSSGKMMLLDQLLAKLKRDNHRVLIFSQMVKMLDILGDY MDARGHAYQRLDGTIAAGARRIAIDHFNAPGSNDFCFLLSTRAGGLGINLMTADTVII FDSDWNPQADLQAMARAHRIGQMKPVSVYRLVSKDTVEEEILERARNKLMLEYLTIQQ GVTDKETQARRNALVGEPGNSSEISRILKKRGQKMFDQTDNQKKLEELDLDSVLEKAE DYKVEQPEGTEADGGEEFLRSFDFVDVKVDELSWDDIIPKEQLEAVKAEEKKKVDDQY LAEVIAQNLPRVRNTVAEEREERQAKRQQRTQAMESGSDSEEGVRADPTRPLSEREYR YLIKGHLRYGSIDDRTEEFLAEARLRGRDMDVVRAAMQEIIDRAARLVKEEQERMEAL GRTSSRTLTKKDKKAVLFEHHGVKRINAETILDRPDEMRLLQEVTSAVADPKSFRIPD ATKGAGYSCNWGAREDGMLCVGIARHGYGAWEKIRDDPDLGLSDKLFLEEHRVDKKAE RERQEGKNAKSPGAVHLVRRADYLISVLKGKVIGDPAAKKAVENHHRNNKKNSLLANS NLNGTTSFSASPAPSTHRKAPRDPDKHRQRALSQGHRESMERHSTPRLEHRHSHSVRE DAPKDKKHRHSEPHHHHRHKSSDHQTNGGVSSDGGDEMLKLIFKPVRESLKVIQSATK SNLPDAPARANILREHLRIIGNFIRSTLEDDGAALEGRLWDYTATYWPNKGIKGHELQ TIYGKIIASDKSIADAASPSGG EPUS_04598 MSSIGQIAMTQVLVRRKRASQRCDRQLWDQAAKALKEDNDSTMD LSVLNGGVPINDVQATVQKTLDQCVRKRWVYTRSNGEKVVLYKILERIVKWVNKFKEV GDITMQYDPAHAALPWAAVRFLLQGAVNSVETFGIMAEGVELTSRIITIYAEVEKTCL KGVSKLKTLLASRLITLYTAVLKYLARAGHYFSQSTGKRVLKGALQTFQSSVSPWLNG IREAEADVSRLVELVQAEDQKNILFAMKNAIHKLQDSITSPKLPDDERRRRVHKWLEA ISTIEKYQASQALRHGETCNWIFARQEFQDWISPTNAIDKCKLQWIHGGPGFGKTILC ARIIDYLTANSSHPVVHFFCVADEDARREPDAILRSWIDQLAQASVDALDIVFDVYKA NELRSPTPFDLWKLFKTLAQEVRCTFVVDGMDECTTINKTSRYRTTDARSKFLESLIQ ASKGTKARILIVSRDSEDIREQLGKLSIDTNPMLFEYGISVNDTQDDICLCSAHMVNT KLARKPAELKSQLASEAAHRSDGMFLWLHLLSRDLDPGENAKRLRRIVSEMPAGIDMA YERDLEKVANLKSYQKERAITILRWILFAARPLTVRELAEAVAISSAETEGTYPEDEL PTSWREGFVDEEYVNAYIRRSCGSLVELRSNGIDESLALQTLHFVHFSVKEYLLRPDT SAPGRSRFEAICFPDGVREHNRLAQLCLQYLCYDVFGGRDQDEIMGTDQHYPFLSYAA RYWYTHALHNQIISEDIMRYAQKLFNPATSNWRVWSKVFDRELGSSEEDHNPSLSSEC STCSEKAQDQEQDGDQDPDQDPDQDPDQDPDQDPDQDDDDDEEEEMAGNTDNDLGHKD RPLLSTQTTGGELEEASNRVASVPQANGSEQFDELWQLKDPSPIYYASMLGLTDIVKA LLSQGFNCATPGGKFGFPLQVAVVYGQQQILEYLLEHGADVRQRGGLYGSAVGAAAAL GFDTIMEILIKAGADLQCEDKNGRNSLHSACHAGSITIVKKILDLRVDLMKASRFGKT PLFEAVESGHCDIVSLLLDYGVDPNTRDDEGSTVLLAAVAHGFKEVTEELIKHGADVE ARNIDGITALQWAAYHDNAELATLLLTSSADIEALDDDNWSALHYAVSSKGNTVAKIL LEKGSKVEVSTNDGWSPLHIAAENGHEELLPLLLQKGADLEAENALSCTSLFSAVWTS KYECVKTLLAHGADIFKTSANGKMVLDEALEQEDKDMIYLLLEHGALCHLATSSQSGD PLEISEERKALSSSVAKAFLNGDSSETLHLIENSDKAHFQDLLDDAILLAALFNAIPL AETLLTKGASLAAMTFNKRTPIHLAASRGFAAMVQSLMDHGADIRAKDIVGSQPLDLA VVRGLRALDASKLLVENGALAQMTENIAPLDAGLKKKLQGSWTGTYTYASWEKDRIDP TSLTLIFVSDEPETNPRRPPLWKCETSDTVGDFQVLGHLLPESNEVRFIKLYETFGWL YLGTLEAEAISISGTWGSNTTLRHGSFKIQKDR EPUS_04599 MAEAISCPRPALSRLSQSTLNCRDSSSKKRKLVEDVVHDPYLQP FTAIPLPDSGSKSTHTFLPITMVDPSQLRLSYLEFAPELASLPPGRTFHADFPIFNDR TPKRDVKLLVARLAPNGGLYIIENEGSGLFVACRLRSWVTENWFGANVTGDVDKAIRE RLCSSDNKSTADDICPKNGLATARVAGIPSPKRPKNKKGVLARMSIMPKMEPGCPSAV KQGQESTLQKEVTADQPPAIETPPADMSSDSSVAARGLKPDVSGALHQPAEMLDAEKG RPVPAPPSFVDDSCSNAHEILLQTLYTSKSTLAYFAKSTLARTRAAFRSSTTASTSEL AEFYRGRLITSKKMDLKYRDTIPKIIENAILQVSPTPSAEKQLPKCSKRKLNSRKKLG KDGLYAGEETFIRTWWLNRDGAKNDLTGVNPRDQEMQALLKELRNRETQLQIILILEI LTLELRQDVRTGGSVSVTSVKKEPDDDSTGILAKTPLKINEKRDLRSDLDILVDRLCI YQSVSIIDPITADEAKKQNGESGNEVSDQLRDFCCNVILPFYRHKAPDLVKEISRKLG GPNLSPKRPNFSSRTSSGTRTKPGTAIDTYRRSIPRQTLERVLSEEQSSRQSSPPMLM RSATAPMGGGNSGSLDPAETVPRPSSRGSLQKSRTFSNREVDLVASSNAHNAKRKKLA NLAKQKEELDAAIHALRKPNRGLVGMEIMTEVERRREERASCRRSNLERRVSDQSLSV QITATPRKGEIVGSMGREVSSLNQTSITQLGDRVNSMPEEPVIPSSTVKPVNNLQNQF LSKTFDTTMTKRHIHLAAYETPSRGSCRTSNPLGLTTSTHLLQQPDLPATTYSGSKPT LVQATPSASRLRADHANLTEVESTPLRMTKSHRPVTMFQSLKKAEVTIEDAFRDAPIV SEKAGKAMDRAMNAGGAGAGKEASIYASLGWDDDVDELL EPUS_04600 MPYNVIPQLERIRLATRLSTTLLFFHSTPWLKDTWCSDDVFFQG IDFKMPQYLPDLNEPYVDVALKDAHAPVPRTSTLQRGNFAPNPFLFGLGVMLLEVSFE APLRSLQQPVDIHTGQEDRNTEFFRAKRVSKSASRPLGDRYTKIVRKCLSCDFGEGDD LNEIPLQEAVNCSVVHELDLLEKKLYEFSILMNESCILRGYQEEGLTPADRPCRVARV RLPERE EPUS_04601 MNSKIYRIENSENPTGRWLQDNPQRWRPSIVKAQDRLVLLRSQL VELNNKRSSVRRSSLDPSPRNDSKTNTGHLATFSHPEPIRIDQSAKNQVTANVNASKN NNLGFTSTFLKACQHLLAEIWKCCSRDQERAVIRQNRVHYDLSRLILWGDLFDSGQLD VCTEKSAEIRDCVLKIFYKIGTTLIKGVLEIEAVASTSPNENIISHVDAVTDHLETTR SMLNLPDDYDETADTDSESSEGADSPKPMETKFHRDLENYILCLTDLSPTLEEILQDV DMSRKDQGNQAQGISPQSIESAKQSVINL EPUS_04602 MSTEKATGQPPHPALLIPGPIELDDDVVQSMSHYAESHVGVPFC NTFGETLSMLRKLFQTTSPQSQPFVIAGSGTLGWDMVAANVVEPGDEVLVLHTGYFAD SFADCFETYGIKATQLKAPVGDRPQLPEIEKALTSKKYKALTVTHVDTSTGVLSHLQS LSELLARVSPSTLFIVDGVCSVGCEELHFDNLRIDVCLTASQKAIGCAPGLSIVMCSG RAMESFHNRKSRPGSYYGSFRNWLPIMQNYETKKPSYFATPPTQLVHALHTTLTQVLS RPLSERFEQHKKMSQKVKKAVADLGLDQVASKPENQANGMTAMYLPEGLTPPEILPSL AKKGVVFAGGLHREIASKYIRFGHMGVSVMDEGRGDIERAIEALREGLAEVQRERGDK S EPUS_04603 MRSSIILALVASSSLASAAYKGFNYGASFTNGAVKQQSDYEAAF NAAKSLPGAPGYNSARLFTTMQGGTANGTANTPISAIPAALNTDTTLLLGLWCSAGDA VFNNELAALTNAIAQYGQPFVDKITGISVGSEDLYRASAIARENGEVNPGATPDTIAR YIGQVRSALSSTIANRIPIGHVDTWTAWVDGANTAVINACDFIGMDAYPYFQFQDVGN ANAVFWEAYDNTDAATGDRPVWITETGWPVTGRTLGQAVPGIENAQRYWKEVQCQATA RGINTYWYILNDGPSTPSFSVLGGPEGQSLDSRPLYDLSC EPUS_04604 MPDTQSAWNAPREPHNADMSLKCMPLATSADQHHRICVRIDQES SGDPTTNSITKRFTTVSLPISHPVFSTNAIDVLAPFSRPSGGIPLRTFSPSDSITFVA HASEDNLSAEQFEVIWQFKLFAQGIHCTVISEVAKVNILTLEGTEEAPRKIVGEYTGE EHYLGWKEEHLKKFTDEYICFSFLQTSDCVKDHGSADFVNLQLPRWLSSAELSAFSQP SVSALDRSLEETISATRNGKTKAKKTFKYGRSSANKGRNGKVSQLTDSHSRSVSHRRD WASKNGNLKLSSKKAVRPNNIYSKSAWYHGKTGASHRKDQNRLIQKRSVLPSANAQLT EINPFPQPVTSLRDANGLSAAVCKTTKPQGCISAQPPNRKPLNRSSPLTQSSRDFIAQ KIEQMLLIPSQKEAVLHVIRTFSPKAFEERRNGRLIDYRNMSDALYRQLGHLVDEFCR ATMAATGPQQQSNSLDIAATSAQQILTPEMVQFTRTNLPKLPLERQKELAHIIKKTVP SVDVLPTWISHELRLEEIPIENQIMMWKYVKMHLPKEEEDDAATHVGEEDEKIGRGGA GEAGTRLGTNVGSNFVNEDDFEIDLDVDENDLDIDVDLDYDMGVE EPUS_04605 MPDEFDVRDDREEAHEEGADDEEEIAAMKRRVAEMESEAAKLRE MQSQLDQQSESLQREDREDVDARSIFVGNVDYGATPEEIQAHFQSAGSINRVTILLDK FTGHPKGYAYVEFTEPNLVAQALVLNESLFRGRNLKVVPKRTNLPGLTRGGRGGGRGA PRGRGGFGRGGYGPPRGGYRGGFRGRGRGGYAPY EPUS_04606 MDSNLPSEPNLRVTIIAADGLYKRDVFRFPDPFAVATISGEQTK TTSVIKKTLNPYWNEVFDMKATEDSILAIQIFDQKKFKKKDQGFLGVINVRIGDVIDL DVGGEEMLTRDLKKSNDNLVVHGKLIVNLSTNLTTAGSGPGTRPGLNTSGSSMAGAYP ARASSQNRTSTAASPAPSRSQTVAELSSSSTTAVNGTGPQANGTNRTGFSSFEDNQGR LPAGWERREDNLGRTYYVDHNTRSTTWNRPSTTYNERDQRTAMEANMQMERRAHQNRM LPEDRTGANSPSVPEQQGSTPANSNAVSMMATGATTAGTGELPAGWEQRHTPEGRAYF VDHNTRTTTWVDPRRQQYIRMYGPQNTGGSNATIQQQPVSQLGPLPSGWEMRLTNTAR VYFVDHNTKTTTWDDPRLPSSLDQGVPQYKRDFRRKLIYFRSQPALRILSGQCHVKVR RGNIFEDSYAEIMRQSATDLKKRLMIKFDGEDGLDYGGLSREFFFLLSHEMFNPFYCL FEYSAHDNYTLQINPHSGINPEHLNYFKFIGRVVGLAIFHRRFLDSFFIGAFYKMMLR KKVQIQDMEGVDEDFHRNLTWMLENDIENVVDLTFSVDDDQFGETKTVDLKPGGSEIP VTNQSKKEYIELVTEWKIQKRVSEQFNAFITGFNELIPADLVNVFDERELELLIGGIA DIDVDDWKKHTDYRGYQEQDEVIQNFWKVIRTWDAEQKSRLLQFATGTSRIPVNGFKD LQGSDGPRRFTIEKAGEVNALPKSHTCFNRLDLPPYKSYDTLNSKLSTAVEETLGFGQ E EPUS_04607 MPTPTLPLTPAASTEIAAQERPWSSSASESSITLPPPALQSAAE IGSQNSKATGSLAPTDTRSVNRPASASTKKLRPISEDEPFTLPSPPTRSRKIIHMKPP RPQSETQSPVKRRKITTASTPDSPAAHKASSSSSSSLVNNISKPTKKAIRKTAHSLIE RRRRSKMNDAFATLKDMIPACRSGDERDGNGKEMHKLDVLNAGIEYLAYLETCLEKMN ERQWEGKRIGRRIFGQDDAEDMDTEMSKEDARAASAEKHLKSPSEHEYLRQQIKDQNG KKEKYPFMPPNTCHCHCHSNIHGEEELLRTAMPLTKPQPPSSNFSSQEYLTAHLTHHP TDATREMDIERTRDQEETTKTAAALLMLTSTDRRGKSNSNSNSTNITADARPRFSIIP TGTVNYHQTGTPTWKSHTQTLTPTPTSTSTSTIKTGLSVRDLLLK EPUS_04608 MSRDRQRQQHSESEPRTDPSPPPTTTRQKLDNQQQDTSPTSLWD NLSQQWLTRRALREFDRRTVLLAVPVPPDRTGNEDTHSAQLKRFARYGGPSLEDLRAY LGPRTAPPQNRTMVSHQSSSGKRAKSGSEPDVPSKRKKTPVQNLRQQVLTENMAISER ELNTASNTASQIQKTSAYDKNFEQHLIDHGVYPDGYDGGRDPANWEEISNRLAQPRLS LSSSSLSREEFRIFQQKNRLALNKGMVMSTVFPTLAGSAPIPNAQDLPFTNLKALTDG TITKAQPDFYDGARPAELSIHIREELGGYIVPATNTAAPCLANFFAEGKGTIGALDTA YRKALYEGALGARGVHQLRSYIGPKTLLDNNAYTITSTYSGGLLSIYCVHPALSDDSQ RIDYLMTHVTGWALTGDPDQFRRGVAALRNARDWAKERREELIAAANSKAQNPNRAGS GSATEGSLSPSSNEPTLPDPAISTDELALHTAN EPUS_04609 MKNLPLLETHGVQATDVETGEDVAVKLEHVSTDPNFLELEAGLY RSLSGGAGIPHVHAYHTECEYDAMVFDLLGPSLEDLFNFCGRHFSLKTVLMLADQLLY RLGYLHSKAIIHRDVKPENFLMGTGKQGNRVYVTDLGLATERIAAQVDTKDREAQKSQ LIGSARYASVNGHLGIVQHRCDDLESLGYMLLYFLRGSLPWDHLKTADYTQLKELILE RKRTIGLEDLCEGLPWEFAAYFRHIRSLGFDDKPNYSYLRKIFRNLFVREGFAHDYKV LQGAEAFNEANVSNGHMTAG EPUS_04610 MPVTHFDVPDKYRYQNGFSSYHQTEAIEGALPVAANAPQQNSHG LYTEKLSGTSFTAPRTENQQTWLYRILPSASHQTFTTVPPSSTSALDTPTPSFHSKFH VIPNQLRWDPFDLDPQVSWIHSLHLIGGAGDPTMKVGLGIFVYAAGKSMPEKTAFYSA DGDFLIVPQHGTLDIQTELGRLLVRPNEICVIPRGIRYRITLHDDEAVRGFILELYEG HFQLPELGPIGSNGLANHRDFQVPVAHFEEDYDDTEHTIYSKFGGNLFSATQNHSPFD VVAWHGLYYPYKYDLGRFNTIGSISYDHPDPSIFTVLTAPSRAHGPGTAVADFVIFPP RWLVAENTFRPPWYHRNTMSEFMSLISGTYDAKGGGKGGFQPAGASLHNTMSGHGPDM QTFEKASTTELKPAKVGEGSMAFMFESCLMMGVTDWGLHTCQKVQENYNEESWKPLKV HFKRPKKEFVVSNGEKEKGVGDGKDMSSIHDAK EPUS_04611 MTFQTIVFAILALAYFMVRWLNRTDIAKIKGLPEIPGIPIFGNL LQLGDHHAKVAGSWVKKYGPVFQVRLGNRRIVFANTFDSVKELWIKNQSALISRPTLY TFHSVVSSSQGFTIGTSPWDESCKQRRKAAATALNRPAVQSYMPIIDLESNVSIRDIA NDSKGGSVDLDLRRYFHRFALNTSLTLNYGIRIDGSIDDALLREIVHVERVVSNFRST SNNWQDYIPLLRLPLISKQNKSAGQYRARRDAYMTKLLDMLKQRIAEGTDKPCITGNI LKDPEAKLNDVEIKSICLTMVSAGIDTVPGNLIMALAYLSSPHGQEIQERAYQEIMNV YPNDGEAWEKCLVEEKVPYVSAFVKETLRFWTVIPVSLPRTSIKDIKWHDVVIPAGTT FYMNAWAADYDVTHFKDPAQFIPERYLDNPDGTGTLHYAYGAGSRMCAGTHLANRALY TAFLRIFTAFKIVPGKNPREAPILDALECNAIPTSLTTEPKEFKVGFGVRNQESLNQW MKESDERTKDL EPUS_04612 MAPFGLDRHEWWKEQTIYQIYPSSFQDSNGDGWGDIKGITRRLD YLRELGADTLWSSPFLKSPRVDMGYDISDFKGIDPSYGTMEDVDNLLAELKKRHMRML MDLVVNHTSDQHAWFRESRSSLKNSKRDWYIWKKPKMVGKDGTPQPPNNWSQILGEAS SAWNYDEITGEYYLGLFTPEQPDLNWENPEVRQAVYDVMHFWLKKGASGFRMDVINLI SKVTTYPDAEKTLGDDHEFHPGNKFFVNGPRFHEYMQEMNREVLSKYDCYTVGESPGV SDLDEILRCVEANAGELNTQFIFDLVDMDFVPGAVRMALHPWDVKRMKSIITKYQRAM IERDGWNTVFIENHDNPRSVSRFTDDSDRYRDKGAKLLALMQTTLSGTLFVYQGEEIG MRNVPKEWDIEEYKDIESINFWKKSLQKYGDDPKKMAEARDILEKKARDNSRTPMQ EPUS_04613 MASQSWFPIPKSSHFSLANIPFGIISTATNEVSRVAVAIGDHAL DLAALSHQNGFSTVPSIQKDQTVFAHHTLNAFAALGRPVHREVRRFIQDLLRIDTPFP EILKNNKQLQAECLIPLNEVKMHLPMQIGDYTDFFVGKNHAYNCGVMFRGPDNALQPN YTHLPVGYHGRASSIVVSGTPIHRPKGQILANPNAEPKVPTFSPSKRLDFELELGAFV CRENKMGEPIPISEAGNSIFGLVLMNDWSARDIQMWESAPLGPFNAKNFGTSISPWVV LMDALEPFRTLSIQNDNELLPYLREEKNNVFDIRLEVDLAPESGPATTILHSNGKNLL FSFSQMLAHHSVGGCPMRVGDLLGSGTISGTEDGSQGCLLERSKNGKDIIKLSGGLDR TFLEDGDTVTLRGICGTEEDGLVGFGQCVGRVLPTMPS EPUS_04614 MASDSSEKVLLPDGLPLRDRPSSSSSSLEPIDPSSERRLLWKVD RHLVPILFALFLAAFLDRINIGNARLQGLENDLGMDKDGPKFNIALFMFFIPYILLEV PSNIILKKIRPSLWLSSIMLGWGVITICQGFTQSFAGLVICRVLLGVFEAGFVPGAIY LLSTYYKRHELQLRVSVFFSASILAGAFSGLLAYAIAKMSGVGGYAGWRWIFIVEGSA TVVLAAASYWLVPDWPETAKFLRPHERETLIRRLAEDAGAAKMNKWSKGTSRRIFGDV KIWLGAFMYLGIVNTGYGGSFFIPTILNQLGPAWTPVRSQVMSIPIYIVAAVLALATA FLTDRLKHRFAFIIVGCCVATIGYAILLSMTHVSVGVRYAALFLVTGGGYIAQPITLV WLNNNMGGHLKKGVSSAMQIGLGNCGGIIASNIYLPKQKPRYPVGFGVSLGLVGLCAL SAIAFFVLIRIENKRRNGGQRDHRLDASPEELENLGDDHPSFRFTY EPUS_04615 MSQRQELQNAHSTKFLNYGHLGTVTYDEEDKSWETLRIIDPIVA TVHTDAKKHGSTAFPLRRLSSKVVYAGRVVSQHGFQAESNDDNLDHINESSSAPTRES QPDARTEEKSRIIKGAQSDCTTFAENQSPNLSTLLAFGSAISAKADVVRSEYVHVPIA ASVSGSNAQNVRLVRIGREVTKDPDVGGDAILHLPSISNEGKACWISNGGPIQQVRFA AANGYASTWMAARLQSSTTIFHPLLHRIPVAPRREPFQVPLQALQSSVLDANPIATIP LSRTGGHPHADFDFHPQDHRKFALIDEHGNWSVWLVDGEYQESTRSRFWVTLMCFGKI WSWDHEKRVRVSLPYHDGWHRILWCVHSETPADKLFICNRRTAARYTTWGDLIGLEHL RLGHSRENQYILDVQVSSFVPGYCFVLTSTQLFWLCFTETQDVKVGKERATPHVLLAW QHFRDRGDRTLHLVLLEAGLSTLVLIVSRLNNLVLVFRVGFADGHNDHPVSLADPLSI FLPGPHYSFESVGCPISQLFRSIECNREVQGSIETASPLLFKLFSLYEDFTIAEQLYA STEPSFGLTAGAAPLPLLHLPIQVSLGNLKSTSRRRRGFRDFVVPDDWVESNVSLTVA EGDAQFKDPRRNRLRTRVQPGVNWKDVYGQLTDEGSNAASKARISVGTLLSRFNDRSE KFNLPEIPLLSEMLSCKLHITDVDEDSEQLDELVATFILQQNRQQRLQRFPIITSEAQ AINRLASIYDAIVATHLTPLAPQTPDRIRVNKERLARRVAADLLLASTAFYPDIAAPT PLVTHNTVAERETSQVMPSSSSAPSVLTPASPPTIPTATTSTASTEEDHPVLTRLRKY TAISTASPLVSTSISSLNNSTLSSILRHLPTSAHTNPETYNYRATERNLAAEAEEELA LAAGQADPQARRRAEKAKLARLRKEEIRRKRAADEQMKSSQSRGPPRVMSTQVGGLEG VREVQSSQAGVASWDTPRDGGSSQGRGYAFGLSQEMPMTQPERGVFGMRPGMPGFAAG KKMDKGKKRAAGF EPUS_04616 MAHSQAQKFWQSPTQQFVSCTISACFDPMSKPSIPYVEGATFEV RRHEPPQPTRGSSCIANPTDMKEQQRMSLLERCFLHPPLPGPATPAGSDSEYESVPSS SLSLLRILKPISVHDNQAAQIILCGSGGDMIAKFYDPLYAATPTRENDIFADPFRTAD YSYAHETAAYEALTRFAARHPHISCFFPRYYGSYTCEISTPLAGKTRTVRLILMEYIE GTCLSKVDPTQIPPLPQDGRKCIMASIVNAESALSAGGLNHRDLFPRNIILRGYLGGR AGLAAVTTGELKRSSDFSVVVLDFGRSDILHGKRCADDQGRPISPILRWDRRTEIHID WQLLGWVDWDWQAWLEQQWKNSTTYAPITEELRKTWLGVYDRQFTVPPPVPLRKNKET DGLEVPVMLKSRSKILR EPUS_04617 MAEHSYKFNVSMSCGGCSGAVERVLKKLDGVKSYNVDLKAQTAE VEAEESLSYEKVLETIKKTGKKVNSAEADGEEKSVEL EPUS_04618 MSEYFSSLIVEPVVRSARRLSRISTGEDPPHFLPGVPESLRTWY PRRLWNTSPPASVNEDDNQDGHTVSNSTLIGPWATPIPSPPPEAMESGENDASNAEQH DAPAAAPFPPLEPQIAQQPQVTQQPRRARPGEVRAHSDTSINPLRELPDRSRIHEEDV RGHSQSDPVARVREEAGASRAANSLQMARAHYGNHGQTRSRDGSGTLPADDGKGAMRR KIHAIWQGDGTVEEKSQMLHSLMMEQYNSSRIGLQGGSSMPKGMAVSASPLTSSSLKG SDEAGLGSPMSLDVAPEEDKRFHLSPTDMTPTYAPPDTEDHTLETVEVRIERQAPQLG CPHYKRNVKLQCFTCKSWYTCRFCHDEVEDHILPRQETKNMLCMICNTPQPASQTCKG CGEQASCYYCSVCKLWNNDPAKSIYHCEDCGICRLGEGLGKDFFHCKTCSVCMSIGVQ STHRCIEKSTKCDCPICGEYLFTSAGVVVFMKCGHSIHESCFKDWCTQSYKCPICSKS VVNMESQFRSLDRQINAQPMPEEYRNTRAYVHCNDCSVKGTTKYHWLGLKCEWCESYN TQQHYLLEADGSGSRTRSDDASAAPVTASPPLPQNVADEAVAIPNSRAASRRPSISMS EVGGSSGSPWLLPQPRGARSVSPTVGNYFGTGLGREEQANTPAPRTEDQEYDFWGRPI GPRQEARPSSSREDAADPEEEDSEDWTDEYDEMEDDDLEEDEDDDDIDQMALIGHR EPUS_04619 MVRVGQNSALIRTGPAPSGYDQKLSTKPSKHKVVLESVTQEKKK LRSVLSFKAEPPKGYTFIPAGNPELTNALKDFARKGNYEIYAVSTTPHQRAHDLSQQV HRIGFHFPSVVVAQVCAYYGIVLTSAGTVLVEDELESFHPRSKRLKDQITAQERKDQI TINTEARDTIKDLFPNIPDNDLNQIIKTAFQKGQGKVGTANELSLIRRAQLAVVAHIR HVYTDYDKLLRQTHYREARNFVEKPSLRKLVEWRGDDENGKQVLEDVFREVVVISDDE DSDREDDDTMNDFRDVSVEIVSSNTKADHFNPDPLVLEAPNAGRRSDHFPVNSKRHSA YPHTASSVQKERKNDQDKVSRRGFSRYQAWNEAREEYRANPTDIRVGNERALAYPAPE VSLRRVEQGLSGSGHRPETFTLPQHLHQSQDFAMQARNDPPDTYRARDGTLYERVIEN PSPGPQQLVARPLIYETRRPAAVGKVRTNAVADFPYRQDISQNLSSPRDTIIPSIEDP SPAAASSQSRMVSGSQLPLSSNNERWATSSNQNIERRINGIEYLDLTDESRYLKKRRR VENISGETFRTSRTGDLAPYSASQQHEPEYISLLSPTHQPSQPSNTNAPSALHYEDPH NHVNVSTSTPTYRDTVVHNSSVGVMRSGEKLAARRPHGQVSGLSNFSSREVRYLSPSS PRTDKDSLPIGLQSAFHVGASPVDRGIQEYRAFRARERQEKALPKPSAPARAQLYGNG DVPYAAIESRNGRPRQFDATKPSRDLSSHLSQRRPTQGYHTLDEPLPLAQEDGFRLRV REVDHDHSLRRRSASPIEHRRTHLGVVPPLPQFYHERRLEAGPEYLAMERNDARQPAD PGIIRPIPR EPUS_04620 MSKGGGDLFDMARDGTTVPEDAAKPRMIPSVPRPDQIASDPDPN SLGGTNLADAAENAQDIPRSTTDRAGQDILTGTGDTLPAQTDSKRLHAVPGGVVNDPN AKGSKRYEKHIRQKESELDYGASEGPGVDKAPGDEELGDEEAMDKVDRKVEP EPUS_04621 MSYTPIDFHFGHDGTDLGVHPDNNVAGPGRPESSHSHNITASPI GSNWISSGSREIGRENMIAPTVFSAGSHGSATIVADRWSDSSRTSNKKNLKSPAVHEV SLQDGESGSVSSGSSKHLRGGSWTVEIISFTIALLALAAIIGVLAHYNGKSMPSWPTG ITLNTLIALLTAIANAALASPLQQGLSQLKWINFKRESRPLTDMEAFDDASRGIWGSI KLLVMGRGGVLGSFGAVIVILALAMSPFAQQIVVYRAREDVAISNPHSSINTALNYTW VLPGDPSSQSPQFVPILPMKAAVWGGLFAADINPVPPYDCPTGNCTWPDFSTLAVCSS CVSMTEYMEKDCQSNDNGNSSGCGWSLPNGAKLNGSSSVFSMTPTIPSVNGDLSYATI MKLTFMGTEAQNKETTTQFTGSGIVQPWAQQCTLQYCVQDMHTYVIDGQLGQNVTATY YNTSVVSINETLQAGNDTPLYITSKLHNETFNVGGSVMLGIQQWFADLFTTGSATRED ISKTESNIVVNLTVGVSSGMTSFTSDVVQGFYWFYYEYPTGLPDLNEGLAKTMTNAIR ASGGGVPVLGTAYEMSTYVHIRWGWITLPAIVVLMTGTFLAAAMLRSRSTRTKLWKSS ALAMLFHGLDGDSRKTALNSDSLRKVKVRLDDDENSSHGEGGRLLRI EPUS_04622 MVLAAIAGGTSPTLGRSIVTAILEAGNHTPIILSRSKPESYSAP TSQHGAKIRYVDYSSIPSLTRGLEGVHTVISVLNPTDPAEMLDYHSNLLKAARSAGCK RFAPSEWEGGPLSKQKVELLRSKLDVWKMCEESGLECARFTPGWFMNYLGQGCPESKN EEAIAGLDDGFMIDYVDIAKGKMTVPLAEDGRPAKMSMTELGDIGRFVAAALDLAEGE WEADMGMVGSTVDLEEVARKAEQVTGRTFEIKSITKEELKQREDDLDQRLAEAFSVDA LLAKMVVQLMQCACEEQVGNQMIDPVLNRLCPNVKPLGFEEYLQRFWTS EPUS_04623 MARRKRAATSRPPARSSRGTRAGTNNRADASASGQASSDHPVPP TPRRSDRIRRAAGTRGRGANTGNQLAQNPNLRRSSRIRHAAEARQRAANANNAANADN TANADNTANADNTANGANAANTGNAGNAGNAGNAGNAGNAGNAGNAGNAGNAGNAGNA GNAGNAGNAGNAGNAGNAGNAGNAGNAGNAGNAGNASNESPLGTLPPARPSDATLRGL LSAGPVVENLFVYMNEWDRHSLIYAMPSLRDALRHPCIRPRFRCQNIPDLAALKLPNP QARRQLITTRNQSPRVPCTTPARAPPGPNVPARLRREALVRIRNCQGHALGYTAHGDH RNPHGQYFWVCELCACKAWECRSVLRAPRAVDLCLHCSRQRRLIQPNPDTDHCMCLWD QSADKIHLCTDCRGIKSLLDSAAIMGWVNAHPMQPIHSPAVLYVSDYIDEHQSRGESD CICGRSPRIKNLSHALAAPVWRNTFDDLVRICSFCNKQRFIIHNIHA EPUS_04624 MASRLVLVIGDLFIPDRAPDIPAKFKKLLTPGKIGQIICLGNLT DRETYEYLRTIAPDLQLVKGDFDTENPYSANTTTTNPSSSAAPASSSATASSSSIPLS KVITHGSLRIGFTHGHTIIPQGDADALLIAARQMDVDVLLWGGTHRFEAGGGRGAYPQ FLFDGYVLVLYVYQLRTDANGAENVGVEKVSFRKQNPAAAATTAAAA EPUS_04625 MFSTESAPPSHGHSLRPKRSRRAGSDDSLKLPRAKRRRSALRRD TFEPLSDLSPNEVAVSSNGSILMNGHAPEDKYEHDGALAAPKELTLRAAKKGEKRTER GSGAVTLSSNDFYNVEHLPALPEQIRSRPTIPYSSTISLEHGYALALTHSEALIWSYN SSATTPSTKDLFSFKLPFPPSGPTQPLPLGAFAARSVGSEPGLVVVTPDKGRVVYWET ITNASSFLPGQPSTGVQGSVPGMFSGETIKDIINAEPAGFILVLSHGRVAHLTVKDQL GRPAIGVQFLRKSGGANSGGFFGGLRYIVAGDRRESVAAVRSGTATKGQRDVVIVTEQ GGLEHWSTHLNIADSLRFEVNLKEDLLGALIHNLPDDLKNDFRFKVLDFVFVESPAKS CELGQINSQISYPILLLASLMHHNTSTFYIIEANVSAQCSDIIVVHPISCYKPQASDL TRFQPRLCVPKPGQVAFVVFETAIVIFSLAKAKESPSSQLLMEGQRLPEPFQDCIKFQ NETIYRVLGFVSEDKESQDRKPSCVLAVQGFGIIRVASIASAMRSEEPEEVKITLKSR IEQAIFYGTLRQNPLDLTRAEKQTFSSEEIEEAALSISHEILSSSSKYVPKASPSLDQ HLKLRAKALEDLVLHLQKYYGPLPRLLKWKLLWGAEKLAAAQAMWKVQEDIMRRKPKD RKETYWEQLLFFMASDYRTEADESKGETDLVRLFLTKDVYRIEFLLDWLHEGHKEVKE DDFLSEYEMVENIRESSDLWIAGFEAAYRLREDTAPLYGLGDEIFDPQHGILKSGYKD LPEAWTIVERTVRLGECLLQLVYDTTHEWWGLSKTGGAGKPSRKTVIHMAHAMPKQLD LHQRMFAERHAWLMEQDHEENPKHLDQAKKMLRWGQKSRRDYFYKIARLGLVQEAIDL AEHWKDMKALVDLDLEARQQLIERTKEGPEPSERDVKKFEQDLQSIKNRTEGYFAKYG SAWAQAYFNKMTIDGELGSLLAEAETDEKKQPLLTRFLRQNPGYQKISWINDVIGEED FGNAAKTLESLATKKGDDLWIKKTELCLAKLTNIAAVETNQKDPRKEVRISTKKFDDR LALLDIQARVQAHMLPSIGPVIDDAGARQVALETFGRRVVGEKRYPALKALLNDGLGL LLCRKAVSAQRLVDILTLMDPVEYQGPEENDPQILGHEFWLALEVLQLGEIDPSMVEG LTHIIWRRAMIRDDWVSLNDTTEKNDEKVTAEMTKTSLFKTLVAWFEHVQHHRGEASN IKLLGPSQILEAEVFPLSLRKRFRETEIELVLRDLEAENEVLKRHVEKGRLELHYGGL LKMAQATVRAQADRAGDEAAEKAEKA EPUS_04626 MFENRQKQVALTVGLIREFGSLETADDPAWLANSMKSCKFEYMA DIWQDYEKYVLKLCSQWFESLYIPSGPTISSAMDPLANEVAPPPKTNREPITSVNKKS STLKRITENLLSHLRRLRRSPQLRES EPUS_09373 MASSPPPPPPPGHELPFRSASALKKRVQRSTRQRTGFSKEPGSP SNCPSATPHPFITTNRSPHGNTEGAGAGPSNVSTVDQPLRKRAPRDVSSTIRDQTFTY PAILHAIEQSDNRRALLELLQQELQAIQRDPNDDDGNQTMPGQGIRQLTAAIRETEID IAEQDREAVLKERSKRAKSLRTTIGPLSTKSHGQTITSGPSGWPSVTGQRERQGITAR SRDSGGVTPLEGIVTTGRFASSSAGRGTRTPSKQSSRQSATPACRRSEHATRSTTRTS TPDREKETSKQAAAATRSDIPSYIDAQTRAHSQSSSLSGDKRSTKANPEINDKATGQS VGTRGGAASPSSGRSQPVMPCSSSAAAVRTVAQKQAAELEIAALWRSARKETTNPSRS RSSSPASPQVNVRLDSANRGARADTAGPSYNRPPPVMPRSSSHWRPADSGPEVSDTPR GVAGPSGGQPTRFLPAPSGQMSAAASGATSSGIRGDAAALPVGIPSHVQPSSAGPCAA AASGPVGNLAGGNAADPPPNGPGFFPPLPGAVGNAVWTNVAGQAIGIPGIVWGLPIGS SAGIPGFPMPPLGDSSTTVRGTPVGMGGFPMPLLDGSSAAVRGATGPLIGIPGFPIPP EGSSDAVVRRASDPPRSIPGPSLSPLVGNWVAAGSATVTDTGTGQPSLPTASPIPNVG GAPVYGAHAGNTAFWNAAGAQASDRPGAAIISQDRYWGAAVERQTAGTASDRAGPSTV EKGNKEGVASKSSGSKRRAAAPPGDIISSGEQRDAGAGSGARGATGSLSSRMGPPASK QASRQRDSASTTGTEGETGNTRIEQEDAEDELHWTDLIEYHQPTPDGDDTWQQ EPUS_09162 MPYQQDSPSPSMHWNVMGSAVSSRGATLVFILFTYLLIVRALRY RRMKKLEHSFGYGTRKPLGEMTLDDAAAILLELSEMEFPFSFLNSTQFALFRTYGIPT VSSLLVSTNQITSPETVSKRLVDTGVLMFEIWGRAPTSTRWLESVARMNYIHGMYQKA GKISNDDMLHSLSFFAGEPFKWISRYEWRNLSDMEVAALGTSGRVWARRCRLTSAVYQ ATEKAGKMEVTGLKRLWLGPKKKPSPVFFTFTETALAFRRLFLRHLALPRPEMWRSRY LEDEANEFGRFNLKMYGGLPIYVKPTFAWRWNIQAWLFWALGKPIPGDGGNKYIPQGY NIHEVGPRSMAGKGLNAAADLREKMLQRGRCPFRA EPUS_09163 MLTTLHALSPAAVFLAYFIASLAHPFGHQKRLSVQGNNRSGRSR RVACTILTTLVAATYILESILLACVLPGHAATSSDESLIFTLYSCLVWLLLFLGLLDC PPSDIRRSFLAAWTVALAFEAVFVVFGLLTPKLSGLARTGRVALQIARAAFLLILIGI SFGNRLTRYAEKASDEEITPLLARIESHFSTSGAHHVNGDTCGSAQAEDLKAGDKDST QSKSNNEYEDTDNEDEDNAEQGKLKKEWWVYTKAFAIFLPHLWPKESLKLQLHFPALG LCLLGHRALNVLVPLQLGVVVNVLRESGGSVPWLEVGVYVVLCLVDSSAGLSLILSWL WMPIGRNATEKLSAAAYNKVMNLSSDFHDSKKSGVLWKAVSRGEALSDLSYTIGFEMI PMSADLCIAVAVLGWLFGAYMSFIVAVASVLYLWATAKTIPLKTRCQRNLINAWESEY SQMTESSLNWTTVSYFNRIGYEQNRYKDAIKISQGKLIRYQVLALIVTAVRALVLELG MLAAAILAAYQISQGKRSVGDFVVLITYWAQLTLPLHYFANGFSKIAKSLVDAEKLLE LLQTEPTVDNHTDAAEFVLKQGQVDFDRVCFSYDGKRQVADNLSFRVEPGQTVALVGE TGGGKSTILKLLFRFYDVTSGNIMVDGQDIRHVTLESLRANIGCVPQDPVLFNQTILQ NLRYAKLDASEEEVQAACKAVALHEKIMSFTKGYSEKVGERGVKLSGGELQRIAIARA ILKNPKILLLDEATSSVDSETETIIQDSLKKLCAGRTTFVVAHRLSTIAHADLVMVIK NGRIIERGSHDRLMQVSGCYSKLWTRQLRLQTGNDRPRLRSRSPEKTTALVDDVTDCD VGSRKGLLQNKRQENRRSEEGYGSIEQAGDEGKAAQPVMDAKVRGRSPRRDDEACSRS DSMHKKNPSSNSSLRKDENTLQERRSRSTSSAPTTLKPDAPEFVPHRLPRNIPYLSGH TESPQNSDAHFRSESRIALSRAAIEGKENAPFSKEPSVTQSTSAIPSLSGEEFTRAGS SVPDGHRKRGKRTENASATTSSTEIQAFEPCRTSKKWQERKPAFNSRRDLSKSEPHAS SEVNGTEGQGAEWESASYPGSRHCDRDEMSGRSRSSTHNEALLSTPRTNRDGRILNNH KTQHGKAEGESSEPCKARRS EPUS_09164 MTEFDAVGALLSGQSPAGSCRLGCMACLHRYDHREYRPRWDWDR DGSEYVIYHDRNRERANARRCVARLVSILLVDRVVGADGPAVSRHDCAVCGETARGPF AGSPSLFNKAKDSESDTVTSSHSHRDVEGIPTEASPLRPLSPRRPPSTSTTEVSSDSE PHWGSDGDSIAETRNLYAVMLRDVGI EPUS_09165 MTLSAVAIFVLASGISGGANTEGMLISGRAIQGAEGGGLNVMID LIICDLVPLRERLKFISIVSAMFAIGTSMGPFVGGSLVQYSSWRWVFYLNLPIGPVAL VMLWAVLHVKYEKQSLKQNLRRFDVIGSFLSIPSTVAILFALTYGGAIYSWPSWGVIV PLILGRVGLVSYHIYEALSYPNEPLIPPRLFGTRTSAVPFFATFVHTLIFVWIIYYLP VYFNLFSNLRPPDRAFSY EPUS_09166 MQSLQQEALAIFRLAQLASTTALLAANISAVLAFRAWAVPLLCF IFVLGLVSGVGSLVVVRTSSVGDDDSAARRWYAASLGFTLAHQLFSRPVLRQIKAIEQ THNAGRRISWP EPUS_09167 MALSPITMLVSLIAALFSWTSTAQSQPLTGNQIITGLSFSCLSY QEFTTLILALPSEPGSSEAQLFIDQFNEYIDANVAGTAAVLENLPPPFSDVVQYAIQA VAFDLIRENRRFLDAVVAKEDSFTEDQQETLSDLVSQERVIVEQYLNGTSVYAPQVQD IQEPLWAAFLESVSSTVEALEN EPUS_09168 MHILVTNDDGPPSNQSSPYVHSFVRSLQAAGHTVSVVLPHIQRS WIGKAHIIGKTLIPSYFRPGTLHKDDGVTSHHPFEDGKEEWVLIDGTPASCVQIGLWH LFQDRGPVDLVVSGPNYGRNTTALFSLSSGTIGGALEAAVCGQKAIALSYAFESREHD PEIIAAASQVSQKLIEKLTQDWPEDVHLYSINVPLRNNVAEAKILYTNVLQNRWTTGS SFEEAEVEEEVDPAEQEKEIREGERNGTNGADESSVARRKQKRFKWAPKFTDVHESVR AAGPGNDGWAVKEGIVSVTPLKANFWHVPNIKGEIKLSSTTSISGDQILASSSMPKLY AIIDYPDPYVQPLILSAIRSELKDLDVKLISSVQQLPSLASPLLQYRDYESLDFEHAL DQPRSSLLCAYVVRKALIRKHYLSNTISTWLVKHPQSPLKTHFKAAVNFELDYADFLD EALVDAWDLNDSMTRNEARGNDNGNKEWWILKPGMSDGGNGIRLFSSMQQLQSIFEEW ERDEDNSGGEQEEEKEEKEQFHSPSSGNKVPTSASYGGTMTSQLRHFIAQPYIDPPLL LPSRENRKFHVRVYCLAVGALKVYVYKEMLALFAAKAYQLPSHLEVDLPCHLTNTCLQ DGPSREGFVARYWDLEDATHGPDWKEKVFEQICEATAAVFEAAAREQMINFQTLPNAF EVFGIDFLVDSFLRAWLLEINAYPDFKQTGQQLQDTIIGGLFRQVMKVAVRPFFDKEA EDNDSQGSSEMRLVKDMDMGRR EPUS_09169 MLEKARSHPISENIARNSNTRASPTYENANSEGDEFGPALPSSA LQNITTETYARKHGPSSAKMQDLQVQRELAREAAEEAHRSRVEAMRVERRVERHIQKE RLEEIAPRAEAGTRERQLEKKREKADLNRSFAAAAHDNADVEVKDSDLMGDGGGLDDL KRMKQTEERKRSEREMRREENQAARRAETEERLQAMREKEAKTMSMLKELARARFGGG A EPUS_09440 MSSLIPGEMLAAQVVKVTHPSPSCHIQPVTSYSQFNERYQIHKI PTPRSLGPNEILLKTAAASLCHTDLLVTAGKFPTKLPRTASHEGTGIVQAVGSAVKDF KIGDRVMAGLPKRPCGDCINCKGPENWHQYCQNIEGCIGFLVDGAFAEYLVADSAFSA KVPDDLSLVSAAPLACAGITIWGGIVRAAVPKNGWLAIVGSGGGLGHLGIQMARVKGI NVIGIDAPDEGIALSKEAGCEHVFDARKGKEEVVREVQALTNGLGVEAAINVSYHEYH AA EPUS_09441 MTRQSPSIVYRTLLTIVGLVTCAGAYLADWNETHIYNPRWPPHA KFHNGQTMSMGLALGAATLYYLWRPLKVEAAKDNLHTVVIFASLYWITQLSAILYPGT MFTDPEFGETFIQIYLVIGLSTLIGIGYLFEIRRIEGTKKMAVA EPUS_09442 MSEPSEEQKREIYEGLSAEQKQKQSYTEWVKDAYNNQYEKWMPW IEDKYLEWFGKDNKASFVTKDTLNKTKITGIDQVDQLQGDVNNLVGNQVGKGGLLNPV GNLASKEGINRAERGGKDDKGSYGGPAAGLTDPMIKNTKGAGEGIAAGAQSAGSTVSE GAKSAGGYLGGMFGGGKK EPUS_08967 MKELLTVIPAPQVAQTRNAVKSTASPFQLGLHLGEAPVIDPNLF VGRLPELERMEEILQSSQSGEQRRLILSGMGGIGKTQLAIAYAQRHRGSYESIFWLSA ASEVALKTSLRSMAERFMEVAEYEKFEDEQILLPRTFSWSLIPILVLREYAELYEEEG EPUS_08968 MSNEEQIQLAIAHLNRLEKPNLTAVAKKFGLNRSTLSKRYKGIS VSKQQSISETRQRLNEVQEDEILRHIDALTNKFIPPTTQIVKNLAEEILHAEVGKNWP ARFVKRHQDRLCRVYLRPIDNKCASAENPTTFKHFYSLLTEAIQKYNLRPQDTYNFDE KGFLIGQTSKKLRIVSKEAYKSGRVRGVKQDGNSEFISLLAAISADGTALPPALIYEG SSNDLQSSWGSPGCGFSIGILGQKEAASGC EPUS_08969 MEQWKVEKIVGKLVDDEAISYKVRWEGYEVEDDTWEDLTALEQA QESVSAAAAALDQKRKEFQP EPUS_08970 MEDETSSIFCLADSSSITSWQSKASVSPADLDISFQFDAEILSS RIYSVAYRSHLRQVIASEKHGDTTPVTAARDSSRAKGAHTDIDLLSLDRRADLEHRTS YRSAGRKYYEQDGDGDRVIPKEILCYLKVILDGKLLSERTSFEKLDWQDDASYGTVNN AAQNCLNASPETINKNVWRTDGVCKLFRKDQECSSKALEIEDQWSEVLHLIIAEFTYA AVDRPGIEKKRRKFIPQKDLYAIMSQSVIEHLINNDESLTNTEHVGHVDSPVMNKKKF IHDVASSHKHLLALCVHEDLPLICLWQMLYLRPKPVQFPLGTSDKPPAAEKIKFENLI FK EPUS_08971 MDSVNTNRPHTPPPPPPPPPPPPSSTPPPTASAVCTTRDQRLQI QTLYDAGLKYAQIREQLGFTIRQIQYALSHQVTPKKRLGRPSILTQEEINEIITWICT SKTNRRMPWIKLPITLELNVSYYCLRTALRKAGYSRRVARRKPPISERNRLARLQWAI EHLNWTLDDWKKVLWSDETWVNGDRHTKTYVTRRAGEEWDPTCIIERFQRHKGWMFWG CLHGDTKGPGLFWEKDWGTIKEESYRAKILPIIDGWIQLKRQEEEQLIFMQDSAPAHA ARGTIRDLEERGIICINWPSYSPDLNPIEAVWNWMKDWIQEHYDDRLRGYDELREAII AAWNAVPASYLLELLESMPARCQAVIDASGLHTRY EPUS_08972 MAQMEAAIAHLNRQSSLNYTEVAKTHGIAPTTLARRHQGLSVSR AEATSTYHQRLNNIIKNLAEEIIKRPIGKNWTARFIKRHSTRICSHYLHPLDRERASA ESITVFERFYNLLTKAIEKYNITAENIYNWDEKGLLIGQSSIKKRILTKEAYERGRIC YSQEDGNREFISLLACVCADGTALPPALIYQGASNDLQSSWMEDLHESDKAYFTSSAN GWTCDALGLAWLRLFDKNTRSKGSRRRLLIVDGHSSHINWSFIKLADSLRILIFILPP HTTHRLQPLDVGLFSPLAQAYTQRLDAAPNNLAYL EPUS_08973 MSLSAPARGEVFQTQTCVHIRWLFLLYPAVLVLLAIIFFAVMVF ETRRREISRHDWKSSPLALLFHGLDRQSMGNGEYASITQANEMARIADHTPILHPPKT ISVQYCVNDFQRRLVGMGPFDGMVGKGLKPLTAQKQKVHSQTIKHGPNTTSKHRSQPA QSTSKPSPPLSNGQKSIQHSRHGMERRWPRIRFLYNQRAHQILRIVGLNTLGQVRSVI EESSTTFVDYSQKEYYHEIRDSNEMISGLVNEMITRGEWNVRSVESVDEEKRQIWLKA FGAVEGQDPYYAQLARVNFDGSDFTLLTSGDGTHTWTWSPDRKYLIDTWSRVDDDTVD LEVKERRRLGLPEQVLRIKGWNEMDGSGVKRKEQRDGNGNDTSEPKGKHTSTASSVAP SAQSDCDTALRVRHDHRLKVDSRDTSYDTGVLHKINKTEKALEESVVDEDDWDMVTLI EPUS_08974 MIDASFFREMNPNYARPKVDGSSSAIGCIDFFGGSSTSTDSTEK VRYADLEANELELTDLLVCCPTVPGFSFTDKLWLEFAVADIELISWSSDPFDCLEIPS QYKEIIMALVSNQINRDKDKVFDDFVAGKGRGMNVLLHGSPGVGKTLTTEAVSEHLEL PFYSVSLLVPIPDSTHVV EPUS_09470 MDIAAGVVGFVGLSGQILQGCNYLCKTFCDAADAPDVIVAILTE LRAVRSRLEAFQHLLLEIQASAPACLRVQQDPAIPLQNCQNVIQKVQSFVDKYADLSI STASSSGNLKSHKAAFHKAWQKFDVARKGDQLRGYVSQLEAAKSSLLATQISIQLALQ LQHFDTTRGAQRNLQQLQDEHTASAQIVKETKNLVSDVHSLQKDSHLLAKMTNLSLDK IVSNNQTLLVQFASSEQYAYDTKIASHFTQNAIESLNANLNKRFDDLPTILAPIIQDT ITQSLAIHNSSRETEVLSTKQRPDDITANLYKGSPYTGNNCLLPRQTRSVKTLLQHES ASSLLPDDLKIMSINTDIPAENLMHSMQLGRRGPKRQKTTKSVFNVWFGRIELTSLTT EQEGDVDSDYIPPTRLQARRTSFQLIPNSWFLKFGLLFETGKSRPTISHPGWDNRLRV IRTHQMDSSVYETIHRGDYVGFRKLLESREVTPFDLIDFGYSTIRTLFEIVVHHIIPA TRDENHVMQRDQLEIAKMLADSGVDCGVGIGLLWLLKALGFKSNEANLSLFRIIMTQS QTDPFEESGQKIAQGNFLSARLSLLTKQDEWDLSEFTKLFEDYWGNGSFQHRIRNDRS SDEWHEIQKLNWSRMPISLRRSRSYCVAVFGPRFIDFSWPELYWSAGKPAFWQSKQAC EEFFGSYFVKYDWPLLLWREELPTFWHSRKACLEIFGEYFIAYDWPHLLGKLGFDFLE GKGAWKQRRRWHWDEEGRNIWITQMIECWSKDDIPKRHARQYCIDQYGVNFVKEELPS LLRQDGLPEEEVVQLTEDGPDMEPPAPVPFWKYEESVSLFECEESEDSQDEHDADSDA ASDGDSDAAIDGDSDIKLSDGHSDDELSDGWQTAEED EPUS_09471 MHRSPNAPLRMHRSERIPGKPTGKSGVMKPQDGFIDIDLGDNSP CLYSVAIVAYLVGESGRDVVTADPQQLTAIEFTRTQLANPTSTTSPLLLLAPNPAHHT IPTTTLFRSIGYKSEPLSTLASAGIPFNSQKGIFPNDGVGRIVSSPQHAHKPQEMDHE YSIPIPGLYCAGWVKCGPTGVIALTMADAFRTAEAVVRDWRDRQTAGKEIMDKRFIGS VESRGWEGVRDAAEEAGIGLRRVSWEDWKKIDRLERERGKERGGKPREKIASVEEMLR ILD EPUS_09271 MDRSLSFAEFSFLADDPQTKSFSPSATPAHAPNQYANYGFIAVL GLAQRLRLPFLPITWQATLGRIGRGGQAEINQALANVQTSFAFKRFKHPNTDPFREII QEMVVLSHPVIRQHEHIVPLEGICWDIPQHNEVWPVLVFEKSHLGDLCEFAKSENFKN LSIQDRFTICAHVGIAIRDMHRNRIVHGDINPRNVLIFDEGSQFIAKVADFGFTTCFQ GDSDLISIPKKEPWNAPEHHHRHFRPEQAKQMDVYSFGMLCFWLIYEAGSSGGLPLPP NTVLDSGQSVSFDRCLSETNVLLDWKSDSNNTLMKFVCWLVHEDRRLNSSVNADLFIL FSTRLQVSSILPKTEPIRISSAPKQEDFEIPFSISEFYDADFRLREFIIECLESTAIV STKSTIQHVSPRKAALQLALSYTIGFGTIKDDNRATFILHEHCLEHIDLKSQIQLVKG GIQASSYDGQVFSVLQRRGPIQYIDFPQHYREKELLERAEISCERDIQSIGLVFGQSH MLCSKVKARLATIIKDQGRWKESEELEVQVMEASSRMLGYSHPSTLNSMASLASTYWN QGRWKEAEELQMRMIQKSLMVLGEEHPNTLRSMATLALICGDQGQWKGAETLNVRVME TRKRVLGEEHPDTLSSITNLALIYYKQGRWKEAEKLSMRVLERKKRVLGEKHPSTLTS MHNLAEALSSQGNLREAERIHRQTLLLRETALGKQHPDTLTSMSNLAVLLCNQGSYKE AEQIHRQVSGLRETVLGKRHPDTLTSMNNLAEVLNSQDAFREAEQIHQQVLALRETAL DKNHPDTLINMDNLTETSSNQDNLKDAEQIHRQTLALRGTVLNKDYSDTLTNTNNLTD ALNSQDSFIELKEIHRQTSALTETVLDKDYSDILASMDNQAETLSNQGNFREADQIHR QALAIRETVLGKDHPNTLASMNDLAEVLNNQGSFNEAERIHRQALGLRERVLGKQHPD TLTSMSNLAALLCNQGSYKEAEQIHLQALGLRETALGKQHPDTLMSINSLAALLCSQG SYKEAEQIYQQALGLRETVLGKQHPDTLSSMNNLALVLSSQGSHNKAEEIHREVFAWR YTVLGKQHPDTLMSMSNLADVLNIQSKYDEAEEKYREVITLRETVLSKQHPDTLISMD NLAIMLNNQGSFKEAERIHQQAFVLREAALNKQHPDTATVVFSNQDKSEESYRQALAS RKAVLGRNHPDTLTSMNSLALVLSSQGSHNEAEEIHREVVARRNAALGKYHPATLMSM NNLADVLSIQSENDEVEEAVALREIVTECVGSGPFAAIHSAKIHSAFES EPUS_09272 MPPLPSQSEQSISLESSSQRPRTSSWLKAEAERHQQEQPVLKTR KHSLSTETGGIVTDGNPETAPSKSTGERAATPFPKPVQCKGRYTIEDLYPEENRNSAV SEWLRRVLASVSSRQNSVEQDDSSASSDLASPSSETTGIEPKHPQDSSTLLKTPKPDL KRKRAQTEEVVPRDEKENPQEQRQRAPLDCLHRSRSHGMPSGHSCRYHRYEVANKPAE EDIAFATLLYEIGSGKKSFNWLSSEEVQQCYSNVEFPDDVKSLSRLLFITVLSFWSVE FANISESCAWSVCR EPUS_09273 MSAQENIEMTCADNEERTHVARRMLPPWMMDGYPHRVQITEGRD PQTLQTQIAKVTPIPDYIEDLAKRFGDGFAAPRAKPVLHQGVFLMEVMKIFYSREVLY MNVDQLTATFRQHGARHVLLGSRPLVVFLYQTTYDLFGLIRIGPNLSGRPESQGGHGV GESVAVGAGYALSSLGEALYSDFCAESSKWFRSVINGSVPFKASGAELNVVEALPPVE ESESKRFPFKFTHHHGPPL EPUS_09274 MSKKLAHDEYTVGWICPLEVEQIAALEMLDEEHERLPQFPSDHN VYNLGSIAGHNVVIAGLHQPGNNPAATVVTQMRMTFPNLRFGLLVGIGGGVPVKTDIG IIRLGDVVVSKPAGEHSGAVQYDHGKAKAGQFERTGALAPPPAVLLNAAQDLAAKRAR SRKDPIEDNIKTIDVSIRGLRKYKYPGAAQDHLYKPDYVHLKPGVPFDECGCDPSQRV QRPTDDEDDEPYIVVHRGTIASGELVVKDAVLRDQLAKEYGLLCFEMEAAGALADFPC MVIRGISDYCDSHKNDQWHGYAAATAAAYARQLFFHMPIDEIKRHISETAEKDIHSVK VRQDDQDRQGILDWLTTVEYGPQHSDFLKRREPGTGQWLLDDAKYQTWQNTDKQTLFC PGIPGVGKTILTAIVIDHLTTRFKNDANVGIAYIYFNYKPKADNQNVDNLLSSLLKQL SQEQPSLLESVKALYDQHRKTTTRPSTNELLRALQFVAAMYSRVFIIVDALDECQASD GCRAKFLSEIFTLQAKTGANLFATSRFILDITEVFKSSTWLEIRATKDDVKRYLGGHM QQLLPFVQQNHQLQEEIKTGISEAVDGMFLLAQIYLGSLDDKTTPKAIRNALKDFQTK SQGLGEEEKVRILDQAYKQTMERWRLGGDKLDEENLPRIEDVVSVCAGLVTVDEESGI IRLVHYTTQEYFKSTRERWFPDAETDITKVCATYLSFHAFESGFCQSDAEFEERLRLY QLYDYVAHNWGHHARKASTLCQQVTSFLENKPKTEAASQALLAIKSWFPNYSQGVPRQ MTGLHLAAYFGVHEAANTLIRRGQSLDLKDSYGRTALWWAAGNGHEAVVKLLLEKDGV DLNPTDRYGRTALWWAAGDGHEAVVKLLLEKDGVDLNPTDRYGRTALWWAAGDGHEAV VKLLLEKDGVDLNSTASDDSDGRTALSWAAGNGHEAVVKLLLEKDGVDINSTDSDRRT ALWWAARNRHEAVVKLLLEKDGIDLNSTDRYGQTALWWAARNRHEAVVKLLRKGMQE EPUS_09275 MKIHNRADGLLLGSWQPSQGLTTELFGAAIDNPYVPTATAITAL LRGSRMPNENTRPAPRARPWSILKSLLFCKLGNVVQNLNISESSGLPDKSGPMRISPK RSIMEQDYQLVKQLIKPRPIETRVYNAIILVGYAVIIGLTWPFSLVTGTLSLTNGGPA GAIWMYLIVCFGMFSVVLSMAEMASIEPTTGGQYHWVSVFAPQTHKKLASYLIGWMQG IGWAAFGAGASSIAASAILGLAVVASDSYIPETWHVTLITILICTCAIIFNIFLAKRL PGIEAAVFILYILAFVADFIILLAMGPRSGAKWIFAHFEDNAAWGSIGTACFVGISGP VITLIGSDSAVHLAEELKGDDVKSILATSTGQPYIQVVWNATQSRTATTVLVAFIILF FIFSGINQNVTSSRQIWAFARDGELPFSGWLSYVSPTRNIPIRAVLLSWFIACLLALI PLGSTAAFINIQTIGNSGLLVSYLICIGYRLNHRIRISLYGNLDKPPSFCLGESCGNI INTVAIVFLTCCLISGMFPVAPNPTVESMNWSSLALGATLFIALISYIWLRKTYLGAG VGRPIELVDNGVKKVKTLDRRS EPUS_08426 MPSATSFAQHTSDHYGNYEKDRQTKSQDVLYTTSNGVPMPHPYE AQRMGENGPLLLQDFHLIDLISHFDRERIPERVVHAKGSGAHGEFVCTDPLDDLCSAD IFSAKGKKCPLTIRFSTVGGESGSHDLARDPRGFSVKMRTDEGNWDIVANNTPVFFLR DPAKFPHFIHTQKRDPQTHLSHSDDSTAFWDYLSQNPESIHQLMILMGDRGIPDGYRF MHGYLGHTIKLINKNNDWVYCQLHMKSMQGIKFITQEDSANYSPDYSQKDLFEAIEKG DYPRWSVEVQTMTPKEAEELWEKQKINVFDLTHVWPQKQFPRRKVGEMILNENVRNYF AEVEQAAFNPSHMPPGIEPSADPVFQSRLFSYPDTHRHRIGANYQQLPVNAPRTGYMP GNFQRDGQMAFFNQGARPSYLSSIEPIRFRERTIDLDKAHSHFTGNAVSFLSEIRPED FNAPRTLWEKVFDDGARERFVNNVAGKMAVCPNKEILKRQIAIFREVSDELAKRLEKA TGIEGYEGIATMQFNGTHNGMAKDQKLRNANGMKSKVGVSVSVNNGAPRRGTHKGLEE KDTDGTNGTNGTNGAH EPUS_08427 MNDKEISTQEEAFPDRERRGTLTSINLNKNLAAKISNPLSDIPR DVLMKDVEDFAAEHDLHDIVPDLKKGALVAQNPGGFDEMEEISPEEKDALRFEVTNKW RHPGKLYITIIICSIGAAVQGWDQTGSNGANLSFPTEFGIPIGQDIPQDQREHNEWLV GLVNAGPYIGSAFLGCWLSDPLNTYLGRRGAIFVSAIFCLLTPIGGAISQTWEQLLIT RLLMGIGMGLKGSTVPIFAAENSPATIRGALVMSWQMWTAFGIFLGFCANLAVYRVGD IAWRLQIGSAFIPAVPLCLGIFFCPESPRWFIKKGRLRQAHNSLLRLRKHPIQAARDL YYINAQILVERQVIGHSNYLSRFTELFTIPRVRRASLAAWTVMIAQQMCGINIIAFYS STIFREAGFDEFAALMASFGFGLVNFVFAWPAFFTIDTFGRRSLLLFTFPQMTWTLLG AGLSALIPRSNPAHLGLVAMFVFLFAAFYSPGEGPVPFTYSAEVFPLSHREVGMGFAV ATCFGWSAVLSITFPSLLGAFGVTGAFGFYAGMNVLALIMIFFWVPETKERTLEELDY IFAVPVRTFARYQISTALPWWFKRWVLFNKNATLKPLYTFDSGLADRKAMLRKTGKV EPUS_08428 MDPKVSAELSKLDARVPFRASTSHLHHTWAKTFYSRPELYIQPE SVEEIQKAVTLARRCRRRLVVVGCGHSPSDLTCTSSWMINLDKFNRILSANQETGVVT FESGISLHDLGVELGKMGLSPPNLGSIDNQSIAGAISTGTHGSSLKHGLLSQSISSIS LVLANGHLVRCSAASNPSLFRAALLSLGAIGIITEITFTAVPDFNLAWEQSLHPLTEV LETWDTTLWTSAEYSRVWWLPYMKRAVIWRAKQSNGPLSPPKKSYYGGKLGFHTYHNL LFLSHYIPRLLPWVEWFVFGMQYGFKPGKATSMAVQPAREGLLMDCLYSQFVNEWALP LDKGPEALTRLSAWINGDHTIAQIPVPSKGIWVHCPIEVRVSDTTTSRNASGTRPFLD PTCAQGPTLYLNATLYRPYGRDPPCRLRYYEAFEWLMRHLGGRPHWAKNFTEDSSKEI PQMYGDNMKEWLKVRKDSDPDEMFVGEWHRRNLPLGQPGESSVAEREKERKPLGQGDA IEWVGQRAVPLQVKESQEIDAFQGSSPSPPMTATSEESFDYLAKGEASMLMSRVGEYD SS EPUS_08429 MHASNDDAESVDAFLERIASLKDKRDREKEEPTRKLEEDILQGR RERQARRAERTRSLSPPKNSQPATSPSSLTPSYHGSTPDHATQPPVILSPSPQTHTLE RSGQSEAMKYSTPDGTQNHLPPSPIKCSVSSSAESTAISRSGTLSWQQRPSSRDSATP RNRPRSRDNDETAPATRDLLDEERTRDQIAQSLNSKDPSWFRQTADRGAHSDAYRKNR EETQLEDGCSSRQLPGMSRASSVDPTKAPLPSSSRDKSRSPSQASSAADTNSWGNRFS SISSHSTQETSRSPLPTKPSQRFVLSAEPLGTDHPEVKRTGLPSLQDQVSRERPTSPT KGLGGFVQSAMMKRSDSVNKRWSAQAAPGLSRGNSTTSYRGGMGYSSSLIEVSRSPPR EPAASSPRPTSSPAPKSRPSSSHSTSTALTHGTLTAQPSYAPNLSMEAAPASSNSSTD KDVATVEISSKEQQIIPKSPVLQSSEALPVSPTKTMDPKRWSPTKASWLESALARPES PKLTSPKPQTPSWMAELQRSKLSKEDSDPVKSPPSGFTMASPEKARKIAMEENRSAQS NDGLQDRFEFVMTRKVSSERARDPMIEEAKSTQPTSSAVVRISSTSSAKASAENTTPS EVGDASIGGKKEEIPTSVPTSPEKSPPSIKPKLQPPPRTDFRATLRPSRLISEESTSK EPEFKNMFGKLKRTETRTYVAPDALKDNILRGKAALNLTDGPQKGKRVDDFKESILKR KESMKTEASLPRKLINETDRNHPTLQNSSTLPEALAKRSTLKKRINSAQASPTGSGQQ TTSVISHPLPSQPVREDVSSAEAQTHSVDAAFQPSKVASNLHGEGPVSKSQEQGSPSA VQPIPIGKSAVQMTSKPATVNSSFALEKHPRIASEATEQSVQSKTENKLASRLNPGLA GILSRGPISTASSRNESSEDVSSARNAGPPSTVEEPAGSTTRSLTHMTKERARGPKRR LPNTACASAKPQDPSSISDKSRMLGAMLDETKSKMPVKNAAFQDTTYSPYGRQAVNVS AQPLADLINRNDQVVQPVTAKKQLFSPQAGQHVQEAVTNLLPKAHVKDKPKPAIAEKS PTLRKISSAQTGTSRVTTTTLNQEPVPQRDRTSKQAFPSYDDGREMGGSNSAISRPTD LIQRESSASTSSNIISKSGAGAGLAMPNRPLHVQPNGTSAPPHKPALSGLGLKLDSST VQLPHSQLTPPPDEQSTMALDAQKSSFSAQAKGTSGILEPVPSQQGYSKAAELLAEFF NEKPEIGDKVEIDAQTTILNRAGVGGKRKVLRTQVWQINADGRKESSPPQQEHILFED CMYLCVHSFESLAGSVATEVYLWAGDGVGEAAMEDAQLFCRKEARENTAKLELLRQGK EPAKFYQALGGIVITRRSRTSALYMLCGRRHLGHMAFDEVDLDPASLCSGFPYLISAK FGKLYLWKGKGSGADEVGCARLIGMDLGLTGEIEEVEEGEEPTSFIESLGGTMHPQMS SQQWSLRSRSNHYGCRLFRIELEQSKGMSGFWSRRGSSPAKATKASAVEIHPFCHRDL DSRCIFVLDAYFNIFVYVGAEAGSKSAEFATAVMFAQEYGILASSLEDRPFIPSCNIT FYGGPPEFTAAFRKWEQSTGSRSQQQQPCQVIPLNNILGALSGATFQA EPUS_08430 MSGRFQGDDGSGYIWPDEQEVKGRQLQHEQYHQEPESNGTNPKT ELGGRPTRT EPUS_08431 MAANQSRDNQNEVSQKAPRKKGKWPMEENKKPDNTDNSVRETQE QKLERLQKQEITKLGVRIRQQSSEPTTSPQTLIIARKASFNLQAAKNSAETQRATCIA SSTAITHGGGTKNGVEVETGPKVACQIPEVLLPATSIPVQTMKNGDTAPNDVNAHAKD SRQEPKDRSLRSSTISTRGRRGARFNNTKNPRWATSAEVKPPPAPPKSIDSNAFGSEV PSDAQTGSGDSLAAMENGKLLRKNRPAGVDAPLADWSGNWMPPPVDWDVRPRFNTNSV DFIGDLGHWKQKTAAQSLNTTTGLPFTRLPMGLVENADLHPDGLSLVDPSMSVNVDNA EVYGYSGDAIETIQHDANLIDPGIFTADWGKLDLRNPDNLKFQNECCNDLLRNYNAAI SKEREQEINLKRAQKLARKREGPIIQTPNPHTPRINIYLRPAVRSDIPQLQDIYNSYI QNSVRPSELHSITYSHMMTRWTDSTSEKLPFIVAASKSAKINRGVGNAVEKIVGWASA TDWVSAHSIERFTVELEIYVHQQHLHQGIGKCLMDKLIDSTDRGHIARKGYPFACAPE LRHEYSAGGARDLMTLVILVRGLSKPQNAKEDDVPWIKKWLEDEWNFEQQGHVSCIGA KFQRYVNSTTFVRSTAYKPKEQMIPEEYH EPUS_08432 MSYPNYDQYQNPGAPDGSTGPSAPTPQDGQMGGQMNAQMSGQPT EQSQTPFQSAPSGDSTTPGGGPGGDQKTTLWMGELEPWIDENFVRNLWFQMGEQVNVK MIRDKFSGNAGYCFVDFSSPQAAAKALTVNGTPMPNSTRPFKLNWASGGGLADRSRDD RGPEYSIFVGDLGPEVNEYVLVSLFQSRFPSCKSAKIMTDPISGMSRGYGFVRFSSEE DQQLALSQMQGVYCGNRPMRISTATPKNKGGPGGPGGMGMQQGGGGGGGPMGYPPAMG GGPPMGFYNQQQPMNQFTDPNNTTVFVGGLSGYVTEDELRSFFHGFGEITYVKIPPGK GCGFVQFVQRHAAEMAINQMQGYPIGNSRVRLSWGRSQNNSGPAGTPYRPAPPPPIYP SMGMPPSHQYVKRILDLSKHKLEFLSDDFFLLACFGAFC EPUS_08433 MSQLTKCIDHRLPAPVRRVWHTFIRPALPDWSFITIHYLYFILM CLVSSLLFRVTSTPSKNVSYVDSLFLVTSAMTEAGLNTVNLSQLNTFQQVLLFFLIVL GSAIWVSIATVHIRKRAFEQKLQELADNKKKRLRLSRSLRTSLSKTGKDTGDRRIAAI ASGALRGTALVEGDGRPKDDCNLNADEHITFDLPLQQKADDEHVSLDNLKELSHSAYR GHSSPLSLDANLDSDKHRPATARYDSYDVRSPAAKSSVADNVRILETQRSPAHGCDID STTIPLQRRHTRIFSGYGVGARPSLSSHPRNATLDISPTRSIDDEERAFGRRMQSLTF FDKYLKGFNGLIGRNSQFHGLSEKDRRKLGGLEYDALVLLSYLVPTYFFLFQLAGAIG MGAWMQSNRPDVALKNGLDPFWTGSFFAISAFNNSGMALLDANAVALQTSYYCLLTLG FLILAGNTCFPPFLRLIIWTGKVCIPRSWNDSKWDKRRQVLQFLLDHPRRCYTHLFPS AQTWWLVGTLVVLNGIDWVAFEVLNIGNPAIESIPTHFRVLDGLFQAFAVRSGGFYVV SISQLRPALLCLYIYMMYLSALPVTLTLRNTNVYEERSLGIFAEEIANTQASPPQPPP RRDSTSERLASTLRRHITGQVSTSADSPTITTATTWSRRDFLQQQLRGQLSHDLWWLA LAIFLITIIETSQLSRDPINFSVFNIIFECVSAYGCVGISVGVPWDNYSFCGAWHTGS KLVLCAVMLRGRHRGLPVAIDRAVLVPDQRLGWAEEEDAVARRKRTRSIGGGDAVTTT TRRRSSGSVSRNALREREG EPUS_08434 MSPECNTPEQSIRQHPNPQPTSRPSPKPDPTNPNPLYLLAEAAE LSSLPQEDLAAASILLQLHREDALLANSNPSREAKTISPDTDSDATIPDDTPYRSVVG GGDGGGQQNQEKQQRPPGSPSSSSSSSPAEKAAARRGGKRKREVRMRRGPASCL EPUS_08435 MVLMAASRHRSSGGSDLGDSWVVNDSDEDESSPDEDPPTTPEEP ARRQRNRSQNHRIVTQTRQPRRSSRTSSAAPEPELVMPSIHQGQVEGSWTSTKERPEA VTSPRKRNSKLATSFPGEARSDHKSRRSSQAQMRNVKSSKDAAVDHPSDRVLSVLGYS VDWFLDVFGGALKALRKPISLVIALYLFAGLMVMVQNILTRSIYSALSPVCRIPGMSL LGLPLCRTPLPQGYQDTPNAPVEFDQLMNVQGQFEEVLEASTAGISLPLDMKRGETSI RDLRQIVRFSHLNSKQEMILELDGFIETARIASYDLQKFNSHVGRGVDNVLATARWTQ KVLDDIAIKKSSRGLLPAFVHDKFLAPFQPLKFTENTLLSQYIEHTRIVSDEIETLIA EAQALLYVLQNLEDRLDVIHGITLRDNIHAQGQKDEILSQLWTLLGGNRAALGKYNSQ LKLLRQVGEYRKIAWAHVSGTIIRLQAMGAELEELRERVGSAELLEGRKEIPLSVHLE SIRLGVERLEKGREASRELEQSHLNRVLDHGGKDKKMEIRLVEG EPUS_08436 MAPTETISSRLVYRQTERNFGSWRTRATDDAFILEAWSEGCMVG ALMIMSCVTVANMRRGVLLHKLILLELLLAITHGTFCFMAFEGYGWYLSSTAALLYCS YFLHNIVAWVKIRPFFVEPRSLFQPRTAKIVRRVYLTTLALTIPPMIFQIVNNFLFFN NKSEMYNKIRPAEPLFRDPWWIFTCLALFHVIRKCYGSSVFALIARCPRFGILLAAIC LSIVFTLMDTFATLIPQVRGVVNGINPYWKLALIFKCLTDNIMLDDFKTELQRLGGGT NLERDIGPPPSPRPLPRTMEKSSDDHIEVTHAFDAQDRDWDWLDINPPKAIHQPFSIS RSLP EPUS_08437 MNIARPLTFYVPQHRLAIRTLACTETSSFQASPAGNRLRGLRRH FASSCDKMAPTTKQYDYIVIGGGSGGSGAARRASGWYGAKTCIIENGRSGGCCVNVGC VPKKMTYNMAQINRALRNGRHYAYDIPENTPFDFGAFVEKRDARIEALNGIYESNWAK ENIELIHGKATFLSPHEIQVDMKDGSGTVTLTAPKVLIATGGYPLKPTNIKGAEYGIT SDDFFSMKKLPKKYVLVGAGYIAVEMAGMLNALGVEVHMFLRQSRFLRKFDPMIQDTM TKHYEDAGVIIHKNHPDIKEVVQVQAATSESDPSSKQLKLIHTDGSEFECNELLWAIG RAPEIQDLDVKRIGMELGKKGHIVVDEYQNTSIPGIYAIGDVTGQAELTPVAIAAGRK LGDRLFGPPELKNSKLDYNRIPSVVFSEPNCGATGLTEPEAIDKYGKDQIKIYHTKFR AMFYDVFSEPERSQNPTEYKVITVGKEEEIVGMHLIGDEVAEAMQAWGVVVKMGAKKR DLDSCIAIHPTSAEELVTLK EPUS_08438 MAPLDKGKGKAGLDAEYLPIQEAPPPPPPLSEQRRKQASRRQTE EQKTDDHDPEDDEPLPQRPSTGKEGQSTQLDANYFAIDLGKTDAIYVYSLSFLRGYDP GSKRRERRVLQLLLDQYPACKSAATDYRERLVSIKQLTEKNLPRTLEVFYHEIGDTPV HGADALKYYVTVSYLKKLELGSLHDYLGGSSSGSQYTAKDETVAALNLLLGRHTNQST HVSKIGRGRVFDQRTTQPLRAPLRGGLEAAVGFEKSVRIARAGLLLNLNAATSAFYVP LRMDELIKQWTDEACPRNYRENKNAWQVQHLVKLERFLKGLQVRATYAPRRFHSVWGI ARASNGSNRPIPDQVMFDLEKKDEHGNAISSESITVFEYFRRKHGIRTATDCLVVDVG SQDRSIFIPANLLDVLPGNFYRHVLLGAQQSTMIQFACRPARIANSPTPNHGLITNSG VPMLGIRAQRPLNGPYDGFGFNVDLHMLRIDGRYLTAPVLQYRQYNAGGPVENRTKIL LSDATKHGAWKIHDCALALSAKRHNWSWIEFTKGPPCDEVQRQVFLNAIEDGYKRYCS FDNIEPWDHPDGLSSHILPYPDGKLEEQKKTLQEFLNKFKVNVDFLFVILPEKSIPQY AMLKLVADTLVGIQTTCVVKEPQWEKEEEGTQGQPSTSERGNQTSNQGNNPRGRQQGY QQRSRPGQQHRGRPHQGHPHQGHQHQGRPYRGSPHQGRPHQGSRQGDQQLTIKEQARA YRGKTQIKCKPEVIANIMQKVNVRLRGTNTVLANAKDPKLFTPETMVVGADVTHPGVG SLDKCPSIAAVVCSTYTSFSHYTASLRCQGSKVERIEKFTEMLVERLEYWKAKSGAKS GGKSGAKYPERLVIFRDGVSEGQFQMILKQEWPQIQKACRETYAKAKQQFPKILLMCV LKRHATRFFPRSEDDRDVLGNPKCGLVVDQGVTYKDGYDFYLQSHAVIKGTARPAHYV VLVDELNLAPDIVQRETYQHCFLYGRCNRAIGIHPAARLADRACDRGRKYLQHVFSPE NDRQRTFDRATPEDRGAWIQDVHDDLKNEMFFL EPUS_08439 MSSPSGSPTPASIARPTKRASPASSDTSVCTPTLSLERSGSSAP ASSDRGFSTAAQSSQKSPRARPPSRPPQATRKEPTTSIERNSPITRSTAYPSSSSSFS SSSACSPSAAGTSHAREPPSSRQIPTRDAKTTTTSHDAEQIRDQLLHAKCTLLIYQTS LSQAHPGTDTSELRRQIAAQEARIDGLTRRFDAAFLDTEDEESSDGPGWIQQLNKAIR EQDALIAAMGPGR EPUS_08440 MLGRALLPLARPRTLTPAIRIALSTAQKRSYARERKPKIQSEQK LTASTPGSQWQTQPSAANSVPAQNIPSSNNYTDTQPEFDTSKKSSGDVAPPAEEPSLV NGGQRKDQTSNITPEADAFEEELSNSNRDPQQPLPDLTKGIPSTLDAELKQAHSRGHE PPASLNTTDDPAEPIPGSGGGGGGRGSDGLPKNAYISSNERKKNALIKYTYLVVAGAF VGWTLYLGRNWESEEEEKKHTDAPSGWGLGLFYNRARARLGATLSYYNEPAFPKLLPD EEADPNLRAPFTLVLSLEDLLVHQEWTRESGWRIAKRPGVDYFIRYLSQYYELVLFTS QPSFIGDSVLRKLDPYRIIRWPLFREATLYKKGEYIKDLSYLNRDLSKIIMIDTVPSH AKHQPENAIILPKWTGNPNDQTLVQLIPFLEYVATMGFDDVRKVLKSFEGTYIPAEFA KREKLLREKFEARLAEERKKKPKRSVGGIASVFGVKGQPAPDGIDASALAEGEMLWDQ IRKRGQKQYELIDKQIREEGQKWLDDMAAEEKKLQEESISSMKGSFLGFFGVGGSGGE KK EPUS_08441 MPSMHTIVIPRPVSMALRAVELLSAIIVTAIIGYYIYPYAQSKT WPAKRFIFAETWACLSIILSVLWLVPSMAHKIPWLADFVTSGGWWASFGLILQAINQS NNCGSFFSSGNGTFCRNWKAVEAFCFISGIAWIVTGLFGIQFVQARRRGGRGGGRFR EPUS_08442 MASVWHDGLVEAFPVPVTKLHSAPFNSPRIEDAIKALTLSPPAT SPFPFFSLPAEIRNRIYSLVLFSPTKSRKPSSNRPPPTSLYLISSRTHREASYIFYTT QTFRLFPLQEFKPLPTVSELPPRYRSLITNTELILGPGWTAPPKSWKVTQRMAKILRQ LCRVRCLRVFVEVDPSHPLFARFRVSHGFYTEFAGNLLRVVLVAMPQVEFVQLDGNPS VQMDGPLVVRLRTEIEGQGRVVKWGKERGWSAADREGLQAEIKSEDVNDWTAETQSSN EMLPEVGTASARGEYTSGS EPUS_08443 MDRLNRMLAAAQSMPGMNQGAAGQDTPNLIDNSETVYISSLALL KMLRHGRAGVPMEVMGLMLGEFVDDFTVRVVDVFAMPQSGTGVSVEAVDPVFQTKMMD MLRQTGRPETVVGWYHSHPGFGCWLSSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKV VIDAFRLIAPQTLMMGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIGIQYRKTGLE ENMLMNLHKEVWTEGLELPGSFEGERKRNEEGLRQLVHLAEGYEKRVREEGELTKDQL KTRYVGKVDPKKHIEDVGQQLIEDNIVAVSRQMIDKEASNAKKAQQTNGHQENGGMEV DEEL EPUS_08444 MALFAPVDTANLNGGSGDKKRVAYFYDSDVGNYAYVAGHPMKPH RIRMAHSLVMNYGMYKKMEIYRAKPASKFEMTQFHTDEYVEFLQKVTPDNMDSYAKEQ TKFNVGDDCPVFDGLFEFCAISAGGSMEGAARLNRGKADVAINWAGGLHHAKKSEASG FCYINDIVLGIIELLRFKQRVLYIDIDVHHGDGVEEAFYTTDRVMCVSFHKYGEYFPG TGELRDIGVGQGKYYSVNFPLRDGIDDAAYKGIFEPVIKAVMDWYRPEAVVLQCGGDS LSGDRLGCFNLSMEGHANCVNFVKSYNLPTLILGGGGYTMRNVARTWAFETGVLVGQN MQSDLPYNDYYEYYAPDYELNVRASNMDNANSKEYLEKIRTQVIENLRRTTFAPSVQM TDVPRDSMAMNEEDEAELDDLDEDQNPDKRNTQHRFNKYIEKPGELSDSEDEEMNEAN GVRKQPGIRKRRNRLDYRNLTDWNGDSAITSGAATPQAASSIPENDVDGDVNVEDVEP AKTQEQSTASPANAANGDMDASVVPSTKPANEEEDVAMEDVGNEAAEPPTDTSGTIVA QHTANVQQAVTPPYSPVGFATTESSTNGETSGAAGPTIKTEMTAEDAAVVAQGEGLAD REVQNAEGEAAAEHDSKIS EPUS_08445 MSCADNSGARNLYIISVKGIGARLNRLPAAGVGDMVMATVKKGK PELRKKVMPAVVVRQSKPWRRADGVYLYFEDNAGVIVNPKGEMKGSAITGPVGKEAAE LWPRIASNSGVVM EPUS_08446 MESFLDQLAENYNNQTSSQRIVANSSESELNEWVVEGYVGDPPT FNSPQSIMLYSPNFHPCSPWQKDIQEPLRYLKAQYEIQSDPECLAITNVTVDPFYTGR DIKRAAQAIIYFFEPLIILIPDELKPDSVQRTNQRDSLSSTETKKAQSQSIVTMEAIG TIETFHFDLLDSTALELILDFFQQANAEYCFWCFEKLLCNPAISFSPGSETHSTVQDA FRWVSVRLAFIQGFLAYTSLSHLQRIPQTCEGLREFMSGRPPPAGTTLRGRYNVRGVR VG EPUS_08447 MNNLTYSSAIRPSTQPAAILANTDNVPLTEDSLVDRQYSLIPRQ TPLQILQGDKPKQAFDDRATAPLDDSTPNLLGIDLDSRLIILDCLLVNRNRRVIDKKQ KHFGEVELEGPAQDIDYLEYGHYALVSFPCLPCTTNQLEIIRTKGPTSIAGAQILRVC RQLYREGVPILYGKNSFVSSNGRSFNDIWRRATPLNTSLIKNLTVDISIRNTDDIGIE LELNIKSLEQDLPSLCELTLMSKFADPHRQRHGKPPHTKLEAKHRTILCTAVDIALHH ATLGTAIWDARSRRMSPDELIGGLLAYEEDQHQLAVRILARDHAVKLKEHRRQYPLPG KEEVITKDFVLDCHAITQVRLAHLIGMSPSDFALPDGSSAAVIPAS EPUS_08448 MSPSNNISSWHSQSRWNKLSRADVHPPMPARHTVGPFLLGIPQE CRDMILKMLLENKAQAWDDYIIDKDVQPIYLHIPWVGDNGDSASPYKISGDRINLGNV SVLRACRQLRWEGAHILYGHNSFVTYNFPQLKYRLHTIIGRTNMKYIQRVTIGLPMKH KRDPTPFLGGFLEFFKEKLPNLTELNLTTQFYQFDKPLMQYSTNTRIGEEFRAMLNTS AWVTCRHPQLKKAIWLVESGGTMRVPLINWAMVVNTDVDDGASDTNEHGSESEYADEQ HDNGDPNLQESHNDLLQTGGIESSNNDAAAGGKGINDMPHEDDDPDDFGRDMTNDVHR CRLTVKILAEDRRFKIREQVRIDLIPRITKVIAKDIIVDSRAIRRAGWADLLAKDPRD FALGESATSSEPPKPVVIRSRDHGGMIYANYEIVDDYLRDQFKYLSLKDKIRLTPKQV ALEDDSSEVEGSGEGPA EPUS_08449 MSDSITIPGGLTAPIATLPEKFFPFLSLPYELRNRIYHFVAVRP DGYIGQADNQGGECTIGRIQARLQAHLPKTVFDKIHVPINCLHPDHEKMQLAKDFRNY GCLNITHVCRQMHKEFTPVFFERNGFELRDASAFIAFVVKMQAVHTSLIRKLRIYHTI TLNTDDIQAIFGQLGGISGDTFYCLLEDNYLNDATSCSALLHVLRALIESCNSLQQFD LVYRLEVPAEHFHVLNALNTPYITQHAQSWRGEPMRWDLHTKNVLQKLRQDEKMRQDD PIAVEVEEFKTNDFTNQVATASGRRQLFFDVYADAMTEACLSHAEELIEDVVQNRTKE AP EPUS_08450 MLKEKRARSRYGTYKHKRLCHKRSEIRLIRLKAAKAIRDVVHCD VFLVPLEHAPRFEAISYAWGNTSDLRPIGINGDKHMLVSKSLESALRYLRYQGKERLL WADAICINQKDIPEKNKAVSKMHSVYQRAERAIVWLGSSSHPWSFQPILDALEDEESN EDLFTWRCQILTKPHRRARAIQGFAKLQWFFRGWVVQETCFAREVIGQYGKHAIPWRQ IEHMVDRLSITASGTMSGIMRPEGRLILRLMRVVSTLRNLREHHHKMQPMDLAHMMAF SRTRMTSDPRDKVFAFVNLLSKIPSSLQPDYNRSTPGLFREVCRLLLDEIGLKLLAEC EAPTNTGPGISHCRMLSWVPDWSYTRQCEPFPGGLSLTHLGESYRAGSYGPASFVYSA DSAILHLTGSIFATVVFLEPGGQNQLSDGVLQHTLWENVTTRCQEAAAGTLLSSFTSS GCPAFTNHILRMEHLDRTFEGEKTDDLESFHRARWPQNLRRVDGRSILLTSKGHLGWA PPAAVVGDFIAVFPGCHVPLVLRRVHVERFVDVNLVVEHDACGCTQAICGAEESAFYR IIGEAYVQGIMEGEALASTDELSKDNKLEEIAIV EPUS_08451 MEVTKAEAAPSSHEELDGGGRSEEKVVKPSGPYIIGLIWNQDAP RTLRSRIDDAMPPRTVRWASLLESDYYAGSDDIFSVDTVAKLKAPKSSTALSTILIAL LSATILMAFNPSSMPSFLSRFLRPFTSSTSLSYHPESLSAQTFPENTQKATLAAGCFW GVEHLFRKKFGNGNGLLDARVGYTGGEAKAPSYRSVCSGTTGHAESLLIMFDPDKVSY RKLLEFFFNMHDPTTLNRQGGDMGTQYRSAIFANSEEQLKIAQDVKEKVGKYWWTERP VTTEVKMAGPWYDAEDYHQLYLDHNPGGYECPAHKNYEKDKIPLPE EPUS_08452 MSAAGGASSISVTVRVRPFTIREAAQLTKCDDSPFLLGDGSLAA VPTPKLAQKGLRSVIKVVDDKCLVFDPPEDNPVQKFSRSVVPQGKRVKDQTFGFDRIF DENTSQGDVYEATTKSLLESVLDGYNATVFAYGATGCGKTHTITGTAQQPGIIFMTMQ ELFERIGESTSDKVTEVSLSYLEIYNETIRDLLVPGGSKGGLMLREDANQAVSVAGLS SHHPQNVEQVMEMIMRGNELRTMSPTEANATSSRSHAVLQINVAQKDRNAAVNEPHTM ATLSIIDLAGSERASATKNRGERLIEGANINRSLLALGSCINALCDPRKRNHVPYRNS KLTRLLKFSLGGNCKTVMIVCVSPSSQHFDETQNTLRYANRAKNIQTKVTRNVYNVNR HVKDFLVKIDEQIALINELKAKQKEQESIAFAKFKKQNEKKESIAREGIARIRAAHEN AAAERQEKTNNMIKLRQIGRRIAMLSSWIAAFDNVCDNFEAEEPMKNMQAIRRTAQGI MVELENSRHHCNQKLAKSTWDRSLNSALDNGLRQLKEVETGDALDAATLSREVELLKA NVERDALLAVAEQDKLGDAATVQVLLQAHFETISHIESLNRMSVDEAVEAATGILNKM LMSCTKATSHVVKPDGSIAPVELFAPTKSGTPKRRKVSAVPGVSPVRPVMHAALAVAP HLSSTPVKSSPKRKLMGSARKGLIITPKKRRSPIKGLKRGVRWRDDTEDGALAEFEKT PQRPEHTPDAEVSSADATLPPVSTLTTSPLETSREPDSSPLPDPPQAGMPVIESKNNR FKTGFLSKRSDTGSPPSTSMLPPAPTMTRLSSSDTEQSPLRDIEPGHAHNRRSSNNPP PASTAENSGSDTENKPSSSSSFTAAASTKSEAIKIRAAMKRASLASTSAGTPAYMQSH SRSQHRRRSPTAATAVSSGSPPNGEALFSAGQARRMNNGNGRSEKEHSQEWRAGVLSP RVTGVVGKSGGVRRMTMMGVGEERRGERAERAQGIGIGQGHGHGHQRMSSVNGNAHGG GASRGSLMPGGKGVWR EPUS_09303 MATTTSGDKGCDRCEKVGNWDFLREFYARDETNRLHTQDLEMRN LGFIQQIQHVSTINQQNAETIQTIQNQYVQLEDLYLQSQATCKMLERDVDGQRQEKET TSLRLELEQNYHRKTRIQLDSYWKVTEKLSDLLSDTWAHLKDDDSPAPNIGAVLLENE QQRCKIAELEASIKVLLENGKQRCKVAELEGSTTPSKNKRSPDQANLEVHHPSALRPG KRRPEPILAPAK EPUS_09304 MDPDPCLDFDWDAFLKQDFNSTFSVEHETDGSKCGFVGIQEMLE SDAFALTGHFPGFHLLEPLSENANNVHEPPAPETTAALSDPSIDTPDQHPSASNQWLG TSSASEVPASQNVNPNSPNEKDAATLQRDDPAASGQFSHWCKDIVAQLTELRNEVMIR NA EPUS_09305 MGVEGRTPGGIGTKLRTLKALHPELQGEAGVWIWNQVDAYIRDC NIANLDELLYFSPTELEAVSQYEHPKTLTVLIRNLTTSSVQDI EPUS_09306 MDNGGKTKVITSAARNLGKNPLLLLPRNAKTLTAAVGGLLSLSG LISPDNPISQAGGELNPSLAGLLNLAEPLISHASPGSDLSFDIGELGRLSSEPESGET SGNLPFFLEAASTLVPLAAGRRRSRSVSSSSSSSDSTEFDFDIHSASRHIYFPKFRAK NHLWHLPNLHKATLDIVQAVWKLYYKSASSSEFERKVAAANHIIQQALGGYVSSTRDK SIDPEFYGQVRVGHFLAVRTALDSVTDRLTEIQNGDYHSKRTVSIIQGCGRQLLHIQE VSEQVAHTSGAISDFVFDRVRYLAFSFLVLHVEKALAILHNLQYALVNPDNLCLAKFL CTLYKCIDEHHDAATIKRVKNEILRTSESSEFLSDNPEFYNKSVSDWDEPNKDDPLSS CSSPGIASDTTASISRNHRSRRMSPKNLLRGLDPGNIVPEGERRSRSGSQSQSQPARA QSAPPSKGKAKKPEVSSHLEDGEEINYPLLPPAQESTVQKRPVRVGEMETGSPSRQGE NDTRQGLEPSDHIRIPGGFDDSVPENDLNEGSQGRGEENRRWKGKDREYNDVPDPYDR EGKEKRRGKGKGRLEPSDHIRIPGGFDDSVPENDLNEGSQGRGEENRRWKGKDREYND VPDPYDREGKEKRRGKGKGREYNDSPSFYDRNSHYDRGGPGHYDREGEEKRRMNGKGR GYNDGPGFYDRDSHYDRGGPGRYHKDGYDSYSDSAFESEIDKSGEGSSESADDSVEEV MPSTERTQYNPRTRSLQEIERSMGKSFPSMNLTDGVVVGWNWVGRIGTRVCVLYRKGG AQTGRVEAGSLHSFEKSAATNIAAQMQSNVQNRSTEDASMSEPYYNKDHVKGLGTVFW DAGEASDPLSVLQPSKQPGTVYPWIYIEVEWKHTKRITLEPRWTLPRLLKKSKYWVAC MIYSTAEQQERAIQKALWPRRKIPKAVLPSDPYTENPYSRRRRSLSMARKAVEDQTDS DESEYLADEEAREEEEEEEYKEGEEKGRQARSKTHDSGYASVEPAGPASYRKDAPRKS DREGSRWRSQSWNSHESHRSRDNASPERNFPERRYRSRSSIEEVPRETADGRGRANQS SQPRSGREYSSPSRYRSSLRDMWPQRSPNSATSSSQLHSRKSAMKDSDRPRRRDRSVS FRS EPUS_09307 MSGLEVLGGISAVIAIIDGSVKVWESARKDLKFSATFETVGNRL PILRDILQTCLEQFEPIKTSLPADAAHGLVKTVNNYKRRAESLGIIF EPUS_08304 MAATAHFKALTLLLQSAKYSDLTLCCGDREFAVHRAIEASSGRI ELKDDDPETVERMISFMYSLDYQDEQRGNVEAARSSDAEASNASNSDATVVSAEREVL AETLVNNNDGNVHSQGDSQPTLFSSVRVYAIADKYNVQSLKDLAKQRFGRWARRNWAC ADFTAIIREVFNSTPSSDRGLRDIVSGIVANHSDFFIQKDGFRELVEDVGELGLGMLD KLMIRHMEENSALLLQIQSLEAEIGVSKLLQGKSKQALARKSSELDAMMSRVNDLSVC RHCNEDFNIEVEDHFPGRAIIRCRKCRTRH EPUS_08305 MASHYAHPNLSPPKSGLQTVPEASASLLDNDFSVPRSSKEITVK PAELGNSMLRGYASHLDPLQNNGEIYQDHHADHFSSLSIQSAGRTVTVKETAVASSST APASNPIRPPKSFFNHRKTTRSAEIPRQTIMKALASRPPGSANTSLPLAASLTGLLTS PDHEKDQKLSNLSSQRLSTALANLQSTAFAERSPPSTRLPLQSPCFFHQRFDDAVNID KVLEDVADDEWLSHSRLMHTATGVREVSKQLQRRPIRRAVRNIMIVTRARDNKLVYLT RELAQWLLATPRYGRDVGINVYVDAKLRTSKRFDAPGLLEKDSRFQDMLKYWTPDLCW TSPEKFDLVLTLGGDGTVLFTSWLFQRVVPPILSFALGSLGFLTNFEFDKYKDHLDRI MGDGGMRVNLRMRFTCTVWRVDRSPGAERGAVEEGEQFEVLNELVIDRGPSPYVSNLE LYGDNELLTVVQADGCIFSTPTGSTAYSLSAGGSLIHPSIPAILLTPICPHTLSFRPM VLSDTLALKIAVPAASRSTAYCSFDGKGRIELKQGDYVTLEASQYPFPTVMRGGNEWV ESVQRALRWNVRGAVQKGWGSSAAGEDVDGEEGGEGEDEKWDIDTDPGPSGGLGTDSG IGQSEDGVSNTGDGKGTGSGSPMRRQMSLLNM EPUS_08306 MAIQTSLHSLSRADGSATYASPNGCSVLAAVNGPIEVQRRDELP EEAHIEVTIRPYDGVGQVKERHLEVVIANTLRDVVHLEMFPRQMVQLTIQVLKVPVDD KAIGRTNPQAESYLPMLPWLLNAACLALMDAAIPMRCTFTSTIVALMSKTSTRDHPTI AELNAAKSLHVLTFSSKDELLLAESEGRFSMDEWDAIEEKAKFICLGSPDAMIEKGEE DKSLQQHTRSVIAEKVTVDERWRNG EPUS_08307 MSATISRSDKSHNSVAAALYLILSSSLANPDTQGRSNEAIGQGQ PAEAVLSSNYKGFVAGIFSGIAKLTVGHPFDTIKVRLQTSENTQFRGPVDCLVQTLRR EGFKGLYKGATPPLVGWMAMDSLMLGSLTVYRRLLREHVFASPALRPRIPGEMLVKDK LPSFGHGIAGVMAGSTVSFIAAPVEHVKARLQTQYQANKRDRLYSGPIDCVRKIFHAH GIGGLYHGLLATMIFRSFFFFWWGSYDVLSRWFDKNTSVSTPSINFWAGGLSAQVFWL TSYPSDVVKQRIMTDPLGGGLNDGQRRFRSWWQAAKTVGKENGWRGYWRGFVPCFLRA FPANAMALVAFEGVMRALPD EPUS_08308 MEDNPDSYAKKSKKVWTTLITNTNYLSGLLTLDYSLKKVGSKYP LVALYTDTFPDKGLQALRARGIPAREIPYLLPSVPKEYSQDPRFYDCWSKLAPFSLTE YDRVVQMDSDMMVLKNMDELMDIELDEAEQNGDGQRVFAASHACACNPLKKAHYPKHW VPENCAFTSQHDKPEAAQEQGAPPTAGIGSPNGGLVVVIPGQGTYDKISCTLRDGDKT ANYDFADQALLGDVFHGRWVGLPYVYNALKTLRWKGVHDQIWRDDRVKNVHYILSPKP WDEKSGEASEETHEWWHEMNASRLADEGAKGYQDGF EPUS_08309 MLSSMFYTSHHLPLDNYFPLLNDLTFFCNREDSNTIPGTTNKIR SASRSDGVSINTSSTGIGQHPPRHQIKKRRSRSRSISSNNIHRKTCHRAPGLPTPETN TCINDALFNRLPRGESANGLHLVMKNEVSYCFGPVGNATDERRDDVVEIVEIRRGNMS RLVIGQVRMYPKRRVEGRGIGEIERGAGVGKGDQGVEDRDLTELDEMVKGRGEEEAKM TEEEEEEKDLVRVVC EPUS_08310 MTYQSQISFTLDTICPWTYLAKKRLDEDVLAVALRRFRETDEAQ KVKFTVRYFPYQLYPKASQEGEDKDEWYKKSRYGDSEEKMQMYTTLMTAYGVSAGIDF KFGGTVANTLDAHRLIQHYQEEKGPETADKLINALYSQYFEHEQHPSTDETLLKAAAA AKIPESDVKAFIEDRSGGLPEVKMLIREQAGNGVDSVPYIVFEGKRRDITLVGAKEVE EYEKTLQTIVKESN EPUS_08311 MKSAIALALIGVTYTSAQVEYINGSFVCAVSDANYCAGDSLSTN IIIRCTGTEGQPGNCNDNLAGIPPVGVKSSATCYQSSPSAGDAACSFDGNVYPEDDGS GPFPVPSRGDDHSSAADTSSYGGSMTTVISHYTTGTVTNTYTVTVPCPTTTTAAPSPP TGSPTPNPSATTCSSPDSRPASFIASRDASNPSPSS EPUS_08312 MGEKEDKEKAEKLAAAKKRVAQLQKAKKKAGGGATSGEKSTKQK SKDEELKSPAKQSTAEDAPIGSERLQHNQQTEQDEDILKHDQDEATLLDAIAAAQAAP SAPETITSPDPSTTEEGYPDPSIEDSITSPKTRGGLHERQPSLSIQSKMRSDSFRRTS AAGPLSPGPTSGSLPALAPDGETMNDIYRKQAVRLEELERDNKRLEKEAKDGEARWRR SEEELEELREASGEASALKTQAKKAEEAQSVIAELKAEIATLHRQAQQRGHSHSISKP IRSPSMPSTGGFTGSPDSLRRELESKDSTIADMELEISRLRSELSTKTSSCETHGSQI SALQETLASTQNKLRNVEGELADSKKALTRASEKAVKEGVEKTSSAVKIKNLERELTQ VSAARDEIMKKAENLEKKIETMNKLHREAEARNASKLAVAESQGREAGILKARLAAAE NENLKLREERDRRKKREVSGADDEGLDELEDEERVRLERRVRELESEIFDLKRGVWRE RRQEMQPNPESVVDDTSEFDEVDLSGNVPVNQNRNFTAHTSQPKHSSFSTVVNSGLAA FRGPPEQTNRPREDSLLQELDDDAEFDEEAFAIAQREEEARKMVEHVREVKRKLRDWE GWRLDLVDARRSAAGTLGAGLGEIFEV EPUS_08313 MAINYLILLSRQGKVRLAKWFTTLSPKDKAKIIKDVTQLVLSRR TRMCNFLEYKGTPDIGAEDLLDILPRVWIRERVHGLTRCNALADTKVVYRRYASLFFI AGCSSTDNELITLEIVHRYVEQMDKYYGNVCELDIIFNFQKAYFILDELLLAGEMQES SKKNVLRCIGQEDSLEDMEFVPNRDFDNVTSTLNFSTPDLHVLGGCDLYITKAAGGDK KLYKNIENGLEAQYQNNLIFSNSLSPPQAHLLAPSLNLSRSSPFGNLGQISSRRTYAY LIATLNASHPDYDFSHVLRPSDFKRERSLRAVMNNLDTTLYNLRPRPATPSFAADGQI PSSASSGLTWGPRTWRLIDQQMRLKECTIYRYAPDDYDIFEEDEEGAIWSMNYFFFNK ALKRVCYLYLRGISVLSQSPSQGLRTPVKSTKRFADDESDGWLTPDLGARKRARYWLG DRDGLEVAARDDDHEKEQRGSGMADTLPPPKRPLVDEHDNYILSDEDARSARSRSKST VRGVSEDIAEAMEV EPUS_08314 MTSGSSVIRRPTVTKSNVELINSLRANIVEAQAAASITGADSST SSLSETSDGTPPQKSGYPSWTRKEGDTLYIPAHEPTPLAEPRSSYKITVKLFYLPGIP PSRRCEHTKQAISLVLKELGAESIDLLIVSYPGVSFDADDDGDSETSSAEDDSAVSDD SAHGMETMVRTWRVLEAFHDNGIIDKLGVSEFSSDRLAKFLPQTKIRPSKDQINVKDC CVVPKTLIMYAKQESIELLTHNDCTDILPSGTIRELLGPRNQGAGVLAATADADDGGL KGDIAPQWVIKYTAVVEDRGVIENKGYFAMAQVGSCIGEES EPUS_08315 MSSQSEPIYPLHLPPPFHKISPPPLLVTQGAEGHLLKTVFLDSN TPAALKVRPSKQYRHPTLDRRLTKQRVLAEARILVKLGNLVVGVPGVYGLDWVGEGKG TGKGSRSGKEETGSVGAEVGEGSGEGSGEGSGAGAWLLMEWIEGRSVKQLLQERDRLE KAGADLVGLADEEAVRRLLRRVGRAVGEMHSVGGVVHGDLTSSNIMVRPTSQGGKTDG EAHAVDQDLSLEGEIVLIDFGLATQSIQDEDRAVDLYVLERAFGSTHPRQEGMFAEEV LESQDGYRGSFKGSRVVLKRLEEVRLRGRKRSMVG EPUS_08316 MPARVASQQTTTGTRVRAGSNSQSQASNNLGGSEWESSAFTDID YGAEASSRNRLQKKPSNPSVNVQSTSFLPSAHVRSSNAESQSGFANRKRQLSIHNQKG PLGPRPLDASTGKRSFSNVAQPHPSATVNDSHSPHERQEPINSISRFSFASISPENSN PWEFEKKASVDLGGDLLTSINFDDFHSNITSTEPAFDQLNSPTVPAKNTPRPDETSII PRPISKTGSVRKASGSGSIGRAESLKRQQSNTPTTASRHTSNSESMQAPAATPVLRSR RQNHFPPNSFNNSNPAPRAPRKSIGPGTFAVPDSTETAPPRRRPSIGVRKSATDKDTL GMSARQSSRSGEAGEASKSAATGRSLKAKSLQPPRRENSNDHLTPARTPDLSPSSSTI LSRTLRKSSARTGTPSSSTNRRVSVIQHHATGLGARTISPTDARRLKRLSMMPHAPPM PANTMPHTPVAPESEPLPRRPRSTAQSPALNSRKSVTPSSTRTTPDPNRKSYSSGQSL SSSTSYNSVRNSTAGPLPRLPSGVISSSRLPTPKPRQDSTNGNETEEVPPVPAIPKAF ESPQSETEQPFFSARSSSLPQVDTPISASPTVESLADAMAALETPIDAEPISPKPSLT SDTEVRSKPGPAVKRKGLQPLRLPPINLLPLSTPTATKIMALENVTSPDDRAHTPPSR GLNVKTPSTPMTASRVNFSSRTYHDNEPTPAIDIRSSSSHHALRGDFEAFAAAMGSNN NIPFAFCTSNTAPRNISPFISSSLPKSGGEFANYMQPKYGGELNRRSVYAKPTGPRAP SFSHNNTQEEKTSPHETESSFSTSAIRRKLSLTRRRSTSKTRENSESDVAAKYTSMPP PKLPASATYGSLAVTDISPTQKQNYLQSRRKTSASSFGTNGPNEADQDFQGNHSPRIE GLPSMESNRSLNFRPDRSSSLISPPQNVYSPRSVNQLRPQDIDLDRDDLIAEEEMKKL ATKRKDAESAARELDALRRRACPRDRASPLQVLQAANLNIFERGEIVDYNDVYFTGTR IAKKIAGDLDASTTNFGYDDERGDYNIISGDHLAYRYEVIDLLGKGSFGQVVRCIDHK TGILVAIKIIRNKKRFHQQALVEVNILQKLREWDPDNEHSVVKFDQSFYFRGHLCIST DLLDMNLYEFIKAHEFRGFSLKLIRRFTKQLLNSLILLNGHKVIHCDLKPENVLLAHP MHSEIKVIDFGSSCFENEKVYTYIQSRFYRSPEVILGMSYGMPIDMWSLGCILAELYT GYPIFPGENEQEQLACIMEVFGPPEKHLIEKSSRKKLFFDSMGKPRLTVSSKGRRRRP SSKELRQVLKCDDEAFVDFVAKCLRWDPSRRPNPHQAMAHEFVTGTKLNTRRAQAVGA TNTPMKRLNSITTPSTTRPLPQLPSTSFKNGSYPRQMEVALNSPSKPIPKRQSTISGT QTSFAAKRASHVPPMAAGSTMPKVIHGQRSVSGRPDLATAAAAAASLRL EPUS_08317 MHQGAADVFANRDEPVPVLTVTNSEDASTSEAEGDGKRKRLKEA LSVSKMKEKMQDISQAQEDKVDGSTSSPSLHERLIAKVIQQVIPIEDSEDGENSVDKR SSKYVRRPAFSLPLMTNNFRRFNARIGIVFVFQNQLIHLYNWTVPTHTLSLLAIYCFV CLDPYLLVVLPFAVVLLCIMVSAFLTRHPPPPPSSSTSSITPYYSISGPALAPPKTIK PASETSKDFFRNMRDLQNSMADFTVLHDALIAAIAPPTNFSNEVFSSALFLYLCIVTA VLFIAAHLFPWRFILLFGGIVAICSGHPAAQAWLQEMEVKAREKAEVLDAEAQESSRL SKHPRKFLGLSVPTSPAALKSALAAFSAITLDTAPQIREVEIFELQHRSSPFNSVEQW EPHLFTPTPYDPLSPIRISGDRPRGTRFFEDVQPPRGWVWEGKKWELDLEAREWVSER LITGVEFDVALDGGPVSTGFGGWVSDLATPNSLVNVEEKWVVYDDDDGGSTKNNGKKD KMKKVIYSTGKDWEESTTWGGRTGEWRRRRWARTVRRVGVDGDNHDRKQEPKTPTRKS R EPUS_08318 MPVSAALPATLKGITATKIAEVAKQRSDYETSKRHTIETADHQT DLLSKVKALFDGTCRIEGCPVSTDDPSGLEDYPDSTDDPSELVDRQLSESLRNKRRFL RQAQADPSFSPAILRQFGDELLRDLELKSQGHIHAEFFSKLVTEWLSDSDEADLGITE NSDELASSTSSFENIGRKEMYEQRAQWESLVFTRSDIDPDVINDYLTKLFTSTKTKAK ALKDIRTQVRAFSAGFETRSGRFDPDYLKVTIKGLVLTDLLSEEKNAILKTFMSNKEV LPEICDVLNMRLMSLSTWSWSTGEGDAIPLEMRKQLNGKYRVFMDEDVLDALLLHGIA LRWAVEFKNVFTKFFRSRAWRRGDRTIPKLDKERREYFLGEETQGSSVSNVHEKRRDQ YYEDYFMTQLPEDISEGGRTYEGDSDDEADRTRKGPLEIKHSLLHLLITESQLATRLC GDITVVRSDFKWFGPSLPHSTIFAVLRFFGMGGTWIDFFTRFLEAPLRFTQDGPQGTV RTRVRGVPMSHALSDLLGEVVLFCMDYAVNQMTHGSILYRLHDDFWFWGQEDDCRKAW RAMTQFASIMGIQFNEEKTGTVRLGSRANQAAASAEAVVKGESEGNLESGSEDDSRSR RTGKGAVLTTDNLPKGEVRWGFLRLDSQTGRFLIDQSQVDDHIKELKLQLSHCNSIFS WIQAYNAYLGRFFSNNFGKPSFAFGHAHIDMMIETFGRIQRALFPNGSVTDHLRQIIE ERFRVKDLPDGFFYFPIRMGGLELRNPLIPLFSMRDYIRRSPEKMLERALDKDEEEYH VAKERFVKNDAGAGLGRHSNLELQSKIRAQQGDNDNFMPMEEFLRYREEKSGNLAAVY AELLAIPQEKEVDMTPQIASWLEALPAETMADRSNGGGLGSGVYRNWSSMEPYWKWVL AVHGAEVVQKYGSVRLVDEARVPVGVKEDLKKEDLEEEDLEEKDLEEENSKKEDSKEE DSKEEDLKEDLKEEDRKEEDRKEEDSKEEDSKKKDKEEDKKNNKEKDINKEENIYIKR DIYKEEDIIKRGMLKILLLKILFLAILILTILLLVILFFEILLFEILLFEILLFEILF LTSP EPUS_08319 MLHTSSLLIDDVEDNSTLRRGIPVAHSIFGTAQTINSANYVYFL ALEELQKLTNWKDAVEIFSTELLNLHRGQGMDLYWRDTLSCPTEDDYLEMVQNKTGGL FRLAVKLMQAESPERGRLDCVPLVNLMGLIFQICDDYLNLSSTTYTKNKGLCEDLTEG KFSFPVIHSIRTNPSNLQLINILKQKTTDEEVKRYAVKYMEGTGSFEYTRRAIRDLKA KAYGLIEQLDAMVGAQGEGVRKILERIDVE EPUS_08320 MLDPNQFIRTSGKADPVWVHTEPYSKRPQFEKLDKDIETDVCII GSGISGISTAYELVTRGVNVVMIEARDILSGESGRTSGHLASALDDHYTEIAKTHGDK KAKLAAESHSWALDRVGEISKQLSIECEYRHLPGYEISQYPRSDPHHAEEMKELKSEA DKAKSLGLSTEFQETFAIKGWEGKVDQRGGAIFFQQATFHPTKYLLGVIKWLAKQPNF TCYTHTRMMSCEEKGLLNKEVKVGTLDGKTITCKDAVEATCVPLQKLSIVAEMEYYRT YCIASRIPKGSYEDCLIYDLADPYHYIRFTECDEKDDYLVLGGADHKVGQEGNEEAKY KELEDWVRERFTQLGPTDYKWSGQIFEPVDHVAFIGLNQGKKHIYVVTGDSGNGLTHG VLAGKLIADEIQGVQNPWSGLYNPKRLPPLSTLPDMIGHDLQINAQYKRFLQSDVKDI EDIKPCSGGVLNPTASKPIAVYRDENGKPHKFSAMCPHLHGVVAWNQSEKTWDCPVHG SRFGGNNGVCVMGPAKAGLAPVE EPUS_08321 MAFRQPVPAPRQVLLPQPSQEESNSTSLLHIHDRVEQSQEWVLF SPSQAASTTTGTQTERTPHTAGLSRLSDFGSLATRPDQATQSNVDDAFTEDGELDTLD DGLQAFGEPSLYRSSSNQGNAAVLPTHDGLGTFPASSAAVQDQLWQHEQYNPKRKHEG NHDQRPSVLRRLDTIEELDLQITEEKRMRIEQWRMEQSQALLKEIEKETRRRRSNSAD TRDPLSNTKMGIGDDPIGKGPKQDGLVTPSIEKTEEVEPFWKKVTRKFIRDVIGIDEP LLSVIVGETLPQDMYAMTDVVNSPEGDWSVDQLSLIQETWPDRLLHRIARELGLLVNK LSPHPGAFSADSSSMPPDYAGIPISERSSGKLGSPQRPYNDPNSQITPLFSPTVPDRA HDASWGLEDETACDPNGPNIDVDDAERLRREREYWERELDIKMVFRFLKSRFTSHTNN GPKSTPPQPALTTEDPVRRADAIRRHHPLVARAHQPTIARLRRDPSLRTLRRSASSCA SESVRSGRRPSLVRSNGSSRNYWDIGGSMGSGSAIASAGMMGA EPUS_08322 MDQASASQTSESRDSLPGGAGAGTEQFHSKSVSDRSKVRGRGRG GPPNKKRDMGRSEWSKTKPDKRRRNDDQQAAKRQRIDRGDAPLPAYATQFSKDDIAAE ERRPKKKVAVLIGYSGTGYRGMQFAHDQKTVEGDLFKAFVAAGAISKANADDPKKSSF VRCARTDKGVHAAGNVISLKLIVEDEDTVQKINEHLSPQIRVWGYERTINSFSAYQLV DSRIYEYLIPTHSFLPPHPSSYLGQKIAESARENDDEERWRSRQDEVEGFWEKVDEEH ITQILEGYDADSRNVLERALYPKEGNAAAPAPSQNKESNRIGEELGSIRSHEATEAFL NSGVNENNRREIEEFLDKIDKENASETQVKLMLEDYDNDTRVLLETALLLREQEPSRS AASLACEAQSSLTTKDKNLPTIAEGIKKLRAAYIAAKRSYRISPARLERVRSCLAMYE GTRNFHNFTVEKKFRDPSAKRVIKSFIVNPKPILINGTEWLSLKVHGQSFMMHQIRKM VGMVALVIRCGCDPKRIAEAYGEGSISIPKAPSLGLLLERPVFDSYNKRAKSEHGKEA IDFSKYESEMEEFKQREIYERIYRDEEKNNVFGNFFNHVDNFASEAFLFVTSGGITAT KAAGRNSGDKDVSIDPALESEPENETVRNGEGEEG EPUS_08323 MRSQFLTVASISGFVALSFTQSSTPSLEDVLADTENLSSFNELL TTEFSSLIANISNQQQSQQYVTLLAPSDSAFDRLGSSSIFADNSTEAIEAFLNYHIIP GDHQSSTVNQSFGFFPTRLDDTTYTNVSSGQRIGFVKQGDGELIIVSGGGTRSSAERT DIYFSGGVMHVIDSPVLPPQPFIQAAIPFNLTGFLGAGYQNQSLAEFITQARDVTLFV PNNIAFEKVGSTVTSIDEQSLSSLVNYHIIVGQGGPFYTSSLINGTVFTSVQGQNITV RQASNSNFVNSARVLQTDLLIANGVMHVIDNVLNPNGTGAVPDPAVGSQAPAMTGTSL ADTPFTDIIPSLTSTLSIASTTSSTGTGVTGTGVTGTSSTRRASSTRSSSTGQSTQTG TAPRTARDGAIMGGVIAVWMFL EPUS_08324 MSDASEPLRGTGVPSSKPKQGIIYEIPSVVKSDLRGPKEVSKVS ELALKQHFKCSTNKHQDDLVDEGYFSPSPTPIESEIEFLSGPARSAREVEEAPLTPQF AINDILLELPQIHVTDEKGEIEIIAPDDNEHGQLWDFARDKIARRMFIIRADRRTQHM ESTFYSDLYPVRQHLNLLQLDKCLTLRPIRQARTESATFSAAYISYMNGQRSRAHPHS AGNGGEGSQLTDAESIVYESHTSNHITIITPIAPKVLLAVTGSISTTKEQDAPAQGGD EHNEGLSEDAPSTAATSPPASPPSPPLERSGGPNGFLEPPKSLLEELGSISEQLSSVL REEMGQMRWPDGA EPUS_08325 MPLPVETTNVLRHPEGPDTTLQVGEGTYILRDEIHLATPPPHPA EVTAPTSNPLVTIPIAPTAGVKLSLVTLNSHGAAPQLYHLNNNNNTTSPGRKTYSIRE SDQEGRASTDFGSDVAGRLSTEGSNNSTPAFGEGNVALLAANGKEAVKRRKPKNNIVK SNSSFVSRVIPHDALTKRLNERNPSGLYAFANINRAFEWLDLSSDLKAEPLAKILFTK AHMLCHDVNTRTRDSAHIDVVLGSSAGDILWYEPMSQKYARINKNGVINSCGVSHVKW IPSSESLFLASHIDGTLVVYDKEKEDAPFFADEVMQEENNVFINEDNTVLNVIKSVNS KNQKTNPVACWSVSGHRINQFEFSPDCRHLAVVSEDGCLRVIDYLKERLIDIYPSYYG GFICVCWSPDGKYILTGGQDDLVSIWSLNERQIVARCQGHHSWVTAVAFDPWRCDEKN YRFGSVGDDCKLLLWDFSVGMLHRPKATHIARQRGSISAQSISLQRQRTGSVVTLPRL RSDSNRLFYGDPASDEVLYHPVEPRARTAQLPPVMSKTIDDHPLCWLGFEKDCIITSC QEGHIRTWDRPREGVNGSQITLSGIKAS EPUS_08326 MALSFLTGAGSSNSAKFFDIRLDDEYIVFRGNEEEAASAHLRGK LVLCLSERLTTKHIRLTLTGVSKVANRNSTSSSKKSAKERTFFEKTWTFRDAGKNKTE TLEADNYEYPFDIVLEGSLPESVEGLSDSFVMYRFKAEIGRRISKDIVIRKPLRIIRT LDSSALELAHAMTVANVWPNKIEYSISTPSKAVIYGTSVSVEFRLVSLLKGLKIGNIT TQVVETQEFNLNPEAVSTFLNHHRTSRVVADDEYTVPEDPEILDEEAEGYKFSRHLEL PKSLTKCMQDAETRGIKIRHKLKFNVLLHNPDDHTSELRATLPVSLYISPSLALNENN ELVDQTPVAARRAIETDISHAVPPLYRDHQLDQMYSDVDFSGYSTPGNFSIPSTPFGS HSRNLSSENLSSLDTVMPGGTEVPSSSITSGDVSAAALRHRLQNLRSRPAPPTPESLP EPGPDSLRRPLATNSVHQGDYFSRGCSSRRSHSRSSPTRSRSVTPGQDRSSLVSEDNF SRRTSDEDYITMPTGTQTPGPQYLEVEYLSRIPSYSTAVRASARTPYSGSDLPTYDAA TSLSASPNAASVLAEQASSSRGRGPIAGIPGPGTSSPRGGSLLFTTGNPHEPGRTGRN GMSGNMHERTMGEIQDEERRLRLMQARGRG EPUS_08327 MDYRSAFLSPSDLESFTAHLQRSQRIVAVPGAGLSASSGLPTFR GAGGLWRNYNATSLATPGAFRSDPGLVWMFYSYRRHMSLNAKPNPAHYALAELARRKP EFLTLSQNVDGLSPRAGHPADQVKLLHGSLFDVKCEDESCGYIRRDDFDDPIVPALAI PADESSMAEQSKARPDQALQAAMARKAALIKGLDISDASIPIPTIPRSSLPHCPKCKT SLLRPGVVWFGEMLPEAVLSDIDAYFDEVDPKPQAEAGNKGHMQPKKVDLCLVIGTSS QVYPAAGYASQARSMGARVAVINTDPSDARDLQEGDWFFKGDAADIVPEILKSVIGEP KASEVGKAG EPUS_08328 MVREQEPPKAPLVPAKQNLISQKPVPRQRSTMSKPAASDEPQFG VPSPLRLIPQSFSTRPQQAPPSQPTSLPFSDQSLYSPVINPSFHPSSFDQQTKTSPPY HAKPKEKYSELFIPSRKPVKPAANPLSQARNTMNSHQQDLQAPVPNSDDDFVEIPRPA NQPAFAPRPAQPPIFSSQPILPKPISNFIDLTANTIGMATNNDLFHDRFGAADPPMYM DSGKATDDIKALLEGAFEDEDDKPRTRQRKKQVTDGLVAKLEGLNVEGAEKEADAAED EEAEEDDGTVEGLKVKLLPHQVEGVNWMRDKELGTKKTRGVFPRGGILADDMGLGKTI QSIALMLTNPKPSATESERSDNKRKLPANLDKGTLVVAPLALIKQWEGEIRDRVEDTH TLRVCVHHGPQRAKSFKDLRKYDVVITTYHTLVSEHGSSGDNLKVGCFGINWYRVILD EAHSIKNRNAKATKAACALNSEYRWCLTGTPMQNNLDELQSLIHFLRIRPYDDLNNWR EQITRPMNNGRGGLAIRRLQVYLKAFMKRRTKDILKQEGALRSGGAVKEGEKSNGFKI VKRTVEKVEAEFTPRERGFYERLESRTDKSLEQMMAGNKMSYASALVLLLRLRQACNH ANLIKGDLAKEADAFVNGSGNQTPGRKKAVKEDDMDSISAMLGGLSVATKRCDVCQIE LSSKHSAAGAIRCAECEADLEDDKIRQHAVEERRRKKEKKSLRRAQKQERAQRKPRRN VITDSDDEEDEGEWIVPEKQQNVSDLGRAGGSDDEDAEGGGEWIASDSENEARSVRRK QKPVNLISDDEEQASENEDENNSSTSDGNDEDDEDDEDVSSDDQGDVQSPIISTKIRH LLRILHRDSATHKYIIFSFFTSMLDLIEPFLRRDGLTFTRYDGQMRNDLREASLERLR THAKTRILLCSLRAGSLGLNLTAASRVVILEPFWNPFVEEQAIDRVHRLNQTQDVVVY KLSVKDTVEERILDLQDKKRQLADATIEGKTAAAKLTMEDMLKLFRHDAEHGTAHAEA DGVGVGLDLGRAGGRRVLLENDSAAGGDVDAVRGNGIQKAKTGREHAVYGRRW EPUS_08329 MARRMRVQYVWIDALCIIRDSKETGRTTAQMVSLHSNAYCTIAA SSSADGTGGCHVDPDSGPYGPVILSISDTDGDRRITIQKVRVFCSTENPVVNILQQDP LNGRAWTFQERELSNRVMHVSQDSIRWECRTLKASLQFPWQDPNAFNARTVQSQTRDR YLAGVWHSNQIHCLCWSSGRHPTGRSPIFKPDNPSPVHHSRPSEYLAPSWSWASIKGR VRYEWWIFHALDPDHPTPRAEVFIPRTLDATTIPVGLDEYGQLKEGCFIRLAGKMKPA FTRGEGFQRQDCQGLYDVQEAQTREIGMIKYDIPSDAPQGVVRAVFCLCVLPRAERDG DSVGLALVPTGRLDEFTRVGLVSAVQLAWHKRVRSSVRSTSFRYVNVGAHNPQKATSS SDPRRWPPPRL EPUS_06979 MKISVVAFSLLSAVALAQTTGQLGDAAVVNNNPAGVSYQAVLPE RANTNIRGYITGTSNANGTGVQWNLNIYGLPDASLGPFLYHIHDQPVPANGNCTATLA HLDPYIRGERPPCDRSRPQTCQVGDLSGKYGDIPATGTYQTSYLDLFTSTRQGPASFF GNRSVVIHTANTTRLTCANFQLVSSGSNATTSSTGGGAVGSPTVTSPPIATYTGAAAQ KLVSGAAVVAGLLAFAL EPUS_06980 MNGPIQNLSATVPACDTVLASVTAYNHSSAESWNSEIISSTLTS LLAATTPADGAQPPYKYSITSTIIQHLPQPSTTSSPTQSTPRRGMHAAAGAYWDNTRD GMWSYKYEAAQGKGLDVVVAVIWIWVGYGTGSAGAGEEGK EPUS_06981 MHIGKRIALPTRVEPKVFFANERTFLSWLNFTVILGGLAVGLLN FGDAVGRISAGLFTLVAMGAMIYALVTFHWRAKSIRQRGQGGFDDRYGPSVLAVALLG AVIVNFVLRVTNVGNTKEKS EPUS_06982 MSVILCTAGYDHNIRFWEALSGICSRTIQHPESQVNRLCITPNK RYLAAAGRHVVRLYDIASTNPNPVMTFEGHSNNITGVAFHCEGKWMVTSSEDCTVRVW DTRSGTQQRNYTHLNPVNDVVIHPNQGELISADRGGNIRVWDLGENRCTHQLIPEDDV AASSVSVASDGSLLAAGNNNGNVYIWRMYQNQDSTTLLPCRSFKAHRLYLTRLLISPD VKALATCSADHSVKIWKIDPAEEYLEEPPKDMPNNDGKSSTTLNGLALEFGKKPMSTN ATSFGRVIHPVPNGTAKGEVTSVPSTLANGEVADFPGERPLPCETVLAGHQRWVWDCA FSADSAYLVSVSSDHYARLWELSTAQIIRQYNGHHRGAVCVALNDYSEPR EPUS_06983 MPELGLTHSPNKQHQDEGPSNNADSASQKVGTVLSVQDSPLSSI PRGTSWEDGQATEGIDQAHPLPVSLPVNTTNSPVLKSLQEHKSKGPSDRAARTYILGS DKSVAEVLSLTSDRTSPKPYCRYPSSSQTPPASHNVLRSSSSYSGAQWDGPKAQGGEL PCESSSHGFIHSGANRCARNGAETLLTTPVEPGESENTSQGKDGWLCRREMQVIDNND CGKYAVDAEYLLHAQRSKKPPQEAAEPEYPSEELIHSASVDHPPSAPKPEDQIKARAP YPPGTATHQSKHQSNTIPTPDDRGIHAAGNGYLHTNTNEPTRPHTPEVVVRTVASSRH NSRYLPSSPLLNLLLSQNPTPHSEYSGFWYFYLPSNPTLHGRHSHNNTTATYIQHPIM NTQFHCNQEAAMNSYFYPQNISNQAPAYLAMNTSAHLVPAMDTSLYPQQYHTNFSSFP AMPNSGHQVSATTTNFHPQYFSNATSQYPAMRPSAYQAPVMHRSVVPPNYNTPHSGYP GVPNYNNQLPAQRMFLGMQNPGLYRMVPTNTTAPANTTRYINPAILSVSQSPFHTSPS PPQPSPLANKPTVTIDLTVDDDAEGAGPKKTVESELITLPATTTNTTEAVSTKPATRP KRPYSWIESGSLLPDIPNAKKLKMSAQEVNLRQQYETRAANSTARFFLGIKPVAPTAG GAAGVPAVDWEKEVAALSTGSSSSVRTSPPKRRAKVEKKKKGKDEDAKRKDIGEGAKR KVEKGGRGELRGKFRERKKGNEVEEVVEERTEEDEEQEDEEGEDKDLVAAIEAAFDDE EDVDEEGENDELAAAIEAAFEDEEDEQENDDLAAQIEAAFEGDEEEEQGSRTVTDGDA ELKAALEDPYLVPVVKEQEEWGELVIDENPLAPGEMPKP EPUS_06984 MAVFDRRKASTSPDSDDSPTSTKSKKSHVLLRIFTSVCHLLALV FLILVCIGNLNSRPVLRSTYFLKIDLSNIIPRSVPNAVLINSLARSIGLHDFYQVGLW NFCEGYDDVGITYCSPHQRFYWFNPVEILVDELLAGATIALPGGVTDALGIVQTASHW MFGCFLAGTVLTFLAIFLAPLGFSSKPRWSHRGRRIFFREIPLMLFTLVTLILTAGAS AVATVMFTIFRNVFESAPELNISAELGTPMLAFMWIASGFNLLGFLFQTGTCCGVCCC SGKKKAIQEGRLSSSGKAVTEKPRKDTARDGAIRRFGWKNRRIGA EPUS_06985 MRLLGFVSLAYLFTITVSAQDTVTATNDAAVSNPPGDAPPSSLI SYQEYETTRTIDTVNTSTYGSISAPSTSMGAANATGTISSQSSSSTSTVLLLGGQRTT STINGTVSANATASQTSSIAQPTNTTPCNGHPSFCARKYSNITYIAAHNSPFSRPGNA ASNQALDVGYQLEDGIRMLQFQTHWNETEQAIYLCHTSCDVLDVGTLESFLTRVTRWL QRNPYDIITILMGNSDVITPDKYVAPVTSSGLIDYVYTPPKVPMAVEDWPTLQEMIFA NTRAVVMLDYEANQQEIPWLLDEFGQMFETPFSPTNRDFPCIADRPPAEWPGALPREN RMYIANHNLNVDISFGGISLLVPNTVILNETNAAADIYGSLKRNSLNCTSLWDRPPNF LLVDYYNVGDFNGSVFQVAADANGVSYDRDRCCATPSKNTTGRSRGGGLEVLWAFSAF AVTMVVLGT EPUS_06986 MPSFTVFKGQQSGVPKKSTTTKPDQLTGDNVFIRVTASGVCGTD LHYKGADMVLGHEGIGIVETVGPACHFLKRGDRVGWGYEVDSCGHCIECLEGAETFCP DRAIYGVSNLDQGSFATHAIWRESFLYPIPQGLSDIDAAPLQCGGATTFAALQGIKPS DTVGIMGVGGLGHLAIQFAAKMGCRVVVLSGSDRKKAEALQLGAHEFIATKDAKKLEV SAPINRLLVTAAVPPNWELILPIMAPRSAIYPLSVSSGNLEIPYMGLILQGISVQGSL VAPRNQHRKMLEFAALHQVKPVVETFPMTEEGIKQSMDRLERGEVNYRAVLIPKSTPP GYGGLLVSFPPPPSISSSPGKIEPTALSKFQNSTQTNTTSQSLFGEIVNFSPVSKRRS SSASPATISLFDFTSFITDYQASWHHQSPPQLASATPLSQNSFQQDFELFGPAPATQL TPQHSRAQATSSTAPNPQTAFPNHRHLSLNSQYQSAGPISTSFINRSNSNSSAQKPHL YASNAASTPTLHQQKRTRPPVPPFHSHSTGSLHSQTNQIGSQRRIQATSIPPQGESFL THSISDPPLNGPSPDMNLFDDISLPAPGDSLDSSNTMFSDSNIDFASSWTAINASGTA QATTNTGTVSPKDIMSESIAMSAPSSTAFPNLSTPGSGYLESPYLGNSSLDTSPMNAD GALDAELDFSSGYTSLFPDANDHLNKLNLQANTSFTSASSGYNASSPMVRQKSSPGRP PSSTHGRQHSVTSGVRPSKQNKPLPDIVLDPNRDSKEDLKRKKNTAAARKSRQRKLES AEALQTENERLHEEVARLKQIVYSLGGSPDL EPUS_06987 MDTTKWFNLPGDKEAYIQDIKLRWVDDANLSIPWVNFYLRSAAN WDFFALTFPDQAWILRQIQLIDWHIEESLGALEKLMPRSCKPQSWVELSNDHHHKGRD FVASTVFAYYRNPAAMTLHQQAVMLHYAKYLDFERWDESESDRMRMQTFERWDEPESD RMRMQSDRMRMQTFNAACVELVEGACLKDRARLVEELLSAENTAALLSVQPATDMPIW IVRREVVKKGLMIKKAMQQMRDALKLEEEEEEEEEGGKEGGKKEKEKEKDSREDDIKT RVLTDLDGKKGTFRVYDSESDDRCPTPRNVAAPMDADESRRDLPVLGCSNCGTILETN ANETEGDWASLMEEDDSHSC EPUS_06988 MSLLDSLISIVWTILTFSWIRRRAALLAAVEDLKKASKAAETYE QWHNLQAEIDRLLGLDLWRRRNDSKYYDWFKISQQKREIERCQVNGDILKLCGLLRMH PVRNLYDILSPRLYTKAHAGTKLLIEDYIRQVQRCISDLAAISGTQAGFNSQTKMELF HDTSHAFGRSTLVLQGGSAFSMCHLGVVKALHLRGLLPRIITGTATGALVAALVGVHT DDELLEVLAGRAIDLSSFQRARLRRRKLADDAPAGTKWFQAVRRRGARFLRTGHIFNI RVLQECAQDNLGDITFEEAFSKTGRILNVTVALPDEVGIPQLLNYITAPHVLIWSAVV ASTATSKTLYAPVQLYCKNEIGSTEPYAATDHDGSPLKQRTGSTRGRAELQEAPLKRI GELFNVNHFIVSQTRPYIAPFVRAEQNYAGHSTFLNTLIRLWSGEVFHMLNQLNSIGW LPTPLCRLLMDETIPSNSRWAKISLTPDLTFKDLLALFDTPTEHLLDEWIMRGERSVW PAVPELRVRCGIEFELERAYESVRRRSPEQLGVDFGG EPUS_06989 MTGLGLEVIEARDNLTGYARQRYENAATQAQRGLIEFAQEQRGL VEFAQELGNDDLHVRMPDTVKRSSWGRQFKTHDRVNKRLMTGAEAAERDANNKEQAAA REARQEASIALAASLSGPIPLAGPSPPPPPPPSTAPIDVESVLDTPPYGSAAGALTIL VTTTTTTVDRSFPTPGNEEEEEKEEEEEEAIDEAFIPPPSTAPAAMTQSRAGRKRAPT MKALEAEKAPKRGTGQGKARGKARGRGGASGAAQG EPUS_06990 MAPDTTPAKGKFSLYADLLDPSASSSSSTISGAPVTYKKPGDAS SSDQDEAAKKQQALAASLRFQPTKRPQIAAQKAKAKAIASKFAQPPPPKSTVPVPPPP PEIDPPVPDQPAAPQTATKTTIADWTTTASDDENVNDLLGGEKRPRGGRKKRKKNRAP EELVQNWDDVYDPSRPNSYEDYKNSEEKIREVKEWKDRLYAHRMRRRSSRQFAPPPIM SFAPPANIADDRSSPPPPPPTSIPNDPTGEDAYARRLRLSQVQKVLPGGPANMPLRAP SPPPVQVPTGQISRAPVRYSLPPPPTEIPATDAELDAALSEEKPEATDTSDAPRSLRP GQKGFAERLMSKYGWSQGSGLGAQGTGIINPLYAKADKRKKKSDAEGGGFVTPTATGK IMGGQKSKAGQQEAEGRFGAMSEVIKLEGMLKGMDLGEELGREEGGIMQEIGEECSDK YGKVERVYIADNAHLEVTDAGPAVFVNFVSQLSALRAVNALEGRIFNGNAITAKFWAK EKFDSGIYE EPUS_06991 MPDPEEAAYRRGAPFTFDGEKYLELVRKLRERILPESTTIYAPS FDHAVKDPVENDIPIPPTARIVVLEGLYVALDQEPWRDAAKLMDELWFVDVPMHLAIE RLVKRHVEAGLSPDAGHARARVMANDMHNGQYVLDHRLPTQEMIQSIEEGAWKTTKSE IQEAAEGKNRPGPDRMSSTAKLAALGAGC EPUS_06992 MAVIKFSILLGLFAFSAKVIATPPACLLAAVNAEKDPSDLDTIC GDNASKVQQAIASICGNNVDVAQSAFSSTCAGAGKTVSSYSASATSSATSSASGNGTA IMTYTTESYDSSCSCTRTAVMTTAAATNSSGMTFSYRATGTPGASGSAGAAGSASATG ASLPQSTGGASSQGVGSLAAAVIAVAGVVAVL EPUS_06993 MQPLNPFLHALFRSTVPGQAIPIHNHVLLVPTTDALINARDRES DRSYTELVRDDEFLASHILRVGPGSGFNGKDVNNIRENRGKARSYTTVNGRTVIIKEN MLYSNKGFRTLTQAQLLSDVMYFSSQDAQSWLIYYISRPLVGTFETRSIVPATISRKA LRDKPAQENTSLDDLISKKKKIKSFSELLNNFPMIAKQMQPGLERLFSEFGKELGKPL PPPPLDSSAISHHSDDEGGSLQPNGSIPRKKAKDHPKLPFNSTKFCDDEEDLMRRALE TAVTAAIDLFQMVDKQQLSLLGATTELTGPIVERLIERYVAEQVHDNLLFPRLSSFHL AEDQELERKIRQMENIDVSQVGIAIEGGKPCKENLLRRLNKGIEAFRKLGVACSPQEM LEVLLDTEKAVTDEGSPDTTISNDESEKKGSMMTINADILVSLLLVVVIRSQVRHLHA RLSYMQRFIYVDDVESGEVGYALSTFEAVLSYLTKDAGGLRKASMRNRRLWNATKAGN LSEMKAILELDNTSMDERLVDEAQELSDAVRAQGVNGGAEDLVQDFAMSRSRSSSGAS TRASASLGSNLSHVFPFQASSVDTSVSPPSKKGKRVSLDIRSLSVSSAISFRSRTTVG SIASGMEGDTSIESLSRTQDPTGNSIPMMAVEARQPESLRYLLSLEEYYSPQMILDDT TSEGATLLSAAIQLAHTELIDIILEYVFREREEQTIVEYIAKADTRGRTAAHYLFNAP QLLSRLHSKLPWKKKDRIGQTPLFALCRSYDHPDYGEMVSEALAVATEAQADGLPLRL SDHTDNKGNTLLHIVKDPTIIHHILHHCDVDPNATNDKKFTPLMLASKYGRVDMVRVF FADPRVDLNLKELRGLTAVELAKDDEVRNKIDDLTLFSGSSVRPSSKTRSRITTVVRS FFVEDGTTRFILKSGAPSDTSDPDGTTFTITTSRRTLTDFENLAKWLALEHPASYLPS ISSFRSPFQIISKPSRAVLHDIQVSLDRFLKILLAHPTFSTHEMLWEFFLVPDMQSEQ MAQRAKLKAELLRETIQDEYEPVSDVQDVEQNIAHSQRMIQSVASRTRLLIRRGHALL HCQNDLTDALSMSAAALSTLGPPADTLPEPYVAALGRYAGLMTTPSDSSPLKSFIESI TGFNSTIQALQTSFMRPIALVSQLTSSMHSIARHKSNLTSQSLPRKFNFPGMEESRYR TVKNTENKIAQSEKDVERISRELRWTTEVVVGELAGWTAWREEVSQDAIRRFVRGMVV KEKERGKGLERCLRALRDAKKDQAP EPUS_06994 MEPTPPPEDVDMDFFADDSSISYAPPAHLAERFYRKSNSRRKSS AHSSRRNSLSSRHSHQSALSAHGGPFSTHVAQHLRRASIIESRKARLADRAAHAERVR LRAALAKATPRPSYREERALAAQATRERLLAGVAAKCEEEVRRVKKVAEETREKKAAE QTRLKEEMAEKFAEAARRRSAYQQSLRRPRTASLPAAEEPGTNKAEFKTFNEDLAAET IQRSWRSRQRNRGIADFKALDLTLDRVKKMAFEDVGTLLSQDSILDATARALQALKLL QLGGESAGDRGSVRIFLSIFLILGHPTQTLSFGGKDAQEQDLIEKANELLKALHLALE PVPETNLHVADEALSFAFNNFCTTFHAWKSRDSSSLVEIMVKQFVELDLILQSTKEDV AGGVSDDYAEAIHNNQIQLLARLKKFAGPDTALAMIRKAVKKARKQRLERTRNDSEEN VPRSTSGSSQSLDLKATSASGFNTTFRASKSVSSQLTSLGRAMTILPSNRELSHEIQI NGTYQVQQQPWTDSRAHFIDTLQASMRRSMASEGDAAAAGWTYAMAAFIREKLFTLIT KQHPVYDRLDGFLDLKLIKQTALAGMFSYSEFFDTIAHIIGQLCSPGRDQAVKEFAAD TESDIITRLFALIKIIDLMRLDHVNFQFALASQGVTEHGWQHECEMFKQDLENGVHTL DNLKRWWTTSRAGLAAAIVNGNLDAVPGDATYARGLVDLVISNTSSNYDTLPETLRLD WRRLLALRAKVFKMVATSSILLTTKIRLKRNRQESWTSDADRIMNLLDSPDAANVTAE RILAALESSRRIPDAIREGLLNFIGRILPSALAASNEAEEAENAHSRAVQEGRPYDPA LSSPPSSSPSRSSVPAIGEASFTEQISTFILKSLREHIFARLSAASTAEKVRANTQAA EQLSKMGMGEFVAGVRGVVDLLERVRKVDLRCHGGWYEEVGRE EPUS_06995 MMQEILLFGQVLADNHQTLRQQLAGLARMPPQPVLERHLIFKPR SPPGLANLPTGSGPQGPQQQELQKTRQMLNTPLNYVQVVGLLENKELTPKKEQSVVPN MNADHDLIMTSDEDESAQSRKAFQWYLEFNDIPEPGKVSATSRATSKTRILEGDVIKF MNDLGYEYLSQYITTGDRFYDQDTTLFLYKVMQASDQSTQNTTPTTSTINTTPDISKL TPLDPSEGYVLQASIEITDGNSPDLKDKATQQLLAIKDTLRSSVDLTPGDRLALDTRV PVRRVR EPUS_06996 MRVTSVFRAASHGRTPLIKFIGKRSPPKSVDHTPHAHPASPSES LPDSFANYRSKAQQHGPLAGGGGSKTTPSAPISSLTYGAVGGRAGRELGPVQPKQGEY FDRNELPMRFRRTPFTEAEMEAIQTGGASLYG EPUS_06997 MPSSKQHMMNDASDTKPLRDEGGLHTMSQLRIRDLDEAGNQKSL YIAHGAGSVIDRIETVPMQDNIPLARRLLGIFLPTGYPNTISPDYTAYHVYNALQAFS SSIAGLLASRAVLQGLGVGDENASATNAMLLNVLQESMGRVATILFAHRVGSAIEAEC KMYRFLADVLNDTAMVSDCLSPMLPKLVRVPLLGASSVFRALCGVAGGSSKATLSAHF ARTGNIGELNAKDSSQETVVSLLGMWVGGVVVSYATSTMATWIWLIILIVVHLSTNYL AVRSVSMPSMNSQRANIVFSALIEDGQALPPDKVAKQERIFERSSTLRWNGSKAIGTC EIGVPFTRFLRRIGKVHSKTGSAQDLRVNITELLRVFAEEQYLLWIDAGKRRAIIVLL DNATAQSQLRAWAHALRCMHTLECTKEVSPSGSDILRLLSSTLKEQNAAFPGWVKQLE ATGWSTSTSALETMGAKRVVFDR EPUS_06998 MITRKVHFVPSTAKPWILTPETSPQLSPVISDWDADDEATMSAE DLRSSRPISIASTSREHATSFSPPTLNDILENRSQPPYTLSAFTAYLSQQHCLETLEF TVDAKKYQENYAQIAAHLAGMPMNYEHKSVHGLQGEWVRILDVYIKPGSPREINLPAE VRDDLLEQGFSQKPPEPEALDPAVKRMHDLMADSIFMPFLNSFSPAPKAETFSGPSSD FGGRREGRSDLSSSLVDERADFLHRKTSRRRRSPTASSSLEFSGPKSPTLGTSHRHTQ SSSITSALGRTSGTRLSTHVSNSSAASGYEGGLTDDSASAGSPGSIDPMTPPTTPPGS DLHVGSSARRQSPKPQRSDSGGNWVKKGMRLLGKRKNGGQSLREQPGEG EPUS_06999 MPNKYSHSVVLAHYSSLGLIDLPNDQYIAYLKTYPPSARSSITT KSKVRTNGTSRSVTPSTGYSARYKISGDNASTSRFVTSRREYGGTARRDVILESDEGS SDEHVVLRKKFEPYERPPQSQRQSDVRDLRTEKRKLPSVSTYTGRSSSEASEGLVPSE PPPPLPPQASSRVRGEPRISPPSVINLNDYELEPAAEAPEAASNQHSGPDTKHSSSRK PNHLAVKAIPANSKTPSSMTRDTDMYYPDPEEEPNFAGFSDAHFSDVTITEIHNADTD PSPTVSTNQFPKSSTFKHSAPLTNGPITLPKRRDPATSFNQTSATLRQPRRNRSYDSI SSMDSEMMHSTTALPPVVPRKAQKPLHESGKNSVASRDPLDSDDAMDPSLFETLKDVS RRTSVSPSRKRFAGLYSDSPPVTARPSHQSSQKTGSQKTGNHASPSPDFWRGLDEMLS DSDASVVFPPDIAKYKPRDTVPAPVLKSSKTPMSRSEDGDSKRPTTAPAPKTSNRDAF ASNEPLPNGRSKATILGKESLDKPRDAGKVTTIRLVDTTPPRKEKNGFLKKLRKKSYD QNKL EPUS_07000 MVLPSDTVPHRPNTGANDTQALRDIFGISPTSHRGYQAATKGSG SFDAAFKFGPETPTKSRNKKRRSIDKFSHLRQHLSRSRLSNHSSGKSMKEIKSTETVV HSKLLPDSHTSAGLDDLLISRSVSEGGYDSDARGILTPSLTEASAGSVMVSPEYTAKV LDTFDSSPSKRSASLLPTPYNIAKMHHPPAGVARTSTARKSQKHHTREPLAEAPEPQV RVRSTSTPRKHVTPLRDSFSVLLQLQPQESPTDVLRRLSVGLANGTVKLPDTPELKAM RMPSIVEAMPEWRLSFAAPKRASSLHRGDTEVRQALKTLSERVERAKRDSVVSDRTST DNHASLISNLDPTLLQYLSRYSDEEQRDRLHEATDEVDGGRKGGEAFREASDPDTIFH ADTSGPPDSNCGQTEPAERLVNAASGEQHNQCGHESLRDEKDSVHLFDMRISQRLAST SVLPTTLPSSTNLGSNQHYMDRSSQSSAKLDRFPAITRRTSAESLRRPSDPRTRRLFE PDHPADGQRIQPKWRSGILGSSMNPDQSNQGAEVSRDDTSSIYMSDAGTGDVDVKSVA SHRARSSSQAMSNSLAIAGRQGLLGIPIDQRRNSIGQMMRTHGQTQTKSSRSVSHTRG KESKFSEDFDVQQKKVGGNLQSEGANTLANESMSMLDFLATIDPKADGNGKMSEDEFV GALNERLRSSLQTQRKRNTAQHKDVLEASMSNGLSLGGNMNQFRSSNFSAIDEPTRTS LRGREECATNMWERALRMASEDPNLASSASLGSPFGRLRQDRSRFRRLSDSRPYHHHI PGGYNTIPARQSRSLSPDNAATTRPRQDSFNRGQHHSPCIEAKKPLTRSTSPARSMRT KKRSLLDISRFTTLGRQGNNNTAKDSPATATPTRDLLAWARFPSHTRLTRNGAAAEND GVSTRDFSLPSDTEEFSDRNRSKLSLMTQPANMGANTPGSWKFLRSGHGRTKSRSMNF PVPNLDQTKREPEKVKVKKKSSALVLTRSLAKWTGLYRNHSSDLRRLRAGHRSSVPKG GEVDFPELEIVPGFDGGAGGPGARVRMEQLGDFEELRRRWEEKGGRVKGEDPVKTGVT LAQAEAEVDERARRACVIKDDDNDLEDSLTGPKRNLLSSTDEFTVSIRTATGPHASAG SREGEDSYTSCSVDTSMSMSNDLLPPPRRLSPLGGTRPTGPTELESYCQRERENMMKR LVSSELRDSTTDFRMQLLEEERRVRERLLGGVEEG EPUS_07001 MAPGRSLVSLLTRSTTRTLPKEPWASHPSLRDTLRPSSQHRSRS TNSTSALAYKAVHRRSPLPLPVSDTVPSYGAAAAVSSILYETPSMSKAPPKKHILNCL VQNEPGVLSRISGILAARGFNIDSLVVCNTEVEDLSRMTIVLRGLDGVVEQARRQLED LVPVWAVLDYTSAALVQRELLLAKVSILGPEVYEELMEHHREMTSEATGEEMAAEENA EARLQELEARQQGPSGDEKNGLIQRAKEALGAGTDYHPSRLAASQALRHKHEHLEAIT HLTHQFGGKVLDISTNNCIVEISAKPSRIDSFMKLITPFGILESTRTGLMALPRSPLY GPHEELERDAGDLVDASTLPPG EPUS_07002 MADFFPPTPQAYNVLFRGFQALLPQASRQWAAHRPAKRASISLA VTPGPSLKASVPSICSSSSTRSPPDSSLPLPHPPLSFLYHELLALLYLLHYLNRAITT PLFLAPSMSPIAAPVAILMAVFQYVNSSCLSCWLVYDAHSKLSHSNSIPIPSSLLSPP FTNPLPLLGVVLFTLGLYSNIASETTLFALRRAAALRRAKSEGKPLHNLSYHKVYVVP EPKGWFKYVLYPHYTTEWLEWTGYWLTGGLAGLGWWGTPAMWFVVNEVGTMAPRALDG WRWYEGKFGKRALARRAAVVPGLL EPUS_07003 MVQSSILGFPRMGVNRDLKKATEAFWAGKIPKEELLSEGKRLRL EHWQLQKKAGVDIIPSNDFAFYDQVLDHIHLFGVVPERYSKYNLSDLDEYFAMGRGLQ RPEKDGEPAVDVSALEMVKWFDSNYHYVKPTLQDNQTFKLSGTAKPVIEFKEAKDAGI LTRPVLLGPVSFLALAKADRGQSVDPISLLDKLLPLYEDVLMQLKDAGAESVQIDEPV LVFDIPTKVKNAFKPAYEKIGALGSKAPKIVLATYFGDIVHNIGVLDSLKGLYAIHID LVRNPEQLDTVLGALGPEQTLSAGVVDGRNIWKTNFKHAIETVETIVQKLGKDRVIVS SSSSLLHTPHTLASEKKLDPEVKDWFSFAVEKADELVIIAKAVNEGPAAVRSELEANA KSMQARASSARTNDPKVKDRQAKITEDMHKRASPFPTRIAEQQKRLNLPKFPTTTIGS FPQTKEIRIQRNKFVKNEITAEEYEKFIEKEIQDVVRIQEELDLDVFVHGEPERNDMV QYFGERLNGYEFTTHAWVQSYGSRCVRPPIIVGDISRPAPMTVKESQYAASISKKPMK GMLTGPITCLRWSFPRDDVHQSVQAQQLALALRDEVVDLEAAGIYVIQVDEPALREGL PLRAGKERERYLQWAVNSFRLSTAGVEDGTQIHSHFCYSEFQDFFYAIAALDADVLSI ENSKSDAKLLKVFVDEAYPRHIGPGVYDIHSPRVPSEQEIKDRIQEMLQYLKPDQLWI DPDCGLKTRQWSETKAALTNMVNAAKYFRSQY EPUS_07004 MARGRKGKEPVDLDFTLRRVFGKQSFRFEAVVIEYLEAKQSRPV QREVISATIEGHDVFLQAATSFGKSLCFQLPAVIGSGITVVVSPLLALMTNQIDAARD RGIPTETISSSTTYTERRRIETDLKCGHPYTRLIYVTPELCATQNFRKLLTTIHQQGQ LTRIAIDEAHCISEWGHDFRPAYKELRWFKQTLILPSVPVIALTATATPRVREDIVTS LGLDPRPSSEGGTTKFFSTTTARPNLHYEVRYFSESSPHHSSGDDLFPNLLNWLNSIS SRRSTRLGYLHQRSPNNSTSTDLTPISGIIYVAFRSTTESLSARLTSNNITASAYHAG LDPTTRQTVQETFIHPHQPTDLQTAQTVAGSFNIIVATTAFGMGIDAPSVRFVVHYGV PRGFEAFVQESGRAGRDGKAASCVVFYTREERDRVLYRVSLDVNRELNKKGNRNNQGG GVGKAQAEARMESLQKVIEYCECTSRCRHELISEYFAAGATGTGGLEGETKCDFACDY CKEGGQKLRKRMERGLADEEAAFEFSQRERSNGWEGYEDI EPUS_07005 MGDDERRVKRSRFDQTEPEPRKASRFDRRSRSPSSRQPESRRSR SPLGKDPRSPASADKRSASLDPAAAAAAAAAKINADLQARKGIQHADVPPIRSTTSPL PKSSSPSAGQSTSNLNGEIYIADGDYIKDIEVNDLRNRYTLTKGSTQKMIKEETGAGI DAHRCKDVTTRGSYYPDKSMATAANPPLYLHVTSTTKSGLEKAVEKIEELMQQELPNL VDERRFRRREPDQFERDEFGRRKWPEERIPIDMEPIPGFNLRAQVVGHGGSYVKHIQQ ETRCRVQIKGRGSGFMEHSTGAESDEPMYLHVAGPDPKEVQKAKELCEDLLGNVREQY ERFKANPPPQRMESYTDGYGANANNNSYGGSRSYYGGRDSYGGGRDRESYSSQSRDNY GQSYSPAASTPAPPGTGHSLGDYAAQYAQLYGTGADPYAAYGGYQNYVAYYQYYAQQQ AQQQGQAPPPPPGGETVPPPPPAGAGSPPPPPPGGATYGAVPPPPGM EPUS_07006 MTSRKEGFASRSLDEITCKTGLCTGWVAHDDQVAYEVHSQQGVD KQLQNPSTLNTDNGVAARRQRQFQSGNPRPERQQPSLVTHRSSCSLSEDALPMKMLIP ACRHFNEHMRTALRYAVPHPPVTTTTLVELDLNWILHNMNLRSDINFEPDLHFMPVGG KRAERKRREAQEYWLALAAELQIHLHTRMDCPPLHHNQVAYAHDDFSPRLGQMLTDLR ELLETLVPDKDHISIAENLDVAFLMQQVENGVLDISRFAKWLSALLKSHCAPIRDAWA DSMAQQIDEGAQKSDMILLVRGIEKLFSVLEAMKLDVANHQIRTFRFQLMEDTIPFQQ DFFAKRIMDGKVGPELCRDWYDRARQRHLSWSSSFGTPMNEDAYASLIYGLIASFSSV PSTSALPKSFLYDLERLEQLRIDTHDLGHLRMCLIVFDELHSWLGSGRPTSVSSKVYT QLQSRILAIVDEQTEVGDPWQISSASVALEITRAAYEFCGHSQVVVPDCLIQSTLCRM NDLVSGHTSQGMFIWESLQEDLTFKAIHHAQIFNDMTPLAVSNAQQQWQQRREQQTSF RPLPEVEDVARRVAHVGILHWKIWANLVYLDDGEVASSELGSLVDSLTESQPGDRATV LTNVMNPVAMVVE EPUS_07007 MAAIRNGMEWLGQLRASQLHQLAVALGCACSGSKKVIVDGICQT LSPAPSGDCRAFNRSSSTSRHPRRQLSIVSIDMGIQNLAYANLLVRQASDRFSQNLPS VGTTNLPVLKAWERLNVFPGDSHDNSMKKSAKLGGYLPSRYADAAYYFISDILVKYDP THILIEQQRFRSGGGSAVAEWTLRVGVFEGMLHAILQTLQKERKDETKLEAIVSISPA RTARFWLEGSQRLTSQIVPSKITGREGKQAKVDIVAKSFLDGESRMVEIGKGQAKATE TTFMEKWYATSMVAKELQRHKRTTRSESAATTPKTQKQPKLDDLADCLLQALAWLKWQ NTRDLVIRDSRADDPLDAIRKRLEELAGSKNELTV EPUS_07008 MSAPPEKTLKTLSGNWKLNKSLSDDISPVLELQGVNTLLRKAIS AASVHLRISQVSENEIHIAQTATAAGIPGTTEQYILDYQWRENNDPFFGQIKGRSRWI DRSEVDEEGLFGQAG EPUS_07009 MDTLSSPSDSPIHIVCLETMHCPLPPLTLPTPYKISEYRDTPLS LIAPRLSTATIAITTVIPITPAIISQCPSLRVIAIWATGTEWLDTAYCASRGIWVINC PGTNIEAVSEHALAFYFAARRKIVELDTALKVREGGERRGGEWMEKGTLTTRWDDVGG APLSCGQEVVGIVGYGRLGRRIEALCRGVGMGRVVVAGRKGVTGLVDGEEDASTIDLI GEEELRSMRKEAVIINVARGGIVNEAALAKALTGKWIAAAATDVLEKEPGGRGTTPLL PLDSEVPNLTITPHVAWFAAQTMKNLKAFLKEGIEAWVAGKPVNVVVQGR EPUS_07010 MPRKSTSSTSAGDAAISTPANGDGAAAAGPSLDGGDTSMLSVVT DASTTTKARVNGKATKEDADSLGVDDPALAIRLGKWKNKNKNKNNPTSPPPSSPTTYS SLQPPSPQACRRPPPPPRTLTSRLARGILPANTSIQKDALLALTKAATVFISYLAHHA NELTTKKTVGPQDVLKAIQEIEMGGVMGLGLVGPDGKVGGRLERELQVFESVVRGKRK GYREKVKARGSGGGGLGLNEKGGDVDEDADGGEEREAKRARFEADGGVEEENGRHGGK ATTAQHQHRHDRPQQQQQQQQQQQQHYYSTVMPPPPPSATHPTNGSAATPHLSNHDTL PDDELEDEQNDDDDDETNNNAAEDEDGSSEDDNADEEEEDEDEGEDDEEGEEERRPDE DDSDGYGPDDQLRHDMNGADVDDDEEEDDDDGDREGSGMDSD EPUS_07011 MVKPFSRSKRTPVRLRHKIEKASAAKQRKQRKESKKNPQWKSRL KRDPGIPNLFPFKDKILAEIEEKKRLRAEENNKRREAAKGGKGGQKEALPVDNGGASP ALAVGEPLDEMEEDGDDLNPMAALVASAQARATEYQDSEAYDEMDEDDEGNEDGGIAQ PIFDGPAINHKNPDSSRRAFDKIFKQVLEAADVILYVLDARDPEGTRSQEVERQIMAA ENGDKRLILVLNKIDLVPPPVLKAWLMHLRRYFPTIPLRASTPASNARTFDHKHLTVK GTSETLLRALKSYAVSKQLKRSISVGVIGYPNVGKSSVINAITSRLNKGSRSSACAVG SEAGITTSLQEIKVDNKLKVLDSPGIVFPSAVGSDQGADRRGGDEARLILLNALPPKQ ISDPIPAVSLLLSRLSSSSDLYEKLLQTYSITALGPFGNGDVTTDFLVQVARKRGRIG KGGVPNLNAAAMAVITDWRDGRIQGWVNAPGLKLAADAGANADADPEAVGEQSSSDAV PDRKEIVKEWAEEFKLAGLWGNGSQDDEVMQH EPUS_07012 MGFIALPEPSFSTAPEVHSFRGLLFDFDGTIIDSTDAIVKHWHK LAKELGVDPNVILATSHGRRSIDVLKLYDEKKANWDYVSHMEGLIPKDFGQDAVEVPG ARGLLSTLDLWEAPWAIVTSGTRALANGWIQVMRLVHPKHMIVAEDVENGKPDPSCYL LGRERLGLGDEQRLLVVEDAPSGIRAGKAAGFKVVGLLTTHHLDQVRDAGADWIVRDL RSLALKRYTNGSIDVEIRDAMIL EPUS_07013 MTPMDFPHEHYQYHVASQQQPQQQQQQQQQQQHHANQPSLSYER YPVQPQHVEAYYPAPQHAEYADFGSITPDHEDFEGCTEILTRPRLTKEQVEVLEAQFQ AHPKPNSNVKRQLALQTKLTLPRVANWFQNRRAKAKQMKKQEEFEMTQGMGIGEAWNN DHHIPTGYTIPLQINTAAATSRSLAPVSAGGISHSSTTPSSAEVFPARDAVQEASWAS LQRALNAADNARSQHKQNPGQCFTSLPCRDPQHPLSSSFSHEQSHIQLHPSPEWSRTA PLSGHWNLSSQSSEESEFDFGFNGQSSENHTIHDVGQPRFDSPEAIQAYSMSSDVWTS SMPTPTIDSHVPPSSMALHESDPLPMPHFPSSRRGSSSDDLTATLDQFVLAGTPPMRS PVTNQFEQFRKPGTHVDIAARRKRPRPAAIGTAALRSYSYGGSSAMSPTFRFGRQSQN AHPIRHVKSTGQNLNVTYAGIRKPGSAQRSPLNISTFAEAEEFNHLVEKQKYSQRSDL EQSRAPPTPLSNEDLMRTRLPTLEEPLFTEQDQETPHQYFLNGQPSQFNIVSPPSTPM KSELFPPPHMQSMMPPMSAPPQYAVFPDYTPPYSAGPLTASSWSDAPLTSPEMATLSP SAHMSQQTYVSPVMHDYSSGHSYPGPFATQRHAEQKPIETSSCA EPUS_07014 MYQTTPSVSRSLNGMGSELIAGSGTINPAALNSSVYLLNAKYLI STYPSRSGLPPSSIEPSPRGIKRSRSPDQYGELAGEEDVDDESKRRKRGRPPKTPRTS GEFSSVLRPDHSQSVKTTPTQIQTPQVKTEPAVRPITVTPSQSSPSAPSKQTPTKSAV KALPTVRDHTSDQLGPEGDEYVPREFDEAGEKKVDELGYPQGGRLFKCRTFTVPERGQ KLFMLATECARVLNYRDSYLLFNKNRSLYKIIATQPEKDHLISQEILPYSYRSRQIAI VTAKSMFRQFGSRVIVNGRRVRDDYWESKARKQGFTEDDLAGEKRPGGAKSREAAAAE SQLHALPTFAQGDIVYSNGPVFDGLHPHQLPPGLAASLGPPPMMNIATSKDYSNIPRP RQEMTGVPYQERSQPSSAAEIMSQAAQSAEFNKSVNRLRVYRSKGLEEFWNKPRELTA TTPQPQAAEPVAGSTTASQPYTSPRFSASDVPSSHPPSLMPQQSHHPTPSHTNASSYA LQQNAAQSPVHRQSSLQASMRESSQYQPPPGLHRSSSNVSLTHGQQTSGLQQNPYGYP SSSHQQQMWGGPPPQPQASPGLNRLHNPQYSPSLQNQQGQQMSSPVQSHHASQSPHPP HQMHPSQTVHHQSSTTSLPGQQMYATGLPGIQGGQPGYQSMGGARQMYPGSQNQQQYM QQQNQQSQTSGMQGWPAVTQGQGGGWSGY EPUS_07015 MHQPLVPHQSSTHRLACLSLYKALLKHSLTVSTTHSGGLRSLIR CRFKTDRLLQSPSQIANGLNAGNEVLKLLCASARGESSSIARLSELIESTLELSGITE AYRNSLRLIKKPPSSRRAPKANNLPLSANKTLQSRRPDSSPIFERPLPLSQIRGGKRR VPKFVAAQGVPFLLYSKPQPQSLGRLLRQKIAWQHKKWDQRTKLTEETIPLGVCEDAW DALVASQEEVEGHSNRTSVPEDRETGISGDSTSWNSAPQFAAVSVGDKIRSFERRNLE TGRRLFEILKQERVLVANEKEKKSSHQVQNTVI EPUS_07016 MKRWPVRSTVGLPHSHYYIPRIRLIDKSPLFRRKFQSGACWSAI RTQKLGDVGEGITECMLNMWFVEEGARVEEWDKLCEMQSDKAAVEISATYAGVIKKLY AQADDMVKTGAPLADIDDGLPVEEGSAAETSQEHQENGPSHLNRDEKGEQVKIEAEAG EEGGTQLRASAQADRNDEMLSERSFLATPAVRGLLKQHNIDISKLNGSGNEGRILTED VQAYLENQKETPISSSAARSTQAVESEQSETVHPLSRVQSQMFRTMTASLAIPHFLYS DEVNITRLSGLRKRLNQSRETQDTNITLLAFILKAVSVSLNQNPLLNARLETADNLRK PQLIYRRNHNIGIAMDTPAGLLVPVVKNVGTLSIIDIAYEIRRLSQLGNAKKLSPAHL SGGTITVSNIGNIGGTVVAPIITEGQVAILGVGRARAVPVFGQGDLVERAEMANFSWS ADHRIIDGATLARMGSMVKNFMEDPEKLMFFMR EPUS_07017 MATPQREAYQLPSQRDSSIAGSGAQQQNSTGAAYTPSYGGGVAD SLVSSSVTYVCGECASKVALNLGDAIRCKECGHRVLYKERTKRIVQFEAR EPUS_07018 MASREGDGAKKTVSISPLLKRLAYPSAAEIQVQASEIASAFALI FEDRLSVVQSTALLTLLHSTRKDKDAAVIAQCSQRMREAASKIKRPALREAIRGRNLR LGQYRGGLCDIVGTGGDSHSTFNVSTTASIIASPFLLTAKHGNRAQTSMSGSADVLNA ISPKPPKLSALTAEKLPQIYRLTNYAFLFAPNFHPGMENVACVRRDLGIRTIFNLLGP LANPVDWAIEARIVGVAYQELGPVFAEALQLSGTKKALVVCGAEDLDEISCAGPTNCW MLKEVPNPKYRGPMTEDGEEYTTSDDDAPSRTISRLEKFQLSPVDFGLPTHPLSEVYS GKMPKENAAKLMSILRNELRPDDPILDFVLLNTAALLVISGVCEGDECKTGRVVKERG AGGQRWKEGVRKARWCIESGQALLQLEKFVEATNSF EPUS_07019 MPPRIRLRIARQECLPPLRSESFRSSQCSYATAAATAATTPAPS ISQMTSPIYPTPRNSSAQPPSHRPPEFRRSQLLRSYVSLLQSTPLILLFQHNNLKAVE WTAIRRELALAMQKLDQSMIADGRESSAVGTGVKLQIIQTKIFEPALRIAEFYKPPPR PSPRALRNMTIPSEKEDPTLTHVLSESAYNAVLKKKGRHPLTPLLTGSLALLTLPTVS PQYLKAALQILAPEKPGFPAPTRKASPGYWDPAVQDGLKKLMLLGARVEGRVFDMGGT RWVGGIDGGLEGLRAQLVYMLQGFGAGITGALESASRSLYFTMESRRHMLEGEGKPID AGGDAPKRE EPUS_07020 MPDNLSIRNLSNVPLELKLVERFEPHDGHGHPIANVTSIFARAT NSLGITNNTTRAAVPQIDSDARPFTTQEVSIRIDPFNLVRTDIRAFERSGKERLRLSF EADDGRHQMYCPVPTQESAGLVPPPNARHRLTGIYLTQAAFVALYSSANLNSWMQKMP NDIPLSFLSIPGTHNSPTHHKAPPSVRCQAVSPWDQMQNGVRFFDLRVQPESPPNDPL VLVHSVFPISLSGKKYFRDLYNDILRFLRENPSEILLMSLKREGPGDATDQQLSKIVK DHYVDRDRNAWYTEPRIPDLGEVRGKIVLIRRFGLDDSLRGEHGGRGIGIDASQWADN TPDSLCPSGDVRVQDFYEVMESENIGKKIEYCTAHFERSGSCVYDPEKIRAQREGRGG EKTPIFLNFLSASNFWKVDTWPEKVAAKVNPACTEWLCKRPMLEEDGRVKEGAWATGV VVCDWVGLDGDWDLVRCIVGMNARWIGR EPUS_07021 MAAKMPRNFRLLEELEKGEKGLGAGKGSDTPCTPYDQDTDTTAE ACSYGLADGDDMMMSNWNGTILGPPHSAHENRIYSVNLHCGDSYPDSPPTIQFVSKVN LPCVDQRNGKVQSYAESSLHTADLVKVDPSKVPCLAHWKRDYNMETILIELRRYMALP QHKKLQQPKEGETF EPUS_00001 MATPDAGDTHEPPGAPDDDVDEGLKFPYTEVPIDSARHQIRLLT LRAGEWSTPVSCTLSSASLDNKPAFKALSYAWGDDDTSADIIINGQPFSVYKNLDTIL HRLRRGPGGKDMVLWIDAICINQAKKSKEAVAERTSQLQMMATIYSCCREVLVWLGNC YTDRLTPVRFLTRDSDNKHLLQEYAADFERDATTLDYCFHLACFLYLLKDAGRKLDLA SYELPPFWASRASHRLSRYKIPHPTEVFKRIYANRMYLLCRYIGVSSWTTRLWTLQEY GVSPQITLCFGTAAVPQERFSDMNITKVTNHGNGDDLRYWSSLFSISCNEFVRGLHEH ILTRNSVAIYRPRAPGSILPAIVSTVFRPVLRRLTKKIARRVARFTVFELVATFRQAQ ASKGQDKVFAIVSFMTFMGLKVPDDLQINCELDTSEIYFRVSKNQILKCKGDAEAYEP LAPLRFAREKNKPKPKPKDPKPLPIDLPSWAVDWTSYPWGDQHVIPAALVRSMPPELA PWLHLEAKPEVQGPEPKEDWPARLVSAAQYNATKGFVGANPSIEGRVLTVSGIAVGRV TEVFDFAESSKAWKLAWTWPARRVPRRVGTTPTMRDRRAAVLRTLCADMLGPQDWAAK MEVFWLELGAAFEVALKSFEEPVSFAFLVDNVREMIERRVARGGWTGDNAATFNAPRF VEQLDSMLRFMKIMSHGNSLFVTDSGRLGLGGKDISVQEQDQVYVLYQGRTPFVLRPR QMDESTSRFQLVSECFVDGLMQGEAAGLGGDLQRIEID EPUS_00002 MAQPSGLFSVRRPRETLGNLQNFSGIPQPASAVKRSSSSTDLRN APFTAQHARSTSVNPAMGRPPQPNFQRSSSGSNLADMGMSTARRSVSNNPFASSSTHR QSLAPSAMFTQQTPASTSIQRRSSIYSRPSNGGPISHQSFFTQPPPPAGKPEDPRPLK SRVYQDKISQELLEYLTHNNFEMEMKHSLTERSLKSPTQKDFNQIFQWLYRRLDPGYR FQKGMDAEVPPILKQLRYPYEKNISKSQITAVGGQNWSAFLGLLHWMMQLAQMMDRYN SGSYDQACAEAGVDVSGDRIVSRFLFHAYQDWLQVGPDDDDNAEDALIPHVQAMTAEF ERSNAKFAEELEMYEAENKALKAQIEEVEKSAPDIAKLDKHFKILEDDKRKFEDYNSN VQSKIEKYESRIKILDSEIEKTDIELNTAEAERSELQQAVDKQGLNIQDIDRMNTERE RLQKSLDDIQAALDEINRRVLEKEMETAGKLEDLEAAIKRYNSLGYQLGLIPSTAPNA KGQSYELSLNLPDTNNLTQAFSSSLSNSTSTSHRRHHTPETDRLLADTNLGHSASTLL HPHPSLRPTIRPALLSLRKEISSRRKHLHSSTLTHLDLLTSLSDALSEKNREIDTLTH AVRLAEHAYHAQYDMAATQHTQYTASTEKLEAELVRMRRGIDEGALRLEQREMETQLL WEAMQGDVGRVREGLHRGVEAVLEEVVRFKVRVQRGLEEFEGWVGGEVEGELLEEEEA GLGIAA EPUS_00003 MAAKMMSLRPSKEAIHSCSRTRPAPMRPFSSSPAALAVSPYRRT LPKTITEASKRTQATAAAFTSPTRPIPSPAFNQDYRRNDVSPLQNRQLPEMDDSFIGL NGGEIFHEMMLRLGVKHIFGYPGGAILPVFDAIYNSKHFDFILPKHEQGAGHMAEGYA RASGKPGVVLVTSGPGATNVVTPMQDALSDGTPMVVFCGQVPTTAIGTDAFQEADVIG ISRACTKWNVMAKNVAELPKRIKEAFEIATTGRPGPVLVDLPKDVTAGILRKPIPMHS TIPSHPSAATVAARDLSRRQLDEAISRVARLFNVAKKPVIYAGQGVFGHPDGTKVLKE LADKACIPVTTTLQGLGGFDELDPKSLHMLGMHGSAYANMAIQETDLLIALGARFDDR VTGNIAKFAPQAKAAASEKRGGIVHFEVMPKNINKVVQATEAIEGDVTTNLQQLIPQV NAVSSRPEWFEQIDDWKTRFPLSNYEKETPEGLIKPQQLIERLSNLTAHRKHETIIST GVGQHQMWAAQHFRWRHPRTMITSGGLGTMGYGLPAAIGAKVARPDALVIDIDGDASF NMTLTELSTANHFDIGVKIIVLNNEEQGMVTQWQSLFYEDRFAHTHQKNPDFIKLAEA MGVQARRCLKPADVDESLEWLVNGSGDGPALLEVITDKKVPVLPMVPAGKALHEFLVY DEVKEKERRRIMKQRSGH EPUS_00004 MDESVPNFASLTDIYCDTSTAGGIPLDLSTRWNSLLSLFKETYG CAPDFVARSPGRVNIIGEHIDYSLYDVLPMAVTADVLVAVNVLPSPIVKIANLDAERF PSQEFRIPVEGDIEIDASKHEWTNYFKAGLKGALQFLRRKCEGDWNPVGMEIVIDGTV PAGGGMSSSAALVCASALSVMVANSHDVSKRDLLDLAVVSERAVGVFSGGMDQAASIF SNRDYLLDCAFFPSFHAEYVPVPRSNPEITFLVAQSFVAADKHVTAPKNYNLRVVECT FAALILAKLHEVVLKPDSSPLGFSLRNAQEEIMRKQDRLDQAPEEQLRAMISIAEEKL EKENGYTREDLADILGTSVKNLEEEHMSKFPVQADKFQLRSRALHVFSEARRVFDFKK ILSDVQNGHGGLRDQELRLLGNLMNATQKSCSEVYDCSCPELDEICAIARQAGTYGSR LTGAGWGGCTVHLLPQGNVEKVTKALKEEYYYKHFPDITEEKLKQALVISKPSSGSAV VTGSAMELHHSI EPUS_00005 MDFCPLHASRMNWIVHFKPEYAGVRVLSLDGGGMRGIVELEVLK AVEQALGGKMPVQAFFDLIVGTSTGGIIALGLGVKQWLVDHCITEFVRLCDQAFSPRE FDNVGGLTQATTLRHGSKYRTQPLRRALYHVLGEEYLYGGPRKVHRAYGTKVAVTATT EPDKNLFEFPHELHVWEAASATSAAPSFFKPFQSHGKQVYLDGAVYYNNPVRVAHHER KFLWPDVAETPPDILLSIGTGKNGLKVDEELHRDAVDSSLVRQAKPQSNNRLSRWREK SVAQIKRPKPFELVLKYFNVLVNRIDNILDSEREWRQFCSDVLDGKQREDHESHHIRI NIDLGQDPPALDDKARLADLQAQTSEILRSSEHQSTIEKVAYRLIASSFYFLKDERIG FDEASQMWVCTGRILCRFDGNSIEVRNNMRSLGEFLRSQQRPDYQPYFSIKEKADDPD LNTIEINRKTIEHMIATAEFFFDDPLKIQVASQLSPTTIYLSLCDTQRFKPDLYPISG FPRFLVTEDTANATRLDRRAELIRERRYNTSPIASDLKDAHSMDEAVDKTNSEVDELG DGGAFVSSKADDLAVYGDKKSPPSHSEVLDEHGRSLSRQPQNAKTLATQELEKMRLEA HTVEDTAAFADSSTGATNSGPFERSISIGNLRTHSHVTSNTTIVDAEGLMSSDPNLVG SVMGPGFDSDDSD EPUS_00006 MSKLWLDLLRGLVGGARQVYDRLRPILEPPEDSDELSRLHVEDE DTAWFGVQKDTMGRPIFYDYGRYAALMAETKQPNAGIRYPQLVSFVGHTGGGKSTLIK MLVSLKEQKLSFSSASPFRSPVVGSIKNDKSPTSGDVHLYVDPETAYGPLPLLYADCE GLDGGEAAPMAYKMRESMQKSGQGHLHRRLFSSHPRELVYAKTNSEARNREWAVRKVY PRLLYTFSDVVVFVLRNTRTFESSALKLLLDISVNEEEWDTSTATKYLMQHVANAVFD VPIFIKYAQRWQRRGKAIHNMLDLIRCYYANISVVRIPTKGRYMLAYKQINMLHLEII KNCDASFQAKNDAHMLSNADELNTYLQAGFDHFTTKEDQPFNFVEVAMKNNPIPRDFG DHILGIAAKVRKVTGIRDGPELFTKLSFMVASSKAVDLFDQFYASSCTAALEHFCNRH WPCSFSEQGKQCVNVKSSHSTKGHQSADGTIISAGQYVSEFSSRNFSTKWKTMIKGHL QQVEHQLAEEKSNKFQASFGADDKMAYDLHRRCMEYFYRSFENLTQHISAV EPUS_00007 MASVPPRQSPRSISPTSQRQYPPETSSPDEHFAASMISEDSQTV LLDNPIPKTKDENSTETSQPHRPPAPSRSSTLSIKKCWICICDSTEDDPTHPPQWRSP CTCNLTAHEACLLDWVADLENPRSRRGGGPPKKIQCPQCKSEIKIARPRSLVLSGVKA WERLTGTLTLPGMAGVLGTTIWAGCFYHGLHSVRVVFGEREAMQLYARANRHWGWLSA YALIPVSLIAARTHYADFVLPGSTIFLFATQLSEKFAIDWTIWPPRPSTVFGCLPVVR NCYNYLYEKAFGNLNRKWLQEIQPRHGQDGEGNEADHANDAAAAVDDIADGDVVLELN LEIGVEDDEDEEQPEQQQQQGARPDGQPGNREEVQPAAAENAPPVANQAANNNPPANA NANPGPAPQPALLNRRPNEILTDTSSVFLTTLGALLFPSIAAGMGELLHHTLPLSLVK PSSSFTFFRNSGRERLLRTKWGRSVVGGCLFVVLKDALVLYVRWMMAKNHRGRKIMDF DKGRKEDRGTLHGLRWGTWRELGAHRSAYDTTMLPGGLFEHNLGG EPUS_00008 MALNNHSSSRRLRVLSLDGGGVRGLSALHILRRLLCMINEELYG TSEPMLPQHAFDIIVGTSTGGLIALMIVKLGMTVDECIEQYESLSRKIFGKPHLIGKR TGGFGTTKYSGRRMRELVVELIQSRNRPADYKMEDTSGHPDLLCSVVCRELENNYTRA RRGDAVFLCSHKCRKRPLNTKTYHECEMADASRATSAAPTYFDPVRIMRKILVDGGYG ETNNPSQDAWQHYTELKEILHTDRVRWVNIGTGTSKDQSLPPKRAWKDLLLPKYFQNI MHTLRDLEKIATDSENTGVSMRLISNMDRSQLDFYRFSATNGVHKVALDDYLTIDNKE LERLTLEYIKEPEVEEGLRALSRLLAADYGEKSRVRRATLEVQYPHLAPKPSSIRQLV TGEQPEYLDLLFPGTGVPSMAGASEITAESSNAEDTPKSRNAMLNGAISMVPTQSDST GVGDQFKTPNVDATEIGPPTRSSTAPARV EPUS_00009 MSSSTSDDMRPLKILTLDGGGLQALSTLSILSKLCDAIAINKAS DRKPAPYELFDIIGGIGTGGWLALLLGRYRLDLTRCMSIYLELASDPQLPATRSLFGS KPYALDQKRLISKIDSILERYELNPSLLDNEAQKTEEGIEVRCKHAFAVGAIQSSDEG NREYDVFRAYRTTTKTPGPYYRPGPDPESCKVSSVCAATGATKYLLQPYTIGSTTYSD NGFPNPHNITEIAMDEAYHIFGEKPPLSIIVNIGPGIPSDHDIEKLQKLSRKFSWPYW LPSSNRTGLRKANTLNTSPTSSTITTSGTKWVESPVRSDTSSSASSEAEGVEREIEAK IIERLHKDYPDQKIFIRLAPPPAHDELALNDVHVISTSSEEVDKFLRQDTTKKCLRQA AERYCVTSSAA EPUS_00010 MEIFAAGVDEIAIPDRENGVDAFSCQVTFIEGGFVISVSKHHFV CDGTAIANFITWWFKKARGYRTDNVVQDSEILPISKMMTLHDRSSLLLEPQAEPQERR EPNGVRSFSPTVVDPTPPKTSTSSLDPLSSAVRTEVGQAIFRLEPSCLREIHADASKH ANRKISTNDAMCALLWRCITRARFCDRNVEGQPQTSSLIMTINARSKFNPPLPDQYFA NCVFGATSSVPIPILTSVDPPSLSDVAVTLRESLLAQTSDTWLRSVLQHAAAAQSTPI DRVLALKHGLADNVVVTSWEKYFDRPEDLDVGLGAFWRLRLTFDNFGYDGLVLVLPAY GMRDKPAGVGTAYPGGLEIVVSLLREPLEYLKADKEWTRYAQCVEA EPUS_00011 MESSHELQASNMHSVKKSLMDLPSETIALILENATDHDLAQLCR VSKAVRELAAAELYHNLNHTFNEDPVSPKLSVDYLAGLLETLATSDYNYAGHVKEVFV DTGYAAGKGEQACRQFCYDLTCGKLLNTLLLAALKRTNSLEGFYWNARIELSTPVFLA LHRMPNLRRVHIRLQAGQSLHSIPKTGSGPNQSGTSLNASPISPGVPPPGPHSPGGYG YAQPNPPGNTFRMVPKLPVARPVEQACSFSGFKGLKSLCALDMDTLEYIPEIAECVRN SLETLKTLELSLSDSFARRAREKPRVDGSDGATSDDASSVDSQPLGTGDEGAPAGGNP EQVRQWYKTRQEAILSRIFAPKSPKLDAKAIESAVNEVVIRAETEAQNELDRDTDDMT NDAEDREFVKMMRTIFREARSKQEPSSKGSKAIEKIERAATKYLEGKARPVNEPKSKP KNKAPLIKIKTPKPPPPSSWQVPPQASSNYKSYSVHEDVLLDYFGFSKAAWDQMTAQT KNQYVQNYFKDTQGVGYQPYPMPPPPPGKSTGYNKVYYANPNSVPGYVPPSKYIVIPP KKVKKPPAQKPKYGSDSDEQGKTPNPIKSAGTETTLDKDPPTGAAKKDEDLSEDSDLQ YPSGDEDDGPDQEFETENEGSGDEDKTPNVEMEEMQLGINGVLEQSGLLGENEGLEGG DTPVTINKGKQAVRDPPNGHLTPPKPASPEALAMPEKQEDPVSRYLRISHGLPIERLA IYLIPVKPATLLQHFDAYALKNITLLNVGSQRRIWATMTKLQHQSPLPLTGIYTDNVT PALLTFIESLKAGQLEDLFLLERYLRKKGRVPQLQDCDKTTVEIDAIRKQILKKHMKG FKRLMIRNDDTETWAINNITMRLIAREGVKLRELAVQCDTISFHTIVRSLPNLTELYA LQINFILDAGTPPISMTGIAIASQHHYGGTVIEPHTSASATMLHELRQCVIDSMSHCK DTTQLEWIGFSWLTGGRHQNHLDGEGNPYVFLSKVTREVEVDSEDEDEDGGAQAKKHD GTAEGEVKTEADLPIAFWAENDLNISEVQGVRMWDKEIWAGRL EPUS_00012 MHRRPGYEARNALSKTQFKLKRQNQSIHVYGVIGWNFKGQLHFY TGSGIGGRLVQADYMAILEQIVAPERDKDCVLVEDNDGPHGTKGKGPNKIKALKDLLG IRWKMNPANSPDLNPIETIWRIIKQRLKSRGVIFEEAVLRQAIQEEWDKITIEEINRA ISTMPDRVAALNERNGRPIPY EPUS_00013 MDFSSNVTSYVSTVVVIGSTYLLGLAVYRLFFHPLAKFPGPKYA ALSRWHEFYYEVVKKGQFMFIIDEYHKKYGPIVRIVPDELHIKDSQFYDTLYTKAGRV DKYEWMANRFSNDQSVLATPTADLHLKRRGALNPLFSRARIISFESVVREKTNLLIQR IRGYKDSGKPFSLSAGFMALAGDVIMQYCFSLNYDHLNSEDFKTTFHEPFMAMSITSH LSLQFPIVPKILFAMPESWLAKTEPLFSLMFRLQKDLRKQIVALKEGENEEVAKSPLP TVFHELLNNPNLPPDQKETARLQDEAQLVVGAGITTVGWALSVGAFHIINQPLVFTRL RQELEEAIPDPSNPPTWVELEKLPYLTGCIREGIRLSYPVTSRNPRLLSKPLVYKDWV IPPRTPVSMTIVDVNNDEDIFPEHDKFKPERWINPPPTKDGSDLERYFICFQKGSRSC VGIKKTQTSLGYAELYLALAGVFRNFHFKLHETDESDVTLAHDFFLPSPKLDSKGVRR WKLVVGVKRGFSFRLVSVRKQSATSNIDAGVADGDRADVLPHVDEAGIDQLLAVQVGE VQRLADQGAAGAVDVADPLLAPGGAVDDVVVAVEPALVLLHPHPARFKVKLAKKYRDF WIFFMLRFSQLIALSW EPUS_00014 MDDRLIAFTNRYFQKEINLNISEGGLSDHRTRLESLKDDWGLDI SFSARPECLMMTDYISSQPLSACSPSTISYPPSPTYWAPYAISDLTGDASEEDTHIKA LYIRWFESSGVSSERLSRNCSPIRFRPTRELPLESAIVTSSTHNEGPGVGIPPHALSE PEDALSIKVVTKDLKAMGLAAGGKLVQDIYRDPFPVRNWNTEATRLVNIHILDPASCE KVTHIVPPPPPPIDAAEYAEAKLPFYVVEEQVDNRLEGGDFDNVISVSAMDKDQGVTR EPEFDPSKPRMCGGCEMRLCDCIIRPCDHQFCNVCIRRLDTNSNNGPQERISSSSSSE QQIRRWRCPTCGSDVSHVAGFSAPMNLPGEEALRVKVPVHVLKVEDGRVKFKSIQTTR I EPUS_00015 MSFGFSVGDIILVSQLAYKLYCNLTTGRRSAAKDLKELEDVLFG LRCALDHLSKAAESILSTAPDSQDANAVEVRHKLDAMVNSCGATLLELDSVTKKYREA AESAQNDVLGDDAEGVSAVAVSTRPIKKRSLAQFKENVRVNWMKIRWDVERKSLSEFR AKLQSHTDAITIILNTLLWSATHRIEVDGKVNAEKVHKLQDHALQSNTTLLQLVQEIR TLLLPRGDAPSPPAPEAPASMPSTQQPETMHNRRFTLAMTGGRRPHHRFSHSISPHYN SFALMATSPTPDTCIPDISASFGPLPIPLNDSTTDRAADTHRVLTRKPPLELPAAIAE LNIKRQSSGSKVFNEYLQKHLLPSPPSKPQFLTLEVPTLLQLRDGLNYIFHPLPGTTA RQATLDRDERKKEVLRWTHGLEHLLDCWIAEPISVPLDAKGEKQAKVNEIVELLVDMN GSIERCDSKTRRLFYQSTEQAEIDGVLQKLQTVTKSVRVMKEVEEFEDVRDEWKDSQN GG EPUS_00016 MEPALLATLPAELICAILSSLASADDLLSMILASKQIYESFKST RKSILLAVAQNSLGAHILADGLITVRYLKKRSDDSVFTTRRHPTPLDQNILKDRNDTS TSLRLPLTEWILFFQYQRVVEDFVHDYASRQLVKYGGPPLSTTELYRLRRAFYRYDTF QTINLLTMDMRGSERNMVVWPALLTEYATSPWEVEEVMCVHQYVIERLEEVLDQVETE YIESVVASTQATASLGGDPDETRWHSKGVATSSRDHPSHFYETDIEHDEDARSFFWTS EKRYQILNTEYLSTFGLRFLRRLFHLTDKRTRRAIVAENSGLLACTLADALLPSVNPF KQEKQDLEEGKPLDFEGDAPDKRNLGWLWANQYLPTPYYASPCDFDFRSWGYVFWDRP RLEYLNVIKEPCPRVDRNRSPQKRDRGREKSVEEKLREMNIIEERTDFQFY EPUS_00017 MDVSDPQGGEGGDRFSDDEQQSPQSPRKLPDDLPKSLDDRRTFS SYGGETEMYDAWQGSSQYLTTPTPAKPLAFDLRLDTPSYDDEETAAQMQDSDARLREM LAVQAQHYQEDGPNSAEAEDAVTIDDKLSREEKTSVLQKSLIMAASNGNVNRVNRLVN GAAKEFVDVNALDDDGTGALVYASCFGHQEVVSALLDAGALVDQQDRNQWSPLMWAMT NRHKTIAKILLDHGANPDIKSNSGGTAYDFVQPGSELSEYLHDNGYRLRETAQLGDDF YDSGGFGQDKFEEELAENELKRRMLMKESAANLEVDLSTLGFDESPEAPFEPDEEEEE FVWDRCVGDQMFVFQNGDLHRILELIITNMTPQRSPSQKPVPANLLFLMARYAHYHMT GSLLEELLSAAMDKINDVVERQQWDMTMLAFWISNATLLLHYLKKDAGLLQATTKMQL ELAELINEIFILIIRDAERRMNKVLETAMLDHETIPGLTDDIHFQGEWNVFKSKSKTK DVPPEKRFRPPSPKRRAQTSPRNITSLLSSTLFVLDLYDVHSVIISQILAQLLYWLGA ELFNRVMTTKRFLSRTKAMQIRMNVSQLEDWARSNNRQPEHYENGSTISTGENTVEAA RRHLSPVIQLLQWLQCFTSLGEDRESLVATLEQLGRLTPTQLIHAVKSYRSEVGEKSL PKAHMKFLVQLQKGQEGLIRRPRTPISQAPQITSTPSTPVKSEAHTFTSTFTSTFTPA STTITSQNGTLPNDQQHTPPQIPFPQSPSPSSQQTQTPLASKSPQPEPVSQALILDPS LMLPFSLPTSTDMLITYGAGIGGTNRERARKYIPTVPTEVLGRLGVDSANHES EPUS_00018 MGLPPSPDSDTSLVSLDPAIVRQISRSKSSHQQLSAKAKQPFPI LASEQHMHESHNTIQYEPLHGKPPRKIFEKTRKNSLRRVKDSTEVLRQKSTSARHVAN TSDGTSATASKGGRHFTVGNVGTGGKLYLRPTATQPQQATPKAFVFPSPTPNSTLHGS LRQENAISGLRQSIWSDSQISDKAPNPTAARSPPLRSKMSDGPPRPSAHIRPFSFSTV DVTHQPSAEPKDGVLRIVIDRSATTRPKTTEKFALPTLEIPIPHYRLGNPHFSIQGTP LLRSSAYTKTSGGVSENLKPASILKTNFLSPERKEEGPSALSKSQTFDTLLSCSGTTS DLSKDPAGAAVSSTSHSQGEPIDPKVYDRLTSIKDEPCVVRYSQRTGAITAATPARIV AQISSEAFMDYDLVSDFFLTFRAYLSTERLLSLLLARLHWAINRLEDDGRVIRIRTFA ALRHWILNYFVDDFVVNRKLRVQFCDQINEMYHEVSRRTGGGVSDMKILLDLKRCWNG RCSLYWDSRDFVVTQQDADIVPGGIMGSRDASLTQLDIALDQEIGMTPDNYQDKVSVT KSWYGSPPVTQGSKTHDKHPFTFTAGWPTSPTSEQSLQPKSCSIPARAFKRTPPPESS ARRPYPVSLYQRMQKSPFNLPTSMEDSQRRLSNAHKRSCSTSDSIRDQGSANFVSQPV YLASPYAGSLIRGNVYPPAAPFVDVIVPESPPSHKPRLNASPIGMSTAFDSSAKSGSP TNPGMKTLMGSIRRALSSKQTGSNSTSSTEEIGPLPPSLHGKTSNLPLNLARSTDTLR EKKSALASRHHLRIDLLCAAVSQSYQMVQAKASEESVVNHGLGVSISGIHESSGSQQT LGSRDFSIEAGDIQGIPGQDAVRSASSVLDNTTGNDLPRTITAFGRDMQGEALVTDLE FAAAGMASTPILSTERSTHQRRSSLEDRRRSSSVDTALARRSREQGKMRVARSAGQPS RIEAYTRRTSSSEPSERLRAAVSPSALLHTDQRQSHALPHGPYPNFDASVASSAMKQS KLEFQSQGHTLRRRPGGNLRNIENVHDLAAGPRRQSTGSLTTQSESVGSMLVMGGHKV TMSKQKKPALQVAQHPISLIRTHSSQHLRPSFEAAISGFSAIPDDADGGLEATLLKLE GRYKHASPEIGIEMGAECARDQTAMVGSSSTDPRQNFDGNASYPQGVQAPISTRGSNP RLRQPASASRTRKPGIKTVSARFGQPKSSVADSEESYSSIPLLERGLVGDAVKKPNAH SKSAPTVSIPSPLLEGQVIVETQRDVASPHPSIELVEKTESMGRIPHGSTLPDVRPLT ANGSFLLDEDDNLTDLSSEMTVDVIEHSEVQAPIVSSPAGAPGNVTSGHPLPTHPLAH PPSPAFSIHQAVTPVPPVNPMMYQQKPLTPQPSPTQGVVAHDSQRSLSQILPPRKSPA VASATVTTSAGHIPFVLACESVVLAQQLTLVEKAALSEIEWSDLVDMKWDNKSPNILN WVEYLAAKDNRGIDIVITRFNLVVKWVLSEIVMTQNIYERAQAITKYIHIAGHAKRIH NYATMLQITIALTSIDCTRLKATWELVSESDQVLLREMETLIQPIRNFHDLRIEMETA NLQDGCIPFVGLYVHDLTYNAQKPSQIANSLGGEPLVNFEKYRTIATIVKSLLRLIDA SSKYNFAPIPGIIERCLWMAALSDEKITALSKSIEQ EPUS_00019 MDEFVSRKRKRPTSPIQDHSTRLQMSGPAITQDQEESTDVKLTI LASLAPTISQEDLLELLISCDGYLDNARAVLLYRNKAPTSPRKKLTSIGLQSSLGFPT TNDPSKAQKPLTRKGKTVHLFSSADIAAHTPCSIIHNFLPAEDATKLLKELLAEAPTF PRATFKLFDNVVQSPHSAGFYVSSLQERERQKSEYLYNGSYLSDVRELLPEMRKVSSK VKDAVNKEIAIRIAKHYPDGKKLKYQCPHEWVPNAAFVNCYDGGAESVGYHSDQLSYI GPRAVIGSLSLGVAREFRVRKIVGREEKETDAKHKEETDRSRADEEGQIAIHLPHNSL LVMHAEMQEEWKHSIHPSSTVDLHPVSGNKRINITYRWYRESFNPRYTPRCKCGIATV LKCVQKKKENRGRYMWMCQAGGIPGKQGCGYFEWAEFTSDGEPLWKSASAPRMQETLP A EPUS_00020 MLEYRTEGFQGYAVKYSPFFDNRIAVVAAANFGLVGNGRLYVLE LTTQGIRPVKWYPTQDALYDVSHSESHSAHLLTSSGDGSLRLFDTNLEPTFPIATFSE HSREAFSCAWNLTAKSTFASSSWDGTVKIWNPERERSMLTLPTHSCTYSAQWSPRDEG IITAVSSDSHLRLWDLRTPASASNHLQLQIPLHAAPLSARANSARPQPTFPPNEALTH DWNKYRSTVIAVAGVDRIIRTFDIRQPAGPMQLLQGHEYAVRKGVE EPUS_00021 MAGKKGGENTKKAAGNAKATEVTAQKQAAEDARAAAAEAQEWSK GAKGNAKKSVTPTIITTANHQSTKATPLTPLPLSTREAEAEKKAVAAQKKAERDALLA AEEKSQRSTPKGANAKTAPKKSRGTLDLSQLDATPFADSTNKPATLNATGIDNALDAL SLTSTDPSSQKMDRHPERRFKAAYAAFEARRLDEIAKEHPGLRKQQRVEICRKEFEKS EENPFNQAGVVGWDASKEEVREKREEVRRAVEGRLGEKSS EPUS_00022 MAAIACTPTAGTKTRPSSSQASANPPCTPRARVQSSTRQAHGPI GMPWEPPVLPVPSSPPHAVLGPRAEPLTETKKRTITVGIESEFELDPRHEDGDNAMLP EFVTALAMKHNQMVPGPIEMVSPILEAYPGSKWREDVEATWKYLQDQYHITTNRRCST HVHLSLDPFYTIPEIKRIAQACIYFEPAFEAIVPPGRRFNPYAKSNWLDSPTVARGDT SRSELIAAIEGEVQVDVIARLMQHRDDREYAWNFWSLFRRRTIEFRKPPACTKPEEVL AWAELALSFIQACIKYENTTQLQKVPPTIGGLRWFMSQFTEPGLNEPKRMQRLWWGRS SNAMVQPYPQPVGFWWWEGAERLAMIERLKRLRETEMKQGQALARRRRAPFW EPUS_00023 MSNSTADGRLHRYWPERNLNLFEYQPSGDATWYHLADANTLIFI GGLYDSFLSVPYVPLLASYIHQVPNWSLMEIQLSSSGVGWGTGDLCRDAEEIAKAVEY LRGSTTNSKADTAVTSPTGKIVLMGLSTGCQDVLHYLYHNPEQKRPPVDGAILQAAVS DREGLVMMCERDEHVQRAYEECLRISLESQTEDSNGKIFTLPPETTSIVGWPRGLVSC KRFLSLVSPFSPERPGDDDLFSSDLSDEALSQTFGAVAKCGRLTPSPLYGISMLVMLS AEDEYTPPTVNKGALMKRWAAALENGHAKMAPPSGVIANASHTIKEHAAQFDLVYRVL RYLELSIGGVKEETFLKLEEKLNLLDSQNPSI EPUS_00024 MGVHTRSSASSSPVKLEVPTKVALPYAEGEPYSTFILPSNTSSD ARFVLLQHPRDGARRRFYFCPSKGIYEVTKISANMHDLRSILFTPDRDVTTLQAGKVE DELHETTIIEKEVASSGIASTAENSPCQGYVNKTAEIFVATPFDPVFILLPLLDQSAT SSRIQPGDGLFRPFDDILDEHQGDDRHLRHVLNNPTFRPTLLTAMSHVCDTVDAGDEH MYRLSMLKLYNFIFSKARKAVENGLPASMEERFVTRSLEVPMLGVKRVESGVSCSTKE TDAAVERLVPDMSESQSSIASLSTSASISEISSISSATSIGTVDHAPSEGLRYLQRLR TAMSFITTSYLNPILSTKLAEMSRDGKALPDFAPLDEHLQHVAKLRAEALATRSLSDF SKKRNFDEDGEAAEERADKKRKQEEDDKKKKSQESRGVRDLKKVNVSGMKKMSDFFAK KGPTATSKA EPUS_00025 MSVVERAWPPKSPHAALLSSPSGRRKYQQYQDRRERSRSPVKRS ATAPDIQRISRKLLDEDEDTNEVDEVEDDEETLQLKLAAIEAKLKLKKLQQNREKNSL EDLAKEPPQINRPQSSASSVRKAKPSHNDRGFRCDSVEVPVSPTRRPVAQVEQRSPGR VLLGIDKGVKATDVSLRRARSIRENGTLGSNRTNGSTAAGRTPMPGCEFFQESGSRHQ AERPIKTFSERITENRAKDHTQEKRREALASKRGAGFKLNRAEMESYQKAAEEARTHN PPRSPLKDRQCATYTREDILRSQRGNAPNSGILKHSSTLPNLRDHNASSSSTNQNNPV MSDTANQGSQSLKGGRHDEAAAVPRKGDPALYDPFSQLHLSSRILPHSFLERTFPPDT HTCLRLPQLLKQVTAPAYELPGLEAKDVVIVGVVASKSTPLDHKPRQGANASAEGKSS SDWKDKWEDGSQNHKRFIVLQLSDLTWSVDLYLFGTAVPRYHRLSPGTVVAILNPGIM PPKKGKEDTGAFSLTLHHGEDTLLEVGTARDLGFCVAVKKDGQQCGSWVNLVKTEICE WHLNAQVTKTQAGRMGVNTGSNAIGRDVAGSRLRDRLGAGARDGRYSDRRVQSSNPGY KFDPESQSRYFITAPSKSERKMNCAKPGEFFQRSAASLLELDDDDPFIAEGRLSRDTE SRLRKRLLTEEKEREIALRLTSMGSGGAGGEYLRHRIAPNPGGTYNSESTNDEAGRKR SAMATKDNIMASDRNANGKRAADSVRLSPMKKTRFLTEKGIREAGRESMGGKQAEQDD DLDIV EPUS_00026 MDLSPMTLAKVLLPVTPILLKTAVLNTLSLSLNAGKQDLRTELT VSVIRELLKKPNPIGKIQRGGLRDPGIKGKMWISKITLPKPEDHEGIRPRDALDMAIK DLGDGSETYRLPETSAVEAEWTGYRGGVDSDAPRPDISEEEQYRQLMSEVRSKVTVLY FHGGAYVAMDPASHRLPVSYLAKLTSGRCLSVRYRLAPQHPFPTAILDGLIAYLSLLS PPSDSYHTAVSPSNIVFAGDSAGGNLCLSLCQTLLTLQRKGIRKIRFHGRDVALSPPA GIALSSPWCDISRAMPSCHTNATYDYLMPPPDFGLAADLPSDDIWPTQPPRVDMYVNA TALIHPLVSPVAAKPELWRGAPPTYMCAGTEALEDEIAVVARHMDEAGVYVQFDTYEG MPHCFGMIFPKSPMGRESIKSWGKFIVEAGDVGILEKEAGGRRRGKATMAVAFKDPVV KREVKWNELKQELSGEDVESLLRAKRERYIKKEEELVRAWKKDEEPSLQGGEGENVMQ ANGEIKNGQKQRHDLCQGQGQGQEDSSLAAKSKL EPUS_00027 MSHHPDAAEELFDQMGETYKDAFAENEGLYRALDGLKSYHESIS TVLDVGCGPGGPAAYLAQQGYRVTGIDISPKMVDYCTKHIPGTFLKVSMTEYAPSERF DAVISTFSLLQLPYHSVYSMLFKMASWLRPGGIFILGTVDPEGNRGGEAMQGVTSDYV EGYPVPFMNTTVALTLITTKGLLAIIQQTGLVIRSVEKHTFMPTTGEQEEHIFITAQR TT EPUS_00028 MEKPGLAIGDIQPRSRRNSVASLFGPPRLTNATPRQIDAPGASS APGGDDHSAEKVAPPNLAKTLNRRLKKGSQPIIESVSQKLNVKILEVIQSNWIPNDDG NQEKISLIGELTNLQSASIEDGSFRWIHFERDTASINFADFEKVLNDTRVLYTHEAAV ASKCLKEVALNRRTFARGKYFGPYIHQASLPYGSEVEVLPVSATFLSFPIFALMSIPH YGGDVHANSRNLRSSDQHPIRSLLQYSNILAKTTKRDTRQVVTRDEVEDDGQAPNHDK PFIHVPEIWALVINMYTIITCAPFSLDELCCDNVKVHNPPRQDQKVLVKYTDLHGRLH DFRCRTWFGLLDIVSSIESHVDKMKSLLNNPESQYKLIDPRFRPIDNTRWLEIVEATG ARSVNVRGVTLLEDSALSLDFAERKLRASIQGTFVLLGYSVTLRRLRERAMRFQVEKV ERAKQDQVKKRIALLSKEARNIKRSRHFNRFLLYATRSQILDPHASDAFKQTEAQIPI GQTNRSTTGSTIAEDCTDQRKKNSNPLESTAVVRHLPEVFENKLKIPTIKVDTQEDEQ TRTGAPKIQPTTPRITLNDEHINQSPKTSAALVLPPPVAASGNSEPTRKKSPSYQSIV TDDLEAQRSPVEAFEDLESQRRSRLHSVLPLQKHSSHAESSLGLAGPSIVDPLSADSL GQGVQDMSHLTSLPHITPENSLSQGIRRPTQKQASLQDTDSVDSKVFPASPPRLPLRP EGGSTILRSEWNALNSSSSQSESAEHPSSEESPNAGALPYPQKNDTTLIQYAATQLEQ IEQKCNQLLLDGRPEPTNDKSSPNWRLPSALTPTFRDIVLFLLCIYLAVTDHGVDSMS VHHIRDKVLGDLAESESALLRLSEHAEMELDQSGHESIRTADTILEILVENAMSFSTK EELDKKGRARLPFFDLVHIYSSYTSSCIFRAKRDASARVYEDVRLLREEVETISLMLK DQKDLFRKVLKARGSTPETLDKRLTHRIQSSLDETIRHFDTLSVYAVQAEFWTRNSIE VKGEDNSKAIYVFTAVTVIFLPLTFIAGLLGMNTVDIRNEQDSQWLFWAIALPFTFTV LMICLCIAKLNFRLRKFVRSVLRKSGVLRKIRKGLGILGRRLLPEKWYRRGTRRAADW IDSVRDEA EPUS_00029 MSNNDELSGSPLASNDLMDLVKRVVSDQASDIEQQQFAAQVVYN RAFRQDVRDILKRSNSSPMEIYPDRSTSQAVATPTAPMKPSRPTLAERAFSAGLPDIH SKARAGAQSFRRAGSAGPLGSSRSTTFPAQQGESMPPKPASEGRSANQGRKVSRPQLE QPNP EPUS_00030 MIKTNLAGQDNRTLFGTDTARPSLNLTVMGNEAQRGALIAAGVH TPETLQALLHGSRTTDLMMVLQTLRTQSSGTSVDTFESLLHNDPANRTAGIARRI EPUS_00031 MDTMPASGQGKGSGSWGSFLKASQTISEPLPRADTVQSIASFNG DLSSLTAPAFILSTTSLTEFSAYWAEHPSIFVAPTQEPDPAKRSLLVLKWFLSTLKQQ YSSRNDKLGSEKKPLNPFLGELFLGTWQDDAGETQLISEQVSHHPPVTAYCITNAKHG IQLQGYNAQKASFSRTIHIKQVGHALLTLTPPNAPNQPEIYLITLPALHIESLITGSP YIELEKYTHIASSSGYVSKIDYSGRGWLSGKKNTFTACMWKEGQGNDKHPLYSVEGQW SEKNFSFGMHEGDKPKKGKEVESYRAAPTTPLTVMPIEQQDPFESRRAWRNVAASITK GDMDAVSFHKSKIENAQRELRKKEKEESRDWERKFFKTLREDDDATFAKLVKMISGAT AWAGVEAEKTAGVWRFDPERAGRARPPYHPEVGERGLGEGGSEPVSQVSTNTSQGGRK EPUS_00032 MGLPSLTAASLMDWAAIDLILTSLFAAIITVNLLLLRRRVHRLE RRKIFDLEKGRHDVELLNKFRDLQTTESKNGPLDEKAFQCFMELAGGKIPSLDSKCED QETAFRYEQLLQAAVKFFHPERSEASVNEKQELGAVVATPEFTAAVLDEPQSNNAGHV TELDNWCRHYNSPSEPLFTVMEQDGQLSQINSWSLPKYSGCSKKMAGDNLSPIDFSKT SSKVIMDEQEFSGIPNLNRMTSEEDSSQPSSKKLFNAPVVPACWSEHQQSLYDASTID PALTSGAGYELTVKGPSTIPSPPLRSSSFATPVWPGPNEPSNKSLPLSYLPDRSLPGS DSNADKYNSAEEILEAALPEDGIENPLLRLPPQDDEGSRAPQSLLQTRPKATKHYTPL DAKLTYEVSSAPYLFFSPKRLRSTTEHNRAQQSTTEQHHTPSNTLEPYPQAATKSTNS TTKYCFTFIFPIHITPTPPPPTTELCQTHNTLCSKAWNPQTMPVIRTKRHPRAWNRVE D EPUS_00033 MFPTASPTQLSSATAFCRTYTTTVNTNTALTQFPPRATSACGSS PSKYSSICSCGVPPSPSPTCSTDTSANLLRNGDFECGLSPWTTYTIDGTTTSTLSSPG YNSATAFQFTIGSTTSISDATARLIQTVYNLEPGATYTLTFATNIAGPDAGFWGVMIN GEPKRTVDARDNLGVGVWNVNSFDFVDDAGDATEVKFEVITREPGSVFKLDQISLVKK SV EPUS_00034 MLCKGVGMLPRSHPFAHPPNAAKFLARRKPISERRVHYTTILCP SARRQTLALSVDVPGLFETKPFSWKRSLSLLSQSPPSDRTLYFRGHPNAFKCIIVLEE LGLRYEVVQLEGGSDPETIPGFLHKNTSARTPTLRDGDIGVFGFGGITAYLVDHYDPS ASLSYPRGTPEYYTMQSWFLTQVSRSKARFVSQESPHGIIVSYHRSERIIKDLDARLS QVEWLSGDRYTFADIASYGWARCNPALRTVDLAAFPAFKRWVERIEGRKAVQKAIDTQ TRLLKEGKEGKEGKEGKEGEEGQASAAPEQGMDR EPUS_00035 MANDEPEKGDKVSWNWGGGQAGGTVVETKDEGEIAIKSKRGNTN PAVHIGRSGNDVVKRASELMVDEKGSGSGSGSGAKTSKSPAPKENGDGKGEKKRELEE DGDGDGADDAGEEKAAADKEEEAEEEKKEEVKKPAAKKQKKEPAASKKKKAASAEEKP APAEKEKVKKQANGADEAKKGRGRPKGTGTGTGAGGAAGKKKKNPKPRATEGIGSRTR SRA EPUS_00036 MPLLLFKPLIENLRAWEAIRPSDGLGSNTGFSIGAGSSTGAVPP PHAGSSTGAGTSRSHPPSEGFPPRQPEARQPGNARGMATGSDVAPSSMPQTRPPAPRG RAGLEFCTSSGMKIWFVTACLLIAKNTQHHKATLKNFVETLATLATKYNKRLPPPRAD MNPNLLADVDADAERRPSQWCLVQVLDDETSNRLNSANLTPEESPCKSLTLNHVEGPL QQHKLISPVFNVFPGSPWRQSENEFWRYLSNHCHIEGEVYHGTHVHVFRTPNINAKEG QRLAFAILQSETAIEALVPDRAGHPDARSNWLHSEFLARQARSRRDVISFVEHQYWRC GLPTTMQCHDSCDQNFCWNFRS EPUS_00037 MASAHASTKSATPRNGSLTSSSGTSEEDIPRPISPGPRFEPIRT STYTNRGSTYTSRESMLEYGFPTEDGPELAEIATILSRAESLATRPVESKSEDGAPQL RRQDTVAGMEYNDPKFNPETPEFDFYLWARKFLQTIEKEGIKQRRAGFTFKNLTVSGS GAALQLQKNVASLLMAPFRLREYFGDAPEKQILRNFNGSVRSGEMLIVLGRPGSGCST FLKSICGELAGLEMDKKSVVHYNGIPQDRFIKEMRGDVVYNQENEKHFPHLTVGETLD FAAAARTPSARVLGVPRKDFSQYMAKIMMNIFGLGHTRNTKVGNDFVRGVSGGERKRV SIAEMALAGSPLAAWDNSTRGLDAATALEFVRSLRVASNIAGFTNAVAIYQASQSIYD LFDKAIVLYEGRQIYFGPADAARAYFESMGWVCPPRQTTGDFLTSVTNPQERKARDGF ENKVPRTADEFEKYWLQSENYQLCLQDIAEAEEENPVGGGALDAFRESKHQAQAKHVR PRSPYTISIPMQIKICTIRAYQRLWNDKASTLTTVIGQIVMALIIGSIFYGTPQSTGS FFAKGSVLFFAVLLNALIAITEINGLYDQRPIVEKHVSYAFYHPFTEALAGIVSDIPV KFLIATCFNIILYFLSGLRYEAGPFFVFFLFNFVAMLTMSAIFRTIAAATKAISQALA IAGVLVLAIVIYTGFTVQISYMHPWFRWLNYINPVGYAFEAILANEVHNQRYECAPTS LIPPYGTGDNFQCAVAGAVPGRTSVLGDDWLRLSYGYSYSNVWRNLGILLAFQIFFYF TYLVATELNAKSTSTAEFLIFRRGHIPKYMERESKDIEAGKADSAAATAVSSNDSYQG AKAREVGALPVQKDIFTWRDVTLDISIKGEPRRLLDHVSGWVKPGTLTALMGVSGAGK TTLLDTLAQRSSIGVLTGDMFVNGKPLDPSFQRKTGYVQQQDLHLETTTVREALRFSA TLRQPESVSKAEKYAFVEEVIKMLNMEDFSEAIVGNPGEGLNVEQRKLLTIGVELAAK PALLLFLDEPTSGLDSQSSWSIIAFLRKLADSGQAVLSTIHQPSAILFQEFDRLLFLA KGGKTVYFGDIGENSKSLLGYFENHGARKCDDEENPAEYMLEIVGVGSRNKLDIEWPE VWTSSQESKDVQAEIDRIHAEKQREAVTDRGESTGEFAMPLTAQIYEVTLRVFQQYWR TPSYVWGKFTLGLASALFIGFSFFLQNTSSAGLQNTLFAIFMLTSIFSTLVQQIMPRF VTQRSLYEVRERPSKAYSWIAFLVANISVEIPYQILLGILAWAAWYFPVFGDNQSAER KGLMLLFCIEFFLFASTFAHMVIAALPDAETAGNIATLLFSMALTFNGVIQNPNALPG FWLFMYRVSPLTYLISGWASTGLAGKAIDCADNELAQFNPPANQTCGQYLADYISAGA IGQLQNPEASSDCRYCPLSNSDQYLAAVNISYDTRWRDFGIGWAYVVFNIAATVLLYY MFRVKHWSPASLAKGPAVLADRLARLGRRIFTRHAEPTPRGKEDVNHRIY EPUS_00038 MSLNFLVIGAGSRGTAYSRAVAVTSNSSTNSESCTSVLPARIAA VAEPDPFKRADFGRKFIWGKDSSASDGEDEGKGKSRRGQEFVDWKEWIAWEDARRLQV AEKEKQGGIAGTGDNDESDPKVVITGVFVCTMDESHAEILHALEHLNLHILCEKPLAL SLADCLSIGAAYSSIDTSPDLFPQRHDTHPISQPKSSNQRKIFSIGHVLRYSPHNTLL RSLLLQDRAIGDVISLEHTEPVGWWHFAHSYVRGNWRHTTPGGVGTLLTKSCHDIDFI LWLLCSPADVTDERATPHLPATVSSSGALSYFRRARKPITAGKATNCLTCPLGEDGCS FSAKKIYRDKWLRKEKDAGWPLKIVVPEIEDLVSSASSTEEGWEKAEAVLMQKLGEDY DATDPTGMEVKRVKDRSWYGRCVYESDNDVVDDQTVTMTWNEEPDPLGANHPDGRDYE TRGPKTAIFHLTYATQAQCDRRGRIYGSKGEIEYDSKKISVYTFADEKVVQHIPPKPS SIESKSHGGGDVGLAKSFVDAVRACESGDMTADEAQKKFVGGDLEELVRSHAVVFAAE EARREGKVLDFQRWWQDQQSLMALERQSQRSMARAQEQQALIRLRDEMEPGAIERKRR RDEEGDGERMEGLDAERFGNMEVHSNGDVSFS EPUS_00039 MEHLLFTGATAPIVIPYFCTEDWDSSDFLTYPKRKGWDLTAWEP SDGEFKLDTGGRSFEEISAFLQTWLFFGLLESVLGIRIPREDFIRQKKAGKDEKQVVI TTRKLKHYLEDWRRRMAELTKEEQDCAATVIWEYLSRAMVVNGILNFQLFYGEDIPES KPLLESLFCQTLLNNALRRALLDIIPETNWSALEAPNHMKLLSDRMVDAGWCPYTVGF LEDQCQPDVQAFVYSLGTVRAQQDHTACKAADFNEIGRHCVAGRVEGKAALEAKHVSA DCKCESLGPPAERVIELIEEGLTPCVAISTSDDNDDIEILVGGTKLEPESEVSAYFAL SHVWTDGLGNHEHNSLPACQVRRLAGILHALDDTKLCPAATSKMESNLVKGRATANFW LDTLCIPVQSQFHEYRDRCIHDMHQIYREAVAVVVLDPDLQQATSVSKPVEIVARLLC SVWKTRLWTYQEGSLALDLYLAGKDCLLELSDLTSAISEFSDSEDEDVHKHMNRDIVE FQITRSIMIVCRKFIMSFARWDHEKNPESTLDDMLRALSHRGTSRPDDEAICIATFMH LDPAPLLDALPRHRMTMLLQMLPWIPKSALFAYGPRQQTPGFRWAPLTFMTPYGIKNR VVCSITYAPDLADPKASVPIPSSFLHPKGVGLAVFLPGMTFAPNRENSIPENFAVVTP DGTGYVISFHDTGSDKIWVETSPNNFTGSGAIILSHHKSKKSKYALLVEMLDQKSEEG YPLCRWRCQLTAESLDDVVLEGKIAKAAEEYRFTGEFVRFQWWVID EPUS_00040 MTSSPSSHSEGEIFTSDSEKASQTLPSQNGTSVDRRTRTNVAPV RNPRSRSRSPYRALRGEKRRRNDGYTNTSEVSHSRPHKVRRENDRYLSDRSHGRRPYI ESNSASNSRHHRAFDYKADDGRSYQRPRTSSRSRSRSPFRHSQLDEKPIRAATFNRSK VGESDGEVQASRNESRSRDEQSVSGRSKPSGVVPASKSNAKISENQSSQVESKDPIHV SADNAKALALTEPESESVGAHGVVDETALIEERRKRREALKAKYRNQETPLLVQALHL GTDAISSGSSSVRTLQAAEQSSQPETLDQNEHSSHLKCGDSTSPQTPKESLVSPEEID FEHGEGLANSYSCSVAGDEEEPSAADYDPTVDMQEERARHDKRLFNENITIPDNTERR ILEQHESGPEHSHPTASQGQADEPEKRKDPFDMFADDDDEDDMFGEASLKPEKPVGAT DAKTGQALDVRMMDNWDDAEGYYMTIPGELFESRYHVLQNLGRGMFSSVVRATDTKTN KLVAIKIVRNNDTMRKAGIKEIEILKDLAASDPEDRKHIIRLERSFEHKGHLCMVFEN LSLNLREVLKKFGRDVGINLKAIRAYAQQLFLGLSLLRKCQYLHADLKPDNILVNDAR NILKICDLGSASSIEENITAPYLVSRFYRAPEIILGIPYDYGIDVWSVGCTLFELFTG KILFTGRNNNAMLRSIMECRGKFPNKVLRKGNLTYQYFDDLLNFQSIEEDKLTGRIVT KIIDVKAKPVRGLKERLTPKGKRIDEHERKEVELFADLLEKCLDLRGDKRITALEALR HPFVTRAKV EPUS_00041 MTCYSACLLIAGFATNPVFLDVFCGLVGLCSAASVPAAIGTLGA AYPRPSRRKNIAFACFSSGNPLGFGAGALLSGFLNRSMPWRASFWTLAVIYGLVAALS WWTVPPENDCSRCSLDFMTLTQLDWLGAIAIIAGLALLLSGITLAAAAPYGWSTNYIV AFISFGIILIIAFVVWQAIISFGYAAFSSNMFFLALFLQKIRSMSPLLVAVHLLPQVI GGILVNIIAGLLMHYISNKILISVGAVCYVLAFTLLSVMQEDSSYWAFIFPALCLSVV GADFEFTVTNMYVMSSLPLAQQSVAGGLFNTVMRLSSSVGFAVSTAVFNGLDALGVDE SNLSSAYGKYRATFFVSLASSGLSLFLLPFLTLKSQGGRSQRG EPUS_00042 MAEDALPATNSSPEWLGEIKKWNEQATVVTPINIILLSLFVFLV YYRLRPSPPATLPKGPAPVVFQNFTPRTLLKYNGENGQPVYLAVKTKVYDVTPGRNFY GPGGPYENFAGRDATRGLACQSFDEDMLTKDLDGPLDDCNDLDSEQRENLNGWVERFD DKYLVVGKLMAYDAKSL EPUS_00043 MWRKFNRSKDPAIGSPTLIETTFDQQYLDTIPEVDSLRSGGPSR RTSLGLPELPFKVVEPLARPDRASADHRASSLYSQPGQETLRTVPQGSDRLLSVYSEV SPLTSPRGSADLDAAESPDVSPIEPPPASQDVPKLDPKLRTHLPIPRKLPPASDSAST DSGASPSVRREPKESVGGPELSEEERLTMLREKDRKLLSGFQERPSVNSKDAVRPRRE DSLDHPVYREPWKGASGRTALVEPVRTVPRPKHDTGPVAKRRSVIKDPSAHTVVTVPA SRSTSAEPTSPITSIQPPEPTDFPDEPIKPTAPLKVGNNKSRIRSPVTTEKLSGPFNP RYSDATVSSFEPTPSDIGDGSRDESKVIPPTSQQQRPTGPLPDTTSHPRPQTADDDPT SRFSWTTSATTFPPKTPEIDPLSRFSWTTYATSDQESPRTMAQRDIDTPPVPPIPYMP NAMAMRKRPMPSHTTDANSISKLKINRKPTPSNIASTRSSSLPSTTAAAAT EPUS_00044 MQSLFRTTARFARPSPSNPLLTRTTLPTTRLYASQSYGGDDMTG HAKSDQPNPKADLEHPGPESPASKGGSASSTSQSDDPKKYSPPSTPSGSTGIKQGEES TDSDPKTSHGGSPAINDPGPAPENQSEEVRKHNEAVAKSHDRPVNQIDKDGKVEKGYW QGNVGH EPUS_00045 MKFSLSSLLLLALATSEIAVASTWFSKAAYNKWHETELERWLSD HDVPYPTQADRKELENLVKSNWDSKIQQPVAKSSDSTSNSLDSVKDWIFDSWTESRLK SFLDHHGVPLPPQPRTRDSLLTTARQNYDTIAKKAGEYAAYPGDWLYSTWSDSELKEY LDEHGIPVPQPSTRDKLIASVRRNAHLASANMAKNAKAASSSATAAQESLSDALFDAW SDSKLKEFLDKNNIPVPQGSRRNELLALARKNRAHLTGESVTASAASAFGAATSKAGN VVSQATDSASETADEGFEKAIGTWSDSRLKAFLDSRGVPVPQGGKRDDLLSQVRLSKH KAATGYSAWTFDTWSIDNLKKYLSTNTKKAHKNTEATRDELVKQAQDAYASASKSGGS SYASVTSYLASATDAAKDTTFDTWSQSDLKSYLDTYGIPTYQGSTLNELKAQAKKQST YFRYGTSTPQASIFERMKGGVSWMMQQLKLGATQGRVEGEKVAAGASSAARTASAKAS ASTASMKGEL EPUS_00046 MSPRNSQRSTSSSGSSLAHQIPDPNVFSDDYALEPLNTDRSSPF NADDDPTPASETPPLHTGVNISRPISPVSSVSSSAQPPTLSHRFLGHRDVFSIIPNRT ASRASNTFSDVHRASSTSSHFSMPRAQSPYVGATGPSHPYGMYPQITRTSSIASASTV RPIERPFVTANGPEHPYAMYSQNTVPEEDDASLAQATIPVDFPGMGQPYRSGGQTRRD DVSDIVGSDGHIEELPPYTRFADEIAPKESLPSIQAVHLPAVVPQEVPMSPQSRHTQH VETVVELSSTSSRNGCSNSSVGFKEKIKQKGRQRVCGGLPFWFFFVIIGVLLLGVVLG AIIGGVIGSQKGASPSATADPYQNSSHPTATVTSTAFIDGVPLATGQPVASVPTGQYN VPTNGNEVNSKACIKNHTLVDTWGCLASSGMGITVTGQGWGASITLDSYPLSSSFMYG AQPPDLGTRPLDLTPSMDQDSSDLGPSLFAFTYYDKLTILHEQALIPNGQYRRKDDSH IYGMPEDKPWFCWFNETLLEFFIYVDKSVNSSATTPAATATPSSPSTTPSTFTTPSST TLGSSLPPPAAFTGKPSGSPYGPPEDFVNHHTTESGYNRRRSDDKLQKRKRYDDYPLL MKVEEKRKPRGNVPPYCQQMQVLDSGEIVPVPYVAQISVQEIEDMDDDNQNRFRRRGD AEDLSRGCACEWISW EPUS_00047 MASGPPKKVAIIGAGLAGLGLALALHARSIPCTIYELRLPSKVT TGALMLSPNALGVLDSLGVYERIRNKGYNFENIAFKNDKEETTDLYPLGNEKLYGYKA LRIYRQVLLTELRTMVQERDIQVIYEKKFSRVLQESADEGVTFQFEDGSTAQADLLVG TDGIHSTVRQKVSPSTTPLYSGMLAITCVIPTSKLRFPADKDYSTLPVAIHGKAGTFI FAPQDVDGSEVLAGSQKRYPEQSKAEWSRLFGAKDELLALLSANRADWPDIVQSGLEN VPKHTLSIWPFYIVPKLAAWRSEKQRVIILGDAAHAIPPTAGQGASQAFEDAFTLAFL LSRLSPQIQLAAALEFWQNYRQERVDQVIALTQQLNNMRLPAAEREKLGKGEIWRSGD EAQLEWLYVPRIEERLATWVEEAEKREGGEDGTVQKSLIS EPUS_00048 MTPNTAASPAAEVQNLLRFLSQDARVPLSTALSKINDLRKAKLN TPEAISKTSVTSISRVFSGDEKLAKQILAAAKRASNPKSKKRSASGNGDGQVASKRAK GPNGYSQQQSPAELEASLALPTSTLSLLELQSITVQTNRAPLFLAFAVTLLKYTMPEQ PLSSRLSLAQAVVSANSRTKAKSIGLVDGKSAEDEGWGHGQPKVRVMGRMVSVMRRHG YFLDGNREDGGGQGEDRNDGDGIKQEIKDEGNEAPVKQYQRFPEESMESKELPLWGLD LEALKKLNSNINSSPPVLAPSSNKTSANANPGLPIHTPQSARSYLLKSFTQVQDQSRI QDPSSTSSDPSKPAPSSPNPPPKSVTISSQKGKSGAPPSSSQLASQKEEALSHVLAAL DILFSSWARETVVERQELDRRAWDFYIRVRPDVEAGVGGWGQKGHVPLRRILELRKLE EVGAKLGEG EPUS_00049 MALGVDAGTRPVGFTPGPGERRLDVGIIGAGIAGLGAAIALGQA GHHVEVFERSRFANEVGAAIHCCPNATRVLRQYGFDFERASADLFGCGTVMKGDTMQP TYFATYEDWESTYSATGHFFHRVDMHTGLKELAQKPGLNPGFRAAKIRLSAEVMDIDC ETGFIELADGQHFRKDVIIIADGVHSRFVYKISDDISPARRTGQSIFRFLIPTEKLRA NPLTATIFPESEPSGIRVAVLGNRRMVWYPCRNGTVQNFALIHADERYSTNVEDWDAP ASKDDLLTTYQAFHPALVEICNQAEDIKLWPLLFRQPNPIWTKGRAVLIGDAAHPMLP HQGQGGAQALEDGAALGVLLSHIPEADCFPHTETDSPRSSSEGSEIVTEGGHIDSCIA DRLHLFQEIRKNRTAAIQIFSNAGQDEAEKIQRDAKPYVNGKVPTNQQEFHEYNFGYN VVDDCLMALKRLEEAGNKVIFPIEHAPADAVAISKARRID EPUS_00050 MASRQRLSRLDTALDQDTQPQKTSRWQIPVLPKFSRQMRAQQRP AQGQPIEQQQTQCAPDPLTPMAGPNQKVQSRQIQQTAVSANQTVPVPVPIPAPALGLR PIKVRPSEQQPAQAAQAQVVKDDENRETEIRGFLRRQHKILLTAIDAIQRDRQIMAQS QETIDSINAKSCGNWRQMSATDVKDLRSEKNRRKLWKRAIEEKMEACRKMIESMDKAE AELRTIRPLTRDEKRNDAYVSKEQRLAVGAPVEGVGRESVKGKWCSSSGAMEIWENDL RLAYY EPUS_00051 MPPPQRRNRRPHRHRLEHIQHPLIPKRVSVNPQRELHHAVHAPD LDGHARHRDAQQQLFDLRLEGAAAHTYTCTSISISTSHGGESPHATDEQPEQGDEGGE GGELQGEAEQEDVGAGARLEPPAWVRKERMSEVMKRRPSQRGGILKKVWGRDGCGLAL GVESFALGVVVVAVLEEEVQRRAHEDGRDDDLAAAGGVEADVAVLLDGGDAQRVPGGG EPGGEGGGDGVVVSASAGSAGAWVGSVAARVDNVHVGVVDG EPUS_00052 MSHYSWGTSAPVDGDSAEEVFNHAPWPEPRGRDSPPKKTPRTLA PNLTRRSHKKSRGGCLNCKCRKIKCQETKPSCENCLVKELKCEYPSQATTKIVRRPSC ASRPNRAVVRHDEPKLPATLSPPTSFNMDDMRCFHHFLTVAYPHLPLGNDSVWVQDIP IFAQQHEYLMHALLALGASHLTRMSPQTDYSTTAMIHQGQAIKGLNEALAKESRSYGE SDALLAACYALTFQASYMGDGMTDFITMVRGCALVTEQIYKQETRTAFNLDQNMHFRI MLPRLEHFPNISPTLITPAVLAVEALRPLLRTTMDHQFHASLSSALLALQQSPKAGYL NFVRLYATLWDMSHDQFAVFVDPHNTPAQLLMAHFLALQMLMVPLLVHEFPALNDATK ARTLLGTVEWGEKIWERTPQRMRPYLVWSRDVFQTVRSEIQALNGGNYRDMTFKILAH EPUS_00053 MYLPRDLISHLYTNLLRTHHRLSPPVLILAALEPDALCACRVLT ALFKRDYISHKIQPVSGYGDLTRAANELIHPMKSSEGGTGGVVVCLGVGALVDLRELL GLDTANEHHDGLDGIEVWVLDARRPWNLDNVFGGQPSSQVLSAPDGNARKEGYGVDKG RIQRSYKPGAGGIVVFDDGDIDEELSTERDAYYALAEMPEVEEQEDDSDDSSDEQDGT HDSPQNKKRKSWSDREDEENESEHEDGRPRQRRRSNSGSSMSSLPRRRHGSSSISSRS SSPPSLPAVKAPSARSLRRRLLSLRRAHESVLDGYFQLGTSYSEPISSLMYSLASDLG REDNDLLWLTIVGVSSVELSGQTSCGLSTSTSSHHNKALPFHHQSWRMNRSSRLYALL RDEVRRLNLSSSRDSSASSPSDTSIRLTPDPRFVLLRHWSLYDSMLHSPYLASRLHLW NETGIKRLHKLLAKMGVSLSQCRQSYTHMDISLKKNLRENLLKYAAVYGLDDLVPEGA GRGAGDYEGWGFVRSWGWKAQLSAMDVGVVVGAILEVGHTQPGASGLASLASSEARNA EGETEISTTADRETEDRSTSLLPRFFAAYDVLAPTHPSKLLDAIPLAQHLLRSILRTG SSLLAKHQIRHLRAFRMGVVKDGPDLSLFASSPAALVKLALWVGEAVAVSEREKKGGK DGATPLVLAALDEVRGTYVVVGTGGGIGGVSTGADKEMEREKAERKAEKDKARVEKRK VKEQRKADRAAEKAVRELDGDGDGDEEEEEESEDGDSSSDEEDDAEDVAEQERRRKRG YGRNRFGIAFTEVVEETKARVKIDSFDHCVVEVKKEDLGGFLEGLSLKTVVGR EPUS_00054 MASSSEEDARYPFVHLGDLDDTPQTWEQVRRREAGLKKNFSINE LRALLEYHAYGFQFTRPPARKQNYIDLLHKTLYYTQTGLANEAAFTERGIICAGPVGP GTISKDVRDAKGLPSWYLNEEAALGKIQPHLSLRSVPGDSQSKPGAKAVCYEIAPERP LYSDASQIANENLAHPQKSMSVDTVPSKDPPATRKPSDASEVHNSTESRQTIMISDDT DDIPVHSRAANITTEKRYADKRISRMTTEHVQKALTAVAYSGLQTVPRAFLHPTPFLL ATKDRDLLESLTQKSVATLHVNVRFVGNEKYSIVEDGDSLKYCGRGPIWKDNSCFWDS IIVSCRMLNAGSTYLDRGVLPGAWEDELTTIQRAFLDVLRMDWDFFDERTSFAQRDMF LELFHRESSDEGIKPKPKPKGEMDSPAARWNDIAGPFNQFSFRAHIRSQPCSCQNRGP SCTSTKDMRYITPPYREPDRKGVTISNLLQRWSHFIRPHCSRGGRKTTNVIHGNLPLR LVVQITAGTRLLDHTSQDISFSYTRMDPASGKESPEHVCYRWLGGIYCSGAHFRVYWN DSAWGAKIVESLQVYDGRQAAGAIIGNVPPASELEPIPGYWMKYCPPLLFYEQVVNPD PLALEAAQAVITEIVISQSQRSAFPRTFFPPSSDSAPSTIPVNALLPHELPEAVLKFA TDIVQRLAKEKAQ EPUS_00055 MKEKKLKPRLHVPDYCEVETVKDEGGKTIWPAPADAMERARAFI RACAQSGKPTLLVPDKDADGLSSGVILHRTLTALGLPTDLISTHIVTKGSSIHDSTER DLMSTTYNPSYIIVLDQGSRAAPRVIDNSSTKSLILDHHLSDSFPEDALVVSACHYPP VATTSLLTYLTCLPLHPSPTTFTESCAYLACIGTHGDLGNTLKWLPPFPDLTPTLKQH TKKAINEARLDRAPSLHLPERPSHPPSPSYQREPKSTPKSNATRTAPRNSPPTAASPC CASTPPPKFTP EPUS_00056 MSTASRLSSIMTSMTFIITYLCAFLIPVLASPFQLNTSSIPIIT TYTALGDSYATGNGAGTHGHSLCSPFSDAYPVQLAKAIGPVLFQSAACSGATTGSVFW HQLDSIGDSDLVTLTVGGNEVDFFGVLNECVYQWRPLGTCECELRKSRRLIESRRFVD SYARMVRLAKQRMKPSGRLLVTGYATFFNEETTACDGVTFSIRNPEQFLTRQLRRDLN HLVRMLNHVIRSAAETAGAEYVDMDEMFEGHRFCEDGVSEPDDMRSDTWFFNLDYGSG NERVAEEVHRAHSQEVFLGGLGKWYMGVARVFHPTKEGHGGIRDAVVKQLRNG EPUS_00057 MLDESIIAPRNTLPGSSDDSAPDSAPVSRLANFITAALLLTIVG QHNTMDMTGQGHIIHLFSKACRNEQFTSEQLSCVNLGRRSLIHLLDNSHKPGSELAHQ IVKPTPSHPITNSMNVHPAPPSPPKSTFSPL EPUS_00058 MACKAGFVAANRQVSPSSIAFVYRKGSLIKYALHVQSPGGADAM ALAAKTADRNNRSALPPPWAPSQLNQHSVPNEDAVFSESSWSQNTTHSARSTTATSFN IQGSCSNAEAQALGSLSGADIELSSISGHGYPNDDPPQQISEDSTQFHDLSVIVTEEQ NESRNALESSNRQWSFATSFKDSLRSAYQYIPKYEITSNTMALMALILTAFFGYETYK LAQESNRLAKIATGAQLRQTCKDEKEKGAFSEVCKQVLSSSIGSPYVPETSNVVRRVL GFLQHHRNSSAVIYPRLYEISTPRWSFVFHGFLINLVLLITACTISGLNGGGFQSIRR LRRTHGSQPSAFNPVDISLARIQPDENALCFASALQGHRPRARRRIVQYAYKQNHEEY SSSRSLKSQLTASGPFYDRSIHSQPPRDFMSLDNGVVDNSYQISEGSHS EPUS_00059 MRPSGTKNAPAAGAKRDKQELGELSPEPPQQRKKADKRSPEAQK PPEQAKGIGEQQRQSQQQSGLTRDPRQSSQTSQHSQQSQQSQQPQQPQQPQQSQQSQQ SQQSQQSQHAQPAKQEKPPALSPRSSACHAYLREIAQQGLISREEAVEKWIRWRTDPM YQDVFCDVMCNVLGELQEDDEAGPSESRLSRKEQRRLELAEAFLAAWHNLDQQREILG RELQRLKPAQPKRASTQASGLSQGNSDMSSESQDQACKSLIEGMIRTERMTRDQGHGA WETWVRFPELHSCFTESAIDTLQKAESLDPGSLPQGERSLLRRSKKFIQACGSTAQGD ISTPPTHQQQIRGQLKRRYNEASGLIKHMIQEGVVSAEQGAWVWKEWKEQLTFRPQFK RDVTELVENLRGGDPRSRPHGQRALLESAETFLATYEVPGAQQRQIPAASQSQQRPPA PIRPPPSIIPQPQSQAYRALLREMTIQQIITPESEAQKWEIWKKDSYCRSEFVMEVFQ LRDALRQGKEDEGRRKNDMFDAAEG EPUS_00060 MATRKQLSAAAYTVGLIYVKPLEMHAITVMLDEEHDPITLQQGD SNEYTLGRIGAHNVAIAGPARGAQGKVAIADVVGRIPLTFTNMTVGLLVGIGGGIPHL PKMDVRLGDVVVGAPEVGPAVVQYDLGKQLSDDFEVTRTLNKPPAQLLKVVNILEDRY IRQEQGDESFFTTHLDRFTKYPRMKRLYKRPATPDRLFLTSYAHEAGTQCTQHDKQYE EQRPDRDPADEVQIHYSTILSGDRVMKHEVTRDQISAKHNNGLCFEMEAAGLMDVFPC LVIRGICDYADSHKNKDWQEYAAATAAAYAREILLSMAERVVKDIGTSTAPDRSGGNV GTDASSGHGGNSSHVVFSGSNNSGVQLGHNTGTISGFTFGKQ EPUS_00061 MVRADSCKNGDLLHLAARPNAAQMTALQAKILDMGHNATFSGDR NQGLQVGISSGTINNVFNIESATKITPNYQLGLRLSEAPQIDDDLFVGREPELEQLEE WLSPKTTKQNIVAVSGLGGMGKTQLSIHFAKHHHKSYSSVLWFNANNAATLTTGYVQL ALWLIGQEERRSEENQPDEDQAVRYVRRWLSQPENDQWLVIYDNYDDPQMPGIKSSTG YDIRQFFPERTQGSVLITTRFSGLTFANRLPLLKFEDIDQSLTILANWSGRSTEEGAR KLANRLAGLPLALTTAGSYLRKTTDSFSDYLQMYQESWDDLADNSNELLEYADRTLYS TWNMSLKQVQDQDPEAANLLRLMAYLSNQDLWYELFQEGAESGPAWLQDVVKSKPRFN RAITKLQEYSLVEVGAGTYSLHTCVHDWTLEYLNRGFDAEFCLLAIHCIAQNTKHHDN DADFWKLTRRLGQHVSRLEHGRLEGLIDWSGVDIADLYELGYLHLFNQQLVAAEKKLQ LSLQGHEERLSSNDLKTYRLAGTLGMVYRRLNKLPEAVMMFERALKGCIMIVNSSHIG TLKLDIFNILVNVSPGQDKTTEVEMLYQHLLEGSQKTRDISFATEWILYVLCQLGPVY SAQGKINEAEIMLKGALQGYEKILGADDIWTLYAQLDLGLLYRAQRKLVDAEKMFMKV LKGNKRLLTAEHPNTFHLLYNLGLVYRDQGRLVKARTAFQQALKGYSKAFGPDHEDTM KAVTGLRLVNERRKRNGIRTARAGERGSEDEEETKGQEDSESGEESEDEGDCEDGEDG EDGEDGEEDGR EPUS_00062 MVASVLERWPLDTTYPGFMAPTTLVASNMARPSLLPPPLLLAVQ TATPNRTTSVIPTHTTTHTAALTATPPEESSPKHSLVSLLCTQRMYTGYPTIQTNTAA SKPLHMTEKITVAASFFSTEKPVTGLDASIHEWPVRKP EPUS_00063 MGLDTERASRVRENKRRSRARQKEYTADLEQKLRQLQRDGVQAT IEIQLSARKVFQENKNLRGLLQHLGVDENTIKSWAEKNAEDNGEGLNGSLRRHCLRGP AGAGYGQANTNVENQRREGHQLWEAATSCDPSSETTPLTGLSGTGQTDISASVVGGPP QTATASPILYGDERPIESVPLPPSDNQGPCDTQTSDLAFDQPDHHACSASTSSPSQAL PTPCKLLTHLAASPSADITQMPTVPDEEEQPHPDGVPCSRAYRMLMQYATTEAKLDLA ARSLEEGCVKNPGPGGGCSVKNKTMWKALEDLTV EPUS_00064 MAANNAKSNPPVILPTSDIESQREIESHDTTIVDTPTPGNEGAG MRDNSKVPHLSLLKLFWFFFYNFGLFAWGGPVAQIALIKDRLVIQDKWITLERFQRVF SVYQILPGPEAVELCMFFGCLSAGRIGGIVAGTAFILPGFLLMLLASYLYSLAGLENK YFNGSFRALQPIVAAMASAPRPYLALTFLDLLTHKVNQYLILAALFTALNSALRINIF ISLGLYGIIYSLIARRLWIPAVLLFVLQYVGYAIYVVFRGVPSPVSLALGIAKTPSLI NLFALGLVAGTLSFGGAYTAIPFIQTEAVLKGAWLSQRVFIDCIAIGNILPAPLVIFA TFVGFQGGLVDNGLGNAFAGAIIITLGMFLPCYIFTIAGHDLLEKLVRNKILSDFFDG LCGAVIGVIAVIAVEILKSSVEGTSRRGEENSINIVIERISQSASAGVLCVLALGVLY KFTNKYTALLILACGAIAGQFLFVD EPUS_00065 MEEDEVPKLMSFAFVHVEETARQRDLRESYNWKITPGGWLRMDK KPPSTPPKNLPPLEFAGQCFDEDENVWTSCFEIEKDGSIASNDTSFHKVRSAAGNVEL DLDKALGLVADASKAAGVIFIYCENQTTRLSGFFITDRFFLTCAHFEVTAIVEELIKK SGSKRTQIRTDTKLLGNGTSAHLVFRDEVRDFAIFCLDEDQQPHTSHFDLDRNFPGID RLDFRTELASRGAFTIGYNSNHNFEDFPYARKQVINNLTPEKKARAENTPTTPVYFHQ VFLPDRKSLSIGRLDSDPPSKGETKWKHRITGWYGMSGAMIACLDKSSTLDAKVQVLG LFSRGSGGNNNQMVMLTTEILRDIRDVIRSHTSNTALESVDNKSAKTASSLALVLEPL KSLVSEEVKKFSANDVAKGICEFPDGTIPIDQIQEL EPUS_00066 MADKSLQDTFHQRALIDDNRSASLIACSIFFIVFPTIIVAFRFV ARFMRKLPLGLDDYFTLPALVFVILLCVLNILSTNYGVGKHVIAANPATIYVVVKIGY FIAMAYTWVHFFVKWSILLLYRRIFGMHKKWFRIAFWSIGVYVTCWALSLFIVALTYC QPISFFWLRATPAAIQGRIDGKCGPDAPKVVQFTNAFNSVADFALLIVPFVALRKLQI KFHRKIELIAIFTIGAFACAAGLVRFAASLDIKGLTTDFTWVYSAAYNWTAIEAGIGL VCACFPVIAPLFKAQALQQRYKIVLETLRSSRAGSRIGFRWFRSRNSSDNAQGSRSSE GTLHKKLPVFSNCTTCGYSLDGKSELPPLPPAPRFREAFQGRQLLHPGLGDPRVVNEV YRGVEPGNMGLDKDRKPLPMEGIKVRTHIETANIPN EPUS_00067 MAENDLPSLPPPLADSYRHYKDLTRSFATWLAGTGERYGFRLST ANQTTNRPSELSSDKANPRLKGKARKLARDAEKRAQNKSSLTKTLLLKDFIPLAKHIA SSKPPPNVPSSVLMKLERAIDLRRRCASWFNTKVQSTASLASNASHEHFINILNTVLD ILKPLCSQSTGSGHGKNNTTNGNEGEEGVEPQLTLHNQFEGLTVETLEDDQEELENVS QQRTNPAKPGKTASPATTIYTPEQQLPDDEFILGCFCFFQDLQDVRGFLKETWQKYKD KQVTLITASLITTAALDFVRKSELNFKTTFSQSGDYYDIFVDQMFRRACTRQGHPFVA RRNFRATTTNLHETQPYVDDMVSEAEWIMLPSWHLLNYSARIFLLEEDGVPCRFQAVL EAEDHMVKVWQLFAPNPAPESVYADRREHQSFDALLLGIGMGTFKNQFDNVGVDQFRT GLAEMLQTDMIPAWLVFATQNFLDIQHILKQDVSRGWQDFKSTFAMLHDRVQQHINHL KPLGAENTVEARDCEAFEACRERYFEIEVQGLQTVFLLHPVGAGLREFFTVTCFRRIG TYTGNSSDVISMAHLYHVSQLQSQCATWPDMEFVIGTQTPEHVFLGGRPPTFEQCWRK VELSLGYSMRNFLSSAAPDSSIRRRKTIRMLDPDDLPITSIFFAKGMVPRVSDIERTT ENLETVLTAALHGVDRAQFKQDDYGKLANRAELLKRTSRKPKATMRQLLVVLEENLVH EQTALHFDYYSFRIVCYKLLKAIAQRIRSAWIHATGLEPDDGCELPTQLLTYLIFNIA NMSFVLSVGAGVPHLESRVLNEVTVMLEDHIRPNGSTLVRELQQFASGALLWADYKAR FGEPASSQEQESSAARPTITSMTEEEMQRTRRIGDSANGLPSLEPSYFQVTQRRR EPUS_00068 MRSLCFLLVLLAGFFQLVSAQGSNTITVPPDGFNVTAGQPTTIS WTNPSTVTIQLVPASGSGEIITLVSMLTNTGSATFEIPSDIPTTQQYQFKMLDDSNSS NVHFSPSFNIAPPLPGSSSRSTAAASTAASTATPTSRNSSASPSNNSLSTGVIVGIAV GLALGILLLVGIAIFFIRRRRKHKTWMQGQQQQQQQQPPATSEHAPAYDMNNQYDPMH PQPPPMEVAGSRSWPREMEAMSRFQQREVVELPVPATGADLTTGKYGIHTSRDIHEMP SNSI EPUS_00069 MRLSTILLPLTFLSTLTLTLTLTLTLTLTLTLTLTLTLTLTLTL TLTLTLTLTLTLTLTLTLTLTLTLTLTLTLPNPEATPSSPPATTSIPTRTSTTTGRAL TFPSRDPTMNTPS EPUS_00070 MQRLLFSVVLSVFFASVIASPQYESGGDGGGDSGDPTSFSSDSQ SGSGSSSGGTDSSSIPTSGNQVLIAHAVLATVAWAFFFPMGAIMLRLNIKSPIMLKLH IYFQMFAYLIYVAAAGMGIWLTIYISRYYDSWADPHPMIGLVLLIVATIQPLSGWIHH RIYHKRAVTLATTNRGPRPGRTMWGRAHLWLGRGLITLGIVNGGLGIKMLEDSPFQAR SVTRNAAIGYGVGAGVMWCIYVFITVVWEWTRPARKRQQLNDNSESRRSAKSQSSSEG SLTNEPKSPARG EPUS_00071 MPSTSSQTSLPLPSPSEQSAITTNPLTPLPQLKLKSTLRLLIPR LRMAQKKDTAVSISSRREMSVLLSQNREASARIRVENIIQTDICVELMEILELKTTIA PALSGLLAQNREASARIRVENIIQTDICVELMEILELYAELLLARAALLDARDKNQKD NNSASIADSAIGPDTGLEEAAAAIIYAAPRLPREVRELGIVRGLLVERLGKEFALKAN IEGGGGVVPKRVVEKLRVQPPGEELVEAYLREIARTYGVEWPKSQERERETEMGILAN EVADEEEASQSRNPGADDDDGSSGGGGGDRITAALSTPIKPKSTHRAGPGTAFSREEL TRATPPRDLAPQGAKSPISVAPPAPRSDNPSPKVKIPGGEETTTGSAPAAAAATKAKN VVVGGKIPDVDELAKRFRELKR EPUS_00072 MRSRTLTASASALLLFSASVTHAKHNHVHLDTLYKRHHAHREQH RSVPEAGESGIALRSPNKRDGKGDQCRFPNDAGLVAVTPHEQNAGWAMSPDQPCVPGS YCPYACPPGQVMMQWDPEATSYSYPKSMNGGLYCDKEGKIQKPFPEKPYCQDAKAGIG AISKASGPVSFCQTVLPGNEAMLIPTHVQEWTELAVPGTDYWCGTAAHYYINPPGTGC DEACVWGTKDNPVGNWSPYVAGANVDKSGGSFIKLGWNPIYLEDATPFKDEMPNWGVK VECDGEGCNGLPCAIDPAKNKVNEMIGSSSDGAGGGAFCVVTVPKGVTASFVVFDESG GSGPIGNGDDGKGQDSPEPDFGPPELGSPEPGPPEPTAAPSSSADAPSSTYDSPSVSS SGWSDISSSIDYSTYSMDASRSSTRSSTASRPMYSPHEFVENSTSTYAANSAQVTAST SQTSAVAAARTGGASTVQLSFASLLMSSLIVFMTFAFAS EPUS_00073 MATSTHHAAETFNTTFAGRTNHGLQQGSFSGQQTNNFYAAGSAA SSLPRPLPTPSAIIPFRRDRDFVERNILNDVWEQVSKPAARIGLVGLGGVGKSQLAIE YAYRVQEADPKTWIFWVHASSAARFEESYKKIAERAQLAGWNEPKADILGMVYGWLSD ENNGRWTMVVDNADSRDVMFKPWDGGIREQLPQATTSSSVGHSLSDYLPSSANGSIVI TSRSREVAEGLTEYPEDILDVIPMDEETAVALLKKKLKRHEEGIAQNDSIDLVKQLDY MPLAITQAAAYVNQRAPRITVSTYLETLKRSDDDQAKLLQKDIRDPRRDGQASNSIIT TWHISFEHLRQTRDSAARLLALMSLFDRERIPDDLLRDRYLDEQDAESDFEDDIMILR AYHLIGISVSDNRFDMHRLVQFSTRKWLEIHDELVAWQERYVDVLGKAFPTGDYANWP TCQELFPHVEAMAGYQLTSQEHLLVWATIQYKGAWYAEVSGWYGVSERMAQASVKVRE AVLGLNDVRTLKSMNNLALVLKAQGKYDEAESMNQRALARREKVLGVDHPDTLTSMSN LASVLQSQGKYDEAELMNQRALARREKVLGVDHPDTLTSMSNLASVLQDQGKYDEAEL MNQRVLAEFEKLFGVDHPDTLASMSNLALVLQDQGKYDEAESMGQRVLAGCEKVLGVD HPYTLNSVLCLARLFDAKHDQHKALELYDRAVEGDIKVLGPTHPDTLRSQRQRALLLK KMNTS EPUS_00074 MSAPQIPNLHTLRRGVGRRGGRGRGVASGVAESNNETNQTRKDA IVQSTDTDAATSRLSAVEAGYLDDPFAKLLTHGGNVDRRLPLMNRGTYVRTVAIDRLV DEFLRSTRKCARQIISLGAGSDSRFFRLKTKYPDICLSYHEFDFPTNTAAKIHQMQTP SFAHSLKGLCNMDLPSSSTSLSNDGAQNDSFQLQADSCHYHLHPLDLRTLTNPKRNQD QINLSGLGISLDVPTLLLSECCLIYLPPEDADSVLSYFTSAFHPAIPVAVVIYEPIRP HDAFGRTMVSNLTARGIHLQTLHAHDSLAAQKERLGKHGLGSMAGKETGGAEAADIDF IWQTWIEEAEKGRVEGLEWMDEVEEWRLLARHYCVAWGWRETSDNVIDSVFEPWAQLP MQDEGA EPUS_00075 MLYLVGLGLADETDITVKGLEIVKRAERVYLESYTSILLVDKKK LEAFYGRSLITADREMVESSSDEILSGAEDVDVAFLVVGDPFGATTHTDLVLRARELS IPFQAIPNASIMSAIGSTGLQLYNFGQTVSMVFFTESWKPSSFYDRIKENAQIGLHTL VLLDIKVKEQSLENLARGRKVYEPPRYMTAAQCAQQMLETEHERKEGAYNENSLAVGV ARVGAKDQKMAAGTLKELCDIDLGTPLHSLVLLGKRTHELERDFIRAVAIDSESFDKS WQALSSLD EPUS_00076 MSERTFSVPMTESLLEGLTKLAEPTGEADIFNIPPPSEPIHPHF SKPQIEDAEDESEESFKERLTECFKQMMFVSGETAEPSAETTGMIEELVKQQVIEMLT QATALANRRGVRSISVADLIFLIRHDKAKVSRLRTFLSWKDVRKNVKDSDDKGGADAG DAADFGDDAVGLPGAGGPMETKKAPNKKAKLLLPWEVHSYFSEQVPEREDEEDEDEEE QNEASLARLASADERTRNMTREEYVFFSDCRQASFTFRKAKRFREWAGFGIVTDSRPN DDVIDILGFLTFEIVQMLTEEALKVKAAEDLHNQRTSNTAGDSKKRKREMGSLFEMPE EGRTPVEPKHVREAFRRLQAIPNKYKFMRQGYAGIRTPLRLI EPUS_00077 MAPWLIAILIDLFLYVFRQVWHWVPVWGGRAQGRTRPRPPSLKD ARRRTLSLADIMGSGSPGRVREEGFRRRHGRNTSDKSMDGAVGEDPATPDGGIRSEPV S EPUS_00078 MACLADYPAFCRHDLDNELHAPQARLISGDYALVPVKRTHRSCS DRPLAHYINDDNALDIRYPFRHRSILGGASDDAIIDRHGPFLSSSYSPRYRSLPHLCD DADHLELPDPNWRLPYTYPLQGMEPGGVRWSPEQIHAYNRDVLRHNNQIARRRNAGLA GECMMLLPMMDSLGRFPPGFDRPLRLQEFFNMDEPLIDSHRQHYGLPHPENLERLLLI NSAERQLLRGLKQIQLLELYGARLLAEQYAVQLLHIQDLFPGLGAPRSLGYGLGPEFE ETYLSPWRREHGGLAPLEMLGYGSGLVNWNPDVLGQMRAGRGLGPEGVSPWGRAGMHP GMGMGMSRGYGFPGGWPSLPYAGAGAGGASPGYDPRRMGMSGERNGLSPFLERIACDG GGRAGRNGSFN EPUS_00079 MPDGVAGQNCSGRVQQVCSEKNKATQEDDLLKPVKRSLKSRLVS FLCYVPSRCRYDPNQPFEFSIGLNLLFAFAGCFTVANLYYNHPILHLLARDFGVTEYQ SSYVPTLAQAGYAGGLLFLCPLGDLLRRRPFVLLLVWFTATAWIGLCVTTSFSVFCAL SLVTSLSTVTPQLMLPLVGDLAPAHRRAAALSIVVSGLLLGLLVARLLSGVVAQYVGW RYIYWISFALQYLILILLWLFMPDYPSTNPVNSWVELLQKYPGLLFDIIRMPFRHPVL VQACVFGFLTSSTFNSFWTTLTFLLSSAPYNYSSLAIGLFALIGVLAMTWGPFFARTF MDKHHPLFSVLIGTMINLVGIVVGTYTGKISVAGPVIQALFVDIGLQTSQIANRTAIY QVEPKGRNRVNTTFMVSIFGGQLMGTAVGNRLYDHGGWIVSGSANVGFTCAALLVCLL RGPHESGWVGWSGGWNMRKERHPLEPKVTEPDDVEKQAERSPEAESEKNSREIPTRAE A EPUS_00080 MTLVAYAEKAEDIAEALSKFKTHVPDHAADITASIAELYAIGSH LRAIDSARNSAEFHGNFPLIEKDLRLVCSSLDNTVEDVFDILGYLGNGSPVPNAGMYR QNWKDIYYFFMQNGRVALTMRLEIYRRFIAELASIMKRFVPTTKAISLFVLIIRRTQG LLLLGDLRDEIQALLPAQPRLMEGGNQMSLHPRCAEHWAMKVFLDTSTTPFNRTGNGS RCYGEHTPEARARLEVDYQDILEITFSGQSELTVRLYCREGDHRARILCKWPRGSGGS KYSCLPLNLLEFHRSESSLQICMKRHGSSRLDLWANLNFSTIERLVIFHCTLLSLRGH DACKPVTNIQDYELAGEKEYFTGKIIDDDYLHALRIYRDRDTKAVRLHASVHRGEMKR TPVWTAFITHYLLSRTWLRRAGRTLVYIRELRRHVFSSEYMPHMTGRGEHVLKFTSEG DTDAFMSTIYDLGEPPPPHARVQPPPQP EPUS_00081 MARAKAALTESKSKTNSNAPVSVDMPKAKATKKGTRGDGGKKKK DPNAPKRGLSAYMFFANDQRDTVREENPGISFGQVGKVLGDKWKALSAKDRKPYEDKA TEDKKRYETEKLAYQNKDEDEEESS EPUS_00082 MSPIDSVAIPSNEVLLRAQSQWLFTSAELLRTPSILDGLSPAQE HSNRSKGVNFITQVGILLKLPQITLATASVYLHRFYVRHSMVDLPSRPGLHHYAIAAT SLFLATKVEENCRKMKELVVACCRVAQKQPNLVVDEQNKEYWRWRDTILVNEDLLLES LCFDLQLEHPHRLLFDILCYYNVQDNKHLRNAAWAFVNDSNLTTLCLLFPARTIAAAA LYAAAKHTDVAFPDDEWGRPWWEQLSLDIVELRKACSVLAEAYEENPLPRQGEKGLYA REEDDIDENGAKTRSSPLTTTTTTTNGNVQSRALEEERPVSMSEGSEEGEV EPUS_00083 MLWNDVLLYASKSNYKHTNTHDYRYMLCDFSLSQLVRATGFNVL SRQSNDVNSFIARQSPIALQGILNNIGANGSLVEGASSGIVVASPSTQDPNYFYTWTR DSALTIKFLIDSYIQGNQGLGSLIQEYILAQGRLQTVDNLSGTFASGQGLGEPKYYTN ETAFEGEWGRPQRDGPALRAIALIAYSKAIVAASSDNNATVHDVIWPIVSNDLSYVAQ YWNQTGFDLWEEVNGSSFFTTAVQYRALVEGANFAQSVGETCDGCDSQAPNTLCFLQN YWNGTSIVSNINLQGDYQRSGLDCNSILTSIHMYDPEGGCTDSTFQPCSSRALANHKA VVDSFRNVYTINSNLGPGEAAAVGRYTEDRYYEGNPWYLCTLAAAEQLYSAIHQWNAT GSITVDNLNAGFFSDLGTNTTNGNYASNSPEFATLTTAVRDYADGFVAVVQEYTPENG SLAEQFSRENGTAVSARDLTWSYASFLTMEFARNSTLPQSWGEAAANTVPNSCQASSS NGTYIEPTATSFPNVAGIVTPNGSASGSGMAGSTSSAAANRATPFLRW EPUS_00084 MAPDRGSSQSGPSDDSHEYSALEHEVASHGTAQYCETDLSRRKS PTLTKTRSDLGCYARNLAVSKSATDATNFTFRGTLVGLVIGVIICFSNTYFGLQTGWV SGMAMPASLIGFGFFKTISKHLTLPFTPVENVLVQTVAGAVGTMPLGCGFVGVIPALE FLLKKREGAPIELGIGRLMLWSLGICLFGVVFAVPLRKEVIIREKLKFPSGTATALMI GVIHGATKGAQDNEIETAGTEEVHALLQEDYEIEESDHTISKKNSEYDHKSDWKAQIR LLLIAFAGSALYTLFQYFVPSVRHIPIFGTTLASAWLWTLNPSPAYVGQGVIMGPATT VHMLLGAIIGWGILSPLAKNRGYAPGKTSDWEHGSKGWIVWVSLAIMLADAIINMAWL VLKPLVHHAPAWSRSFKDHSANSRSWKELFLGPAYRGYMRVGTGDPSALRNQRPSPGK TPSDGMPSVLADDAPPHHLISTKTITILLPLTLIFCVLCIQLTFGSYISISLTLLSTV IAMLLSIMGVRALGETDLNPVSGISKLTQLFFALVVPPKSSKHHDHAIIINLIAGAVS ESGALQAGDMLQDLKAGHLIGASPKAQFYGQVIGSVVGAIVSAAVYKLYVSVYAVPGE MFQVPTAYVWVFTARLVTGQGLPPMAWQFALGAGVIFVALTSLRIYLSEQDEASSLRS VHPYVPGGIAVAVGMYNTPSFTLARTVGGLINWWWVRRSEGEGETRVIVLASGLILGE GVVSIINLVLASMHVPHL EPUS_00085 MAFNSPSPTSPTMHGRSPPQPISKRDRKRNQQMAQFQDLSNDFK ENRSAYFHKQIVALQHDMNLITQADPYNPEPLDDSPEAITGLVEVAAAGTPYQSEMSS LAGKWYSEFVQEINQAKEARDIELTQLMNEHQERLDRIKHECDYRLHLAATECDYLAD TLRQRLVQQLSSRKQRLMREKEQLDIADTNTLLLHPSQFSITNPSSPGSGGQTNRKTR HARHRIDMEELGNGLAGEGLNKRKRKALDDEPGSPARDGISTPAERAKAKIQAHQTAP LYSINSLFTEKELNLQSHQAHIATRHFFSTSKSGIQTNGADIRTKEQDFGQTSGSGDD SNPDDEDGLAAPEMERTASQNFHVTRSTRNIGGQTRLDILSDVAIANRPQLPYATLHN YQPRNGAALPAVSQLIQEEVEEDQEKLRAVFNEPSGFMDEKMVDEALKPASHTRSTLA PDWPAYLDVHLVDMNRGVQ EPUS_00086 MIVPLLNLLLTSSSFIRPLLAQASSASVSLAATATSSSPFTLHT LSAENITATFIPYGARLTSLLVPDRSGADQDIAVGYDDPAQYAVDSATNHTYFGTIVG RYGNRIRNGTFALDGETFDIPTNEHDGANTLHGGLIGYDQRNWTVTSQSDTSITFTLL DSAFEGFPGSVITHATYTVSSAVSGALGERNPRLTVTTVSVALDEATPIMLVPHFYWN LGAFTTPTILDDQTLWMPYSDRYVEVDSILVPTGALGTVAARSVLDFTSPKTVGRDLA SAPGLCGEGCTGYDNTFILDRPRGTGAEAASFPILSLWSMTTGIRMDVSTNQQGLQIY SCNGQNGTIPVKQSQVQRNTHVYGGGTKFVERHGCLVVETQGWIDAINHPEWGNSEYW IYSPETGPAVNYATYDFSVI EPUS_00087 MTASTYFARSPSLRLDDDDLFSSPDPLATSINDENSFYSASAVR RPKPSPRKHRTTKVLQDITLSTPQKARRTSIESSQSPAHYQSKQFLSPWKIRVTVEAE PEEPVLHGVKSRSKATIAPPRDTPSPIKQSGGRRRNRSDSRKSGCGRMTTGSPSRLAH KASGADQSRLTDLDTAFLDDNEKETIRPKRKQGNRKTRTVSRLANQDTVSCIAKSEED PGSVQPSSNSGDSVAISEVGPDSKAALPHKAESPELRELDFNRISIRSRSEPPKTAVV KKSKNTVANHEKHPTLAHGAAMYSSAMEDAKRHVSGSSAITYPTPDASVQDELEAHAP SNDATEERVEFDTVLESEGFTMIDLESLPSARQFVTSPDNSQHRQTEPAPNTQNTVEL EPPRSVTYPVLAPPDSLSLPSSPSSILRTNEPPPTPIPSYLAPPEEGESDLSSTVPSP SPAALLQHVLSRKENRLAQSLLRHTHTPLASAKSSPKLPSPPNQAQKVSQLVNEERSK STPPRLGQVVRAGIALQGLLSPKVRKPSLQPSPILNIVDMGQRSASTPIERLDDLFVG FDSSTRRELRAGLRFGEELAKRQRLTSSSASSSSRGAVPQRNQHGWPEKDAACRGPTA VECTPVSCSDPTAAEAIFCENRDLPTSQGLPIAQKTATPFDANQTVGSIFYLDSEARE HRWQSEREAVSRQIENANASQVIVIDSDEEEDIPSAKRRASVAQSSPTKSIISEAEED IWLAEAEDAQNSSRHIAEDPFPEAEQVRQRERAKEVVSKPRRSLIPSPWKRGEDVDSS FTVDGDVSGMFWQQPKAKERIRSFRRLSSNLDGEDAPRRKFDTGRMIGESSSKEEDTC TVAEGMSYQPLQETLEHSIGEQSFSNNETEAFEHEEDLAGKENFDAEIVEHRRDFVDD ERFEPNMEVEAPSEYGAEPSEESSIPPQPIMIPVNFNDTTDTSIQSEDHVQDVAGIEP PPSSSCRPVTPRSAMKGSRASLSIREESVSPTPRKVMFSRHSLCLDDNGMETSMQVRG GSLSPDTSIESSDANQYEVGNPAVEIEANGREAEQNQHTSDADAKAPQQAASTLWFSR LTGWGSKAPSATCSASGPKRTPQQQLQGSSKLGHAISKTQWEPSKTALPSTSVRTSSA ISIASVPSSSYASDCKILPSPSTLPVSGYFSDDHYKHLHILYLKSLKPTFTRPSSIRP TLKGYIGQKCYSKDGEFAWEFTGQEAEVIERWMRSFEGRGANEVVSGWGDGYAEGRYR KIGWDEWDLCMRLFSIVAGREVRKELKEKQNKACSKT EPUS_00088 MSSLFFLLLATAVFTSAAETPSSSFILTRTSVETSIRCRTHSTS ATAAPTPLPTNNFTKTFTRKETTTITTPSTVLVTGTATTYTPTSISYIYSTVSSSLDT QTSVTFIYDTITVGTLTTRETVCTIGASASITSTVYTGTYVPPGGPTRTPKRFPDYVN CTTDRTTHLMAFPTVTSGVVTFTYNPGTPVPAVIITSTRSVLAFNTPSTVTVTSVGTS YVADRTTIVVSTSCPAAVTTTYAAKCSGPNLINQVNGYGIAVITYAPGSAAAYGGNGR DASACCQLCQENVGCAAFDDFPAAANCALHFTNSTSNGEGQCGLAFSYGDAIGQSSNA PIEIGQGSIVGTGCGSIEPVADGGD EPUS_00089 MEYFDFDDASHTHLGGDTSDYAHTFPEPQEAEPQIEPCFEEDAL LGDVPVLPPAPLIPAHLDQTKRLRVNNTYVEVERHPDDLDGAFPMFRAKTPCDLCRRM GLDCFIAQKGALINGCTCCISLYRECSFTFTQRQAQGNFLAILGKVTEDVQESEGALT TKRALKSLPGSAGFDESRCRKNGARLSREAVKVLNTWLAGHADHPYPTDVEKDRLKEI TGLKRSQISNWLANARRRGKVRSTSYAASPVVRAIDIPPSSHADLDLEDMTPLERWKH SPPEHEAASTTAIMRAVADAPFSAGRNSSQSSLQGSRQGSRRTSSNDDSNYSIFQAPS ISSLETSRSSASGISFTSAMSHRSRGSFGSLDHKERRRRRRAPLIQRYSSQKPRGARI FQCTFCADTFPSKYDWQRHEKSLHLALERWVCSPDGGLAHVDGQAVCVFCRLPNPTND HLEMHNFIACQEKTLTERTFYRKDHLRQHLKLFHGTKFDPSMESWKSMISEVKSSCGF CPSVFSTWEQRVDHLAAHFKNGADMSAWAGGWGFEPHVAKLVENSVPPYMNATERSSM DPFVAKATTPGSAEETNLTFATTAPSTLADSNCWRRLEQVLSGYITQHKAMGHIPTDK ELQDQGRLIVFDDDDPWNQTAADNEQWLATLKFQHGIGEDPGAAPKRLEEVPITPPYA IRGGLKHGRGFGCTGERKAGYSAPDGHAAIAASAPAALDPLLDFDFDQLNFNTLDMVM VDGVEDTTAMNELYGNTQTIQNGNMPALGSWEMPASTDVVDDSTEHLMSEHDLKQLSG YMASFR EPUS_00090 MAAGPSCYRTPSSDISILYTFLPTIIKSRLPVLTSLSRSLEMTS IRKSTPSHPRQISEGSLHGITSPTNAYINPDNEIVTREQLWQVARRPRDTSPENRTSS TASSAASSRTMAAPFERALTSPIHETGTGVEWDTAVTALMLLSRACTRAQQTDSKPEN TRALIIDANKWLLRSLPEDLDPSQLQEIEEVLPVGLDNPDRVCCRQARIRSTASQAEK RSWLRRSIAFTILQTSLLIALIIPYITAFVSSCYRLERRHHLTERLLTGGIDITNVVG DSGMEMKDAMARLGRGRLANAVVETGGWVFESIIGGVSDGAGVGVAIVGEAILAQEKK QVE EPUS_00091 MSHSNLPNIPSFRKQHLLLEYASLKLRCPRGIYLSLNPGDPSSW SGVFFVRTVTGPYSPAVLRFNVSFPSQYPDDPPLVTFATDIFHPLLVPLTTYTFSTSA GDANDTVSASDEDRLPQGGFSLRDGFPEWFARGNKAGCKVMDLDGHSQRSGYRSSSAS RDVSVEPADVPLDQETVSMARPSGKIPSKPVAIVDVLAYLKSTFEDPAMLDRLPLEAA GNPGAWHAWQSHRGHSRAPGSAVALDSDQRSSSASHGPPKLPDSWNWEGVWENRVKSG IQHSLSDPVLFGNKDGRPGDKRAEMIRFSRMDSEQLKEICDTITNSRNTGAKPRSGPA EPUS_00092 MPPVTAVDNSSKLIAYLIIVPIAILFAGIGVAISCSEKWNKSDN RLKRFLQRRKSGKNLSASTTKTEDTTISETGCCEPASSPGSSNTVVITDSQTLGSDET LTQFHIMNDQSDAEATPRPLQLVKNTDVADRTPYIPRRKSSAGTRSGSIFQDVSMVMS LDPPRKLLHAWPKHPNSVTNSCAPRGGRSSSRASHAKLTSRDGMYNAGSAQSHHGLPS HDKSSISSSESRSRRRLFSQIISSFTQNNNSTQAISTLAESLGVGHGHVPRLSGSTAG GSSSRTTSTASTESTESTETSLVRIDQSRPHSVNRPKSPSSLPTPPLCLLRRQEEGQL LVIDQRLPSTSSVPEEAPPPAFAIATLHSISDITKADMEKAASVWVAIDVECTIHYAE DPTSSVRSKALGPPHTDPGFLSKLTIDLIPASCCNILRVIGSETRDFLLPGETWSVVA QILADPPLRRKRHSKAFSLQSRPSSHALMDQLHTMLSPSSGPSPQDLVHISVTFEHVL LPSNIQCCTSDNLNLERVGAWRPASRHGQYLRHRTHRSSSPRKTPPVSRDREQDPTQD HQRRDEVASKLLDLLEMNLHNRSVGQSSTSTIGQREAVEVLEEFFDEYEGSRGLDKRA RELLRRLDAKVRPVSRAPVSPIRRRRNGFELSRENLHLADITNQWSAGSRSGVRGSGG ERNGTLRDASVSTPVPAPLFSPRKRAGAKDVQQQREGNGSPLDKGSSVERDSGVKKRD PDGRADIGSPRFYEDEVDEASRIWRGMRDSSAGSVRYPQADDKECGEREEGEENGNRS VLGAPWL EPUS_00093 MKFFSTIFFLSFFALAYSAALSKPDIEYEVAKREIEASNAKFVP GLLPDGKNSVAVYEGDVFEGTISEGENGEVIVKDAYGNIVNVDDDDEEGDLEKRIILA VIRVFAVFIRRFGPRAWAFLRCVGLEFAVNQCANKILGCAQRGTEAFECLGGIVCADD LPEADTVDGCCDDEKNDEIARIVYG EPUS_00094 MLLHYPQQYDHHVLPTHYQSYDTSSNLPLRVSNLPLSTSVHHPQ RPLQSAEYSMPASQRSSNPTVRIHQSTPPPRSGSQSNDNQSMLAQGAYLGSGWESEGF PSSGYLDTPPRKSGKYHKRLSSASSINTTGPPSPHDHTSPFCQIANSDSSHFSPLENF DCLPTPHQNHFPKSLPTPVGTPTSNNFMPPGYQNVAASQDNHKYANSAMRRIHSTSGD EDAALFAYSGPQSVSSMSHDSPATPHTNYDPEYDEKNFGRGENDHTRINRWMDEYLFL DAGYPHSAAFSQAMQDVFPDQPYNTPTSQTFSQQSQPNMPHSSSHLSPYRNMNVFASR LQAANQDHMTQRTSSPVMSVTRERSPFKPYNQMQELSNEAQPQNLMTAMTQAMVEQSR ATSAPKSVSPKELMLEDPDMDEPDSTPLFPAEFDQSSNVTSGRPDANVPVFSDQSGVY DRQSYSAAAAPAQVPQQYPFVRDRRRQPTNLQNDGDQVPEFPAHMVSMETTVEEGPSE PSSSQQSSHQPPTQRIARPADTSSDSGTYTCTYHGCTLRFETPAKLQRHKREAHRQTS PTAGPASAPAGPANLGLRNSQAGPHKCDRTNPSTGKPCNSIFSRPYDLTRHEDTIHNA RKQKVRCHLCTEEKTFSRNDALTRHMRVVHPDVDWPGKTRRGKGRD EPUS_00095 MSGHHDVLLSPLDQLMPRVYTRIFLIFKTYTASLAIDAFKRGLG KTCAHISYLKGYVYQPANQRGHLAVAWSDNLPTPEVSHKVRLDLSYEVMISRGISLST FKDDLCPVRSASDHSTPGKKNPVLAASVGELEGGIFLSIAVHHNVMDGVGFGDLLDLI AQNTKGVQRASATLLDPDEPLYREPRLRQALDSGKELHHQDVDTDLKSLLANHPEYTM TPPSMPSQFPACTSKLLIVTLEKIEATKQTLTGSMPFLTTNMIVSSLLWSCITRVRCA RAGTNAIQKSRLGMAVNGRQRLGAGFANKNYLSNVNMYALTELQVNKVTSCAATQEIS GAIPPREMIEVFEAVAASTSSDRISSRHVGEVVGIVDQLEDIRSLFPGWNFYHGPDLS ITSWANLNTYEADFGEYLGRPGLVRVSYAEFDGLCIILPRRRTQHSGGGQDVIEVIIR LQADDLARLQEDEVWKTWAV EPUS_00096 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G EPUS_00097 MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVEAYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERS EPUS_00098 MSHNSQAVYSAHSDASDYGDFTVDEQEILNGLLANITPEYTTTD EPLELTDIEDYEEARGVRLPKTLGKELWTPPWMHQRPQAEVAPHITVEDQTSRNTGSA TTVDSAAQERRADRERSASVDRQSDASKPDTRSPIERFRTKPKKALSVTDLVSPAWCE LQYFYTLSKHGKKRATPAMRQGTKVHKKLEDEVHTTVPVEITTKEDSWGLRIWNIIQG LRTLRETGKTRELEVWGVVDGEIVNGVIDELSYECQDPVFAEQEAQRLAKGREAKDEL PENQTSIVDYLLSSTGGNGKTFSQLGTGIEKSMEPPSISQPVNKKLQHPRQEDKKIYI TDMKTRSVKSLPSALAARPTEIQLQLYHSMLNSLAQDKVPLSTFAERYNFDPAVQFSD SFITQVGSLNEQFFDAISSQPQSDDTATGASDIPASTQDSIDILLKHNTIQSLWRFMV SQFQETLLLGPITSSSSSLPSPPHSETDLPTRLSPLLTASYIHSSTHTHLGNKFFTYS PSDLSTYISDEMAWWRGKRKARGVEIQEVNAKCTRCEFLAECEWIRDKEREVREKKKT AD EPUS_00099 MSMALKMKACQEWLQKSIKHAMETFSPHINDLKDHLSSSTNLSY PQAHKFSKQLKDLASHPHLKQVSYSLLLVAVCIWILSILVTRRWLSRPPSRPSTPSLE KAPPSSTPSKLKPATRQPGVWTPSSFVTPVAEPYPGWSIENTKPLPYRPFRHGPKYFV TMGLRSLPSWSDWIELDNHYPRFHSDKARRIAERGEKCCKTAPEAYDGAVELLEEFVK YLPARYPSLYRRTDSGMDNLWSGESFNIIERPLKEDPMQMAARMVQDDLAIMFERPDG QYYLLAGAILLAGFWRLEDKFGMPLSEIHTSGDVPQFREKLERGMMNFFRRVKPEDPV LRNNYFLQVDDDLAWSHSIGSEDSPEVAWSTAEKNKAIENHFFRSERQSLRRLPRSGG VVFTIRTYFHPITEIAEEDYVPGRLASAVRSWGDDVSRYKGRERYQDVLLEYLDRKHK EQVERGLDLGQEEERCAYPY EPUS_00100 MAGRNVKTKSSMPLEKSIERKNESFNEKTTRAEPRAPPPQFSLP LNVAFYLCLLSHVLAAAFAPIQDCDEVFNYWEPLHYLNHGYGLQTWEYSPEFAIRSWF YIVIHAIPAKLGHLLGRSKSFEFYFLRTMLAIVCAATETRLFSVISRTLNPRIGIIYL IIAAFSPGIFYASVAYLPSTFAMYTSNLGLAAFMDWRSGPKTAQGIMWFGIGAIVGWP FSGILVAPFVFEEIVICLFTGQGYDTFRRFLDGTVRSLIALTAVDIFFYHGFIVVPVR LVLYNVLSGGDRGPNIFGTEPWDFYSRNLLLNFNVWYLLAIGMGPMLFLQYAFRSQAT TKQTLLRTVIMITPFYIWMTIFTLQPHKEERFMYPAYPFLALNAAIGFHMILTFLGSA NPRELVGRIPVQLKLAVALLTVVLSINVGLLRTIGTVTAYRAPLQVYRALEAPGMARP GETVCLGKEWYRFPSSHFLPNGTRAKFIKSSFSGLLPGEFNEAKTGFGVFAGTWLVPA GMNDLNEEDPGKYVSSHVPATSLY EPUS_00101 MASELCFREKVHVVPPFEIVFPLDREIAMLKFNLHTLWLFTRSD LKAVLFPTIIFATCGALSGKIVTTKEDVTISGVLSRLPLVAVWTWVHLLVHDIANQRL TPGIIEDRINKPWRPLPAGRITQDHASKLLLVVTLATFAISWHLGTLRESVTLVVLTW IYNNLGGADKHPILRNVLNACGLISCNAAATVIAASLGHTSFTRNAHAWSAIVASVIA STIHVQDFSDSSGDAAIGRRSIPLVYGDGPARWSIAVLVTTWSFLCPWYWGLRVVSYI MPVVIGAMVHLEHDSVLLASVQMIGLEAGVDKTSLDE EPUS_00102 MGDDFTMSNPTQLEEHAGPSIPGLSLMKPSIPKRQPDQSENEIP SGSGLLDTLMQHVDSQSGVRGSALSSEDNTATRAASLVAEEGVLEEGRRTSDAGQTGT GDVTNNEHECSSTSVVGMGTPASTEENGRYIANGRLVEKPEDKVDGKMALPNTSVATV REEEYAGLTDTSSHEQDASSAPAPKAASPRHPTPPTVSTTLLDDSRQEPTVKDEESVN VNGTHHVGQKEESQDRTALEEPQNQNSTATSLNEVRVDEDGGFMVEKANSGDALSSFG VHDQTAEGLDENRSLPNARQEEHGASQTDAPGPNVEAEIRTTQVETNEAEQRATDAMT TENELGKQENRYLEISTEADTPIASLREQLPAISIIEQPQNDQGGQDAEWEADSSPID SSSDSDSTSDTTSTDDSDEDDVDVDGDYAMLDPEEQARILMQGDGGSDDEGNARSGAK GGTTHLRTANEKPEEVVPKPDIQVTEDMRIEELGCVEAIVENAVLIKAKTSGEYRVLE SNSLLCLQNRSVVGVVSETLGRVQQPLYTIRFTNEEAIKEAGLGSKNTPVYYVEQHST FVFTQPLRAVKGSDASNFHDEEVGAEEMEFSDDEAEAEHKRRLKLKKQSRKDERNDRS GYGRGNRGASMNGHARRTSSVNGDTAAEMNYDDISPIVEDGYTPLARPTNLHEMMGRG EAPLEGRQPVPFSDRGYDRGRGRGRGRGNQGRGDRGNRGDRSGRGRVGRGRPNDNQPP DRKGSFSNSQQASPTRKSEASSSPKTSAHGNQHQHQHQHQQSPQQPQPPTFALPPIPP PSQYPYPQMPPQPPHPPFSYQKPTLPHAPTIAPQASSYSTFSPSPISPLPQTHLNFNH YATQHNQHHPPAQAYHPYQPQQQHQPPYQDHSQQWQGPFHGGQQGQVQGHGQGQPPMP PPGSHINPAFFDALRQQRGGGGGGGGGGAGNWQDGR EPUS_00103 MEPARVSVLGKDSVVLKFGLWKEYVANDLLTTLTSSTYVLVTDT NLSHLYIPPFRSSFERACSQVEVQPRLLTFEVPPGETSKSRQTKADIEDWLLSQKPPC GRDTVIIALGGGVIGDLTGFVAATYMRGVRYVQVPTTLLAMVDSSIGGKTAIDTALGK NLIGAIWQPQRIYIDLGFLGTLSQREFINGMAEVIKTAAISDQEEFGALEANAELITN TIKSDPRQGASRLESIKDILQRVILASVKFKAHVVTADEREGGLRNLLNFGHSIGHAI EAFLTPQILHGECVAIGMVKEAELARYLGVLKGVAVGRLVKCISAYGLPTTLEDDRVR RLSGGKHCSVEQLIMKMGIDKKNDGAKKKVVLLSAIGRTYEPRASTVSDAELRVALSP SIEVTPGVPSSLDVTCAPPGSKSISNRALVLAALGSGTCRLSNLLTSADTEVMMAALS HLGAATFTWEDEGEVLVVSGNGGNMLASSTDLYLGNAGTAARFLTTVATLAHQSEVNN SVLTGNARMKQRPIGDLVDALRTNGAGVEYLETNGCLPLRIAASGGFNGGIINLAAKV SSQYVSSLLMCAPYAKNPVTLKLVGGKPVSQPYIDMTVAMMASFGIKVEKSSTEDHTY HIPQGRYANPAEYVIESDASSATYPLAVAAITGTTCTVPNIGSASLQGDARFAVDVLR PMGCKVSQTPSSTTVTGPKDGNLTPLTGVDMEPMTDAFLTASVLGAVAQGKGPNHTTR IYGIANQRVKECNRILAMKDELSKFGVMCHEHEDGIEINGIDRDNLRQPRAGVFCYDD HRVAMSFSVLALAAPKPTLILEKECVGKTWPGWWDTLSQTFKVRLEGIELDESQRSAV STTDKASASIFIIGMRGAGKTTSGSRVATFLGRKFIDLDTELEATVGMTIPEMIKREG WPSFREKELALLKAVMADKTHGYVFACGGGIVESAAARKMLIDWHQKKGNVLLVQRDI SKVMDFLQVDKTRPAYVEDMMGVWLRRKPYFQECSNLQYYSQHSPSDTLALAAEDFDR FLRVVTGKVDYLAAMKQKKISYFVALTFPDLRPHLHLLPEICIGSDAVELRVDLLREP GTDSVMPSIDYVTEQLSLLRSVIPLPVIFTIRTLSQGGIFPDNAHAEALALYTLAVRM GCEFVDLEIAWPDHLLTKVSSMKGFSKIIASHHDPTGSLSWRDKHYIPFYHKAMQYGD IVKLVGVARTLEDNFALQNFKSWAAELQDMPMIALNMGEKGQLSRILNKFMTPVSHPA LPYKAAPGQLSAAEINRGLSLMGELPARRFYLFGSPIQASRSPALHNALFAKTGLSHT YSLFETTDAESLRPIVRSPDFGGASVTIPLKLDVMPLLDEIAEEAQIIGAVNTIILVE QPATSSSSSQDKPAPKSKLVGRNTDYLGMVHCLRRAGALGSSGSRTSSTLSGSTSGSG TDSALVVGNGGAARAAIYALHSMGYRPIYLLGRQAEKVRKMVGIFPSGYDLRILDAES HHTDSDEVERKQETMGSSMGSIVPRVAIGTIPADRPIDPGVESVLQRIFNNRLTTPDP NTPGSGETGNDGRVLLEMAYRPRVTPLMQLAEKAGWITVPGWEALVAQGMWQFRYWTG IMPLVEHCRAAVLGDEAEDPKAPAATGMVNGKV EPUS_00104 MDSDSDPSTTELLEPRNDDGWEDVESDVEDRTFKSLFEDRWFGD ITEMLQYDKEKHDFDYVKLKNQLNLDFLDRVQLINFVRAEANAGNALPKVWTTALFED EQYLKPTMEDDAVLYSLDDIDEAAFDTPTAPDAGEQELQELRERLAQIQIQFAAYREE VQVSFQKHVNAMKDTPASQPNDVPNPKDARTQEITTSAQEDQDTGYFHSYAANPIHET MLKDTIRTSAYRDFIYHHKHLVAGKTILDIGCGTGILSLFCAQAGAKLVLAVDNSAII DKARVIVYENGFQDVIKCLRGKIEEVHLPVQKVDVIISEWMGYGLLYESMLDSVLWAR DRYLDPERGLMVPSHATLRVAPLVDSELRVEHVDFWKDVYGFDMTSMLDDAVYDEALV RTLEKGDVPSEDSASFLELDLHTAKTTDLTFLHPFEIEWRATEAQSFDGWAVWFDIFF LQSRTENLPPRMEASEAVRKGYTAFSTGPAAPQTHWQQVALLAKTQEFGFTQGQVVRG KIGYQKKEGKDRSIDIEVMWQGDGEKSERRQVWTLD EPUS_00105 MVFDRATRPQQPSSLTLNRGNLDEESHTYTLPEATPYHDRTSNE MDTLAPVADRTLLVPKPRYSRRNVARSQSEYAGGIQARRKHSPSPSRASTFLRKISSR APKSPRSPHFLNFNLRGDQYEHLDNKDPEYEQDPIGVDLSSLVGLGFELMPGPEISIS HVSESQQSTTNADQGDHSEQRRGLGDGMIVGAQLRLDPSMAAVRAQSLRHLTSASAAN EMQRAKSIREAAQDLAQRTNKVVAVDDPSVPPTPEGAVDLSLLEGTERRRRTNTTFNK ITPNSQTTATNTRSYFYPDDPNPPNWKPITMSPPYILLLIIISLALAAVQEWLCQLSM RLEAKDDGILSFSRVADVPIWSFFCWKYLPTLIFVIYGVLWQIMDFEIKRLEPYYQLS KPLGSTAAQSLNLDHLSLWSYLVPFKAFRLRQWAVFCSAVGNLLTTSVCPSLQNPSIR FDQNCEPGQCQEGRLPFSVRIDPGWSRVLTSFLVITAILGMVLFVQLRRKSGLLSDPK GIAGIAAMATKSHILNDFQGMDLATHRQIHSKLRHRRYLLNKSSIWQGEYDKSEEPKY EGGRNLESPHPMMLRLSAGIPFISFLAFCLVAVPIINFTGARIIPNTAPWLPILIATV IKIVWTTFEFDVRMTEPFYTLSKGNAPPEMSLTLDYQGTVYGWFPIKALINRHYIVAL VGFSSMLADALTVTVSSFSVNGAAFLSSNSGDENASSDDETFRSFWGSVVLSIVILIF LILSAALVYALRRHPFLPRQPSTIAAVLAFIHASKMLDDFIDTERLSNKDMERKLKEK GKKYGLGWFKGRDRQWHCAIDEEPMRSKYVHGVSYTMAQEPWHGGNGGVGGGVGYDFM RYDP EPUS_00106 MPPKAKLNVHNFPRPPLLERTPRHLVIKWNNKVIADTTEGYWAL ETTHPPTYYLPRSSLSPFISLTQIPNKTSLCEWKGRATYWTLKLDDTNEEVKGKIWSY ESPTPSFKDIKGYLSFYASGVPWECFVDDEKVVPQPGDFYGGWSTIVAPLVSAQSVAD LPACAQQSGLAAIQASGCAITDFACICANQSVIDALRAAIASGCSADDQTSANAFANT LCGAAGGSSIEMTPAATTAMPETSTMPADMHTMAESSMPESMMSSMSSDSVAYTAYSG TSTSTTSMMNTTMPTPSSTAHMNMSHSSTSMDMSMSTSTTASPSQYTGGAASVASSME RDWILPALGVAGIVVLAF EPUS_00107 MSSPAFILTKSPVNLDDIPLGSFVPDRSTPSTDLLKPGNRVTAE HRRASYDANLDISFNNKSESWFQILLTEILKVTFKVERSKQFRATAEGGYIYMLHQPI EIFETLVADGNNAKLYRENRKGGRSSAQAQPHIVPPTGVVAGGPNVGVAGGHEHMQEV HGDVKTCGERIYAICYRKVQIKTPISFCGFGGGDAKVTLRAGDKWKVSDRSLEPPSGK IRSRGLASDEIIEATMEDTDDIKDCVHAGHGFMIPREFSDLQRSMLKKNGPKVAGLPF RGASSPPRRASLLSRDARPPEFTYSARSTSFSQKDVSALARAACLLVRGASSLPKGAN LSSRDVRPLPRDTVRGVDCSASGASSALMDASTLTRRASLSVRGAALPTKPGAPKPRR FV EPUS_00108 MEAGLPLGYIFAQSDELPALLSTTVQPVAKKYKGKIQFGTVDVK IFGSLADDLHPELNKSGRLLRFENLSGITAVHPICANCYRLAPTIKSEPVPEIQQGPV TIVVGHTYDDLVINNDKDVLIEYYTQWCGPCKALAPTYEKLAEFYAANSTSRDRITVA KIDAEANDVPGDLRGFPTVQIFSAVDLEEEVHQIHGFEKFMRSLPAESFQRLVKTGSQ AVVAFNVTAIRSDVFLVTKQGIENMLVRDLKYATLRWISKDSLGEGRLTNVTFSNIYD NISKLRKHLDWVWDNVPPSKEDYEDAAGRSRTGSFEYIAFHVVFLYAPTFKAIAMSQA KQLSSQVKTPGKTLIVAMRKLITCEEVNVEEEVNVEEEVNVEEEVNVEEEVNVEEEVN VEEEVNVEEEVNVEEEVNVEEEVNVEEEVNVEEEVNVEEEVNVEEEVNVEEEVNVEEE VNVEEEVNVEEEVNVEEEVNVEEEVNVEEEVNVEEEVNVEEEVNVEEEVNVEEEVNVE EEVNVEEEVNVEEEVNVEEEVDSISMSVGEVESNIPEVLPTPRKSTVLGLLAKGVSTV YFGCHRQTVARDQSDSGILLADGADKQPQRLTVQDLVTTSLPDATLAYLSACSTAENL SEDLLDEVINLTSTMQIIGFLHVVGTMWEADDDVYSLRRS EPUS_00109 MASSAQFQLFPPPPPRISTTHGSNPFRKAKSKPGAPGGSFPLQQ LVKSPNAETVIIQIIEEPRKIEPLPQARIAPLKDRDPGLNGEPKAGTGIPDNAGAPAQ HPPPPRPPVPVSIYSASPTLVTSSSPDLQSQANSPIVPMRSMFPTYNPNLPLAQQPYY PQRITSLQGQIASREEYSPHLISPSQLDEVLGGVKTAPSSVLDFPMDDVAVRVPKFSS AQELGRLWEATNGQEPDAVMSGFDLQMSRTEPATFTFGSMPSVPFYTLQTYSTNELCI HRTNPRKQTVKIPILLLSLEAEARRLPPNDGLVTYIFPKLAAMLAIDQSNELAEQHGL APTHRDEMQAEAVARAAAQESCQLKWNETARRYELAHPAIGRDPNKSPRFAQSSIQPF EADLPVLHITVSSHALSSPRGVPTTPPVILVTNPNRSPPTFSMPAGNRVSTLPVHESD EPLASLDFGTMTLHISAKMILQLMPSLYAIDSLVSAIFAVAVADEFTNPIMAQMDISA PRLSPVAPGSVFGGGSVAGKSYAGSVLYATLAERKEAEEEAKFMRQVRDKEAKDKFKK ASKKSFWSRKQKTDKNRPKKILIDEFDLEKLGHYQSGEREGQKLPGVTRSALKAMVLM LQFVVWGLTTLVRFLAWILVGVSRAVTSEKF EPUS_00110 MRARDLSLPWAHLAMVHDCQSDIIIVDKDSKQYVSKVKRSGMQV VDVSSIEEKGKTTTISTSADDPAVTLYTSGSSGA EPUS_00111 MTGSEMFTCACFFQYLGQIAKTSGVSTVSLNEDINNIVLSTTPM PWENMFHKMDAGHRTESATSTPITASATSNSIAFPPAPTTTPGDFNVSGNIASELIDT QILPPDFNVDGLLAVAPEMWGLAMTLPYISLANNSIWRPKHERKVQNCSVQGTISLFQ GFFSIENTRESASDETMIIEFFTEDGYLELRADNVAAHIELESSIESSIELAAVEIPL PSVGLPGFMIPGIATVGPMLMPIIEIGAQFSAQIDFTYGFDLMIPNNSTIIINMAELA NSTETGFRDASLAPLPFQASVPSLTVTLSVGFLPRLLLGISIGSASVVSFSAGAGAIF GLPKLETRISQVADVNERCEALNTTAADDDDDDNDDAFDFLTLIEPSVVLDVELIAEA DIDVGVTIDINATYPLLSTAFPLPTACLSYDADAESYAPAAIADARSYAPAAIDATVE EGDGGQGSGGMRLGVGRLKMLVSLLVGVMAVFVGM EPUS_00112 MAPSIPTSKDDLPSPQDLKNKLPSPSDLKPDIQQAKATTAERGV YSSALDVARKTIAREGLARGLYAGVSAPLVGVTPMFMVSFWGYGVGKSLVESFSTVPR TSNGVAQYSLSQLATAGFFSAIPMTLITAPFERVKVLLQIQGQKQLKPGEKPKYSGGL DVVRQLYKEGGLRSVFRGSAMTLARDGPGSAAYFASYEYVKRSLTPKDANGNATGQLS LPAVIVAGGAAGVAMWIPVFPIDTIKSRLQSAEGRPTISGTIRGVYRSGGFRAFFPGF GPALARAVPANAATFLGVELAHKGMNSFLGS EPUS_00113 MVSDMAEQHSINEVVASQVRSNSTPEPSLRQSPFASTEEATSRL ELEEDEAQVERIDRPATPASATDAVDADEHQSPVPSTNFSEAATLLTRDSHYTANTGG RAEQSWRGGIANIWNPPSTFLSNDPSESGSSVVGEESTTSSLRDVPQVETPPIEISAA PVTGPDEPRSFFDSDSESDGETILRTASRASSLRGQRPKLVEHNSSNVSLGRTRFYQS ARLAPPRNPGPSHSKAEQILGIKLKNLLDLTPVGESSNPLASANTATLNALTANAVAK ASTTALAPSTPATPASFDSSSVREVPNTPTRVEALDTLPSPWGGFGTLRLSGQNADRV GASPLDALRSNPVTRIDTVGLHRSTSAPPLPYRRNRKVTIRPVDMEIIQTAHQKKFFR DSVVSTPYPTRQQSIAIADVVRTPTIPRKGSNTLAPKTEKDSIQSPTVAQVSPPEILI LELSLTRQPLSTKMITIPIYDRSTFDDCALFSSLRNSYNHTILGFTLRFLTARTLSYT TFIFPSSPSTTGPAIPFDAADFLKHLKHPKSGHRRKTWLQWLRKHQPRSIANNNNPGP SSRDLTARSGSIVTAASPATYFSSEGIPRTPAFIDPRSPPPHPPPPPPPPPHSNPISP SNANANANPNSSLLSAPSFTSSSQPVVTTNPVIILHHSFSLPRIAAAISLVLALTALT TILWVLFGTPGVSAADSHARELLRDGNGSGMGSGVPNGGAAGTGSGIGLDSGSTGGSG GVSALTGQATNWDWRVSAQTRVLTGLVMGVLVFLMGTTGVLGWVGGSWAAL EPUS_00114 METSDLGLDPIVLSGWPSCKECISFSKDGELAVATGEIVHILTP KQSSKSGVQKDPGIVGLRNWHSARICINVFTQREWPDQHPAPSRSFSLGEEQSLSIVV GLAWSPPGLGPHRRSVLAVLTSNHVLSIWESNGTIGEWTRVVLVNHSLGDYLGWVGEA GDNLHREKTRIRAFAWSPPYEVSRSPGGRSLTSKWGACYLAIATDEEAITLLRVSRRR RVGRTEWDVNATCHTSLPIVSTLRDGPHQGSLFQKAMTSKSPVSRLSWWDPEDKSSRN FIRIARRDNQTFVEVQGSLFEASNGYVNFLEHNLALNAVYWEDPRQQNGYRGPKNKHI SDYDQSGKKNGHHDAQVKYAADDDELKKIIMAALKEFDSNHGLDGNSIVREWGFASSD DRYAACISLHPSDMVEYSTASLEKCTVLFAPRAEPREINEPFRIPVGSPADVLCQVAN WVFSASGDVSLTLPIDRNILGIFATYAAQIDNEMVRHLAGMAFNRLRETSKSQLDLDE LEVDDPVPADFLSSSDMETCLICEALIPFEENDIGRARCETGHPYNATYLCLQYKNLG FLNTVLGVIDNF EPUS_00115 MSPRKESQTPTGIHRTWWKESSIYQIYPSSFQSHSPNRPTGTIR GITSHLSYIQSLGTDTVWLCPILASPQIDMGYDISDYRDIHEGYGTMEDHDELIRELH ARKMKYVMDLVVNHTSDQHEWFKKSRSSRGKGNEFRDWYIWRPAKYDNGGQRQPPNNW LSYFGGSAWQWDETAHEYYLHLFAPSQPDLNWENPSVVSAVHSMIRFWLDRGVDGFRM DVINFISKEPGLPNAPVTDPTSPWQSAIALHSCGPRLHEFLQGIGAILKEYDAFSVGE MPGVGDEKEVMKSVGQEREELNMIFHFELVSIDGQTQDKFLPRNWTLQELKRIISKWQ AMMLTNHGWNALYLENHDQPRSISRFASDAPKYRKFSAKMLATFLALQSGTPYIYQGQ EIGQINLPRSWGLDKYRDIETLNHWRDKVLVEYPEDKEFQDRMLREYRKKGRDNARTP MQWDGKSRNAGFTGEATQPWMDVHPDYTEWNVENQIDDPDSVFSYWKAVLALRKELKD IFVYGDFQMLDPEGEESVVFIRSADERNKALVVMSFKDYETEWQVPEGVRSFLQCPVK LKNYEDEPQLRRGGGEGGESSAMILRPFEALVYVSSGGSSD EPUS_00116 MSDKFNNKAGQPPSYPQPAHHDAGPYYGPGSPPPPQNYGAQNMY GQPQPNQYGGAPGSQQEYYGGAPPPQGGYYGGGGPGPQQQMNYGPPMQGQQGGPGYNN YQNGGRPGGIAKGGFCAAFMGALACCCCLDCLI EPUS_00117 MANISSLGTEILVEILQYVDDESPRTTKRSLVLVNKHFYAATQL VAHRRKTICYTDNTLKETQKRIQTWLQDPLVLRNVRHLTLTGYMDRTDRLSGHPKPWS CDLTLMWAPLVKLVTKAARLTKVSFDFDTVQFPLPLLQALQTYHPQVKLYIWGYHREE ELDHTDPAEQALAISPILRGIKCGAWVDSFGTNIDLRTAAFQRIVANAPNLELASFSR GRTGCMGRSSTLEDQVREREAAAKFFLNSRGPNTSIRKLVLDGFALSKQTLVEWGKHV SLPHLDDLKCSRGTPERTYFEAAPALLTNLKHLSLNLSSASKHSEIPRLLEGYLATCA PLETVSLWSWMGVVSIDTILKHGTTLKKLELHEREAYVFDCRRGLLSVEDVRRIRKEC PRLEDLTLDLDREDADWRKDLDYHKDILEELAQLGKRLRRIQIYLDLGVAMASLPCAM AAQQAQSFPIIIPVAGIHDSERVTDDAAIDAANVPAEHVYRGPFKPPSTAEMCKHGRQ LWKTIFGEPTQLGPRELDIKWGEWERKLGSGRPANWVFWEQRRKRYLAVRPEERDDRP GEAIVHVQGGLRHQDDDDDQNDNYSY EPUS_00118 MSDGKPRLVIVGTGWAGFYIAQYIDTNQYAVTSISPRRTSAYTP LLASAACGLFNFYLAEEPVRSKSRSAQKFIKANVLDVNFNAKTVHCAPAFDDDPELSQ QEFDIEYDILVLAPGCVPNTFNTPGVSEHALFMKNVSDAMAVRKLFFDLLEKASLPNT PPSKVRSLLHIAIVGGGPTGIELTAELDDLAKRELRDLYPEVADQIHISIYDVAPHIL SAYDKKLYEYANESLTRRRVAIETNSTIERVDREAIYLKDKGRVEYGMLIWATGNKHI PLIEQLASQTRQTTKGLRRILTNDHLRVLKPPVSDKDNHNDPEAYECVFALGDAADML NRSLPTTAEVAVQKAKYLVNYLNHHHHQPSVISASEAVAPFKYQQKSLVSYLGAHDGV IEGRKSENGNSRDGEGWTGRSAWLAWRSGSLLWTRSWRNRVMILLTWVVNWVGGKEIA RM EPUS_00119 MPPSKERNASHAARRASDNRAHPLRKPASSDDFFTDSLLDSSRI PTPSPSKKNTNNALASRPRRAIGNTRTLRDAWDATASSGRRSSAGSDLYSRPEIFRST PAQTTGRSPAGPSKAQPTSSPPRGRHASVPTASPTSDASSPPRGLNDVYQRIADEERL AAQEGEIEEDDFTDSTMSHNDYVDEDRARLNRIRSSQSPLTFRSSSRNTPQPQAADAD KENQREETGLTDASGMSFLENMTDQVLAAKLTPHTQDRARDRTRLDKAVQKTTPLAFS KAQVGSRHALTAENLQKSAARDNSASVHGSSNGSMRNEDTNPPPNVPRTWGTKGRVDK DWLRKIHDRNGSPANVTPIGDKQQSSQVDWTAAAADVPLPSVEESLTPRPEQPKDSMP TSLSKQSSLDRIRHWELNDFTGTNLLVSDSPPVKVRSSVPDQIKDREIETLEKRAVTT NRLGEIRKKNSRELLVGKARSQNLEAQKGVEDDASPEKEAMTRFEEVGEPIPDTPIVV FKASANGIKQNHNANGRPIQDRKDSRDSLQRLARAISESPKPSLTPEDWSFIKKEEAE SAQPEIRKLQKMQDESRKSSREVADATSPSSVKRSISADAARTPIVTGAWTDTILPNT IKTVKHKQAPVKYAQTPHVTGGWIDTPVVTGKRQSSSIIPVPTDKVPERPDADLEKDH SKPTNNKNADTKPPTKLAPNLPRSALTSLLTKAKRKLASADQQDSATNQEEEINNNDT LNLGDATIESLEDLLTLDNADMTTLLRMGAEFEARREIDSNTSHPSGDRAADRDTDAG SEKAAGTETELLERLGTKLERLRTNIHDARKGISKLEHQVSQPSPQTSTGNTGNTVII SANGELITGPCQSCGCPRGTSSIFTTLNTTSTSNLFLPVRLHIPQSIPLPIPRLWHSP QKHEPWWRPPRPTALGYATLALWLWYLTETVLCNWGGYCHPEYAEYYVWPDPPRGGYD GYEYGINGRGEMRWGWITWTLVRSWIFGGLAARWMGSLWWLIRGSLWLMVRWVSMLAG WSDGFASEAVGAASRGSRSDGPVVGMGEDEFL EPUS_00120 MARSATKQAIKASHIASQQYKGPPPPFELPPEDLSSHFLCTLSK DHVYIVHVDTTPRALKRQVFLVPVCMNIFFSLVLVWRLYYAVPMYLDLILGTLGHNST TAVDVQAATRSELFSITVSRTLTLMGDYMLFALLGSWPWRFVFGLAATRYSSPLKWRM ALGFRDKEVIVRQSRVWDKGLLPDWTIDDELTLKHRIMPALERKYLGKTGYLLEDKDW TLDFRAITDAHALVEAKKLEFTDFEKAVLVFYPPSGGPLGGWMIWHVAREDRPQTAEQ RDTLVRFKEKLTAMGHEDLFYRWVELVQYESNSPGGFTPGRQAAAMREAKRIFEEKGV NFAKFWQEVGGMEGMPGLTNGT EPUS_00121 MSEVQSRPSAPRGRGSHRGGRGGFRGGRGASKNTKSDGENAPAS LEDQGEIGELKMRHGSKVSTVRELFPDWTVEDVVFALEETGGDLESTVERITNGSISQ WGEVSKKSDRSRSKVKEAQGVSQDVTGNRAARGRGGTEGRTRGRGTDRGRGARGGRAA SNANGTRTSEKTVKPAQDGWGDTAGGTATEATGSWADAPTNGDSATVDVPASCEASKE PIAKSEETKSNVIPSGKVSGWAGLFAKPPPELPSKKMSLPAPSPAAEESLPQPDERDH DGVPEQQQQQPEQQPEQQPEPSIDGISSDIVDAPSLDPALTLTPSKDELTHDNLEHIP DVSQPITTATAASTVASTQDPHSNLTSTQPVVRPGMSGYATSAMKATSGTGRTSSFQR RVLEQQEAVVMPDNHAVSRAAMQFGSMNINGSPDDAGLDEDREEPETRTQLPDDSPVA PRASLPPPPAVQPPEPAAEPVPAARPAPGLPPAPQQQPTSPTQTTAYADQFARYGQPV QKAYDTFGQQSAQQPKAQVQDAFASQAPTQTQPAASASAPNEYSAFYNQDAQRNMTYQ NYYGYGQNQDVQQRSGSAFGSTGTENQPQYATSRPQAGFGPQDAQDSGNNTPNPALPG QQHQQQQSQQMHQSQGSQGGYPYGYGNAGYNQPYPQQYAAYMNQMSQHQYGQNRPMFD DARRYDDSYMSQSSQYGGYGRQYGGHYNKSGMYNQPQHQYSYEHSSSPANTGSFNASS MGREGMYGRTGSAQPSESQPAAGGNTFGSMNDPFSRDQSGFGGHTPMSQQHSAQIGLE DASKGYDPAKTGGPSPIVKAAHRPGSAVNNMQSQQGGQSGGFPPPQSQQGSQQAFGGY PQYGGFGANVAGHQQGQSNHPQSTQQYGGYGGSAGFGNYGGYGSGRGWSGSYGGGH EPUS_00122 MKLTPTLYVRSWIAKLHPPMPTTPQENKQLLSLLSSSFQRRLDD AHPPVQPRENMRQATVCVSGPAAHNSSARATMDHLQSLLHHPLLAQNAAHHPKPQTEA AQAATMMDQAMLRGQANLNLVDRCMQVYLRTLQSGEVKDEFRLGRRISAWFTSSNPAT KDRFLSSPGALCNAVPILYADGLEEVVWEWLGVLYSRKVDVVDFQGEAEVPMASKPSQ WVLQETHLTFLMIKEALRRSRLNAAVQQLVQASAYMSKTGRMSSVVRSSQPWQASTRA VTLALLRRRHRHGLSAVLFDQLLDHRSSWADADFLTSKLMPLYHPAQPSAQELAMTVE QANDSMQVHFDHIKVMSGSAQKVVLNALLDGAQLLLLQDSSSVQQAQLILDLVEKQFP NLSDVKHKEATQKRIQSARQTILPQHFVDHPIGVI EPUS_00123 MPFNNFWRTFFAIALTAAIFATIVAVLCFIHRRETRRAQQAEAQ AQAPAPAPARNGIDLPVLSRNNDFRESDETLVTPARPLVPAFLRPPIPRAANRCPV EPUS_00124 MEVPLLSPSTISDTIFPSTPTAVPASQIDATFSQTTNLTSSVLN ARIHSLSDEYFASAANLLTPTPAVRKPGVYVHSGAWYDGWETRRHNPKPFLGCVGTIE GVEVDTAHFSGNEAPEVGLDGYFAPGEQGGDAVSGDGFEGWSEVLPRSACGPDRRQAW RVRDQGRQYTHLRLKMYPDGGIARLRVYGHAVPPPLDAVMAVQGDGPGWRNSRVRCMG ELRWSARTSILGSRVTSCCHVEERIWEMGGRLLGQG EPUS_00125 MTDDFSRYRKTAVLKKKNEAEQAIKEFIAEIEAKGYRTEGIRKD RGTKFGSKKFDKWLKKKGVRIEDSALYTPEQNGVSERAVGLVCEKARAMLLATDLPAS LWAEAVLTATDLMYSSPTRALPHRGTPYEKFHGKKPSLLHLRVFGCVAYGRIPKQLVR GKMAPRSKKMRKITLTRDAVFIKEDLGFGTPQGIMAPQEAVAEKSVAEKSVAAESTEE SENETLADIAKEALGLLDFENLEEEAPIAASVRSVKPQEGVPRTYAQAKRSVGASEWQ EAMEKQMEALTSNDTWQEVDLESLPARTKVLSGKWVYKEKEKENGEQVKKARWVVRGF EQQDKDINWDDLTTATVRAQTTRILFAMAAEKNWDIQQMDAVAAFLNGDIKENVYIEM PMRWRQKGKICKLKKTLYGLKTSSGVWYGLQTSFLQSVGFVQSKYDPALFSKRGAEGV VFISSHVDDYLITGSDKQGVQELKRAMAKRFKMKDMGAAVSYLGMEIERKEDGVIKLT QERYLTQLLKETGMWNVKSKPTPIEAGLELKPAEAGKAVRQEDYRRLVGKVQWPAVVT RPDISHTVSRLASVSNTPTKEAWGALKRLLRYLRGTLRRGLRYGGKSELRGYSDANWA EGEDGKATTGIIFMMNGGPIHWFSRKQNIVALSTCEAEYIAAATATQDAAWLGPHVQE MLGEKEQAVPILVDNQGAIALAKKDGWNRRTRHINVRYQYVQEALRQRKIRMEYVPSE EQLGDGLTKALKTELFERWQVRI EPUS_00126 MSGIELAGLVLGAIPVVVAGLESYIKGVATIKRYFKYKNELKSL RTSLTTEYDIFRNSCEELLEGLVQTQKMALLLIDPGGALWKDPAIEKKLRRRLQDAYS GYLDTIDDMELAIEEFKRRLKLKDGKVQFDDVSAFKQEYKRLKFSLDKSAYEDLMVRI RQDNQTLSRLTKQSLRLEVSRVKRYRHVPDFKGVRYCAKSIFDTLSSGWKCSCQGPHT ANLRLESRIEDVESDLEKDGLAMEQPRFRIVFSYSHASLVSASTPWSWEEADIRLLKE KQNHDNPNGPNPVPAPNKKKGVRFQDQAEAAVTKALDKQPDLEPIKDLCRAIQTLQQT QREQCLGFLVDEFTRQKHGIYPLQRPSVDKDKWSAVSLQNLIPQKSTIQHRFTRGDKL RLAVILASSVLQLYETPWLEKTWQRDDIMFIHAANGPLYEQPFVSRSFPMNKSSPRPG ITSKPSMMPAIRNPVLFALGVLLIELCLGKPLEELKRPDERTRDASVDATLDWVAADR LVEDVYLEGGSRYGDAVRHCIRCDFDRRETSLEDDDFQQAVYEGVVSLLEDDLKQFHH L EPUS_00128 MNLALIDPFQLAQDHPDTLINVLRSGHAIALRFSRKGDYLASGR VDGKLVIWDMQTMSVALKMHGHFKQVQSISWSRCGRYILSAAQDWRAILWDLADASRV RIVKFQAPCYMAELHPYNHNLFICALFEDTPFLVDITNAKPVKRVLPSNPLMDNSLSS KDGKHTTCAAIFSLHGNHILTGTNKGYINIIETETRTIIHSAKISAGLITLLRLSSNG RSLLVNSTDRIIRVIHLPDLTQIRPSNAVLNHDEDTDPGTVAENITLSVEHKFQDLVN RLRWNHTTFSHSSSNSSSDYVTASTYMKKDIYIWELSTNSLLRILENSEEPAIIEWHP SRPLIATTGIETGGIQFWGIEPQQKWSALAPDFAEVEENVEYTEREDEFDEYPEEERT KRRLDREDEEVDIFTIEPTKADEEEAFVLPVIIGVDQESDDDDELVPTGVGTMRKKEA NEGREWEESAEPAVVARRRAR EPUS_00129 MANLNFAHSSAKLRTVKEIQFGLFSKEEIDRMGIITVEYPETMD EARLRPREKGLNDPHLGTIDRNFKCATCEENMTECPGHFGAIKLAVPVYHYGTSYQPS LRNHVYTQLGFLGKVKKLLETVCHNCGKIKAVDSEDLRYALTVRNPKKRFELIWRLSQ KQNICQADSPEDEEDPTARERSGKVGHGGCGNAQPQIRRSGLELWAQYKPRKGDDDEE TVPEKSQIWPAQALEVFQHLTDETLDTLGLNLDFARPEWMILQSLPVPPPPVRPSISV DGSGQGSRGEDDLTFKLGDIIRANQNLIRINAEGAPDHIAKELQALLQYHVATYMDND IANLDKAQHKSGRPIKSIRARLKGKEGRLRQNLMGKRVDFSARTVITGDPNLSLDEVG VPRSIARTLTYPETVTRFNISKLKQLVTNGPEAHPGARYIIRENNERIDLRYHKDTSQ IALKTGWKVERHIMDGDVILFNRQPSLHKESMMGHRVRVMPYSTFRLNLSVTTPYNAD FDGDEMNLHVPQSEETRAELAQLCMVPLNIVSPQRNGPLMGIVQDTLCGIYKICRRDV FLSREQVMNIMLWVPDWDGVIPQPAIFKPRPRWTGKQMISMVLPPALNLVRIDGKASQ PPIERFAPAGDSGLFVSDGELMFGLFNKKSVGTGSNGIIHTIFNEFGHETAMAFFNGA QTVINYWFLHNGFSIGIGDTVPDRGTVEKIKSEVDKKKAEVERITQSAVAEDLEALPG MNVRETFESKVSAALNGARDEAGTATENSLKDSNNAIQMARAGSKGSTINISQMTAIV GQQSVEGKRIPFGFKYRTLPHFAKDDYSAASKGFVENSYLRGLTPTEFFFHAMAGREG LIDTAVKTAETGYIQRRLVKALEEVTVKYDGTVRDSRGNIVQFIYGEDGLDGAHIESQ RVDVIAMSDGAFEKLFRVDLMEPKKLVWQDRLEQASEIHGDVDVQKLFDEEYEQLMED RKFLRHIKKAATSADEMMPLPMNVQRILNQARTTFKIQSGTPSDLHPTYAIPKVQQLL DRLVIVRGDDPISLEAQNNATLLIKALLRSRLAFKRLVMEYSLNRLAFDHVVGAIETR FIKAAANPGEMVGVLAAQSIGEPATQMTLNTFHFAGVSAKNVTLGVPRLKEILNVSQD IKTPSMTVYQEPSKARDRESAKVLRSMVQHTNLRSVTETCELWYDPDVQSTIIPEDLD MVESYFIVPEVSEGDLARQSKWLLRIVLNRAKLLDKDLNINDVGNKIKSTYGRDLAVV YSDVNADEQVVRIRLNDQSYSKDEEEDRKEDDESLRRFLNEMLDNLTFRGVPGVSRAF IDTKIRPQIDDDGSIFMSKEDPRCFDFVLETSGSSLAKVLAIPGIDTTRTYSNRFTEV FEVFGIEATRSAILRELTQVLSFDGSYVNHRHLALLCDIMTSRGYVMAVTRHGINKSD TGALMRCSFEQTVEILLDAAASGELDDCRGVSENLILGQVAPVGTGTMDIFLDENMLS TVEPNRDELGGRIGIKSQQELYEGSATPYDVGSPLGDTSGLGSPDYGAQFSPIAAPGG DDHGGFTDYQPASGFGPTSPFDPRSPGAWSPRSPFGNTSPSSPAYSPTSSYSPTSPNL GMASPLYNTSPGMSPASPAFTPTSPAYSPTSPSFGQAGPSPTSPQYSPTSPSFSPTSP GYTPTSPQYSPTSPAFSPTSPTSPTSPAYSPTSPSWSPTSPAYAGAARNKQTSPTSPQ YSPTSPAFSPTSPALSPTSPAYSPTSPAFNRSPRSPGAATSPQYSPNSPKSPEYSPNS PKED EPUS_00130 MSSSSAPTTEIAVLTLKPDTPIEDISTPAGQIFNQMLNSIKSQS GFQRQYWGRQLENPNHLVFSIDWDSITSHTSWMSSPSYQQFSSDISPLLDLTTSSFPP NIIHLNFHPHPPDTPRSAHPPSKPPSPSWRISAWGRARAKREREQPMQHHAGTDGAAI SLGLMVGWASKDDHLAVRESDAFAQKVKPVREMALPSEKTRGGAGAMYHVAFSRWGAG VGVEV EPUS_00131 MAPSEEGSSKKMGPAPQILRQAEAAAAGNSESDYPSQPLRRAGR NEDDHEKVAGPAAGFGQLPDIEVVPPPAYNSKGYGQLDFSQMGLDTGAKVGKDGRVNI NIKQRSRMLTGLLVPALRNQLDIHKRRPAPEGPIVPPGLGADGRELPPPPMNIVIQIV GSRGDVQPFIALGQVLKHKYGHRVRVATHPTFREFVTENGLEFFSIGGDPAELMAFMV KNPGLMPGFDAMRNGDIGKRRKGISEMITGCWRSCIEAGDGTGLEASDHLVDGRSFDS GVSTGADPMCKPFVADAIIANPPSFAHMHVAEKLGIPLHIMFTMPWSPTQVFPHPLAN IQSSNCDPSMTNFITYALIDMMTWQGLGDIINRFRQKSLNLEPVSIIWAPGMASRLRI PHTYCWSPALIPKPGDWGNHIDISGFYFLNLATNYTPPDDLAAFLAAGPPPIYIGFGS IVVDDPNAMTKLIFQAVKKTGQRALVSKGWGGIGADELGIPEGVYMLGNCPHDWLFKQ VSCVVHHGGAGTSAAGISSGRPTVVVPFFGDQPFWGAMIARAGAGPTPIPYKDLTADK LAAAIQEALKPESLERAAELSEKIRQENGSEDGAKSFHKHLQVDSLRCVLYPRLPAVW RVRRTDIHISALAATVLGNEGLVDFSDLKLFRAREYDTDEGPWEPFTGAAAALIGTIG NMMMGVADFPVEMLKALRIATSEKKETSQSGSDSPRSPSRPSPSPGPTPSSTSLPERA ASPADSEEAPRSFSPDAQSPSVQTTHNHLSAISGHDPGKGSRPSSPAGRASGAGNQMS LENMIDSGKGVSRIVSAGIKSPMDFTMALAKGFHNAPKLYGDETVRPSDRITGVQSGF KAAGKEFQYGFYDGITGLVTQPLRGAEKEGAAGFFKGAAKGIGGLVLKPAAGIWGIYG YTAKGIYAELQKHFGSSVQNYIIAARTAQGYEEWKESSAEQRSTIINTWKSIQDELRR QNQRPRQNQETEGDVEGQEAQRSSSGDWWSRFNQNRHLPYDERKKLAEEKQRRKEEKK KKKGGDGKDSGKTTTRCKYCPLQHDEGVECAFKPAAGFSKDTSDEFEDAIRRSVTATS RGDAEEDAMIERALRASVNELKHARATGSSYEAYDHAVAAGAVEAGEAGQTSQAIDDD DDDDDAELSEALQRSLRDDGAHETASYDEQAPLDSGLGSEDDEDYKQALAESRSVHSS LQSRTGRPKEDATAAQPDSDDDEDLKRAMSESEKNHRSKEEALARQQTEEEIVLEYVK KQSLLEEEHRKNRLEERQQEQQQQQQQQ EPUS_00132 MFFLCCITVALAACCVQAQNPTCPGYTASDVVTTDSGLTAQLTL AGPACNSYGIDLENLTLLVEYQTDKRLHVKISDVNNTVYQVPESVFPRPNGTVSSASA SQLRFDYTESPFSFTVTRQDGQTVFDTSGSPLIFQSQYLNLRTALPPNPYLYGLGEHS DPHRLNTTNYTRTIWNRDSYGIPYGENLYGAHPVYYESRAENGTHGVFLLNSNAIEVK INNTEQDGQYLEYNVLGGVFDFYFFDGPTPVEASQQYSQIVGLPVMMPYWGFGFHQCR YGYRDVYRVAEVAINYTRAGIPLEVLWTDIDYMKDRWIFTLDPENYPLPRVRELVDYL HAHQQHYIVMVDPAIAIADNYSTYSTSIEQDIVVHYENGTVFRGVVWPGPTVFPDWFN PETQQFWNNEFTSFFSADEGIDIDGLWIDMNEAANFCNFPCTDPAEYAEENNFPPERL PARSSNPRPLPGFPLEFQPNATARRIKRQEQSGSMMGLQGRDLLDPPYAIRNGAGSLS NKTIHTDVIHQNGLVEYDVHNLYGTMMSTASREAMLVRRPGIRPLVITRSTFAGAGKE VGHWLGDNLSFWDKYRVSISQMMDFSALFQMPMVGSDVCGFGANTTETLCSRWASLGA FYPFYRNHNGDTSISQEFYLWESVTQSAIKAIDTRFKMLDYFYTAFHKQTVDGTPSLC PMWFVYPTDTQALDIDLQFFWGDSVLVSPVTEENVTTVDIYLPDDIFYDYNNNFSIVR GNGSTITLSDIDYQTIPIHIRGGSILPLRVESANTTTELRKKGFNIVIAPGLDGSASG SLYLDDGESIEQPNTSLIDFTFANGQFAMTGSYGYDAGVNVEAITILGQTGEPAVVTI PGSSNPQYTYDSGVVVINASVPLTGDAQISVD EPUS_00133 MLARSEDDGRIKTVKLARGQASSPARPPGSASSRNSSEQYSRSL SARENTIQNSAHILADVGILELLQQDERPTFIIDVADAANSQSGFLNLIFANTALKIR PSLLEHVRGVGDDSSQAIASPSAFVEFKRWAMSFVKEGEALTISLPTYSYAGFIWTSS TLRKRIRVIACDPSYAPATLTGSSNQSDLRLFNGLSSSSLGSSETTKQELAGYFDQAH SARGVRTSTDPTSLPNQDSIEALSWEQSKGFTRVPSIGTNGDIESMEVLMSPGSLKEQ TEPYQPLEASDLPKDSEAHYIIPGQGFFDWTRLPESSAFPPHIRFARSVNWAATSLGP IENWDSDLRAMCNLIMASPHPAAMYWGPDLIAIYNEAYILLAGNKHPALMGQSYREAW GEIWDAVKDVFASAVSSAQATMKDDDRLFMNRDGTFLEETYFSWSIIPLIGADGSVVG LYNPAFEKTRRKIAERRMLTLREIGEQTAAAREVSKFWPLLLQGLEYNKLDAPFVLIY SVAEDADSDDSSVQSSSVVTQKTLVLEGSLGVPAGHKAAPEQIDLSKTMEGFAPIFRD AVKTDKPIILSEKDGTLDTELLTGIQWRGYGDPSSTVVICPIHPTTGESTLGFLVMGT NPRRPFDDDYDLFVQLLARQLATSVASVVLFEEEIKRGERAAQLAAQDRLHLSNELAE SEIKFTRMAELSPVGMFVADASGRLNYCNNTWYDLSSVPKSSSVVEVEEWMKYVADED KSLTARQLRKLLDEKVPISVEFRFKTPWQAKDGNRLSETWVLASAYPEKYPDGQVKRI FGSVTNISTQKFAEELQKRRMEEAVELKRQQENFIDITSHEMRNPLSAILQCSEEISS SLTEARDNPELLSDVLDSNIEAAQTITLCAQHQKRIVDDVLTLSKLDSARLLVTPVDV QPGTVVQRALKMFEGEFLTADILLDFQIDPSLHTLRVDWVRLDPSRLLQVLINLTTNA IKFTTTQEKRSIIVRLGASLQPPPNTPDKTVDYVPSRSKGKDTTSSPEWGTGEVVYIT FAIRDTGRGLTESEKKLLFLRYPGPLLLDLVTFELMLTVLLRFSQASPRTHVTYGGSG LGLFISKELVELQGGEIGVSSESGRGSTFAFYVKARRSSAPSEDAGDPLSAMQARKGS GPNNIRPSGTNQNASDPASAAVTSISAIPPDAAESSLRVLIVEDNLVNQKVLAKQLRK MGCVIYLANHGGEAIDFLATSRFWKDQETSGIELDVILMDLEMPIMDGLTCTRKIREL QQSKDLVKHVPVIAVTANAREEQITKTREAGVDDVMSKPFRVPELLFKMRGLANLSPP RNASP EPUS_00134 MDIVDREVEVAEEQASRGFSGQSLEHHQHLERATRTSTVSSSGS SATTSSISTRPDLHATMSMGRATTANTLERRRTNAIEMHRTETQRLQHSHTVGARITK TRTSQKPLPNFGGGKPYPPDLPAQDEYVVEYDGKDDPLHPQNWSMTRKLHISVILAYT CLCSTFTSSVFSASTQAVATQFRVSVEVSTLSTSLFVLGYAFGPILWGPLSELEGRRL PIMIGMFGFSIFNLAVAVSKDIQTLLISRFFCGIFGSCPLAVVAAVYTDIFDNKQRGP AVTIFATTVFMGPMLGPFIGGFIVTSYLGWRWTAYLSSIMGWLSFGLIILFLRESYPP VVLVAKAAELRRRTKNWGIHAKQEEVEVDISELITNNFSRPIRLLFTEPVILLITIYM SFIYGILYLFLTAYPLVFQGVHGMSPGVGGLPFFGMVVGLFIVAAYIIWSAKGYNKKL AANGGVPVPEWRLPPVIIGGTLFAAGLFWFGWTGFTRDIHWIVPTLSGLLTGFGILAI FIQLFNYIIDSYLMFAASAIAGNTFLRSITAAGFPLFARQMFNGMGIQYAGTLLGCFA ALLIPIPVCFYLYGRRLRERSRFSPTMADKPPMDEESSLAEELGEGNGDGGDSDAVHS RATDGTGTVNGDVEKEG EPUS_00135 MENPRQSTSALCRTLAHALKRLFKSVKARTGENTLNGPADILRR DCSLPPSLLPRISQVSMFPDFESPDIFYDDADNRTPTLPMTFHESHTRQGLPRTLLPA RSLSHFNLQQVYADHCGLPVLPTRKQDHVWPAEQLTPANTGRVPAHKPVLVSKKSTLQ IRRPVSFHASIVNAGPAASIHSDSSSAVLRRTKRSGNLRKCAIAESHTAETNLLTPIA EDACFLTSKSTGHDSFRLVKHFASYCIMDMAAPGCPISAVSENLGYLYDIKDRFVLNA HEISELSMDLSIGRDPHGNEVTYLLLFSPLISPATTKSRFMLVSAIDVSGYIRYAATL EPTPEPRRETKYLNSYRERSNPTRKTSSTSWIDEKTDQLADELLHGCSIKESSASTSA SRRTISNAEPVRSAHECEDIWTAIAREEGLMTHSVSAASRSSGSLHSSATSSFQSRDP PTRSTTSQSSLDYADEKIIETFIDSLQVLYSQYFLLVCSPLNGDFYEICYVSPAVYAS GEYVSGHLSHTPFNLVNEFGAHLAAGKRFRTDIRWGVNGVKKQLYCVPLMGHEPAPWI CMLVDEGTPINW EPUS_00136 MSPAPTSRAILRQTRFLLRRNNLRQASTTSEAATKAKETASQTT SKASEGLSKVTSSAGGIIGSAASRAQNVMSRVGGRTGRLVSFVQTLIPPTVYYARVGL ELSKLVFQGQKMSLPSLSHIQSYLQPVINVARDPKSLMSRASSTSNYINPETILSNVR NTNRQQLISLSVIGAELLGFFTVGTMIGRMKIVGYHGQPSHEH EPUS_00137 MPDEQTPLLAVVRVAQRRPRYQHSTVRRFCTIVLSGALVVALIC LLLPAAFLPVADGPKSSYLPWANPFPHQAWPGGDGMTYEELQTILLQTPNEVEARKSS EYYTAGPHLAGKNLSQALWTKEKWEDYGVTSSIVAYDVYLNYPLDHRLALLEGVDLAT NRHKIRYECSLEEDVLDQDPTTGLSDRIPTFHGYSASGNVTAPYVYVNFGTYKDFEDL LAANVSLAGNIAIAKYGRVFRGLKVKRAQELGMVGMVMYTDPQEDGEMTVASGYKAYP EGPARNPSSVQRGSTQYNSVLAGDPTTPGIPSKPGSPRVDPHKAIPSIPSLPISYRDA LPLLKALNGYGPNASHFNKYWQGGGLTHEGVDYNIGPSPDSLVLNLMNAQEYTTTPIW NVIGVINGSIPDEAIILGNHRDAWIAGGAGDPNSGSAAFNEVIRAFSVAMQAGWKPLR TLVFASWDGEEYGLLGSTEWVEEYLPWLSAGSVAYLNVDVGSVGPKLNAAASPLLNKV ILEAAGLVPSPNQTVQGQTLLDTWDKRIKTMGSGSDFTAFQDFAGIPSLDLGFKEAPN SPVYHYHSNYDSFYWMDKFGDNQWHCHITIAKLWAQIAMQLCETPVLSLNTTDYALAL SRYLEGVKEKAKKSTIGEDSAFSFKFLDHATSELQAAATRFDTYTANLILRLSKPVPW WQWWKTVKLLYEVRKANTKYKLLERQFLYPGGLDHRPWFKHVVFAPGRWTGYSGATYP GLAESLEDGNVTNAVRWGEIIVERLEGAKSLLEK EPUS_00138 MSSPSVSVSEELEQRNRLPTLLEVLGRRTLAPTDLFSFYIYMRD QQRSVDYLDFWLDVSQHMSLCRHYVRELRRSMLVETPELEKAPSKRTSEGLDNFRMDG DSPPNSEKLESQDQAVSDYLRSQNGNGKNGKAGHNNHSPRGSLGSRHSEIKAASLERP RPSFKNSDDTQTNSNSPGHTVARADIRASAEKILYTFVLPGSEREIVLPEMILNRIIH AIEEEGRDDPEVFNDAKEYVFQAMERDAFPGFLQAKALGNLVPLSVLLRLGLGLACLF AGLWGGYYMILTNKSRATRCWLIIPYLLGSHLLVAYQYKIDVFMAFFSYSEYTFMNWS KIREPYVRKLIMKRALMAFVLFHVIALLLLVLFIFVPGTNF EPUS_00139 MDQAQNRALAALQPFLHLALTTKSPSPRILADFIIRATSAQGTY VFTELLQTPAIQSLRSPSAPEEFRNYYTALEIFSYGTLADYQNAPNLPTLTPQQQHKL TLLTLLSLASEPHPLTYDYLTTTLYLDSAAALEALITEAIYSGLITARLSPTSSPPIV HITSVAPLRDLRPNSLPEILKVLQVWESRCSSVVGDIEAQIAGIRNNAAKRKAREMRR QEVVDTAVLSNDGTGDVGNAAGGGAGAGTGVGSGATGGAGRMTRSSIMRGGSGDENRK SKGTGNKRDLDEQQEDEEVSQWGQQTSEEDGGVGVGLAKMEVDEGAGSMGKSGDGSRT AKRVLGKKGL EPUS_00140 MATYQSVPRDDDQPLPKGETAHMATTTVKVDGMTCGACTSAVEA GFKDIPGAGAVSVSLVMGRAVVHHDPEKLSADRIAEIIEDRGFDAEVLSTHLPAPPAY LDTSHPKISTTTLAVEGMTCGACTSSVEAGFKNVPGVKSMSVSLLSERAVVEHDPTIV SSQQVAEIIEDRGFGATVLDTKVQDDLRDMSATIPEAEGVQPDTAITTVAIEGMTCGA CTSAVEGAFVDMPGLIHFNISLLAERAVISHEPIKLSIAKILETIEDAGFDAKLVSSQ AGGSQRLQSSTSIQLKVYGLSDGLAATTLENLLRQKSGVAGVVINLSTSRATITYNPS LIGLRALVEAVEAEGYNALVADTDDNNAQLQSLAKTREIQEWRWAFFFSLSFAIPVFC ISMLIPMFLPFCDFGYIALLPGIYLGDVVCMLLTIPVQFGIGKRFYVSAFKSLKHRSP TMDVLVVLGTSAAFFFSVFAMIVAFLVHPHSRPGTVFDTSTMLITFITLGRYLENRAK GQTSKALSRLMSLTPSMATIYDDPIAAEKAAEGWDVPFSPSVEKYGDVAENRARKENT PGQRAIPTELIEVGDVVILRPGDKVPADGMVTRGESYVDESMITGEAMPVLKKNGSLL IAGTVNGAGRVDFRVSRAGKDTQLSQIVNLVQEAQTSRAPIQRTADHVAGIFVPIILL LGLTTFVVWMLLSHLLSSPPEIFRDHQGGGKVMVCLKLCISVIVFACPCALGLATPTA VMVGTGVGAENGILVKGGAALETATRITHVVLDKTGTLTEGKMKIARVDLEPTWTTNS LRYRLWWCIVGLAEMSSEHPIAKAIFEASKEQLGLTSEENLNGAIGKFDVFVGKGIKA TVEPASVAERARYEVMIGNASFLQSNGVVIPNSVDEAPESNVDAESPSKVSSATGVTR IHVAISSQYSGTLSLSDTLKPTAPAAIAALHKMGISTSLVTGDTLSSAQSIAKLVGIS KDQIRASVSPAQKKDIVAELQSQGETVAMVGDGINDSPALASADVGIALSSGTDIAMD AADIVLMRGDDLLSIPASLCLSRTIFTRIKLNLAWAVIYNVIGLPFAMGLFLPFGGIM LPPMAAGAAMAFSSVSVVSSSLMLKWWRRPGWLNVDLLEQEIKNGGVGIRKDKLRGVS GYIRQAWSSGLGFIDRKKEQDRGQYVPLSTVEASV EPUS_00141 MDTKNQISTTGTQNPQQQDLTEAQTSANNLPAHGHNASSQRDVS TNPDLVLHYSHEHEHQHLHHHKRSIEGRDDEVVYSHGHDVEKSNVPDQNAQDSHHQAY HTDRKTPSKPTIVQHDAEKGIVSPASLSQEEDDPRSHKVSGFYRKWKIFFHAAFLALM TGYVVFNGPVPSYGSNQILWQVVDSSSYPS EPUS_00142 MKPTRTVWKHTAVRVHDLIPARLRKPLAAAGTIAVMLIGAFVSE ESADNTRANRAVSLFGLAVMIAVLYATSRNRSKIPWHTVIGGMLTQFIIAVFVLRSKA GYDIFAFISSLARSLLGFAQQGTTFLTDETVPTLPWFLIGVIPPIIFFVALVQLLYYF GTLQWAVGKFAVFFFWALKVSGAEAVVAAASPFIGQGESAMLIRPFVPHLTMAEIHQI MTSGFATIAGSVLVAYIGLGLNPQALVSSCVMSIPASLAVSKLRYPETEETLTAGRVV VPDDDEHRAANALHAFANGAWLGLKIAGMIISTLLCIIALVGLINAVLTWWGRFLNLD GEYDLTLELILGYICYPIAFLLGVSREGNDLLLVGRLIGVKLIVNEFVAFRMMMTDPA YASLSPRSEIIATYALCGFANIGSLGTQIGVLSQISPSRSADVSRVAVSALITGAIST LSSASIAGLLVQNEASGPPSG EPUS_00143 MSTKPSMENLNVLKKPLAFFSNKPLTGFYRDGYCRVGPEDKGNH AVAGVVTKEFLEYSASKGNDLRTIGLTDGCKWCLCTTRWKEAFDGFKNGEVGEKAVPK VFLHATDQSALDKIPLEDLKRFAAQGEASNAEKRPVEPSSRPGAAIKEV EPUS_00144 MDLNSLRDQVSNLTLYDIKAGVRKVQNGTMSPMERGVRAGLTLV LSNPPQVREATNNEPWGASTSLMQEIANGTHSYQLLNEIMPLIYKRFTDKSAEEWRQI YKSLQLLEFLIKNGSERVIDDARQHVSLLRMLRQFHYIDQNGKDQGVNVRNRAQEIAK LLSDVDSIRAERKKARANRNKAGGVEGGMGIGGGMSSGSRYGGFGSDAGYGGYRGEVY GDGGGFGGNTSGFQDTQRRSDKFEEYDEDEEDGGSSAAKPSAASHARTASGLRAASLN TIKRDAKIKKEPEQDLFEFGDEPAATSASNGKAKMSSPKAADELGAMDSGIGGDDDFD DFQSATTPGLTTEAQSSAIPGLTSPPPAASSAITSSTSFAAPHNVVPPPASNINDLFA SLSPTTSSNKPINTSTPSLSMTSSISSLPPPSQATKPVTSGFVSSGPNYYTSVPSTIN NSSTTSTTSSVKSPLSSTISPGMGQKQYSSTNTASLGKPNPSTSTSAAGADVFTSLWS SASAKSGIQSRSGTSTPGNKGPDLASMAKAKNEAAMWGTPVGAASGVNGSGGGSGSGG IPPRPSAPTPRTATMGGGQGQGQGQGQQLGGGLDDLLG EPUS_00145 MSLHSLRRAQFENNSASANPLRQSSIASTSSSAYSYNSNPSIPT RTSTMTSAASSTYLQERAGHKRGISEATGVASRYTDRSSVERSPDHFYSHARQSLRPL PQAPAASPPAKRHSPNHVRQETDRYSQHQQEDPFVSDKIRRPIPDGRLEKAHIHHAHS NPHSSAFVTPDFQELQKSSTGHLRALSKFAQSDQSEDFSITTPAPSVVGLHNRRQLKR TDSTAGGKPQKGRGGERNYGWAERNWMDKQRQFLQAYEYLCHIGEAKEWIEDVIHKPI PPIVQLEEALRDGVTLAEIVQAVYPQQRLRIFRHQKLQFRHSDNIALFFRFLEDVELP DLFRFELIDLYEKKNIPKVIYCIHALSWLLYRKGIVDFRIGNLVGQLEFDHHDLEQTQ KGLDKAGVNMPSFAGMGASFGAEPEPPPEPEPVESEDDRVDRELKEHEAIILDFQTQA KGALLRMKLGDRMAQLWEAETMLIDLQARIRGDWARQIIGYRLSMQRFAVTLQSTVRG YLARSRGLKRERWWKSKEREVLALQSLARARRTRADIKNLKTQARREDSGIRLFQAAI RGALARREVCNQYEATKEAESVVEDLQSVVRGMLTRHDLRKQDLILAQHAWSVGELQA VIRGMLVRQNLQRRVRVLEQHGRSVALLQAAARALLERRTQSQLQGKLLSMSSLWTTL QAIIRGNGTRTRFAQIKAELRHHSSTICHVQAYIRGAIAREECNALRLALFKQLPTIL QVQSQMRGSLLRRKVVQDQEALQAEAAVITRLQSLGRGAIERQRVGGLLNQLDLTEAE TVLLQGLIRAMFLRGQVGDVLAELESHEVTIIDLQSAIRASIVRSRYEEKKRFFKENM EKVVKIQSIVRAKIQGGAYKSLTNGKNPPVGTLKGFVHLLNDSDFDFDEEVEFERLRK TVVQHVRQNELADQYITQLDIKIALLVKNKITLDEVVKHQRHFGGHVGTLLPNSDMSS KDPFDLKALNKASRRKLEHYQELFFLLQTQPQYLARLFRRIREHATADKECERIKHLM MGLFGYAQKRREEYYLVKLIVRSLKEEVDNAHSMQDYLRSNAFWNRMFGAYIKSPRDR KFMRDVLGGIIKENFIDNRQLDLESDPMQIYLSAINNEELRTGKRSPRSPNVPREEAI RDPETRATFIHHMQDLRDITDQFFVCLEDLLHRMPFGVRFIAQQTHECLLARFQEEEP GHILQIVGQWMWRNYLQPALTEPEKYGVVDRGLTQEQKRNIGEVAKVLGQAASGKEFG GDNVYLQPLNTYIREAMYRFGEIWSHVISVPPAEEHYDIDEFNDLYAKTKPTLYIKMA DIFSIHQLITTELPHVCPAQDDVLRDVIRELGSVKSNENELLSVSSNEISLTLNPKLH NAEDPDAEIKALFTETKRCVLYIIRVQAGTNLLDILVKPVTAEDIDRWETLVREELRT DGRKREAYSEANTHVDISSLSYVDLKRIALENVLQLEDVGKLTRRNHYQDLLNAIAVD IRTKHRRRIQRQRELEGVRTTLARLNEQAVYLEQQLKTYNDYIEQAMVTLQSKKGKKR FIMPFTKQWDHERELQRTGRSFKFGSYKYSARNLADKGVLVHWRGYMERQWDRVDLTI SSNEVGVFTIDGSSGNMMVPGANAQVPLDDLLQAQFNNTQFMDFFEGSLRVNVNLFLH LIMKKFYNE EPUS_00146 MDTVTEHTMAIYMALLGGLGIIHHNCSIEEQADMVKKVKRYENG FILDPVVLSPDTTVGEAKELKEKWGFGGFPVTENGTLKSRLIGMITTRDIQFHPSSND PVSEVMSKDLVTAPAGTTLLEANELLRRSKKGKLPMVDENENLVALLSRSDLMKNLNY PLASKLPHSKQLICAAAIGTRPDDKRRLQALVDAGLDIVVLDSSQGNSIYQIEMIKYI KRTHPNLEVIAGNVVTRDQAAPLIAAGADGLRIGMGSGSACITQEVMAVGRPQATSVY RVSEFAARFGVPCIADGGIQNVGHIVKGLAMGASTVMMGGLLAGTTESPGDYFVSREG KLVKAYRGMGSIDAMEDKKAGAGAKNTKASNAGTARYFSEGDRLLVAQGVSGSVLDRG SVNKFVPYLLAGLQHSMQDIGVKSLVELREGVQNGNVRFDLRTASAQAEGNVHGLHSF DKKLYS EPUS_00147 MSGTATARSQSGNLSGDGKRKPARRAPARPSSSVILISPDLEIL LLHRIQTSSSFPSAHVFPGGHIDKPDGHIPENGIERYQDNWAYRVGALRELFEETGIL LARQDEKSQKLISMPQKSREEGRKLVHSGKISFQAWLTQQSPDAVLDTDGLIPFSHWV TPPNTPRRFATQMYLHALSSSDDSHPPASGLSTTPTTTADFTPTPDNNLENTSTTFHP AHHWLHLAQSGKIILFPPQFLLLHLISRFLDDNALPAAQRVQKLKDFIYHGPADGSPS WAEKCISPYVLPMHNPRRDERAVLALDKSGPEMEELGSGSRGDWERVVLFRWRKEGPR DLEVRGRREVQEEGRVNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNGNG NGNGNGNGNGNGNGNGNGNGNGNGNGNGNEQEDRSERAVKL EPUS_00148 MATDPRLARLAPRTTTPQPPPPPPPPPQSAFTSSSLALDGVSEN PAFTTTNNNIHTSKSPSPSTTTPSSSSSYKLRYCTVCASNNNRSMEAHSRLSLSSNPV ISFGTGSLVRLPGPSISQPQVYPFNTTSYATMYEELLAKDARLYRYNGCLNMLERNKH LKWGPERFQDWVVGAPRLSQTERGDKGAQGTEAGVVDVIFTCEERCWDAVVDNLLDRG APLNRPVHVFNVDIRDNHEEALVGGGAILELAEALNQAASEERDRTGSDAWDGGSGEA RREFDERVPDILAEWQERWPNLPALWTLAWF EPUS_00149 MATEVSPPPALVPSTTKCASSPPVSPTMKSLPSAPPTNPPTLPP LITNTNTATARRTTTAPRPPSYANRRMSSYQGKNSSQSRPSSHVFPIFHSSLPYTLVR DFAYPPNHPYHYGPPPHTSGLSTPVSESRRLSDTAVSHWDESRAQSSNTPPWTTENTG NTAQTSSREQLPAMTFTNDGPPYSEDEDLHSPVVTTSRHKKSKSSTNNRGRSPGSSNG NRGVFVGVNVDGSETYYVDEENQADEDTDGPGGEIVTYPPHQARQSLLSPDFHKSSQR RDSHFATSLRRSSESDARVRTDESDDEYSEADDEARFSRNYSFTIASPDEEMHGKAVA LFDFKSEHENELPLTEGQIILVSYRHGQGWLVAQDPKTGESGLVPEEFVRLVRDIEGG LNGLTAQIDDLLSPGSENVATPTVSGEGFGGHEKKASNGSNPATGEKHPSMQSTFSTS SKDLEKYPLQKDKDDNPTPTLLSHPLRNELVDSQKGKENSKRP EPUS_00150 MAGIRDPAFWRRFSLAVHLDEEKANPSHTHGAASPASLRPELKH SHMARTKPEEAIENGVHWVVGLAEPGRDHCRHRAGHIMAKGQLASSTTRANLYLGANT DSHSHSHAAGWCMMKRYQ EPUS_00151 MPGLTAYSSLYEIGKPKEGEVIFISSAAGAVGQIVGQLSKHEGL TVIGSVGSDEKLNFITTDLGFDSGFNYKKEKPLDALKRLAPDGIDIYYDNVGAEHLEA ALEMLRNNGRIVGCGMVSQYNAPPDQRYGIKNLFHMIPKNLTFRGFIVGSPELGPKYA KEHQQKLSQWLADAVSRPDFPRRRALRMVHKDSLAC EPUS_00152 MASRRLAYSFNQALRTRKALRSIQPIKRGFATPLEPAQTECTTL SNGLTIATQHSPWAQTSTVGVWIDAGSRAETDRTNGTAHFLEHLAFKGTNKRSQQQLE LEIENMGGHLNAYTSRENTVYYAKSFNSDVPRTVDILSDILQNSKLEPAAVERERDVI LREQEEVDKQLDEVVFDHLHATAYQGQPLGRTILGPKENIASIQRQDLLDYIKTNYLA DRMVLVSAGGVPHDDLVKLAEQYFSNLPQAPPTSYASQVAAEQKRKPDFIGSEVRVRD DTIPTAHIAIAVEGVSWKDDDYFTALVTQAIVGNWDRAMGNSPYLGSKLSRFVHENGL ANSFMSFSTSYSDTGLWGIYLVTENKTQIDDLVHFTLREWSRLSFNVSEAETERAKAQ LKASLLLSLDGTTAVAEDIGRQIVTTGRRMDPLEIERVIGNISEKDVMRFARKKLWDQ DVARETPRGIFVRTFVLLRHGARENLSIIFKKVPTGTPVAGEHLSVEDRGYDSSASAP SGGVITNNLYASFDPYMRGRMREPEKKLYSSPFTLNEPITSYCFSRVLRSDNTDYREG DLLVGPQIPVQQYSSVPKEILENARKIDPSTALKD EPUS_00153 MSEDAKWKTSVTRDIAHMHTSLQQVLQTLSLPGLPNPHIHTVEP TVIFDHDPENEHEDLEEEEEEEQSYDNSPKLSPLAENVAQIPIESLYQITGLRSLRST ESVTDEQRRICKQLRDSDFISRGQISVQDAERLAGYYITRLDPYFYNMTAQYEGLDDF RRRSPTLTAAVLTVAALHDVSAKPDLYSLCSKEFKRLVANAMFERKIDVEYLRALVVG SFWLSDVGWTLSGYAIRRAGEFHLRACYYQLTDSLKHPSKYDKDQLCDARDGLRLLYL LYICDHHLSILYGRASIMRDNEHYILGYENYLACSVASDSDKRVAAQVSLLLLMSQIR ESLGPEDDTGSPLPESMLPRIKSFERDLENWVLRWSKTHNPNKHIGTFPVKGTYIHHY FSRIYLDAYVFRGLRTNSTSQSSVIPQPFVETASAAVTAAVSIVNIILEDVEVQVGLA GVPHYFYGMIAFACVFLVKAAAKHSAQLFINQQETRMLIERLSTQLSATQVGRGHVIH RMAGGLRKMAESLASGVTQQVPTKAALPESSSQSMDYSPGRPAVPMPLEFMNFNQFPS MDGTNVGLADSNLGFGMPFFDFEGTNIDMSSPVFSFPI EPUS_00154 MPKRNWTEANPTSPHGVSTITPNLSPSPSHVRSSAQDSPRPLNT QAAPAGGTPQKQLKSDTKTATIHKASSTNGSTSMNEPRVPSISRKVKACAACRKQKVR TVKPSSLPGLIG EPUS_00155 MSDIKKAPVPSEPPPSYEATPQNPASPTPPKPSVLRPPPLDLPA LTLLRRRRTILASASPRRKQLLATIGLTNIEIIPSSFEENLPKSASPFEYVLATATEK ALAVYKQEIDNESKGEPAMIIAADTIVVSNSGQILEKPRSEAQHIAMLKCLRDTGVHR VYTAVACMAPLESARDPGYAMETAVEETAVKFDSTVTDELLLAYVKTREGADKAGGYG IQGTGGILVEKIDGSFDNVVGLPIRVVLKLIERVVEKSEDGDILEHDLLGDEEEEEE EPUS_00156 MHPNTLTMVLLFVSNSAAISIGSASNFGACAGQTVTSTGNTVIV GSVGTSPGTSITGFPPGQATSLNRANFAARRCIADISTAYAACKGALAPVMLTGQPLD GKVLSPGTYSYATTAALNPGATLTFDARGISTAEFAIQVGTSLDLFSNSKILLTNGAR ACNVIFCAGSSVVIGTNAGVNATIVAYTSISVANEAANRGGLYGINGAVTLINNRINS CSQPLLDLSVSIG EPUS_00157 MQKKIHLLWCFDEVQCDAAIAVRTKREGDDITDFRYQDIEIEYQ DPTALSFLVTSLYSLPGCHSSVLAGTALNIKRVEEIVNLAVDTSADTRLAYGLSPTGY NIPQMKSLDRSHLSSRSYGTQPLHNHQISLDAVRARLKASLVGSETVFEDQAFISKFR GQRQQGGRFANMGKNQIAPSSAQSLPMVRLLHRRALQSLYHKPGLNQPRRLAVRGICE KDCDTSIEVQKKANQPLKQRTQKLATSADLIWQKLANDVSYMAMQAELFSRSRFLDIA EAATLVKQAIAYMTEVDRGKFLVCIAEQLVVDAVMEYLRDRTPPSTHTDEYLGLWQYS ASSFGFIAEDRLAKAIYTNAYEHKNPTERTRFLTKFDNVYKISKSLGSQTSWLGLKGF VLEHNSGTVDVALSGNEADGQDDDKDEDHEKEDGENKIVRGMSVGEWLHRVLRNEARP TFLLPSTSAGPDVMFVLCRKQKTLIQRVIYAVQSKMAMRYCGSRKRNEKAEFLEILHD FKTACAARPGEFEFLTFLLLGCDEEADVKTTEIVNKLTKRKKDARFAQDIVNKAELKK TNPGMSKPKGTLVVETSIQG EPUS_00158 MAKLEYDEEFLSSAVRLYDALDGRMDEFHSEKKYQYYAVWELYG HDKNLFMASMDDVEPIDTFFSNFQPFRHSPQFSSLRIQTLEALKRSRSTKLRTAAGIM TIIQEDWDYFDCCWEAARKVDWAHSAGNNCPSEYPFLQGLKLIARDLYRRILEQETQR AIKFMSDLEKYNSLDSPSTLGATDKVNQKSSEICSLLAAAGSKKTHRMFDMLRAHFGF YLVSGAVRSEELPTDPGERLYCPRTSAVCADIELLIRTVNLGPKR EPUS_00159 MPKANTPQHSQAVSPTASTQFSSSSSPAPTSKRSRKPRSSSTNA GNASSLNNSSSKPRLTAHQKSDNHKEAENRRRNGIRDQYVALSQLVPGTEGQAKSEEK MLVKTAEYMKQLLDDRRALTAQLEAMGGQAGDLLLSDSEWGGTEWDPKCETEYWKRRA ERIAEQGYDEADEGPDDRPGSNG EPUS_00160 MNVQRHLRGSCSCGRNNYIIAVPENAATSAHVFFDSSSENRRIQ ATPVTVWLHIPLTWYKSNTTSFFPDETHSMIRKMFTPAHEPHSQRIFCGYCGTHLSYW TEAARDEADYLNVTVGSLFGEDLRTLEELGLLPDDVDDYDITTGPTDTRIAERANNQQ LAGRDETVQRRISRGVGRDISWIEEMIDGSRLGRLQKTKRGVGRSADGMTMVEWEITE MIDNGSEHELGAGRGKRKLGEVALGGDAQMQL EPUS_00161 MASSKPTRAFPTIKAVRTFLVEGIGLGGDYHNVKGGHWLIDSPI ATPMSKWEQYRNATPMSKWEQYRKSRTSWGINVLGSFCVEIEATDGTKGFATGFLLGA DPRDTNNLFEQVYRGAMFYGRKGLPVAVISVIDLALWDLIGHLRQEPVYKLIAAQAMG FIGAKVPLPYGPDEGQPGLAKNIAYLKKHRPAVGLDFPLLVDWWEECLSPDDFDGFQQ LKRAHPTVKFTTGEHEYSKYGLRKLVEGRNVDILQPDVMWAGGMTELLKVAAMHQRMM SLSFRTEEYLANSPDGKSVMPVFGDLFLNEPIPE EPUS_00162 MSTLPPLLTDKVVAITGGLTGIGRAIAVSFVSHGAKVAINYLPS HSNNHGTNDDELMSSLRKEASDTLHARRSHNRLPDEDDQKLRDITGVPVIFVPGDISQ PSTSTELIQKTVNTFHRLDIFISNAGICKFEDFLNIEPSLFQSHINTNLSGAFYAVQA AAKQMVQQTGPQGGSIITISSISALVGGAQQTHYTPTKAGVLSLMQSTACALGKYNIR CNALLPGTIRTQLNDEDLRDGSEKKMYMERRVPLGRLGRPEDMAGPALFLACSELSGY VSGASLLVDGGLFVNLQ EPUS_00163 MSSYDRRVIIDSHVHLFARAQLPTLRWTPRLPSDHVLKGQNSVQ EYCSAISSSAGKLRGFVFIESDRVSSLSHAGWNHALEEVELPTRIAKWELREEEDEGF GAGDEDLVLGIVLWAPVPAGPEAMEAYMNSAKERCGDEKVLEKIKGVRYLVQDKPSGV MLQPRFIESLKWLRKQWAGFRFGCRCKEWRNLTAEGSLSTSDLGGDCEWVISPTPDGG TTFEEMARFDGTFMKLSGAFSELLSSQNWQDPGSLERIIEILTCDAFGPSRIMFGSDW PVCTVQWPWIELSWQYWHGVVEAILSARNLSDDESTMIWSGTVQAAYNIP EPUS_00164 MRLVKRHIEPDGSGSVTLYPEEPEDMVGASKVFFCAILTDALQW HAHNLIRPSDLLTASAIRRVTSESASTGSTSSHRVHVNLTIRVKSLDFDPQAGQLHVS GRVARENKHTKIGQHHTLDLELNRNFTLTKEVEGKDGEQGWDSVAKEQLEEATNPQKK AEIVAVVMEEGLANICFVTQYQTLLRQKVTMRVTRKRDGAKRSAKHDKGLEKFFKTVL DTLLRQVEGLIEQTEEAKTIPILLASPGFTAAGFLRYASERAFATSEKLLQSLVKRKA FIVVHSSAGHLYSLNQVLKSPEVRVKLKDTKYARETRLMNDFFEMLRKDDGRAWYGPK EVEAAVEEGAVGRGGGKLIITNSLFRNQDVATRRRWVRLVDRVRKESGGDVRILSSDH ESGKRLEGLGGIAAILTYPIVDLGDPEEEQVAVVNGNDPP EPUS_00165 MPRGAHPPTWDRPQTLREARRAYLKAGRVPKLSAAQIRAAERAV EADKRAKDILAKQKRAREAKKKREEQEAKQREEQRKLVQLGKLPEESLWGKVRASQPR LHTFFGVPRDGKVEAQFSKASLDVQNRKSDARARSVEHSAENSQGSGQIQSSAPPALK SQKASCLPALQKVSASEACGQQHTTQPKHTYERSALHAAPVDQGQPDTQFSISGSQML SEFADDKALEAELNGQSSPPKIVRDPSSHTLKRTAALQTGRPSKKRKTEDPSPATASS TKATRAISAQRSPLTLNNRAAEQFCTSSGSSLTQPGVHLPVVSGLDDIPTASQVEAMF WSQDFEDNGAHSDKENLAPCPTDFKITNSTVQTKSNKLAPAKPQRPCPSTAKPPVKKR SPPQQSALRSREDDKVFDNGHGSDEYFDNVFGDDFDENLLRLSSQVLGLKKDDSQIVS KKRSAEVLGVHRSTLPKLAKQASPLRSKVGDSPDSTQNSCYDLYGVNDEDLLELADMF NSS EPUS_00166 MGGVTVRDVDAQKFIEAYSAFLKRQGKLQIPGWVDTVKTSHSNE LPPQSPDWFYIRAAAIARHVYLRKSVGVGRLRKVHGSTKNRGSRPSHHVHASGSVDRK VLQALEKLGIVEQVDEEAEGGGRGGRRITQAGMRDLDRIAATTAEDDDEDEE EPUS_00167 MTSYVYRPLRNGEIRLFNLSLGSLDDPLVGRLTHHSVDAGVPSY TALSYVWGNAISHVPARILPNASKCITFNLECALRHLRSLDSEILLWVDALCINQDDN AEKAQQVGLMRDIYAMASEVVAFLGNERCKLSGATKLASLNAEDRAVTGSGSGPCLTD FLDWARTKDQDSVWHGLFSVLCSSWFSRLWIIQEALVARKLSFRCGTESVSVQALAGL VSEIPHTVSPSLRGNSAEQEAWKYMLNSIGLIRKIASRNLVGAHTRHKADLLELLWNY RGHKASKARDHLFALLGLANVPYNTLLSPDYTSNLEVVIYRYARFFVEFDKDPIRLLY SARGISNDDRFPSWIPNWILDEEEPGRSCHIACRFLTPAKRFYSAALSTPPSMRIGKT DNVVIVTGSIFSYLTVLGDSHWEEVDQEDNHVQRCLAYIQESDHFVHKLSTYPTGEEL EDVKIRLLVGNRAWNPGLSYTYLEDDYVRQYKNFRQNFEHTRKPQQRLIALFDAAKNK EKTIGYINALLNMTDVRLCRTKNGYLGLGPPGAQTGDAVCIINGSCVPFVLRSSPDVK GSFQLVGKCYIHGIMEGEAMQIKDLVQKQISLV EPUS_00168 MNFRGQHPWALGGTALLAVAIFAYRWTHPKLISNTSDLPKKSLD RFLRLRGTSAAESHDPPLLQKHSTFESYTTSYATYPSVRTFYHPHPQAEKLPQDSQPL PLLVFLHGLGGSLAQFAPILGSLVNIAPCLGIDLPGSGLSKFDPQSWDAYSVEAMATL VARVIDKYRVEYGHKDVVLIGHSMGCSVGALLASTTSPVKPRPQDIVGLVAICPKGTP PSRKETWYFRKVLGTPELLLNLVRWFDKRGGINSRSVTRFVGEAAGPDLRRLQLRYNS SFPTPVWRRMAWGLLPVYDELDHAQGGMPGRSVWAGIKVPLFLAAGQSDTVTKPEEIS SIVSFLKDASTNDLSTSSVSNALPVEADAGGSNAEENDDPHADDSGFGVQPCTTELKS QHCTVIKTAILPAPASHALLYDHATYRTLAGLIEDFLSHHVSHRLNLGWQLQQLTTSG KWDVKNLKKWQGVEPISKPIAEGILRGMKTLRDQDEEHTPAIFAKRWRGKIFAIVDIS HDSPVYDPRVLEQNGIQYHKFPTVSKIPPTVEEVRDFISLVDRLRSEIHQKYPQLKEG PLAIAVHCHYGYNRTGFFIVSYLIEKEGYRVQDAIDEFERKRPPGIKHEHFIDTLFVR YCVGLKRAPTINGTE EPUS_00169 MDDKYAQEEPRFLSFPHLPEDASRDGKPALNKYSTTLTKGHDFP GAQAMLYAAGVPDKQTMETAPHIGIASVWWEGNPCNMHLLDLGREVKKAIVNQGMLGW QYNTIGVSDAITMGGEGMRFSLQTREIIADSIETVTCAQFHDACITIPGCDKNMPGCV MAMARHNRPSLMIYGGSIDKGYSTLLRKPINVATCYEAFGAYTYDTLKQPQDGGDTSK NKDEIMDDIVRHACPGAGACGGMYTANTMASAIETMGLTLPGSSSTPATSPAKMRECM KAADTIRLCMERNIKPLQLLTRRSFENAMVITMALGGSTNAVLHFLAMAVTAHVDLTL DDFQRVSNKIPFIADLHPSGKYYMADLYEVGGVPAVQKLLIAAGLLDGDIPTVTGRTL AENVASFPSLQQDQVIIRPLSNPIKGTGHIQILKGNLAPMGAVAKITGKEGLSFTGKA MVFNKEHELNTALNESRIPRGENLVLVVRYEGPKGGPGMPEQLKASAAIMGAKLTNIA LITDGRYSGASHGFIVGHICPEAAVGGPIAIVRDGDIITISAETNELSLNITDEKIQE RLTVWTPPRRPATRGVLGKYTYLVGDASHGAVTDLF EPUS_00170 MASKKSKTAPTDEELLAQFEDLGTEGPAPKLTKTSTSKASGKHT SATAQSEQDLLAELGNLATQRPSSRPSTPSLKSTTAAGTGARSPKRSAIPTPTPGGRP SEERSASGAQPRKSGESTRSFHQSFTPATTEESPEPESKPAPAASIGGGWWGGLLSTA TAAVSQAQAAVKEIQKNEEAQRWAEQMKGNVGALKGLGGDLRSLALPTFQNILQTIAP PISSHERLQIHITHDLSGYPTLDPLIYSVFSRVMSQVEGGDLMVVQKGKEASPRRESE HGISGKPVGGWNDGPWWRSTDKRSINAVRGVVEGSKLARASAESYSAEHFASRGGVEE AAKQATETLSESNPTRNSDIFLAIQAINQPVPKDLFAAGPPSEEGSSAVQEAEETKST DEIIFAIYLHDPIHGIVFHALSQCVPGQWIDWLDASSPADGVLPESIAEIIESGGVDP REWVAEWIEETLSLAVGIVAQRYVARRMGVGEGGVRKGKMKADKGAGETLEGGGGEAA RAIGGM EPUS_00171 MAQGTVKTKTSKPSKPSRTSKNSITKKGARTIAPKKTFLMKQQK MTKRFSAGLTAKTEKMLGEKVGHLEMLGVEKKKRNNENDKAGKGQSKKKG EPUS_00172 MSSQKLQNVAIVGAGGQVGKPTLQALLDTKRFNITVVTRESSST KFPSDSSITVKSANYDSHDSIVSALKDNEALILMLNFEAMGQVQLQIIDAAADAGVKW ILPTEFGADSANQGLVDMVPINAMRTEPRKRIEEAAKTHPGLSWIGVVNNPWFEYSLK GGFFGIDFKNKAATFYDEGTTRFNTTLIGTVGLAVARLLSLPIEATSGPSLSKYANKF IYISSFHTTQREILEAVQKATNTTDSDWTVKKASAQAWIDEGNEKVAKGDFSGMINLL YGAVMKEGLGGDFEATRGTSNEVLGLPKEDMVEVIKKIVEA EPUS_00173 MFLQIPRELRDQIYVCLFASTRITFGEKYISRITTKTMKPAPNS LAILRTCRQINQEAGAFWLGHVLFNFEHPEDLLDKLSKLPPTTLSQIRHLRTSGRPLM LQPIGYGDDVFYRLVWALKLLPGLRLHRLTVLGGSSGEIGYDTLDGLIKYGNGWRELR FITLDSAMHQFTEMDFLGNLDWDKPQPSTWEEILRQRDGADSGASITIYRSIQSDAPG AVMNPYRRQILEGALPREPGKLGVTDTENMELRAKEEGKEVLFVVKRGHAAEIAEQDG PPYTLEDDIRQWAHGMTWNEIRRQCIDFHVDEDMDVDDFFHTEKDDEVEVNNYKDVDE YEWDPVN EPUS_00174 MSHLQETTSHTVFPSKSSLKHTPSRSVSSPRLDGTHRPSPNEVA IEESATLFHPFFTLIENPSTSEHHHPTVHYIFADDEAEIITEAACRALAQDDAQHKHQ EEEEAKLPATVEDVREHYILLDVQPSEGNGYEVIKAHSLSSDWQVMKASISNAPTIDG NDAESGEGLMLKVEGIGAISQTDSEEASKRQKESLQQMVERFERGLAGIRMMIDGGFP YGGFHAQRALEFGNSKPEMYVSAKESETRIEQDHGLSKKRMDMDIDCAYVWLELHRKG VED EPUS_00175 MAFAALRPTRLRAAQALRHDVPRRYFTFSSYMVTPKELNEALKK NARSKISTAPRTIPICAAWFMPNDLQGRTGIECFKNKRIPMARFFDIDEVKDHDSPYP HMLPTCETFAQAMEKMGIRRDDALVVYDTEELGLFSAPRVGWTLRVYGHPNVHVLNNF RLWCQEGLSTESGEPEIPEPSKYPVPSYQTDMVVKFAEMKTIGYDYGKEGAEEIQILD ARSKGRWEGTEPEPRPGLRSGHMPGSTSMPFQDLLHPETKALLPSKELKQIFLSKQLD PTKPIIVSCGTGVTAAVVEAALQQANFGKPDDRRLYDGSWTSGFRVQRIGADSEGKTM IRDGTMRDL EPUS_00176 MTPRKVFRCVVDDTVLITNISEVKKWILNGSVTLIIPVYTLERL ALLKQDASQIGINARQTVEFLDRITEGKDGLPVNAISLQGPTEQYQKWSDVEKHIIAE ENEEKADKNTSAVAQASSDDVPPGGGEGQQAPGATNVLSQMLLSKLNFAKEADITPPT SPSSSNERSARSSPEMTAAEPIQENVVVPHSLQPLVNAVVWQANNIENANANRPMPEL YFVSNSADAASLMRSFGVNTKNVHQLRQAIGLEDQELKNQSKYLQKHPSPPPPPPPTA LETHDTEPKAMFRYEEDSDEEQVVFKPRGRGSGNLSTKSASSSPVMRGSSLAAARPSS SHRYSPRMANSHPNRAEQVKPEVPTEEIDPDSFDRGSFGRANGQRLVLSDGNIHAGFQ HRASFHRGGFAPSGPSRGFYRGSPRGVDRGSLRGRGRLYVP EPUS_00177 MALSTVYKHALLALVVGVVLTCPSVCAEAVTAAAPCTSTIFIEP SIMAGPTSTVYPSTFTVTKSVDCGGCDSLSTIGGHIFIMVTPLTTVFASETATTTKMV CSKAGNGDRGLHCEGGRCIFTGHPG EPUS_00178 MASTLPNLPIFQAITKHKPTSTAIIHSDSNRTFSYATLLRDVVA AKKQISQIVPESPLCGERIAFLAENGYNYVVTFLAILAHEAVALPLAHSFPPTELRYS LDNSQAKLLLSTSTLETKAKEVLKEGLQREPVLGVINAAEQDSISSNDKFELEGVSSG LGGCMLYTSGTTSSPKGVLLSTSVITAQARSLIEAWRYTNADLLLHLLPLHHIHGTVN ALFAPLLAGSAVEFLFPFNAGATWERLAAPFLPQSASDSRRPVTFLTAVPTIYNRLLS THATLNHDLQEAAQTAISPINLRLNISGSAALPASTKSAWTNLSRGNVLLERYGMTEV GMALSCGLDYADRVDGSVGWPLPSVEVRLVDFETNKVIPESSRQEGEIQLRGPTTFNG YWQNPEATAAEFVNGEDERGKWFKTGDIAIRRTVDGTGKSWQKWARGPMYFIQGRKSV DIIKTGGEKVSALEIERELLSLPQVSEAAVVGIPSEQWGQKVAAVIVLEATQANSGKG GKKWGAMDMRRALKARLANYKIPQELRIVESIPRNAMGKTPSLQPDNPPLLNLRIIPD LLSNGFVGREDIIRSVGCSMASISDKRTSRVALWGIPGIGKTQIALRFAERWRNQYAH IFFVNAVSSATITSNYRMFARRLRLLRASQDRPKESEVVDLVKTWLSEHTRWLMVFDN ALEPGVVRHYTPVEGSGHILFTTRSQIAAEALAERANVLAVPAMTSTEAVGLALKLQN IDSTNVFERQAAEHLARLTGGLPIAIEQTVSLACLRKVSLSTVLPDVEKRHVLLKQSH PLSMHEDGCATGAILSLTLDALKVQSPQAAALFQLLFYFDSSAIPKELITRSSLELIH HFARLETYDRGFERPAAELRKMRTKALRAREPWYYRELPTADFLMSRIPFPKRLPANT LPRVDSQADKNLERHLRDDSALKEVLEKPVRTDNAFLDLGQAGLIRNLDVKTVWIHGL FAQLTIALVEGESQATHQVTAHTVLLMIYLVFPLPDHDKKMAVCFRYLPRAVSILQHC RPFYNDLTVGPELAHMAASAFSLKFSEFSNTKDQNAVENAVVYYKLAFCGYHHAGKRL LDHPLVTEKETVRRARVEYADEYGKEMQNLFRLHHVSNQRFGCSATVRALQTCLKLGA RVYGVIGQLDEAVKWTEMGVKGFQSLYGEDHNETYESRAVLLHLYRHGQLWVRGSVLG RVMGQSLLRHPDTWGVLPLACAMGDCKLGLMMPESAMSYYAVALQVLTEMYGEDDQSH IMVLLKLTTVEGLQHSHGKSLLLAQKGLEIYKDICRNQPQWNHPTSDRLIDLEVAIAR QQFELGNFEEAKQGCPCGDSGLEAVWAWACIEFAGATLPEEWEIPYLSTSPEILLVRR SRSIDA EPUS_00179 MNNQGGGRYGQPNPYAQQDDQYEPVGGYGQQPSYGGQQQAGYGQ QNPYDQQQPAYGQQGAYGQQGGYGQQQPSYGQPQAYGQQQTGTVGRPEPQAYPSSNYG DVQGNNAYEMGSMNGQTSAQKDPKAILNACREIDRGVDSVEDKLSEFTRLLAKAEGAT GDESNIHREINSLTAETMTAYKALGDRIKKIKSDPESGNPRNAPQVGKLQRRLQGAIR QFQQIEMDYRNQMRAQNERDIRIARPDLTEAEVKEAAEDPDARQIYTTALMDRTRRNQ AQTALGANRKRHEGIQEIEKQLMILAQLFQDLDAMVIQQEAAVENIDQRGEDIQENVE QANVQLDGAIKSASAARRKKFWCLGIATLIIIIIVVIVVVVVLVLRSRDSNSSTPAPA ATPAPSTAARVRMVRGRDAEHFRP EPUS_00180 MAKPTAETPDGVFSLLDTDLYKLTMQCAVLKYFPDTEVEYAFTN RTPHMKFTRDAFSWLQEQVEKLGSISITTEELGYLRRQCPYFGEAYLRYLSSFRMKPE EHVKLSFTPSKEDPECGDLHIASKGLWTETIVYEIPLLALTSEAYFKFCNKDWDHDGQ EEKAYRKGLTLLEHGCLVSEFGSRRRRDYKTQDLVMKGLCRASKQAEAAGLNGKLTGT SNVHFAMKYGIPPVGTVAHEWYMGIAAITNNYENANELALRYWLGTFGEGVLAIALTD TFGTPAFFEAFKRPIPTTATAARGAAATSASAASSTKTAGVGSLTNIKPPVSANSETD HNVPGERSYAEVFIGVRQDSGDPAEYVKMTRDFYDSIGIKGRKTIVFSDSLDVELCLE YKHVAEEFGFQPSFGVGTFFTNDFVHKTDGKKSVPLNIVIKLSHASGRPAIKISDNIG KNTGDEKTVREVKGRLGYVEHEWKNGDEAGRWGKKEAETGK EPUS_00181 MTAAAAKNQGGPSQHTPSSKLEDQAATAALYVTKGKGKSVHPLL DDDGKLSSAGAATSLKYAKAQDLPSFPSPGLQIASAGAAASLADTHKKTVELWKPASI PAAEKAALLAKDYEADPLWHPELSTAGSKAALLASDKGAHVNIWKPHETDDGHSAAEQ AVRKKVPPPIDPRAIPADASRKALMAATGAMASSRRRADSAPIAPINSPGSPSNAQWA LKAANQSQRGQRSGLSAGSGDPALDAARVQNMAQTNVSRQMYTSHPPVSIEVEEKKRQ DTIRASAVAMAQKMYAIQQKAIEEAKADISRADSRYAATHVHNRRTSDASSIAGTPDP LISPMHGNSLQEAAQRLAQERLAKLRDEHEEYKNYYGQKSPTTRSRLSIHSKLRRRAS SDGAVDDMDEEQSRKIRTQMSIFQSNLTTVDEKRRQKDRDALLAAAQRNVRASMHTMD EEVFQETGKSSPAQREEWASKAKEKAQADSNTRMESYGKVHIGGGKYLDQADVDAVAR SRIQPTLDDINEKADVQHARETEVKLEQEEQQRLAELEKQRAAELKAEQKRAREEEKR EEKAKKADEKRAHKEEMALLKEKERIEKEELKTKAKMEKERHRLEEEEKRKSKEKGKA AEATLVVRTEVATAAVTSDTDRPTSSGGQVPAMTARRASTSSQSLNDSSDDEERPRTG KPTDDREIVSPTSPSKRQSKVKSWFAGRFRSTSKPAKDGESGDTTKAGFIGGASLTGA GSTDGGEDMPRDNSIRDVALAGKIHPVHPVVTKTPTDRSVSPVSETRAKKDSDSTSIS TLSDSGEDPPNKKGKQRRGRLGFKDRLLGKTNTKSSNETDHDEFEEARDTFEEEKLAP PPKLTATTLATKASASPVRDSKFSEIL EPUS_00182 MPNYRFDQLLNFRDVGSTINLYTKASVLKVGVLYRSARPDDASG ADRAYLTETLGIKTIIDLRSKIEHINATNKYASSDGQPTRDSAIVPQSNQHPGSSLHI PDICYVEINLNGGAFERALLWKLSYTSLIRLLWLMACGYREDAIGILGREVMLPRGLI GLGMDTLACSTSEIRDVFTHLSDTSKYPILVHCTQGKDRTGLIVLLVLMLCGVAQDAM RDDYGRSEKELLVEQEERLRELRKIGLDEDFAGCPQDFVPELTKHVQERFGGIERYLT SIGIDEEAQNRVRSTILHTGRKIEEALANNV EPUS_00183 MSLQPFRDVNVHASTSHYAFTSPSTPNAPTLVIDRPSGDIRLND GSLLGAKRVSSIAGILGIIKLKLDKYVIVITKAQPMGRLQGHMVYRVVATEFLPLRER SLHDPNEDKLLTLLKQFVRNGPMYFSYSIDITNTFQRQAESDLSRPLWQRADDRFFWN RFIQTDLIDFRTGSGRGGQQPGADPYILPVMFGMLRIAATKIGSAPFTFALLTRRSRY RGGTRYFSRGMDEQGHVSNYNETEQVVILNDHTGGPGGFMGGSGMQSGKSGGLAGNEL QIMSYVQTRGSVPVYWAEVNTLKYIPKLQIRGVDTAVEAARRHFDEQITLYGENYLVN LVNQKGREERVKKAYEQMVRTLISSPSETSESDRFSNEKTTSISTREQHQRMDRLHYI YFDFHNETKGLKWHRAQLLLDQLSEGLMKGSYFRGLEMPGDSTGALEVRSQQTAVVRT NCMDCLDRTNVVQSMLGRWILTRQLIDAGILQPGQSCSDDPVFEDLFRNMWADNADVV SNSYSGTGALKTDFTRTGNRTRAGMVQDFRNSATRYVKNNFLDGPRQDAFDLFLGAYL PPTSVVGSSVYADSRPVLIQSIPYVLAASLFIIILSLFTRRAPDSAVWPIRLFIGLCF AIAAWSLQFIIAHGMLYVNWPKLRTPPFAVEGYQEALTRAHKDMIVGPLITAASSREK KTRHSSITRMGYMEEGKKRIE EPUS_00184 MASRPGAVGRPGGRFAQFKLVLLGESAVGKSSLVLRFVKDQFDD YRESTIGAAFLTQTISLDENTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDITQ ASSLDKAKSWVKELQRQANENIIIALAGNKSDMVADSPDKRAIETADAEAYAREAGLL FFETSAKTSTNVKELFTAIARKLPLDQAGPRMRQNLRPGVDLRPETSNTQGAGPYVRK GSFNSKDTSTSTSDPAFLPGSQSSAAPIKVPPPPGPVNPTPLSAPTPATPTSSSTISP ENVPLTPPPPPTKVQVAPPTSVPPPSKSPPQSPPLSPPPSSSPPPPSSSATPPKQKRR GLRFLLYLTVFTGLGYGAAVFYALKSDNFHDFFTEYVPFGEEAVLYFEERSFHKRFPN ARINQSRVPSSAQRVGNRVTIPSKSGISWKVSDEGDTGGSDLSQKGRHMSALDANMPT KVEVKNAQQAPNQASQKEMSDAVGAAKKTSTTPKAAPPAVPAPVSTSVPEAKTEKKPE MTPTASPATPSPKSDPRPPAISPVAHLAPLEVPNADEPVVQELTKIVNDLITVINADS PDASNKYSAPIAKAKESLVGTAAKITALKEEERKAAEEKIKEAHRQFDESTKELARRI DAARGEEVARYREEFEAEREKLSRSYEDKLKTVVDRAQQVSEQRLKNELTEQAIELKR RFVADIKSLVEQERDGRLSKISELSRNVDDLQKLTSDWNGVIDSNLATQQLQVAVDAV RSAISRAAVSEGMPKPFLTELAALKEVANGDPVVDAAIASINPTAYQQGVPSPAQLID RFRRLSSEVRKASLLPENAGVASHAASVLLSKVMFRKQGVPTGDDVESVLAKTETHLE EGDLDGAAREMNTLQGWAGVLSKDWLQDCRKVLEVRQALDVIETEARLQCLRVE EPUS_00185 MSWNSPSPSGSSDHSLLAFTRSREVSEDYVQAESSTSGEKRGGS SGPRYDSNLETVLPRTPSLHQASRSHKDDLAGPEIHVSKKPRTSGGFLLEPKSAPSRL AFLSRSKNETSNIKGKEKREGSGLLISKRRNRHSHRSSIGSSPLATKVSTLEDDADVC SGSPAWVASDLRRSVGSGSNVKDSPTPQDESQTTGSTLETNPVGYDTDPMQIVNLALS LSEGRRRQASGMRVVSGNSEIRRSISTSQRSNLLVARPQSNIGQYLHSDRQFSRNISP RAQGHGQPVSINAQRKSRIPGSYLQPPITDHTDYDLHDDLYDVSDATIARVQKAKNHF ELLYEHRRLLSHLPPLRPPTQSPRKSDSEGRAYNPLQYVRNRKVRFPERKSIESDAEG WHDVQQVRAWVDAIIEGHNERRHDPDECIRLPEMHHLHTERPEEGMNPKEVASPSSMS HKRDANPPGKHYRLRSDWIVSPGDVLADIYWLEQGSNKTKIEDRDGNKIYPRNAKLKF TDWRNRNPAHGQGSQQSSHPPESAENNKPQPSVPLTPPELPSFTSTGRKGKHRRRGRR RDVKRGVDSDSENSDSESRKKSKRLRRTLMRPRSKSSSSDSDDEKSSQSPVKDRNREN ERIAAESGKLDHYMRKMLDRDTIRRSQSSVERARDSALPESQMASSRERAASKNRLKT DHLREAVRNSLDRRRQSRPSLDIERPARASLDGDTTAPSSPSIHQFPSIAIDLSPPPS RSPSPPKRALHSRMNPFHDRTESKQRNGIDAADFADGTPPPPFQKESIQLEKNLILPN DSSRDTSPMTKSARRTSVPSVPSVDPQRTYSTASKTSAKIPNSPDATSRIRGMFKGGR IAELVGNEVSRVGDFIWKREPPSATRTSSSASSLKSQPGSDAEEEVLANGRVSKRLPQ PHSGRSSASAEGTGKIATSGQARSGPKVGEKPALFMNNLPSFTSPFQKDREVQEERDR AALLVPESSPTKDSDHISNAAPQFRSVSKSLRLERLAPPKLNISRTNSPAGSPDRRRD GYDFSKPLVHPPVTGLTSLKASRSGGDLAGNWDPSVRDPSIKPASIIITKKDIARARA LLLSSGAKARQISLRAHSIPSRPPRILLDIVEPQPQSPEALQSLLVPRKDEPVLAARS LISTLATQSNNFRTSLEHFTSTTSPSLHTSLQALDDLVENTLTPRVRAAADESGELST KLTTTSTLAIKALNDVIEGAMRRRRKSPVRRLRRLGYAIVEWLVVGLLWGIWGVVSLV RVVVGVLGGIWRALRWLVWLD EPUS_00186 MSSSIHSRNGEPSEHLDPNSASGDGLTSSVDSIWNVAPLDKIRF AALATYLYHADRQKVVETQEPPEGVEPDIDNTPSSLKKRFLDRLAMLLANQKRAIDPP REHSIERPTYANVTATALAESDNKITVWIAKNDGLDKRDIEFVDKLSSWLNKLADLER CEIHKISLMPTSDTFWHHVVDFSRSRIDFEIAGIRKELKNWEKCEKTLREKLKTGDKA LESLLSRIGKLVHDCACESAFKYSKPILERAHYIRSDRNVTRLLACLKPRPSQTDARD RSVGATAHQQTKAGATLEKVWRYIGFLGRYLSSWKSFIHFVLKFPNHRFHLERIQPLD LKSFRSDLTKQVLLKEIKTLTKNEKIQNKLENILEDDMPDRFAVHCEMQLLIYFDMHP EHNCFRYLGCSKKPCWMCSVILESHGRFCTKSSHGQRVGFWGLDGPQKLSSLVSAVRK LQEKMEARVEQLSKGPTNRQQNRRNQMSTIDLSTPEERRMGEEQIRKMSEGWGIVWFF EYYLNLLKQKLSESPDILRLALVGFRHLGLAKRWRLTLHMNIGRPVECFGTRWGPINM STHYGERLVTKIVFEAGTFGNQVELLKDWGWHEEDLLYRGWAGERSFEDGPVLVRSYK IYWRTCVS EPUS_00187 MDSSSHQPFSAAKTPVVSNDLTSAFSYRFDEDGQPKVYFRKEPD LTSDPNQTWVAFDTCKTKFVNYTPEKMSDVLETTSPQEENDDEADLPSAKRQKRQPTA QGKAGKVSSMSIGFRVDKPVQTKLSDHEWMMIFEECHPSVLLIIRTLNHRFHKLLEGK TIWRRARIKLHGKDMPDPPGDMTEQRYAHLLYGQGCDFRKSKCGSTITKKVYWPFLLR MCDACFRRKTEKDKDIRKSMFLPTGDNSLGDLIPAGMTSSGKYMRTRRSNGAYWEDST RAVYLRSDVEALLSERQDMAQAGILSTEYLDWKAEKVVQAQALMAQCTRIEDFQKQFL ADQPRYREARADFFIAQASSLEPPMSKDVMEKMMAFKMSLDSNHEPTLRSWNDLKNKL LPHRAAAKELLDRVHQNEEFRRHGVRSSAIQNFSQLHTLRSRSSSPKVFQSEQTFVIS LGQKELSGCKDRAVTDADLVLLVLKGVFDAYQRLPAAERPRGTNIDLSQGTYTLTLDD ARMIVQEVIEPEVQSWNNSVRSRETLQRFKCFGCVRKDCTTRYNFDGLFRHIHEKHAI LVADGEDFHKLYRPFDNTLHDIQFPWYTVEWPKNLPIAASHQEVSKEKKWLADADVDY VTAALPETVPAFANREPFDNPKINPFDLTGNLAYAATKLQPTILPVNCQMRITLQYAL DRYTVSPDTPKPTLADFIACLPTLQTANEEFNLFFCCGVCKHHPDIPQSAIHTRPDPL FKLQEHFQKTHSTTHEWTTSFMDLPSDTELARHLQEADEQLRKEKRAAEEREASLVKN PRKKKDPMVRAVLQRPEAGRVFAELFPRVGELTGHAVRISPALRPEDPEAGAAIDGLF AGVMLDVVGTLFTFLAGAPLHFLLLAWRWVIVALARFGRGVGWGGDDDALAFAGGWW EPUS_00188 MAAFNQLRRNHLIDKKRHNSSSPDSGSGRGHPDGNFYTRDPLRS HEYEDEQLIVPSHTTERRLMTKIDLRLIPCLSILYFFAFLDRTNIANAIIFGLREDLG IAPGSTQYNTALTIFFVPYILFEIPSNIILKKLKPHVWLSICMFGFGLVTTCQGLVQN YSGLLATRFFLGLTETGMFPGWFDLSSPPAKTGRERASMQSDETETWMLTLVAGCFYL IGMWYKRAEAQKRYSFFFSSTSLAGAFGGLLASAIGNMDGLRGYRGWRWIFILEGLLT CVTAIFFWFLLPDFPEDAKWLKEDERAYVKARLEVDQGKSGLDRAITFKDVVNVFKDY KIIVGGFMYFGLIVPAYGYAYFAPSIIRTYGYSPIQTQLHSVPPWAAAFGFSMLVAWA SDRMRHRFLFTLVPIAIGIAGFAILLTGSRNLDLRYAALFLVTSGVYSAMPVIVCWFN LNIGGHHRRSVGSAWQIGFGNIGGIIATFAFLQRDAPLYRPGYAICIGFICLSAASCT VYFISVWVQNKQRARSIDMGLTDYERTELGDTAVTYGYQL EPUS_00189 MAPIEQIFAVILLVIPTCFAILPLPDHFYNSVAGRSTFRWSDVE PSKELTYHTCFESFRCARLLVPLDWQAPEASRYNQTVALAIIKLPARVSTLNTTYGGA VITNPGGPGGSGIEHLLSAGTSLQWAVDSDSKHFDIVSFDPRGVLHSGPDASCFPSPL TRDLWNIKIHEEGNLDSGNRAIGLQWARAKAFGKMCADAEIGDYMGSASVARDILEIV ERIHEHHHKTISAAETGLASESVPAQSPLVGKERDDIGTPMLQYLGFSYGTFLGNTFA SMFPDRVKRMVLDGVVDAPDYMAQGWSTNLQDTDKVMDAFYKYCFEAGSLCPLYDRAG PEAIKSSLSGFLQMVQENPIVAVDSSGSLTPDILTYSDIRRFIFMSLYSPVRNFPGLA FLLDQLRNGEYDQALRLMALQTDVHCPRDNSTAASQLLAENEAPRAIMCGDGDDMSNQ TLPDFKEYLRLLEAQSSTGGAIWASFRLICTGWQVRSKWRYTGPFGGNTSKPILWIGN TADPVTPIRNAHKMAKNFPGSVVLQADSVGHCSLFNRPSNCTLEVIRQYFSSGALPEM GTVCPADRNPFDSNVFTPLKYSGDGNGQVHILDSLKYFQWRRFPLGI EPUS_00190 MASAARTFTRAVSRTRPSSSSFRLAAGNSFTRSSRRGYASEPGS GRSNLNILLGAGAIAAAGATGFYFYNKKDVHMIKGSSGETQGIFKATKDDYQKVYDEI ARLLVDKDDYDDGSYGPVLVRLAWHASGTYDAATKTGGSNGATMRFAPEGDHGANAGL KHARDFLEPVKAKFPWISYSDLWTLAGVCAIQEMQGPIIPWRPGRQDRDVAFCTPDGR LPDASKDQNHIRAIFGRMGFDDREMVALSGAHSLGRCHTDRSGYDGPWAFSPTVMTNE YFRLLMDEKWGWKKWNGPAQYEDQSTKSLMMLPTDMALIKDKEFKKHVERYAKDSQVF FKEFSDVIVKLFELGVPFESTPEDRISFKVSDD EPUS_00191 MAALPAASSSAPPSSPGGSTIEDPDLADSEEDQSRIREVSSLNG QSSPISRLDHDHDHDHDGDSNATEKGNRKPKMMRKGKGGPPKGSFAWIHEGPEDVNTE EDEEDSVITEAYNRRPGWKQKKSGSPGTRQSTQATTVGTRRKGDGTIGSVYSGNKIRH LKKDDGVPLWRTDIQYEFLRLVFEDRSPYFTRLSDGQEGCNFADIYIDAMARSSKTSK VLKDKLISDRTAAQNMAMICLLVNVGRMNTTLNFFPEMRAQLRTYHSIPSLQAHRDQN AYKQLQDAPRLKSILKGASEDTEEPRTIEAIKAHSIPRTNPVNLIFVLSQYAPKISEL HFFPPRDFFDLVMKPTISSKSRAQAFLWLMWWYLQSNFSEEAALNNPFGPGIRGPKDT MSIKVPELEELTEEEGAAENADPPDEIVFGEEKQQERKRILENDEPDNKMLKRVKKST IVDELVSDSEPRASLPRATSPAMRDSLGFAVLNPAHNADPATSRLGGQADSLEDDWEP VNPHPGRGRYKRIKKDRDSVPGPALTTSSSGRILMKTSKAQNQGPYDPGTPDSMQAQP PGSAHPILHQYSHGIEGAASRGEAASGPTAGNPATTITTTIAATTSGGSHRRPRPLTQ HQLAVEANRRHLTETLLVMKKKEVFTALREKRERSNFVLRAAARIENLPMGYDSEDEN SSWGMGGLGPNPDDGEEDDFGEEAEMWMSVVARVKKRLERWGGDVGIKGRKGQVIRRK GLQRRWEHGGDDDDDEGGEEREDVDMDGTEVVEENGTSRLPGGAVGTVTRAAPGLDDI DQSLLAERSDEEMDDGDVEEESDEGWGFD EPUS_00192 MASLLQSLSDFPRRLATFLDRPGFPWKGFILGFSFAQFAFEGFL SLRQYQVLQHKKPPRALEDEVTQDVFDKSQAYGRAKAKFGAVSNFISLVENTAIIYYD FLPRLWGVTGLWLGRFAPARFSGEISHTLIFFFTFNLITTIVNLPTSYYSTFVLEEKF GFNKSTVKLWVTDMLKSQALMVVLGSPLLSAFLKIIQSTGTKFFYYLWLFGIAVQLFA ITIYPIFILPLFNKLSPLQPGELKTGVEALAQKLNFPLKEMYVIDGSKRSAHSNAYFY GLPWKKHIVIYDTLIEKSETQEVVAVLGHELGHWSLSHTTKLFGIAQFHMFYIFALFS IFIDNRSLYQAFGFHKEHPIIIGFILFSDALAPMDTVVKLLMNILSRKFEFEADEFAM KLGYTTELAKSLIKLQIQNLSTMDADWMYASYHYSHPILSERLGALGWASAKKGSVSK SVDEQKPLKTADREL EPUS_00193 MASFSAYPPFVHHPSAPQPLDVTTAHTMLSTFLQLANLDPAYRP DSILSERGPESNSSAGNPNLTLHHLNRIKLGLEGVNLGVEDLEAGGFGNRRATGDRDS GAKKRKWQNRDSDVPPPVRAGSAKVVSTADEDVDAVLTAQHADAEQAQAAAAATGQGW QDREDFELAQDDGDVDLNNAQRDPAAGSADVEGKGEEIMDWDTGQMVTMPDELEDGGS AVEVTRPGAMVDAHPENQERNQPPMVRDRPLTEMEKEERKRLKKIRSDNEKKTATTRK PKERSKLTSKLGNGNDKAIEASFRRKKAK EPUS_00194 MAKRKRETEEIVSADGANEYKRIEPAGDPSISLQIITGSYERVL HGITATISDSPSQGSTSPSVQFADTFLFNAHASAIRCLALSSISQPSSTQTPSILLAS GGTDERVNVYSLSAVPPHEDEKMAPMPSLGGNKISENPRNRELGSLMHHSSSITSLHF PTRSKLLSAAEDNTITISRINDLSVISTIKAPHPKVQGRPSGDTAPPGAAPVGVNDFA IHSSMKLMLSVGKGERCMRLWNLITGKKAGVLNFARETLQSVQEGKYSSGEGRRIAWN PHGEEFAVAFERGVVVFGADSKPKSRILPRPLTKLHQMRYLTIADNEGCETVVLVVST ESGKLLFYSTDQTSKEEKGAPGVSPLPDSLCLAQLDGRNHGFSGRIKDFEILSMPLSA GTWSGLLAVTAGSDGSIRIWMMRSRNFFSAKEKAKDVTTAIPVGNLIGTYETGSRITC LKAFVMVNREDDEGLSEFEGLTDAASVDDSSDDDSRQ EPUS_00195 MSFRRLLLSRKRKLSELYYATVSWGDLETTVHNPQYQEKERLFL DANDIQKGRFFDVSTLPPRPRHFRPPLSETILQTSQIPHNIQATHSYSSSSAPHPSSG APSPKRVPSPAVPLAPPVHVQESKDVEADGEDAGTKEAPSDVTVSGRSSEVKSTPYEL EQQNQKTIGPAGSSQQQGGQGLQHAEDTSETTTGPPEVEPGGELRPGPSFQQVIREET GRQPSPLVLAPISTNADPQSSPASTNGAGSSNAPDVAAGSPTTRPGDEHGPEELRNLD HDPLRGDALDASKSTDKKPSAHLDAELPHNAKPMQPPTDVSHDSIFRPRPNSVLGGQG TPGIAATATGKPSMRIDTQNVASSHNRPRDINQSNESPMSLNNAFTPRRVPSSASASG GQSPPGRITRISSGTIRHRSVSEILGERPKPPSPAADKPSNHNPGSASVREAFEASTL QSRLTDRKEREKDRSKLSTVVFAKQQHALESAPSTELLRKVSGEITPYAQEERDYLHT LFESKAYSPPRGQSLNTLLQSAHKTLSTADYLIDYQEQMNCRTLKRIYQLQSANRWPL RQMERAPEPVRPTSHWDFLLDHAKWMRTDFKEERKWKIAAARGVAEWCAEWVGSSPRR RQQLQIRVRPHHSLSNLEKDFNVQMEDHFEASASPVLQTPDLVPSAENDSISDGYVDD IMDLRLSSAPAAIFSLGASDFIFPMSQTPATDKLLSELPFYEPARIGLDLSQSDLAER SDAKWKTDIIPISRFATEKIRYRPPKAPSKRSRYDYEEEEYASRDSQPLPPEQTNVAL FMPENKHIRDRIHPGHSFRPPSEYQMPAQAFFESRASSQWTQIEDDELRKLVKDYSYN WSLISSCLSTRLPFHSGADRRTPWECFERWINLEGLPADMSKTPYFRAYHSRIEAAGR HVIAQQEAAQRQIGGNPALTARKRTTQPIRVERKKNQRHLAMLDAMRKLAKKRETALQ KQQHAADLAAMRKANEADRPRPPYSTPAEFSRLKHEREVKLAERQEIYRLQVLAQQKA AMQQRTAQMNQANGLPNGSARSSSGLANGGLAGPSNSGMSSGLPSAIGSSHQPRPQPG LQGLPNGGPSNGTFPANMLGPKGLTQGQMQAGLASGRSLGNSPEHMRVLYEATRLQQQ QQMMLATRQHQQQGSNGSTGQRSSPNMSNAGIAGANGNPNSPAFLATMVASNGVPSPS MPGSHANGVGNAGSPRLANGHPLSSGVMPAISQLAAGIQRQNPEMAAEDVQRLATSQL NAYRQHAAQNASQKSMNQAALNAAAGAANASAHAANASTYSRQGMMTNEHVQAYNAQL RHQQAAQRANGGYGNASLSAGFGMNVNGNMGGLGGMAGFTGSPVLNMARPVSNHTAQG QLSRSATPRDQRGSSSGVMAQGSPARGPQGQMQS EPUS_00196 MPEHYDEKDLQRALSQDRNAEVELNDRHESTDGESLAYVATERD VEKLGPGSEERKVLRRLTTTTSTAESSSDDADIKEEAVPKMPWHHRINPLKRRKAPPV PEQRTVSREYGASFLSLMTFQWMNPVMTVGYLRPLELGDVWLVNPDRSSDALTPKLVA SFKRRVAAGERYPLIFAMHETFKAEFWFGGVCNLASSIFQVMSPFATRYLIQFANEAY NAQRQGTPAPNIGKGVGIVIGITFMQVCQSFGTNQFIYHGMMVGGQARAVLINAVFDK AMKISGRAKAGGRAIGNEQTSNGIDVEVLKVEKKNLFERMVSKRGGRPKNTHNVGEGV AGDGTGWSNGKIVNLMSVDTYRVDQASGMFHMIWTSPVLIIITLIVLCINIGYSALSG YALLVIGMPLLTKSIKSLFVRRGKINKITDQRVSLTQEILSAVRFVKFFGWEGSFLER LKELRRREIRAIQVLLAIRNAINAVSMALPTFASMLAFITYSLTDHTLDPARIFSSLA LFNSLRMPLNLLPLVIGQVTDAYASLGRIQEFLLSEEQKEEVVWDGSMLAAIEMQNAS FTWERNSSHDKEQVGNFQTEEQIKDAKNAHKREKKAEKISKKHGKTNSISDDSSSENA QLEPFKLHDLNFAIGRGELLAVIGTVGSGKSSLLAALAGDMRKTSGKVKMGTNRAFCP QYAWIQNSTLKENILFGKPYKSKWYNQVVDACALRPDLDMLPAGDQTEIGERGITVSG GQKQRLNIARAIYFDADIILMDDPLSAVDAHVGRHIMDEAICGIMKDKCRILATHQLH VLNRCDRIIWMNDGCIESIDTFDNLMSTNEAFQQLMATTAQEEAVEENEKPDDQDEVE DEKKTMKRTKKRAAGVLMQQEERAVRSISWGVYGAYIKASGSILTGPLILIFLVLANC ANIATSLWLSYWTSNRFSLPSGAYIGIYAGLGVLQALLMYAFATMLSNGGTNASKVML QRAMTRVLRAPMSFFDTTPLGRITNRFSKDIDSMDNSLTDAIRMYLLTLTMIISVFAL IIAFFPFFGIALGPLLLIFLFAASYYRASAREMKRHESVLRSSVFARFSEAISGTASI RAYGMQDYFAGRIRDSIDTMDSAYFLTFANQRWLAVRLDGIGNLLVFTTGILVVTSRF NVSPSIAGLVLSYILAIVQIIQFTVRQLAEVENNMNATERLHYYGTQLDEEAPAYLKK VPDSWPQSGEIVFENVQMRYRAGLPLVLQGLDFKVNGGERIGIVGRTGAGKSSIMSAL FRLTELSGGSIKIDDIDIATVGLQDLRSRLAIIPQDPTLFRGTIRSNLDPFNEHSDLE LWAALRKANLIGDEQEASQGLDANGKNTGRIHLDSTVEEEGLNFSLGQRQLMALARAL VRDSRIIVCDEATSSVDFETDQKIQKTMASGFKGKTLLCIAHRLKTIIQYDRICVMDA GKIAELDEPIKLYERGGIFRSMCDRSGIRRDDFFAEE EPUS_00197 MPSFDEKPLTTSVHPPADSTTSSSDNLPRVHLSPAHNYFASKEG SLCPPNGEFDPCQTSNPCSPFYNHDTPRGSMDNFKSKTSLHVSVRDLEAQNGLTPSQT NDPRPSPADGGALKPWTTNAGIFGREKSRCLTKPKQRGCHCMSNLPKRQKFLIKLLIA LVIVGAMVGIGVGISLRVGGGVYKDENSTAKIGNV EPUS_00198 MAEALLQHHDATLTQVQVQTKGFTQLSGPIDNGDIVADVVFVHG LGGHPKDTWTYGSEERRISNQKLDKDEPTRSRSFRKLLWSKCTKDKEDIAKHPESLVS TCFWPMDLLSRDFKNVRVVTYGYDSHVSHFCKDAVNQVTISQHGRTLLERLIDERQDC IERPLIFVAHSLGGLLVKDAIIEARKHSPQTVARMVFQRCHAIMFFGTPHLGSGLADW GVLLSNIVEALGIGFSTYKGVLRQLAPDSEKLESLTREFNEILNAPIPKDQRIKIYSF QEGKGISASKLRDGKVVPDHSSWFNRRDLERNISISENHKNMCKFKGANDPGYKAFSG GLRMYLSEILHSKETQTTRPSVEQDEAQPLQMQCGPAAACSIIAQLLHTRYAQSAIHD DAVWLSHKERVVLLKAFKSTINIYSLLAQDRAAQSVPNIGERARIAMSLSQATSALRS ISEDDLFKILASLIHAYTDEEIHIVIDGADILLPDDQSRLLKNFRNLWKCAHKDPSLK LRILIMSRPLRRIQEILDGLPYLDYEKEQKECLRSLKFGSSNTRRSRMIDSAENTGNW VLRHPQYIDWKSETNSTMLWIQGKPRSGKSALMQRLLQELTKERSFEDVERPASTRGS SSHMIDLASGSRQTIKKSSVVKDQSVIASFFYSTREGEIETSRRHMLQTLLYEVLAQE SQLYPWFQETYRKLRNGSENHVTWSYEDLKDVFINLATSCDQHFRIYLLVDALDASDE GQLADVLSLFHDDRGASACTIKIAFTSRPSYLIAKALTGTFKLVLEYENKQDIAKMVD AELRFFSKPYNGIFEWCTNYLTDHAQGVFSWIFIVIRNLKGWDTGQCTKAELMKLVQD FPLERIDYYKQIIEQLAKHDATMKIEARKILDWVTYAERPLTAGEIWDTIASMTWLPD LWIKLGRGDKVARLFKQRRLL EPUS_00199 MAPIAVSEDTNFNGHTNGSTLKATAPSFHPTSKTEPSKYHASSS DEAIHVEHTYAAHNYHPLPIVFARASGTTVWDPEGRQYLDFLSAYSAVNQGHCHPELV KALTEQASTLTLSSRAFYNDVFPRFAEFVTKFFGFDRVLPMNTGAEAVETAIKISRKW GYKVKGIPENEAVVLGVADNFHGRTFAAITMSTDSESRDNYGPFVPNIGCYCPVTKQP IPFNDVEAVRTAFKAHGHNIAGFLVEPIQGEAGIVVPDDNYLAEIRKLCDEHNVLMIC DEIQTGIARTGKLLCHEWAGIKPDMVLLGKAISGGMYPVSCVLGRNDVMLTVEPGTHG STYGGNPLGCAVAIRALEIVRDENMVERAQTLGQKFRDGLKSLKSPMIKTIRGKGLLN AIVIDETQTGGHSAWDLCMLMKQKGLLVGRALKIAHLRDSEEQVADGAKAKPTHTNII RLAPPLVITEEEIKQALNIMKESIEELPTLKGEKEDKIIPPEEKNVRIQVDN EPUS_00200 MSTSSSDLSAASANAHGKLKSSQGRQSRQREAHGNVAHSTSQQD ILLFLIAFRILNALTIRTFFQPDEYFQSLEPAWQMAFGENCGAWITWEWKNALRSAIH PAIFATIYYLTNLGVDIIRLSAATRAELLLAAPKILQAFFAALGDYYTWQLAQRVFGH HSRQAWATLALTVLSPWQWFCSTRTLSNCLETTLTVAALYNWPWQWSLGDRGHARYDD RGLRKREGIGHDDVDETTRLRRCLLLAALATILRPTNLLIWISVAAMTCLNMSSVWWC GGFATTPWKGSDMSTSSRSISFLRATTRERRTLLWESFLCGSTILILSVFVDRFYYRF WTFPPLKFLYFNLVQSLSVFYGKNDWHYYLSQGFPLLLTAALPFTLVGLYKGLLSTDS RITTLTRTILSQLATISCIVPTALSLLSHKEVRFIYPLLPLLHILAAGPLIQFFAPSF IHAPRSRHIPSTALLKRLLLCFLILLNATIAIYTTNIHGRGVIDVLSYLRHQHETFYL SSQTHNPNRDPNSNTIANKNLTAAFLMPCHSTPWRSHLIHPAISAWALTCEPPLHLSA GEKASYMDEADAFYSDPLLWIRRNMSRQPPSPRSGIFMARDKQNLHRRLAERNSEGKR AWPDYLIFFSAAEQVMDTALQGSGYSECWRGFNSHWHDDLRRRGDVVVWCLFPERRIK MDHKDGMVGLINSVKRLLGYAQGKQGNRARFAALATRDAQQGRYE EPUS_00201 MTASMSKRQLARNERDLQDLVVSVPGNDRCADCQARNPGSLILS GLLAEADSNKRMGQLERESQFPQSRPSSTLIAESEATWLRRVGYIPLYALCRVTPEAG YAHLQGQISKHGHMVDRPSRGIHSKHTAGVPTLTQSRQNMRQNGNASVNKTYNPKNTK PGIPLDVNEVDMAMERFIRQKYQERSLADGKPQPPSRDEISVPARSPQPSPTPSIHIQ PQKRGKIFGFKLRASSSAYPTSKRDTRNLPPLEPTIENAFRSEVHSNKPSKPRVSGDS EKDKATEDKLAALREMGFPDDRRNAAVLNRLSGDLTRTVESLVRLGEGGPDSRKTTPA GSRTNTPLRATFPDNAQSQVSTEPRTSNNPFDPPARADTLAVPQAQGQQAASYNPFDV QNGPRSAFQPMDQAFQAMQISQPLFPHSTGGYPVQQPPTQDPRFQHSMTPPVPHASQQ YGYTSSPSTMYNTNPFLQPIQQQNTGVYDSCVPSQQKGPYSAPATNPFFSQQSSYEAA PTPPPPSAPLQPQRSFSNPFGIPPSPQNAPLQQQQYPTPVDHQQTFPPSNAMQQPFYQ QQSPQSHIQPPSQNSIPSQQPQVPSQYSPNPYQPQFQSPMSPHFHQQPSPLRPQQTGR IDKKSILALYDYPHLAPQPMPATPDNTTLMAGTVALNPFSVTAPQNPNQEPFTKNAAT PARRSATMPTSSTSMSLSTAGAGSNNPFLSGSNDISRQSSAVPSPAIAHAPPVASNSI MHRHASQESVSLANLESGRHSPDAFANLSARFVRG EPUS_00202 MPNQASTSSFVTSIASEIKKGTVENGRVYAAYGNHEYGLPVDDY ELDRLDMNHQKYTLLQGNRLFLAPITPTPQKILDLGTGTGTTWCALVLLTCSSLVKGI FAIDIADMYPSAKVIGTDIAPVQPQWVPTNCQFEIEDAEDEWTFQKNDFDYIHGRDFY QSIRDWRRLVQQSYDHLKPGGYLELACVYPVPRCDDETLPQDCAYVEVCQTFQEIGAK MEADPDAPLHFHEHMREAGFANVSQTILKIPTSPWPKDRRLKKVGALELMNLMEGAQG FLLRGYTKEFGRTREELELLLMRMRKELTTQKYHSYVSFYVVYGQKPEDKSQSAEDI EPUS_00203 MNGSAAKDHFAMNGTVTEDHSGMNGTIMGNNHTMNGEDDLADSL EQWATNLAEAAKAYRGASGQQSLLLRSKMSNNAKQIIDAIKDPGETPFEYSMAEMGAL RMMMELKIFDKIPQQGSISYGDLAASIGAEESLLTRILWMLVSTGLLKQIGENQVAHT RLSKTYVDGNPQGAFFQIMFDEGMITYTKWPNYFEKFGLKEPREANYVPTTFAWGCEE KNFWEMMNDNPKRLKAFNLSMATLDEVLPVTGMYDYSWIADHAKNGDSSRTLIVDVGS GKGQALKRIMASCAEIPASRLVMQDRPIVIEEAENTKDEMLLDVKKMPHDFFKEQPVK GALVYFIRRIIHDWHDDNCRIILSHLARAMDSDSRVLICEQIMSNPPSPLNAQTDLCM LGLGAKERTEKMFKELVPSSGLRLIKIWKAEGTNVGVIECAKGEQTNGH EPUS_00204 MDSRPVVEIVLDLSAIGPDKSASWAELSNVGRLCSRCQAVNWEP VQMYLTVADGYTNFPKIPLYSTYPTLAQLRAAAHEGCHLCTLIIACLLSARYESSISE RMSVLGAPASFSVNDKTVISIDVEVMAHSTPVWLTVGIDQCPNALLGMLTRYMPVKAE IVKRSCPIQFSSPAEDIARFWLEDCCVAHERCQSETPLLPKRVVDVVSGLEPFLFITQ CQRGRYAALSYCFGNGPVLTTTGETLHERQASIAMSILPQTVRDAIVWTRQLGLQYLW IDSLCILQDSLSDWEVELSTMADIYRDATVTIAATAASHASSGCAPVRNKLQLAPFTP LPGIVIEANFKRDAWIFRKGPLEKRGWTFQEIHLSRRVLRCGGEELAWQCRSRSYLES DPDRPVSSITSNSDNSPRTPFGTRPLDDTRNMNSFTAFQRWYQMVGEFSTRILTYRED KMPAFSGIARHFAQLLWQDENLSPTTTKSEDNTTAAQIIAAPPDSQPPFRYFCGLWHD DFLQGLLWHCYEPGSPIAYRGPTWSWVSCESTIIYNTHRLRTDDFCAHVLDVSVTVPG LNPYGRVSSGKVTILGPAAPIPSSAYKDDSGTQFEMHFPNSLSWDLKGAPQLGCILFK LHEQHYLILAPEARYHAGSYRRVGLLSHDPANLAVKMLDWQEEVVTIL EPUS_00205 MSLTTSRLNVPSPVPSDRYKATATAANRLVDFSRGSPRNSIHRR SLSTTSGPRYNRQLSKAAGYRFLQRAASSDDEPTSDSGSVTTPSIRPDLGDAEHPILH FRPQLWRHLDKARDTLNLDLFWPLKLEELVENDDDIDEEEEEDGEENQDDKNSFPLEG LLPLCEFRNLRSIRLGGMLQSYQICIWRACWLNPGLEELILEMALEPTINQMNTSCTP IGSSWRQKTINEACTGYLGELGDGSLDASIGTGEYLDKNAMAAARNLVSSQGVNLRYL PVVKLVLAGFVVDAGPFFRWFNPMRLRLVEFKYGCIDAGFALPSHMNNLVTVTWPGNS DLNSQTVTFSKVKPPDIKRVCIGRRPSIARQMSGRKPKINSILTKNWFSFGRAHSLKA RKSNRQRLQHANQMGEASSSSDLSLFRQTSCSGTSFTSQDFVTLEKDEL EPUS_00206 MAPIRRYVRISKTSVLECRIFLENPADGPRWLLSSEDPALPRVI EAIRPLVLPKLREETERAKAKGKKKNRIKDVVTKDEFEVSVFLTQIGTRHSFLTKSKT FNKSRITGHATKLTGSDTQPVVIREESDDDNTHLPMDRIQQEEDALGVDDKKKLGLKT TYEGFSIWGWVLCLLVARKGGPSKRRTENVAGQALMEEWISLTQEQHEDDS EPUS_00207 MPASEPVLLRDRHIKYWLRCARTFLPEAYTSTDSNRMTLAFFIV SALDLLDLLASKIDANERDSWRNWIFSCQLDSGGFRGFTGTKIGHDVRTLQNQHWDPA NVPATFFALVTLIILGDDLSRVRRRECLTWLPKVQRQDGSFGEILGENESIEGGSDLR FCCCAAGVRHILRGPQEKDVQDFDVDRLVRYITACQTYDGGFSEGPGHESHSGLTYCA IDALALLGRSPEKGLDTPDARRSSGTLSLDDCTRWVLSRQTTYLEEDEPEDDEQASSI PQPEAVALPSSPLGSPHATHFPVTPLSPPKTPSPVRMQIVAAPILNCAKQNLLWAGFN GRTNKIADTCYCFWNTAALAMLDRLYLIDKPRMRRYLLEKTQHIVGGFGKGVHEPPDI MHAYFGLAALATIGEDELPTFDPLFCISQKARENLKRVSWWAE EPUS_00208 MTTTKPIGIQVIGAGLPRTATSSLQAALHQLGYHPCQHMVSDVL RDIGGLGRQWTQAFQARDKASRHAILREICKDYRAIVDAPGCFFVEDLMEIYPEAKVV LGLRKSPEAWLKSYKETIGTVRYNYTWYSLGFLLPPVRWLWNFTLYWEKMTREKFHTS VPTLEHYHRHNDYIRRVVQKDRLIEFEPSQGWEPLCKFLEQPIPDSPYPRLNDTKQMQ TALLYSHFVGAGLWGLTGLALFGVWKGIDLVLR EPUS_00209 MAAIFNAVVNLAPYHVMAYGTLLGTELYQSFVMTKICYQALPMA QFTTLQKKVFPAYFRIQVGLIALTAATFPTKSIVSLARAGWLFWVPLGTNFGMAALNW LVYGPRTQDMMIKRSHQETIDGRKYNDEENTSEDMQRIKRDFSRNHAMSIHLNLIAMG ATILYGFALASKIRFVL EPUS_00210 MPPKKTWEDEEETSSEESDSPSPPAVAARRRFEDEEDSDDVADN WEEAEDSEVEREKAKKAAEAKARAEAEAAANKKSKSQRVEEHREAARRRRAAEEEEES SEDEDEAEKRVRLRQTEQEADLKHAQDLFDNVGLGPKSRTTPNKATIIEDKSNPGQAI DLSALAIFKPATKSQFEALTTTLVPLLTAASNKPHYSLWLADFVKKIAADMPSTEIKK AASVLTALSNEKLKEEKAMEKGGKKSKAAKSKISLAAGRDVGRGVADTTSYEDDLADD DFM EPUS_00211 MPISKIHARSVYDSRGNPTVEVDVVTETGLHRAIVPSGASTGQH EACELRDGDKSKWGGKGVVKAVENVNSVIGPALIKENIKCVDQSAVDDFLIKLDGTQN KTKLGANAILGVSLAVAKAGAAEKGVPLYAHVSDLAGTKKPYVLPVPFQNVLNGGSHA GGRLAFQEFMIVPRHVCLAMQELPANRNSTAPTFSEAMRQGSEVYQQLKSLAKKKYGQ SAGNVGDEGGVAPDIQSPEEALDLITDAIEKAGYTGKIKIAMDVASSEFYKEDAKKYD LDFKNPDSDQSKWLTDDLTVTNPVRIKKAIELKSCNALLLKVNQIGTLTESIQAAKDS YAAGWGVMVSHRSGETEDVTIADIVVGLRAGEIKTGAPARSERLAKLNQILRIEEELG QNAIYAGENFRNAVNL EPUS_00212 MDGGGDPERQQALEQYKNKLLESREWESKLKALRMDIKGLQKDF ETTEDNIKALQSVGQIIGEVLKQLDEERCKSPTRPASSGPRYVVGCRSKVDKAKLKQG TRVALDMTTLTIMRMLPREVDPLVYNMSLEDPGQISFAGIGGLNEQIRELREVIELPL KNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGES ARLIREMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDY LGKTKIIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHASGVVTEGEID FESVVKMSDQLNGADLRNVVTEAGLSAIKDERDAVNQDDFNKAVRKVAENKKLEGKLE YQKL EPUS_00213 MQIKKLLPLALATAASAQETMNLTAALASSPELSQLGITLGLVP ELVAALAELSNITLLAPSNAAFEEFTNSSNAAALSDPGLIQAVLQYHVLNGTYYAQNV TETTSFIPTTLMNTSYTNVTGGQVVKAVLTGDNVVFSSGLLTNSTVTQADVTFDSGVI HIIDRLLTVPANVSTTAVAANLTSLVGAVTTAELAEAVDTTPNVTIFAPTNEAFQSIG SALGSISTEDLASILTYHVVSGTVGYSSILSNTTLTTLNDADVTISIVDGAVFVNSAR VVMADVLVANGVVHVIDNVLNPANATATPDATMSTQMPAFSGASSASEVPYTSNVPTP TGPAATGGAAGGSETSSSASGAAMPMRTGAVGAAALFGGAALVYNM EPUS_00214 MPSTLDTDQPVTGAGLESKTSLPYPTFSKAHSKEAVGARDALKP TLNIFTPDPTDLSTSKDKQTSREAAVGGAPPSPPLTSVDQHGGGSRSGSVKRTGIETI EEEKKSQLDRTVKVKLRPKSSRSNGHLQSTSELGSPTKSPLRSKQSTPLKSKMEEQTK RSVSQPTKAVSPPPSSLADTELETTPSSNATSIAPNQPRIQRPGSQPPPVTVEDDMIS QVTSIPDGGVSRGPTPLEAFVDDRRTPASSLSTHPPPPPPPPLAPITQPKVDYLLQHG GLNQSVPKNLLLAGKHMAIQQSAPAQPAQLVANLFEPYNRLLDDYKHVVSKNGSLAVA TGYRSVARRLLDRLEAVFARDISSETCQCCLCASVFDDTEDSEGVSWGEILELVAGRR DLPSWPPFSLANTPRGLGISLEAHTPMQKLDIDVPEEFRDHYIRQSRKTKQSVDKWLS RQTDSPTNPPEEVDDETLTFAILTHLGPEQRPSFSNLLGIVNTPLEPPKRMPSPQRGP TPQPAPTPQPRYRPQPIVTASRAVQRLYRLASPPRDPETAIYLLYNPSIHNALATLAA VSDDEWEILISGRFDGFLRSGADDPLDIAQPPGRQTPLRSVNSRGPIPLLHGNSQTRP GTYMSRAATPASGAHYGAPIAYDEETEIATLAEIERDIYSGMEALEDAFEALHVKAEM VRQALRERGAGLASMQQRRRGGLGDGIEVRMGTPASGIGIDGRWEAETDDGLGEWEGI SELAPDDSASNISSSRRRRPKRRNERRTPALVEEDEDLGESEGTASPRKK EPUS_00215 MTPFDFRQQFQPWITTPPASRIYSNDDSLPIISTDELGMIADDE LDNDASRQTNAPAKRKRPKKYIREEQRRRVSKHFTNEESTVATPPATPRKPLIISGLK VDELSSDSSLTDVPSDIGPDPFDSDIEVHLKPAKLKRPTKSPYFPNKPRPNFLSCLPF PPLSAEKFGLMQERLAHDPFRLLIATIFLNRTRGEQAMPVFFQLMQQYPTAADLAAAE FEDVVAIIHRLGFQNQRAQKCIEMASTWSKRAPVKGKRWRKLHYPMKGDGKDIQTEEV LEDEDSRVAWEISYLPGLGPYSHDSWRIFCRDKLRGLAESWNGEGATDGFEPEWKRVL PTDKELRAYLTWMWLKEGWIWNKETGQRTKADEELLERARGGGIVQEQNEKKHLVLTS NSQLDGSRHGALERPAGTFLPG EPUS_00216 MAYLCTFLITIAVLLVPWQHVLANPFHEQPTPRDDSQNYHQDQL GAVASESDICSQIGIDLLNEGGNAADALVGTVFCIGVVGMYHSGVGGGGFMLVRGSNG SYEFIDFRETAPAAAFEDMYRNNTRASLLGGLASGVPGEVRGLAHLHENHGNLAWKTV MQPAIDTARNGWAVTADLVNYMNAATAGQADFLSQDPTWALDFAPNGTRVGLGDTITR KRYADTLETIANEGPEAFYTGYIAETMVNALQRANGTMTLGDLQNYTVAIRQPAQIDY RGYKLTSCSAPSSGVVVLSPLNTVNGYSDFFYPENINLSTHRLDEAIRFAFGQRAELG DPLFVPGLDRFQESMLSENTSAEIRSKISDYQTLNVSAYNPAGLESLETPGTSHVVAA DRSGMAISLTTTINLLFGSQLMVPETGIIMNNEMNDFSIPGESNAFGYIPSPANYVRP GKRMLSSMSPTIAEFPNGTLYYLIGAAGGSRIITSNIQNLIHVLDQNMTVPQALAEPR LHDQLQPNQVIFEYAYNNETVAFMRSRGHNVTWIAPPGLATAQGLRRLGNGTFEAAGE PRLRNSGGFAI EPUS_00217 MGGKKNVPALFHPETECIISTSSLLPIVQAFEQLPPQQSHRSIT VLSYSAGKPSLTMEASPDFFDIGRDMLDKTQRDVCRSIHDSSASEHFAALYDIFCSGN EPUS_00218 MCRTFTFYHLCGHIHHNHTISCPSPVPFTSSPPPSHSPSPPHPD IRILSPSPSCAIVVNEPHHYPTLCNSCKAVGIISDWFAKSPHARIEAIMEWRKREDGN LSRTRASSTPPANALHVLDLGDASRGPVADDGEELEEMPLIEPEGLCSNSNREGESEG EKWIQSNAEGWIRSASSASSARTVLRVDSSVSVSSTLSLGRSQPSSSSSEEAQDRSKW PQWLLEQSISTITDLQNDATALADWASSQTSIGPSTRAPARGRAPPAPAPAPVPVASV STNPRNAATTRISHTTTDLKMNTDKSQGARSRLPVPTAPAATVAKGKETEKRRSLLPV PRAVSQVGKRGVW EPUS_00219 MPAPSNTLLIEGSFAELVDELAQYIDTVCKSEAGSGVQSQIAPT LGEIREKEQAEEPADPASIQTQKDEVLKKVVGKAAALNTAPEKEFTAAYNLLIHLSNQ SPSRDMFLNRICSYLSEQPVASSAQFGPSLALTTLTTIFNILPNNSESRYHVFMAILK VIRSTSNNAAFDALTPQLNTNVPKWVVAWDLDDEDARSLHVAIADVAAASGDHDMSYK YLLHGLETIPSDSASEAESRDLAKRTLVAALTNPTITDFNPLIDREAIQALRDSDSGL FDLLNIFASDDYAAYTEFLESNPLTSIDLPESAAEMLETKIRLLTIASLAAGATNRSL PYSTISDALQIPKEDVEMWMIDAIRAGLVEGKLSQLKQEFLVQRATYRVFGPKQWSEI QGRLMVWQRSLEGVLSLVKSEREKFEREGAGGDDMQQNGERRFGGYRGQGGRGGRNQN HQPREVDFVGGD EPUS_00220 MYAPPKRERPAGRTFYTTVLAISTIAALSFINHHRGSAGLSHQL EGRSLGFRRDEECRLVYRSPDKCAFVKANCPDEEAGLISYLQLYYCSHSSAQPIAFGI LVIWIGLLFSTIGIAASDFLCINLSTISSILGMSESLAGVTFLAFGNGSPDVFSTFAA MSSHSGSLAVGELIGAASFITAVVAGSMALVRPFKVTRKSFVRDVSFFVVAACFSMVF LADGRLHTWECACMVGFYLFYVIFVVTWHWWLTRRQRRRLRETAARLHLNIPRTQELD VAEVEEDEEAPAGETTRLLRPVSEVDFADLEGNITPAWKEPDDDDDEQRDRHLAEIQS NMRVSRPPRGERRNTINPIRPSLVGALEFRSVLNSLGKRSMHAPHINLRRYSDDPSVV LGQGNAQALEAAHARSHSHHQGDPSGLRTSHNNSGRGRAVSANDAAGLRLQKPALRGG DALSESGIKISSPLLESQSQASSTPGLSPVLGSPILFVSPPASSQASRDHSREPPLIR LPDRLAPPGPSFHRPDYFDQAHDPSPASNLWPHMGSKPDVPKISIPQPPSPTSSPSSA FPLYTDTPTHLTSATSRPPSIRLPAPALSPQSIHELPYAAEDYEDYNESKLRAYKWWP YKYLPPPQVIARTLFPTIYSWRGKSIWDKLLGIVSVPSVFLLTITLPVVETENKREPL EAPSGLITPRENPRLRSGSLVQLPLDSPEPPVQQFEVPTHPSKQNSLQVNHGHPLNHL DDDMSKPKEWNRWLVCLQLFTAPLFTIMIIWANLDDDHDVPSFIRAILCSLLLSLVCL LILLATTTPEHPPQYRSLLCFLGFAVAIAWISTIANEVVGVLKAFGIILGISDAILGL TIFAVGNSLGDLVANITVAKLGYPVMALSACFGGPMLNILLGIGVSGLYITIRHGAKA HHKHPDRPIEYQPYEIAVSNTLLISGITLLVTLVGLLVVVPLNGWMMDRKVGLGLICL WTLSTIGNVIVEVIGWGGDLAVY EPUS_00221 MPAIIPSTGLPDPILQPDPPLTSSNTPPVFDPEKVTVVYILGGP GSGKGTQSAHLVQDYGFVHLSAGDLLRAEQDRSGSQYGQLIKDYIKNGQIVPLEVTVK LLENAMHEKMSNDGTGRFLIDGFPRKMDQAAFFESSVCHSRCTIFLDCPEDVMKERLL NRGKTSGRTDDNEESIKKRFRTFVETSMPVVEYFEKQGRVVKVSAVGTEQEVYEHVVE GLAAQGIAPQK EPUS_00222 MAYYAPGTIIPSKLHLIQAKSAQSGIFRFLDLPGEIRNKIYDLV FEECVVGVRMSNEPQFHDELIDRPFVHYQRQSYNQYDKKRRPGAPQRAERQRGNKTIQ PSSKADTKPQKQATVAIQEQSCAVSQKSSNPQQRRRQHYKKGPSRISHDVLSLTPSGG GNLAHYDIPFNLLFSSRQIYNEALCVMYAKTFFRINSTKALNRFLLITPLRALQAIRG LGISHRTQGEPKLTEHRKFKIIDDKKWSTTCKQISEKMTDLKTLRLDLELHDWPCHLT LDEEWAQPILSLRQNGLERVDATLSHTAFIQERLNAAARNLEVAMLSNEGRMAKFAQE KKLLEARKKKMESKPRRVLVIKMDNISSTPKVQKA EPUS_00223 MDSESHVPEEHRVNRTGKWLPQDHRVHKEWLGDIIDYVDNHPKE LHPVIKEFRDMIEEDTRIYLLFSSMFEQIPNKKPYNNDPTGHRQIHDCDHMLRVLNHL LTTAPSWSNKSERVGLVGLPINALFDWPMATKSGFAVFLDPKVNAMLKKVLNTWAEYL ASPASAEVLEEGNPSSWFSDTGVKELAKTANIEETSYSFEEMFICNPSAKHHGFKSWD DFFTRQFKEGIRPVAAPDNPDVIVNACESTPFNVAYGVKARDKFWNKGQPYSVSDMLA HDELTEQFIGGTVYQAFLNALSYHRWHSPVAGRIVKAYVQDGTYFSEPLFEEFDATHG ADEGGEVTGQGYITATAVRAIIFIEADNPAIGLMAFLGVGMAEVSTCDITVKEGQHVE KGDQIGMFHFGGSTHCLLFRNGVEVGGFPDASRQKNVPVRAEVAVVKSSAGS EPUS_00224 MSTPLRQQNQSFYPVSPVDIVSGNRPQQSVGFADLSEKTAPSVT TRSSRTSSSSSSSSQKVARTARFAEATSVNSPVSGPTEHRSPFADPPNMAAQQSQPSD VGFGYISNEPVQQQATLHPNANPPLKSALKTPGTPGRMLNPLSPTFREEQILEKHEED TEKEQAKDLKIKTRVRAAKMMLRGVNFSCSLIVLALVATTFTIFNATKTLPPRNNLPA WSASSKPWPQIMLLVIACISLVFALGIFYAYWRGGHKRAEKAAVYYTVFAVFFFAFSI IMWAVGAAVLNSSRNNGNGQDIWGWSCKDNRRRELFKDDVGYALVCRLQEWALVCCII EIVVETITIAIYAIVFYRFYSKRRLRKSMDVRDKARSDLYLAQLRSQSAPNTPGFAQS MRSPTFPTRGGDEYSAAENGENYSKTQFVTPSPSSTHGSSPFKLQAPPIKVHHATPRA PQNGFDSAPTPTNSPPPAQERQNEHVAAAPGEQTYAAVPIPGAYASPLTSPAMQRQSD SMAAQMQRGPGAPY EPUS_00225 METISSSLSASQPLPQRLMNFFARYPPKLYSAKLTGAFIPLTRP PKRASQQTSKAGSPIPNTSTTSNTSSSGTSAPMIESTSTPPPETPSFPIASMEELPPN PFLPWRNPETNRWRPPQYSLRRQADLVKLAQKYGVEPLLPPSRKSSAFKEARILEKGL RVRGTGEGQKVKGHKWERKMPAKLEVRRKAMEGMPELIRLWKQMGHGKGWKKYPR EPUS_00226 MADYAFGGNDDETAELKKLNAEVLEDGDNYEAWEKLVRAVESVD GGINRNSSPQAITAMRDIYDRFLAKFPLFFGYWKKYADLEFSIAGTEAAEMVYERGVA SITNSVDLWSNYCSFKTDTSHDSDVIRELFERGANSVGLDFLAHPFWDKYLEFEERMD AVDKIFTILARIINIPMHQYARYFEKYRQLAQSRQLTDLVPASILTQFRMDLENEGLG YNSGRADVEVERDLRSRIDAFHLDIFHRTQAETTKRWTYESEIKRPYFHVTEIDEPQL SNWRKYLDFEEAEGDYARAGFLYERCLVACAQYDEFWLRYARWMLGQPGKEEEVRNIY QRASCFYVPIALPQMRLQYAFFEEMSGRVDVAKDIHEAILVAMPGHVETIVSLANMSR RHGGLEAAIEVYKSHIDSQTCDISAKAALVAEWARLLWKIKGSPNDARQVYEKNQHWY LESMPFWSSYLKFELDQPTSAETEQSHYQHIKRVADDIQMKSKLPPAAMQELLSTYMV YLLERGTKDAAKEYMMLDREINGPASVQNVARARAVDMGKDVLLSNGQVSHVAGV EPUS_00227 MEDLMVNGYKNLHTPAPPSEPPSEVVPPPPPPPSDLSAPPPPPE SFEPPPPPDQTAPPPPPPEVIEEVSEPPAPSPNPEIRKKTGWGSKPKAAPLSVEELLR KKREAEEAASKPKFLSKAQREKLALEKRAKEVEEAKRAKAIAMNEAKQVNGLARPLQE SSNGTKRAFQSSIPTGPRAQRQGDVPTGPAAMRSKEANKGYDMAPPEAPKAIAFRASD SRSNKRAFDEDAKTTAAQAALIKQRYMGAEQNTSTFSAKKKRRRTTEKKFNFEWNVEE DTSPDYNPLYKNRAEANFFGRGRLAGFGDEAADEVAKKYAAALQDRDHEAGSARAKEI LEMERKRREEGGRTAIDKHWSEKKLEHMRERDWRIFKEDFNISTKGGGIPNPMRSWKE SGLPKRLLDIIEEVGYTDPSPVQRAAIPIALQSRDLIGVAVTGSGKTAAFLLPLLVYI SELPRLDEFEWRRNDGPYAIILAPTRELAQQIEIEARKFAGPLDFNVVSIVGGHSLEE QAYNLRNGAEIIIATPGRLVDCIERRIIVLSQCCYVIMDEADRMIDLGFEEPVNKILD ALPVANEKPDTEDAEDAKVMSQHIGGKDRYRQTMMYTATMPSAVERIARKYLRRPAIV TIGNVGEAVDTVEQRVEFVSGEDKRKKRLAEILASREFRPPIIVFVNIKRNCDAVARD IKQMGFSSVTLHGSKTQEQREAALLSVRNGSTDVLVATDLAGRGIDVPDVSLVVNFNM ATNIESYTHRVGRTGRAGKSGVAITFLGNEDTDVMYDLKQMLMKSAISRVPEELRKHE AAQQKSQRGGGGQKKAEESTGFAGKGGSW EPUS_00228 MDADGFEIVTRKDKKRSSSPRSGGYRNRSWSPPPNCMPDAGGQS SSKWSKRRSSDQDLCIRWKDERELSAQSQKKDWHESLVKAKKKPWGAQKAIIRKGVHQ SPHGDGEQHITVDYQTADGVHITTWHVNLTDDEYQSFQQINKPEGATWAVPPSPSPAW DDAALSPPPSP EPUS_00229 MHFSTSTILALGLTLLPASILAAPSPPPVERQTSCTTIYPEYAR VSQAQPVASYLPGFRISQDNGATNKNDMFIEFAVPAGSYGCQLETYFPAGYPITKSGR QDVYIYSTDRPLTRSPQGIDVSWAYSPAPVSQVGTTRFESDPATSTTKVINSFACQPT MTYRLSIGRDWSDAGNVEFVQGSGAGFRMTYNC EPUS_00230 MDTPHRPQRRLAPQPSLDDPGLMVPSPPASRQPSRSVSPDYKPK RSGRIGSMVAVNKIIHSMSSLSFDQQDMDAVGWEDISPRGGQETRRTRPGGLRPARQP SGALSEQLPRAQSNQLAAGSRGTSTYDSRDSRKSNRRRREDDLHESEEPRSQRQRREH EESAARSGSTVQGASGTASESATYEGNELPGNEGKPDDRRAREERRIREARRVRDRRE REGRGERGTHGARDERLIRSQSVPQSWSHQVQEEEQSSRPRYGGEGHRDPEVRQHGGS RFVSRRSQD EPUS_00231 MKLYTIVQLLTLVTTALAGVIAADKRNDISSTPCEAVAPTTITV MATQNQIQIETTTETQVTTITAQPDSVTVALQGPDAISSSLSSAFSVESQQLEINSQL APNNPFRSQHPLPSSATRFTRTLIVPVIEATKTFAASGQTSATDVYFIGENDGTTTWL SNLIPHESMTIGTTTVTLSPVPSVSTIRTVRSRVTESATRTNTLTMTVERPNAVASAS VGGFGGVGYQGWNTSASALAPASTSALLMDGGGSGVLSSFQVVTTRQSSAIITTTVQV SGSATSRALPTNAYGSTANITFPTAGYGYEKRSDVDGRRRAVCEWITATMRGTPVSWP NNYDGSKTVNCATFTSSTQQSQPALPPTMVTVTVTRGEMPSSDENPASSSAPATQTIV PVSSTSTSAAPAAATSCGVSGDFTLDFDTLPQFFAPDNDTAPFPPIFNPYRHLFFSSG WAYVPPPTTPVVPQNGSHLAVYVPSASETNSSVSQSPNAGDVPPGSFGAGPRAYDNAY WFDAETLYVFCDNGAEDPAVTCDVVVTAYQWDEDTQSETVLATQHFPQPPCPGYNNCR TNEIFLDTQFRGLSSLSLYAIVDGKQKMFFIDTVQLSWWNNTCEAGRRRASQI EPUS_00232 MTFQPVNSPSLQPDHSRDFHDESNTPTTPRARATQISTKQVMVD SGQNLTTTVVTTSASNLPGQRPLPTSPFATQAEHGTKISMLQRGGSNNSGQSTQAAEE MELDGSDEEQDGSDNETESGDPGRSSKKKKGQRFFCTDFPPCNLSFTRSEHLARHIRK HTGERPFQCHCNRRFSRLDNLRQHAQTVHVNEDIPAESLAATGTRYQRQIRTEKLRPA GSRARSGNLGSQGGHSRGHSRNLSASSIGSTASNYSTTETRRRPPPLLMATDVTTRPQ LTLEPPGTPPSHYRGYSTNSPGGMTTPTSSTYSANPGSPGYGSFMESPVSGTSRMAGF YGSRTPGRRLSVPSSANTFQTVHNHTQPHPYMSPLPSSTSSFSNNPSNFASPTSSQFS YSRMEPNISPAEAELRRRTWHPSSYAASGFNYSRPATSGLSFSQTPDALQPALTPYAS AAAGQAPRLPGIESFDEVRNRPSTPPRRQPSPMLIDTPPQSTLSTNHGTFQRHAPPAS DHRRGHFSWDGSHHGPFSRPDLQTNLSRDATSWGQQTLNEIHNAASRPIFQNTVATTY RPGGVIVQNEPTRQAHVESPPPQQQQPPPNTPQRSKRLGWYNGPPSAGPRGSQTARRS PEDSSSSDGVVTPGTSAAEVHPAIMHSNGYIEPHHPVLPAEAQADACVPELSNHPAPL RGQAHRPSYISGRSNTNNDMGRLEALVAVATSEDKAATVAR EPUS_00233 MSASANVRPQAIRRRSRLPGGFETEDESASEAGDDNIDRDGSVI HASSPHLSGEHSSLLPSYSENANAVAGNSTILDEGEMNKKLMDVESSFIPDISHIVES EQGTRSTFTSEDQEDDLARDRASSPAGTSGISMGMKSETSNEDKRDFRSPQTPPGAYK TPAPEQQAGQHVKEEEITTPVPHNISSLETMSSSPTAAAAARTVSRVISQTTLGGYET ADEDEGRVSPTKSIYHNSIENLESAPQRPGMDGSEPKIPPLSDGNYDSKESLSQHPDQ SKRPKYLSRRQSSQRFSYSSLTSSNTETGSDATLGADYALQSGGARPEPRLQRKAKMT LSRSTSLGSIASGLSALSEGEETKSRRAVTGATDLSTLNEESPLLNKQRSIGEGYLTP KPSLRDLDMPMDTVIANNIRDIEIPGTFAKQYRQSHRDTSPDKNTAGIPPGPARMSKS LTLKEHRSTVERLGKENFDLKMKIHFLDQALQKRSDDSVKDMITENVQLKSDKLRLER DNHSLRKQIRDLEKRLKEEDAPTADQGYATDEERSPTTEEEVIYLRDRVENFEVEIEK LRSETIAKETEKRRLAELVRSLGETRAAGSDVGSREERDMWKDMLEAETAAREQSEED NKKLRDEIFRMKNDAYGPTRSALGKNNRLHSSMSHSSASDLGHHSSSKAPTNVAELER LQHENSELQKTISAQVSTLTSRNKEKERLYQEIEDLKLGRLGVNGVRSVAGDSIFERS ASQARSHSRTSNATRLSRVSDAEREAFELKIGELRDQVSELRLENQSLKTQLDEVCAD LDAVEGQAQTYVDQYNEEIQLLTLQRDDAERALEESEDAFQVLKTEAQEEIDGLGDEL DQKLEEYSRLEEDLHAQEENFKALQAEMRSASEGIIRLEEDAQNNLTRYKTVQSELND ANRELENVEKELEEANAKIQRLTVQQESSHNEIAFLREEQDADKIKIGDMESVLKATH LSLSTEKDRSRDLERRLSEERRQHEAIGNKEQEVQRVVNDLNREAGAAKEEIRRLKKA LSTREIESTTWRERLMELENNLRELLGDTNGTRSSLLTSVTKLQKDLDATSGELDMTR QRLDEKETLLANRDSLLESSGLECRKMAELLDRERQARRADKHSFEQALKSHSASART ITQNNARITDLENSRQSDRRRMSQLDQQYKDQLSERNSVLLTIWKRLSAMCGPDWAHS NSLINGNLPSQEVIGNMLFWPGFSRNLLLAAKQVEGTLGSFRDRIKRLERDLWKEYQN LEHTMELRIKKLERVEELFNNLKSSQVPNMQSTGRGTSSPEMQKLKGENRILKAELSL LQQQHSNAQLRPQHSRTQSRNSIVSDGPSFTPSLSGTTVPARGSSTRHAGAASASAAM AATLMRHHSSNVVEHISGGLNGSDYGGGSRRSDSVTSRTSNNRGELMIPAFHQRDDAS TSSTGGHDKWIHRLRELERRLKAEREARLLDRSGARKRLEERDAVNEELRMELERERM RRETGLLVAGEEQ EPUS_00234 MSDSPSYVDYETFLSPSFSPELFANSLILSTNNPTDTTLDLSTP LSRVLFDIQEIDTHIHNLTTRSALPILNYVKSRDEASKRILGVVEGEVGTLRSNYERL EKEVLERYKEAEKMRVVSLRSLEVLRLGREVGRAVALGRQLEIQVSEAGLNMPGRAGK EDHRVMVRASYTILEFRGLVDDEAEEKELQRVNIVKTLKTDLFAVVEEKIKNKAQQII REFSISNLTSPAAATTTSTQAGAVSSTTTTYQKTEDAKARTTSAVTILYLLSYVIAPP SSSNGQSSTIPYFHPTLLLTALQTFLQNALTSSLASISRALTTLPTLDRTLLEVSARC QNIAALEVLLSGIKCPHHPFLNSSSSSSSNPRESEPEPPGIGDEADDDKDDKEKESNN LLVPLLMSLDTSSLPSYFWRSLAAALSARVQEILSRGGVSARTLRSNRERVGGAIREC VLRGGEVPGGIGVKRGKGVVVNWEREAAVMVGSVVGVLSRG EPUS_00235 MVWPFSSSAQNTSTNPNSSGPKSRDGGFIAPDRSAREKCYESRD RLFDCLDRNDILDAVKEDEKSRRVCKGENGVYERDCAKAWIKYFKEKRVMEYNRDRTI ERIKEDDARMVAKQKAEKKGRGLFG EPUS_00236 MLPRVSGNTVSQDESEAFLRLMNEDSTIYIWTLKYIEAEETKVA PRFEATQRLRALIDTRVSRRTGYLEALAHSVRSDPRNVALIDISMTQMRNHEQALTLG MIITTPSRYLGRSFRHHLEGRMHYRVQLREDVNWMLGLPILSERQRSRATGLLSECTA YADGPDPFMQLATRAPPSERPSRRHDGSSEERATPRGREDRAQAPKAPKAPKVSNQQG TATAPPYPRGDLIDLVASKKASTNQTRNFHSQAVHDEAFHQQAMERLEIYSAASEEKK HKKLRAVLEGVKNDRMKLTTAASSRQTGQTGEEGGQSSGSPAPKQPAASTRPVPSLTR EEKLAQEYRQNLDRLNRHIEELERDSEIKSLSQVSAELKLGIRTMRLQGMQQLATTKR ALIGQDGAGAPRGTAEAIEAVERIPSKGKGGGSKRESSTSHKFSGNKSSIHVSLTDPA EGWRPKVNNCNGCGQGEVVNRTNPNDTQSTIHHFLNSESDRLWCFERYATTNSRVHIS ESILKAELKTSNSESEI EPUS_00237 MIGGKFTDPWKVVDASDPDAELALTTGSGLRYSPNVKEFDAALV IMTERKGNVNYAPQKSVPCVSEGAGQKVDRAAVSEYVYFSAAAISKPINADKEMCGNN EGSIQYTDSINGEATDGEVFWCVD EPUS_00238 MAGAPQKPLAHADYTVACICPMGVELAPVEGMLDEIHDPLPTNR DQNAYTLGKIGGHNVVVAVLPEIGNRAAATVATQLLNDFPAIRFGLLVGIGGGVPGDE GEDDVRLGDVVVSQPTATFGGVVQYDLGKILADGSFERTGQLNKPPSVLGANVRKLQA QHLRVGSQILRYLSEMIQRYPRMQSQYSFPATDLDQLFLASYAHRPGVTCVKCDRQQT VSRLARSDSEPRVHYGTIGSANLVVKDPVVRDELKRDMKILCVEMEAAGLMDDFPCLV IRGICDYADSHKNKRWQPYAAAVASAYMKELLMAIPAPQVAQTRNAVESTASPFQLGL HLGEAPIIDPNLFVGRLSELEKMDEILQDARSQEQGRLILGGMGGIGKTQLAIAYARL RRGSYESIFWLNAASEAALKRSMRSMAERVLEVAEYEKLEDEQTILRVRRWLSETINT RWLLIFDNYDDPDLFDIRKYYPYAAHGSIITTTRLPDQISGKRVHVRPLEHISESLQV LETRSERPNVKDSVPARRLAERLEGLPLALATAGAYLQKSTLSFEQYLQEYEKRWNLS PRRPLQLQEYRNRTLYTTWDLSYICLKNDDPNAAKLLRLLAYFDHQNLWYELFQAGLN NSLPSWLLDITSNLIDFEEIMRVLVEYCFVETQWTTKSYSMHACVHDWTLASLNKMVD SESYWYAFDCVAHSIDGEWELLGHLRHARLAAHAARLGHDHFVQAGLLDIIADGRAEK VEDIAQLLQKQFRLEAAAVMHQRALAGYEKALGPDHTSTLDTVNNLGILYRDQGKLDE AEEMYMRALAGKEKALGPNHTSTLGTVHNLGLLYRNQGKLDEVEEMYMRALAGKEKAL GPNHTSTLGTVHNLGLLYRNQGKLDEVEEMYMRALAGKEKALGPNHTSTLDTVHNLGL LYNNQGKLDEAEEMYMRALAGKEKALGPNHTSTLGTVNNLGLLYRNQGKLDEAEEMYM RALAGKEKALGPNHISTLNTVNNLGLLYYDQGKLDEAEEMYMRALAGKEKALGPNHTS TLGTVHNLGLLYRNQGKLDEVEEMYMRALAGKEKALGPNHTSTLDTVHNLGLLYNDQG KLDEAEKIPNHTSTLGTVHNLGLLYRNQGKLDEAEEMYMRALAGKEKALGPDHTSTLD TVHNLGLLYNNQGKLDEAEEMYMRALAGKEKALGPDHTSTLDTVHNLGLLYNDQGKLD EAEKMFELAKKS EPUS_00239 MSQISARDTLSSLQAQFPEAPMTLRDVKNVYSNVKKTMNRGLPA IQAMMLKLGDEYQFHYALDDHDRLERVLFFHNESLQLLRLFPRSYVLDSTYRTNRFNL PLLDIIGFTATNRSFVIGQAFLTHEEEEDYIWVLSWIRDLYEEYSLPTPESITTDKAG GLHNACAAVWPEVPHLLCRWHIDKDVKAYCQKHWLERTDHLSNEARRAVIDERLKEFN GFCHVNSSRAESVHQAVKQQMISKQAHLNDVVDHLTRYLDIHNRQLRQELEYDQQNER TDLQNPLYHKLHGYISYYAIDQIEEHRRFHNLTLRNAHLPLIACKQVFTTTKGLPCAH ILQQRIQDHLALEIADFDAQWRVDRFQEVAKLAPIRKIVDPLSVRTRYTKSQKRQLSL FEVIQGQVDSLSTTGSRKGRKQAKSTLFSGRTHTQEEQGESSRAGTAQQPISIDDIDI EVEEEHYNSEEDPLGAELYRQQRQKTTQPQPGLQIQGWINTAASFCINKNSNAASSIF TFTRAPNALSICPTRSSSIRNVSVFALSVVCSKWLFSTFFAASSSFSASSPLSTDHSI ANDLTSEETAVQREATATEI EPUS_08858 MEGQYNVPTHHAILIGIDAYPNNPLRSCVRDVQKIKECLESKIN SLDIQTLTASSGGSPFEHPESWPTLRNVTLVLEKATSLAETGDFIYIHYSGHGTRRKP RFNRSNQSTGDLALVLLDEDPSREVCLQGAVLAHLLKAMVDKGLVVTVVLDCCFSASV YRSGSPNVRYLSYSDMEASTNPSAQEDSLTRGDTRSTNRDASMLDNWLLNPDRYTILA ACGPHENAKGGESETSEKRERYGALSYFLSKALSDHGLGRRQKDIHRHLCAKFWASCM PQHPVLYGNASQAFFGPVDSYRSARSACIVEHKGSFRLMAGLAHGLHNDDQFALSPLR AARDHDAVTYTAKISHIGPLTSKLELLNSPHSLQTGWIAEPLTCSYLAKFPVRLASDL PRQDEWPVALKERSLDVCINNEQVPMFQLVLSNGMYEILDEGGRKIINLPAIPQDQTD IGRICDILEHLARFQMAKDITNHAPTAAFQRSFDISIRIGEKVVGPGEQADVRHGTVI ELVIRNKGDTALYVYVYNLGPYWCVNGILHATYEAVPGRNDLRFTGTSPRKIKMTVPP AMKEYRSCKDVIKVFVTPQPTWFDSLELSSLNELAKASVDRTNYPSSYGPDDWIALNF PIHTML EPUS_08859 MPSFQTFSLEARLFNPTLYHSLLKLWFDGLSEGATGPSEQLAMR WFGVGATESDKASFDKECHSRFNEALSSISPAKFVLPEFKDADADRSNYPEIAAPFIG QLYQNKVKDPESALGLTLLLDQIPRNIFRSDQALIYGHYDRIARALFYAIHKQQLDKH ERYAMSALQRTWFYMPLMHSESLADHQLLSQEMGDLKSGLEAKGDEKAAQYVGQTLSF EKRHADILDKFGRYPHRNKWLGREMTDEERNWLDQGGDTFSA EPUS_08860 MGVTGLWTVVAPTARPTQLASLNRRRLAVDASIWIYQFLKAVRD KEGNALRNSHVVGFFRRICKLLYFGIKPVFVFDGGAPLLKRETVRGRARRREGRREDA VRTAGKLLGMQMQRAAEEEEKTRRAERDRTSDEEELPPEEEMVYVDELGMTSKERHQN RLFKKKDQYHLPALDVSIESLGAPNDPRIMSQAELEEYARQFHSGEDINVYDFSKIDF ESPFFLSLPASDRYNILNAARLRSRLRMGYSKEQLDDMFPDRMAFSRFQIERVRERNE LTQRLMHVNGMAEDFLDQGGRIAGEKGKEYVLVKNEGVEGGWALGVVSNKGGQEANAI NVDEEAKDESDVDQDDEEDFEDVAIEGLNRLPKRWARKRTEVDYNPDYKDAAEEIAQK RRSIYEARQKAAERRPVQPHPYAQPENEELFVGQDNPNKSDEDVDDLFEDVDMEQGED DDDLQRAIQMSLHKSDHDASAFGDAQTDLFTAENRKNGRVVVKNVNQRAHQNMNGVQL ANGDEEDEDGWFDLQAALTESRKSKHQSRSRAGFKSPPSVTPREKTFNGPLPFESLNL GQSLLGKKKAEKIAQDQAGGFDKDSDRQNIKSVPLPSWFDAKDWTNAVERGAELDTDD NDYHATFGLEDIDKREALMRHDTLDFIDVDEPSQSKITDQIVVELSDDEEVVRDVDTS DEALEQKRQKSQEPPDPMNDESPTLAHESYQEQPTSPGLLMDDVADIAQARPPIISVP DHLPEAPADKDSNLPVDSVIEEQVEWRKSDEENDGAAKVLDQESQGPDTRSTTSEVEQ SFGSGMQEVEEVGDDFEDVELSTRPTLLREETSGLNAADISLANQFIIAEDGQDLVQA NAAGDQEEHFSESDEELMRQMVIEAEEHARFASTLNNTRTPADAARDYEKELKQLRNQ QKKDRRDADEVTQVMVQECQALLRLFGLPYITAPMEAEAQCAELVNLGLVDGIVTDDS DIFLFGGTRVYKNMFNAAKFVECYLTTDLEKEYALDRRKLISFAHLLGSDYTEGIPGI GPVTALEILTDFDTLEEFRDWSAKIQMGQLDGNITTPFRKKFRKQAQKTFLPPAFPDT RVDHAYLHPEVDSDPSGFEWGVPDLDALRAYLMATIGWSQERTDEVLVPVIRDMNKRG VEGTQANITRFFGGGVGLGGKEAGAPRNRGVKSGRMEKAFGRLKNVAEKKRSDVVQIE AADLNEDLHLEAATANGGRRLRAKKNSKDKNSAMLAEDGVSGDESDPGIENVAKKKRR KRKRVD EPUS_08861 MGQQQSKPDGPKSSKNASSSNLSGPSNNLQSYPSFSKSDTKESS RSLRGSIRSKIPGSSKLDKQESPRDTAGGIDGPNFDRTDTGSVRSTTRSARRGSTSSA QSPVTTTTDGDDENPSPRSGSPVSGRPPSPTQSKTIGQRHKDVSGAQRSGEVGAVSED APGSNIHPFAHHQKPGNSILVKNENQINPRASDTKSYLKAKLAESLPGTSPGGMGMNA LEDVDLDDMITRLLDAGYSTKVTKAVCLKNAEITAICTKAREVLLSQPALIELSPPVK IVGDIHGQYNDLIRLFEMCGFPPNSNYLFLGDYVDRGKQSLETILLLLCYKLKFPENF FILRGNHECANVTRVYGFYDECKRRCNIKIWKTFVDTFNCLPIAAIVAGKIFCVHGGL SPSLQHMDDIRQIARPTDVPDYGLLNDLLWSDPADMDQDWESNERGVSYCFGKNVIID FLARHDFDLVCRAHMVVEDGYEFFNDRVLVTVFSAPNYCGEFDNWGAIMSVSDGLLCS FELLKPLDSSALKNHIKKGRNKRNSMLNSPLWSIEANGEDRKLTYLSTLVRHSQSINV VRFCPKGEMLASAGDDGNVILWVPSDVPVTTLGEEHVEDKETWRVKHMCRSSTGAEIY DLAWSPDGVFFITGGVDNTARIFNAQTGQLVRQISEHSHYVQGVAWDPLNEYIATQSS DRSVHIYGLKLKDGTCTLSNHGKFQKIDLPGRRISSHSPAPSDIGHRPSTLSEAHRHS TSGEGHRPSNASEKLANTSPAPSNPGTPLATPLPMDPPTISHSRRSSFGSSPSFRRSA SPAPGPSLPLPAIRPEISSPSLNAAMGLGVRNTNIYHSETLTSFFRRLTFAPDGSLLF TPSGQYKASHPSTADPSKTVDEVSNTVYIYTRAGFNKPPVAHLPGHKKPSVAVKCSPI FYTLRQNPKPTTQFNIDTSAADLDIPLLPDPVVPTQSSSVMEPPAAVSCSVTSEPSRP QSSPKPSDLDVPVTGLSQAFSLPYRMVYAVATQDAVLVYDTQQQTPICVVSNLHFTTF SDLSWSNDGLTLLMSSTDGYCSTLAFTPGELGQVYLGPHPTMSHPTITTLAAATTTVP TPINTSSPSLTKASPAIAPSLPSPAAPFFPLRPGSPARSNSQSSIATMSSVQTPAVTN NPTPTMSHVPMVTAANSSGPVGLPQPTPPQTPMAGGHSATSSVSGSVLGKRDIGGASE SEKEDGQPKKRRIAPTLVSGATVPPAAEKEQNKS EPUS_08862 MDNDSAVKYLESLVNRTFRAHTSDGRMFLGEFKCTDNESNIILA KTYEYRMPTAKAKQDAIERQLAGEGSGKADMTSRFLGLVVVPGQHITKLEVEERKPWE LPLRPN EPUS_08863 MIGPGTGMLGEDGIHIDMNHLKTGEVNLGTSIMAINFKDGVILG ADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVQYHLGMYGIMNNEP PTTHTAAALFQELCYDNKDQLSAGMIIAGYDRRHGGQVYSIPLGGSLHKQSYAIGGSG STYIYGYCDAHWKEGMTEEEGVEFVKGSLREAIKWDGSSGGVIRMVVLTAKGAVRHLY LPDNGYTGPGTKQ EPUS_08864 MAANFFSYLRLPVLASSGLAALGSGLLYFKQNEIIYPRNLPAGA RTDVPQPKDFQIENSESLQLQTPDNESLHAYFLRASSIVLQQNVTVLMFHGNAGNVGH RLPIGKVLSESLGCNVLMLEYRGYGLSTGTPGESGLAIDAQTALDYIRNHDELRDTKL VIYGQSLGGAVAIRLVAENQTKGDIAGVILENTFTSIRKLIPTAFPPAKYIARLCHQL WPSEEIMLGITADVPMLFLSGLKDEIVPAHMMKTLHDICKVDHKVWKTFPNGQHNDTV AEPGYFDAIWAFLVQDVLSEKVDNHPLESVDVSKDELWS EPUS_08865 MASFHSPLIFNRIRGPPNPLRLQRRWARVHDVRFVATRGDTKSI LEKYRDKLDKKAKEEGHKSINSLKEAYQDRISDLRRKATTIPSAASPAPNLALQQPPP PVPKPQLPGTVDHAGCHSSTPGIKPLSACLDLAKVSALPKKEVEHIWRLRHASDPLSL CAVIPIETYNRIYHTAKNHPQFILPLPRPAAGRQLKASRAAKEQLQTSTFYNGASTHL LYLQHQVPPRSTRTLQLYCSPTLPPSRCTGLEGRGLSAEEGRWLVMCLQKFYDFEGHG GGIGKEKRQGLLEKFSKGDQGFNLDELVDEAERVS EPUS_08866 MVSANATSILSTLITANHVLHYHSVLHAYGHISVRNPENASTFF FARTLAPALISSTEDINELNVADASQTDPTRPRSFVERFIHSEIYKRFEDVNSVVHSH SPQVLPYTITDVPLKAVYHLPGFLGDQGVPNFDIAEFYEPGDQQDLLVSNTRLGAALA NTFNVNSTTNSFPDPPAVLMRGHGLAVAGETIEQAVFFSIYTQSNAEIQSSAIELEHA FPNTGEGSGLRYLTAQEGIDSWATNKPLIIRPWELWQREVQTVGQGLYVNGLEH EPUS_08867 MSLVNWFAEHIGCDMRQSFAQQFIKGCGRSPRSNPNSLKSSRAF LDLPTEIRLLIYEAIFTPASVVLQSGELPAMIAVLQNFPELISRGSRDVQLFRTCRTC YEEAPPIFYASLNLLVYQHLPLLRLNFLPRIGPLNASFIKMVTITPIGLPGEHQRFLE CLGSKHGGLIGVENLTLEIWEPEHVPAFISTCQELMQRHGKLKILFGRGTDTGCQLQA GDIPATLKLAKPGQSPGHRERIIQSIKVVEPTGSQNHLPLAEECSGRDSFTAKGRRTV SLAVPPPRRTIWSRYKFRRSQRSKL EPUS_08868 MELVNHLEDRLLFAVPKKGRLQAATLDLLSGSDIQFRREARLDI ALVKNLPIALIFLPAADIPTFVGEGKVDLGITGRDQVAEHDAKLPFDQPSTVEEVMDL GFGNCRLQVQVPERGDLNDPSQLTGKNIVTSFTALTEDYFAKLEGIAGGRKESINNTA SPVPNLKTQIRFVGGSVEAACALGVADGIVDLVESGETMKAAGLKAIATVVESTAVLI KSKQSSNLKLVDLIAARIKGVITAQKYILCQYNVPRSKLARATSITPGKRAPSITTLE ESEWVAVSSMVEKKEIATVMDRLTAVGATDILVLEIANSRTG EPUS_08869 MPTKHLSPPTTPNSPTLHHREPQESLLSRTILAPVLFLSFIFSL LIIDRRTSPSILSRRGFPPGSPPSKEKYSHPYYHSHQRKLAREQMDEAFAIRAKVIVG LCVLAGIGIVGMAAIGVKIWSWVYGS EPUS_08870 MAASVIHRCQHCAFETDLGASLDIFEQNPHCGRVCTSLYYRPIP SHVWQCGLSASEGDMKMQEELATLMSQQLKLDKNVSFQNLPELPPTPPQSDNQPQITY ITQHYHHSSHQAAPTPPVEPTSASDELACHGIDANVLFPSQLKLFKQAQPEQQIRLIQ LWSIAPPQYGNQMLAKDLVNWPQTSMRQEEQAAQSRYMRMQAESGQYTPEARSSAEPY ILRGYNNQLDNNDCTAAAGFGAQPNEYNRALGPAHLSREWWVHETQPVEHQYGVVQQM RQFADQDEDMS EPUS_08871 MTSIGTGYDLSNSVFSPDGRNFQVEYAVKAVENGGTCIGIRCKE GVVLAVEKIIVSKLLKPGANKRIATVDRNVGIVTSGLLPDGRHLTSRARDEASSWRRT YKSPIPINALAGRLGGYVQAYTLYSSVRPFGVTAIMGGWDTEGELEVDGQVGTGPASG GGGKINDHDIGEKISRGGPGLYMIEPSGLYWGYYGAATGKGRQAAKSELEKLDLASPT NSLTLNEAVKEAARIIYVAHEDSKDKEFELEMTWISHEGGPTKGRHEEVPKELFDAAV KAAKKAMPEYDDSDDEQGEEGGDQMQE EPUS_08872 MASHKGPGAPQKHEGSSLRIAIIHARWNTRIVSSLVSATRSALL TAGVSAANIITESVPGSYELPFACQKVIAASQIQASSLANIAGSITAGMAGLGSATQD LLGGGESSSDLTKLGQEGPAPPQHAVGEKDDETAKGDKQQPSSQPFDAVIAVGVLIKG ETMHFEYIADAVSHGLMRVQLDTGVPVVFGLLTVLTEEQGMARAGMIGGHNHGEDWGN AAVELGNKRRGWAEGRFS EPUS_08873 MCGIFGYINYLVEKDRKFILDTLVNGLSRLEYRGYDSAGLAVDG DKKNEVYAFKEVGKVAKLKELIVESKPDLKKTFDSHAGIAHTRWATHGQPSRLNCHPH RSDPKWEFTVVHNGIITNYKELKALLESKGFRFETDTDTECIAKLAKFLYDQHPDIDF TVLAKAVIKELQGAFGLLLKSIHFPHEVIAARKGSPLVVGVKTGKKMKVDFVDVEYSE ESGALPAERASQNMAVKKTATNLLAPPDKSLLHRSQSRAFLSDDGIPQPAEFFLSSDA SALVEHTKKVLYLEDDDIAHIHEGQLNIHRLSKDDGTSNVRAIQTIELELQEIMKGKF DHFMQKEIFEQPESVINTMRGRLDVANKKVTLGGLRQYITTIRRCRRIIFIACGTSYH SCMAVRGTFEELTEIPVSCELASDFLDRHAPVFRDDTCVFVSQSGETADSLMALRYCL ERGALTVGIVNVVGSSISMLTHCGVHINAGPEIGVASTKAYTSQFVAMMMFALSLSED RASKQKRREDIMDGLAKVSDQFREILKLNDSIKELCAKKLQGQKSMLLLGRGSQFSTA LEGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIVMILTRDEIFKKSLNAFQQVV ARKGRPIVICTQGDEEFPSSKTERIEVPGTIDALQGLLNVIPLQLMAYWLAVAEGFNV DFPRNLAKSVTVE EPUS_08874 MSRPAGKFADFFPTAPSVLQQRKSSKTTPQRSKSRADVKEEPPG DASTKFSSSRWTSQESTLGAPKLAKHADGAKRPNTTAELELLPGDMLNGAGSASSTST ASSVFSSNNQPAAMTHLYGNPLHALTPLTNSEFSPPEKLVSPSQETEVAAEKDVAVAS VNATMTPIQTPPSFYLSARPTGLVVKGCKATYDPELDKKTSSKDKRKLKVQYADFGTD EAGEIPPKDPRLEIANYARGGGAKQKSKLRPAPYSLRAWPYDAATSVGPGPPTNIVVT GFDPMAPLSQLTALFSSYGDIAEVNNRTDPTTGRFLGICGIRYKDSRSFRGGSPVSAI SATKRAYMEGKKGLRVGLNAIRVAVDREGLVIRKMVEQTIANLRKEVTLPEPPKPDIH LRNNVPPPTAPKGPSGRTFQQRPLPITAISLAPTPKPPIVIRPSINPPVPSLVEETPI LDQIKRLPYIFIAHCYVPVMSTTIPHLQKRLKMYDWKEIRCDKTGYYIVFESSTRGES EAQRCASQCHLTPLFTYVMNMECQKHGNPNYERSPSPQRALEKERKEVNIRMKREHDL DLEEEKRQRARDLDPSRAVLQLVIREIRDKLLEDVRSRIAAPTLYEYLDPEKHVEKRQ RLGIEDPQGRKLQGGNRFEDNSSVGTPDSRLDIFGGRRPLGQSSVNILSLPRIQKKAG LDRSMVGFRDERRRRPVPKAAVRPLFHQLEQFQDDEDSDDERRTSITRDTEEQESRPM SRMSMTSVSDDEDDLLQKATKRRQHARDESEMGDDFVKDEQEKSVAENLVISKLERNI YEMSPSSKKRKRLVKELEMRKRLKEDDELFGLAKVGEDGEDSTDAKLLDIPVAVEATP DFDSDAPIQKIKKPKPKKKTKKQIWEEREARRAEMEAEAAEVVDGVLEAAEEEAEIET RIEPGERRPEVEWGVSTLEAQATVQDDDDLVLDVDGWQTSIKDAEDVETLNQIAGSQI IPSAGNLLAWACKQRDIKSMNQSRTGDSHSSAQIQGYYVPNPTGSARTEPIRRILESE KSKYLPHRIKVQKAREKREADAKDDPKISSKVTEAAKTAPKTNTRGRRAEDRRQMAEV NMQKELLSALTGDADVLRFNQLKKRKKPVKFARSAIHNWGLYSLEPIAANEMIIEYVG EKVRQEIADLRERRYEKSGIGSSYLFRIDEGHVVDATKKGGIARFINHSCMPNCTAKI IRVDGDKRIVIYALRDIARDEELTYDYKFEREMGSEDRIPCLCGSTGCKGFLN EPUS_08875 MKLLTVNFLTCAVKSCKSSLSSSSEPLSDELSPFPLHFRNAVLE RTEINYNPRFIQNILPRVNWEAMRITAKELGLLGLLPDNNPADDPAWNTENDAEADVN NGDAGVEGAAVAEEQDEHMEIDSTPQKGADVKEEDIDKKGKVLRQLHTLLLETSVSEG NLACGKCGFEYPIKEGVGNFLLPAHLV EPUS_08876 MVNVPKNRNTFCGSKECGKHTQHKVTQYKAGKASTFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKVVLRLECVKCKRKSQLSLKRCKHFELGGDKKTKGA ALVF EPUS_08877 MATAPAPSIKKSFFKKPNWATIAPPTESRDFFRHSDTVYDSILR EKEKRRERHAQQKQGTAGLDDEDSDRESKRRRILIDEENQKEDSCSGSETASIRRGEQ DTAPTGHLKTRAKSPARRGPIQAGRASPTYLESSLNPTTLLHSNVIELDSHKENNQHQ PIGPERKVQARLSDEEASEEEDEYVLALKQKAREKARLKKLGIEPARKQEPALQALEA QQQRHSPSGDEPTFFPQRAAPTPPSQRDETIVQIFIDTKIPNAKPLIVNRKVSQPMQQ VRAVWCARQNFTDDITAQVIFTWRGKRLYDTTTSTHLLNVLKMERARQLGGLAGDDDE DPSNGRIEVVAMTKDMYEQKQNKNDAEADANGITDPTLQDRQSQARSATPEPKYTIVM NAQGLEPLQLKVRPSTPVAKMMAGFKKLRHIDPAKTCWLIHDGDRLEPESLVRDTEIE DGDAVEVHVR EPUS_08878 MSRTTISEVALNGFAASSSYDKHRPSYPADAVDQLLYALKVAGV QGARVADLAAGTGKFTELLAARPEQYSIVAIEPHEDMKAELERKSLKGVNVVMGTADD MSEIADASFDALIASQVRSQSFTVQRMVLTGRSVVSLVTLPLHSIVNVVRAKSLVSRF ANRPALEEIHRVLKPTRLLGMIWNIEDYNAPRDWDMTTSWESQIRNLTWTLNDDLPRF RHEKWRKCFDDQLASTPLSLAFADPLFSLPLGEGSVKFETWLNKDDIWKRYKTLSQIA VLGREKLEEVKKEFFNAIDHKDVVQDEQGRVAVHGHTFFAWTSSIPEQPLRNGG EPUS_06173 MSSGNFSDLDMWRKTSAVFEMLQAVIGFMDSLKDFESEADSPNV AFQSRLKHDPTWLSSIDAQYEADMQKLLNLPDDALPAGIDLPSLSEFRNANGKASFYC RHEDCAQADSGFFTSEAREQHESCHMLRLQCHVPYCAWKGMGFRRQRDLTSHKRKYHP FLEGFVIPELSNSKGGEPESTTPASNTPLQQAPAVTPSSGTEFFPYFSVSNGASNLDF SGLETTDVLENFDFDSFLHNTDDDNGFSFDFSEGLETPGME EPUS_06174 MAVLPPMVLIETAFKRFENSVTPVDARDFHSTELRHVRQAAIEI ENWQRQRGFLRNMRRIEPFLKAIEKYSKPMDVLCNGTPFLPWVWAPVKLMLQPAAEYT SIFEKLLDAYGRIAESLPRFDRYRNTFPEADFQHVLALVYVDIIEFHRRAYKFFRRRG WKFLFDSLWNNFELRFDAILSSLSRHRELIDHEAASFDIVQASENRKMVNKELEKSEE ERSSSHLLATLSWLGVEDRLQEDNLSRLNNRRFPDTCQWLFKTPQYQSWFTEYKKLSV LWLWGIPGPGKTVMSAYIIETLRQQPDSTILYYFCDHYLADRNNCSHILRTLATQLIR HDPELAAFAETPSIERLRKFLPKLIAASPFTRIVIDGIDECDAKDHKYALDQLLLLCK DIKGHGSLLVSSREDGIISRKLRQNPTISLRDEHLAVERDIEAFIGGKFRQVVEEWDL DISTNIAAEIQQQLIQRSNGMFLWVELVIGHFQYLLNDEDLLGAVYRLPESLQDAYDR IVQNIKKVPESSTREKIARMLEWITHANRPLKLYEILNAIGMDPTDSCDCEPKTVNSR ILEFCKPLVEISRAGTVQFIHFSATE EPUS_06175 MDKLSVVKDLLAVARAMLEARMEFLYLSMPKYVKAQQIIYQALI DPLPCIVETLTPNTTKRATPQSGPISGHPQKTTLKFLTLITPPIYVQMASNQEQLIQQ ALNDLDIGAEKSIRKVAAKYRVSKTTVAYRRRGRNPRTQANRRTQRLSLEEEKTLIQW IRDLQRQNLCPNYPRIRSFVYEILRNRGDSRPLGKNYVSRFISRHSELRTSRSRAMDI KRLSALDPTVIESFFSEFEQLRSQYGVEIENIWNMDETGFQMGQTTSNFVAYDASIGR PVAPQPDNTQWVTIIECISYHRALKPYLIFCGKAPELHMFPAIDELPDIIWAFSLKGW TDNELGIDWLRRIFIPQRPIGKHSILILDGHDSHSTGLFQYLCLQNDIHPLYLPAHAS HKLQPLDLGPFSPLKAAYGQLVQRFALTGLATLNRRVFTKLYIEARQTTFTERNIRAG WHRTGIWPLNKQKLLNDPEIRNFGRTTPEYQPPATSDGLYSTPKQSDNLRALIRQIEA KTTPQTRRAVRKLGHSAIQEHTGAQLLRTQLRELRQLALKQELTKRSKRIQKETKQRS WNLEQVRAALAPKKVHFVRKEGGEKRILPNLNEYSSSNAVEFGVVANPKLARFVGWPA LWCWALVNTRTKYVRDKHALEWYVSQDFARPAEAEGLQFILHDIKIRNADAISGETAP SLVEVDGHNISSIEIRRAETVPELGQIQCTRSVKIIDRLRSEGDVSLEIYCRGRHTIT AQKRKPTEARINYELLVNIYGKAYMFERVGKFFEAAKIYLQDPVCCARDVPYKNPHIL AKEDDIIMTSSLESRQQLETFTAPGDIFDHLLVTDYLPEAQTPQALKTTLHSHQKQAL YFMLQNERGYVTNGTLEGMWETVDGQKPEWVNRITGERRLTPPPPFSGGIVADQMGLG KTLEMISLVASDLRTSSRFDVNSTSPSHTSFRAPTPATLVVVPVSLLYTWRGQLERHL YPDSMRWHIFHGPNRELNLRNNIGFDVILTTYDVVVAEYRKIVKFSCNTSIFSTLWRR IILDEAHYVKNADALRTRAVCALQAISRWAVTGTPIQNRLTDLYSLIHFLQISPFDDR KVFDTQILRPWQTKSDVSAIYRLKLLVKFIAIRRSKALLQLPPRSDQIRRVEFSDKER NQYEALRLRIKGAAFYENTFHGRESYFHVLRWIDDLRTLCSYGAQAFESRSKGLSVHG NEKSVLLDQENLYWREEEQNLDQAGSLLEPGFLPNANPSVGASPEPDQGVQLRELDDQ MPLGLPTPPRSQRLPLKPSPGLAMPPSSELQHEQDASTGLSTKIAALMTDLVANKAGK R EPUS_06176 MSGAIFQSELSSLIQESKRKHLDVRAAAEKSLGELKALRITSEA QLAADLLRKPSFVEPFILACKSRNTKLVSSSVICLQRLAASHALPPEQLKDVLEALRE VTSSGFDVQIKILQTLPSLLQTYASDLYGGLLFTCLDICGALQSSKTTVVSSTASATF QQLVSSAFERITDEDAAADSDTVRKVSIGAGTVRLKKAASDGFDIFSDLCSIADGVGT QRLNPNTIPLVFALDIIVTVLESNENVFQTHHELLFICRTRLAPALLRHIPAKHPFSA TIRSLRVLYQLISRQFEHLQEESEKALDLLIHLLELEASQGWKRAACMELLRKLVLNF PLLRQIFLTFDLQEDRKDIVSKLMAALAKIAAEKPTIIGLSHQSTMPARQIDSEEDRP EQAPLEAAGVEGIIGSTVTAESHITGIGNAWSLPKTPCLEQLDKNDASDLPETYIYSM VLDCIYSFSEGLAKFVMPLSLTRSARKRGQAQIDEQFQDGNDRNVSSEESRSAAATPV QEPQKVLRPVSALKLTSNSQSESIRTAAALVTFCWPAFLATCATFLNAALDAELYHNL VRAVQKLAQVAGVLELSTPRDALLTTLSKAAVPPQALQIGQNTNFGKTERYFATKSTE QAELVATQSVSASVIESPVSNKASVSILTTRNLLCVRALLHLGIALGPTLSEQAWFIL LETLQEAERLIMLSSRLQVNQNRKLADDVSSSKNGTSPLNLTGEIVAVETASKKMFAT SSDYENDAFLGLLRALFSLSTSTGCIDDRKDVASSNPNPAPRHVGRIHQSSRSISGTS IKAATEDNEVLFVLTKTSEIAKSNLQRLVTQPANSSGWSLITARLLQVVRSTFTLADI RLRAASLLDTVVLGTLQILDEQDEQLQRVVQERGVLVLNSQVEGLYQQSTSSLSETSS VDLAIHERAIEALSSIVEHHGENLLISWEMIFKLAGTSFEERAPPFDSDIQDDTQATE IRSRSVTLTSTAFRLLQLIGSDFLNLVPLNHLLDFIDILLLFGRQHDDLNVSLTSTTF FWNLADFLHSSPNHPSLSGFSDVPTEEFLLGKVNGSKNPADVIDSLWLVLLLRLNVLT VDPRLEMRKGAIRVMLRILDASGPYLSPRGWYICLTTVVERLLKSHALLLSNMRKEGL QNDPTMCDEWYASTVAVLEGSINLVCHFSSTIIADEHFHLFWEQFFDLLGSILTNPSL AVSLAVFRGVASLFLSLGKADYRNVLTAEAALKLWINHHPANVVDHFQIESVSTKSHD SNEEAFTAHAEALVRISEALPSVQLGQIAAEDIMKALRKTVLQCVHLPYGSDVQKTAP EQESVISILQLLSRTETSKSTEYFKILFDFIKVACEDETELEMDPSFDWPTKQGPTLL NAQSLSLVAFASKCIDILENNVIRAIQEESLLNTSQTVCSAIIALSNTVKSKYTAKGQ RGDPLIWRKATVSGLTIVEAVGLRSYKIMDKEELLALKEIYGSAVELANGILAAGGLV NLERPPEDSVILADEEHDIAAFRRLSLSLIPALTRLKELQVAAPQRMPSDIHRRFVIS LFHASMVATPQFADLPSDLFSSPLSSFLRIRPGTIKELAYHARSKIPYLALDTMFKLI AALDDDTMQDAASVAGHLEFAKIVAPYVLLRAAHTFKSFVADQPLRGPMPMPSKLRAE MLHVLRLCLELRSEDGAFLGKPGSHTCLGRDGRRHLRVLYPLIVQMWKVWRRVPRYGF PWIADSDGVEIEKCLQRWVEICGEHWELANLES EPUS_06177 MTDQRESTSHGNINLYINLYPETRRKKDEDRIDDVEHDDGASSP YFESNEKSKSGQVEDKAKKRQQRQTLLSLGSDGHLSTRKPLTNPTKRSDAARKPLKEI AAETKTLLPGLLATRPDVPDKGYLYTDGDAPILDKKYCPGLPATKVRVINSDSIDAAL ALGSSAMNNRHPCVLNMANANSAGGGWQHGALAQEEALCYRTSLSRTLKRHYYPLPAK GGIYSPYVLVIRNNMKSGHDLLDLRNPAALPVISAISVAAVCMPQTTAGANGVLTYRL GQDKRLMEEKMRVILRIAARNRHRQLVLGAFGCGAFANPKDEVVNMWASVLKEAEFGG GWWSDVVFAVLDDGRGNLQTFKNSLDGMMV EPUS_06178 MQKLPTPFLGSIAEVCIVTPDHKKTMDGLLRLGIGPFQVFDFTS STVPERSFRGSPGSYELKVCFAKQGSLTFEIMQPVAGESLMAEYLDQRGGKEGVQHIA FDCENIPMQERKRQMRERGYEPAMEGVWMGNKGTCHFCFFDTEDSTGTIFESIDFSHD WEDPDFEWYPQPPERDESNNDAGKDLRGK EPUS_06179 MLNGLIEGTEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEG AEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGA EGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAEGAE GADSVEFAGRAEGVELIELAKFAEDAELAEGAEDVKFAEFAERVSSVKLTENAKFAEG AEFVVSRDSANLAYFREAPQRLTASK EPUS_06180 MSAILSADDLNDFISPSVACIKPVETLPKQREQLPSYEVTTEDK VQAENPPPASISLTDCLACSGCVTSAEAVLISLQSHTEVLSTLDAHPSLDVRKHVQGS SKPHSKLFVASVSPQVRASLAATYQISERQSGYMIDQLLCGPHGLRSGGQYGSGFTYV VDTNRMRETCLVLGADEVAQSLTGGSTAARPVLTSACPGWVCYAEKTHPHVLPHLSKL KSPQALTGTLLKSVLSKSLGIQPSQIWHLAIMPCFDKKLEASREELTDRWWANDQDTD ASTLPVRDVDCVITSRELLTLANDRGINLPSLPLTPLPKEYRIPFPDSTISRFLFPKS RRRHYEHHDLAQSSAGGTSGGYLHYILTSQQARHRGSTIHTQRGRNADVTEFTLLSST QEPLLKCARFYGFRNIQNLVRRLKPARGSRLSAAAARRKEGIGTRKDDGAGEYAYVEV MACPGGCTNGGGQIRVDEAIALQSAAQRGRELEGETDHAGNGAGLSQKDWLRRVDSAY FSAHSSASSDAECDGSEINSQPGDPNDDDDLDPDREMHDPNFASDHALDPPTPRDLLT YWSACTCIPLEKLAYTTFRKVESDVGKSKKGTGSRPGETEGIAALAASIGGGW EPUS_06181 MSSFFFSTPVDIDIVLEDTDERQTVDVKLDKNRREKAPLYMDGE SVKGAVTIRPKDGRRLEHTGIKVQFIGLIEMFFDRGNHYEFLSLGQELAAPGELQHPQ NFPFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDIWVYSYRIPPETNSS IKMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGVAP NQYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFREVNKKYSTRYYLSLVLI DEDARRYFKQSEIVLYRQAPEEARALAIAPPSIGGSLPTQTPLVPA EPUS_06182 MNGGLIEQQEMKHIWVITGPAGCGKTTVAQYLVKQLSLPYVEGD DYHSKTSKHKMASNIPLTDDDRWSWLIELRKEAMKRLQSSPGVIVTCSALKHRYRDVL RVAHYENPNIQVHFIYLRADRKTLQERVANRQNHYMKKEMVNSQLDNLEEPEEEEWDA MSVDVRSTPEEVQHAALEVVKKKLAEYQHQS EPUS_06183 MPEIAEVARIVHYIRKHLVGKTLSKVIAADDANVYGKVGTSGAE FQKALAGKKIGGAGQQGKYFWFVMDKPPHPLMHFGMTGWLKIKSEDTYYYRKKEGEEE EEEEEEWPPRFWKFHLATKEEPQVEAAFVDSRRFARIRLLDCKADEIRSISPLKDNGP DPVVDKDLVTVDWLLDLCRRKKIPIKALLLDQANISGIGNWVGDEIMYHAKMHPEQYS NTLSEEQITQLHESIHYICGKAVDLLADSDRYPENWLFKHRWGKGKKDAPKSLPNGEK ITFLTVGGRTSAVVPSVQKKTGPVAKEMSEAESGGEAQDKKPKSNGAKVGNKRKSKDE DQKDAVVDVETTGVNGVAKKTPPAKRQRKSKFKEELSEEDVPVADATVKGKKVKVEGR QEAMEPAGKEAKAGKAGKAKKIAAKASAIAPGGKRRSGRLSRG EPUS_06184 MILQPVPFQTNQYWAEEIEAGPGPPRGWRKHSLDDRTKRAGSAK KKGKKPKKSVFAPVCQVPTDETSPPASSSSDAAPQMMEPQATRLSRRASLAGATSTLD ALRDTIKSTIARPDNWNWKRYEREDETLFGINDKISRMWDRATQHGRQASTDRDPPPT TGRRRAATTASDDHSWLYARNPGVNELHPPTVSQLPRTQREVAWMMQPPPSRAVMEGK VRPGTESGNRRPLCTIRRPSMLAKDSDRAEDEEVVGEGGSITPERKQPPDIIISPDGT EQTKAAEDEDDGDDEEGSEQETEATSNFYYLSSRSPSLLPYPSSPPSLSPTQSSLIPR RASPLTRPPLAVLVDSQAPSKFHSYQVSTSSPKLATVNDEQTSSPPPRLTELDVWMGV VLPHLPERSRYSLPNVRLGEF EPUS_06185 MATGSPRTLASNGAHQNCSITIVCPLHGDHGPYVFNGQHDLIIN HSRVLGNQLNAGQHHIHLDYSHREYDCSTLKTILKTWTNQEFTPLLNTDPFVALYLLS KALWEYQCRPNWFQGFADEIRDRYWATDFKSGGYSDTWALIALVFGWEDVFALASMDV PSLGIQPRLNGSSSQEIQIAQIVGERDYTDQFSSTYNFVRNRCGELSNTNRQVFNRLL KCFEEEGIIITSDQEANIRTLRPLGIVGLLRMFESFLERDAQSPRPRVTTPGNRIHRP VLWRMLSSVKRKRNSQAAEPTSIQDSLDPTERALLSYIKEHRELSLSKFLERNRDAGQ RFRNLRNHNLLLDDEGANSNRSGSVARHTLLPPISPVGPLHRELDGAQELDRARELNG AQHELHNRTTAGSPHSSGYFHSSGCFQYPYCIHSPGFLNSPGVFHTPDCIQSPGSFYS PESFRPSCSCRPATPPP EPUS_06186 MSEPTYILSTVPPYSSGQNATIPQIAAAPYRYFQGADLAWMMMS SALVLLMIPGIALFYSGVSKSRFALSQAWLPIMSTAIVGLEWYLWGYSIAFSNSPSSR VFWGSTDGIALHNVLFRPVRSSGDLQTESPVIPELVYALFQGMFACFTASLVSGAAIC KDRPGHFLVFILLWTTFVYNPIARWTWNPEGWSHRIGALDFAGGTAVHICAGATVLAH SVYHRCLPKVEEFLERILGGPRDPAGPPTNSSNSVSADRTLGSAHPREADQPGQDPSH NVENVLLGTLLLWIGWFGFNGGSALGANLRAVSACVSTHLSACAGGVTLCILQLLRGP VAPGRARDRTEDASVFMWSIVEFCNGAVVGLICITPAAGYVPHQISPVFGIIGALICS HMTFVSVKLGDTHGIIVYHGVGGLIGMFLTGCFARKNVAHLDGFTNINGGGWDGHWIT LGWQIADALAGMGWAFTITLVILLLLEPFMYLSRSKRARYPIVQGDKLTDEWTIQEAV EMSEVPSRRTLPR EPUS_06187 MTRTNQEAKGPSLSVSSLVEPPAGGASTIFSALQPRSDVEATAP NRGITSVTDSGNGGDPIQLRGCWARRTKYKKVADWRGKGPRRIGVRQKRSYTLLKKDS PKSRTTPRLNKGYKGSESPLLNLPAEMRLEIWKLLLPHNEDPRVMCEGQPRRRKGQAV TLCSRCDELDLLKIHHQVRSELAPLFAMRRAVSQFCTEACAKRFMDEIACYEELGVSK AVIETIHIDLLKPAWCGKGRLMAKQRVMAGLSDPTYTFQVTLT EPUS_06188 MSEISANSPTLLDVVRHWPVASNLAQHLPAGSLINLARSSTSLR GILHGFDTSRIAEAQSDSPSGIGPPSEDATQISRPRKVLYIGSHRTPYWEGLKRSAPF TCSSRTHTKGDKTGSCRYCSMPICESCVVKHSFGKNENTFKNRCRFMCKRCWDSSNVQ KQRRYSGRAGAVDEYSHRSAAQARVFCICTSKDGWVCNECKEKQNMDARADGTRVCHG QNCGNILEDDKDRRKICLWCDKPIPRGRASMESRIAFDQKMMDAREREFSSQLADWEE YESNRRRQMLMSRRELRGDAAVEDDPDADMQQFVRNLDILNYYRFCGEQPTGDEIYNS KHGQWQYNAKFLKCFQQRRIGHKDAASLRSATCSDSHDAPLAKTNWDLWMMASRRPWD EPAMDHPLDEGFEARSDTSSDYNPDAIEKPPVAEEQVADAEAHFGDGKACLETDEEPE DGPSIVREIETEDDRKAVVTEARSDESDPGPEAAEVQPGQRPPEYGADTLILESGDAV ESE EPUS_06189 MPRSVPVGSDLIASLSLEMVDSPIGRKMKRSELTTSIRGVFGCG DAGTFMKHITQAMAQDALAAVAILQAMHGEGVTAALSECENQDDSPKTSTTAEMELKN AITGVVV EPUS_06190 MDVPNLGQAKNNQDSEDAKIAVLFSGGLDCTLLARLAHDLLPPS QPIDLLNVAFENPRSMVAASGKDPAASAYESCPDRKTGRSSYLELLQICGGRKWRFIA INVPYKESESHHSTIAGLMAPHNTEMDLSIAKALYFAARGQGQIRNPISGQDHPYSTD ARVLLSGLGADELFGGYRRHATAYARDGYPGLIEELDLDIQRLGQRNLGRDDRVISHW AKEARYPYLDEDFMKWTLQLPVWEKCGFRPNSAGTVVSKENNHSPPILDPAKQALRLL AWRTGMEQVAREKKRAIQFGARTAKMQIGKGRKRGTDLIDG EPUS_06191 MSTAENARGPNHALPSETCTGTSPDEQASSTTATERLADPATIV NESSTHSARSENLRESKRSSEGSTSPRALSRPINSTEQNIEDEGCTVETSQRMQNLSL TADSRNSAQTAALKHLPPTPPPPPEKDVAYLDPTPKTPQVSRPVSRQHSDDGEYDEKD PQYRRNSTEEDFDANKASLPPEVAVDKDSQSEIQSIMEQFTGDGGASGKDKIMSPRIE MASPISNDSGQFPPRRSSLEHLRPTISTSVISSEKDLPSPPVPPKSDSTPLSPTRSSK PTSPSLLSGPPLPEPEPDLPFDFHRFLEQLRHKSADPVAKFLRSFLNEFGKKQWMVHE QVKIIGDFLTFIANKMALCDVWRDVSDAEFDNAKEGMEKLVMNRLYTQTFSPAIPPPP QPVRGKGRRKDQDKILGPGRRGQHQEDVERDEILAQKVRIYGWVKEEHLDIPSVGPGG ERFLKLAQQELLKIKGYRAPRDKVICVLNCCKVIVGLLKSSKSADTSADSFVPLLIYV VLQAHPDHLVSNIQYILRFRNQDKLGGEAGYYLSSLSGAIQFIETLDRTSLSVSDAEF ERNVELAVSAIAEKNRETETRSLSHIVSDKSTLSEPEVTPRTSMDASKSSPGKRSSRP LFDSTDSGFDDNDAVAGLLRTIQKPLSTIGRIFSDEGSASQARSGRISPPQPEFTPRL SPSLFQPPRSSGEQRRSGEQARDRDDEYKTDVKVDAQEAAARQASAEAAEARRIQRAE HRNVVETLYSMFPNLDKDVIEDVVRLKEGRVGLAVDACLALSAGG EPUS_06192 MARKKATKASVADVGTDDGHNGPNGHSSPAEQTAPANKLISPAI PPQPRAAEPSTPTLVICRNKHWRYISSFHGPWLQLPPEVLETIAFSNFYLPRPQLVDP ATLFDLVKIRRLVDDATDLTVRAANGTTSSALCNSHNASNGLYGGGSAAALGLGGGGG GGAHAKLSRERKHRMREHATQKLSHAYHLDEIAASVATMQSASALEEVAKHVLARNND DADATYVHFFHEKIPSRSLAECTSLAPLDKVIRQRPTDGAPYRTRAVTRIFKEDFMGA ATDLTEGLRVCRLYNTQHERRNEQTELVLAREAAREQRTDAKVDEKDQPSSLEGQLLF HRAGTFLTMACDNIALALSGTSTTNGASCADLRGEAEMSSIPTKRVREMHHRRLEARK SVRTYAKRALRDYLAFISRFDYTPGQPAEITRAFLHKVNAAANGYGRRGHQKRLLEMA EFPMTARSTALIRHDGHFATPPRRPSQPLPLPSKRVYPLNELFAAIPPPELPPYPIET STSTAFAKPSQYQPLPPILADDPSTAETVTYHPLLTDALHSLLLCHTLMQTSPKELLR HAHMVARLARLSDGFPVFLAARSPARADWVEVLRRAPKAANSNWIGLSAVSWDALCKP APLAAQQGEEGGAAKPGRGKSETKEERRERVRMEAFREALADERVLDEESFRKSVKAW EARGRMEVEEQEEEEEAGVNGNADADADGMPKEKLDANKPKRWAQDEGKEYPIATHRA EAIARWIREVPQLGDAVAGSGAAKAATAKNKTPARLRKSAANVGVVVDANADVNANAD ASADAHGVVDGEGDADADGLEKSGQALSEMANSAVIDIIDE EPUS_06193 MAPSQRIIIDTDPGIDDVFAILLALSAKAEELEVVLISVTFGNV EVRSCLRNIVAIFQVLEKELKWRRENGKPEGFEGMTKYKPLVAVGANGPLHEQLLMAD YFHGPDGLAGIHESHPHLSPKDTWQKLFERPPPDTVISEVVDDQELAAEQSSFRPSLV PAHQEILRVLRENEADTVTVVAIGPLTNLALAAAEDTETFLRVREVVTMGGAIDLCGN VTPVAEFNVFADSVAAARIYALTSANPSSTMPPTPTHVDPSSVLPPYPTTLSRPLKLT LFPLDITELHTLTRGLFNTLTRPLIQLPSPSPLATWLTAFMQPMFTKIESLHHTSTNS SYQTASSGDSNDSNSASLSLHDPLCIYYILTHSSAKWMRSAQSPEDIRVDTTGQWTRG MTVVDRRRSRKRRGSEGARSYDLGDWLGSNSGNRVVRMVGGPRQGEEAFGREMLERDI PYIRTLGFPYVRSSDSDLDCPESLGSSAHAIEAVRPTVIALVSAQRQ EPUS_06194 MKFGETLRQRSIPQWANYNVDYDEVKHMIKESTAGEGTTSPVSI PGQGKANEAWVQLEDTLFPVLCSQYERVNLFTRSKYGEMERRMDYIDRQARLFTRQKN AQASQPLQNTRRYAKLVQEADSIGEDIQSLSRYVSTQKQAFRKLLKKYRKWTGSLALE LRMNNEIFNQPGNALNLDFVPLLDRLAGVRSCLTALSQSRGNATPSVHPHRKSSALVS QKPRPTALQLHERFLNSSPLEFDAAFSAVPLGVAGGRACYWVHKDNLEEVTVLLRRYM KDRNGSSPHLDRSDPCLTSLPTARRDSAQSASSNGRTHIAMFDNLQRFIKAHGAVTVG QAEDLVGSVSSMLAMKILWASDPEAILVSSDLSPSTVPIQHHLDITHIKRKELVKLFE PDGHFTTKHQKGCATDSSVPDTSLQKHRDWLAQNRDIKPLAEVQCLRSRFAGLNNTSE VGTWALMDMDITMSSVDVTTIGKNLSGDATGVQGFPHTVLELRWEFSRTPEIVRALDS THLVERIRGFSLEAQAITTICKASDMPSPMWQSWLDQDIRKVPPLQARSNLRKNVPKA LSSAPSSTRDVFSAGPIDSSATSLQGSNKSTPLSSPVIGKQEVAKTPHQSERKAARGK MRHKRQPIRRYWNEFDDGDEALEDQTYAIYVNPDEHVSFPGAETVSKAFSSMYQSVGR TKGRIMSWLPMQFRKHDGDGDGDGDGDVEEGVRRPLLGTRNKDVDSDNDDSSDSDQSV PAAKASKTLRSTFCATGPGARRTLRLPLRHTTQRQPVRASHEGALFRTYIGCFAIALV LLIMSAIMDATGRHKAKMQVEAGIIVGVVAALGLAIIAISLMMSREEKLSRLHRLLGG SAFLVICAGSGWMFAIVGGKL EPUS_06195 MTATIAPITNGDTYFDPHQDILAALSTSPHNFLQPTSALHAAAI IAAKRFLDPLASSVSEAQELRKRGNRKKRKRSDYEANSVGKVLQLREVYTDGFGIGQI WEQAKRILDAARDEVQRDLKNIQTSQDTTDGVIGKPQQGNGGTEMVPFDEGALDTVSD EPQDDLDVATVGDVDDDHGLYDGEEIQQEAIHTEDDEDMVDDDDIGDMQDSAEENSET EETPQVYVPDPNGLNDGFFSIDDFNKHSQFLEQQDAMGEEDNPSDEDEIDWDADPLAA PLHSTSGKKELKADNATEGEDGEGPTFGDADLDGISEEEHGEEDNLDLADGMPGLENT NDIKYADFFEPPAQKPSKSKRMRALPKTQPPPRPAADGETPEVIANDIQRAISDVRRD LLDSEDEASSTDQDDDDEQPIKPSTKNLSTHEKQRAKILSEIRRLEAAAISKRDWTLS GEARAADRPLNSLIEEDLEFERAGKPVPVITAEVSEEIEALIKQRIVAREFDEVVRRR PEALGASGSARRGRVEVDDTRPSQGLADVYEAEHLKATDPGYVDKRSATLKKQHAEIA RLWKDVSAKLDAEHLKATDPGYVDKRSATLKKQHAEIARLWKDVSAKLDVLSNLHFKP KRAEISVQVVGDKPTISMEDARPGAADDGGVESRLAPQEIYTPGEEGKARGEVMGKSG MARSKEEMTKEEKLRRRRREKERAKKKKKGSEAVKSQQEPKRRGRNAEKAQEKKTILD ELRKGGVKVIGKKGDVEEIDGKKRKGHSEVESRSTEYKL EPUS_06196 MAEEHSYQLSLTEHDPLPGLESFVPVRASKPPSTTTISFNDLLQ PPLLLHENLKQGCGGQLWPAGMVLARYMLHAHRHNIADKTIIELGAGGGLVGLAVARG SQITKPLIITDQLPMLGLMQQNIALNSLENRVIAGRLDWGTSISPSLEAHFSTHALLP DERFPDVVLAADCVYFEPAFPLLLQTMHRLLGPETLCYFCFMKRRKADWRFIRDMKKQ FEVVDAKYDDQHQDKRDGIYLYEVRRKPRSNAVQKELQRKTG EPUS_06197 MATLHYLPPVKPSAIALGTIFTHTASLAILAPLFGDTYHRAQAA NSNEEFFKSKEAATAAASWGSSLAGSALQTYGVGALINATGTLSYKGAAYLGSLIFMA SSAPSIIAQVVTEKRPMDTIAVTVVSRIFETVGLSMFLTYWGTRTNPFD EPUS_06198 MSATFVYSPLPQGYIRLLQAKHRCNGAVLYEFQSKLLDESLRFR AISYTWGSPALTQSITCNGQKLLITRSVFELLSSAVISSLCDELPIWIDAICINQRDD TEKAHQVRNMDTLYSLAEEVILWLGPPSSDSDLAMDTICALSEKKGVISRKNLSQFAR SGEVLEKCGLAGAGEEVRSALGSLLYREWFRRLWIFQEVVLARKGQIVCGSKVLPWDD FSSATSALARLQVHQFSIIYPDVVAGLRGLEAIQEMEAAAEARKYEGRDLNPAFLLDL ARRRAVTEPRDRVYGILGLASPRLRDKITVDYSQRDLSALYRLYVECGKACLEEDTSL SVLYMLSGTEKNPALPSWCPDFGSPPSRNFYMFPGWKAGIPTAPLEEELPSAWFEPEE DDLYAPGCRVDIVDQVVDSTFCWSSLERDAEESSTEDAASNLIWESECLAISGLTPAE RDEAPPLSYLLTLCEGYLSSFENDPDIIREAYQRIRSLWRNAGQSIPPEDVKQRIRTA TYAFQSRLMHNCLGRKFFTSNAGRIGVGPPETQTGDHVYILYGAGPLYLLRFTDEASR VLGNVYIHELMNLDETPEEAKEENEIVVIN EPUS_06199 MSNAKSGQSTGNQVAFPTDQLYAAATNHLKSGDGEHSQMQRALE VGSYFLSGCMAVNLSQFLGAPLYLINENWYNAWIALTKKSIGLLMTTLTQYWAPTVVR VSGDKSVRGQLVPTANGGLRCLFPQRMVLIANHQIYTDWLYLWWFAYCNGMHGSIFIV LKESLRNIPILGWGMRLSQFIFLKRNWEEDKPQMAKHFQKLNKPTLPMWLLIFPEGTN LAESTRERSANWAKKNGIQDMQHTLLPRSTGLRFSLQQMKETVKYVYDCTIAYEGVPR GQYAQDIFTVKSAYFEGQPPKSVNMHWRRFPLSSIPIDDPVAFEVWLRARWMEKDGLI EAYYRHGRFPADRGAHKTREGKIIRGAGHIETEIKSNYWYEFLQVFAPVGVLAMVLYM FYNALPTTVTESLKSQDVLKKAEELQKTQINGQKQPLLTHPVNQVAKDPDSLLGKAAT IYAGLSKNPSVQKVVRLPELSEKGLKNEMKRHQPAVDTIFTQKNALQDMKTKLPSRPA PQAPNPNVKQPTSKSSNGGQAKITQQSPVRRTKNTPAQKSGSVPKSTLQRPPGATPLP PKSASTKVVLPKQTTKQPLPAKLANNKIQVASTSTPALKPPSKPTNTRPKAASDNKPN AK EPUS_06200 MPNFKVDVDYDLDFLNVTFTRSHGVPKVTPAWKVRTAPKLGPPK SKASPEKSSFRPPPRPKINAARFQQPSSELTPRITDVTETEESSKSEGDNLSATNKAL KPTSGNAKKNPPKPKDTSDSKAKATPNTANKSKAVKGWVLNKVSEALAPTVSNIVSTA GGVAGDVVCAVGNSINGVGDGISGAFRRYGDAAKNTGNGLKDFTGATGGRAGTATNPL GLSDTKAGGRRAITSPSIPKPIDRKPAASASSQKALPAPKSAVSKSNTAAPVKPSTAS PKPRPSSINIAKSPALPNTGKALSSAKSSASSAANKMSPYKSPNTPAKTSPTSKKVTY STRPKVTGTTAEARNPLGL EPUS_06201 MTVVAPPPNPSLVAIILVIQARSGPRFVYHYPPSPLSRAGLDSF EEGPSSYENSSVPDDDITSTSNEELLADEYGRGRTKLKRADFTDEEDENSSSPGTDDK QHGGWRVPWENLLGLDASALERLLMPSDRSWHKRKFEVGFNDLVFVGWPIFIREDGTW RKKRRKERVNTVKAEDNRVDSSLEVVHKGEYATEDELNHERHVDLENSPATNPASSQA DESREMTIFNVVFVLNPPILEYSLRVNEMYDHVVKKLGKALKWEQARANYVWQQSDLI LKAKTQARHKRSSITALYATLLRISSLASAIATIYDNISRSMIAAITLTPTVPISLQI PPVTSTSVLPSSTDPPSARTQPGVWLTTATAGSSDEIADTDHPQSAMSLAKHFTLLLL DKESKILADISQSGGPLAAALAHYIRVSSPTKSFAKISALHSISLPDIQLLAQHLVDW RRARAIPPLHQRDTYIVSPNADLKKLSTAVKSYESAFPTLPSLPKMLQALSGIPRPYS SLIPSKDHKQAYFRILAWLLRGGWVTQLRSFAFVRIDSSIKIAVKEQTKTDRNGKGPS DRDNPLEASHDTITSLHLAKRPSMVSRVSSDIRSSTSSHSNPKMNSIILQPARASPTE SKWLDYIADHLHELLSADLHEDEVLELQQCWPTFTRYFNGMEPLEKIPVREGLKRKAA WDLLNRLGLFESLSNEAARQRDTQQRILVGFRHW EPUS_06202 MATAGDIREIMDIPQEGPPKPPPKKVRVDPGPRLTGFQREVQSL MSDSHNVPPIPIVDNSRYKAKPTYAPRAFKTRKWEERRFVNGARTDGLELRHWKHAIS VGAGKRLLEASANGTNVIPDGGSQTMGQPTPGNEENVGTQPTTAIRYDDEFPMEKFNV KVSVPSYTPEQYEAHFKSEEWTKEETDYLMDLCRNFDMRWIVVADRYEPSEIPVSAQK AAARESKNLGASQTYGEASGDAMAIDHSSAPAGNSTSDNKNPSTTEETKPFYPQRALE SLKARYYTIASKMLSINTPPDTMTPSEFGLWEKMKNFDSRTEELRKNLAEKLFLRTKE EADEERSLLEELARITKNEEEFLKMRKDLYQRLETPVLSRRAEDQGTAALYQSSQGLG HLLSNLLNREKRFKRPGMIDTGRANSTQDGNGEAERGTAGTATDRPRKQTWEKGQHPN QYTARRNTMDSESVNGDAPKKTGSMAQTPNVRNLTPAEEAKYGVAHPQERITSGVQFR HEKASKVVLSKSAAQMAKIQAALVELGIPVRLLMPTERVCREYERLVADIVLLLDARR GVERVSSEVKVLEESRRMRLGLPKEDQDGGDGGDKMDVDQDSKAQHGGEGKQDSVQEQ QNANFKPDPEAEAEAEDSDGNADVAPEGDEDVVTTRTEVNPENEKSGENMGAEDNRDD DEDDEGSSEGEAAEADENASESEAEAENDDGDGDAEVEAQEDDNEEEEEDDEEEDERA ATPSPDNDGNEAEEEDEASEAESDAPEADQAVGEDEAEEEQSEAKTQQPPAVPARLHK RSASVISDGSRAGSNRSGVGRKKGRR EPUS_06203 MRITSILSAALVASPFAVSAAGTLGFAVGNTNPDNSCKSQQDYE KDLDALSGATKVVRTYTSDGCDTARNIVPAAKAKGFQVVLGVWPDTEESFAADKEALQ AAVPGNEDTIYAITVGSESLYRGNFTGEQLLEKINEVQEMFPETMIGTADSWNKYADG TADPVIRGGVKLLLVNAFAYWQAQEISNATATYFDDIMQAFNHIQTISGSTETPELWN GETGWPTDGGSSYGAAIASTDNAITYWKSGVCGMLDWGVNVFYFEAFDEPNKPDSIGQ DGSAANEKHWGAYTADMKPKWELSC EPUS_06204 MTFLSKLCIAIGLVFLAHAGYSAHEYSAFYSRIHSANTSTVYSL PLDIRIETIVSVFLICFGLVAGADPLKPINWNVWAGNIEKEGGARNPFRGLEERAGFV DIRAKRKEFADWIHQLFGTGKTLKRELETAHPLNGTANLHLTNTQCGSLNGLRTCPLI TIWKIPLTPLMNYQHSSQPKELSQRIPSQLREAIKDPAAAYITQQLGALPIRHRVRKD RQNVITILRNSEKERWLSDGSEDSEGPRRRARIAERSSGSWLDLHSDNDQAVNAPPAR ISSTEQSAPATIDLLASTHQSHSGYGSLDTVKQEDIAGAAKSKNSPQNGMKSTINAAE SLSAMNDRSPSLRQEVEKSRPETSQTEETSPARSDPADASPPSNRRAFTTSGSASQRQ KRRVIWKGKACYVTFPSHDERGRRPLLSVADVDARMKQWEIEGQDIRGFRLSTSSANE ELAAQTCRLYPDPTYVLKEREGGQFRVSVPNQAEWDAYVNLLKEEKLLALGVSLSDNN HPPSTQYSFPALISRASSRFPGQSLSPPIPTSSAVSNDSLANRRSFSPGIPTSNASAQ YGFAPSVRPQHALHQNSMHRSGKPLAYPGTDLRRMSPFSPSPLHPTLPMQREDLPSAY YVPQSEGFSISRASVPHQQHQQNNTGTTLSDSSRPPDREQEMMHPAPQSPQRNISEAL QNEIDAAEEAIERSEKSVLSSAAPTLRNNVEITQQSERPLSSHGVDFGRNGDRADVAP LKSSAAFWKPMPDGSAGSQANASQHHSDLLLDNTDHINPHVREGEPSLLSVRPSGGRA HEAMSFASKLNVEAEEFKFNPRSQFLSTNFAFNRDAFQPSTIGMVPFSSHNGLEKTVL SKPKPKPVNGLNADAPSFTPSTISTATFQFNSAAFNIGDTASKPAQTVSERVLGQDVD TGNAGGPTKIFTNLTSEPNGKAIRRGKLTKVLPIGEPDGGDHTEDDEDGRVRVSAARQ KRARVDECHDGDKSPQYATATTAQGDDMNLISSEADLRPPVSVEVRNKNAPSAIVISQ EEGHERGLGEEHPGDVVRVPTKMQSPSSQPDPNHVQFEGEAQTTRLLVERNDVVDRVL ENGNVGNFSPTHHTTVSLPSSSLSNPASRKASAPLSLTSKPQQSTGPEALEYTGTPPS SPPATTTYIPPLQQLLAPGDSRLSQCSEPAGESEAHSGHVGSSDEGVDTPPDNTDPTA RSGNVEQQALTPTVLSQRSVSSAEKNGTCPSYDEIDAVMKQLEDNSDLGIERTETPPM KSRPAGGLILNPLINIKGASSSPSSKPAHKTPEPVSEMKEMRLSLDQSSPSIDPEKPE VSISRVEGADISDWNDVLSVADEGKFENRARFFGNSVDTVVGGILQDRLGPLERTLET IQHSVALLTSQAHRSVQRISTSDEVEHSDADDEEEDEDTRSRNTLFHQARSPIIRKKD LGLDVVKTAIMDALAAHNQEISSSREMDHSTLEQVLDELRSLKDSSLSKQSPDEIRTV VEEVISTHPRLRGKRVQEDHQAGASQKFRLQIDGLESLLKIAEERTEQEYRARRKVED ELAETERRLHLAAEEAAQYREASEEAECTLRAYLEEKESFQQLEQNYSELLLKNAALE TTLEEYRLSHDQWRIDIEDERTCNKDLKEVLRSLRREIDENAQTKQILRAKLERVQDD MADVMERVAQEQASWVLKEHDSSGKQREMEAELRQEMRMREKLEFEVDLLEKERKELL RYKDNYDAIYNENTRLEALVVQTRQESRMHEEAAHQFERDVVNLRETAQADASKARLT HQHEVGLLKSHHESHCADLESQISRLQVQLQQARNDTEEVKARYESHLSDHVDRHIRA LHELNETKEAALAEQRRSHEKAINDLRERHARALHNSSDDKQRLDAHFTEKLALSSDR IQHLEGKVADLQDRLEIASSAARAAAEAAAGKGTPGGDNDLTPQLTIASMPLARGSGI PEKISPQALRESIMVLQDQLQNREQTIESLEAELTKVDKDAPSKIKDRETEINWLREL LGVRIDDLEDIIKSLSEADYNREAVKDAVIRLKANLQMEQQERERAVHGSPGIIPSVA SLSSLTQTPRALPMAAAAAWGNWRKARDTSSGTLSELANISQTPSRSSSGPQSFLSGL LTPPRTNHRETNPLPESAPPAMRPLSGRNSSSEARPLRAYSSQARSMSAKRAEPRTTA RQQSSVHSYLTEQQQQQSRQLAPRTPPLMRESSYDQDADARRSIMSDLDDDASPVGSA VDGGDLSLGEPFDESARS EPUS_06205 MGDDVRERVEELLAGVDCAVVNGWGFVAAETEVREEEEEEEEED DDDDASENKVRLDVDDESDRIMLVDNTTTTTTDELAASKAYASSVKVGAATLLDATPS TPNASFHASAAC EPUS_06206 MHMYLPYFASLLLVIVPGALGQQSVDPISNLVSQALSVATDVVS LLSVQATATASPTSTSTPTTTSTSSTSSRSSSASQSSVTTTSTTSSTTSSQITTSTQS ASDTAAAAAASSSAASAIAATNAQNSKNRTIAIALGVVLGLLALAILTGLLLFCLRRR RRQRAQGSRRSFSSEDVGGATWGKEPLSNSSTVEHNSPNRLSTHEHNHTPIHAGAPRM TENALHRHGGNSALGNDRPVPRRGAPDFQPGITGGAPAVQGAHHSSQSHIPLSSHSPS GAAAAGFGGAALGALAANHHHDSHEDRGFGQHHNDDRGISRKTVGAAFAHGTSDHSPR MGMGKDAAPGYQAGHSISPQGKMANSSQPVRTTDSTSPSSAISNGNPPAYNGTDPNGV SHALSSHPPFADTHRHSTGHDAAVTGAAGVGAGALAGAALANHHNGDQDHPGSSLTGR RSWDHNRQPRHPQSILANPANRRSFGSTNPYVQPRDPRRARFSDDVVDGESAAHDGNG RYQDDLPQNSPYPKMEQTHSPQQSISSEAWDHATNGSPNANHMPGGWRGSGDFTRGNG SGEFNRPISNRTSGEFNRPGNRPSYGNDASIPPVPNMPTSPPTDSKPKNPSLSDLHQQ EQEGWYRGRYMGDDVRPVDSANSGGTGGYDDRFYAVNRRSVGQAI EPUS_06207 MHDDISDLSSDLSSVPSSPIAPPDFYPTPTPSQDPEVPANAEED MPPARKRRKVEPKPRITQHLDLTSDASQSRYDQQNALELLLKTLRKRRKIVVVAGAGI SVAAGIPDFRSSHGLFENLKHKHKLKASGKGLFDASVYKDDSSTSTFHDMVRDLSSMA SKAKPTLFHQLVARLSCEGRLLRLYTQNIDGIDTSLPPLATKVPLSHKAPWPQTIQLH GGLEMMMCQKCQHTSGFQPELFQGATPPLCPKCMEADSLRTHHAGKRSHGIGKLRPRI VLYNEHNPDEDAIGAVVTSDLRTRPDAIIVVGTSMKIPGVKRIVREMCGVVRSRRDGV SIWINRDPVPVAKEFEDCWDLVVKGDSDEVARQINFRKWDDNSEESSAEEYSLSEVER VQARQGPIEVVIPRSPSQQKKKQSVLAGLLTPPTSHDDEMSDRGQSLRISIPIPARLP KVQNPASKGRSIHDVLSKENKHKNAGRPKGSLNKNKFGSSTCVPKQAILTGKVTKNNM PGGKVGRLKHQADHAPLSVQPNVNPVVIFPNLSQNTSSESSPTPDSVEAGNWRSANTI SPSGRLPSGMKDLLH EPUS_06208 MNGEHVEVDDLFGDGGTLGDTVTLNLPLQSLPVDGLAQYVDDLH RASTEQRRLAWSRLGCLASLAPDARSVNLAHLHFSGSEPKWMLSKERTIDLSANGDDQ HPILQLEWSQSGIDLTLVDAAGRVTVINIISVALNETAVVRPATLDREDELNQALAIY WLNVDRPYPTFIHASKDQGKWKYMAAKRRPMGPFWPRGFVVVTRRGTLLFHYQRPDGR WAVSNAGLRSLTSTGYLLSHAAVAPTQDNKLLVAAQNTHRQLLVYVATIDLPDPRQDP QMNTGPTISIENIRASVPYTILNDSNAQHNDSMLFDASSQFLSHLEIVPTSDIQKAPQ LPPTIMAFYTPVVTPMGMANDGQLGMTTVRRWHVVSAEHKLHSGFDAMQSKTNDTTLS RRTDLQRIEDVHINQTISTVQKVDGGEHLALGGADGPLALHDPNSLTPLYMANDSAEV TSMAQTGFVFPPYSRGLNLAASPNACIVASLSNDGKMQVTHVEHPSGLTYDSTDSTTV EAAIAAIILSSARSFYSQVSLNDIMLLVTQHLDPIHYPQLIHSMFKDLFGDKDFIPGP EPENNKKPVVARVLSLVASLGHNPSTDQRSEASMLSWLSLNVRSCALTFMGILQSVRQ TGGLEWKDPEVCELACNNIRWTLDLCKFIVDDLFEMADDNISLHNSEKQPHPSADSQT ITKLLLVSIWPRSSLKSIARILRGIVRATQDPKTTLAPEAAAAFSRMAELIEASPLKM EPLEQLLIGVEKMVHQFYQSRGMTDRDKAETERFILSHGQIPEVLQDVMPRILQDVLP TTRTKMDRLNLYMEDYSWLGIREDKKTKVFKRDFVVDVHRKRILRRKGLNRFRKCVRC GSVSADLVRMRHWPQSLQTLVLRCVCEDVFAVVGLEDT EPUS_06209 MSSSAKVKTGQLWGKGKDDLKKQLEELKTELGQLRVQKIAGGAS SKLTRIHDLRKSIAKVLTVINANQRSQLRLFYKKKKYLPLDLRPKQTRAIRRRLTKKE KGLVTEKQRKKQMHFPMRKYAVKAEA EPUS_06210 MSASTGTGWAQLRQQARSLENQTETLFHKYSQYASMSNLPQKPS EEEQRTETQIQELLDKRDALIAQLARLLDSESALTASALKQNNLSRHREVLLDHKREL KRLKSSISESRDRQHLLSHVRSDIDAYRTSNPAEVEAEYMLQERGRIDNSHNMMDNVL SQAYAVNESFGVQRETLASINRRIVGAASQVPGINGLINRIGAKRRRDGIILASFIAF CMLVLFFFR EPUS_06211 MQKPRFLFPRDTLLDLLCIISRRPSYHCIHRQPVFQASLRHAST STRPPPTKPTLAPRVPLRMLLDRNPDHTSAISKIPIPKGERGEKFTPSRLSRPIGLPY PPHPGQNAPHSHMSWSERRDSYADPENVQVRRRLLVRTLLRPYFQEWKRLEHFQGKSF VGSDRLFRREKALWFPNLWGWTLAWDGAEGRDTTPVLKGKVSLVSVQSGVWAETQVRT FLGEKENPELQRLIKENSRLVQKVEVNVQDHWFRALLVKLFKNGLRKSRPESEWGKYF TVRLSRDSGKGLTEDIRDAMGLLNSQVGYVYLLDTECRIRWAGSGHAWEGENKSLNAG LIRLLQEAREAKDPVRPALHADAVREGDLQEAVQKALA EPUS_06212 MPAQFSNRRKISAKAPPKLSKKQSSKKSIQLQPEDAQIFRGLVF YFLPNNDIAAPRRKRIEKAQEYGALWAREWSADVTHVIVDANLRMSDVEKAIGSDQLK PRHVIVNEKYPPDCIEYQVLLPTHLLKFQVFPGPRRGPEAEGQQPREKSPKAPSEHFN RSKIFATAGAAAKPDNEQEEPAVVTHEPASFAAVEGFGPSITTKKGENEDDELDRIVA EVQATADLPLELEDDSLEPSSSTTASDSEDGQLTRMQEKKHKRSISDVVDPQLGFSCM RKNEGTSKSKNPNLRTIEMLDKMAHYYDRIGDRWRTISYRKAITALKRQTDYIATREQ AIGIPGIGERLASKVEEIACTNKLRRLESTVTDPNDPILLLFMGIYQVGLPTASRWIA QGHQSLEDLKSKADLTPNQRIGLDHYDDFLSRIPRSEVMAHADIVRRACSNADAQIQL VVGGSCRRGSADCGDIDLIITKESASLEQIRTILVDTVIPQLFQDGFLQVGLATSRSR STDRGSKWHGASALPGSQVWRRIDLLFVPWDEFGAALIYFTGNDIFNRSIRLLASRKK MRLNQHGLYGDIMRGEKRERITQGRLLEGRSEERIFEILGLQTQHHLPGGASIRIMAY MGISLESVILGKLVAGAIPRCIALSTIQKRDVVFHICEIDLATEAATAAKNQTTSKWS KGTDQPGLSPHN EPUS_06213 MGIEEQKEEREVLDSIFPEEITDISDTAYRIAITLEVTNEHGDT TEPPTIVLSVSYPETYPDVAPNLDLSNPPNAPKHPLLDVSEDKAQLLKSLDATIEENL GMAMVFTLVSTLKEAAEQLIIERQGALQAQKDQEAAKADEEENRKFHGTVVTRERFIE WREKFLAEMAEKERKEKEEQEAEDKKKRGGKPEEKKMTGRQLWEGGLVGKVDEDEDGE DAIEGLERLKVTA EPUS_06214 MAKIKSVSKSIGTKKKKRRAHRDQEGLHRADGTTVATKEPETPE QMYSKASDLFLQLQIDKALSIAQRSLDEFLEVYPDNPRASYPTLLLLGQIYLALGDVD LSREHYLKATEVDPDGSETGAAPFLWIAQLSEVGGEESIRWFEKACAILRKALRELEE QQGIEESENAIIETRRQLGETLCSMTEVYMTDLSYVKFDTYDVVQQLTDVPGSFDPEA GSRCDALMTEAVLVCPESPAVLQTLASVRISEERMDDAKSALTRSMELWRHLPNDDVN IPDFATRISLARLLLEVEMEPEAVEVVDQLVQEDDQSVEALYLGAWSRYLSFEKGSNT ASDSRDWFRRCLRLYTSVDYEDEKLRQHVVEMITKLDEILGPPVKEEEEEEDAEWEDE ADVEYGEEIEVEEPQEDVKMNDVDMKGGVT EPUS_06215 MNALPTLPATKKRKSGQEVPSSAVKNGLCQVESSDIFSIHDRST LMQSRGSTCSDEYHTVCRQCPVGLKADISPKSPGQSRAKTDNAAAKAEVANSSNCTAP GSKDAQTAFKNGSSPTRARTGNDQCTYEEALAIRYHVREIGLEHFFQNSVLEQRIPLQ TLSTVFGVTLPTSPSDIPDNTLYKRLRKAITVDMGNRIKLHKYNSVKDAIALVQHSKN IMVITGAGISTSLDIPDFRSRGGLYSTLRDMGFEDPESVFSRDTFEQDPRPFFSVAAK ILPPTDGRYTPAHAFLRLLQDKGKLLTLYTQNIDGIDLTAGIRRDKLVQLHGSFETAT CISCAHRVRGEEIFPQIRKCEVPICTECARERQLRIDQMIAMRAQNGRSVRRKTQRSS GESTSEPVGIMRPDIVFMGEPPRPYLKRFQRDCAQVDLLIVMGTSLPVEPVNTMPNKI PPAVPQIYIGKNEMSLEGSKRIDFDIQLLGECDVIAELLARGCGWDLKHEMLSRDTVI EAEPWCSGRRHCHEIRQQKIPAKDVGVKSEPKE EPUS_06216 MSMDYLIRLVQMHEDFRLAEIRCLASYFRIEIDIVSYSKNSPFL ILRILSYPASKFTSPNVAAKALISRSVLSLGIHELWGMAPKVEGVATYAALHADVQTR TNSGIWAKYKKASFRFSVDAYCGKRSPAEQTEIINGFRYLGFEGPIRMQNPEVEFTAF EEWNLSFNPNHSRKQHPDLTSQSLVDEPFPDNHPTPQHDPQTLYFGRLISSSPRHHLI MKHDLKRRPYISTTSMDATLALVSANLAHAGPGRMLYDPFVGTGGFLVAAAELGACVW GSDIDGRSFRGAGRGKGGSDGKVGKKKGGKGGVWRNFEEYGLGDLFGDCFICDLINTP LRTNDGNGWLDGIICDPPYGVREGLRILGNREPRTDRIPIMVDGVPAHTLPGYVAPKK PYSFERMLDDILAFAADTLVTDGRLAFWMPSANEDDEELAIPKRVELELVECCVQRFH RWSRRLLVYRRRRKEELKELDVNGSGQDDEKLKELANGKTASDLNPFRRKYFQGFRTR DIIPTRTRAL EPUS_06217 MRGNRKAPESGPQQADNTVQDLGYSEDCPFCNISLAYPSVSPLN DPQRIARILHHEKTSPPCVVIYSGEHVMAFLDIQPLTWGHTLVIPRRHRVKMGDLEAV DAAELGRHLPLIARAVMRAVFDIQYNPEKLQVEGADYNIVQNNGPLAAQVVPHIHHHI IPRPSDPIPRFHQNGNPAPSQLPKMTSKYHTSWTMFGRGYRTDLDENDPETQQLAQRM RYEIAREFSRGEIDSSYGTSLFKHPNTTGAQAPHLEATPYVDSASPDSTLINTRNPDH KSTTTDKDLHLGPHSEPSKPSGSEKPTPKGDSPLQPEQALHRIHRISNQSTEPSQEGD KTAGSGPGFQGANVIPVAITQRAAEEDSHPPRSGEKNGKEKL EPUS_06218 MSRYQLLAPDSTFVKKQSLKLKIIQARSRPRIASNNENDENQGR VTRAKAAALTDYDLAKKPLQSKKPTTSSLNNTAAQRKRAALGDVTNATKAAEPSLGEG KKAANGKVGLASKVAPAGVQKLTRTNSSRTALGAKDNNVKSKPAASELKRQASASGIG NIQKKRAQSTTSTVHSSKEATPDVKEPPRKKSCSEAEIKTSKAEAQVLEDVKTTAQAI QEAVNDLDAEDLDDPLMVAEYVQEIFEYYKELEGTTMPNPDYMEHQDDLDWSMRGVLV DWLIEVHTRFRLLPETLFLAVNIVDRFLSSKVVPLDKFQLVGVTAMFIASKYEEVLSP HIGNFVHVADNGFSVDEVLAAERYTLTALKYDLSYPNPMNFLRRISKADNYDIQTRTI GKYLMEISLLDHRFMPYRQSHVAAAAMYLSRLILERGPWDATLAHYSGYTEEEIQPIF ELMLDYLHRPVAHEAFFKKYASKKFLKASILTRKWAKDFHAMQSNGVGTARDSVKCSQ KTVDK EPUS_06219 MAQQDPQEIAELVKSLETAKGHKGRGKKGGFSCKKSTFRVAGSS DISVDSWRFQDWDYKRDDLPTYARGLFTTKTKDGKHEIVIRGYDKFFNVDEVNETRWR NIETRTIGPYELSVKENGCIIFMSGLEDGTLLVCSKHSTGVRSDVNLSHAQAGEKWAE KHLASVGRTPKDLAQELRRMNATAVAELCDDTFEEHVLEYPPSIAGLYLHGINFNVPE FATLSGPEVHEFADTWGFKKAQYVIIQSLDEVKSFLEKCAESGSWDGRDTEGFVIRCK IREEDREPYRDWFFKYKFEEPYLMYRQWREATKSVIMGKPPKYKKHKQITEQYLLYAR RQLAKNPQLGKEYNKNHGIIAMRDGFLAEIGQKGSDIIALERHGEGEEAGGEVNSNMV LVPVASIGCGKTTIARALVKLFDFGHVQNDDIEGQRGRPKKFALAITNSLAQYKAVIA DRNNHQRRERQQIFDDVSNVVRDAHFVALHYVHEPKDRLLSDIRKVTRKRVLDRGDNH QTIHADTKGHEEVAAIMEGFMQRFEGVDTHKEPDSNFDEVIDLEVTASSLENLETVVT HLYNAYPKIVGEKMPSQQDMEDAISWALENTVTLKHDLSFMSSNRKKKQPNPGTQEEH PADQELTTDQILKRLEYFSIPVSPAVINSMLASLFNNTTAEEAKMYNQLKQSRRMQSE FHVTLIHRASSNVDSDIWTKYLDQYVQALSTIKQENKQKEPDLGAVRIRLERVVWDGR VMAFVVRILPSESHQGMDGNGGWPCANATPHITIGTASSDIKPKESNDLLRRWLEGDE SPGKIWEKEVPDMKILEGTVRAVMQKR EPUS_06220 MAANPFLLAADNSPALLPLLRQNPSMASKQDEHGYSLLHAAASY NHIPLLRSLVNEFNVDVNLKDEDGETCLFVTETVPVATCLVEELRLDTFITNDDGLTA VEKIEEEGDFLEVASYLRSRSGGVTSRPGDFSPITAQSHPPPLPSNVTVDVGMMADQQ FSDEIQQPDPEFKRRIEELAAKDDFHGEERQRELRALITDAVRGVTAEDRDTRRRLQ EPUS_06221 MTDTENGMPYIKHEPDENTLNPNHYMMTSQGYGGAPEQFNDHFN HQTNDGVDPVELQNGNYGMQYSFGSQQNLSSFNFGNSGIGDDELIDLDLNGHQSQLSD FNPMQNNQVGAQYPEQRHPTGISLSHQGQMTNVYSSTPDGPPIQSPFLGNFNYEQFRP INGMSPPMNSQANAQFQQNYSKRPSVQSMDRRTSDQRSPLTPRTPAMAALHIATPESG SFASHPIRTGSLQDRHRKTQSGQWDATPNSLHSLVDSPISSPGHPSHHTNISEIIRSG KHASLPAKVENNHGQGMESLDAKKKRRRASHNAVERRRRDNINDRIHDLSHLVPQHRL DDDKIRKQIVNNTPMSPTTGATSISPPNAATSLLAGAAGRRAASTAGNITLGLPIEEK EKGPNKGDILNGSVSWTRDLMWALYHKYMQEDELQERLRQLNVEWPFESTEDERRMRS ELIDAMEKNDPSSFTYTRTNGSGLRVPMHTNMAGEALQPTGTLSPQSLSPPFHSEGSG TNSGNGLPGQPQFWNNAGHARISFKEEDEYSMEMN EPUS_06222 MQPDKDQMKAMVEELEVSNKLSSEQSPELLSTRTSSIWKGADAH RGEPLLASSSDDMTRFTATGRPFKPENHYQSTWAGLADAPQAVPGKTLPWKFTDESLI SVPCLRPKSQEERFAPGEANKKNNKRWWDRRSSKSNGSMNNPDKFVMRKIQRGEYLKH YAKDEQGAYIGTEEPAEDCILGGDDLETYRSGRDKMFRNEIVGNGLKRPALVDGEPDK SDDDVVL EPUS_06223 MSRVPPVYTARIENYYPYTPIKGISIAFAVLFFISGVLHIYQNN IKYRSWKIGFLLPWSAALFVAGFVLREYNVRGHLDNLNVFIASAVLLFAGPPVYSGAD YFILGRALYYIPYLSPLHPGRVWSTFIGLDVVIETLAANGAALFVNHGATPERRQIGF DLVKASLVMQVILFFGFASIVALFHIRARRAGVFNHKLKVIIYTMYASSTLILMRNLF RTVAIFIPHDSYTNTTEWLIWVWEMVPMIFNTFLLNIWPPAKYLPRDNKVYLARDGMT ELQGPGWEDRRPFLLTVIDPFDVRGLVNGEDSKNRFWEKDGIEPAEVKKHNGPQTSEK AV EPUS_06224 MAIPVIDFSAWTNHAGKDQRQAVSKELVKACREVGFAYIINHGV PQDAVDHAFAISKRFYDLPQEEKMKAPHPPGWAHHRGYSSPGLQRVSAVLAKEDDEAL VNKLRSVTDCKESYEIGSDENPDQPNIWLPQSSLDDFRPFMTQFYWTCFNAASDILRA LSLGLDLEEEESLLRLHSGHYNQLRLLHYPPIPARAIEEGSMARMPAHTDWSSMTMLF QDDCGGLEIEDPNVLGKFIPAEPMKGALVMNVGDLLMRWSNDYLKSTSHRVTLPPLQD RFSGDERLTRARYSIVYFLTTDPDLLIECLPACVDEDNPAKYEPITQRDYAAMRARVQ Y EPUS_06225 MTARKAAKIYNCAPSTITRRLQGVTKSKRLSGAKQQLLTPIEEQ TIVKWVIQYYQWGLPLGLKQIRQFATAILLRKYPQPQGSDHPLGQSWHRRLLNRNPQI KRVVARGLDRMRASATLKIETLNEYFELYNSLRQKYKIEAEDIYNMDEKGFCIGAIQR SYVFILVTEKEAFLRQDGEYRLLILDGHESHCTLEFIEFCVEKKIILLVLPPHTTHVL QPLDVAIFQPLSKYYSVEIENHSREKHYWLEKDDFIVYYQIARQKALRERNILSAWRT TGLLPYDPQVVISKLSNRAVTPPPTTQMQLVVNGSPLGLLVGASDDYIAKATQAIKDS MIGSPAERTIRTIEYLNANNAILSKTNAQLVATARTRQQVKKGKQTLGKARLLDKEAA DAKRAEIEAKEAADIAHRVAMDQKKKEQMLKKAQQEAEKAEKAVQRAIAKDMREINVE MARMARVNPKLFT EPUS_06226 MPPNSEPTADDFFNDLSRRMNENGSGARSKSPKNTDICARTNDD PDGFVPRTKRIASQVETLLKTHDPSDPADSSNPTQSMPPATFSDVPGLTIPGEPTDGI PQPGTNSGVSDSQIDSMMNSLGLASEPFSWELISLGLEEPLPSQEIIDEMHQIYFEKI QPSAPIVHQPRYLAAMNLAPNMRPPVCLRYAMWLHVCSITPRYSALSDHFYARARKYA EQDEMRGFGESIMTVAHTQCWCLLALYEFKMMYFPRAWMSVGRSSRLSQMLGLHRQDG AALDVKQTLAPARDWTEREERRRTFWLAFCHDRYASIGTGWPMTIDERDISTNLPASD EAFLNSKPEETLPLAGAMTPDGASTLSPFGAVVLMACLIGRNLTHLHRPDDEDNDHDL NGEFWKRHRSLDNILLNTLLSLPPQLRLPAGIHDSNIVFLNMNLHASTICLHQAAIFK AEHNQMSPQITSESKRRCIIAADQIANNMKLVCHIDLSTLNPFVAFCLYIAARVFVQY LKTHKDDATVMSSLQFLLVAMHALKAKNPLTESFIVQLDVELEGSGMQIPAGKSGPPP SILRACGGGKPHHTPASTNDQSCSPLADIRTATVEQSTDDVRRPVNSKVRISSLTEYP RMNYNGRVPSKYGETSGGMDIDISIENISNQRLPSMTTSAQPTASASPNNASSQNSFS PPNVEEHSNLTNTSSLGGMSPGTASAAAIFSDHQAFPSFDPGITNMSKVPGSEGAQHP FAMPASWNHENARVSPRNSAGDYDFDSMTSTNVPNWQPMGVVEGEWLFSTWNGADTST EPUS_06227 MAPPVQRRQISKDRFGIVFGSHKSQSYIDPLVRGAASHFHRTIS WNATGTLIATGSTDRTIRVWNPEITTPKSQIELRGHTHAVEKLLFNPTRDFELASCAS DGTVRFWDTRSKACTTKLDVGGEVFTLSWSVDGTVLVAGTKNDVLFPISTTSTPAILG RHPQTTQTNQTCFSNAYPPGDLLLTHSDGSVSIRSYPSFSTIHTLMAHTSSCTSIAYS PNGKYLAVGGSDALISLWDTADWVCRRTLSNPTFGGVKGLSWSWDGRFIVGASEDVSS GDGAGMGSGGLEIYHAESGDVVYTVPTGTSGIPAVEWHPSRYWLAHTQIEASTGKSML KVVGAAGVGLSI EPUS_07791 MTAQSIKKGVCDALANVEVSGSFACFHVLDSFPNPALFIRGLGT IGLPLSVRDAQAIASSSVTQQSPFGKGSQTLVDTSVRKSWQIDPAYFHLRNPRLGHHV GRVVETVAKELNVACGPRHVRAELYKLLLYEEGAFFLPHQDGEKVKGMFGTLMICLPS QHSGGEIHLSHEGKRQIISTSETSDFEYSYAAWYSDVTHEVKPVTGGYRLVLIYNLVQ TSSGPTATASASTDSKSKLKSVLSQWSAVCNQENPDIPRMLAYKLSYKYSKSSLSFEA LKGSDHVKFRYLLDACNDQNFLVYLAHIEKEVTGGCDESEYWDRCGGGGYYGYDEDSA DEDTEEEDQSYRTKASIKDKKDLGGCHEIIDLVDSSIQLTRVIDRNRKEIAKTVDFDV EDIAQGDIFERAPDGEDFTGYTGNEGVSTTHFYHDTVVLLLPQTCRVDLMYKAAKEDP DRILSWMKRLREDHGTTSDASKRLELERLCHLVLQQERKTSSERFSCLSTYIESTELL EGVASAALKLDNVPLLEEALGLQRGEPSVEMFGIIGGAIPRLGFETLKPALETAFRRI KHIDSKRSALLHLAGEPSSASGKTSDMEASAVKGWVRAQMESIFLSCSSVTPKDGTAL AEVADEFGAKMLEQRIVPFVEAKSDHTSFAMAFLIRLFELRGRQVPVSTVAETYRRVI EKVITQFDWGASEERLPIFYPVSGDVIMQTLQQCEVLSLSNAICNLFHQIQHSALNAR TDVFGSLLLPYIELLLTHLRKGGSCFMLSNDCREHVHLILQAYIIRYIGPEPRRPTDW SRPTRTVRCSCRDCLDLKAFVKDSIRETCEFRIIQARRKHLEKQLPRTGYRCQTITSG SPHALVITKVHEQYVQEHSQWQNRFDFAKKHIRNLDSNPWLKHLLGDKHDEILNLKIT DRPLSMLSPQLHNNNKSELGQHFAGNKRKEPEHNGHEAADPTKRTKLNIVDLTAD EPUS_07792 MGIAWYCGEALKSNAYHKYLSPLFLFAICLRDTITILHPETSPS SIMCSSFTTQTVDVPHLGGIRASYHMPYPYDSSKPTLVLVNSFTTNAKLYNSQYANKS LTDTMNLLAIELLGHGGTIALKVDNWTYWDTAIMNLQVMEKLGIEKAFALGTSQGGWV TVRMALLAPDKILGIIPLGTSLSAETPHTISLGCWDCHALLTPSIDSWTTNTSSPDFV PDDSYCNYLVDIGFGENCEKEVREFWVKEIKDNYRGDEGRRRIRMAAINLRDRDGLRG RLWDVRCPVIWLHGTNDVVYTIANAKEEIKLFENAKAADLMVVEGGAHFLSASHPKEV DETLIEFLGRWADQ EPUS_07793 MKDFGVGTYMAAQKKMLSQSHIDARIIWGFDRRYWQLDAFKKFR WCDESHFATCLQRQARIHRRKGYEARNALSKTQFRLKRQNQSVHVYGVIGWNFKGQLH FYTGSGIGGRLVQADYMTILKEIVAPDWDKDCILIEDNDGPHGTKGKGPNKVKALKDL LGIKWEMNPANSPDLNPIETIWRIIKQRLKSRGVIFEEAVLRRAIQEEWDKITLDEIN RAISTMPDRVAALNERNGRPIPY EPUS_07794 MGDQSAAETPLISLQSKGHGDLLNIIDSLRSQGISRYIDLPQLI VCGDQSSGKSSVLEAVSGIRFPTKDNLCTRFATELILRRGPDSNASVTIVPGPDRTDS EKTKLLKFQHEIVELDQFEHLVNDAKEVMGLDGDAKAFSNDILRVEVSGPNQPHLTLV DLPGLFQAGNKAQSDNDAQVVKDLVLSYMKKARSIILAVVSAKNDFANQIVTKYAREL DPQGLRTLGIITKPDTLHAGSDSERSFVDLAENKDVNFRLGWHVLKNRDYDTRDSSAE ERDQAERDFFSKGIWTTLPPTHVGITSLKPRLSSLLKDQILMELPNLIRDVEGGVKEC QSILERLGGARATLKDQRLHLLQISQSFSSLAKAAVGGVYVDQFFGSAMTKDGYNKRL RAVAKAILTEFSDTMRTKGHAKYILDDNETQPFQSPPLSPPRIRRSDYIQEVLGLMKR SSGYELPGTYNPLIIGDLFFEQASPWGSHVNAFMSRLIEAARTTVNMILDHIADDETI GGLMRQIINPEMDALKKATEQKAAEVLAPHQRGHPNTYNHYFIENVQKAKERHWQKFL EERLCSHFGLADIDETYHSPDTGIQLRPLLTSMTSRTEVDMDRFACSEAIDCMLAYYK VAQKTIVDVFSELAIEQCLLQKLPEMFTPEVVFALEPDEIESIAAESEESRVERSRAT EKLKVLESTLKVLHSLDRHKITVQGKDEAGLSSDED EPUS_07795 MSNVGALHAFPSNKPLHTIEESGHSDYEPTERGDSRLQSYEDIY YNQAVRLAAEEWQASSSDNSSRSDEGRASPRADARSRSGQLRHRTNSNKQAPFQESEG SDHASAQRSSPRESGTFSVEESNTDVTSAMQQSLRGEHSDNENPFVAPSDEFDLAAPV KIGNDLHALEALCDLLFAGEHLKKIFADPSSLLDFTAFLSACRPRSIPMLMYHLDAAE ALKAVNYAITIADSLEAIPGHDFTSAPTKTSMNSELEFKAEKAFNVLVKEDLPAFVTQ LYVQTVKSSMMRRIAGPAVPPSRDEPEGMLEVFCLTDPSRTDNPIVFASKAFHQMTQY SMGYVIGRNCRFLQGPRTNKASIDRIRKALDEGRDHCDLILNYRRDGSPFLNVLMLAQ LRDVSGKIRYTLGAQIDVSNVLPDSADLDGEVSQRGPQIDFMGPTAPPEGSKPKDKSQ DPREMLDSQNQHEDGGRRAPTIQEQADDDVRSTNGNWQRPAALLRGLSSASLSEYGSE SWVNNKLGGFYQHYLLIRPYPSLRVLFASPSLRLPGIVQAPIIDKIGGSPEVREELTQ ALAQGRSVTAKVRWVMKPGDRGRNRWIAFTPLVGSHDQIGVWIAILVDEESENELRLA PPVKFRVPELKRPAPAPPKQPSVVPAKDRVPDAKAFDAYLEKPMPPLPERPVPSRNEE SPASISPTATSFLPEIDEAYESLEVRLRKKRERDAARLLEKNGAPIKPTYKSLSPYAF MNNDGP EPUS_07796 MTAMMDYRDTHLNGAPTNPYGNDHGFDFGNITSTPSKALVERER DRGVSPPPQSDDTRPRSSTSRGMAVNGVADFFSPEVFQIVLHNPATAHQLLKFSQARM SGENMEFLERVDRYNTLLDELTKTLSEIHKNFISPDAVKQLNLSQGMMKQMNADIKMT TMTTLPAMELMFTDAQEHIENLLASDIYPRFVKHQMTTSAVKALSGGSRQRYAGLGDC FCLTNPAIADNPIVYASDGFVSVTGYSRSEIIPRNCRFLQGNHTDHAAVRRLKACIGA KKESVELLLNYRKTGEPFWNLLYVTPLLDSEGRTVFFLGGQINCSTTIHNCSDILRLL SIGEDSNVVDDPYLAAATTSKPGSSGLGALFKTFRSKNNDKYSDIPAGMEQNLINKIE RLDFKEQMEMFYTAYSKFLVLSHPALEVKFFSPTIVDMLCLDPKENLHLANNNIFKIL MQHAPSMPRDFKYRIKSSLKSGRAVSADINLVTKKSVIYRRSERLATHWTPLKDEKAE VKWVVVTLTAGT EPUS_07797 MVETEASAETDLQRYLKKTSDDSGNPPPVPPKDSPSVSSNVDSH FISRSISRTDSIFSFSRATFSSQWSSLTSMKLPSSESLSTSISAIYTAHKAIRALTNA ADQIQMWAGKAIKILSNLDADDDVEWAAAAGREGLDETDKAVRKFETLVNVYVQAIDE LQMRPDVGEVEAKDLQDVVDQMESTLADWEDVRNSLKAVHNQVELAMEWEELWGTVLG DVGEEMDSLGRLVFEMEEKRHMSMREDAHTDVSEGFDLNELENMLHEGPKRASNSATN RFSLPFGFSSSPLESSAFDKTQNDSNLLALFARMQPLRASLDFLPMRLSMFKARAEEV FPTACIELEEKRTRLEKGWKTLEKEAEDLRRELGEDRWILVFRNAGRQAQKMCESVER SITKLQEAIDAGAQHNNRTALAKKVENFEAKRMHYGPAIDRVLSIIHKGVRDRLTVNG EILRLHADMTSRVQAMHQSMNIMEATLEESNANHNSELRDSISSIISMDRSMTSSFNE TPGSSPASSVVLSGGKHDTPPANGTRGYRSTSSSRPPTGHKRYASSLNKRPATPLSSH SASTLPARTASPSPGQPSVYRQGIYKPPTAPLPRPAATPLSNKPRWSSSGKPSNPKPA YGARTASGTMSSPLGRHHQPSRSGSSFSSLPAPSPLSREATSSPNIPPTNAMRRASNL QSFAERVCTPVPGSAQNPLPHHRGRHVTAPVHTSPHLGTIRSPSSLAVHNRSKPTMNR APSSLAHQRSSSKPLASKTSNPVSRGDSGIDIGDDEDLDHDLENLQLDRELSSSPSMR PRLAQNQRPGSVAGRRLSMLPLPTNKIKGSTVISNASGRESSLSNRPVWK EPUS_07798 MNNNYTEDYSWQSLEGDQSWVDYGYASALPNFQLDLPLGYEPNL TAPWASTNTSSYYVQNNLGAGSSLVEPQQNLVGSYFHITGAEGGANGQNACFTSEPRE TVLPNVDTAVLYPAYMQGTASHHQVQQQEQATDLSTAAIDFQFVGGSRPLQPLAAKAE LPSVAQRQDENSEELNLKCKFPGCVSKKSFKRKYELERHMQKHSRQETFDCPAVNCKY RGPKAFYRPDKLKAHVLAGHDEQTLFACPVAGCFSASRLLSRAFLSVHMRNHDLPVGK YRGYLPALGSLDRFRTCPVEKCLKKLSPDSLQGHVLQHTEAERAACRIKIAAAGLDHL SGCVICPIISCRITLPDLPAFQDHLIDHIALDPNHFRAWKTSAIHSWCDLHQHPWVLL RISWWDRISSFYNRDCPACGMRRGQNTHPFDLLKDPKNLHACREEILQLYPGIGWHPI FDDVMPAVHRTTIRLP EPUS_07799 MGTIRVTPPRTLFSGQGSAYIGKAIASSLNSSSSICTTGMRSSY YLLRPYSSPLGKRNFSSTSKQQLEVFPPPRDAPSIRVTPAAWQHPVYSEESMKQIAIA RRDVRTWSDWTAFNLVRLLRWSTDIATGYRHQKEIKKLEDNPNAKRFQMTERKWLTRF IFLESIAGVPGIVGGMLRHLRSLRRMQRDNGWIESLLEEAYNERMHLLTFLKMAEPGR FMKFMVLAAQGIFSNAFFFAYLVSPRTCHRFVGYLEEEAVMTYTHCIRDIEAGRLPSW SKLDAPEIAVRYWNMPEGHRKMRDLLLYIRADEAKHREVNHTLGNLDWHKDPNPYVSE YKDPSQNHPTKGIDINKPVGWEREEVI EPUS_07800 MTDRLSRAFTQEKAQSYGITGFVKNTSDGKVEGEAQGNEDALQK FLKDINEGPKNAHVVKVEKSTIDTKDGESSFQY EPUS_07801 MPAKMPSINGSPTLSASPSPSLDTPDLSPSISVSTNRSFSNVSS LSSNSSRSSARSCSLPSAISTTSSRRRGYIRPQGVTFAPSAQNRDSVLSLGSIAHLQY YFARTGLLDGKGGQLAKTRQNGEYDLPIPSKFSLSRSDSDAGSAITDSPIEDEGALLW DAAQEDGEEVMLPPTVSTYAHRTNHVPPPPDQKSLKKDLVDALENALHALEDVSSSLK NGENGEPQGQDLQGFYEIQGLHLLDTTTLAIRAARLYYTLHPNPTVLHSIKPDFQIRR DLISVLDVLKKWAARKFAGGLREEERLAILVWVSEVGMMIDEEVRMEEAERQEREGWQ WMDNSLWSGREKEREICFLESLQKASPNQPTGDELPRWEEVDAEANEPTAFLRALLDG RKLIQMHNGAVKRSKRHFGEIKTWHDDVAKPYRRAENMRFWMKAAEIRWDIKLLVNVM SIVNSSKEPGIWKQLEDAVLKWGRGVREEIIRDWKDDEDRKLHARAKSLALASPGGSP QKSKPGAETLMDERLGGRIG EPUS_07802 MSSSRFDPNFTQNVINAIGPNTAPRMREVMSSLIRHVHDFARET ELTVDEWMLGVRFLNAVGQISDAQRNEGQRLTDVIGLESLVDEIAHKHMTESTGDPTS STILGPFWSPNAPFRELGGSIIQDPHNGQVTFMHGKLTNLTTKKGISDAVLDIWQASS NGKYDFQDPENQTDNNLRGKFRTDAEGNYHFYCLRPTAYSLPTDGPAGQLLTLLDRHP MRPAHIHLMITHPTHKSVTTQIFPADDPYLSTDSVFAVKSDLVVDFKPRKGDDKATLD LEYNVILAAKDQEGASNVPMAPGGAPFSNGTNGVNGNH EPUS_07803 MLHHLMVGTWTRPGAIFTFEFDDESLTLKLIKKTGINHDEPISW MTFDHARRNIYGASMKTFSSFSVKTPTEITHHMSHSIAGHPKASSLETRTRAIFVLAA KQPPYNVYGNPFYEYAGYGNVFSVNKDGAMESNIQNYEYCPESAIHGMVFDSTETYLY SADMWANRIWTHKKDGESGKLTLVGSVDAPAPGDHPRWVEIHPSGAYLYVLMEAGNNL AVYVIDQQTHMPVFTQLTYPLIPPGLDKKLYRADVVSMSHGSKYLFATARANPIDITG YISAFSLGPAGNIIRQLCMNPTPNSGGHSNAVSPCPWSDEWLALTDDQDGWIEIYRWK DERMARVAHCDVKEPGFGMNAIWYN EPUS_07804 MAPTPGILYVTMQPSSDLPTSLFHDWYNNEHGPTRLRLPFVGNG FRYRATDLETQGTGTDDMPEWMAIYDITDMEELTRETYLRLRGDNMKSQREKDVMKQI KVGRKLYDFVSERKGEGFKILEEVGVGERQGNVLCAVFLTLKQGQDSKELDRWYDKEY TDMMSKIPGWLRTRRFVTSSILEGKEGIEYLAIHEFAPENGLHGEEFEAAMIKPWRNE IMANVIQDVKWRVYELYYIFGAAPRHLKDVAEFNSPDGQTRTNPTSPGGSGTIESFIT TPDDVVLPYRLEGCSEPDAPCIVLSNSILVDWGIWNGFIASFLSYPQNRKYRVLRYLT RGRSSNCGSQAITVDVLASDIIALLGALRIPRAAGLIGVSLGGATVLNTGMKYPDRVA AFVSCDTSSKSPEGNSNAWGDRIDVAEQEGATAPNGERVVGEQLAEMTVRRWFVKESY DGGYLEKRIQKVKAMVASNSLEGFKRSVEALFEYDLRAEMRESGVPGAFVVGGGDGIL PGTMKEMAAAYGPGAVYSVIAGAGHLPMVEKPQEFAETVRKFMARQ EPUS_07805 MRLPYVPNPPEDLTPSEEEIVQRVLARRGDRGLIPLDRALLHSP PVANGWNSLLGAIRTKTSLPADLREIAICRVALLNEAWYEWMHHAPLLLEAEGFTEEM LKVVRRLDQSEGRGQLSEKQWVVLRYGDAMTTSVKVEDALFEELSSVAGFTKKEIVEL TATVATYNCVSRFLVALDVGEMNGKAPE EPUS_07806 MSSDLPTPPETGHVGSMSLRALPPVYILPAHLTVDELHELEDQV IELGAPFTYDAKEAKIFLGKVERKTRAAFELRSKGVWTEENIVKMEEPARKRRRVTTA PPTEVVIISDDESEAGEDGNVKGKETSAATSSKRPLVEDDFVLPDLHNRVLVVKLAWR DECFRHRRLLPIEPFIVYDGRLIPKPDGESTPTSSPSSVRTQRPDTPSNNEPTSSPLQ RENLFTNILTRAKADAEVVEEKGHPVAFQHRRRFKQQNLPPVYGSPVPKLKRMTTSEF EECDAKALPEPPDWVKNHSMYCCLRSTPMNQPNHRFISELQKIKLSRILTLDQIGVRA YSTSIASLAAYPYLIQSPLEIMRLPGCDERIATHFSEYKATSRSESERYLPVARKLDE DENLQCLKTLYDIWGCGADTARKMYFTHGWKDIDDVVQFGWNTLNRAQQIGVKFYDEF KTTIPRKEVEEITEIAKQHARKARGIKPHQYDTDEDIVAIIVGGYRRGKEQCGDVDMI LSHRDENVTRNLVVDVVSELEESGYITHTLTLNTTTTKRGQQTLPYTGGHGGHGFDSL DKALCVWQDPNFEVPPSQAADPDSAPVMKNPNIHRRVDIIISPWRTVGCAVLGWSGAT TFERDIRRWCKREKGWKFDSSGVRDRRDGLVLDFESPRKGKRPEEGVGGKEEVEGDTW LDREKRLMDGLGIGWRPATERCTS EPUS_07807 MAARLARSGFWCHLPNYPRPIPRQPSPIQTLRLNRFASYQRFPG RGPQQTQYRTRFRPINRVQYVWNNYRRQIATVGVGSGVVYVYNLEPVPITGRRRFNIL SAKTEKSIMEGGYPLLLEELKGKILPAEHPYTRMVANVVERLLPAVKELAGDEWRVHV INDPKQMNAFVMPGGKVFVFTGLLPICEDEDGLAVVLGHEIAHNVAHHAAERLSRSIF TWPFIAAASIILDVSGGTVQFITDLIFSLPNSRTHEAEADHIGLLMMAQSCYNPEAAI PFWERMKEAQKGAPPQFLSTHPSDYSRIKAITEWLPEAKDKYQESGCGLTGGYARKFS QAFGKQGVMGRKQPVIFQPARSPQQEDDDLW EPUS_07808 MVLVAYSESEDSEDEKPQAPAKKDIPASSTKPDNKFIVDKSNPR KIKVNLQEVNTEITTNGDPDGEPAPKRPKIGGGFSGFNSMLPAPKRDTQTANGTKPGA NGTARRVFSLKTGAEPGFSRESDAELKELFAEQATNPLGAQIGGKIGDGSENSDTDRR LTLSQPSASPLLGQGNAIMFKPLSVARNTKKKKPAPKSSASSTAAQEQSSKPAPGPEL QASAAAPKVKLFSIGNADVSSDAANIQQSEYEPLVYQGAALEDAFDRPSDETEVTTIP LDNTTTTDTAEASTQSLDSIATDLNLSASAKRQLLGRNHKDASKISPSIINFNTDHEY AANEALRASGEQVLHNPVRAIAPGKHSLKQLVSAASGQKEALEESFASGRRNKKEAGG RYGW EPUS_07809 MDEDRMTNEIARILLHTITGRDPPKNDSDHSYVMSLCSKQHRDG EFSTYMYPDNLPPGDIFVDRVGWMSCEEVARYLMMGYRVWDENGRRLHFHGTPLDYNS LNVFRLPRKIVCSQDVSEVPDLQVQLEARSFNGSTGADAVHEVHRTPSTGRIMPWDLR LSAPDVDQEGLSILTRVKLAFIASRAPVAAPCYGQHECLSFEPYGGMKLGSSPLKTVK YPEEIERIVGTYPQIGVDVPYLSIKYPPTQVSLRPVDSTDVHSMQPNHAGKALCDICR ALDLKEMFEWGTVDIELDLGLMHDLLTKTSCSFCRIVAVLCKDGFDSLKRSSLNPDPD AARESGFDIQQPIEVTVVRNPILTQSTKPLVILKQHFRTEYYGPIVSLWTVRGDIRGR YTLNTRQYVDFQLVRKMVDRCTSEHGSACGQKSRGLPESMELVVVDVIQICLVTVDGS EVDFITLSYVWGTGPMVKTTKQTFGHFQKPRSLVEAGVSRVIQDAAAVVQGLGKGYLW VDALCIIQDDEEHRLSQIRRMADIYGQSWLTIVALAGENSSSPLPGVSYPRPQLVEVV QGMPITGILSRLSSNAHNQIYERRAWTFQERLISRRCLYIGEHQVYLDCGHGETNDHE QILPPMDRYRWLLAAEFNSLNLIVKHMDKLNISGFHQTESFCTDQLQRYSKIMSQYST RELSFPSDIENAFLGIQDVLSHKLGWGFLAGLPTGVFDWALLWLPHGQLQRRRWHKHG DGSTVVSPPSWSWFGWLGKVSYAAYTYHSKLAFTSIRPRILAFTIEADGKSFPIHRQP SAIWHTDTLEQVTNSLENPSTYPTGSLTYPDTAFPRQHFPYTIILQFEAEAVLYKAYK LEIEWMTTGPDAGHLKQSLRHGYSRIISDMAAQIDDNSLDLIAMAVCDVITHSMRGGQ FAEEKTWDSKDKLVVMLIRWKGQLAERLAVGYMDPKRWQEISPVKKSIRLC EPUS_07810 MYTIPALDPVFQLMILRVRICCLFASLVSAIALEEMTDSTVFGF ALRRNGTCLASERSCGPTARPFYACCPSGSTCVNPYNQVCCSSSENCTSSAVEQPACA NRSWDLYNNGGVESAFFCCLNSTFGYGVGLDSNGCANPDYQLAGNETQLQEHIQAAAS SSSASASATTSATSIPTASDAGRSSNGATIGGAVGGAIGGVLLIMSLAFFFWRWRKQR RQHPEPQISSEYETADKSYKIDPRDQQAGGVEIPGQQVKGVHEISGQQLAELPLSGPG LTR EPUS_07811 MDDESVTGRAIQRAYDKSVRGEVDAQGDYDTFIQEVVIPNERWE QFVDHDIQRLRKLIDDGGDAGVEAAELLPEVQEVRDTQARRALSSLASFDKEYKEVRG CLTKQYHTQASLINHARSPRGVRHHLPPELIMKIAGHNSKAHSLDKPLKLPLGMHSES EEGGRYGGTGGGGRGTGRGSGSTSSGSSGISGISSGGRGISSGGRGTSARGRGISSGI SRGRN EPUS_07812 MGGGPSAEKNERDARYKAIQEEKASRFGGRSRLPVCKACFESHV RCPGPEIDENDNYDYDKKCLRCINDDLECDFKSKMSSLARRTLDAMTEEERSIAMRTN KERGRMHPREATMHNHPRRRRLNHSDRISSRIPASHLSGSAIRARELQPSSNTSNQPF TTQPFATQPVAIQPLTTQLPTTQPFITQPFTTQPPTIQPPTIQPFTTQPFTTQPPITQ PFTPQLSSLILPDGRLVEYTAQPSQVILPDGRIIEYTIVPAEHVDNATREHAYEATSH DIAQAIQEQEDRRMAHRLPPQSMYPDPSAYEEAPTTPLW EPUS_07813 MAMANIAMQCAWADSQLQSNESILAQLPQEILEVILASNDGRYF DTLARAALIPNLTDHLFILYEPLMVEIAARWCHQTSTEDAQISINVLATFARILPAKP CLKVLLKEFIETCKSGFLGSLAEPAQLMLLALDVDLLSSFLVALFRLYSHDMTTYSRL ISPVQLSSLLGHEDPSVRYLTVRCLALYMKLADAMVERIILEHVPEKGTTIKVDGAQA PARLFSLWEEQRWRNLVEALAKAEHDRAHSAAIPIRSITAVMFSPNTAVLAGVLVPRS KGNPTTPSFVDTPTTTRNFVKLANAILNSNGILLSGASGSGKTLLVNEAAKLLNKLPY MITLHLNEQTDAKSLLGIYTTSPSDGSFIWQPGVLSQAMAEGRWVLIEDVHRASPEVM GVILPILEHGEILVPGRNETIRTATGFCILATTTESALRGSGSVRSHHRWNSRFWNVV EVEPLQSTELELALSTLFPILKPRIFPFLSVHDQVQHLFEQQNFRTSRVRTPDLRDLL TWCRRSQSRLVAAGLQTGAEPVDENILDSIFKDAVTCYAGHIPSHEPHLAVAKCIAEV LQIPPKRMRHCVYDRLPSLREEGRKVIIGRASCPIINSQVNDVARSSRRPKSAFALTR GTLKTMEEIAAAVEHGEPTLLVGETGIGKTATIQHLATLVGQKLSVFNLSQQSESGDL LGGFKPVTTRSLAIPMSETFDLLFDNTFSLRKNAQFQASIRKCISKGNWTRLASHWQE AVDMADNTLRPGTSPADQLGDTQPNKRRKLQNPKYEALRQRWHDFATRLSHLKAQACR GDKNFAMTFVEGKIVQALRDGEWVLLDEINLATPETLESVAELLYNHNERAPSLLLSE SGKMERVDGHRNFHIFAAMNPATDSGKRDLPAGIRSRFTEIFVQPSDKTVEELTLLIS TYLGTLLTSDEKAAFDLAKFYLEIQKMNGEKRLTDGAGQAPHFSIRSLVRTLIYTNQH ASIYGLRRALYEGSLMSFTTMLSVNSQQLVNAALERCLLGGLTNVRSLLSQNLKTGAN NDSYVVFKHHLVKRGNISPERQPHYIITPFVERNLLNLARAVSVRRFPILLQGPTSAG KTSMVEHLAKVSGNEFIRINNHEHTDLQEYLGSYASGEDGKLQYREGVLVEALRRGQW IVLDELNLAPTDVLEALNRLLDDNRELFIPETQETVKPHPNFVLFATQNPAGLYGGRK HLSRAFRNRFLELHFDDIPEDELEFILKERTQIAPSFCTRIVSVYKRLAMMRQSSRLF EQRNSFATLRDLFRWASRRADDREQLALNGFMLLAERVRDPTERMAVKQIIEEVMKVQ IHEEQFYSLRCQSVSLQNASGVIWTPGMQRLLTLVMSALENNEPVLLVGETGSGKTQI CQTVAEINGQRLHMLNAHSNTETGDLIGAQRPVRNKAEIESRLQHSLQSLLVSHMNGA TESASLGELIDQFERADLSRNGDPLNLDIKASIAHSRSLFQWADGSLVVAMKRGEPFL LDEISLAEDSVLERLNSVLEPSRSILLAEKGPDDSFVRATPGFHFLATMNPGGDYGKR ELSSALRNRLTEIWVSPLSEQSDVLPILQSKLGPILPEIPHVMIEFAQWFRGTFHTLD ATSVSLRGLLTWVDFVRLQHYENPHLSVLHGAALVFIDALGANPAALTSVKATNVPQA QRLCLKKLGALLDQDVSTEYFETPSVEIGARFVQCGSFRIPCGTYQCSRPDFVFDAPT TRKNALRILRALQLPRPVLLEGDPGVGKTALVEAVAQAACKKLTRINLSDQTDLTDLF GSDVPVEGGLVGNFSWRDGPFLRAMENGEWVLLDEMNLATQSVLEGLNSCFDHRQQIY IAELDRTFVRHPDFVLFATQNPHHQGGGRKGLPTSFVNRFTVVYADALTQADLIIICQ RRYKGAKHDVLHHIISAVLEGHNLILSDRSFDSNGGPWEVNLRDIDRWFSLYNRYDGK VDPSQFHDLVISQRFRTRGQRDTIRNNYAENLRDKSIASMFNTLSSSCYQLGLAFLKR NEDYQPAKSEAGIIPTHLLPYAESLIHCINQKWPTILVGDSGSGKSTVIRKLAALHGA RLVEFSVSEDLDTVDLLGGFEQLHQDRAVSRVLDSIRQRLLPSLLCCISQTSPDSSLY SLLQLYHMCNMKQVELKTLQATLAPVVQRFPTLLEDVNIMEPSIIRKFEAQEPRFGWI DGVLVEAVVKGHWLVLDNANTCNSAVLDRLNSLLEPNGCLIVSEQHEGDGSPRIIRPH NDFRIFLTMDPRRGELSRAMRNRSVEICLQSTDMVQSSSRLPSYSTEAASFQWRRLQT ISLLQNEAPLQAAVTAVCADHLSLRECLWSISSPEYELLTGENNNHIIHELAHYHSLP DSLFKRIQNFYNEMAESCPGLEQAMDDQPLHAESNEPLIQMTGDHVQGKKAAEVGWLL ESFLTLSRTRKLVSDTGVRAPNLPVSDMTILERSIVAQRDPHRASNMVQPVSRLVESL ISAADETLTSLVISSCSHGDRPANSVNAILNFLKDVVDMSRLPRMRAGLLPIYVQLGE ELFSRTSSVYPATAELLKCSLAAFGTHIDLRYGRSLTQLWRHWRPRCAANSSQLDSLL KLDYIMQAFDIACRKVRFVRQMVNVQRELSATRNAILDGSDNVVALLAELQTIISSIT PPVEIDNEQRTNYFAAEFEALCQYQDLDQGGTWDLLDGSGEQSTRIYAALLAGRSTKH IGFQTNSNLCQTMFSRTASFAGWSNPLVKVESWKQTFAQDLLQRLSRCRNEPLQRLDS LTEELSWLSSFTSESTRYFSRNQVGLIREELVSLIRGVVLCHQDLLKEELRQPRPTFI GALMAKDIFHESVPTEHHFRHIWEIYLYPALSLATSQLGCDQHKTVGAGLVYFALASL QLWVPDRPTDPALALIVQREQYQRRKTELETKLQALKIYASTFSGQETSLRIRRLEQE IGLIGKEPPPPPVVRLEDVNLLQVQAEFSNVLISIVAKRPETILLACVEGPFEDGKIY ASQRSAETDLLRRNIEQMIQRLSQSPHEYDDLTVPVVHLLQILDLGAKILEWSGREHQ PTQERQAITYIVEHTPLLGATPASLSDKIERHLGDGEKAIRELLHLQTASVVASVSPR SLSDPILQAGILSSFESCFAEWKAHLEVDQSKEAQRAKYYEYRGHDVNENVVDMEEVK EIFPTFDGDVIPDTKVDKMQNYDSRVFTLKVAKLHASIFSPDSGGPQLKRHILLRLDF MALLLSTCDADHSFVPPLTMLPALVLHLNEQMQFFEGGGSAKEGSIYVDPNVNETRKL LSLVHRIGNHVHTIAEMWPEHALPQDILACCHDILRLPISDPLAKLFTKTERLLSFLN EWQIVASREYSVVPFIDELTALIISWRRLELSSWSRLLVAESSICEEDAKLWWFMVYE IVISIPLRLIDEGNDCASHSQDLVGTLIKFLQNSRLGQYSPRLRILENFRNMTEWLMR TGKPLGTVDLALLNVIEHFKRYEPIFQKTLLQGREKLEYEVREQIKLASWKDTNVTTL RESARRSHYKLFKIVKRYRALLAQPIEHFSAPDVRLDRPRLLQITSFATLSVDKTLIK ALRVVEQDVDGWDNAPRRLREPRGAAESMLRLYESSQGDLDVGSQLYEYVRNLTSAMT ELRSETPSKTDGDSRALLLHLKARKRRLLADVLKDVRHMGIRRNLNTQELERQQSLNT VLGATTSLNDYSTLLGEIKFAARLSAARDCFHGVLDLMDRARKGQEDGSEDLTSSEVG RCIGSLEGFLLVIQNQRHALDDTLKDLTVLQQSSKRLCGLHSQDGSRCVALPPYSIIK HGQLRWAVSWLPQILEVACSVLQIQVKHSEKKYTDIINSFNSYQAHFKAAGHELKGRL DEDLPECIQSHQACVTIDHLQSLLAKLRKDLDSWIVNEPDAAYLLMQLLPWTDWSSVS INNVTIEERSSLQFDDFKSRALAAVDKVFVSMQRHSGFAEKLPKTVEDAKWLVHSDEI LNLSIRSFHIKEVATEISDVMNSIATFEEDDFQKAISLCVVFTPIFTQFLAICEHAVE RYANLHAETCGLAYILVKSFNQIASEGFCGPADGLSTAEESTGQLEQGVGLAEGEAAE DISKDIADDEELSELAEQQREEGSKEEIHKEENAVDMGKDDLQGEMDEHGEADDKIGQ DKASDSENSDEDMDEETGSVDNLDPLAVDEKMWEGLANDREKELETEDGPGTSTNEKT AASDKKTDRDVGPEEISDEHDEEGYQGDEDNINKPQADNADPHLKQDQALELPEGMQL DGDQEAKEESISNDGLDEFSDAHDEAECDPPVDENGNEEQLECNDQSVELDDTNEGTN VECQAEEGEIMEDQIASEQGEQLREDHFQTRQDENQNVAENDGGAENGVSGEAADLDK EHDAKASSSGTAPETELPPASQEQHDDSRADPQGGTRSEAKDQIGKHADPLEKREAEA FKKLGDALQKWHRQQREILQPSDVDRLKQDDLELADADFEHVENEQDQGDSQALGEAT KDEARALNDRYALEDPQNQSNDGAAAPKSHESEESSPQSGLDQAQEETTGRGPDERHQ ESDAFIADGSRNTNAEDFANGTYAEDDLDDVKHELSIVDLPTTEQPPLTSPEEALRLW SHYSSLTHPLSLILAEQLRLILSPTQATRLRGDFRTGKRLNIKRIIPYIVSNYKRDKI WMRRSIPSKRNYQIMLAVDDSKSMTEGGAHALAFETLALLCKSLSMLEVGEVCVVSFG DEEHIRVAHGFGAPFTNESGAKIYQNFSFQQSGTNVRRLIEESIHLFRDARAKGPTTT ADLWQLQLIISDGIYEHHDLIRRLVRQTSEERIMIVFVIVDSNGAKGNSIMDLTQAVF EAVDEEESDGVGRSSGREMKLKMKRYLDGFPFPYYVVVRNVADLPGVLATALKGWFRE VVDVR EPUS_07814 MSSLRGGFQRPGEFSITSPRAKGADESDNGDDPVAKDARQDALL DTHAQGDLGGGLAAPISFKRKSKHQPRFSLSNLLPTSSSNSPERVSSSPQFLRGRARD LREQGSQNGSSTSLGHIRTPTSGNWTETKEGAPLDWYVEGPGRRVGYDDLTTIDWIFE YTKERQRLRVLHANTSGLVGYARRVLDASHVWLVLIVTGVTVGVLAASIDTVSLWLGD IKSGYCRNSAEGGRFYLHRGFCCWGHDDPSHCQDWTTWREALHIPSRGGAYTVLFAAC AAFLVKSYAIYARHSGIPEIKTVLGGFVIRRFLGLWTLVIKSLGLCLAVASGLWLGKE GPLVHVACCCANLIMKPIDTLKNNEARKREVLSAAAASGISVAFGSPIGGVLFSLEQL SYYFPDKTMWQSFVCAMVAAVTLQAINPFRTGKIVLYQVEYSSGWHGFEMVPFALLGI TGGVYGGLFIKLNMLVAKFRKSNANPLRDFPVLEVLFVSVLTAFINYPNMMMRGQNSE LVHSLFSECDKLGTDDIFGLCKATTAGTISMVSLLLTASGLGSLLTTITFGLQIPAGV ILPSLAIGALYGRALGVVVELFQKHHPDFLIFSACEPDIPCITPGTYAIVGAASALAG VTRMTVSIVVIMFELTGALTYVLPIMIAVMLSKWIGDAFSKRGIYDSWIQFNEYPYID NKDDTPAPDVTVSNIMTRVEEMICIDAKHAHTIESLRTLLDTTTFRGFPVIISASSSS NPATSNLLSPLDTPERTCTPFTAPTSTTATTPNTLLGYISRTELTFALNLASTTTSST NDPNSMPPTPSISRNLPPSTPRLFTHLDGPNPYNPQFGILPPTYSQHVPAPGAAISAF CAERRVEGPADEEGSLVFA EPUS_07815 MSFAAPSSGPSSSSAAAPPPAEEEEAAPAPAAKTLFTLKLESFE ATSKPKIIKEVKSMLGLSLVDSKKFAESAPKVLREGVPKEEAEKMVKTFEALGGKVSM E EPUS_07816 MGDEWSSDANEAVSIELVEADANALRTVHRFHPKFTYPIFGEEE RIFGYKALDITFRFAAHDLRPNCVISYDRKFPAIADTAALDIRDALKDFIPAAAFAKA NDFEKTVQNDSSAQEFTPPGKLVKSYSRKGKNFEIWSGSLLDSRVRETIHRMQIFISF FIEAGTPLNTTDADWTLDRWTVYFVYEKSSRPSLPTRSPYTFIGYATTYRFYTFRPRY QTSTESGGDTHVSDIVLPSKEEITAAALPSRLRISQFLILPPHQASGHGSALYNSIYS YALADSTIRELTVEDPSEEFDMLRDINDWKVLEPKFAATDVKINTSPSDPSQKRRLRR LPTPKLLPIETLRSIRSETKIAPRQFARQLEMYLLSLIPFSHRAAGGANLTKLLIQKS KTSNPHDKFYYWWRLILKQRIFKKNRDVLLQLEGNERQQKIDEAARAQEDEYEKLLLI FATSKAKELPKNGNSGTAGEVLVKDRKRKLVPDEDEDDDPNSPSLEPDAKVARSNGKI HDSDHF EPUS_07817 MDGLFTLLSLSMVMAIASFSAGLLPLSFSLTPSQLRLISTIGMG VLVGTSLIVIIPEGVDTLYSASKIGNVHTRRASSSWFIAKGSQDIRALYEREASDTAA TSMLYPVLPGPSEKPPSKPVEPGPLSILQKDEDKNTAGDSSEGATASKEHNDSPHAWI GVALIAGFILMFVIDKLPQYTSSSKPAPRPYHISLNDLGRGLQRTPSQEHDTGVDGFL QGGSTPQEHSRSFATTTGLIIHAAADGIALGASSSSADAGLSFIIFFAIMVHKAPAAF GLTSILLKQGLSKRTARTHLLFFSLAAPTGAILTWLFARTVGAGRIGNARNTTWWTGM LLLFSAGTFLYVAMHTMQEIESSHDIQQNGHVNGMVENTDTQSQSPKASLQDFMAAIF GMVLPLFLQVGHAH EPUS_07818 MEPDSEDEFPLHVEARRSGIKYTTTSSSMEPRTAHGPSAPLSPR QTSLFEVDDEYPAPQSIITQPSNVNRSDPEDYAHPKPLPTPGRSATSIPPNLLPSAPA SPPTPAPSPGPHSRAPNWTFAGENEVGFLRESTRYFERLDSAQRERFLGELLNMCNNQ QLVFVHNFVAPKLKKDPFLHLPNELCLRVLSFVDDPITLARASQVSRRWRELLNDDLA WKLLCDKYAYRRMSNETLDLVEPLSLPQASRRPYGYAIRSAKRGLEATISGPSASAPN LTTSTLSSASSSPRIKRRPKTTSYRSHFKQKYMVEAAWRRGGESIIKHITPDQGVVTS LHLTDKYIVVALDNAKIHVFNTMGDHQRTLQGHVMGVWAMVPWEDMLVSGGCDRDVRV WDMATGASVHTLRGHTSTVRCLKMADKNTAISGSRDTTLRIWDLRTGVCRNVLVGHQA SVRCLGIHGDIVVSGSYDTTARIWSISEGRCLRTLTGHFSQIYAIAFDGIKIATGSLD TSVRIWDPTTGACNAILQGHTSLVGQLQMRGDTLVSGGSDGSVRVWSLSAGAPLHRLA AHDNSVTSLQFDDVRIVSGGSDGRVKIWSLKTGQLVRELSQPAESVWRVAFEEEKCVI MASRANRTMMEVWSFSPPEEHPMLRDTSSSPDSMPDQHMTLHSDGESDIPDGDSTMRD T EPUS_07819 MSLSIPQASQAGLFKQGYNSYDAEDGAVIRNIDACRTIASTVQT SLGPFGRNKIVINHLQKMILTSDAATILRELDVVHPAAKLLVMASQQQEAEMGDATNM VIVLAGELLKKAEELLRMGLTTSDVISGYERAGKEAIKCLERLEVERVRDIRNKDELV KALRTVVASKQSGTEDVLASLVAEAVLAVLPKNPVNFNVDNIRVVKIMGGSLEQSKVV KGMVFGREPDGVIKNTRKAKVGVFSCPIDISQTETKGTVLLKNAEEMLNFSTGEEEQL ETAIKELYDSGLRVVVAGATVGELALHYLNRFKILVIKVLSKFELRRLCRVVGATPLA RLGAPMPDEMGTIDVVETTEIGGDRVTVFRQEDPAAITRTATIVLRGATQNHLDDVER AIDDGVNVVKALTKDGRLVPGAGATEMQLVERISAYADRTPGLPQHAIRKYAEAFEVI PRTLAESAGLDATEVLARLYTAHQHRESTDEESSEEEDGSGDANESSDDEEPYWTTGV DLEASDASGILDTMEEGILDLMVSKSWAIRLATESARTVLSVDQIIVARQAGGPKPPG QNPNWDED EPUS_07820 MADPFEVRLRFTNLLSHLSASSTASIRCAQYALKHRDMDEDLHS CILEQLERNNMNNRANIMYFLEHFCELSIKEGYEEYVVMIRRDIGRIVEAVVAAPGEV GAGGGGAGAAKANVKVVRRVVGVLGEKGVLGQEVVEEMEGLLRDREGASVGGLLEEDE ENREGAAEEVSGDAEMGEGVNVADVPKEDLTAKEQRMDVDSRHTPRVGSGGAGAGPGG KQVNGAVRMDKRVIEQRIEEDRERNKRLRESVWAVGKDDQEELDKMWDEGSDVGDDDF LMAREEAEERRQGASFSHQLPVS EPUS_07821 MSFVSSSKRIQKDASDPHEPKHHTPGAARGGRSEIPTPTSEETR FDGLLTVHVAQPEGRASASGRPRSDQSNITQSARPGSPFTASKKPRITEPQSATAGPS TSSISASEDAAVPTSASTQLMGGGGTTDTGYNFAGGGGAGSSGRATTRDWLTELPHRT KSSRHMYAAMDMIVGMAVAAPDENNRGAEVFPLFNGPTPLSSEKIAEEVGVSSSTVSR SKAEGIAAGIGSAGISHGTYDTQDKRDQVYALFNEGKSYRTIVTIADVPKHVLERWKA DGLAAGRINPEATYESRIAISRQKVNQLYSQNEKISLAEVSRETGVPKTTISSWRRKK IESGITNLEPSGSKSFADIQNKSKRAEAYALLIQDKTMTIKEASKRVGVTESMVHRWK ARDVAAGTLPPGLDVQRFRNRYEPEKRKEVQDLLRQSLPVKRIHEITGVGKTTIWKWK AGEDAAGPDISEEGACSEYETSAAPSSNLPKESGYSSDALVHIPRNMAPYLGDIPFEG SIGLTRR EPUS_04819 MGHHPPVPQHVEQYILTPKESVSAKEEALPSSDGAGGLRARRDA VRQGSGRAGLKCKREAAGGTVLRDSREVGIQHINQQSSLQYTGEAGHPIGSTDATGLG RQPGADPRATFDRNDGAAHKEASPVASPNRSVVPSLKLRAGYTDATSSANSPEKPTAD R EPUS_04820 MSSRYSHRSPPRPSVSSEPAKWARQNDSPAMVNRNHTAASSSTV RPPTPSPMQPPMQLPVQLPIQAPILPSNRPPNQAPIHPPPRFDLPATRSRFIITPQAL RQSRAGGRDAHASSHRAAREAGRNPPNPSPERDPRKALLRLQYADTSSELKKAEGKFC ALVASKQGSDPQRVADQFTDVLATITRCRHTAQEIEDELAGRAGPSMPAVRVADRSAA SRNTAGLGGHGGAGASTGSHGGARLW EPUS_04821 MSLPRSSHLTSRKRKPACAGGSIAMDLDRKDPKHHSTKGTRPEA RRTAKRSRSSSTATSSRSSGADSCASLSQSAAKEPGPLTTDHVDELTEMIQYIKLEEK RTPSQTRAANGLSISDAITNTLRQEQNPRRCILIFHSCIKHLLDCQRTSGGSFSKEVR VLEDWIERMEKEIRDERARETMPSSGC EPUS_04822 MTDMIYSSMVPLRQIAGYASKAVETHNGTTTSWLPLITAPPTDS RCSSHLYSPDGAHHTLVACDPHLEAVANITCLPREAVEWYTQNNLPYGATTGVVTSLG PMICPDGYTTASTSKKDRSSTMVFCCPSNYNFATSTDHGNLYECISMQAGDVTVHISG ATPPVTTLATGDSSRALTVAGIAVNGWTFEPSPLSALGSPTATMNSDIWAEEHLGMST AAVAGIMLGGTAGIMLLGFLLGWCFTTKRFSFKRRSDEEGARYGCDGTRDPSTSDDHQ DFNASRDIELSDLNASGVRVPSAADTVVQHIKHSKSEGSNASGDMTA EPUS_04823 MRTIPTSLYHSSRPPPRLATICPLVPFCVQVHRHPCLRINQCRA FLKPPSLDSLFATSTPLRQLTHTRVLPYPCSSVFDALVSVDKYPSFLPFVLSAIVTQR DQNKLPARASLKVGYDAMGIEETWDSIVSAKEEQGIIEARSAEVEGGNEDGIFEVLKT KWQLHDIEDIRRQGVGLGAQTAVKLDVEVKFRSSMYDKIFAGVEEKVAGMMVGAFEKR IKELAGT EPUS_04824 MAVIKLWYSPGACSLASQILLKETGVDYEAAMVDIGHGTPEEFR TINPKLRIPVLSIDGQVITESPAILTAISQFSPEKHLMGKTNLEIVRTYEWLNWLSGT LHAQAFGGFIRPHRFSDDPSTHELIRAKSLKTIRECYDKIDQDLSSVHAVGDSFTAVD AYLYVFYRWGEHHGMGMKKYPKYTNLVVELVKRQSVQEALDMEGVLYYVPR EPUS_04825 MLSSLFELFSHFLVRLVIDMPLRSFFPVEDRQQIRPTLREAFLK LTTLEEFTSTRDELFLDICFDEGDEFVVQPLVWSFWPQLKHLALYNVDMEDQTFIDSL KKLGKLEVLVLTRADGEEDTCTKSLLTIKGLRRLSIVNIATFHPQQPPISGPARKPTV SDEGESQGAELVRISVPTLQDSAEQEVEICQAWTRDHAIDGTLWHYTDGHD EPUS_04826 MGSGKKEAARRERQGKGGDGLNNVKTKGENFYRNVKKIKTLNMY KEGKAQRNASGKITKSASYQSRDLPKARVEPNRKWFNNTRVISQDALTSFREAVAERA SDPYQVLLKTNKLPMSLIRDNENMKNGIKQHQAKIAVESAPFGDTFGPKSQRKRVKLA TGSLEDLAGETIRMHDVYVDRLEEKQLLSGQAAAAGEDSEPEAELTTAREPIFSKGQS KRIWNELYKVIDSSDVVIHVLDARDPEGTRCRSVEKYIKEEAPHKHLVFILNKCDLVP TSVAAQWVRHLSKEHPTLAFHASLTNSFGKGSLITLLRQFSTLHSSRKQISVGFIGYP NTGKSSIINTLRKKRVCTVAPIPGETKVWQYITLMKRIYLIDCPGVVPPSNGDSEEDI LLRGVVRVENVENPAQYVQAVLRRAQTRHIERTYDIKHSDYEGDATEFLSILARKGGR LLRGGEPDVDGVAKMVLNDFLRGKIPWFSAPPKNDGAAVAEVDAEKALVQGREGRLGE MPSKGQKRKRDDVDVGSDIRNDTDDDVDPGDDDNTDDAQEHLMENGNDSPRDGTGISD ENNNDDDEDGAKEDGVELGGEVVVEDSAESPFEAFSSDEEGDPGGVSAS EPUS_04827 MVLSSLQTKLDAKLSLSALLAAVAFASHREIKASTTRVITTMSA GINPVCRSCCLLRPTSAAVRTFTSSSTRGAIPPESPNFVDIPGTFQTDFFPLQRQKGI LPVPREIFPARQPDKPSQQYLSRAIPPRKKTSSKPLSNMTEEEKYKARMTDLRRQHLR SGLLELYNRKQAMTAQMSSRSTARQKERARLMTQPSQDRIPPRRPPHPLHARAHLHHH GETAGRRTRPHLRQSGDRVGYSRRGRVEYLEPGTACHDRGVVAGRFGKWGQAGDGKGG AEGRKDEISSGSAEDEEDCRGVEWWEDVKGISEL EPUS_04828 MAEAIPQTNPVLRNPHNHSDKAERKFLRQTYKLHQKAEAHAPQS HQQEHEKKDKQPAGGFDATSIPSQIPGYTVRITFHRAHNLPFADFTSLSSDPYLVAVL KTDLPKRHKQDPDLVFRTPTIRRNTNPKWNSVWKVAHVPASGFTMKIRLFDEDPADHD DRLGNVHVHIKGISEGWGGLAEQSYTIKKRMASKRAYLFRGCAALISSNVKMNGDLIV SVECLGRSEGDGGRVYTLGPLPWTRHYSPLIGRLTGTKDRSETEDGKKMPERYNFQAI QMQLAGPVPADLYHRYVEFKPFIAGMFNSRSITGRVLNHALHHQHSRIYNFDRSTQYG CFQEPCIDMTKQFLNFVHYDHGGRIFTYVLTLDAQWRFTETGKEFGIDMLSKHTMHSD VSIYIAFSGEFFIRRIKKSRRPIVKRASPGGSSSRSEGGADSQDPESNALPPEAESDA DDDSKPDPNGTDSSTDPASYELFIDNDSGTYRPSAKCLPNFRDYMRHSLPGLRVVTLD CQADAEKLKRLKQEQVERKRKMKGSVQYLQRTSDSSSISSSDEEDLDELERRNRDDED EKHGKGRKMKERVKTATVGVKTVLAGAARGGGIEENEKSGEGCGGSGGIVGEDGPVRM REEKEKLKAENTAQR EPUS_04829 MPMPRLSKLFLLAFLFVLSVSTVSAAGSIHNQSPWTLRYTTNPS PNTQCTSCCYFWNWRNSSPRGQMCSCTQRDLASKVSSPSGTDVDGFTFATRDYYTSGV WVRRGQWTKFSDIETIRCVSKGGVPRCCKTGDPDSYGCCWGVAPGPNGIVC EPUS_04830 MGNISSKPDEGAALYLRDQTRFTIAQLTVSNSTQSVILNITPNA FPAVRLTAKRDLGDDTPVNYVQDPEASVPAFILQLHYEDELSFRFTFVSRQTVAAPLS SSPSGVATTTNVDTSIAGLTFAYASNYRDLDNLVTREFHADPNLHKNSNVQLVGDYST DGSPAVQFDWAWKWRPPKPTEDRGGGWRNSCSFLEYDQRAHRLHTLASFSFWVQNAQR DTQQTSLVSPALEMSVPPRLRVASSQSYQSKISEGEPFGFQQDPPSPVDPVPDPGANG ALSVINSAPVVDVSCQRPGEDMSVVEDGPLFRATMKSLEQKTGNMRTQMKKVLKKAEA AQQAQVECNQAMKGFLAALKEASGSNASAIKPALDHYFNQIAQQILHYETQNSAHLQK QIIEPLSKLYNLDIKQAEAKKKDFEDESRDYYAYVSRYLGQRQDSMKDKKRTESDNKY QTKRRNFELKRFDYSSFMTDLHGGRKEQELLSHLTRYADGQAKSFLATAKKIEEMSPQ LDALVREVSDADKEYKFQRTEREEKRRTLEKSTASYNEPANNLQSVSEAAATPLQNGY NYTSDSDLGRADSTSSQLTHSLSNKSGITLSPSNSTLGPPPGSAYSTSPSSTFFSPGQ NRFKGIRDLEERESNTSTSDRPASGQYRKEGLLWALSRPGSHIDPKGINKQAWHKFWI VLDQGRLSEYSNWKQKLDLHMEPIDLRMASVRMARDADRRFCFEVITPQFKRIYQATS EEDMSNWITAINNALQSAFESRGLSSTPQTSQRDSSGRDISSALTGKSSSYSGQHGYH TRDSSSVNRSITVGARPSYMRTSSNSFDENPSKLLQQIRNADQGNTWCADCGSSSKVE WVSINLGIVLCIECSGIHRSLGTHISKVRSLTLDTLSFTNDIVELLLLVGNRVSNMIW EASLDRSLKPSPQSNREQRLKFINAKYSDRAYVMPISGSQSRFATPDETLLASIKKND IQGVLYGIALKGNVNACDRSRNTHAIFLALAAADPAAPGSAAPSPSVSPNSKASPSPG KPKAFPIAELLVQNGGEIPSQMPAIPLSAAAQLYIEQRTSHPFAITSPTSGDTLAALP TIRGGSTNTLAGITERENSRSLKRGNAGSRFTSFGDR EPUS_04831 MADAPRGGAAGGAGRGGFGSRGDRGGDRGRGRGRRGPRRGGAKS EEKEWQPVTKLGRLVKAGKITSMEQIYLHSLPIKEYQIVDWFLPKLKDEVMKIKPVQK QTRAGQRTRFKAIVVIGDSEGHVGLGIKTSKEVATAIRAAIIIAKLSVLPIRRGYWGT NLGAPHSLPTKQSGKCGSVTVRLIPAPRGTGLVASPAVKRLLQLAGVEDAYTSSAGST KTLENTLKATFVAVGNSYGFLTPNLWKETKLGRNPLEEFADVLREGKRY EPUS_04832 MAEVINPTTRPSLPLSDHQTNDSANDENTAPSTSTAPTSNGQNV TVFHDPENFNVKHPLQNQWTLWFTKPPTGRGDNWNDLLKEVVTFDSVEEFWGVYNNIA AASQLAHKSDYHLFKRGVRPEWEDSQNKHGGKWSYQFKDKKGIDIDELWLHAQLAAIG ETLEEDNDNEVMGVVINVRKAFFRIGLWTRTVGKAKAGDGAGKEALMKIGQRFKETMK LGPNEQVEFSGHTDSAHAGSTRAKAKFVV EPUS_04833 MSLNSQFSLALELSNIFPIRAVVDSAATQFLKLARDLRRSGSDI VVEADLAAVFGRGVISSMLEDKFRNAVKLQTFVPLTREGEIRLDSGPGPTMHRAFRER SYFATVVQLSLLAWMQPREQLAMMLSSCMTKRVRLGIEGASDPGYESIFNTLAACSSQ SSNFAWSFYVQQVEAMLRSSFPEYKFHHDYIRMSDTLLSGAMDYLYLVQSLPEQRKIL VSNQLGCVTLVVWAHYALGLTVAITHRTLPDNNIIFGDRGEPQVIITWQDCRDHTDDP NHNYRIMCKGPQPEILLLDQDMSVVLRSFPDEPRSHTGMRGMVHDRHALLGYGTTFLH RLFNTKYITIDNDPIYEDSVKLITALAIHASSRLDRKFDSGCRGDDQFKNLPRYEIQL EVWRVLSSSKIIFGGTKHDPAGIASYVEFFSNSVLSNENLPASFSSTFKKARPPTASL LEGLHLIDQIQFLARVVLLFAFVVDVEGCAEMPIFLDDSLLSMRLEMKRVCSRLNTRV AVAPETVFYGILQLLSDDTKLTRASCHDLHEESEFLFLCSDFGWSVFLDTIGDKDPAN VKPHLVHVQKGVPTNSRTNERKLRISDGGVFVTTLPRIFHKPPVQKIAYTPRAVAQVT RRDEYWTSKIRQFELTIRFMVEISSERALQKFDLQTSPTEHWVTCRSMHRQIWETFLT PPCEHEAEISPQTPLNLGPDALAIVGWSNQAESTGDGPYPQRIVIFLTRGDARLRWLA VQNATEGGGAPELEDKRETALRTADCCEKCALEHISSFPGRWTLIL EPUS_04834 MAEAGSVAPVSPGSRMNSRGGRQQGAPGLNNSYSRDRNQRGHAL RGGRVDRGGRNRGKGVSSQTTFTHDTRFGASSGQRPNHAFDGVRIDNPPIAGQLDSDQ PGGQEVGDQNQPVEEGEVCFICASTIEHLSIAPCNHQTCHICALRLRALYKKRDCAYC KTEAPYVIFTNDAAKRYEEFGETDFVRSDENLGIKYERDEILEDTVLLLRYNCPDRDC DVACLGWPHLHRHVKQKHGKLMCDLCTRNKKVFTHEHELFTFGELRRHEKFGDDHPGA IDQSGFRGHPECGFCRERFYGDDELYQHCREKHERCHICDRRNPGSQVQYYLNYEMLE KHFEESHFVCLDAECQANKTNVFESEMDLKAHQLSSHPNGLSKDARRDARLVNISTFD YRAPYQPQRRGEREHRGNGRGRDPNTEALPVSSAQPLRRDELAYQRQMAILNAQPQLV PRNHAASPAPTQVHRPAPSSAPLPNLNTLSLDNSNPQPSENQTSQEQARQIRHTAVIE RASTLLGADTTKLSTFRTHVSSYRSSTISATELIDAFFSLFDVPSAELGKLVRELADI FEDETKRTDLLKAWNDWLSINADYPSLPGPAGILPGTESSSPSEGHPGGRRVLRLKSS TAQSSRSAVSRQGSWGNAVKAANSSDKSNPFPALGSASTLSNGQKRAPWTALQASSSP RAIPVNSRPSSRPPPATMGANATEDMFPALPMGQKPNTLIAGLTRGTVRWDDGKSGKG PVANPWGAGGGGGNESAPSGRSDIAGPDDDSAGTATGGLVAGGAGKKGKKGKQVLYKF G EPUS_04835 MSLPPEVRANYIGIIDSILAQSDLSRISEKKVRQGIQAKVEYDI TPQKAAIKGLILERFDIFNARQQELESKTEQAATPAPATNGYHAPSPERPTPVKSPAK REATSETVSDVIDESLPKKKRKELSVDADAALAARLQAEEDKRARPTRGGSSKKAAPV KKKTPKKKTAVRVRGSDESDLDDSLTEKKPKNTGFNKPMNLSAALSELLQGETALSRP QCVKRIWQHIREHDLQDPSDKRNIICDEAMRKVFKQDKIHMFTMNKVLNQNLYPIDD EPUS_04836 MAPTFDTLSDHDFDDVDEEEIDFSGEILVALVRYFVVHSDVEVI DLRAQYDVRRDQGLDTFVVIDGLPIVPAENKAKLVKFLLKKLNTVGNTSEDAILMPMN DQNMSDGFAFVEYETPEQAAAATKHLHGTALDRRHTLAVNKLTDIDRYGREGRIDDEY EPPEIEPFHEKEHLRSWLGDPAARDQFVMFRGDNVGVFWNMKKDPPEPVVDRKHWTQL FVQWSPQGTFLASIHQQGVQLWGGPMFSKQKQFPHPFVSLIEFSPGERYLTTWSNQPI SVEEGKTSLTIEEEGKHIVIWDIVTGKPLRSFVAHDLTPPPGPEGEAPPKKKVQWPAF KWSSDEKYVARMKQHESLSIYELPRMNLLDKTSIKIEGIMDFEWAPSSPRREGIKTYE QLLCFWTPEIKSNPAKVGLMSVPSKEIVRTRNLFNVSDVKLHWQSEASFVCVKVDRHS KSKKSLATNLEIFRVREKGVPVEVVDSIKDTVINFAWEPKGDRFVLITAGEVVPGSNV AAKTAVAFFCPEKAKGGGIGNFKLIRTVDKKNSNGIYWSPKGRFVVVATVAVQQHFDL EFWDLDYEGEKAEAEKELSANLMSMNIQEHYGVTDVDWDPTGRYVVSSASVWTHQMEN GYHMYTFSGVLLSENPIEKFKQLLWRPRPPTLLSKEEQRNIRRNLREYSRDFDEEDRY AVDIANTAIVEERRRLLEEWDAWVRMVKEDVKAEREELGIPDPKEELELQRIRSAASE EEQVVEELVEDVIEESEEFA EPUS_04837 MSTSSPAMQKLVDKHPLQRLRSPSRGLSALVHFLGLSSFMSSFQ YLVVHPNYINDSYGWHFQYLTIIGLTLATVTFLTASLADITMSPTLFLTKNLLSMTSA PLEVLISTLYWSLRLIDPALVVPPELELPLLPDLGFHAVPSALLAIDLLFLSPPWTIT ALPAIGVSGAIAFAYWFWLEVCYARNGFYPYPLFAILSTSQRVGLFCGSALLMAGMTV CLKRLYGRLNGFGVRGSVSERPGMVKG EPUS_04838 MRAPLQIFGCCSRAPSSTFAPGLRTSQAFASKRHVSSRALQASP SRPSLSDSHAPSTTTTTTTTTPSSFFTPSLCRHVSTSVSAADISSSSPAAKSLDWNTF FKLRTSRRRYSLVSSILGSIATTGSTITTLTAYPSFQDAIMKVITLDPMVSLGLTTFA GMGAGWLIGPFFGNFVWRALHRSSLNEFVAKEKGFYERIKKHRVDPRGASANNPVPDY YGEKVGSVQGYRRWLKDQRAFNRKRGGLTT EPUS_04839 MEAAQSMATLPQLRQFEHIAACPSTKASTLETSTRPRAGQSSKT TSTVISPLNSKFSPTNGTDFGASPERPTKRIRLVPSNSLDQLHDSGGLHRAPSYGPKE IDELPICPFQPLTPHMISSRMSNPMTPASSPTNSDVARQTWRLGAKFYTPQDHHVRRV SVHSLLLSSPEVQHSRTMGGNPAQAYADCTTPSPPPGENSPTQQRAKPNLQPESYGLD RGSPDIDVPNNNDSASINGVPPSQDGDLDAWLENAELGTPQLGFRLQKREFVFAKGGY YASPVPIKIPRTLQPLPSALLESPMNLLYFHHFLNHTAKVLVVHDCSQNPFRTILPQM AMQNDHLCNLLLAYSASHRARLLNHPEPANRIARWVRHVFPSLRHALDDAENSDAELS NANLATAIMLTSLEIISPSAFGVHISWRAHLNIARRIIRCDSIRFQSISRKNAIPYFL HLWLAYIDVFGSLSSGATEEPLYYATLEEFSCETVSTLSEDDDYTIECMLGFTSRCVP ILARIANLARLCSNERQDTMVGTINHEWRPAQAIQLECGRLQAELERSRRHSVKLCPH YYHVDSRPSTEDVFDKPDDDAIAMIESEATNDAFHRAALIHLLRRVRNLPRYTAEIQN AVQDTVDAISKVRAGGSAEACLLFPMFTAGVEAEGGNTRSLILERIKSLEGVGMMHVA RARTLMEKVWETGQDWETLAQGEFFG EPUS_04840 MVKTIVGLMGTSVASGSTKMSTSAHLISILNLFKSHNIHELDTA RVYAAGKSEALLGECAPLSHSFAISTKAPGFSPGSLAADKIESNCNASLAALKLQKMD IFYFHGPDRQTPLEEQCRAADKLYREGKFKRFGVCNLRADEVVKIHQICSDKGYVLPS VYQGGFNPLQRRAEFELLPLLRKLEMNYYAFSPLGGGYFSKPVDELRDPPKGTRMDEM TVFKNIYINETSLELLGKLTKVCERHGIKVKEATLRWYMHHGPLGDEDGVILGASNVE QMEENLNACENGPLPEEVVESFEDMWKGYKEKAGPYCV EPUS_04841 MDLNGTTQNVWMQATPFVPAGSFTPQGSSTLPPFDTYTSGIKSG SASQEDLAFQLRFEQEQHALTKRLLQSEQGKVQQVEAKLDEVRRENSSLVAVNRLHAE VVHKAVHRIEPKLDKLVETADLLTAPCDANCVGACDMKQKNDPVDLLGGIAQEVETSD SHKAMHEHSALSLTDPRPSILYDILEYQNANHIDITSNMHSVDQKHAERVSESECIHD HANGIVDNDQRLTHQSVGQCAHQIHKEEDTWFGLDQFDKPGPALGEYSSLEEVFRNGN LHKPMTDPEPSRHMSEKEISEDTLIDFLPGTGRSPKSKGVNEGFAGTDRFNPGQTQTR GKDIQFPPSKTGVNEKAGVTSVEPVLGVEQRIISTRTVQNDNTPCKEARTLASLPTLR LPSSFLSQAVNYKSFSDPSDSTSSTDSDKLQSSELEPTKMPHVPAYRRAQAQKNAQAL GMPVVMPPATSKKRVYLPDDVAAHIAHIVPESIASDKTDENGRSDTPKSNHADSASTP QIRPSSGAGDNYNVIPVSMMNYLQPIDWKIGRDQKPLFQSELEKENFQRRGDQQGQRH SEFWVHSVRYEPPVDEPNALRTVQIDHIPRNVEVQEVLRELCWGVIESIQLVDIGNVR GTEGLTPAPFKFARVVFIMADCAAQFARYAHNKSLTIGGQPVRVYVQMEPTYPRTAEV GAAIFDKGMTRILSVFGLTEEARAQLPTFLKQHGLDLVYCDLRSHDTSVQGTHMFTTK VVMEFRSILHALRAFEAMEDGGFKSAAAFMIETDYCARAA EPUS_04842 MAGLRTTTTSFSFLLLLSNIPQLIAQAVSILPSTPSPTYPACAF NCDNLNGASAYCIQTNVGASQQTVDSCFCQRAEVTSFYIASTGVCDAFCTSDSDRSTL QSWFQNYCATAGFSAGQAATVTTLVTSTRTPAATATGNSRTGAGTQPASTSQDGGWFA SHWKWIVMVIVLFLGLSGVAVLAVCLKRRHARKVDKRRAALSGFSTARGGGSPETGHG RDMWGPHQHMAHTGGWDYTTDQDREMREASAAGGGGGVLGSAMGDSKNPKGGSQRRLG KKSRHGSQRSARNAGIDPDRVRGEEDENTRMATTAVRRSRSERRRVREEGQEREQEIE RGLRGLPIRHHHTGAGKEKQNVSRDGGSTPEMSEQEKDIR EPUS_04843 MNLKSHLKSGTATDSDSGRSPSDDEKAIGHGHITNVHGDELPPD PDEHLSPEEKAEIDRKLLWKLDLRLIPWLCLLYLISFLDRTNIGNAKIQGLQKDLKMT DSEYNFSLTIFFISYSIFEPLTNILLKRLRPSVFIPVIMLLWGICMTLMGLVTNFAGL VAARWFLGLTEAGLFPGVNYYLSCWYKRSEFGVRAAIFFSAAAVAGSFGGLLAAAIAQ MDGVGGRDGWAWIFILEGIITVFVGIISFWVVQDFPDEAKFLSVDERRRVVRRLKEDK QSSAEHEEFKMSYFWASVKDWKTYTGMVIYMGAVGSLYAFSLFVPTIIRDLGYTSTTA QLLSVPPYAVAAVLTIVVGIVADRTRQRGLCNIAVSFLGIIGFAMLLGKLFALHFDHS HLTSLPTPISSIHRCMTLTNKFPLGTAKPGVQYAGVYLAALGIYPCIANTISWTANNV EGVYKRGVTLGFVIGWGNLNGVVSSNIYRDEDAPRFLPGHGSVLAYLTLFLLGGSVVQ RFLLVRENKKRASGLRDGWAEGKTEDEIERLGDKRPDFIYTI EPUS_04844 MDQSGHVSKKRELHESTPELSDGLSDFNEAGLVDLLENDPRPTF LIDFGGHGSLSGTGAHFVYCNDSLRSNASIWEQVQSLYSPATASHDLPSRSFRQWTLQ TTQSPSCKSRSACKSFTGFIWTIVTVRDRWNLVSGLSISAIDCLHEGDSEATIPFSTE LSAVGRISQMSSLEGKVLRTLDWTLPDSCFQTSSHIEWVRNFDWGSTPLGKMRDWSSH LRLAINMVMSDPSPAVLYWGQELTTIYNEAYIPVCRTKHPWALGRSFGDVYSDVENEF GDFPDSVWAHGREQGQATGADERRFVLAMHSEFLEEIFFSYSILPMLESSGQVGGFYT AFRDVTRAVVAARRGSTLQAVVDARGDGTDPEAFWRKLVDAVSSNGNDSVAAFAYSAR GTRVGASSMSTETTKTTVLEAFSGVPTDTRGVPCAHDMLRKNVALIAAFDEALKTGLP QRCEIDDLILAQNLLHPTQPLNDRNIPKEIAFCATDPQLPSQGLLAIALNPLRPYDVD YEHFLATMTREISASLATFDRARFAEQAIIESELRFSQMTATSPAAHFEVNLEGQILY VNDKWHDITGMPRAGHEIPAMSWLHMVYEPDLPVIEAEWSNLQAGKSVSFEIRMKKEW QATNPFGGETLDLEYTWVLAMASQHTTKNGPTIMGCLIDINRQKWAEDFHKRKTEEAI QMKQQQERFIDMTSHEMRNPLSAIFQCSDSIVSLLEYAMQIRGKGDSDRKSSEANEMA LLDSIKSCIEAAQTISLCAQHQKRIVDDVLVLSKMDANMIEITPLETNPQKLLKSGLG IFASELRANDTQMEFRVEESYEKLGVEWVRLDPSRLLQVLINLTTNALKFTISESKVR RIIVSLGASSTPPSYLSSNRSGFTYLPCSGDTVDPTSRPEWGSGDPVYIHLSVEDTGR GISEAEMKQLFMRFQQASPRTHVEYGGSGLGLHIARQLTELQGGQIGVTSERGKGSTF SFYVKGRRCNPADTRLLGSGAATVNFSRQLGSSITTLPVIQPPQPCEISTESLSVQGS QKLNILVVEDNLVNQKVLSQQLHRLGWHVSVANHGLEALEYLKQTVFYDNLCATEHRT PLDLVLMDIEMPIMDGLTATRKIRQLQAEGTITGHVPIIAVSANARAEQIVKATAAGM DDVVSKPFRIPQLVRQIEQLLAKG EPUS_04845 MYLSSFLIVTITPVNPLLGASDIPTLHATVQNTAQHPLTILTYN SLLDNAAGVLGIIHVTDTSTGEEVPSDVVQFQRVWPPPKDAFVEIAPDSQIEVDIPLR THKLEAGKKYDVVAKWTWQGLWEGSVDAAMQVLSTGGTAAEAGNGATAEVKMDGVFEV KAEVKAP EPUS_04846 MQTVVYFAVVAALVAPSLAHTIPRIIRRDAGLKVELSQVLATEV NAVMTNTGSESLKLLDYGTLMDKNPVQKLNVFKDGVEVGFTGVDMRYSMHGLTEDAFT TLEPGEAFTSVINIAALHEVAGGEYTVSTEGAIPYAALDTTEIAGSIAYESNLLPLTL SDDDVAVVPRAVPILDKRTILEGCSGQEDVAQREGLGRLINVAPLAAEAARSGDPARF QEFFKTTDDAVRQNVAAKFDAITSESSSTTSGSTTYFCEDQFGYCSPNTLAYTLPSQN LIANCPLFYNRLSSLSTRCRDQDQTTTILHEFTHAPGVFGVGTQDFAYGYAASVQLTA RQALRNADTYALFANAVINNCSVLSS EPUS_04847 MSSISIHVSFVLWYCNLSYSQPLATSEDSHGQYDTEPDDTSSGE NTWGDYDIAITHARNAELKERPEVEKFFKDIREHKSLAEHKTRSWLGDLPFYLREDAI PLFVDGDESGDMSEDYQLHPHHTLLRLGKAAKWPHDTLCQLSIDDNLLQDPDLVIGIG RLFVNVSNGKAGRISKWTGYEVLLDKKLALWMVFDIYSLDPGASDWYPQSCRLSHSIL HDSGDEQGVVSVTSKARCFDIARFLPFLHDLADAEFKSAYEEVQGTFRTFRSGEVKVN HLEKKELEEGILNAVSYRRRTPHKLIDLLDFNIDKFDLIRKFVTEKVVETAASNKGYR KDIMGIFLDQFKDEVRKSISDGVVAAAATNEVYGQQIMTMLLDQLKDEVCKSISKKVI KATAGNLRYGKQLTEQLLKTREDLVCENISKAAIYAAARNTESGASVLKLLLDANKET MQNLICKVFEDAKGGHKWIADIAQQLEQRLTEISYTIGYPAFSGGGPVMQRAPRGQPR YQAEV EPUS_04848 MTSTFECQILSRDPHGDRQQNVTPITKRTGPTSLVGPPPPSPPP PSTAPVDVESVLDTPPYRSAAGALAILVTTTTTTVDRSFPTPGNEEEKEEEEAIDEAF IPPPSTAPAAMTQSRARRKRAPTMKALEAEKAPKRATGQGKARGKARGRGGASGAAQG EPUS_04849 MAYASCILYYFSVLLFFVCGSLFARHVAARLRVLVVWGHETTHF AGYSDDGKALLDSSRDEDGIGDGDWERDRNALKLWTGNRIATTIPWLRTRSRCGLRSL LCSEKAATVLCVAVLGYCYLVLLAWPGIPSLFGNGNGIFRVRPHASSTNLTEVFQVYR PPADPPSLARDCEVLLMNHTFAFSYGKPFVGSYRPPDSTKCSFNTVQVNLTITSRGRQ FDRLALMFLGDVEVFRTSTAEPTTNGIEWTYRKEMTAYLSLWREPQKIIFDLGNLVND VYTGTFNATLTAKFWEVEEPVQAADLILPISAQQSELGRPSAFSLPADEAMARQSLPV GGVRRAIVSVSACGQATEEFWFSNVLSEDTETFLNSTGELYGFSPFREVQLLIDGYLA GVVWPFPIIFTGGLAPGFWRPVVGIDAFDLREPEIDITPWLPWLSDGEEHRFDIRVVG LESDGEKVSLSREVGDYWVVTGKILIFLGDEQSNTTQAAGHDLPSIFTPEPAISVKSD IEQSANGTNETLSYAVTTSRSVVVTSEAGSWVQTLEFQNGNILTSQGLTQSTTQSTKG HFAAINFGDPRLSFSVSTSYPLSVNTTIRVLENDSGISIDASISRGLTIESTGRLDNS LFTLTSGESKLDTSQFGTAYYSSHPNKSYSFGDTTQDLFESSRKGFSVVHVEAVNGSI APVNGHGGPFSVAPGDFGVVPILSEAGPPYRSLRAMVGRGPGRPVRYLP EPUS_04850 MAEDSETLACILVLISGNGSNLQALIDACNTPRLPSSKIIRVIS NRKDAYGLIRAHNASIPTTYHNLVKYKKQQAANEAGILRARVAYDQDLAQMIIEEKPD LVVCAGWMHILSSAFVDALEEAHIAIINLHPALPRQFNGAGAIHRAYEAFQKGEIDHT GVMIHHVVSEVDMGEPIVTKEVPMIKGESEEELESRIHEVEWGLIVEGTARALRQIKA RRTS EPUS_04851 MNDTTEPPAKRRKLKDESRHQYVTIVQFSKNIDANHAQSLEIKK DLGLKATPVVLKDVQLGDGTIRGHFTPVVRKALPNIPFSEATSCGDSVGEVLRYCHGL ESIHKRKEDRVPLVCSDITLTPYFDSDSRLKAFRLKVRILWQDAAITRSKVSSQQLAI LHRYLRSDSAITRPTEVPEKWNPRDFYENVHVPADTIESSTDIVNDLMSCRLYPFQRR AVRWLLNREGVQLNPDGRIQSLQQEMMPLPPSFFKTCDATGREVYVSQLLGCVSTNLS DLQAAYPDISGGVLAEEMGLGKTVELIALMCSHRRNHSYYGDNGEGVPNDQTGQGLKK SRATLIITPPSILEQWKEELEEHAPDLKVFNYNGTRTSKRRANDETLEELANNDVVLT TYNVLGREIHYAKEKPDRQLRDRKRDEPPKSPLTQISWWRVCLDEAQMIESGVSQAAQ VARLIPRVNAWAVSGTPLRTGHKDLYGLFLFLRFEPFCQSLPIWNRLLDYYRSLFKHL LGNHAIRHSKNLVRGELRLPPQTRHTITVPFTAIEEQHYNQLFQEMCEDVGLDRFGGP LNAEWDPSAPQTIEKMRSWLNRLRQTCLHPEVGGRNRRALGRTGGPLRSVMQVLDIMI DQNEAAIRAEQRLCFLSQLHRGQMLEHTKDTEGAIKLWTAVYEEVKNIVTECRDLVAR ESKSSQIRDNSSNPSADEGEAEEDSDSRLGAYKQRLRAALEVQHMSIFFLGNGYFQMK TKDDVKPDGDISKYWERREEAAFGEAKQIRAELLSDILKKVGRLMDVVSQKTKASSLA KIPHMHIEVEDGGIESRKIFEKLHYFCEAMNDQAQQYTEWRDQMAKFLQQPLIDTEDG IIELSGEEYEASTKHQDEMYVYMEALRAMFADRNDALTGQSNFLIIRDMKAAHQLAKK GEGPAPQLFLEVLALREKFRIPSELGSLRGIISEIRQLMTALECQVASGRDRARAELS IVSDVLKAAQAMSSAQQKVVTGGLEREIDLFRDTMNNRLEYYRGLQKISDTVAAYEPV DHEPGDPVNFVEFARCIENEQRKEAKISTLLAKHRYLAHLKAEQSASGVQRLCVICQS TFEQGTLTVCGHVYCRECILLWWNHHRSCPTCKKQLKSTDFHDITYKPQDLVVQKEAE PDTVSPTRSMSTESSTSEQRPAANTIYSDISASTLNQIKNIDLRQGAYFGTKVDTMCR HVLWLRDHDPGCKAIFFSQYREFLDVLGAAMTKNQITFSRVDGKNGIENFKKNANVEC FLLHAKAHSAGLNLVNANHVFLCEPLINTALELQAIARVHRIGQQRETTVWMYLVADT VEESIYEISVTRRLAHLKRRVRNSATASRSGTTTPTEAGVTEGAIDAANSLELQSVDL TRLLTSGKSGGEVVGPDDLWQSLFGKAERKGQGLSAALGEADSEAGRFLRAEAAEERL RA EPUS_04852 MNILLLALLSLATPILVGALPTSLVSESRSVSQALFNSLEELAR IVDIAYCVGLTGIHKPFQCLSRCDDFRGFELVSTWHTGLLLADSCGYIALSHPPHPKR IIVAFRGTYSIANTIADLSTIPAEFVPFPADGGAGDGICASAIQDKRSFTVQERGSDE PPLEDKCENCTVHLGFMTSWKNTRCIIIPHIEKALDEYPDYQLTLVGHSLGGAVAALA SLEFQARGWEPVVTTFGEPRIGNQALSSYIDKHFSLSNQDSARHRYRRVTHANDPVPL LPLEEWGYTAHAGEVFISKSDLPPTVADLQHCDSDADPRCIAGPNDSVIHANTSLQER YAWHIPTRYRLWELFFAHRDYFWRLGLCVPHSPPPNHDISIKPNQTQPHHVLFFLRLP ATYTTEERELSLISKLSLRLALAQTPAQLQPLLTAYLAPLLLKLASGHASVRQKTREA CLGVARVLRGEPGTSVQLPVQLPLPRLLGQFREFASSSSAGGGGEGQDLRDEVRRFGL VFIGVGLARWRAQGGGGEEGVREERELVGRLLALPMPLPLPLSRSLSSSTSDRAGETM TTTGSGEAYDGDVQVRIREELMTLDTRLYIQGFYFLLRLLPIWAASFPERGSKEDLEL KTTLNLSPRETSFLAKWLSKFLLADAQAFAAPKKPTNQDSNTDAETEILPALPGLTAA ERSIFAAWAATKRESTKSAAEFEALITQTKIAVAKFLFTAVFTDEERFLPAVIMGADD TNLGLFRVADTMFKQCDFDLKSERAVEELFESYFGMGGGAVNTDGGVYGEKMEIVADA DEQQRQVEVKETQWSLPAKPKLRIRILGLLAKSKRATMNTRAIVKMIDQQFVDKVTDF GLEATKLRTALFNFLNWASRVASEADVLAIAPQALEGFRDYIMHQGWPNPDEVTGKPL SRPELEMRGKAYESIGIWAARMDVAPDLDPPGIGQEVAKMQLVRFLFTSLRCDASSPD IQVSIESALGRVLNRLAASMDESMTSELRTLLTNQINTEVGDVNSEDGYKTVRSTKFA AVRFANRCLPFSDCQARWMDLLAIGAGSVGQRQELVEEGTKGLDPYWWSTLNGLQTTA NELIFPRFEELVEYFFGGANGENMLREPRQEYRFALPHAVAFCRNVLLRETLLSAGLP VSAESDWEKKLDALVATDDNVRSTVRNHLRKVVLSPLTTLLDAALTGMSLDLGRCPEF ATEMGSLVPDHVIRGMLDRVTIIKPTSVSNNLAIQLPAARLLGIFMSHADYTKLDRDQ FIRGALTKIHGWRDAVGQDVNRIRGHLLCVTFILSRQAMRRTLPAEEDNISEFVKLVL ELIVEARDVALRNAAHISLGQLMLCFGRTTLTKLDLQPESVMEQLLKDSKKEQESAVV ALGRFVRGISGDQKLSGTTQQALKNLYTLHEIKRPELQFAVGEALSVAAAGWHSKATI AEFDLDVARPAQSVDEVMLEQMLDKIIDDCKNTKPSLKKASAIWLLCLIQYVGDEKCV KSRLRQCQAAFASLLSSKDEIVQETGSRGLGLVYEMGEKNLKDDLVRDLVASFTGSNA KLGGTVTSETELFDAGALPTGDGSVTTYKDIVSLAGEMGDPSLVYRFMNLASNNAIWT SRSAFGRFGLGNVLADSAYLAENKSFYPKLYRYRFDPNPNVQKSMNDIWNALVKDSNA VIEQNFGLIIEDLLKSVVTGKEWRVRQASCAAIADIIQGRDVDKYEQYLSEIWTKAFM VLDDIKETVRVAAMNLCRTLTNLLIRNLEVGEGATKRAQKMLDNAMPFLLQQLESGAA KEVQEYAIKTLLKVIKKSPPKALQPYAPIILESLIKSLTSLEPEAINYVHMNVEKYGL TTEKLDSLRVSGVSSSPAIQAIERCLESLDEQGMPEAMRRLEASFKTVIGLPSKVGLS SVLVTLTVQHNMKFRPYADRFAQQLRKHLFDRNETITNSYCLALAYVLRIATDKEVEE TSRFAKNLYFASDETGHRHVAGEIVHAVSKVSNDRFMTFASAFLPFAFIGRHDIDVQA REPFRKAWEENVGGPRAVSLYLMDIIDLAQHIDSPKWGIKHTISSAIANLIVALDSAV DGNYTSQQAAAIWPLLEKALGGKTWDGKEAVVDAFPIFFKKAQTLWESKGDQVEKVAL REAKRTNPAYRSHGITALGEIAHIRGSLELAEKVLTAMEDIVRESLESSKDRMEIDAG EASLDSEAKSDASLDKILSAVIACCFKAVPVPKGEGAQSDTWRMLITILEQSLPIGSR LSYMATYEGIKTFFAKFTESSLRQENNMVVSRAMTISADARGVKIIKDLATLLLPVSP PDGQRRLPPYLPENSNTEAVRMARAQALLAYASCPFPDVQENEKASMAVTAWLDNERS GPVRNVLHEAHASLTKQRENRPSPGGS EPUS_04853 MSLSPHERGYPHDQGPGAGRAGQEKVFASVYSFPQCTRLGHTCD YSPRLSFRDDTPRVVERMQDVSTLGSSVWDPTSPTATEAPSSVSGPDDLPAFATLRTD EEREKKAECTSPGTYNVIVNPESFQHLPEYGDDAEVKILRLSPLRRGSLAASMASSQG REPGVDNSHQVDGVYQDSEDPNVVILPRFEDATRRATLQWKDFHSPTPPRLTTHSSGA SIPILDSLADTEPTQSLMQRAAEGGQDAHLLQHFRNHIWQQLAQVEHESMAQASIRGS GIEVLEHAARLFPPLFHAMMAVAALGLAHQEGSERLDALQHYQKALPALQSNLRSADD LSSDGAFLTHFLLLVYEIAAAEIGGSNLWSSHLAQLLRISLLRREVFGGEQFPFITWW ICNIDLYALFSGAGTGEFVGTMLKNDMMPPPSFHLYPLSPDGSSIIYAGETESLPTIL QLNYEVTVLAVRLGLLAQELRRDATALAFDSQEMGSHHRHVSTKLRQQRVYELQEALR QLWLSPSTLMLGQQPEMLPPRSRQSFEHASALYRACLIYSHTSMWPSQRLDSGPECDH EIAESVSEILRIGNRVVSSEQYHRRFIIFPLFMAGFASIDGNEKMLALELISSMEKRS IGSNTTATRHALQIVYEQQTQRFMLTGQSSDVNWSDIMLEQGLQVVNFGL EPUS_04854 MRFLTRQTDRNSPTVEPLRIHKRESTNSPTPSSNSQHTAGTSGS GHYPIPSLPYPDDRQRLAQSRPTNASQAPYPYPDLRRGATPEQKRVGSPEQGRIGSPS YASPTQAGSPSDYPTSLRPHDGRDGRSGATLAERRGNAPKPLPESPGPEIPDKEGLFQ KAPRRHSAASPSGIPELPGAGPRPSGPETNPFPDYHQQYWPPPGALSAETGGAAGRLN VRDAASVQRISSTASTSTTKATRGSPPPPETPIVPPNPRPGTDIEARYAAAGIAGPST LTNLQAQNAAAMQRTANYAGVQPNPQSSASPPRRPWTPTEQPGSQPHGPPTVYQGPGE AQQQPPLPDPTRGRLVEGRHSPHQPGRIPPNPLENDFQRLHVSEEPPPAYSQTPGSQA GYSHDKERPAGTAGAAGAVGAVGAAGAAGAAGGAGGAIGGLAAAMGVNPVGTPSSVSQ ASSQGHPAYANDTVHQQAIPHRQPSVNGVVHHPQQAPAPAVLAAPAPNLAASPPPLPE GWIAHLDPNSGQYYYIHLPTQSTQWEFPKGPTPLNLNETPLSPVASAYNHPLASPGLS FANKPLASPGLPMTPGYEHVNPMSLGGSISGQAGYTGPPPSSGVDLYKVVPTNGVYFG PYLRYANMDLELELWLGSILLVTDGPQPPTIHIHQSLDLSPNPRQLQATQISQHQRWM FYKYEIDLKMEDAPAKWTYAITSHLGCTRYEFLVAGRYETNWRFVAHSGNDFGLNVST NERARLGGVGYMWRDIMQKHAETGGFHCQLGLGSQIYADRLWKEIPSLKQWLATSGKE NRKNAVWTAAHEEDVSHAYFHYYTSHFDQPHLREAFAQIPHILTLDDHDIFDGFGSYP EYMQFSNMFKNIGRIGIEMYLLFQHHTTLEILRNVSHDNDLFTITGTGWHFVKFLGPA LVVVGPDTRSERNPHQVMAGPTYQGLFPKIAMLPPSVQHCIMMIAVPIVYPRMEAAES LTTTIQTGKKAVTGTYNLLGKVTSSVAGVVGAKGAVGSGFDSVKRAVGKSGLMGGILS PFGDIDVLDELKDQWTHESKDLERTYLIRTLQGISHQRSIRITFLSGSVSTCGAGLLH DPSHPSSHKTMFQLITSPVVNAPPSSYILKLLHNNKPLYIPQNGHRSEHHSSHHHHSS SAGGKGAAAATTDTKEDMMEIFGQDVDGRPREMRRLMNRRNYVAVVAYDPEVVQGQFG RTDMSGAKAGGRLSLAVDFMVQADAAAGAGVGAYAGVGGVVKFGPVVVPSLEFGR EPUS_04855 MKTSLLYRSPTQIGIAAHRCFQSTVARNHSEISTLFRPSKFHPA GDLLRAVDLENSNLSLQRLTLASNSIRAPNWTVNAATITYCIKGTLLVSILDTNNVFA AFNVEPGQMFSVASGSIYYIENVGADPAELITCFRHPQPQEFSFWAAMGAMTPAVLGN TFSESASAFSKIHFDTKPQYIIQRAKGSGSDISSTAGLPDPHKLDIEAQNPPIDLPYG SAKLARAQFWPALREVNLSMYSLRVAASGMREAHWHPETTEMGYVASGQARMSILSPD GDVVTYYLQQGDCYVVPVAYPHQIEDLGEGGFNFLVFFDQATPEDIGYRKTGSAFSRV MPAVLGVEPGAEPAFPSTPIDPLIVKKVNPTDPVK EPUS_04856 MGSTSKAKERLTRTNERLLKQMYSTPHLAELHNIILAEITMDST VSSYERRDMWQSDVLQRQVVKYWFLDSAGTTGGEYAISSVNFDSDTTNRGPSTEDSET RIPVED EPUS_04857 MASFSRLIRFLARDGHIYYGDAILPAHTTDIRAATTARIITGDI FGTHSLTNRTASIRLLLCPLSPTQIGTIRCLGLNYAKHAAESKMPLPKYPVLFYKPAT SASGPTDPIPIPLMAQEAEGVDYECELVAVIGREARDVPEAGALSYVLGYAVGNDISH REWQIARGGGQWALGKGFDGWAPFGPGIVSTELIPNPQDLNLTTRLNGKEMQQSRTSD MIFGVAKTISFLSRGTTLRPGDLIFTGTPQGVGMARNPKVWLKDGDEVEVALEGVGTC FNKVEFEKQKAKL EPUS_04858 MSSLHDEKRLYYSDEDSLDSTTTSRSTRELKPSLIIHPYPGNVA RIRKLIATYKNQMNLLRDNIHPSVDGLKMLHTAACYLDLPEGNDKQTLRTDATKKQRQ RQEQLRELARLRDMTERLEEVLKLVNRPDKFREKMGMIKHHILLA EPUS_04859 MSLRGFPRFAPTTYHWGPSIARQNDFAPLFSLLDDLTNGEVSRR QSNSKQSQERFFAPRFDLKEVKDAYVLEGELPGVEQKDVSIEFTDEHTLVVSGRSEVH HEEGTRPKELGAPEKQAQIKDSSHKPTVEDESAESESTEVVKQTEDQSGKKEPEHTYW VSERVSGSFTRSFSFPARVNQDGVKAGLKNGILTVTVPKAAAPESRRINIE EPUS_04860 MNHFPQAWGRPRDDIYGPYDSSHLHSSLPQTHTQSPAVTGTSVL GVKFKDGVVIAADNLASYGSLARFTDVKRLRTFAGSSIIGFGGDVSDMQYLDRLLQSL DIRENYSSHGHTLNAKNLHTYLAKIFYKRRSDFNPLWNNVLVAGLDEEGKPFLSQADL LGTTYSAPTLATGFGAHLAQPLLRRLVPEDEGSVKNVTREMAVDAVKECMKVLFYRDA RSSDRYSIAVVTKEGGIEMKEDEKLEGQSWAFADMIRGYGTQVN EPUS_04861 MFSRLKSSFAPSNKPASIDNNNNELRKRNRLSKPPTNTSSSNLS SPSLLQLGNRSSTLLQLSTNTEEVQDESPIAADDEPREASKAHIFGPESKEPEAPAQG DTEAWRVGAFVVSDIETQHSTSPTTKSPLTSSFSAMFNTSRLSLISLKEHKDVKDVSP PKTEIRSCNLSREDLTSLIPIRRKSLNQPGIATRVGKDERWSRSPSGELGVEAKSVIP EEASSSELEALNLETSKFEKPVPPPLVRTETPSDLVFLGGLRLGSLHITNGCASPAPS DLSMRVKTRSTPNLRTMSGECGDSDHEDCDANTRIVNNVRPSKRPGMPTVPSQLVSKV PHPSPLRFSSNDILPKINTRCEDVDKTLGMDRAGQGITTTDRSPGWSIRMAEEYMAEL PTSPFEIPRHSSSPQSILNPISKSTEFDDGLFEDDSVTPSDFDSVYSSTIDTHYSSNG ATTASDQHESSPLSTRPTYTLADSGYSSNSSLKDSNEETGERTDADHANSVMNAVQNG LSISKNRQDRRPGPRPLRPSILKQARATTTSLPTFHNLHHSTTTVSTVTTTTSMPPTN RGKKLAKPRLLSRSARRKDITVQGNQEVITSSVPPVPAEFEANLAIRSHQVPELEHTF ETRQHTTESPLMSPVEPVEIRFPSPAASVDMIGEQSAAPPRPPIHKDSIFGRRSRSIK RSNVDHSSQEMSEADALAIIQDFGTVGYSLGGNPYDVARTNLQSSPRKHVEPARKVNP HNITSAARRTKSTGGMDAETAAELARMRSRTIHERNSMSLAEKRDLFNDRGGLPGKNL RPMSFTTNTPPLPPLPAGFGSNQSRNWEPQTNHDQMQQADKWNPRFYQNVFTDNTNVD PANDPYGYNQLDDASSYNDWPAECYSEDYRSEFNRPRDGRRESWRSDRVEINFDPRES SSPTHEERHFNRQYGDDSQYDYGKPWLQFQAAFHSTTHWDFNEVEHEAPPPPPPHSPR PLSIAPHEEGSTWARHGQAWREERQSTGEAHPNGGKNWSYGDDNSLYPPIPPRNVPSN QAYHHRDARPASTYHQYPANDAIGPDASYGSYHSCEHSRHPSRRHSQANSYNGSNAGS QAGSLHPPRDPQRVGSSPQFGRHSGGFSYGYERGAGFGGSAGTRSVSSGFAGASRKGK ELSQGFGVDLSDVPIIAGLKMS EPUS_04862 MATQAVPSRNGVSGKDAVNAGTPNQTLYCTNLPEKISKPELRRA LYMLFSTYGPVLDVNATRAKGMRGQAHIVFRDIQTSTQAMRALQGFDFFGKEMVRIDS SPCPEHQALNLAQKIVYGKGQSHIIAKLRGTFEMPSVATEATTTTDLQKSIFNAPPGS VPSKPVEIPQNKSSESEAPHGTKRPRDEEEEDEQGEAPMEEDEDSDAPMEASSDED EPUS_04863 MSSTEIYSQPAATGTGTDVRTKVLFAIDSLKAKSPASIPTATLI ADNIRGPEQTPELVSRFRQFLNANEKVSYNKETDSYSFRPVHDIFNADDLIGFLQSQT TALGLNVRDLKDGWNDVEKTIDQLEKDQKLLVVRNKKDNHAKMVWADDPTLHAPMDDE FVKLWDEISMPSGGHEAVVKELKQKGMTSADSGMAPAVKVVKKEKPKKKIRRGGKVTN THMQGILRDYSHLKR EPUS_04864 MPSKVAPSPVFDSIPACMSAFKSGNFIIVLDSPSRENEGDLIIA AQDMDPAKMAFMIRYSSGLICTPLTASRAKSLDLPQMVTENADPNRTAYTVSVDAKHE HVTTGISASDRALTCRVLADEAATAGDLRRPGHVFPLRAREGGVRERPGHTEAAVEFC KLAGKSEVGVICELVEDGDESAEGLAERVGGGMMRRDSCLEFGKKWGLKVCTIEDLAR YLQEKDKSGSETNGIKGKSHA EPUS_04865 MSGPEVHHLFHHPIADHSFSADRQTLAVARDNNVELYHRSGSKF TLKDELKGHDKTVTGVDIAPRSGMIVTCSQDRNAYVWEPSPSGWKPTLVLLRINRAAT FVRWSPSEKKFAVGSGARVIAVCYFEEENDWWVSKHLKKPIRSTVTSLAWHSNSVLIA AGSTDSHARVFSGYIKGIDARPESSVWGEKLPFNTVCGEYLNDSAGWIHDVAFSPSGD ALAFAAHDSSITVVYPSAPEQPPKAMINVSTQMLPFTSLVWNGESEIVAAGHDCEPYR FRGSQNGWEFAGSVEAKSRPGMGNAREESALNMFRQMDLKGKTKDDTQLKTTHQNTIS TIRAYDESDGALRQFSTSGVDGRVVIWNA EPUS_04866 MSSSSFPSISSLLNNSPTKPYQQLPSHSEIHAKEEQRSPGPAST LMSIPSVHQPGTPRLTFREPVEPTVSVESSPSSIAASAIITPNIPLTALIYFQLLSEI SLDDTDMNLQQPPDIYFGDDPFDMDAPNVEGNLPTQSLPHPVSSSQFLLASSQFTFIA SLVKICTSAALKHYHSLLPPPSVYINVEQNLPQLPFSTTIKLHPQETPQTALLCAEAA EVARAVDANLSLTGVLDLISHATRGGDSASPPEQLLCESRHAARMAPLWELANGLLDK LSRVAQGATLHPLILNSTRHAAEAFCRKLDDEEAMRHVRSVIPDLCLDVMLANGEWI EPUS_04867 MPRQPSIQPARQLVDVQIPRMTLIGRVPLRFSRCPKCRAQVRAA QAIVPLLPRTTQPVTPTPHGQSREPSLLSRALENVPFRRQPKVELRSVADRRPLQEAT TDEKGRKKAEEQQQDDPVASLVFLILVYLCVWEVASLDLTVATQAFILEPQWNPMAEE QALSRIHRMGQTKAVKTVRYIMQDSIEENIYELQQRKLKLAALTISGEVQPDDHNHLD DLRFLLS EPUS_04868 MSHYQIILDSVLQSQIECYDDARRLGMNALCSKDITLIQSSDCE AQLQKEDTPQGLLAIARKADLVVNSAASHFKCRAPIHLGDPTRLPQDLPPCEIHFPTA DTGLVLFRHEVTRIRKSWFFLNLIEYTYRQNPEAEVTKDEFLRSLHEEVTRMPTIQIF ILKDMLLGLARDLNSLTAGRQPRARDPLEPCLFNVLSPEWTQTCSFLREIYESRPSEE KDQLDNFLHGPCDVCFIRRDYLNNTCKGREKGKDIWEV EPUS_04869 MSGVSSDPSSHRVWSGVINAVGSTVIQGDTTAGRDVINLTLNSF LPSAEAFYNLCQSSDDAFKDVAGELKDLLSGFEQAEGFLNNGHLDQAQQDVLARHLSQ SRKIVEGLQQLKSGLDASNMLPTELVNRGLLQRLAELMNKLRPRVASINYFNTTISSQ AHLGQLIRRTIEEHFPVDDQQSVHTFWTAQSQTSEEMESWRQLRKKLRDLCSGDPSQL KTNQTRVLMILRQYYSGDVLTDSFFQDEIEGIEDSDEDNLTSRDASPCRDGLHDAVGM KFALWTKERVRKRPTRLGFFIWAMLQTQLAA EPUS_04870 MLFKKSKPAEAADPSLAKSEATPVAPSENVSISELSQEKEKEVS PDSRSLSSANSKRNMEPTGSDEAKALDEVDEEEEIIYPTGAKLAFITFALCLSVFLMA LDNTIIATAIPRITDVFKALDDVGWYGSSYLLTSCAFTLLWGKLYTFFSLKWGYLIAI FIFEVGSVICGAAPSSVALIIGRAVAGVGAAGIFTGALIIIAYTVPLIKRPIYTGIIG AMYGIASVAGPLLGGAFTDHVSWRWCFYINLPIGAITIVVIGLFFKSPNRKTQSEKTW REKLESMDPIGTAVFIPAIVCCLLALQWGGTMYPWSNARIIALFVLFGILTAVFIGIQ FWKGDNATIPPRIMKQRTMAASAWFATCLGAAFFIFIFYLPIWFQAIKSVSATTSGIM CLPLVLALVLMSILGGAGVTAVGYYTPFFIVSTILQSIGAGLLTTFTVNTSSSKWIGY QIIYGFGVGLAMQQPLIAVQTVLPIADIPVGTALIMFTQTFGGALFVSVGQNVFQNRL MSGLLQEARGFDPSAILRLGATTLKTAIPPEYLPGVLVAYNRALTQTWYVSVAMACLT GIGAASLEWKSVKGKKIETGMAA EPUS_04871 MGFWNDGFSSRPRSRSRSRSRDRRHSSSSKHYASKPVYARSSSS FFGLPNTSTASHHSTSSKRYYSSSRAQPRSNYSNRLMSRLRRFIRDLYYYMRRHPLKV FVLVIMPLLTGGVLTKILAQFGVRLPRGLEELVGGRRRGGMGGFQSERYYARGGARDF GSGSNLPGMGGGIGENLGGLLKVAKMFM EPUS_04872 MLREEGLAEGHDAQPTGKFWSMVGGLSGGAMGFIVANFPGALAG AVAGNRLGAVRDARGKSVYAVFQELPQADKARLLSELAGKVFTTVTSGAT EPUS_04873 MKSTVLLVLYALIALAAAWSKEDYEIFRLRDEVVLSEGPDVTFY DFLGVKPSASQIELQKALKKRSRTLHPDKIKQQFIAARSTIKPKQKGDKKSPGVHVSK GPSEKEVKNAYKEATERYARLGVVAKILQGENRERYDYFLANGFPKWRGTGYYYARFR PGLGSVLVGLFLVLGGGAHYGALVVGWKRQRDFIDRYIRQARRTAWGDETGIRGIPGV DSTSAPVPATTEEPESMMQMNRRQKRQLEKENKKDKSGKGKTASKPSGMQTPNREAGP TGEKKRVVADNGKILIVDAVGNVYLEEEDEDGNNQEFLLDPNEIPKPTLKDTALFKFP AWTYHKITSRIRKPAQTSEEDDSPALEVSDPSQEQDQDPGSSFEVIGNDSPVDAMAQA NASSRKRNRKNKS EPUS_04874 MARLSIPLDALTSRLNLGDRFASVRAQSLGTRFANLKPISEFLD LKRLSKPANFGDVQTRVNYNLSYFSSNYAVVFVMLSIYSLLTNLLLLFVIILVVGGMW GIGKLDGRDLDLFGFRASSSQLYTGLLIVSVPLGIWASPISTVLWLIGATGVSILGHA SFMDRPIENAFSEEAV EPUS_04875 MPPWGRPPGGRPGRKNHRGWGGQQSWVEEIEDSDDDDAGQGVEL ELAVSQRRHVSDELHFTGVDLGSSRVRRRKANAYDEDSDYSEDDDDDGQAPNMQIMLR EKEDLLVERALERIRRARMLGKTNVKLSQAEVDALERAERIQKQPDPSRNVKGKQVAT TRPRAQERKRSKTDKPSTSTPPLKAIEPKRKAKSSSQEEPRPSYPAIPGPDYGQSSGA MVYAPPGYYTGTAQRPRSSSSKSRSRTASSQSLRQPQQQTPSIPQYQHPYHAGRYFSN PDTAYAGLPPSNPSLRSDPSNPNWEPRARSASNLVPYPVDQPPYPLYQPPPPQFDPRD PRFAVPPGPRIASGPPDMYPVPQIPGYRHPQDEMFLYSPNTLVHETMTGENDEEEEDD DDQGVEIDVVERSGGSYGIQTRSSAAAAAGNRGRGGGIVAKRGKRGK EPUS_04876 MATNSSSYQEAALTLPDIELDDRLGIEIDGGNIYLAAFDKRTSK SILLLNEHDQIATPTTVAFVDDEILVGESASRQLDTNAENTFRHLDQLLGQLFASGVI QAYVKNEKHVVVQQNNRCLLRIPNRNKCMSPEDLIALMLAKVTHVAATKYNHTFQSAS WALRISDSCSVRRSPSMCRGCNPCWF EPUS_04877 MALTPSTMRPKRVVELFGPGDELPCHKAMTLIRSEVGQGGLLLR FVVVGAGSFPRGNYPLIKVAIEIPEEYSTNTIIMEASMTSSHALWFYVRVDGDHNLAS IHRTVDGIVTIDSDHLVVVNPYIRQMATA EPUS_04878 MHFQSCLVTALAVLVFESVASPLDDTRLRERIPPNICDGIKGVV DSLKINKATAFCSSYLKIPTATSTVLETVTSPSTTVATITSTSTETISITPSTGPAST SYIFLPGNPPSTPPQRREVETPGPEKRAAAAAAQNAPPNYLRTNAPPPSSHRPAPASA WPPQPQQ EPUS_04879 MPGFTDSFWSTDYAGGLGVLFSKLQQGVTENQQVLTIATLRADA EEMYSERLGDIAPTIDRMSGGFTRDDGASAYEGVRAEMVEATKNHQKIASNIRELVVT PFSRWCDAHAIRIQNSQDELQSKTKAHDRQAELVKKLRSQYFNKCRLVEDLEEENKLA FQDPEKEQPAQSSPKPQSPPKLVLPADDSEDEPIEIGDELYYPEQVKKLLRHMLETIR IGETKVPVLGIYQNTSVGADIVEYIQRDMKATSVSYAERIGQDLVNAGYLRLVGNVGS TFANSSKMNYQWRPKAWQVAGLPERKKATNRVGSMAPRSGTSSSQDGGLDSPGVGSIT ETLQTWNPLNNPHPNETPAEKLRRESKEADEKYKAGVKRLDLLRCNLEESMMDHLKFL ERCELDRLKAIRSVILDFSGAISNVIPALQSQVDNMMLYQETIQPLGDLRYMLENYRT GGYAPKVVPYENYYGSVEDQTFGVDLEARARADRKRVPLIITTLLTYLDNHYPDLEGD EARRVIWLVDVPLAATHHLRNAINNGKAIPKEVLERYEIPIVASVLKLYLLELPDSLV SSQVYEIIKTIYATTTDATPNPISTEAPDSAPRIKVLQSTLGQLRLNNIATLDAITTH FTRLIDLTSADETYITNLAQTLAPCILRPRVENALTMNERHAYRLIRDLFDHKEAIFG ELKRQSSSLGGLGGPAAVGANARPRALSSTDESNRRAAMEARQKAILEQRSRDRSPAP ANRHRRDKSTDGSLGRFPVVASQQIGATSKPNVGGQAGGNRYSLEVPGSQDSSPVVEK TAKPLPSQLPNISSQHNDIATTMNGAANMPDAFFQPPTPPEKDARIPAAVPTAVEADV EKKNSLKRSTVGSGGRARHRGGAGSASTSSMMSRGKGSLSDRHVVDRQITSSAQGVTL EDRPMDD EPUS_04880 MAASVLGKRQRSSIEAEEPFLIHTRRRRARISTPDIHVEDGISS IPQSSQYVQPCELKTPLQSTKAISKACTVTSKRTVPNKHPLPSQSLEGAFPSPSTDSN DENVPPVEFRTPSTTRFKDALAPLTPKHRVKLPGKPLTPRTPRTCSTPSSTCATIYSD ARQLFAQNGSPSKLIGRDAERRELASFITHAVDTHSGGSIYVSGPPGTGKSALIEEIT NELSTTPSLKCSVVNCVSIKSSKDMQRKIISDLLPEGLRSTKPEQSTLSHLFLPKRKS MSQSFLVVLDEVDNLLSVDCELLYTLFEWALHRSSCLILIGIANALDLTDRFLPRLKA RNLKPRLLPFMPYTAPQIVSIITEKLRSTLPADCSRGVDYVPFLQPAAIQLCSKKVAS QTGDLRKVFNLVRRAIDCIERETVEKHSAFDLSTSKQPLLDITNNSPTKLPLSPPSSS PLKPSSSPPVSGTVRLNVAGLTAESAPRATVAHIARLTTAVFNNDAVSRLGGLNLQQK AILCSLVAAERKRNERDPFSTPSKNANRKPMLKDLFELYAGVCKRDNVLCPLSSTEFR DVVASLETFGLVQEATGRASFLTPTKTPSRLGRKNIEEKQFASIVSEKELEDNLQGPG TEILKRLLRGACL EPUS_04881 MDAAIAQIVTVTSASPERAAQYLQLADGDPGSAIMLYFESNGAD PSGDPSSYSAPPPPPPASSRPGGTGNAQDPIDIDDGTVSDDNDPQITGFRKVGGSEGS ALPQTRGSTFDADAEYARRLQEEMYGGGGGQGPGGEEEIRAPIARQSETLLGPGADAG PLDERDIPAHVMQQMRRMQNRRGNQAQPGIFNQRPAASIWTDRDDAVVEPDILAESTG GASESSSRSNMLAKMFQPPWDLMYKGGWDSAREEGREELKWLLVNIQDGSVFDCQVLN RDLWKNPSVVDTIRENFLFLQYSKDDIRADQYLQYYFQDHSNPDLYPHIAIVDPRTGE QVKVWSAEVPKAGDFLMQLHEFLDRYSLSSHARNPVAKRKSEAQKPKSVDQMTEEEQL ERAMQASLAAQPENATKTVLEDPDELTRSVGDMDGKGKSVKQNAASMTVVENGDDTSS TPSPFSLIPSDCPHQEPAAGNDVTRIQFRHPAGRIIRRFATSDLVRRIFEWLKSEPLE GKEGVAFELVSMGKNLMDLADQTIEQAGLKNGTVMIEFLEA EPUS_04882 MDPETFYTKQNCIGGGSFGKVVDKRTGQSVAIKIIDVENAEDEV EDIIQEISILSELHSPYVTKYHGSFLKGSDLWIIMEFCSGGSCSDLMRPGLIPEDYIC IIIRELLMGLEYLHGDKKLHRDIKAANVLLAANGQVKLADFGVSGQLSATMTKKNTFV GTPFWMAPEVIKQSGYDHKADIWSLGITAIELAKGEPPYSDIHPMKVLFLIPKNPPPV LDGNFSRPFKDFVELCLRRDPRERPSAKELLKHPFIRKAKKTTYLTELIERHERWHAT HANRSSEDEDEYEREPPPRPSQEEEDLWDFGTVRPAGGRSGGLRPMSDAATNARASVD SSRASEESVKHRFDGMDTVKAPSSPTKSSAKGSLPTSALPKSPTKVPLPPSPIKQDHP PAPIPKTPAANLAKPQPKKHSESPGSNEYNKAFQAQLAQDMGFLKLGGSPLGTSSTGP QPVAKDEPGKKVQAMQIPDIPPFKGNPARGPPLQSIPPQSTQARPSGGQPLPSIPTSV SSPTIQKPLPPPGQQPLPPLNVPQSQPRKPSDSENSNYVQGQAPGHELTALSSVMIPA LEAALHRRSHMLKELSKSKPATQAAAEVQQRCHQGHDRIRKLVMKAAGVFSEIQKWDQ ETPVGMGGEVNDFLEGFLEEILVRVEAADDEPGFVPNGSSDRR EPUS_04883 MSEIQLTQALRTVSSSLIFNHMTILQQSIQLRDRRRVRWDQYAM VFQKAHSFNKWISSADSSRISIRSTFKDRNILSGSLTLAVEYLRKPGIAALWALQCRT QAYDSTEILESLICQALKLDSASHTDLTFSFQLRRYLDAQGDEDYFNILADILSHFKL IYIIIQLEAMFFEAASRFQRHLDGLLDRFKTRAPGPILKILVASSGPLVSPPQVRNQQ VLRIGGKKQLKKYTTRSTSLAPLKCRQSCDHSPDD EPUS_09405 MSNSDGRRRLPIMVRAGRKSQKPYWPFMELWGLHRRPIVSDGNC LFRALSDQLFDTTERHDEIRQTVVWYLRANREQFEPFVPLDTEDLVRSQPNTRSSRSR RASAEDPYEAYLENMAKPKTWGGEVEIRAFSEAYDRDVLIHRPTDAGQPFDQMVNNKR AAGQPRQFVHVSFGGESTHPHYESVRPIKSASPAASHPSSTPATPLCGPADKPLSLPE YSTEPLNQIQQARPNLSAEELYSFLEKSRNQLDTIFGQIINTDRERSSSASASSQRSS SSKRSRDDNGDGEEEDSDNRASKRAMRRISLRNKTHAFVTYITPASSQRGTEISFKIR VDTPPGTPTENPEPEKGAAEKGKGGSDGEKNDNECAKEGGEEREGPTVSKSDEENVPD TTDKSPKTETEEKAAVSGKPKGGSRGRAKTRARNA EPUS_09406 MAEKRIVVVGAGVAGLTTALLLSKNPSYKITVAAKYMPGDYHIE YASPWAGANYMPLVTPNTYYKSKKGLLKQS EPUS_09407 MKSSSLQFRVIPKAELDDDIDSATSFTSVCINTAIYLPYLVSEC SKAGVSFKRAIFNHICDAVTAHHSGQKAHVVINCTGLSAAKIGGVEDGTVTPARGQIV LVRNDPGAMYTISGCDDGEDEVVYVMMRATGGGTILGGSYQKGNWESQPDPNLAMRIM RRAVKLCPQLTLGAGVEGLDIIRHGVGLRPLRAAGARVEKEKINGIWVIHNYGHGGYG YQSSYGCSQEVVKLADNILDEKARDKVSGRSYSLEPSTLRSPVAMDFSNIFRLVNLLV GAVMILGGISQFIPHLTIGNTITGIYVILFGLAIGGLELLPQVPPYLPRYASFLFSFL GRGFFYVFVGSIIIEGNKLRLIAGLVVAIIGVAYCALEFAPQIEPPSNMRDADAGWGA EQV EPUS_09408 MKSSSLQFRVIPKAELDDDIDSATSFTSVCINTAIYLPYLVSEC SKAGVSFKRAIFNHICDAVTAHHSGQKAHVVINCTGLSAAKIGGVEDGTVTPARGQIV LVRNDPGAMYTISGCDDGEDEVVYVMMRATGGGTILGGSYQKGNWESQPDPNLAMRIM RRAVKLCPQLTLGAGVEGLDIIRHGVGLRPLRAAGARVEKEKINGIWVIHNYGHGGYG YQSSYGCSQEVVKLADNILDEKARDKVSGRSYSLEPSTLRSPVAMDFSNIFRLVNLLV GAVMILGGISQFIPHLTIGNTITGIYVILFGLAIGGLELLPQVPPYLPRYASFLFSFL GRGFFYVFVGSIIIEGNKLRLIAGLVVAIIGVAYCALEFAPQIEPPSNMRDADAGWGA EQV EPUS_09409 MAEKRIVVVGAGVAGLTTALLLSKNPSYKITVAAKYMPGDYHIE YASPWAGANYMPLVTPNTYYKSKKGLLKQS EPUS_09410 MSNSDGRRRLPIMVRAGRKSQKPYWPFMELWGLHRRPIVSDGNC LFRALSDQLFDTTERHDEIRQTVVWYLRANREQFEPFVPLDTEDLVRSQPNTRSSRSR RASAEDPYEAYLENMAKPKTWGGEVEIRAFSEAYDRDVLIHRPTDAGQPFDQMVNNKR AAGQPRQFVHVSFGGESTHPHYESVRPIKSASPAASHPSSTPATPLCGPADKPLSLPE YSTEPLNQIQQARPNLSAEELYSFLEKSRNQLDTIFGQIINTDRERSSSASASSQRSS SSKRSRDDNGDGEEEDSDNRASKRAMRRISLRNKTHAFVTYITPASSQRGTEISFKIR VDTPPGTPTENPEPEKGAAEKGKGGSDGEKNDNECAKEGGEEREGPTVSKSDEENVPD TTDKSPKTETEEKAAVSGKPKGGSRGRAKTRARNA EPUS_09477 MGPIDPNIAVEILFRAEIHQKRGPLYLAESLYPKSIKKGPKAKS IPKKWAEKQPNDYTTPSIPDDSTADT EPUS_08835 MERTPRKMFSNPTSQLPQETFNPSMHEINTVQSSAAEDESVLKT HRRAEYSEPLLKKPQNDDLEEALQAPQHISWAYKSKQINKKLGLLSMVTLVIGTLVIL ASLLFVSFLWLSDVNNRTWQVVASRNWMTRCVSLTALALRTTISMQAMISTSMLAGLA LERKSVLSMHLASTSAMRNINNGPLYLAWCTSKALLKMEKPWKQIFLPSILILLFVTT FLSQFTSTILLSDLSLTPVLGLPTSTTLSSHFIYNTTDEYRPLNQITRGSSWLRLPAF YPAFAEYSNPETKQDPAVVDTGPILRAFLPLGEQQSRQEIGDYKGVATVLDSRVICVR PELENPKLQINSNGDSPISLALVSSISASSSANGVLRDQSGIRKREYGCLVDPPLIKT PDNGRGITLCQVMPIASDLPSQMEIYYGVASGLTYLVLNATTSVRGKWWDLVFGSDLY EYSPVSNEINGEWIDLLFTDDGTMRISASLCYAASDTADLFIRAYSGMNRTEPLAEFD TNISKYRYDAVRNQLGQRKDGSWTHGMFSARGILQLEPRPSWSPGTHPEDYVRPWRSV GLSSPYISWVNNAARFEYPSVADYDPMNLWPPQVNYTAYLGTKNSGVSVDDSPVSGHN FSSIHPDPSFPALLQEILQHGGDIAHALSSLLTVLAASTYYDQLPQFNGQSEVEQTFF EPVLTPQTYRGYSFVMAVASTHLILLATILFQFLTGTKISSIGNAWQTFAQIKDPLTE ELLDFNTLPSDEEVRRWMKRNADAKVSDRVSDSMGTFSNKHEEHAVQSALQPNDLVGI RLASSGTRTELARRQRAADSKLSHDVVKTSSVEDLP EPUS_08836 MSSRLRNFQVAEPIEPGQCVLIRQSPSRSPIGPNLPNYSLAVVC TESMIPTKTARLKSAVGVTTQHGPFPVWLIGKSEFFWLTRARMFHFEEAHKEEWKRAD PNSDFTSYFTLAKENSGNLEFWTNVILEHKKMVDAREGNLSAQTGQKHLRESSSSSND LSGRERTTEDSDDSHLEDSDYEGPIRKKSRSKTTKAPVSDGEATTSAQLTASTPPPCM VGPPPRLQTPAPPPYITPAASSQDGGIIKPEPSDEDDAIPIKDLVQVFVGQTNDAPFE CSRASLAQSAILTERITTRAGERLCSFIMDPTFSDIAPSDFKAVVQFLNAYEYEPLLL TSSADGKPILDESAAPINYPADLLRSANLFNLAKRLQLPAFGHLVFRKILHGHRSYDT QPFLAFATRILTYAGCDFADGDPIKGVLEEWVVKFLAEHMHTMCSRIVRDARAFWELM RVKGVEARVMEMRLKLCREFPGGRIKIED EPUS_08837 MVYMEQSLRPPFPPPGVPAQEYKKDLSTNESVLPPNGAIKQQPQ QIVAAVSSEVLESLPTITRTKDQQVVLVAEEVEEFLRNDLNVSRLNSIHAYLWMAGRP LNARPLQRQKMMGKDIIPTEQLDLHLLHFSNRLLVKPLPEYLLNFSFWERYLCESKEL HETACGLLLSYVWLICSPLDMKLAHELGLVPIDRKWAWWKTFVADFVSIIDVNALDQV NRRFQFGELRLGRINSIYRIRLFFTHSIRGYLYGYNRYTVFFERKFAWLLGVFVYFSL VLSAMQVGSIVPPLNDNQGPLQSLTIFPPTIRPLDDSDQRSPIRLGSMFKRSFASKDR AGKSVKPLKITYREGTKKKMQESLDSPMVASPLTSPIITMVVGRDQRLFAAHEDVLCR APFFAASLKDQFFEAGAKKVKLPDEEPEILSCILEFLYKGDYYPRLLQNKRRDTWELE DAHDPKKGGRGSSDSTIYLPDVGGHVLRDTVIYCAAEKYGLEELKRLALRKQGLQSGV QVDAILRSARYAYDNTPDTESRLRAHYLALIIRSRKTFKRSGTMQMEMENGGKLFFDL FVAMCNHIDDIVEIR EPUS_08838 MAGPHISFTWPGLVLMLALLFLSLTTTPTHGLPISSEISTSPSH LLTARFTLPPTIVNPKPPKPGRPGRDPPELPENPNNPENEPHKPNPGGEGGNGSGDRP DPKSGEERGMFKDRRGQCCSKKNRKETDLCP EPUS_08839 MAEAEELRQLTLAAMAKLSLGSTQSDDIDENVLAALPPGTEFVS IAPHGVSSFNETWRIETTLDGVEKLYFMKSHKGDLGRRSLQGSFESEKLFCEYEPEHV PRPIAFGNYKSDPDTWFFLAAFHDMVEELPEVDKLVSIVADYHRKSFAKSPGGKWGFH METGLPFKMIDEEEIVHGQADELDELKKDLFEKVMPRLLRPMETGGRSIKPCLIHTDL WPGNILPDVDTDRLMLYDSRAMWGHNECDLGTWRAARFRLGTPYVTEYQRKMSMSEPQ EDWSDRHALYALRYKILNAVLFPGEERLRRVFMDEMRRLIQKYPNGLDDFQEESDMKV RKSATAIVPRQAALQESTGNVQ EPUS_08840 MGSDLATAAPHRPSPSSTTKRTKTSSTGFLESRISSFSLNRRRP GDESSEDIRGHFGLNLLHEPSEPLIDFIFVHGLRGGSRKTWSKTDNIGHYWPKEWLPV DPKFKNVRIHSFGYNSDWGERTGSVLTIHDFGQALLGDIMGGVVIKKAFLLAKQDPQY QLLASRFHSMFFLATPHRGADSAQLLSTLIKLSFAHSGKAYVADLMPSSGAVQIINDE FRHAYQGVHLWSFFETLSTSLGLIVEKESAIIGLPGERIQLLNADHRHVCKFDDPSDN NYRTLRNAFASTIEGIENTHLPVRKEEERSHMRSLSQYLGGADRPEADLATVLEKKLP GSCLWIHDRQSFQDWEDDFDDAPKCYWLHGEPATGKTTIVAHTIDYLQQRHKECSFFF FKYGDATRSTIASMLLSLAWQMSSVNSVIREALLGMHLEGEMFDKADERSIWQKTFVS RIFHTTLKQTHYWIIDALDECTNQSVLFPLLAKIPK EPUS_08841 MFAQERIAKTIDHIEREDSLGDIKMFLEARSHYLPVATETARHD LINQVLEKSDGNFLWASLTLRELEETSSEEQILEVLESVPEEMDDVYKRILDRILATP RNVELAKAILRWVVCAARPLLAEELKEAIKLDIHETPHNIEKDAGTICGHLVIVDNRQ RVQIAHQTVRAYLVRDGLSSYFAVVRPQAHSRLAEVCVKYLCGDEMKTPRHRRGSATS RGPKRSAFSDYAAKQFSEHVVRSSSAIDPPFIALNSFLRGNVLTWIEFIAATQDLSPL TQTAKNLKIYLDRRAKYRSPLGQEVSNVSAWANDIIHLVARFGKPLLTHPSPIQFLLP SVCPPESIIFKTFKDYPRSLQLVGLSQKDWNDQLSCTIYPQTQAYCMACCDNRFAVGL SDGSVRVYHEVTCQEELRLIHGEGVRHLVLSNSGTHLAAAGRKKIKMWDLITSTLLWT ATAPDILLAMEFNDAGTILMTTTRTEFMISLRVDIGEVDDKVQFSDIFEDDPVDEDHK QRPAKLASSYRRPPNLTVFSTKLNLLAVAYRARPVTFWGLNDSSYVGQLWRTSDEFQL AVVALVFNPNPDVSLVAAAYQDGSILTFDPWTQCKHGDTGPVGAMILGASPDGTILAT ADFTGVITLFDFETLRLLYKVTSVEQSVRQIVFSSSGLRFYDARGDRCTVWEPSVLVR RNDTGDDSSLDFSEQVPSGPEIVNNRTSDERLALTVFTAHHDGETIFCGRESGAVVAY SSKTGQPFQELFSHRKNIGILLLGWNEAASLLASVDRSGYILVQKLTFNPGRNFDVAD PILGRKSASPVHQVLINLDGNRFLVSTAETDEVWSLENDSLVRSHTVTVARGSWKWAN HPTQLGKLLLVSGDRVKIFDWNDGSELSQPNGIGLGLQRSEDVALIDVILSPRARGLC IFQTGSRVTTNSVPALRLWPAESISPETTQIKCLADYDELAKDIKAVIEIYRTQVIFL DHNGWVCSIDINSVNAAQKSFTRHFFIPFQLHGTTGHLLMLVTNRGAVALAVGDELAV FHNGLSFEEHVGLGGSPLVSARPSMRSNLKRFRSAPT EPUS_08842 MKPARWIVFTVLEGLLDVLLLVPVIRVVLSLHMKFQNKLWAMAV FITRCMVWIPSVAHLVYFIRYIRHGRDIIDIVPTIVTEEIWVCTALTLSSAPVLMRVT KKFTTLGVQIAESTIQQGSTSKSKENSGLFAWKGGGLQGLSSFKMTDIAAMSGSDPSG QKIVRPEKDDRLNIIQIDARVKGEGASTESVPESQIGILRQMDFDVHSESK EPUS_08843 MWLHRGPPPAPVPGTAADDRDMKRMGKIQKLNRIYTVSTLVGYG VILGMTWPFSLMRSTHSTGTLSLTNGGPAGAIWVYLGTCVGMSTVVISMAELASIEPT TGGQYHWVSIFAPPKYRKILSYMVGWLCSLGWQSGVAGSANVGALSIQGFIKVANSDY NPKSWHIVLLTIAILCFVVFFNTVLSRKLPGIEGVIFILYLLGFVVFLVVFFVMGDRS PAREVFTNFQDNAGWGSIGTACFVSISGPVITVIGSDSAVHLAEELKDASRQLPKAML FMAFTNYFLGFVMLLAFVFVVGNVEEVLATPTGQPYIQVIWNATQSRGPTIALVAIII FFFLFTAVNVNTTASRQIWAFARDGGLPFSPWINYVSPYLHIPVNAVLLSWFIGCCIA LVPLGSNAAFLNIQTIGNGGLLSSYILCITCRLYHRNAISVYGNLVKRPTFCLGKIAG NIVNCFALCFLIIFLVAGMFPVAPNPTTETMNWTCTALGATVIIALLLFIQLGKGYLG ARAETLPESERVSESEETELENKAFDA EPUS_09159 MNLCALCRSIPFERLPQLPSDWECIINRRELPSFVFNSSLSSAL DVPIGFRYHSSLEDLAASAGGCRLCDLLNDSVSGFSASYRKAENDPLFAYYEDAHLGL PSNFQFWLTKRINGGDGFLVLVRARREGIIYLVGAVGFCVKEDSALASLYKGRPVEED GGSPTTLDRAAAWVQNCVRNHEDCQAGGSIPLPSRLLDLESCTNQKEIRLRGTGGIHG RYAALSHSARKEAIKIEELPKTFQDADDLEDWARESANMAAVYSNAHLTIAAAHATDS SAGCFNRRKGRRHIPVDYITQDGTSGQLLAFLVSTDKEAASRLYIEMSHEPLTKRAWA LQERLLGQRILHDGTDQMYYECNHEFVSEDGFRDAGRYCNLFGATDSGRVGRRSQHSD EHAFWYHLLWYYGSRRLSNPSDKLPAMSGLARIFESRVQASYVAGLWSNALIEGLAWQ GVRSTKDPTTSTSQAYIAPAWSWASYDGIAATGQGKEWKDIAKVLDYHIKLKTSNPYG ELEEGWIMIRAPLVRVSLSDEPEKTRTSNDDAARELVKRLELFALVLQRWDKEKNVLE KSEQWGKGKSEEERQENREEKDDVEKGAQEEGGEDQEAWNQGSGGDDCYDYFTWHSFV SVRAAIAGKWTNRLCGPQRDSKGSKWEQITGALPSTTNASYH EPUS_09160 MVRHGRCRYLTTAVLTAALSTATVALPWDSCKADITWALGNHTV SLHDSNIFHPTSTTESPVLTLGACETYCGKGSTWYPDIGPILNIWLIPVLILVSQMDV TPLGKRTYRVVVHLLGDPIDSIYCLLLKLEAWSQCRRIAESEWNRTHPMPNDANVRPE VWMGRVRRRRRGWQMHVWYLISKISYGKIGHSMTDEDHKRSEFVRVYGTVLGGIEEVT NEGTDPRHIYTMLSEGMSECDQERFRTRVAHELANSRTDAIFRTSLAVSLYFYQVACA FVTRLGGSATSPPGGRIGISMFLTYILPAVLLSNLVGSFPSIYTCFGIIQKHLPEGRD LLTLLHSTESHDDRDEAESYDDIAKRYFESRPWSGAIYTFRPKKAIFNSRLSGDHPRW VLFLLAICPIVVSTVIALAIIWHLPPSGVNCRWFVLFVISVAYFGSTLITWRSRSKHL SGRWHWRLTIIKDFLIAAPSLILLFASSGGLFNSCLCWSGRLTRGRKEAKVALTFEPN IRKLYPALYASCLVLQMCAFVCMVLVEWKGLTLMRWSPKRRSNIIAELSPSVGPAPAP APAPAPAPAPAPAPAPAADSKNSPTVEVVLATGLRKRATYN EPUS_09161 MSTSASAIWQRIQISTEAEQEFKFIDEVAKLWNLKIHVQSTPPL ISSNSSTPMPDWITDRNESAVETPPSSVYTDTTENIDPLLLASTATPDQTTIHDFIDG DDFIGINEGKRHLLEVDFSVEDAAVIQKRFVTEETISEGNFSSVTAAMLVGR EPUS_08038 MLTVRDNRRGRSKSPGGRERSTSRDQRDHPRDTRATSPSRKERK KSSQKYSESESEDDRRSREKKSSKKYYESDSDDGKNKHKKSSRKYDDSESEESDRERR KSRSKKYYDSDSDTRGKSRRVEKRAPRRHDPQESESDRRKEKSKKSSRKYYESDSSEE PPRHRHTHAPEAPKDKLQDKHQHFEDGFHPSYAQPQQYMYSQPGQYMQPAPAVPPQTR HMSLGDPRQGVDPRHEQWREEWAAVPECERPGFVPPANALPTSPPFSAPGAFPMQGHT DVPDHQRIHSISSPSAVSPPIAGNYIQPPQYQYAEIDPHIKYKPKSEKTYVQNPQQNY GAPQYSQSPHPQYVEVKPGTGRGERQEKKYRQEDAPSKKELASRLGRLAISGGAGLGA GLVAGNVPHGGGDDSRPPASPLLEAYHGTYQSISPMPSPLMLGKTKPTDSDSSDLSDL DISTTKTKSSKKSSKSKDKEQDIVISHKHRPSNASTTTTGGLEIITATPRTPKRVSFY DPVPDALKIASALSGTHHAPNTRPLISILPHLSTEDMLALRAEYKNHAKASGKGINMA KHIKMRVPGNLGKATYATALGRWESEAYWANSWYQSGGSRRELLIESLMGRSNSDMRE IKNCFRDKRYADDLEKCMRAELKADKFRLAILLALEENRMADSAALDPELIAHDVRDL YRALTSRDGGETAMIQIIVLRSDAHLREVLRAFEKTFHLNFAREMISKSRNLVGETLA HILNGALNRPMRDALLLHQAMAETAPGRERAELLISRAVRLHWDPRHMERVKRVYEER YRVEVGVALKREVWSSMKTIEGREWVEFVAGCLEVELDSRGGHGDKRASGGRELERER DRERGGRGERRSSGGKELDRVRERDRDRETTHGYGYDYDVEERKPRH EPUS_08039 MPSPSPPRQASPLPIPSPPDSKSPNRRTSFGFLHRSRSKEPIQN RKASGGKITKKHHEQAREEELRRLKEASLMPGAVPTLPVLTPSPQLQSFVGEGATPDR AAGKNTNQSMEAPTRTVPVPPIPRVSQSPDPYTRSESITHRGRYSYAASAVSSIDSPR RVRRRKDPTPYNVLVVGARNSGKTSFINFLRISLAAKKRLNRPGENLETPIAGKTNKS FVHHYLETEIDGERVGLTLWDSQGLEKNVVDLQLREMSTFIESKFEDTFSEEMKVVRA PGVQDTHIHCVFLILDPVRLDANIQAARQHSSIEKTGKIRNTPARIIGALDEDFDLQV LRTLRGKTSVVPVISKADTITTAHMAVLKDMVHNGLKIAGLDPLEALSFDEEEEFDEE DNAIVEKFDERDEDEENARNKSTSPDSEDGRGSPHTSDSDTVPQDQPTPVALRRSSQR YKSVATSGTSEEGLIDPPYLPYSILSPDEYSLASKDGRVGRKFPWGFADPYDPEHCDF TKLKETCFGEWRAELREASKEIWYERWRTSRLNRQGINANGTGAGSRTSNGLSKGVPR EPUS_08040 MAVVLLYILSHLLSFLSILSFPHFAMTAPVDPRQSQPPNNTVGD GADGGVPASAIQQSTSELPVYVRDYAPLVWLSGSERYMPSDIASQVANTIPKINGAPV AQAPSPLTLNNLDQLNAQGGKDIWLTSREGIPAYPKWFEGVTPDGNRKTNGATTAAIV TVDKGNGNLDAFYFYFFAFNRGNTVLGQEFGSHVGDWEHNMIRFQNGVPQAVYFSQHA SSQSFTYKAVEKNGIRPVAYISEGSHAVYATTGTHDHTIPRLNLPGGLVEDHCDRGKL WDPVLSTYSYRYDRVSQKFSPYDPGFPVNWLYFLGRWGDDAPPKGSEGQFEIFGQKRY VGGPTGPMDKRLDRENMSGVKNDNWVRPFLTP EPUS_08041 MTAEVILSPIQAKGSSGDDSHTLTDIVCVHGLGGDPYRTWVALG TSTFWPQTLLSEDVPGLRILTFGYQAQATHFTTEVISQRAENLLRELVERRDSDQKIG RELIFIAHSSGGIIVKQALLIALNSSNNTYREILHSTLGAVFLSTPHSTRAEDIRKVL QYAIFAGGIRVESDIPGLLRKSVASWQAIQQTFEELHSRKLLNIQVASFFEELPVPGF GLVIDSKPPELGVSDWYRLSTNHQRCPGTFLVYPCTQTTSSTEPKYQRVLGELKKILR RKVEEPRALNRQEQEFLARLRIDNGSHIANPAYEGTCNWIFEHREFVSWLEQDHSSFL WLKGTPGSGKSTLVKHITQELKQRCLSSNAITASYFFHYSRDIPGRSARELFQSLLFQ LLSQIPSVMSDYVPRFQQGWERYSKLGGSGEQREQWLANNLEDLLERSISKISDSHKL FFFIDGLDECSGEEAVRVLALFSSIHSKALGNVVRLCVSSRPRANIEPFCFAQHRKIF LPNENCQDIQRFTQLKLERQLRLSIRNPYILESLVKRASGVFLWVSLALDILAHGSDT GEISEQTLWAIPSGLDDVYCTILDRHIQNERDSDGLVRRILTWITFARRPLLVEELQE ALQFGALPRFASGALPKSLVSVSKADKARVITELCWGFVETREYQKLGTISELGMDGE TSYLVLRLAHQSARDFLQNANWSKAMGTSSKAASEHDYLKCPDLYIADICLQYLYFHA EASSTARFVVQQRSKYLLLPYATMYWPEHAKLADRPSSSYQLLLRNLRWPSTRIISHW SLLYQQRSNDNAISAGGGWTVEHAAAAFGLYNLALAMHKVDRSINVNWDVRDAAGRTP LSWAAEKGHLAVVRLLLLKGASLSTRDNRHGLSPLHWAALQGHQMMVELLLEAGADVD DRIGGSTPLAIAAARGHQTVVKVLLENGADPNLIDMHYGQVPLHLAAARGNALMVSHL LAHGANPNILDRFSKRTPLYYATIRSHQEIVGLLLDHAVGVPCSEVETLTGSVTTWAD RVIYALLRAPGGLPLCCPRSPDAAPGSGASPPDRGSEPISRAAKESQKTPPKRGRDGQ ASGGGDENGGSGDDPNKHPALDLAPPSTGRPTLRLACPYFKYDPGRYGAQKTCRGPDG WPDVRRLKQHLYGRHFAIVCRRCRQIFQSDQGLSEHATAERACPVQRERNYADGFDED QKSLLKSRTRKRKSGDELEYWKDVYQILFPEIETNHIPSPFYTDESIDYASYNESCAY TRLHDAELQAQLLEMVHDPTLVNPILNLINSHQSAVIRPRSNGSSSTRPDPASTPQRQ PRQDDELAAISQYQPIVFGDDVFGYDGSPFSLLNRESRIPQPYPHAPDSGFFSGTPSV NQPDTYPLPLQSADIAYAPGHLTSHDPRDVRRAPVADMVTTVVNPGLISQIPSPNRDD VLVDTGGDSQPLSWEDEQDHTLRAGPGQVPNTQTMDPNEQLPLTHPFTEMDG EPUS_08042 MSCESECLSPEGNPFQGSTKPAPSSPPPLFSSPPQDIEKPAIPY KQGQKLTIFRHNSPPPLGRPYPNSRALTPRKTLKGLTQLEYCLSASPLEGTTKSQETS SFVITKELALCDGRGAQFILVDNGWVTKIYDPLYYPTYHKDTSIRADVVEWAECDYSR EGAAYEELTGRFGGTVIPKYHRFMDM EPUS_08043 MRPVRLILMEFIEGVTMFELDPDKLSEQQSTNIMVKAIDGYAAL QHHGVNHGDFSPRNVLCSGNDLGSVTLRVVLFDFNNSIVLRLANLRRTPPKLPVSPIV GYWRGGPPEFSPGWIPYPPGEWLWKQWGDSPS EPUS_08044 MSSHDQNHIPFEGPRRRGRGRGAVLEHRAASTGGLDVNAHLNTD ENDGLDLGLEDVNLTPHPKKRRYATGTAIATSDDAASDHSSRSSLSLPPSLSGADSPI KGSRSSSPIKHLLRVAMRKDEPIHVREYNPGQSVSDEFPHALADMWATLRKCSRRAVI PSTLEGDIRRHFPSDADLLDARDFLDAPSKLTMEHLNDLLRGAHKSFMKTEPHWNCAS HFPALDRAVQTAKIVNCDDVSIENITLADILPQYNLRDETMAPYNPSSAISLPSAATT TTPSSRVDLALTIGLTAAENEILSNHNITHINHTAFDSLTRSPIAVSVEAKRSGEGKD KSQYQLAVWVSAQFRRLEELFGREKVQQLAWLPLLRVQGEHWHFLAAVRVGRSGPSGG MQTVIWSEISLGSMREVLGVFHVLAALHVLVEWADTTFRQWFAGCIQALGVGDLEQQT GG EPUS_08045 MHHLIVILVWVASGGCWPLFEAEHKLNLSIARDSQPVGSPTHNS SAITIQTATLSKEINTTLTGLAFLLTVTTYWLLMRYTTTLSNEIVLPSKFLEDNIAAV LSLTWPNHYLRTSKDPPDTYSFPWVLFRMRMEELFNKPLGSDDVKDFNTSIQSGSFMV IVKIFSPVMKSLVQIAIWNTVSFWLVLVMIVNTLVYNGFVSDNITNDSKVRLILVGIY AIVNIGHQFRITILLYRNFTFVLFQTCWTIICKEFIFQKTELYKRHIDDVMFFMKLDK RDYPFRRDPFGSSIDSRSLDLQLFGTMKRSNTHRPLYYSEEISFPEPDCDFSPLSIDN IEREYYLKTEDKIESKFDESVKPLRETEIKAYEKATDTALEKVIGNVAVLLGICLATA LAPWTSTQKIDATSVQLGSYALLLSISTGFLTLVGSISLLTNATYSAKLLLLFQEKTM ATDKYIHKSEDVSKGFSLQDEPGFGFTKGIAGESRLTFFGLWWSTSLLGKLPCLLFGP ALMLIPRFHRDRQRSRDNQKALFFTVHNVTFTCTVTEPDFGSISRSLSN EPUS_08046 MSKLRFSKSTVDEMALLLATGVEPREIAYRFRCHASTVGRIRQN IDTFGEPRPAPQAKMGRPQKITPEALEGLLDWLLDNGSEKKLSYLDEMVAFLDEEYDI EVSKSTVCRTLAKEKITQKAVSTVSL EPUS_08047 MSSFSIPLLVKLLPLLISAYVYWRKFQPPRSQGNPLPGPAGLPI IGNAFQFPKKNPWKQLKVWADEYGPIYRISALGKTFIVLGSEEVCNDLLRARGDIYSD RHYITVLRDEIHLPIIKYGDTWRRQRKFFHFAQLQSHASNPHYIAALNQNVRRTLDEF LATYSTTPSQTTTTTPSTATAAPPPTLDLKTYWHHILEAYICGVVSQLAYGYEVKSSS EKYVRDWVGFYNEFEKAAEPARYAVNACPWLRFVPRWLGPWKESGKEYLRREREMIHR MFFGVGERMGSALAAERNVKGEESGSNGAVSLKNDSEGKKTKQEEPWSISKLYFLNPS KWTNTSSTTSPPSSPSTTATSTSLTPHEAAYTLGAYIEASMAGTPAALKLLFQAMLWY PEWQSRIYEEICQVCGRVSSDTTQRETGSEQSPQHPSSPGRGDEEKKKPRMPDLSDMP RLPIIRAVLKETLRWRPTLPGGFPHRLTRDDLYTPATPTTTTTTKTPYLLKKGSLLLW HHYTLTRNAVTYPSPEAFNPTRFLSPTLYPQTTRLPLSLVVRAFGFGRRVCPGTRMAE DMMVLCVAWVVCAAEIGRKEKTRGRGGRGWMHLSMCPGRNMTRGGRRDRAGLSSCWWS GAGGGGGWSWRGERVGTSTTFS EPUS_08048 MARGRKWGTAATAARNRERDGDFDPPPPKRGPPKKPTSTSPRKG RKAQQHNTVDEGVAATPDDTGSQAQAQAQNDDSTYDSSPRNETSQTTPLATLARQNAA RSTRNNKNFLDPSSGGLVAEQASSSYAGPSSNTQSKVVVLKVPAHKLREIVEAHAAPE RKVTLKVSPEKLRKLLQETGEGNLESEAAKQPETPQGGESAGMAPVKDKNPHDVLDGE SILTKEDFYQYHDIDHTGPDHFKNVIQNLYDIGSITHGYLPESHDPLFNKNIDFVRSL AALSNLTADNSTHPAAPTIREILIPPEVIDYVANGRNPDIYTREFVENVQRGNQVLNG KMQAFGTFAELYARETKSAIPELAPAMDRLMEHSGGYERENGTGDWKMKKTQANGS EPUS_08049 MAAFVPQAAPRGPFGHPAPINANAAPLGTFAPGTKVQVGNHRVV IEKYLSEGGFAHVYVARLPKPVDGSHMAVLKRVAVPDKEHLANMRTEVETMKKLKGHR HIVRYIDSHASQLKGGGYEVFLLMEYCSGGGLIDFMNTRLRNRLTEPEVLQIFSDVAE GVACMHYLRPPLLHRDLKVENVLISNSGSSTTYKLCDFGSAAPPRPAASSAAEGRLIE DDIQRHTTLQYRSPEMIDVYRKQPIDEKSDVWALGVFLYKLCYYTTPFEEVGQMAILN ARFKYPAHPSFSDQLKMLIATMLKEDPAKRPNIYQVLQQACSMQGKENPIRDIYTTRS QSENRKSIQSPTSVGIAASAPGAMLSPPVQKKPAALPDIAPMRRGRPTKATSHHGSAQ PSPSPLRMMDEIDPFSSLDGGKMGAADELSSRFPTLDQFSLLTEKSNQFDFEPSAADR PVPEKADLSQRVLNALADEAFARPPSPGPPKPTKTVPPVINPSSRVVADGRKSYETKD VPRLPDDIASPAPHRPSMVSTGTMTSPSPPPPSGDKSIHRFPPANHTRRPSSLPRSSE ASRPMSGVSNAGSRLPNLLSQDAAKLQQVALAEPKSPSSSRPSLEGSRPTMMEVDSGV SRSKSTNSKLRPASMNIVSKMDFYRGQRSSRPSTSTAERPTHEDSPPLLSVESDINIS SDVEFLRAKEEEDKTFKGHKRHSSGSGQKKRGSLPSLSLSGTKNLLAGRFGDAFRKFE AGNSGSSHRSRSTSPSRDPINVLTPIAGSEATDLSEERHGLDETEDLSPETRRELERR RLSAEEKRVANAAAEYRKRLAEKGGERGRDPTRALSIQNKVQSLLKENDRPATKTAAG YGRYTNSNGSPQMSQFEAPQNMAVKQAPRNHAMQNIAVQSPPHVDNSLSEPLRTVTSP ASLPSTAPMNLTSPRASIRPAAPPKPKNMRTGTSETVEQPAEAGPVNNISASSPADDW EATFSKRYPSLSGLEMVETEIDKVATIRAKEV EPUS_08050 MCQISAAASWLEQLQLIHGDLRPINILLDHRSNVKLCDFDNAYH FGEYIVGAHQPYYKMSKQGHFGKAGVGTEQFAVGSCFYFILTGDDPDFLLDADGEYAS CSIDGFLMFNTLLQKCWNMEYASVADLKTEVVSKVEEVEHLELGKDSKIMGMEEFKNR VKECKDYLARCKLDFDGDT EPUS_08051 MAESPSIPGAAPNAPKMKPVVPVLTVAAVSRLSTTPLRPSPLRE MSRSAPTSVIETMTQSDEGEEPKAASDAGIRNSPTLSTKSNLEQASTDSPATQGPLII NGMVVPTGPRAERVGTSADPFVTPTRGIEIGSPSRPRDSVTVASSELQAQITPTPSGF TPRPDRLAEVISADNAQAIYPPQACVFVANLSNQCTDEQIQKSCESKFGAWGPCHAKV HRDKNRMPYAFVQFHEIEDARVAIRESKGMIIDGRPVRAEHANADRAVLLTRHTGGPI TDEEARSLLEKYGAIAETCPISVADQKVTNLPEGRWVKFAFFQDCQDARFAFKHDEYY QLVMHRPEARAPANAANRSSPASFRPSGRFHNRSVYGRRWVPDAQAVFVGDLPFDVVE DEIREALSTYGRIQCVDILRKDVENGTDMKIFAFVGFDNTQSVEDALCADTYLRGYRI RVERKEYTMRRNMRMGIGPHSPRYLDPILVHLYNQGLQMGLPQDQAVRILHLNRRPRV ASGYANPMYGSPAPGDASYDSSLAPYGSSPYHPQPGNTEYQQFTGPQQGNVQYQQLAT PQPENVEHQESAAPQAPEQYGQFGSTNPMSTATSQMMTENNPYHADPKQPSQAMAQYG TMPIEPIFGTGYSYNYPNQPHTPMIPIPEAAPTEHRFSHEVYGPNTYANEAYGPGDYA GQPSHGHSHGYH EPUS_08052 MSDQSVGGFSAAQLSQIPPSTDPQTSEEIPTHALFHGNISTQLP QNRPDVQRTGYAAFRNEDRGRSAFGKLFWDIDPYTYLALRVKSDGRRYFVNIQTDSIV ETDIHQHRLYTREHKGATSPSDPGQWETVLIKFHEFVRTNHGVVTEPQSEMLRQKVKS FGIGLIDRQPGPFELAIGAIWATNLNERGQIDGRMDHEEGDQGSARLSEVTEEKLAAR AEEKAKLPEHKPSLRERFPEHFGKVGTGDRGRPGDPKGYSPFR EPUS_08053 MSDLGRSILITGGTSGLGYQCALELARQCPEARILIASRSDTNS AAASINKALGQTNVQYLPLDLSNLDNVRAFAAEYAEGKYPKIQALVLNAGLQFPNGVT YTKDGVESTFAINHVGHALLFHLLRPHLAEKARIVITASGTHDPAQKSGLPDAEYTTA EELAHPSPQSAKNSGRQRYATSKLCNVMWTYALDKRLIAAAARGEERYTVNAFDPGLM PGTDLVREASAVLRWIWNNVMPRMIPLLRRFVHPNIHSPEESGIALARLAVGEDVAGV SGAYFEGTKKINSSVESHVVEKQEDLWHWTVKHVARDEEELRTFDSL EPUS_08054 MSRYLTPSKVALLSLALIYAEGVVPTPETVPVLSFLVSHILPDA PRSSHAPSSDTSYVIKLDNFESALSSIPSAIPGRTVWDLFLKKLWSIDCSHALEQLIS NALTVISKSREQVQRERDEGLPPEPFGRISRTSPLGAFIRRAHLEYTRLHFSDAAALW QSFMLYRRPTKQAFEKKNPHYGRSSLDVNLSDLQLDSSHPIAQIMYGNPEDEGNVEEE FLSTHDVERLMEFHVSELQRFGGRLPDDMRSKLRQMSVAGTPLPSLGHYLKFLDCWRA GDYASAFDNLHRYFDYTMQSRDRTFYQYALLNLAILQADFGCHSEAIPAMQEAISIAR ENKDVTCLNFCMSWLYHFGKAFPAEMKEIKESGMLGSENEALAFLKTRAKDAEMWNLL STTLLSEAKLGLQNGESIATAFETIAKSAHLNVIKGVTSVQGPTLLMRSSVYGRTGLA HLAWSGGDIFLDCYADEAPAEDVLKSTCRMANLLVQKGRYNQAREMLDNVDESILRVL KYRQYWTFSAGMLQLRRSLHHDDLTTSSHLLTQLRSQGAPDIELSFAFSLLEIDFHTR QKSYDKALDLVETLAKSSHEENTDIIAQIKLLNIKARLLALCGHPHQGFSITVRAASM AHRARILPCLWESAVILSNILLHLHDFSAAIQLLEAVVPQVLECEDGHLAARTYSALV DAHMGLAGEAKSARRKEGLNKAVEYLDCAFEEFRRIEDARGQGEMLAKKATVMHLCGD YGLANDMASRYLDLKREYEKMRG EPUS_08055 MNTQRLGLHLARQPRSPALRSTIQRRFASDQIELKGAADNAFNR ERAAAKAHAAATSDLWRKLSIYVTTPCLILAGINAWNLWDEHWEHWEHLPPLEERIEY PYQNVRTKNFPWGDGDKTLFWNDKVNYHKKDKVT EPUS_08056 MGVRITTWNVNGIRNPFGYEPWRNKRTFEAMFDVLEADIVCFQE TKIQRKDLRDDMVLVPGWDCYFSLPKHKKGYSGVVIYTRNSVCAPIKAEEGITGVLTP PNSSTSFRDLPEEEQIGGYPTGEQLRQSEVDATTLDSEGRCVILEFPAFVLIGTYCPA NRDDTRDAFRIGFLDMVDARIRNLVAVGKRVIWTGDLNISREELDTAAAEESMRKHGM TGEEYVSTPARRMFNQMLLGGKVIGARDEGRERPVMWDVTRSFHEGRKGMFTCWETKV NARPGNYGSRIDYVICSLDMRDWFSDSNIQEGLLGSDHCPVYAVLKDQVIMEGKEVFM RDLLNPPGMFQDGVRAHQWTTKNLLPMSGKLITEFDRRRNIRDMFSRKPSLPTAESSN GTFVGSVDRTPTIMPSPEPAVKQDEDSQSSVVAPSVPIAAPVPKNSASTKRSQKETTQ PVAKRAKSGSQPSTTAEIKKGQKSLKGFFQPKSTSKPSSQSDGLYGTDEEKITVLAAE TQEISEVDIAVTAAADDLATPSPTRSQQSNSNSFVDPIVSKESWGKLFIKPAAPKCEH EEPCKTMLTKKTGVNCGRSFWMCARPLGPSGNKEKGTQWRCSTFIWASDWNGGG EPUS_08057 MAASIAASGEQNQAIGSVLRASIMNQTKVVSSDHGMKQASGNDK HAKIPRPPNAFILYRQAHHPIIKAENPGFHNNDISKVLGKQWKEESPAVRQMFKAKAL ERKAEHSKMYPDYQYAPRKPGQKKRRAARKGMEAGDGKEQYDSLCFIDQEGDFYMDSE SGSAVGDDDMDVIGTHVDDHVRRFHIDGDNNIGIILPAASNVNLAKMVEAHNKRAQQD SESCDYDPAKDSQAAQSIPPHVQNDTDFFEALIDWDGIAEDFKIIQEASGEDLAGLRE VESGNPYLSLSDEDQRALFEAELERTLKFFD EPUS_08058 MSFPQFLKNHATFNQPSRIQEEDLARQSAHRGVVAKDGVVQQSC TDDFLIVLCVEFCKAQSHQLISRLRQLDRAEQDERIGFIAHEIRRLLHHPRLFSSESS RVRFLTFLAADSRFQLCEAISGLLMQSEVQDIQEAWQKNVSRKGYLDGTRGWENFLLS DAPGLPFTSDRTTPESTVQVLPPVASRIVAGFEKEEREILHFVRQNNPPLGRPAQMTT MIDFDNPTENSERVPDAQFPQIVKQAIFRPKNQAIGSVLRALVTNLSNMAPDALNQLT EQICSTTVPDAIIPVMTDAQLDQYDGNPGGFNRPRSRAIMARNKADVKRKDRVNLRPL NGFICFRSYYSPIFAGLTQKIKSGLVRQLWQHDHWKHFWAIEAKAYSEIRDAELRVRH KNNITLDQFLTETVGLLGVIPADKYLSVMGWELLNNGPSEYFLVKVNAATTMLDTPLS TNLSAADMVKHCYETGLVEGSFSGGGGVAMAFAAQPSVPKIPNFVGEDRSVGAFTDEH GYFDEMIAEAKINEDASQGDQTTPAPYAENLSIETATADVQRALEDYPDEYPYAHHFH PEVGVPILAFDPTVIQDDFDPFDLGDYVEFDV EPUS_08059 MSRTETDLAQNIRKATSIEETAPKRKHVRAAIVYTWDHKTSQSF WAGMKVQPILADEIQTFKALITIHKVLQEGHPVVLKEAQQNINWVESLARGVNGEGLR GYGPLIREYVFFLLAKLAFHRQHPEFNGLFEYEEYISLKSINDPNEGYETISDLMTLQ DQIDAFQKLIFSHFRGGANNESRIAALVPLVQESYGIYKFITSMLRAMHTTTGDDDAL EPLRGRYEGQHYRLVRFYYECSNLRYLTSLITVPKLPQEPPNLLAEDDEKPALPKRPA KEVEKPPSPVPAKAVADPEPINDFWTNEARRQQDEYEAEQRRLQQQWEEQQRQQMLAQ QQAQREFEEQQRLQAEQQRLAQEALMRDQYQAHTQGRMAELERENLNARAQYERDQLM LQQYDRRMKDLEEQLSQLNSNYQMQNQSKDDQIQALQAQVNTWRSKYEALAKLYSQLR QEHLDLLQTTKSLKLRAASAQEAIERRERLEREMKTKNLELADMIRERDRALHEKDRT TGGHREEVEKLKRELRFALEKAENAEKAKGSELSSMLAKYNREMADLEEALRNKTRIL DDIRSKHSERDGDLETQMKEKDDEIEIYKSGMEQALEELEELKLTKGDDEGALDGAID TVLQSSVAKINDIIDSVLQSGVQRVDDALYELDSTMQAGNQNATPPYVLSQIEKASSS ATEFSTAFNNFIADGPNSPHADIIRTVSIFAASIADVLSNTKGLTRFATDDKKGEQVI NAARQSATSTVTFFRGLQSFRLEGLEPTQKTDVVVNNNHEVLMNLQKLSKLVDTFTPA SSKINTSNASGDLGDLVDSEMTKAADAINAAAERLAKLRKKPREGYSTYELQIHDSIL EAAVAVTNAIAQLIKAATASQQEIVKEGRGSSSRTAFYKKNNRWTEGLISAAKAVASS TNTLIETADGVISGRNSPEQLIVASNEVAAGGRVAGQGDVHVQDSGTVGAGEQEGGRG LSRVGAPGPGEIIKQRSRDENGADGLDYTSLSGHEFKVREMEQQVEILQLENNLAAAR ARLGEMRKISYRE EPUS_08060 MASRDRSVSPKIQNCPLVSPSAPASVHSSSRTKRKRSQGCDPGA DEVEDPRPSKQIKRSPTLLALSEDNLQKLNAEIMDGANSMNGLKRTSSRPSRKSDISQ DTTQTQSVSVASASYRYNYLADAQVFFHADPPDYINTTIHTIIEAKISEKDRAKLKDI ARQFHSNTMKVVRASVGEDDFLGILTGAFEALNKVHLCHRAKSDWREELKPASRQPHF DLDFLTNPNLKANGQSSALDEALPPPHKRQQRSASQTYMSPSSMNDAIESASTKAPQD TGTMPPPAASSLLAKEGDRSVIKTPRPDLSIGILNTTLHSRVSTEKFNEVEVEQLLRY LQAKLRPREPGKQPEPILISIPALRASDLAFPFIVVEGKAYSTGKQMFEAENQAAVSG ACGLKIQLCLDELVQQVNRTATTSDVPPTTPAPPPLFFSVCTEGPIHALWAHYTLVEN GVRKFKMTLLNSCNAVRLKDLEEFLVAFYNVCSWGTGGFLDSVVERLGKVVANLTAAS QSSG EPUS_08061 METFRVRLNCIDHYQAAPTGFDPPVPHGISNVNIKERPKVSVIR VFGATETGQKVLMHIHGAFQYLYIEYSGSLIDEEVKVAIRTLQLSIDQALAVSYRKND GKPAYVAHISLVKGIPFYGFHVGYKFFMKIYLLNPLHMTRLADLLREGAVMKRVLQPY ESHMQYLAQWMCDYNLFGCGYIDCKKVKFRGPVPDFFEMNSSSHRWHDRSIPSEYVSN EDTLPKQSHCSLEVDVHVEDILNREDVQARALHHDFVERIHPLPLDAKLVPSMAGLWK DETRRRKARLGLIDTSSSPFPPEVLVTMSANPRASQAGGWIHEEEYRQKADKLAREEH EQGIGQKITFDNFVKTIPFESTVNTALESVEDLYTKNLPQGDPASLGRDPAAGYALGP QTELVDEAWVAQIRDEATSEPENGVPIAHGPMSNGAKVSSFLDSSNTSAERLATDVTP PISSSEFDHLGIRKAGDLSHVSEDAFEIPDEFLVSGNTLNGHKRRPLEPLTSSSEPQK KKRKVLVDEEHLLPDIDPEIHPPLRLVHTPATVDYRLSSTNVEQSAQNGFKQKPGSQT TTEQHGSQTNGTLRDSKLPFPVVKDPSDTNAIMRLSQQSNPSLKLSQDIQSKPTVSTS ISQHTPSKASVSSSQKTPFSAMSSDLLPLLPAIPPDLSIPGSNVKTLMFGLACPSFSE VQTTMCMQGLPDVIYQNAYYGDETDVPDRQREYGGREFRLESNTVPYLPDFDATGSSP ATYGRRNQTLLDEVQEEKADRKRRRLCKITRWSIADQPPTKAEVTAWLERENSLAMAP SHSDGKMKEDTNLLSQIDVPTQKNKHGFKYSQKHESTSVQHETQYMSIMSLEVHVNTR GNLAPNPEEDEIACLFWSVQSDNDDFDANGSQAGRHTGVLVNDKSGRIAKNVSRDVPV EVEAEPTELDVLTRMTDIVRQYDPDILTGYEVHNNSWGYLIERARVKYELNLCDEMSR MKSHSHGRFGKEDDKWGFNQTSTIRITGRHTINIWRAMRGELNLLQYTMENVVFHLLH RRIPHYKFTDLTAWYKSTIPRDLSKVIEYFVSRVQIDLDILESNELVPRTSEQARLLG VDWFSVISRGSQFKVESLMFRIAKPENFILVSPSRRQVGGQNALECLPLVMEPQSDFY TSPMLVLDFQSLYPSIMIAYNYCYSTFLGRVVSWRGTNKMGFTDYRREQRLIELLKDH INIAPNGIMYAKTHIRKSLLAKMLGEILETRVMVKSGMKVDKNDKTLQRLLNNRQLAL KLIANVTYGYTSASFSGRMPCSEIADSIVQTARETLEKAIAVIHSREKWGAEVVYGDT DSLFVYLKGRTREQAFDIGEDIAKTITKMNPRPVKLKFEKVYHPCVLLAKKRYVGFKY EHRDQKEPDFDAKGIETVRRDGTPAEQKIEEKALKILFKTADLSQVKSFFQSQCTKIM KGQVSIQDFCFAREVKLGTYSDKGPPPPGALISARRMIEDPRLEPQYGERVPYVVITG GPGARLIDRCVAPEVLLQDAQLELDSEYYISKNLIPPLERIFNLVGANVRQWYDEMPK FQRIRRVEGVLAPDGRDALTRKTLESYMKSSTCIVCRESLDGDSPICNSCFEQSPQTT LLLRARMTKAERKAIQLNRICRSCSGLGWTEEVKCDSKDCPVFYSRTRHMANMNNTKA QVGPVLRMLEEKGGGGFDCTLKRAHDDDAVLKSGADVLAEQFTRKHESDVAAGYFAVC REYVPGGVNGKPPERTTPSGEVVAAESPSVYQSMYRSIFDRQQKPTIQPNKADGKPVK RARNVFFVVLRHGHLMLYDDSEQLEVRHVISLEHHDVSIYGGPEEIPEGELWIKRNAI CLTRSKRAATTTQTTSLPFFLFCENSSEKEDFYFALLKNQEKIPGAKDSPPVPQEYEI KDIITLVQKLHSSEEQLQTRWLNALVGRLFLSMYKTPEVEAFIRKKMTKKISRVKKPN FITRLALQRIDMGEGAPFLTNPKLKDLTVNGDCTAEGDFKYSGKFRIEIAATARLDLG TRFKAREVELVLAVVVNKLEGHGLIRFKPPPSNRVWVAFETMPNLDLKIEPIVSSRQI TYNVVLRAIESRIREVFAETLVLPFWDDIPFLDTGEEAFRGGVWKREVKPAQPVEIKQ EMPEDEGEAGESHVDASVDTIKSSHDRTVSELHLPPSPTNGLKSRAGRSTKVSGEDLG RSSSVAADKLHRPEPPRALRSSSFASLADPMVSPSHADADGRQRLLDPPQRKDAAQAM LKELSSKSITASPADSPAGSPPNETALAAALIAASRPSSKASDESLSIRNPRQQSESS SIQTNSQSSTLTSPIAGSEDSKAPSLNEGPSRTSTLGSIRSLASSAEKRQGINLSSAT AAAKNWGWGVLARNQQREKEAAAQHNKLGTPELPMGRGRPLPPPGTPLPHPERSILSA LPLPKRRPVPAPAPSDRTTITDSSRLSTPKPNQTTRRKRQSPAQKDEQPDEVLVVEAP VDSNPPTPAADDEHHDEFFGHGEDSNGSASQKIDDRPELQSRSDSGGTPA EPUS_08062 MLKPGWLSRHFAGKSKVLATSGPPSSHTSLRSPTPQRQADEVFP DGVKVLVEPQDASVDICFVHGLTGNRLTTWTADGQGDPWLKTLLPEKVPHARILTYGY DAYITKSIGKTASRNRLSDHARNLLGDLTADRTSCNASRRPLILAAHCLGGLVCKEAI LLSQDNPSPGSPRTVSIGKCTLQQQRLDPIVVAGMGQAEE EPUS_08519 MPYMTSPIDGTQLFYRDYTPAKVPQPFYATEAALQSALQLTLVF IHGWPMSSRMYDHLMLPLCETHRIRCIGVDRRGFGKSDWSGPNAKPAEVTYETFAEDT IHIIERLKLEDFVFVAASMGCGETLLAWEGSELVKRHCKGFIWIGPALPYPLQTAENP SAPSRELWDSILSGLRTARATFTRVSLPNVFGALAGTEIDAPTLARFERIVDDADSLA MERCVQIIASCDFTEKLKALAGTSLLVLQGDSDQGMPYEAGTKWIEALVPNTQVSLYE KAGHGLYLTHAERVIEEILGFVRWRGL EPUS_08520 MDAPSHRPERLRSSSRQNQRPPSSGSDTSTGSNLTSISMPKRPA HAANQANLPSYIASNIATANSSQTSLPNYSRPATQFMPHSDQPTRKGSPLGSPFGHSR HQRQHSQGYFEPSMPSTSLADHSSMASLSASQIAAQAAMQHLSMSSHSRKRSQTAPIP PEMTPQEGRKVSKSTPPPSNNGSDAALTHARATEPQYKNGLIGNTAAATAANAAFPRS LMSADGPEKEQKKGSKMRMFKPKHIGITRDKDKEAKDRPLASPNKLPIGSSALSRVVN ASTTSLADSLSSSHSMYSLNNASSSTMIQVPSSDRQTSYEKEKPHKHTGLRQKLKLKD KDDHHNLPLSSVNSNSKPLDIDNPSSLYSFEPSSPGLSSNFSKSVSGLDLRHAGRALR EKKREAKALASNSTLEATKSRESEGSEWALSNLPTLSTSLPQHSTLGTSGAMTPVAVD PALRDILGTFGLNNMTPDDAWDFLKAKILVVFEGEDVRVAVEDLNKLVTIYIQRCVQR HQPTTITEDLDDLFQTGFLSLNHTIRSVPDDRLVPHLVSLWLIVFQTVLPFMQAVFFP LDQEFKGRGTVLTTRAAAADFWGALTTSESSHLSTSPPSGANGLVVAGDELEVRRMLL ISFRDTVILPRYEVLKATFSRLSLESINASLSNTSSFDNSANTNERPSTAQSLDPNLS SFNSQSSTLLGSSSAGRSRATSNTSNPAQDIAFQSLASPPAARPTDSSSSHVTETVGR MLQCLSVLASVQSNDEAQAKMEELSKELKLNWLGRGRTGRNRRGFVGTRVRNPRTSSY RRDAEGSPTPTPTRASTIDMDERGGLSMPGAFGGGLGRSML EPUS_08521 MADPISIISAVAIGLHAVNKLYDLVCGIRDSPREIQDLTADSKS LCDILDALKRFLDENKDSGLPSEIIQSLHIPLDNTRRVAEQLVSKITPFVSEKGEFKK SKWGGMKWSYYQKDVKQLGAQLSNGKSTLNMTLAVINVVRTSHIKNDTTEIIHYLKKL KLDSKSGKGDVGDYVAEDQADVQGPDPRMKDINFALTRFLETASTIAGSPSQSPWASP TNVAQASSFNADLDDDAGSDLARAPTLTREDSESEIRYILAKEHAHNFFNEDGQTALH LAAMQDEYLTRNVLGHGFDVNVCNLDGETPLMCAVNVENLETVTLLLKSHADVNAADN KQQTCLHLAASKNKSDSITQLLLRRNADTELVDETGLTPLLVAAFNGNDVVTRQLLKH GAKHQAIEPGGFTALHYAAMQANHAFMSRLLDPKGPDFEAFYEPSIYSLPTNPSRDTI FKRRVLIVRMLLEHGADIHACIKGFTPLQIAAVTAQEMIVNILLEKGASAQGVTVICG YWGLSPETVKLLLERGADLKATDMRWNKPALTWHAEVGSPATLEVLLQHGASVHHQDV QGSSALHYASANARTESVKLLLEAGADPNVQDLEGKTPLTRLMSPPTGRFYLAGRWWN PTPTDRKETAILLFDAGCDTSVKDVYGREAIHYAASNGYLGAIEVIVDRGGDFDVVDE KGSTPLERAQERGHVDVVRFLKRKKFMKEEEKEQGK EPUS_08522 MEVAISGFAAVEIALQLANGFAKLHDFWVTIQEAPEDIADMVSE LRCLSDLLSEIATQQYTGRGILSAMERCGRKVKILHGIVQEFEPNFTSHLRRVRFWNK LKAARKKQKLKEFRESLLETKTTLILALTPKWLVKFISRTAIGNVRDALDTREPRVSA PSQSTSDSESPPSYSEAKPTVLERADDGTISSVPDVQDPIRNLIESALLAATRDSFDG TSFAKLMNDMMQHASSVQSPERSYHTTRPVQPHCSTSDKPTSQTPIADQVETASALLR QQGGSPKVYHQISGTETPFGTIWCRTSTVKTNGRSKSPKGIHLVTSYVYYPSWWLSKL GVKTGMEANLSSSPKGWQFCLNPVRAVPDDSLIFDFCKTGNIEAVQHMIARGVASVQD TNSKGWTPLHFAADAANVELCEFLISERADKRALAYEGPSENTLSSVTIFAEKVQCLS AQRKIAMLRLFLDTLDLSEPSDDGWTVIGSLVKAQSREDSSLASNSISWFLQQFSTDK MVKFGAKTLWHGLQHAVRAFIQLTQEDMVLQELNDLGVNSIKSSIRRSQVVSLARWTA LRVAGRRLLPMLNIAGAILHCPGFDWVGDGPQPNAALAEKQLPFIFSTWTSTLADSIE SVQELTAVELQAILEQAGWTSAFFQGLDSKLFDERPQSQQRCSAKPRCSACGDDYTFL GAGVVEPSWIAFAECTKSKHRFDCLCSNFPWSEVVSKIDHIRQSHQSMREEDDDKKPG EIQQQLGLSRAHTSQLDDGDDANVDTEDEDPFYDTLPEQLREGEQTKEDEESLIIQAL ETLNKTDPFSSIALDLYRTQGQAWLGSYQPAESLCGTCFLRRERYIDEKGDPGNDMVK PPERFVMII EPUS_08523 MADILTQLQTCLDQLATQYYASVCYNLSRHSLVPPLQTSDPYSA PIKEEPKDEDAGEDLVRPLRPDSPTTFAANQLQLVRDLVIKEQQIEYLVKVLPGIGTS EQEQEERIRSLEKELRQVQEQRKQKRREMRVTVKRLENVIMGVANSDGRG EPUS_08524 MTNDVGLAASRLSFTTGSPQINNVGAKLNTTEGSKNHITRAASP TESHYYNFDSIKMLLDEDPATLIHHTIDNFNIQPDKLALSRINESLSTIQQSRELRIR DAENALRKLSRNLSTLSSQHKETVSSHDSVSHAAEISELDTKKFRIAKAASEVEIETE RLEGELETLKAKLADLEMQGLEGDDQARREREADDATILQLKIYRSLGIDIEPDEAGN YNKAIIRNTKKGDVHVVNIDPKFSRFFYANYFWQTIQT EPUS_08525 MGDHLPYAADAESPLKPSELQVLRAQFEKEGEFVGVQTKFNYAW EQGLIKSNARVEQQEGVRLLADIFRTAPERRRECLYYLALGNFKLGNYAEARRYNDLL LDKEPGNLQAESLRTLIDDRVSKEGLMGVAIVGGIAVAAGIVGGLLMKNSRRR EPUS_08526 MADSTRIPGEHVKCHECSNTWPRQQGGLQCPRCESEFVEFVEIV SNQPSPDPPPLIDTAGDSDDRHLTRSRSSDFPEQHNSWRNFPDPDEDDIGELDFGTAP TPPRGYHWESRSPNGSSSFTFSMFNSNGGPMIITNSRSRGAGGPLGDPAMAEVEHDFE DLVQTIMGVTPQQAGRDRGTRRPDSPLPPGLGFGPPGQPPPHTQFGGPLMGLFSTLLQ GLGGGGRGEGGADGNLGPFAMLQRMMDPANAAQGDAVFSQEALDRVITQLMEQNQGSN APGPASEAAIRSLPKKTVEKDMLDDRGKAECSICMDSVELGDQVTVLPCKHWFHEICI TAWLKEHDTCPHCRKGISTPPEANGHASRGGSGQPNRPPRRRSSAAMPGGWQENTGTS RSPVAVEETSPGPQDIRAARERYYNSQQPEDLERRDTHGERRSSRATPPSSSSSSNDR RHRSRSHRSSSYNNSGGGGFGGWVRSFGSSGAGR EPUS_08527 MSWAQLWKVLSPDKLSTRRMKKLNTETKLQQTVFQGIFFEADDA KALHMIADAFVPELERLKNASTASEPGEPSMGDEGQEKSPSCLLFGKEYDEVNRTLVG MLALKWIWNDDYEAFTSSQNKFVKLKPETFQRLRKLFIDGLRKKADLFNLLTSTIIND LGKDPALAEDVSKITGLPAHAINHDMVIYEAAKADIIPCIRRLDDKHKEELFLGLKLG STLNGGQLAQAENVPGNLEGLLEMRGHRHAFDLKFLELILDVAGAAGHLDARCAKMMI EPVAQAYLTTHEVALDIIEGRSSLREGYDTVLTRRAEMLVEKGFRRLSVQDPEERALL RMLLMSRTADAEQAELFSQAFDSLPVSIRRKLVNGLNVDGYQDGKAILPYYMPAMFSE ALENVSREPLSAKIEAMSSLMRFLTRVLDGTRPMPGKEGKVVERNLMFARATIKSKEF REDPTVLDQLKIPPTPDVQQLLTNQSSFGTYPHAVLTSLRHFQGLAEAAPDKFMRYTY SELLLASCRAVSALLDAPLDTCVFVQNATLGINTVLRNLVYEPRKDVIVYFDTIYGAC EKTIFSIVETNPTVTARKVEGYEFPCEHEEVVARFVDVVQGLQREGLRAKVAVFDTIC AVPGVRFPFERLTDECRRLGVLSCIDAAHGIGHIPLDLGVLDPDFLVSNCHKNLPPFV FKHSLNHEPLVNRWLFTPRGCALFYVPFRNQHLIRTTYPTSHGYMPPPTSQASIRNPL PAFAPNATPFTALFQFVATTDNAPYYCVPAARKFRGEVCGGEENLMRYLRESMRKGGD RVAEILGTEVMENSADREGGVCGAGSLRDCAFANVRLPLTIASPPLDSSTAENENENR KGVVKGAMAQEEVGRVVDYMQETFVDEFDTFIAVYEYKGRLWTRLSGQVYLDLSDWEW CACVLEDVCARVREGWFRGEDDVGAGHRGGNAAKQGKKGGFDGSKEVEEENDGVKDIA EGFKGFRVEME EPUS_08528 MPLNFPTSRTHWRLDVVSLLAVIGESSMAKHAQPLTASWLCLLP RLIPAPQALIHPARPAGLPSQPAHVVGIHSGNYLQELNYFANLIHPISDLKRHSIHEI YIKHKPIKDRSGFGNEDKVKEIVTKYFSFLNFLTVGSTLLSLALLVWSVIHQDGPACI AIAIISITSTLVGGASYWRPLLTERTYDSDVPPGDIVIRTRSGAFVVVHCTEEVAREL YVGAEDCDYVLDTRPAQVLVGTGTILLMIGVVLVGNCTFEMQVALGASYIALNGLYWV AALLPPRNNWDLFTRYEWDEARVITKESYTCTLYEAIIAASRISKDKVGEIKTSWAKT SRAAPDTPVWNKWLDEVAEKGNTDVDGKPWDPVESWKSIKRNDDAERGIVKSEKDIGP ITKGVLRRPTDEVNGRKVNAGN EPUS_08529 MDLKRVGGLQNLLDALDVAKHKHWSQHGVSEHYRTNNDTSQTPQ GTTRHFRSATTGSRSGSTGCSASRATEQQVAPYVAPLSFTADHHNRPAHLSATQPSAQ VKMGGFSEMDSKSLIAAALAPDPGVPDPGKKVKKGYSNGPITPNSNVTRQKSTVPSSS GKRRRRASSVSSQTSHHEDNLPVSKKSHTREKDASIGEKLVTGLEGSTNHQKTAGTTH KNSRPGGSGRYDNNEIQAHSVSTKQCEETKKPAKPVSSYVVSSQGHAELEANPKLVVG TEPPQRKVRSAYGYNQDLGQDVNHQVVDRDNHEFNDEHTETQLPNPPQSLSTRPANKK PRLITNADPFTPSPPGTPSASSLQHEPDTDNNNNDDDDDDGDDEYTNTPPSRRKKTGT NKSTATKSKSKARIASAKNRKQNFPVASAISEASEADKMMFRMKGEGKSWKEITAEWT RMTGRKPGFSTLSVRFGKLQEKFARMGDMDFHRLLKFKSQLETAFNRREKWDRIATMI VEDGGAVYTGQALRVKYRNLVEVGLHREDGAMGDGGVEFAGVEIAEEGEGEAGGEVAS FDEWDRELMGDGNAEIGDEIGDDDDEGAMMLKMSRRKRGRRRRGRRVELPRYHDDLEG EFDEDDENFMDGELFGGGGGGGGGGEDMSE EPUS_08530 MTDVHVPLMTLAPLLYRASPVEHLRSVSAPHPRNDLEKYSNYSP YESRGASPLPTPAVSATEISRPSSTSTQASNAAFVQQHQYNKRPIQTSPHSTASFSLP GLSALASIASAPSSQLRSFSNHAAPSVNYASSSPAPASLSGNAPNSYEMAATLADNGF PFVQPVCQNCQTSTTPLWRRDETGSVLCNACGLFLKLHGRPRPISLKTDVIKSRNRVK STMHAQRKKPPFDVNGLSAARSDAGTPPLGNHAQRISSHKASSGASDRSHSPISRADT PGTSHDPNIAPQHIFDGVMLNDHTFHSPPSLPALHLNHPSPGSTSSLMDRHLEPPQTY DQLLHHNNQLKTRVNELELINIMRKESEVRLQKELDTFRKNEEDLKRRLNQLEQQFND RHMDDAHPSKRTRLSASAHDNT EPUS_08531 MPRHRPAASGYARLAQADEEERSQGILDDSEDEDAFQHPLTLSS PGTRYAPIQPSSHQSMRAPGNGSPDGHRKRPSKRTRSNSGVDIKAINARLERWAEEIA SKFKINKVKGKSPQEEKLEIYHSVFQPPDWIRPLTSEDLVSEPEPESDKCMTKAQFDD IVESVRVAIEIGVHPKMIAQGSSGSYFARSSDGKVVGVFKPKDEEPYASRNPKWTKWF HRNLFPFFFGRACLIPNLSYVSEAAAYVLDNRLRTNLVPYTDIVWLSSKSFFYDFWDR RSYWRGKKKLPAKQGSFQVFLKGYKDANIFLREHPWPDQNNTNFRAEDAPRHKKRRWL DCRISGSQSDDDDEDGHAMSPPEQDDPQNKQFRWTDNIKQSFREELEKLVILDYIMRN TDRGLDNWMIKIDWHTEKVSVVSEPPKLEESTEGLDHPAQPTSKEAAPYRRQEAMAAV SRTGTPPNASDTKPPSVSIGAIDNSLSWPWKHPDAWRSFPFGWLFLPVSLIGQPFSRK TRDHFLPLLTSTVWWSETQLALRRVFSQDSDFKEGMFARQIAVMKGQAWNVVETLKQA DHGPLELTRRTRVCVWDDLVDIPVAIPLRVPSAEMKRRRDVETRQEEEMDIGAAYASA PAPAHDLLGMGSPTTDLPNPNRFELFRDQSSADLGRIHEEPSSPATIGDLSQLRQDMG DRTRQDLSNSLTLPSRPANHPRSRTRSSLDYFRSFSRTNSGRNPNLNRRRASSPFLYD RDDLEGDLGYAAAEGMEGNEKKVIVERLETVKSSNPHSPEVLVREYGSKGNQVPSQRA TSKLADSNQISIQPTVENVEEVLSKSRSSTHPPNLVPLCATIPADLLTPTPAYLKISA ESKLSFLYESVATTGTIGRYSLVGADPLKVLKTGPAHRPSEDPLHLLQKELSGFRVVT VPGLALPPLTGGVIGYVGYDCVRYFEPKTPRPMRDVLGLPESLFMLFDTIVAFDHFFN VYKIITYLRVPEEFTSSSLALAYRLASESIQKVILTISDRHTPLPHQPAIRQNQQLTS NIGQSGYSAHMMRLKKHITKGDIIQADPSHRIAHPTTLHPFNIYRHLRTVNPSPYLFY IDCADFSIIAGTVKRRLDPEHDEKLADELRNSVKDRAEHVMLVDLARNDVNRVSGVPR EGQTRWDAFRSIFPTGTRSGCAGAIGYGFSRVSLDGAKEDEGAMGAIYWATPPLHRTT KRNSISPSPRRHTIAGLSEGATASVAPAVRTDLADSSQPSGYRQRVTSIVDTSRPPRH YVESREECKKCNQGVLGRASEATSNDPWGPTGTDMSEIAALTFNNPSDFYEIMDMLDK RLNDKGKNWRHVLKSLKVLDYCLHEGSELVVTWARKNVYIIKTLREFQYVDEEGRDVG QNVRVSAKELTSLILDEDRLRSERSDRKLWKSRVSGIDEYGPSAIAGPPVDRQQNNRR KNPRTDEEDAEYRLAIEASKHEAEEEKKRRDKNKSADDDDDLAKAIKLSKEEEELRRR ELEDQNAASLFDDTPAPAQPQPTGWNQGYQQQGAVDWFGNPIQQPLSTGFLNNQYSQQ PQQTGYQNGYTNGFGQQPTGFDQFQQQQQQQPSFLQQQPTMQPQQTAFSNNPYGQQQQ QQTGDVFGQQTPQQSFPQAGSHNPWATNNQQQADSLKPMPTGSNNPFAQRPQPVQQKT SPPALNTLYEQQTATQYSQPIQPNPIANFQPPQPQHQSPQQTATNQKPMDPQRARLNA LLASGEGQDTFGNVGDLRIPAQHTAPGTFVNSAGGGMDRLRAAQTGNNPFFQQQFTGA GPSQTGFHQQQVPAQTGPAGGFAGAFGQQSSNPFGARPGQQQGGSLIDL EPUS_08532 MLEEVVAPEWDENLILIEDNDGPHGTKGVANNKVKQAKIKLNIK WQAQPSNSPDLNPIKTIWRIIKQRLKSRGVIFQTEALKAAIQEEWDKITIEEINNAIS TMPDRFAAINGVVSDDSGSSSATETTTTKTRPLRGRGNGKLVANMDEKAETFSCVAEE KLSAVLHGENTAKSKSKATDGHLSDLAREHIAGGWRPGMDPKVDYSGHFEFGGSLGTL ALMTGSLC EPUS_08533 MAILHGTGQFPVNTFLDEFGPLMSVAIISGFLASFYAYFCAFAR GAQHRITGYPIYDFFMGAELNPRLFGILDFQMFYEIRIPWFILFGLSCAAAACQYEQY GYVSSEVLFLVMAHFIYANACAKGEHLIVTTWDMYQEKLGFLLIFWNMAGVPMSYCHC TLYLANHDPSTYVWNDYALSVIFMAYLFVYWVWDTANGQKNSFRMMERGTFMKRKTFP QLPWQEVINLRPLIRRLVIES EPUS_05123 MFSKAVIRGTTFARTLPRRQAYGITCRSVTTDAASSHAEKADVP SEDDKPFQVKLSDESFETYELDPPPYTLNTTKKELKQMYYDMVSIRRMEMAADRLYKE KKIRGFCHLSTGQEAVAAGIEHAITKDDHVITAYRCHGFALMRGGTVRSIIGELLGRR EGIAHGKGGSMHMFAKGFYGGNGIVGAQVPVGAGIAFANQYLGRDNTTLALYGDGASN QGQVFEAFNMAKLWALPIIFGCENNKYGMGTSAARSSALTEYYKRGQYIPGLKINAMD VLAVKAAVQHGKEFTSNGNGPLVYEYVTYRYGGHSMSDPGTTYRTREEIQRMRSTNDP IAGLKQKLLDWEVVTEDELKAIDKEARSHVDEEVAIAEKMEIPEPTPKILFEDIYPAA ASERAPLLPRHQQSNPSSMLRSSATRAQRNVTFNPLTTVSTREGVPAPGPSLHPIQSP SSGLTTSHSVPTSQPMLSAINSKLRRRNSAGAPLSPGPTSPAAPKTGAQRTTKNAQKL KLLPNPEAGVEDADEESGRDVYSQFTRIKDPTARRDAARLGKADRDRLPRVTAYCTAQ AYRLEGVIRFMKSRARTRGANPKLFDECLYSPYDYNYLKKDGRKTGGAGRRASPLRER LQRIPSSPRISERRYSDSAVEVEDNTKHRRDDLIYLVDEYEQDQSTLEETDGTALTSR SATDLHHRETDDLHIRDNSDLSTTVHTPEIFIFDYGAVVIWGMTVAQERRFLADMSKF ADTPLPAESAQTEDFNFYYTKDYQARIYNDFISLRDPKNHMIKLAISHALAQSVKTSL FEDLVSDTIEDTSPLPALIAQTGSINLSRRQLNMKIGELFILRINIHLQGSVLDSPEL MWAEPHLEPVYAAVRSYLEIGQRVELLTERLDVIADLLAVLREQGSRRHGEVLEWIVI ILIAAEILVAAINIVVDLYAGVD EPUS_05124 MASVNTGPVAGLPESHRNSPLAPSQEMAYRQKCIDLKRRLSEIE TNNDNLHQKLKRERRFQDKMRLNRAILLNHMKELVENPMKRLGPGEMTEMTEMTAQSR GRMTAVQDNKGNSFAFDDSSEMSSSDEVQEPDERPLRIKRARDGTPRTSTPVKPTSAS ETPLAATSIAMPAYHSSGLPHIMPANTFSPAASVQNLDPHTSLTRVTSSTSNPTPVPL QPHPAPHQAHHHTPTPIHQTTTPALLPSAAVDASLPPPPSRPTPPFDQFTAHLVPQLQ ADNIPPAEIGPKIRETWNEIGEGGQEPWQRKYREEMMTYERTMDERKRAQREGSRGTK FVNGSGGGFSAVNR EPUS_05125 MSKQPLRTTSGLYMPETTENLYTLGLLHRPRQRPQRRPHSAANQ APQSENPAFEPPPGTYRDFASPQSETSTGITAYRNYRRQPDSASSVRKPTGSAAVTTK RQTLPTSHSPSYAYPNAFQTSFNWRAGRTVAGMLNSERPRTRRERTFIGSECAVCEEP LEHTLRGERVLQFSCGHVSHEACFYEYIKEFDSQYCPTCNAPLGLDTTRGGNVLDIEK LSSIVRSVTQSDSRSSQSAPGPWDGQPSNQHSRDYRSSDHRAGYRDSREQSQRESRDD QRERIERLGVGGQAHSRNESGAATVSTTDFADVHTDSARRHDYDVQSMETDLSNTRPT AMKNPIPPPIVTVRSEFPTLNRSRQQQSLTCLVTIEIPTGKWQPDPEDIKTPPPVPPL PQDDTYSSIKSPVSATNHSRAVSFEPRESLEDITEELRTRVDNWHGLEFSRFGKLRLH GTIHVGKDRQSWQELECYLFAEMLICVKEKKAAQGQFVDDSSKRKTTRCTLKGSILIK KHLKQVESSSDEPILTLNLLVNDLPSFHLQFQNHNQLEIWKRALLDVHNPEPPMRNHQ DYEQEYSGPEEDDYAKAAKTGQRVSSVNSSQEVSRSVRNGPNDYPPSARNANESQIPP LIHVPIDLVVVIPISSSMQGLKISLLRDTLRFLVQNLGERDRMGLVTFGSSGGGVPLV GMTTKAWTGWNKILASIRPVGQKSLRADVVEGANVAMDLLMQRKAANPISTILLISDS STSDAENVDFVVQRAEAAKVTIHSFGLGLTHKPDTMIDLSSRTKASYLYVKDWMMLRE CVAGCLGSLQSISHQNVKLKLRLPEGSPAKFVKISGALQITKRATGKDADAALGDFRF GDKRDVLVQLAIAPDQGSQEHLPQDAWETIVSGLEALGGPLDSDDQRTLSVEEVPLLQ ADVTYGDILRDGTLTQLPRPSLLAITMLPGNHKSKQNGRPTTPPIPPHPSIVQRRMEL LASDMLSRALTLVSRGQHERAHHLLHETRTILKGLAKGGLPPIPTSTARPPNPPNSAN GASSPPHSSTPERQPSPHSETSTIGPGHGVDVSIMAALDGDIESSLEWISHPAVFSRD SRKAVLQSIGVISSQRGYTFRTPAEALWADRIPGIKRMTERAKDWRQAGDDGALTEE EPUS_05126 MFYSENLLSKTGPLARVWLSANLEKKLSKSHVLQSDIQSSVGAI VDQGQAPMALRLSAQLLLGVAFRISNNNDLPTSMVVPGGLELPDVLTEADIFTNFDMS ALFSQPLDLGGPNDKRQDFGMDWSSTLNPETSTQSRLTPEDRPQLEDDTGLELDLGED LPLGDNNDTSISVEVGRNAPPSRPVGADMFSDDNKLLDGDDLDLDLGEDVPMPDGPSL QATTAHDNIDNFLGQDNSIGFGGDDTIGLQGENDEQRQRESQSPLSEAPSDLVRQLDQ TFANEEEAEEEVAARQPQRSKKRKALVPDVQTVLKSQQIKDQQADRSKILKPASFLPK DPVLLSLMNMQKNGEFVSNIMGEGRSRGWAPELRGILSIDVIRGPPDLKRKRDSGIAD VSGDEHADKVPRLEFGEDELPVDEGIAIGGDSTLNQDQTQIELPADDGVRPVMSDPAE PENARSPNDGEDEGIGGGDYDHFDDTTVPLLHPADSGPVSLGTKHAVHLLRDRFGGEN ADGSPASQAKKSVLFQDLLPEGRASKEDATKMFFEMLVLATKDAVKMDQSDKSIGGPI RIRGKRGLWGSWAETENTEADASGGTAVPAA EPUS_05127 MSRAPSPSLPLHNDSSATLSSRTPTSSSITSTYSLLDAEETAIR LDTSLALGLSPSEAEARLIKNGPNELPHEEPEPLWLRFLKQFKETLILLLLASAGVSF VMGNLDDAVSITLAVTIVVTVGFVQEYRSEKSLEALSRLVPHYAHIRRGGSRYQRSSS SYSAPRSSSIEMEPLTNGSASGPDQTSFTVLAAQLVTGDLVLFSTGDRIPADIRITHA ADLTIDESNLTGENEPVIKVAAILGKDKPTRSNSNSPPRTPMFASPAAGTVGADVRLN DRHNIAFMGTLVRSGYGEGIVIATGSKTEFGTISLSLQGIEAPRTPLQLSMDQLGKQL SYISFAIIGVIVLLGLLQGKKLLDLFTIAVSLAVAAIPEGLPIIVTVTLALGVLRMAK RGAIVRKLPSVETLGSVNVVCSDKTGTLTLNHMTVTKMWHFDAGMPFEVDKETLNSPG PATRAVFRVGNIANNARLSSASANSPATAASAAVLSSTQDQSTSSAKSRWVGQPTDVA VLDLLDAFGEDDVRERIGARIAETPFSSERKWMGAVIGSAVGDAASASNSGPEVAYIK GALEQVLQRCDSYLTKDGREIILDEARRKEAMSAANSMADEGLRVIGFASGTRPKRSA ISSRSSTPLLTVQNAQGRPEKGQEDDTPYSGLVFAGMVGMKDPPRKDVHRSIKRLMRG GVKVIMITGDAETTAVAIAKKLGMPINTTTPGVRPVLRGDELDRMSERELAEAIVQTS IFARTSPEHKMKIINALQSRGDVVAMTGDGVNDAPALKKADIGISMGLLGTDVAKEAA DMILTDDDFSTILRAIEQGKGIFYNIQNFITFQLSTSVAALSLVLVSTAFGFKNPLNA MQILWINILMDGPPAQSLGVEPVDPHIMHLPPRPKSASVLTKPLLRRVLTSASIIMLG TLAVYMHEMVDEPSLLSSAPSSSTYTSSLGSIHHPHHHNNNKSSPSPTTPRTTPTTED AWEERGWGCGWVEGRGLFGERVRWWVLGGYTGGGGGGGGGGERRRGRG EPUS_05128 MNPHNDLDPNTEVPSFFWRSLSHQTIFSVAAISRLFLFAFNKTE VHGLPRFLDLLVSRSDYKARRRGLVTVSNHVSVLDDPLIWGVLPLSFAAFHGYMNHRW IFGSHDICFTNAFRSHFFTLGQTLPTHRLAHSKHGGIAQPTLTEGVRLLSSIFARQSS WNPHIRRGLEKTETFRSSWPHDCVDPFSDISPPPSYPSGLDDHRHYLAPSRYACNSNS WIHIFPEGMIHQSRDKSMRYFKWGVARLILEPAECPDVVPMFIEGTDDIMHETRQFPR FIPRIGKKVSVTFGREVDTEAVFGDLRRRWKELRDADYAASVHDPHASCPQEAVGLLL TDPLCRGEEAMELRRECPRRLRLEVLKVRRSRGYPDEDPKAGLAETYLREGPGREGRQ SDGTWLKDA EPUS_05129 MRASSPLLGGLLWKRPWRLSSPQKARQRKRLRLVDKVVDTLSAA LQRNGAMSAKAIDRWYSEMPREEEMLPKDKYTIFDRKEKRYRKGIHSRGPGIQNSESR I EPUS_05130 MPSSDAEDDHSRSASPDDSSGEQEGGDMAEHPENREGGTHQNGH KPASNAKDPLRPRRKKARRACFACQRAHLTCGDERPCQRCIKRGLQDACHDGVRKKAK YLHDAPNEALMPGVGGQNLFNHAAAAATLRNNMAIQTAEAVQQEPSPFYQNSPPFSNF AQPRSMPPPLMQEGNITVPQNFTQQSPVSPPFSMAGPPSIHTPSMPQSQPDPAAGVAQ NWNGALFDPNDASLFNFDLASMNFGNHYGALEFGMLGHMATGAGGTPPSDSATQRGSV GHPNGSNPYPLPLGSFSESPTQQFPYNDAVMADWPGGQNPYGQPFGQPAEPPHAFAIE MNTANFTSPDSTSSPQQGLSKFEDSPSMNYKSAHMPPTPTSSIPYTPNSQNSQHAPSH LRQPPHLTTPQLKARSQLPTKHAKRPRDPSSIYTTVTAPYPYTSGFHSLIAYLQRRFA ASPSKTLTIAKSLASIRPSFIATTKTLNRDDLIFMEKCFQRTLWEYEGFIEGVGTPTI VARRTGEVAAVGKEFSILTGWKKDVLLGREANLNVNRGGTASGSGTGSGVNTAASRTT GRNTPNQRNVAGPPPPAPEEGNGDGKRSQPVFLAELLDDESVVEFYEGFARLAFGDSR GSVMSKGKLLKYRTKEDVVAQSLKEEGVGSDMKMEDVQYQQRLQPQQQQQQQQQQQQS PPANLKRINSGMARQQRELKRGGIANEREMGQRLGERDGKVECTICWTVKRDVFDIPM LIVMNLGIPTANIPPGPLDQYPDLTTGMYDGLVGLLLPTPPPEDTSSTSSPSASPSPS PATSSPSTHPAVLSIGYNPFYANKTRSVEIHVLPKQPASLPADFYGAPLNLLILGFIR PEYDYVSKESLIEDIHVDCEVATRSLEREAYRRFEEGEWAHWLTAFGWVEKGGGKEGD EEGETWAERVKEAERVKEAEREVGVK EPUS_05131 MSLRDLEKQNQFHSVDPDRRPSSQSHSLCNCGEKVEEQGLHALN SSALCSTTSRRQIYTTLQPTRSHLTCLSDDAAPDSTPSQHASADHAKYEVGWDGEDDL WNPRNMHMARKWLITFTMALCSMCVTCTSSLYTMTYNQMDKEFGNSRIVATLGLSLFV FGLGLSPMVLGPLSEFYGRRPIYIGAFIFFTIWLIPCAVAPNIETMLVARFFDGLSGS AFLSVAGGTIGDMFSQSQLQAPMMVYTASPFIGPGLGPIIGGFINYFLNWRWSFYILL IWSGLMLAAIMFLIPETYHPVLLRNKARKLRKETGDDNYKAPIEIMDRSIARTVIRSL YRPFLLLVLDPMCLNLCLFSSILLGILYLFFGAFNLVFTKVHGFNLYQIGLSFFGLLV GMLLAIASDPLWQRNYLRLVRNNSNKSEPEYRLPPSIGGAVLTVIGLFWFAWTIHPSI HWIVPIIGSGFFGAGTVLVFSGVFTFLVEAFPLYAASGLAANSFARSSFAALFPLFAL QLYNKLGYQWASSLLAFLTLVMAPFPYIFFLYGGRLRQKSRFASTGN EPUS_05132 MSRVCRATLASSTVMIAQQIDGINNVALYWSPIFYDAGFGTLQA LLVSWGYGLDNFVFAQPAIWTIDTYGWRTLLPFTLDEQSPVLDLSPFSSIYFPPFNPP GEGPMPITYSAEVFRLSHKELRRALQVRLDSTLTTNLEDELDEAATYPRQQGMRPLPE AEAEMRFLPAMLDMYSDEGRMYRQRRRKSSAPTTELIAASVAEELKLNTVTCPENVPP STRSVCFSDAPNDIHQDPHPSGNPVTDPSRVEFVSDESGTSWHVLERTTSHGSRDVSS QSPRPALADFGYAESAILELRNSHTSVRATYAIPGGESFVQHNQPLNPPCLVPVRDLI GFDLPDAQICDVLVETYFFAVHWFSLVIYEPKFRAQYQRIITTGYASRSEYGFLLLLL MVLSLGCWYGPIPGQNTSDGTAELGRMHEMFLKKVREQFMDIMDEDSLEYVQLCTLLG SFYLYHGRPRSSFSILGAATKSAQAMGLHRDSGSKFPEDITEERKRLWWTIYTWDRFA TIVYGRPLSINDQDCNVLQPAEVIENIHFDRTLGPERICLSIYQAQLNEVYKIASPII ENIYGIRTSTDLRIRSMLPEMITQASHQMREWQNNLPPYLALDKMNDISPSCSTSEKM HRLQALSLQLTYDNLMIIIHRPLLADQRASLTKFRERAQGQARPSEVPPELHFPTANE DSNFKECLDSALRVSRVQNKPELMKLAAETHLVAFLGMNLFTSSVVMSVCALSDPLSD TAQEAKRGITRTLLVQKSLSRRTSLSMQSSVILEDIVQLILEREREEMLRSAQSGLIH PQLNTLQQHHDQSLQGTPTSVYGNEMGTSPGSYPTQMVAGNGINVDSGDQVLNNSLIS LQRIIQESSYSRQQRPAEYFYRHNNSIMAPEMGCDTGSNVSEDAMRSNDIDTSWMEDL GQTWLWNMEPFS EPUS_05133 MPKKQKNPLYTRPSTKPHPSLTLSNSTTPALYGSTGLEEKPSVT ECLRTLRSEEAGRLLPRPAPVATVHPSLRNLLDVPETRQPGPRTGVRASGPAQTRRVP GPAAPLSWQLLASRHTRRTVHNEFIITPNYAIQNMIHEQVPLPGAKLPPRRSLLDTVL RSMADNWDWHLQHDHYYLAYIPIRLKEALLSYISRHTSEERLTRSITTLRILFPEYRS LEEEGTGHQMPVEDAIEVMRLDLGRALGTWLRTMSSFKKEVIRPLTLRDSTAPSANTR DHSVREAISEPPEAWDDADGAARDITSQLSLSGPSTKLPGTRFSKLLHLSLALSPSAM SSTSGASWSSLLSVTPYLSTLQSLSLGYWPSPTLTPHAAAASATIRNPVARTLPRISY GGTDMYTESESNWREAAGILRKLSRHLYCLKWLDLTGCGAWFGALSWTDTEALADDLS SGIRLPGAGPDWNGSWRNVEYLGLCVGWTLTSFQDREMNSTTSQARPAKQPDPLPRSS AKVEESRASTNGLGLFNQDWDVEEERKKYFAKKELEKLNDLKSRARKVARHVRLVREV ARGKWIEVDL EPUS_05134 MDQPNGGASDGCSLHETPILLKTNDFPIELKKATIYKYTIKFST ESISAADKKFLASRFMGKLQIKCKTKCFVYGSHFSVFSPSPESELVENALQAVFFHEK EREREATYLPESLRSMKALGRFLTDVVVRRTNQTIKTATISPVDDDGLRICTVYADMV KIDLESFQSRLEVSEADELILGKRREILTALDEVFLREAHDTSSVQVNGHRIFDIAGE AIAVGKGVELRRGIVADTCIVNKSVVRRVTPCTGFFLRSINLAELLQAFGPLTVDEVS RLLRGISIKKTHGKQEVMQLLDVMAGNPDQETFYWNNGKTTTVSHYMEKVYRSAPKVK ECKEYAKQNCVLVGSSRHQEVLPTTMCSVLPGQYFSLPAGTYFGTAQNGWPEKLTEHA RKCFSRMDGDFTKLLKCSMSPTTAKQFNLSTPGFGEHSAFRAKRSDLEERSVEGKLLT KHIAVNKENLDLERPILILIFASSDHENLKTRFTKAFTEHATFKKGSVVSVQTIHLYD DSTASQFISNARKELANLNPDADNFKPAIIGFFRKPLSLGKLGIDEQERLESEDLCRT YNATKLFCHRKGYHFAGTFFRHIVKSGDDRRMKTLLVSRLKTQSASVAVSKPVLSSVD GPCKTLLLGIHVSQLHSFASQDGDSDDSAPRACKEKPCCWYIVSITAKWSGSDSFHKA RTFLVRSCDLSTGSFSVAPAFDSVKKAILELVDGKSLTGSKIIVLRAGVPAERQMPKE DAQLRAAESKSVAEKHATKAKPMLECLFTSPGGEKGPKSEDGKELTDPESPTARNNQK IMDSNRGKPAANVSKPLAHRGRGVGANEIMENAMNSWSSNLSGEEGSAPTEGLSNTEL LCFKEWAKAEYASLAYVMVGTSTPARLFEPNGMPLCERKYSTILSKDSHCTNLKAVST VLATPSADSWMLQKVLPEKAGPSTPLPLEILWIDQDIVNDGSLLSSLSQASWDFPEGT WSSKNLSCISLAKKANRHARRVIRFVDGEPVLPEVNEYLKDSLYFI EPUS_05135 MTKRKLDENDIPAEAFTPDTQNSASKFADFKLDARLLRAVSKEK FTKPTPVQTEAIPLALAGKDILARADTGSGKTAAYVLPILQALLHRKARAPSEKSISA LVLVPTRELAEQIYRVFVSFSLFCAKEVRAVNITQRVSHDVLRSMLADLPDIVIATPG RASQNLSSATFSLEKLTHFVIDEADLVLSYGYEADLDAISKALPRGVQTFLMSATFTA EVETLRGLFCRDPAVLELGGSQEEGTGVSQYVVKCAEDEKFLLTYVIFKLHLIKGKCV IFVSDVDRSYRLKLFLEQFGVRSCVLNSELPVNSRIHVLEEFNKGVYDNIIAADDQEV LGGIASRKASGRDPESEQAVDPQDESDQEPDERAIKKRKLTSKHKDYGISRGIDFKDI ACVLNFDLPSSSKSYTHRIGRTARAGKTGMALSFVIPTEQYRKHKATSIASAKHDERV LDRIISRQAKRGKEVKPYQFDMKQVDAFRYRMNDALRAVSQNAVRQARTRELKQELLK SEKLRAHFEENPDELRHLRHDGELRATRVQAHLKHVPEYLMPAKARKGLAANDLGFVG MRKTGENRIRRARMHNRNKGRRADTGARKIDPLRSFNAKGRP EPUS_05136 MAPTALRSKEKVGKRTGRGVGVKPLLDDAEGGSTSDEIPDKDET EEQLEKLLFGDDAGFFEGLKSHPTGSQLTHRTERTVTVAESAEDENLESVADENLFFL DSGADNLPDGWHDTHGLSGDNQDTGRTPAWVDSDDDRLSVSLASHPQLRKLRNAEGDD VINGMEYIKRLRRQYERLHPTPVWARVRSQRARNLMDSKSSASDDGADSDMSLDDEVL DQSSTEALSDLLRSAGSFVQAASPSQQSSKRRKLRPEVLDIQRTKDITVKGPSSVDSL QFHPFYPLILSSGPSSTISIHQVFPHPPNPNPLLTSLHVKNTPLHSTAFLPPSDSSPT SDSTTIYLSSRRHYFHTWSLTTGVTTKIARPFTSNPHLRTTQRTTETFRLSPCGRYIG FIGSARKGGGYVNILATQSTQWLCTCRIDSRGGVADFAWWGDGNGIVVAGKNGECSEY DVQERRVLGRWVDEGAVGTTVIALGGDNKGKQGGLGGHRFIATGSSSGIVNIYDRREW SSTTIPTNPTPLRTLTHLTTPTSHLEFSTDGQMLVMASRWKKDALRLVHLPSCTVYRN WPTDRTPLGRVSSVALSPDGGFLAVGNEQGTIRLWEIRE EPUS_05137 MVVPRRKPSRKGSMADVPKSLLEAIADLEKLFIVDQDKLKQITD HFIGELEKGLDHEGANIPMNPTWVMGFPTGDEQGTFLALDMGGTNLRVCEIMLPEEKG EFDIIQSKYRMPEELKTGNAEELWEYVADCLQQFIEYHHEDEDLGALPLGFTFSYPAT QDYIDHGVLQRWTKGFDIDGVEGHDVVEQFEAVLKKRNLPITVAALVNDTIGTLIASA YTDPAMKIGCIFGTGCNSAYMENCGSIPKLKHLNLPEDTPMAINCEYGAFDNEHVVLP RTPYDVTIDENSPRPGQQAFEKMIAGLYLGEIFRLVLVDLHENKNLIFEKQDISKLKK PYTLDASFLSLIEEDPFENLSETRDLFESMLNIQATKPECELARRLAELIGTRAARLS ACGVAAICRKKNIESCHVGADGSVFNKYPHFKARGAQALREILDWPKGKRDPIVLSSS EDGSGVGAALIAALTIKRVKQGNTVGVRDPEHYEKCMG EPUS_05138 MNTKMNSAVEALGEESSIGVNDNATDVYSASSVAEIRSKLSKLH MRDAAVTSQLDELLASQKDFQRELRRLDILRAGLSTQSSKTRSISNGMISNAAATANK ISSSVKRLDLEQSRVKATLDVVDQVAELKACLLGVMGSMGAPQDWETAASYLSRASKI PKDVINGLFAARIVPTAEVPDAPNITLENASESLCGLFLREFDKAIKDNDGPKITRFF KLFPLIGRSDVGLDVYGRYVCQGVAGRARANLNAGTGGSQSKDGYFYANALTKLFEHI AQIIDGHGSLIERHYGSGKTIRVIERLQVEADLQGGIILDAWADERQVDRKLTDIKSY AYTFLVQSFLPPQRGNTGTPRSSSPANQPNSRSSEDEGVDMKEIDALLNEMAVMMGKW SLYVRFITDKCKPSNDIDWDVPTFLTSSALARKVTGRLISPFNAMTTFFFRRSVEKAF QLDEQPPNLSLNPHKPLNSNPPHISSAVEDIMYIFNKVLQQSLATSQKDVVSSVVPTL ARIMGSDFIGMIQRKMRDESYPKAAIQGALPPEHTIVAFLVLINDLDVAMDYVKQIIR SQTESAATSEQAAARLLDSLFPLAHDAEAVSDILRSLDATFENKTTELLNESVHVVFN NVMKPRLRPILLDAFRDVEYQLTKEEIEELAQEEGREEEDGERVRRRFQHGWDALTKP IARIMTESTFDRLLTIVVSYLSKLLEKKIWSYHGRVNELGAVRLERDINNIAAVIVQG KKYAYRGAFLKCSQICMVMNMEEDEWEELQLNSDTSNDIADRVTPEERLRARAMIKEM D EPUS_05139 MVFSLGSISVHGSFAASTIAVYNNVAKQVLFKLAALFLQLFIFK LFALLAASRKAFTSYLMFTEDYIQRTLYIFSRGFSSGGIIVFFVTILLFVGTWFDALL WGLDSPGYLAEKSNVTAAKIADRLLPEPGYLVFSNSIPGDIAPLDARLVDMMGANLFE PGVNFTLTGVIDRGSPKTVAATRPFEEVGPRIWLDHDGFSVSADTFITFSANKTNATM SLNCPWQTMSDNVQSWNCTFDNFFALQLAMEHTLGRPEVHWDDVTDKRLQSQYISPTR EDNPWTSLGKGGDTALMKQMFTVTKGRMRHTFVETAFKACMLTDWLVPFSLEEVTDLV KRAWSTDPVDQSNPIIYDVAQSIIDARSQNSSGVFGLTAETETSVSQVNYELLNLELV PGEVAYSLFRASVVNITLVRSDELPEPVVPLEPCDKFYTNIALGGKVRETDCYMSFIG NQTQEGHRFYGQVDTSAFLILNGVMGEGRFNYSDKALNQQAFEWAVNNDEKLSNLVLS RGAILALGPANVMVEVSSIQPAISRLQILLIVICALLAGVSWLCLIFFAKAHYSSSLL ANLIATTMITSNGEDVKSGKPRYLVDCPEINLTHENGPTTLMATATGVFKHVDFIDHG AGEYFISQNRKEDGNDGIGMAKTTTISQYEVVRDQEYDGNASPLAQEDTTHTTMINLS SR EPUS_05140 MGNESSVPVEDDVPPQTLESRTLESVAKYITERKVRRIVVMTGA GISTAAGIPDFRSPDTGLYANLARLNLPYAEAVFDISYFRNNPLPFYTLAHELYPGRY RPTVTHCFMKLLYDKGLLLKLFTQNIDCLEREAGVPGEMIVEAHGSFASQRCIECKTE FPDTMMKKAVQEREVPRCLRKTCNGLVKPDIVFFGEALPEAFHRNRTLPAAADLAIIM GTSLSVQPFASLPSYVREETPRILINLERVGGLGSRADDVLLLGECDAGVRKLADALG WLDELEDLWQRTTPSEHDQKEHQLPLKTRDEKLEEEVDQLTRDIDQTLKLSKDHHSWL GNHLKDNQQPGKKASVPLESALSVPLETSADAEPERHGQDKPSINTCDKRGPEGTYAN TSKELSDENNTADLESKEDGNLSHVFPHIDTTREKSSL EPUS_05141 MPGAIHQFIARKVNHLIETQLVTIKETSNGPTKQLIQDIEQLAS RRIQLYGASNHCESDGQFLLKGSYFPGVVPEMAYSEGFISLQTKAEDLIVGSSGHTQL VIGLETGNKQSYKSRLGDQILFD EPUS_05142 MDSSYDSSYEKARIPLLKGTEDYFSWSRVMKARLDRLKAWSPIV SDPPVNRGRTKAPITLARFREQFDQLDLDLDTNGWNQRQWDAAYEDYKEEIREFNEWQ DKEKMALSEIIERLSPAVLTRMNRYSTPKTLWEALEQAYAAPLITEQLRALQNLLSLR RSQYPDIRQYTTAYKTAYDHLTYNLRFSWDPITLPTLFLLWSENNDSNSSSNWSKFLE KYKNGTKLADPEELYTTLHGLGEDPKKDTKKPAPTANVMTGKRKRNDAPQGGRSKQQR TTSNCSECSKTHALKEGQVCWYKHPEKAPEGWQKKHPHLLNK EPUS_05143 MSSLERYHYTRLPDGPYIRILTIFPGGPSDPLRGELKLFNTDTD EDYEAVSYVWGDPSRSHEIVCNNKTLSLTASLEGALRRIRRANQPRRIWVDQLSINQY DLSERSQQVQFMNKIYRNATRVLVCLGEDSNTEAEEAFQLIRNLGQTFQDGESNEKFR IMNTEHLRDQPEAQWAPLKRLIALPWFTRIWIVQEIGTQAPATLYWGDSECDWDLICG VARHLTDFHHMRKHFGLRTTDIKYLYKRFVEPDRAGRHANRLSLMYELHRAAHCRLTD PRDRVFAFLGHYAVREGNPQLAAVRADYSKSVEEVYYDIAERALLGDPGKTLITLAVV QHPRLPSKTSDGSCARHLVDAMPLPSWVPDWREHESHILSEPTSSHRAHAGRPADLEI ERAVKVLKLRGIKVDVLEACSDPIQQKEFHFDKSRRVLAIESLWREICGKTRFDLENR YLDDPNGDHAVFAYMQTLSSGGIATASWDARHYHEIDCEEWFAQGAAYLTAALADTGL VSAELKDKAVGGDLYKWTRAANGSASNRSFGRTARGRYVLGPKAMEKGDVVCVLFGGK MPFVLRPWDDGKFLLVGECYVHGLMQGEAIELLERGKIDEETFHIC EPUS_05144 MSEPPSFNLQPCPSTSHLSIPAQTYLSQDQSEHACKFLATGAIV FSGSRILLLQRAPGDSMPNRWETPGGACDDEDQTILHAVARELWEETMLVARSVGPLV GEGYTFKTRSGKMVRKLSFIVEVERRNGEGDGGSNFEVRLRPEEHQGFVWATDEEVRA CKVGNVKIRFTTPEQEAVVLDAFAVLKASTVT EPUS_05145 MANNAASRVDQGSLEAIFDGPPGQQLQPIVQCVQVKPLAGSTAP TERYRVVFSDIKNFVQTMLATQANHHVHEGKLRKGCFVRLKGYNASFVKGKKILIILD LDVLEELGECEKIGEPKALEVKPEEDNKGQPGTIQGNDFYGNKGQAQQNKQQRSIPSH TKPPSSSAHANIYPIEALSPYSHKWTIKARCTNKSDIKTWHNRNGEGKLFSVNLLDES GEIRATGFNDQCDLLYELFQENSVYYISSPCRVQIAKKQFSNLNNDYELTFERDTQVE KAEEQDGVPQVRFNFTGIADLQDVQKDTTVDVVGILKEVGETSQITSKTTSKPYDKRE LTLVDQTGFSVRLTVWGKTAASFDVSPDSVVAFKGVKVSDFGGRSLSLLSSGSMTVNP DIDEAHRLRGWYDAQGRTDTFESHANLQGASVGAAGGQRNDYKTISQVKEEQLGMGEE ADFFSVRASIIFIKQESFAYPACTTDKCNKKVIETNPGEWHCERCNITHPKPEYRYVL SINVSDHTGQIWLSAFNETGALIMGKSADELMSLKKEDDSSDSKRLGEIFQEANHKMW NFRCKAKLDNFQEQQRVRYQVSSASPVNFVQESARLAGLIKAYHI EPUS_05146 MSSSPPLATKTPESDAQEPPTTTTSTSIFPQDSPAQSGPSMGGM DAHCTTDRPSPSSQTPTGELTKFNDDIDVYISKPADYPHSPSKLLLLLTPGTGVKSTN NQLQADRYAGEGFVVVMPDQFGGDPAPNTTASAAESASNGGEENVSLIEQVKLRAAET AKSFMIDMWLARHTPEKVAPILHKVLESAKDEFADAVANGGGVYAAGYCFGGKYVMML AGKQQGPETEGRHEEEVKADRRPLIKAGAIAHATLVTREDITAIEAPVSIVCVENDQL FPEDILEEGRKHLQNQNIDHEIKTYPGVPHGFAVVGEYENPKIKDAQTAAFEQMLSWL KTH EPUS_05147 MDCSHTELDFQPPRPNQSVYREDCTQCFDSIDSPGGLNVCLSCF NGGCVGERNHGLLHYESTQHPLALNIRRTRKRINREEPPQKVSKLAIAAETEEDRYET KKRILCYSCPTEKVNTTAGHLSRVVDGILKAMTFARQEEVKAWEQEFTECEHTLCLQQ EPSKQIPSQDLGQCSMCGLKENLWLCLECGNLGCGRAQFGGVGGNSHGLAHTKSSSHA VAVKLGSITPEGSADVYCYKCDEERVDSNLAKHLAHWGINIAEREKTEKSLMEMQVEQ NLRWEFSMTTEDGKELKPLFGEGFTGLKNIGNSCYLASIIQCLFSLPDFRLRYYRPQD SPPAANHPAEDLETQLRKIADGLLSGRYSYPQTDVQAIPDNPEVPHQIGLAPAMLKHL IGRGHEEFSTMRQQDAFELLQHLFKLITISQHPPPFQDPIKSFRFAMEQRLQCLNCRK VRYRTDEQDNISIPVPVRRKPASASTTNDSETKTSPLAGANFEPVTLQECLEIFTSPD TVELTCPSCTSKAGFTKQTKFKTFPQNLAINARRFELVNWVPTKLDIPVEVSDEVFDM SKYKSTGLQSGEEELPEDADVGAANISAGSSGAAFVPNAIALSQLESMGFPRVRCEKA LHATGNENAEDAMTWLFAHMEDQDIDVPLDLGGGGGETAPAMSGAGSGGQDPEKIAQL SDMGITAPQARKALRECGGDVNRALDWVFSHLDDAGDDDVAAVSAAAADQCSMQPRSE MAGSADLPATFELQSIVCHKGASIHAGHYVAFVRKEIPGAEGEGKKWVLFNDEKVVEA GDVHEMKRFAYVYFFRSV EPUS_05148 MSFLKNILGQKPAQEPLPSVDSDFADFAGAPSPSPVSVPSASSP LPAQPTGFRGGPVPYTKWYRVWERTQLSDFKGELILLPFLILAVLVHLWGTRTNRRKS KQWMAAHAPVLNSEFALVGYDSKSPQIEGITADNLPAELLKEKTAQDFQSYATGRQNV AWVDLQLRLFRLSNPPLMGAEWLVSLFFESYPAPRQVTEAVMYTFDGSEKKFVLPGVP GSNEGAPKPGNSAYDGFVFAIVNKTAMRHLRDERYDVSLTYTKDHTKLPAWATVMSES AEVTETMLTKELIAAIETAGEALEYLIVTDQPTDKPTKLEEAVPRKRLYLCTKVPPGS DYSSTLPLFQAFLRLPDHLAQNAHFRPEILRKLNQTREAEIKKLKRVSDEEGEEERKR LAEKVKKEERDRKMRGMTAEEQRKFLEKESDRKRKKDEKRMTRKG EPUS_05149 MAFSFNWSPLTADASFYARAQELLTTALNKSPKPPIIVDDILVN ELNLGHVPPELEILEIGDLAEDRFRGIFKMSYNGDAFLTLKTKVQANPLNTYLLTRPS FASPQPLAAATGLTIPLQITLSEIKLSGFIILVFSKQKGLTLVFRNDPLESLKVSSTF DSIPFVRDYLQKEIEGQLRILLMDELPAIIHRLSLRLWVPEYRAREEQETSEASTLSQ EEPIIDPFASPPQDPVDSHGHKLNPSEIASLSIETGIETQSLFSQKNLLRLATLTDSH RTLSLFTPSFQDVVFRAWAGPTERGEVGGLRSPAITPALSRSHSYSASASTTYTSDSA SQSRPGLQSFGSHSYGLGMGASRQSKGHGARQRKKRVVNLRKKPQTNENASVSEDGSN FTDSASVTDSTGDAPSIFSAPPALAHEPRDEDVDPVTPQRSPYATIRQGKRAISGLSD QTCVGRGTEGSQQTRRNLAGAFITNMPDTSLNVKRDTLSDKRGWESKLEPLNDLDATP RASMVLPEKPSLTHTQQQARVASAYKEKHQEAGPSSAGQNAPLPNFLQFITDPGNGGT IVEQAWMVKMAGEIARRYQEEREKINMAGDAEAPPAYVG EPUS_05150 MDYDLRPDDTVIALMGITGVGKSTFIQYFTDDIVEVGGSLESCT AAVGIYRCYTNSIGRFYLIDTPGFDDTHKSDTDVLREVAFWLNEAYRRDVKLTGIIYL HRINDVRVGNAAMKNLRMFKRLCGTESLASVVLATTFWDNGPDFQKYLQREHELKTKD DFWRGMIQNQSKVFRQDNGRISATRIIEYLVRRDRGPTRSRPTLDIQKQMVDQRKPLD ETGAGQEVQVWLTQQREAYERKLALMRVEWEQALRERDKEWQRDLNRNIQEIEAKIKR DEEDKIRLRADNAALHQEHEELLRARAKQIADQLEHERALQQQNASHAQELQQAIDAG EEHRKKLEIQKRKYQQLVNYRCTVM EPUS_05151 MDREGSDVVIAVMGATGAGKSSYIKRVTGRRDILIGHSLACATE EVQSYRFHYRGINFVLVDTPGFDDNRDSDEIILSKILMWLGASFRKGTRLSGILYLHR IIDPRMQGSALSNMRMFRRLCGPAFYENVVLATTFWCSINPALGAQREKELRDNNEFW GQLVERGSQLVRLDEDEESSRRLLLSLARKNRSVLEAQKQMQAGRSVGDIAASHRVVA EYETEFDQEIEREYNRRSAEILRRENEIREQLRQQSREAEKKRSEAARKVQDEEERQD KRHQARIEQQKREVERQERELEELRWARKQEKLYTLHEDPGCREPPQGNVDSHRCKLR NVEKRRCDGCGCRLHSLYTNYTDYYRTANVKVYTFRDDRGHPGYLIDTPGFDDTTRSD SEVLIDIAFCFNKLYSRGILLNGLIYLHRITDVRMQGSAMKNLTLFKKLCGRHAFSNV MLVTTMWDALPPGRTGDDIGNERLDALANDDKYWGEMERNGSTLARHMGNPKSARVIV NHLIDKKTKVALDIQQQMVDHHLDLNETVAGAYLQQSLVESCKKYENELDEVQRSADL AQEERDMETVEMLRVEEDRYRTKMKSASGDLRKLRISHQALEKGKPEKYRRLIKAIEH ERALRAAEKHEFQQRIADVQARVRRRDREICHLTEETHHLAYNLRGKDQELDVMRSQL RSRSYADRKEIHSEEEGPRIRTDSIRRSSVASDHVDPSNPASPHRFPMPLTRTMSSI EPUS_05152 MQCLAVLATLLALTSAAAAVLEPRQTTACNNSPDLCSRSYSAIT HLGAHNSPFLRDASTSFSTSGNHYFNSTIQLDAGVRMLTAQVHRSDRGWLLCHTSCDL MDAGLLSDWLGEVKIWMDNNPSDVVSLLLVNSDNATPGDLATEFTRSGITEYSYTPPS STAPQITWPTLQDLISANTRLMTFVASLNPSQVDSTNAYLMDEFTFIFETAFDNTDPS NFTCTADRPSSLRGRTAEAVSSGRMPLQNHFLYDTQLFGIEAPDEANITSTNAPADRP GNMGDAAEACRREWAKPPVFILVDFFDQGPAIATVDRLNGVTNPIGRTPPPARDTMTS GAGSSRALPLGLVTLVNQVKNGANPNLGEWIWAAADWSKTLGGWDTSP EPUS_05153 MPPPLPSSFLQALRRAAPLLSRQLFPSQRCLQLSTRAIPSPRPL RGVPSRPKQQIGPLVGRIQRLSTAATFETTLPAAGKLNSASRFPDSSSSAVAYWLLGS AASVFGIVVFGGLTRLTESGLSITEWKPVTGSLPPLSEADWASEFLKYQSSPEFHLLN PHMTLDEFKSIYWMEWVHRLWGRAIGITFVLPAIYFVARRRVSKPMMLRLAGIAGLIG FQGFIGWWMVKSGLKDDLFASGSHPRVSQYRLTAHLGAAFLCYTAMLWNGLSILRTNK LLSMNPTMASQTLASLSSPQLKIFKRCVAGLALLVFTTAMSGGLVAGLDAGLIYNEFP KMGLGLTPPKSELFDKFYSRDPDQKDLYWRNALENPSLVQLDHRILATTTFTSVMALW AYSKFSPTIRAFLPRAAKRGVHGVVGFAWLQVILGISTLIYLVPLPLASAHQAGSLAL LTWTLVLGSRVWYPSRAAQLFAQRLGTVRSTGVGGGTAGVGNGLPLGTVAAARTGRHF LKRPEMV EPUS_05154 MAGAGRSDDIDSDGTLRDILADLVSTQVESSTRSPPPQADSSWI LPPYSPLPPHAASNSPRLPPSPMPRTSSASRPAQQQIAASTSHLPAPSPASDTTPQSS LFNTPSRSIFSSGPPTASVSANTAQASLSSAGPSSSSRPLAALSATNAGSSRRNPSRS SDPPPVPVPIRRRNLQKDVDDPSTTQFTTNDSPQNNTSTTLSKLFAADNGTPQSMSRD VSYFRSLSSPKSYGDVSTLSGHLLQRGLLDGRYSDITIHAFGSSYRLHRLLLDRVPFF SSAFSGPWAESSAREMTLHPEDIDSNITKAAFELALKRVYGCYLPADEEQEAVGLFAT SCWLDMSNMVDSSVDILLRQMQPSKLDSLIKLVTSNYYGKAGDRILASAKAMLCREGW EMAYEYWDNIPSEIVREVVGGDPFFVPGEWERWYLALRLLNRRLKARAIEAGLISASG RYLQPKPTTLNFFAVRFDTTYRRDLMISGRGSADKDESWIGLYTSPDIAPLLVLLDEG IHYVHLRFEQLQRIRQQKDIFAVPVLPEKVISDALWMSMELRQRVVNSQEGEMELGLS KEAEQQDEEEYHSSREVECSSRKGKQPESHMQQELEMESGSWDGNGRPRKFWIPTSDS SSVMGGASETYLTATSNGGSGWSPHMSRLSASLEPADVQWAMDFTASGGDGPPGTSHS NHNDRSSIPRYSHYPPFRFSAEFPNPRTLKEKKRVYSQTVWYAGSMWNLYIQRVNTSK NQQLGIYLHRAKDKEPSDDPLALLASATVDDRIGHLEREMLMRRNNERRHQSWRSSNT TVGPEGEMEDDVSVSGDNDASLVGEVESAARRDGGMSGRPVTLARAQKTSQTPDSGAP ASLSQRQAASHVIDSEEEDEERFKTGKKFNVSAMPPYVDARPTIKTYFKIYSPSRAGR MLSVYESAPDKFNFSQSWGWKSSQMVLEDGITGLDGMAKSGKDGKLRYMVVIGNV EPUS_05155 MASHNLEKEAFKAAPNYPNIPNKPAPGISYYTPAQEPSSGAAKD PQSDGSPIPKLFQPLTLRGLTFHNRIFLSPLCQYSAQDGHLTDWHLTHLGGIIQRGPG LAFVEATAVQPEGRITPEDSGLWKDSQIAPLRRIGSNSATPAAKPAPSPHIASEIVGG WPDNVKAPSAIRHSDRLPTPKAMTKADIEEFKAAFVAATKRALRAGFDVIEIHNAHGY LLHEFTSPVSNQRTDEYGGSFENRVRLTLEIVDLVRATIPDSMPLFLRISASDWPVAL MQWQXXXXXXXXXXXXXXXYHNTNPKVKHMKVKELRAYKKIKTGPGYQAHFAQAAKKA VGDTMAVSAVGTITNGSQAQQF EPUS_05156 MAGIDQEPQANDLDPLHQHDVSSSSDDEDDDEDDDTLCVASDHD FRPGIDIGAIKSAKNVEHSVAHQVEAERSILALVVDDEYLFAGLEGGDIAVWSLETFE KVFTVHAHAESVLALFLSEDKQLLFSSGVDSVVNVWSAHNLTRLFSIYSHHDIGDVFC VAYSTCLNTLFCGSQNQSLQWFDLGSKNLTPHSATFPANRKHRFFDSLGPGGTVTPLP AGSHVVPTTGGQLVTFPRSNYQAYAHSSYVYCMLLAQGLRHHHAEMEVLVTGGGDGTI KIWSLYELETVGLVAIYKFRNNCSSVLSLTHDGTFLYAGLASGLVQVYNLDSQQLVQK INIGSDDVTTIQVMNRTAFCGTSNGHLKQFNSQFSEVGDWTANTGKILSSTRTRLHDQ DILVTGGNDCVATFWDVSRSSNSSDKQLPYGNDEMVNSLRDFVAFRTVPGIPKYAGQC NEAATFLRKLFDLFNAKTALLSSSKGVNPILFAKFEASDTSRARKTVLFYGHYDVVDA EYTVDGNEKPDTDPFSLRPLNGYLYGRGVTDNKGPILAALYAVADLTQNQALNCDVTF LIEGEEEAGSRGFTKVVQENRSLIGEVDWILLSNSYWLDDHIPCLTYGMRGVVHARIC ISSGLPDRHSGMDGKSTLHEPLKDLTVLLSGLVGETGTKANIPGFYDHVAQLDRDEKK RYDAIISALMPGHPEIKDAIAFTDSLTQRWREPNLTIHRIMVPESKAAVTISSYAEAD LSIRIVPNQDAETIATALTKYTSNLFHDLGSTNKLQVHISSKADAWLGDPNNEIFQIL DQAITAVWNPASSTKSMPSTNASPSRTFRRPSTTTTTSSSPIKPTIPQSKTQTMHRPT NRRTSSLASTGLTYTPENMPKRPLYIREGGSIPVISFLEKEFNAPAAMFPCGQASDHA HLENERMRVQNLYNGREVFRRVFEGLPRD EPUS_05157 MNTNFMSTYRFWAGTSIASSIFLVQLAPEYSVGGSYIWTAVPLF LLQWLVYAFYSIILYPKFFSPLRHLPSPPGASFFMGQFPAILAEPSGMPMRRWINEVP NNGLIRYTHMFNTERLLITSPKALGEVLTTKSYNFIKPKQLLIGLGRILGVSILLAEG DEHKTQRKNLMPAFNFRHIKDLYPVFWSKSREFVEALQTELDKKAPDDVVEIGGWASR ATLDIIGVAGLGQDFGALQNPNTELNTTYGKIFTPSKEQQWLGLLSMFIHPKIIPNLP IKRNDDMFAAQRVIRTISRNLIRQKKAAMEKNEKRDIDIISVALESGGFSDENLVDQM MTFVAAGHETTSSTLTWAVYELCKKPEVQTRLREEIHANIQSLHEPIDAAKLDNIPYL HAVCNETLRHNAPVPLTLRDTANDCTIADTYVPKGTKIILCPWAVNFSKELWGPDAFE FNPDRWMGSGRANTGGAESNYAMLTFLHGPRSCIGQNFAKGEFACLLAALVGKFEFEM RDPNEEIKIQGGVTARPRDGMHIKLKVVEGW EPUS_05158 MQKPSLDLEMKDVAPPSTMMDGTYGAPIDDDIRSPRTPTYVPTS PTFSKVTAFDLSRIFGPNETSIAGAPKSAHLPPEPVKPLAWIWQCHLCRTRYPLGVTR RCLHDGHFYCSGETDRPNLKKKKRGQSCSSEFDYIGWREWGEWKRKVLGNLENGIEIK SRPRGCQKCEFPGQCRYASSHTSFEDTVMTEKKGDGPSASLMPEGSNISSLLYEKRDV SETVTFDSILATVPGERDKKSKLRSADLQINTKIGTKSSATKTLSVKNNSAVFDRVAK SAEKRSGKKESALSPIAEEFFSNGDLAGSSFADMALQSWNDIVEFGKGLSKIDEDCMD LT EPUS_05159 MHHELRALPSPISSTNAPPTSDGTTSDLIYLTERHHHYRLLLCK LSKDSSPSSHTLLWTTPSESDILPALIYLHISFWDLSLEAPLLDMCLARLTSALFRGD ENDGGVIIIASRVGRHQT EPUS_05160 MSLSAKSLITSLSLSLLSALPSLVSAHGHVTNIKIQGQEFPGFP SDNPYGAPYSTIAWSVNVPDNTFVREYSNPDIICHKQAVPGTSSATVEAGGSVEFQWT AWPTHQGPVVDYMAECPGKCEDVDKTQLKWFKVAEQGLISTTGCNVKGNTGCWALDKL IQAGNKWSVQVPAGLKAGNYVMRHEVINLDFPGQSQNYPACINLVVTGGGSSVPDGVV GTSLYTGQEEGLNFIIYDMKSDATYPIPGPPVATMDGGSGDTYGSDIAPVDDGSGDTY GSDVAASVGDDTTSAGAVSECSSGKLKVRARKWVA EPUS_05161 MATHSYAHQQTDSQQSEDHLYGSGNIAFNQRRLMHPRNSAECTS SSGSCSSPGPSQQPQRQFQDILPFRQNPRLTVVTSFAASAPSSNGTYSQAGSDHYSYI SGSTPGSHTPKSSTSPPMNPGYSTSAAKKQRYWLHIRQQPRAGRACSNGRDRRAVDPP PVLQLQISDFDPNSPADIEDMQDQSFIVHCLLCTASGPAQDISVVMCQDDNSSGQKAE KQINGNLDASPFFCDEDPDPHTAPPHPSSQLYYPAQTIPPSHASGRNLPATFFYFADL SIRRAGVYRLEFQLMRLKMDGTPLPILHSVLSEPFNVVNAKDFDHVQPSTPLVRGLVA SGAGFPLKLKQGSRAQRTSPDE EPUS_05162 MPPRPLPMCKIPFALARISPGMTIKERCYSPFTPLSPFSVCTQQ QINRPSRPTVRLSSKRKPARLRRTEDKRQASIGEFGDDDDDDAGVKVYLKNLDLRMDK TWGYNPLDTLELEQTGYDATARQWLCVDVLNALEEQWDTREIVGYLGDTDREGCGYPL PVPADVLAFIGQYLLKKVPRWDNLGVTLLEIAVAMKHEGAIMFLGERDFSRGIGPSHA SEWVLAGIADLAAHGKDPRAMTLCARNLRLMKQSHESLALAVELCRMTEPGRPRAEGE KGAALPLPWRTWLEALKDSNRSMEYMHRALQYGALVWDDPEACALHAQTPLVPLGSEY WLRYATKGAMGGELQSMRDIGVYHLGIHGWFPDGGEASNAHDSRIGFAWLEMFAHFAK PDRAAKVWAGMAMVLREHGDRLGGLKYLQEGLKQIDQRVDIEDVEKKRGFRELVPLIQ HWDVQDLVNLQEQKITSEAFLGGPIVPLSSPLGDG EPUS_05163 MNNLACVYSKYFEYEKTRELLTESSRGLFQTLGPTHPDTLIAQE NLAMVYNDLGGSLRDDGHSIMLDVLAKRKEKLGKEHPFTLLAMCHLARTKADRGDFEE AEALLYDGLAVAKQNLGETHIGTLYGKTHLGRVLLMQRRYGEAEDILVDTIKKYELSS AARNGEHPDRLIAMRALDRLLSRAKQDPRGDRCF EPUS_05164 MPATLESKNMVEEISEIPAVASAKATEQEEQQDSEIIPKNGTRD RIRDPSKSSPPAIAAPPSTSQTDRMARFKALQSRASASQKSNLAEARAEASRSSVDPS LLANLSRKAAVASHNLLKADTEEEGGLGAFERKRAWDYTVEESERWDERMEKKARQRD NVAFQDYSSEAAKMYERQIKNLENMELKGGIRNREEYEASKAALIEKAAQSGGLDIVE MEDGELVAVDKDGTFYSTSDTVEFVENRPKRENVDRLVKDMQKAEDARLKRRRDRLGA DTNDGDITYINDKNKQFNQKLARFYDRYTGDIRESFERGTAI EPUS_05165 MASSNIPNDSSFTWSKTGLGEATFNGLASLTSIRNGGEISSVAT NFEDSDELRRILQPQNGELVPQETVKSALLEAFCQLASKDDSACQIASAALIEGKDRC VVVLARNTSFTEEEKKLFQELVTELHPLSQLAAYGPLGLDQTISLRTKILDLQLTQLH DHLIPKTREALRVHVVERTAARPILMTLLSPHKELTNALRSLVDTFQYDASYAASQLL AAFDLAYVPFAKLHLRNIIGDNHITNDLWSNICLLKRLPKAWGIILEALEKLPQLRVL DIVCLQSPNVTSTPHSEPQSLKRTLRRCGLSPVSTALRTNIIRHLSMEQVLALHEKFQ RLKPMMHAEVQIITYLLQKGSDGVFANLASSARPCFLCHDFAQQFQLKTRDPVFSQHS AWMVPEVLDIGSDKVNVLIQATRAIAAKLKSQLLIPITATPSNQNCDPGRSGEFKPSL EGNEDDKSSSAVNEEFKSLEEAFARLSPGNSLLHTLDRMHASSGYALYEAAERDEIPK DDEVHHGYGFSKFTQDEDRKMLLGLYRDSANMLIQPGEVNRWRAEVRLVAKIKEKFMQ RPEHLRGDHFAWLLRNEHMLVELDMEKRAGEPEHTGMVYFDASPPRLPDTSSTRNSST AEALWQTIDDGLPGDVDIRRDFGFDRCVSVQEESNLLGLYKGLCLERVDPLAVQAWVI EGKLAENIQLWFEARPAAYRGAYYPWIRRNPHILA EPUS_05166 MAPYSYLPLIEDNSEIRLLTLYPGSFESNIQCSLHAVRLTENEV PKYEALSYVWGDTSNPVSLYILPSSDGAIDITKNLAELLPYLRYCDRPRVFWIDAICV NQADLDERSRQVQHMAHVYRNAERVVVWLGLETPETKLALSTWRDLSLKIDVDRSGHG AMRSRSDDPSDSHWGDQHCFLPFDQKIWHAMAHLLKRPWFERLWVWQEIRLANPHAVI ACGFDMLLWKQFSDALYCIRYKRQETGFPELHNLIDRAYEIVDYYGYSSLKTMMFQTR HSKCTDPRDRVYSMLGMLHKPDADLDIVPDYTKSTRKVFTDLVTQYVKKQKRLNILLL CKLDDAAAYLPSWVPNFAALSRARPIINVRATGDSVCSVEYYDEDILKVSGLTVSTVH EVSDLSPPENSKFPYHNIVKAARRFASTRNMENLYFDGTTVLEAYCRTICCNRLSDRH MPSNETLPNIQAAQAVLKDILKSETGKLADISPAAKIYLDYASDTCRSYSFFDTVRGH IGVCPISAMPGDQLSVFLGCDSPLLIRPAKDGQFKVVGECYVQGLMCNEALLGPLPGH FRRVTRLDKASNSYCGCYVDPVTGEMNIEDPRLGSLPQGWKRKLTIGLI EPUS_05167 MDFPSETKSASTSSTPFKSSNDDRIVVIGAGVLGLSMCTLLQSR LPARLVTLLAAELPSTSPDSSPAYTPSYASAWAGAHYRPISPSTPQLHAEFKLAQRTF EIMKRIASEKPKAGIKLMQGVEYFDRPGKGELGMKDGDVYAGPEDGFRVLQKSDLMEG VKWGCEYGTYCINVPVYCGYLLKEFQEKGGKIVSRKIGDVKQAFSLNEDEIGGEVSTV VNCSGTNFGKDPKIKLIRGQTVLVKNPYHRTVTRQYADGRWATLIPRPLDGGTIVGVT KEIGDEEETARPEIRQLLLKQSIECFPDFVEKLEDFEVISDNVGRRPFREGGLRMEVE ELDAGRKRIVHGYGAGGRGYELSWAIAERLVELLNPGGSVQATTVLP EPUS_05168 MPAPAGTKRVRGVQIHRPFVYGTEAVPFDPANRPKNAPADHTHS WKVFVRGINNEDISYWLRKVQFKLHDTYPNSLRMIEGPPFEVEETGWGEFEIAIKFYF VPESTEKPQSIWHGLKLHPYGDDIEGKKERREMVKSICYEEVLFNEPVEQFYDVLTGG GTNVRGKASAKMGKASARGMPTAEIPERISKDNVYSREQESRELDRLGVAIKTVENQI TEEKKRLIEEETKLAELEKTEGRPLNKKR EPUS_05169 MTTNVKKSIVVAGGNGFLGSRICKSAVARGWDVTSISRSGEPSW SSVTSSSTPPPWATSVTWAKGDILKPSTYTTCLKSADAVVHSMGILLEADYKGVITGK ESVFSGLSRAFSSTKSGSSGNPLEREPGGELAAGERDGQLTYELINRDSAIALAQESQ REGVKCFVFISAAAGAPMLPQRYITTKREAETTIASELVKLRSVFIRPGFLYDSSRKF TLPIAASGMVGSAVNGIVGGSLTSIFGAAVEKPLKADLVAEAVVEAIADEDTKGVVGT KDIEALAAKAWRKTMFLRTRCVEEAPDSE EPUS_05170 MSDSEDSDSVPLNLNARTRTERNSRQDPNEPKTDDFRRGQIDDA QLKLLRSGENSDLEIRCRERTWAVQKAILAQRCPFFAGAMRWGFQEQSSGIIVMDEDE PQAIDELLTYLYTLSDHINVLPIHGRRLRYIDPAIKVSRFIDADEKIRDRPQQLQTLA NDVKHLSDVLVTADKYQVSDLATLAGQKIEKRLLAFVHWASRLEDMHARLTSAFSEAL YFEHEIPPLQEYQDTFLTTIIHNFDIVTAFDDIEFIQAHPRLTREVLRDRNKALKSER QMRDEMVEDVPKSKRKKYTMQR EPUS_05171 MSASSDNEDSAHSEIAERSNSQPSLDTPKMKKSLIVSLRYRASK PFVMKFEPGGIVDAQLYYLHSGVDSNFTIKCQDRIWKVNKGLLGQRCEYFRAAAQSGF SVRYAVVSVFGGANQMEQEQSTGLLTLDSEDLDIINVLLESIYTVNRSRPFDGHMAIF INHKELSGQLKHLISVVIAADMYLVPALVEAAGMKIDQQLSGILWGLRYVNNYMTEEL FSSLLEALYPNRDSSAVEVYQVQFIRAIIRAKGDIAQKLITEGHMKKYPKLGLTVMGS MEKRCRTKCKRKSEQ EPUS_05172 MSALPFFRPPPYPNRLVTGSKCAHEAEFFLPQTFGNFPASAKFK GPSSWHEFDQVRLTIAQNVVLERIVEAANEARSLNCLMADVSLCFRQSFDVMFSGHGL VTFCQIRPEYSQEVDRISDELARLVLGPVSAQYQLTLHWTAIYDRVTQQFDHLQTECS KYLHKLRTMMQDSLLIQYADANAVFKEWWMDRFDEVNKHERLLQNSDVIIQPTVRNVL ADISRQVPAGEGVQIITKMDGVYHVVCTLATNVVLLADEGELLAEIERRSSAPEVGLL FENLTLNTRNNNSSMSLV EPUS_05173 MEGLPYDDPRFLEPAHDHNPSNISYLTDIPLSSSSYMPDEVRDL LPAVQEATSIVFASFKRLDDLLSQRFERLMVLPEEDRKPGPRNIQYSEPAYFWYQNQV KNEAQYLITEAFPDWNKNVVNVES EPUS_05174 MTNALQSESGLPTDWIVKQSTSRNLPYYFNTQTRESRWDPPDGT DTEVLKTYMANNHSAANTRTEGMDAGNGKIRAAHLLVKHNESRRPQSWREANITRSKD EALNIIKGYQEQIQSGQTTLGALAVSESDCSSARKRGDLGFFGKNEMQKEFEENAFAL KPGEVSGIVETASGLHLIERIQ EPUS_05175 MAAASPSASEGGDKRGEVTYRFCAECSNLLYPKEDREMNSLTYV CKACTATTQTEPACTYRHHLGATVTETAGVTTDVANDPTVGETSLEVYFCTMCGDALR CEICGISVVQEDSSPEDPSDDRGSRDSVS EPUS_05176 MPRKSDSTIAGPQKHRLSLAQLASYDDVLTDALVDQAYFWSRIR KNRENKYLPVRGIKQDEVPQILLHKVIIAKDVEDAEKALLNLAGLRRYVGGLHTEAEK KDFRNHLRKYINMYLPDSPFEVSTTNRYTITAQEASVTARKRIREGDKIKYLCGTLVP LTDAELQDLDLTQRNFSIVQSDRKKNTLIFLGPARFANHDCAANGRLVSVGKDGLEVH ATRNIDIGEEITVTYSPGYFGANNEECLCHTCEVQARNGWTSAEVFGAPQSGESTPIP CEALIGSPYSFRKKRKHGLDTISTGSMPSASPAKKQVLDRPPSRLNQVFTPPPPNSLS PEEDSNPMAAGTDQKASECSQSKRKRSLLDVDVSGNASVVEIGPEAATDPPRKKKMRL IDQMTSSAGSSPELLTKRRPASSVSDESGNDSSSSKTSSHSTQATSILDAPVSIKVES SETSKIEKVDTSSVYHTPLSTHLKQLTAYEGPSAKTTLKTTHTNLTTKNPSLVVPSIE PNATTETTTATTLTVLPDPTSPPTIRTPGDYILTRRLLAQPFDRWVQCQTCADYFLQS NGYQTRRECPRCERHSMLYGFGWPKTEPDARRLREIREMKEREEKKRVSEKGKAKGKR KGGSAGDTIVVSAAKVDEGATAAVVRQGQRSKGGGVWGRGGKGGGRSGRSGKGTWVEG GGEEEREERVMDHRTVNRFIAPEEEREVRKRGKNSLLEYANARSGSLGTPGAKDSATP DRVLARLRGSVVGERGRSTTGTGNGYPSAREDSIGSAGFEDGDGALRRSQRYFGSRLT L EPUS_05177 MVQRVIWQELPFQSRFESRFESRFESRFESRFQIPSNAKRWDPP QEILVKYLLAEVDSQGQPTLQELDQAQDFRCKVRSLREHRDCTIANEEACLAALIEGC SAMSGLKTIISTDARVPGNTMDAVLDPPTSFDGQHLLPLSDAVMRLLEFREGPIETGK FSNCAGFLCMLEAVAITGVPVENLITERKSGLLKHGIQAVDPFQADNFEHRFAKAFRH LRKISLCLDCPVPTFNSGLYTCLQGAEQLEHLEISETHLVESLPNELQIFAAPIRFPK LHTLVLEKAKLQNQTLRRFIVRHAPSLTTVVLWDCYVSGSWRDVIQVLADAKNVHFES FILKSPRDEESDRYHVSEGRIAPRVNSQDVLRFINEGGSNPYANRQWICFYDDDTISH ASGYSDISGWGGHASKYGDGDFDNIVDEDPDGPEYNSDYDFDAESGSEPETESQRKPI ASGSMDDDDRFYHESWSWLTSV EPUS_05178 MAEIALVAGLSAACGAAGTAAGVGIAAKLLKGDPVDTTLILQFL DGGFSEDETKNFQSRLQNDSRFRQIALALQNDFEEQSRTPDGAQTAGPKAKRLEMALR HKPVRSAQGVAGKQGAASERQLKDEQGRGTQKTPALVASQERRGTQKPQGLMAHQERR GPQGQSSNARGQTLRLRRHGKVE EPUS_05179 MTEAAGVQCNGDAIRRMSTTGGGVYARQRPASSRPPSLSRGSTK SKGFDDDDDGQDEYVDDRDLKQKQAFKGWYLLWLAYQSTGVIYGDIGTSPLYVYSSTF VSDPTYDDILGVLSLIIWSITLMVTVKYVLIVLHADDDGEGGTFALYSLLSRSANIIK RNPLEEATVKMERVLSADLPFANKSARNFIERSRIVKFLLKVTGVFGVSLVMSDGILT PAQSVLGAIQGLRAVRQDITSSTIVGVSCAILVLLFLMQPFGTTKIASSFAPIVIIWL LLNLAFGIYNLIVHDSSVLKAFSPYFAGWYLVRNKTDGWSSLGGILLAFTGVEALFAD LGAFTRPAIQLSWLCFAWPCLLLAYIGQAAYISRNRDAWTNPFFNSVPPGMFYPSLVI AILAAIVASQAMITAVFQLLSQIMKLSYFPQIKLVHTSKIFRGQLYIPSANWLMMVGT IIVTAVYNNTTRLGHAYGFCVILVTFITTTMVALVALIVWRLHLIVVITGYIVFAALD GLYLSSSATKIPDGAWFTLVLAFVLSSVFILWRFGKEQQWRAEASDRFPLGHMLTSRD ETSKDLQSLCFTAPFGGSSISSINGMGIFFDKAGAQSTTPTAFIHFLQKFHTTCEVAI FFHLRPLPIPSVPIEDRYSVTRCFIGTPGEKKLPMQSWFRLVIRHGYTDVVVTRDLGV LVFDQIRNFIIREGVEKGGKNAARTIHDSCQAQPTRACTTESAQRHNSIKPSSEQMHM SEKLANLQRAYENQVVYIVGKEQMRITEGTPLFRRIALNAFLWLRDNTRSKVQALNLA VDKLIEVGFVKEV EPUS_05180 MPTDNVDINPFVMRHTAPNSETFLENLHHQTELRDARTGHNSRM RLKRESEGDLCVPDPEKVRYWDSFDEDPSDECSSGGDSSDEGSADSSDSSDWDYSEGN SSNEDFSDEDSSSEADLSETDCDTNM EPUS_05181 MLRGSKRLSNFAAPSTDLSSRLLPTATGLLVSLTNPLNITLITS QLLSASSLWDGIQDLRACTRIFNVFYTAAVQLSERSASDARFGYSPAPLMEKACWATA VVKGADDKSPRWRHTLILGAILLGFEEKQKQSLPQHLRSRLESALLTATNLALELNHR SENIGKFAIAFVLVHSFGLLSAHNQAQFNHDLILPLLIETTFSSPEGLEHGYWLGAID SEVIEVTDRKFNWSARSNTYHHIREMQQRPLVASLGSMSRLMAYAIRSVHKSVLLVPT MDCVAEFARTLWLSWRQNKLSEIDVSEELEYLDAESRKTTLPTLWHLLRLSLFAVVII LRALMGRLLSDPVLASDSKAPFLAMQCLLILRNLSFISSRLGQISSSQYVFVNMAAID VLTQYPEQAENLIQSIKPVAKGLIPSHPADRCLDLFFFNTAEHFTPSLVPKTNEDIVL AGAMPYLVTGGSKVLMEIFEAAHSVALSVLASPNNTELTARQLPFYLDALFNCFPDSL SPRQFRLAFKTIVRISTPPSALAQSQPLLPSIILETIFGRAERAPTTPLPQAILKPQP INQEIPLSEQAVFVMAMTDSLCFLHPALLEEWLPMTASLVAQIEEEEMRRACQDRFWE AMSSGEMDVERAALCVAWWSSRGGRELVLQNDNALQIEPVMSGALSMDSKL EPUS_05182 MASLRRNKTLSPDGQTAKFLYTIIKQLDLKAIDWNLVAGSLEIT NGHAARMRYSRFKQHMEGAVTQPRAPRTSKKDGKEGKEGKERKEVGKKGKKRGFEEDT DDQKDAPVFPKSEPGLAGTGVRVKRECVGGSIRIKSETDPEPSSPEVHIKQEPGLFPN ERIAVSEAPSATRIKQEPEPTNKNSTINPDIWHVLPHAPSNETFLQNQVSRATTLTSQ PLDPALRQSHPLPAPQSTVSLADLEVSPRSQGPTITGSADTGTTRRRNFMTGFASGQQ NVLGGSAGSNIGTVQIKAEPLSDMDWMITGPNTDVMVKSEPLEI EPUS_05183 MEDILECILGYRTLSASKARKLHHLSQTPPEYWTAKERMLSGKA RTRWLREERKRASRGGSPAILDRIWPTGPYWPNGGVLVGGDKRRKEFREAVGRMRYRD QARAEAHAQIYNVHPLDIYGLPRSHLQALLSEGDWTRFEPNQILNDRCRARKDRNKHF FRHIGRAEPRFDDSELLRNGRFGEMAGAETEEDRYRKFGWDRLWDVPVYGPGKENWDA DSRPRVWEVGNGLGRRRGERSRWERGPE EPUS_05184 MRLAHLRLPALTSYHHAANIQEVLLQRYFQAKDRVHKSKSSGLQ DSRTIQLPDPTVITAEFQPVYTFGRRQLNRVGEQQRRFLEGNGRATVVEAQRGGQVTY HGPGQLVAYPIIDLRRHKITPRNYIHLLEDTVIAVCTSFGVPAVETTEDPGVWAKGGQ HKICAIGVQVRRGITSHGIGLNVTDREDFLSWGFSRIVACGLEGKHVTWLSAEQAHGA ESIRVEDVAPAFVKTLAERLGGIDEIYQTSEQDL EPUS_05185 MDPERVRVIREWEKPNPGDVRAVRRFLGFVNFYRRFCLGFSKAL KDLVSKEPVLAHFDPEKETVVECNASDPQQGEDGELHPVAFFSTSMAPAERNYAIYDK ELLAIVRCFEEWSPELRSLGEDPVQILTDHKALEYFMSTKKLTRRQAR EPUS_08950 MHPRLLTTLVLLDPVVIFPERPYGSETYEFARLSTWRRDIWASR DEAAKSFKKSKFYAAWDSRVLERWLQYGLRDLPTILYPDLTEGDQRVTLKTTKHQEVF TFTRPNFEGFERGHFDRKRHIDMEPEIAVNLKFVRPEPLDIFRRLPNLRPSVLYIFGG DSDMSTAERRKAKMDVTGVGVGGSGGAKAGKVQEVVLPGIGHLVAMEAVGQCAESAAQ WIDAELKIWNADEEEFRSLWAIKSFTEKTTIDEKWREMIGPLPGSSKAAEEPKL EPUS_08951 MLRSFKASCASVLRDLANSPSSFKLVRTVPHSESSPATAAKTLY VLDGSFNPPTKAHHRIATSALSEDRGSAPKRLLLLLATQNADKARMPASLEDRLVMMT LFAHELLYDLQQEVPPLIDIGLVKQPYFHDKAAAVDESGVYPKSPQQVHLVGFDTLIR IFNTKYYPPDHHLRVLEPFLSKHRLRATYRTDDEWGSRLEQDRYIQNIADGKRTDEGA QRDWARQLTLVEGSRDGEDIVSSTLARKAAKSDPSQLDKYVMPTIRDWIISEKLYLDG K EPUS_08952 MEDPKQAREKTKKTTIENAKAWGEYLLNLLIESPLPPSILATLV FAQHARPFQVTPMLFPPLLLFSTYLNLQGYKIDSAGTTAAFSGLYLVLAGRRRHPFMS KFGARGIIRGATMGLCLANVVGGGLAYTFGSRRKEDDKGSNI EPUS_08953 MSATASGSKATPDGNANSRDHKQGNQERKYTIEQKTAVIRVRKC ASTAFYEILSLEKTASDADIKKAYRKLSLLTHPDKNGYEGADEAFKMVSRAFQILSDP DKKSRFDQFGGDPDNRFSNSGAGAAPSPFSGFARSPGGRNPMFDAEISPEELFNQFFG GGMGGGFTPFGGGMGGGPGFVFNMGGAPGIRVHQFGGMGPRRRPRNATGQEDTPPSGL AALTQLLPILLLFVLPILSSLFTGAASSEPDVLFNRSPPNTLRRTTPRFQVNYYVDPQ AVDDYSERKLRQLDQRAEVNREGDSEGGKLVIAYDLTIKMAEPSSDADKIRSKRLAKL SQLQPTPRNNDASQDSQENPSEAVVAENTPSRTPTETQQTRRPSVNITKMARPSSPSR PSSASQSAQSPSDDGGRLRITPNPASHITPQKRDVAGTPSSMPSSRAGETIEQFEDRT LRNVFRLTLDHSQTKDIHGQQLYSVGGLRQELEDEGKPIMLTTETLEQAIMEAGSKSG KTTPLDWLIGSFKRLSSIINKFLKERSPANKKWVIVQEARRLCMSWCIFAITTPEVFG LEVDGQKELADRLLLDPEDDNGLSYDFLSELSSRIGDDEPLKEVFVGAVEHLSRRLAR LSMDSEYRCYTSLLRNLVNFKPLAIAITESSMFVDASIPAAELEVKTLLGPYFQISPL QAEVTKQYFSSPKTIDEGRAREAQRSLRLTLQTHQNVILDIINHLIRASGDARQRVLD WFALVVNSNHKRRALRVDKSTVSSDGFMINVTLCLDQLCEPFMDATFAKIDRVQVEYL QRHPRVDMKDETKLNADQEASDAFYGRTVEGKNHFISEIFFLTVAAHHYGTEAAHSML RELEKDLKHMQKQLDQFETDRHKYVNNPMQLRMFESALNKYKDQIDKGLSYKLACQGV LLDELAQTRSMQFMRYLIVWILRLVSPTGKFPAEKLEVPLPKEIPGAFRCLPEYFLDV VSNNFQFILSNMPQIIPSTQSDELVMLCITFLRSSEYIKNPYLKAGLITILFKGTWQW RAGGQGVLADIYNSMPFATEHLLHALMQFFIEAEFMGGHGQFFDKFNVRFEIFQIIKC IWPNAVYRDNLYKEAKLNSEFFIRFVNLLLNDVTFVLDESFTSFLQIAELQKELANAG ASLDQAQRQEKEEALASAQGKAKSYMSLTNETMAMLKLFTEALSDAFTMPEVVQRLAD MLDYNLDAMAGPKQGKLAVQNKQEYGFDPPALLSEIMDVYLNLSEKPNFILAVARDGR SYKPDVFANAGVILKHHTPKSPEELKKWENLQKKFAAAKAEDEAAEQDLGDIPDEFLD PLLYTIMNDPVILPTSRIVVDRSAIRSHLLSDPTDPFNRVPLKIEDVKPDLEMKAKIE AFKQERMGNKRKEFVETIAGPEKMDLSPG EPUS_08954 MPYAPRPTTVLPASTTTSRHTNTSSTSSQHSALASRIAAKRSEL ENLKQLRDLSGALAEQMGALEEKLATLRDGTEAVACVLANWDNVLRAISMASMKVPKP TATANGEDEEQQQQGQKDGEDPKLPVTLVRIPAQSQDPRHRRLEADRTS EPUS_08955 MARLNEPPPAIESIDALKRRFIRQNREIARVNSTQSLRIRNLEA EISRLLAENIAIREQAINSAQEAERLRSSQRVFRDVSKLKEQLESKLSEVSTLVTELG ALPEKAKRRSSQHQRRRSGFVEPVQSPDQKDWRNRQTIGGVVAVERQLQEGRLPVIVE GKHYPRKTLESLEISRLLEDDATASESPELGPPPVAHFDVAEPIGFAAIPAPEVGTVQ LTEGYQDHNDDFKPLPDNLERRRRRRASALLEDMSTLNQSSTESSSSADQRLSLKSGA KRKLDVQEDGYREGAGPSQLDDFAFQRRAIVAETPQTRPKSSRFAKSGTTSATSSATT KGPTAKLEPSTRRVLAPKSTNSPSKSKRTGVDDKNIPAKEELASRVQAEEQFEPQNVQ VKTAESSVQDQPRQTDEAIIAQRADGIELPPKTPAGLDLFSPASTEPSARTGQQTEIA PSASVEDVLGGADGRTSRRARGAVSYAEPSLRAKMRRPTKELAPAVGDQTNGLKAQPR DSSARAESQERQSNQDVSVGKMRTVTIKREKPSEESLAWKGLPEAQEEPTSPLVNKGT KSSSRNSPPATQAEAEAGTETAPNANADTQHLEAALDGLSILEGADPSPHNLPNSNAI QSRKTSRRHSPNLTSSRRVGQEFENPSISSDRPQPKTTAAHISDQPPRPHSAASLRKD NHGRDDKVGLKKSASVTTLKSSTSTSSAQGGSAPNGAGTGVGRTERAAARRRSMMI EPUS_08956 MASLQPSSRARTQHLQALNPSSTRSNTASPTRGSVTQTQTMTHT PTDAPADPSRTIEAATLRLRGAHDPAESRRQRRRGPAIRWAEDVVDNEGMGRKSSKVC CIYHRPRAVGESSSEEDSSSSSSDDESSDGGAGDDGSARPVGGDGGRGSRTGKKRRRH QHHHHHGHDHDHEKDNEGEGAAKERGRRPSPNAYERMPKTGMGPKGK EPUS_08957 MSISISELDNTVRAFYEGKGEVQKQAQQKLTEFKSNPDAWLMVD KILQEATYLPTKYAGLQVLDDVIMTRWKVLPRDQCQGIRNFVVDQIIGASQSEESLKQ QRLFVDKLDLTLVNILKQEWPHNWPTFINEIISSCHSSLSICENNMSILRLLSEEVFD FSQDQMTSTKAKNLKTTMCAEFSQIFQLCLEILTTAEHTNLIRATLNTLLRFLNWIPL GFIFETKLIDTLVERFLNVPEFRNITMKCLTEIGGLQMGQQFQYDEKLVQLFTITLNS VSKMIPLSTDLKEVYGKSNSRDQEYIQNLALFLCNFFTVHLGVIEKIPNTDYLTHGHY YLILISQIDDREIFKICLEYWTKLVQELYEEMQQLPITDVNPLVSMGVSGLSNGGAPH PSTLANYPLRKHKYSQILTNLRSVMVEKMVRPEEVLIMENDEGEIVRTFVKESDTIQL YKTTRECLVYLTHLDVVDTENIMSDKLQKQVDGSEWSWNNCNTLCWAIGSISGAMNEE TEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKL FEFMHETHEGVQDMACDTFIKIANKCKRHFVALQPGETEPFIEEIVRNMRKITCDLSP QQVHTFYEACGYMISAQGQKSLQDRLIENLMSFPNQAWDQIIQQANANPAILQDSETI KVVGNIMKTNVSACSSIGSYFYSQIGRIYHDMLNMYRASSQLISDAVAKEGNIATKTP KVRGLRTIKKEILKLIDMYVEKADDLQMVNESMVPPLLDAILLDYQRNVPDARDAEVL NVVTTIIHKLRNMMDDKVAGIMDSVFECTLEMINKDFHEYPEFRVEFFKLLQAINLYC FPALLKLDGRQFKFVIDSCMWASKHDNREVESTGLAMCLELINNMAETDSQTASIFFR QFYIPILQDVLFVLTDSDHKSGFKTQGMLLARMFQLVESGKITEPLYTTEQAAPGTSN KQFVQQYTANLLQQAFQNLKEVQIQHFVNGLFTLNEDSNKFKTHLRDFLISLKEYTGD NPEDSAHLFADDREQERKMAQDAERERAMKVGGLIKPADLDQDDEL EPUS_08958 MSGYHGRTGPNFSQYVNNLNTIPQPYNPDFLPTDDLNLDQELSL FTNTDFTDFTDFEAVPNHGLDFGLGDSKSSGNDFKYEDLLASATSPSTNVQPSNTSHY ANFPPPIQPAPPVSAFPTSASILSPVASSPISPESSPQKVGVKRKADAIASASPQQLP SDEQSRLAAEEDKRRRNTAASARFRVKKKQREQALERTVKEVTDKNTALEAKISQLEM ENQWLKNLITEKNGKQTKEQIAEAFRKFRKESEERETSQGTEHKEGVGTGS EPUS_08959 MVPRNSVRAPTNASVPSALRVPSTTKALVRSLLRLSKPSLIVLA LQWLQEENQATCAPYLTSNRNLEEEVDEDYLWSPAETIEELRLTYNRMRLETTINKRH IIDRMLDGDWRRGLSLFQVATIDLQCLNENDKAFRWTALKLIPQSDEEAHEEQPMKKK RRIDSAPYPTVSPSTFLQNLQHEISPMVKAHYYLHQIHTLQNLSVIRICVVDSPYAQA QSSVHAPVLFTNPNRIIFIALPDSCPYIYISVSGGVKESNAGRKKTLSDRMDITSLKR AILEEIPKALSRSHNRYSLESTSLTARSLSTMLALRGNSGTNAANGVYSIFAKGVVDD SPIDAQNTSFAQKILEACEGREDKDCDAHFSEPSLQHSDQSKKRTALAERSVNASRAR VPKSEEAKTNPKIAATTRFGIADTPEPEPDSDKSDTAAATLCLDRLQIRLNEDIFPSG CHPPSSSLANISQDDIDPFDRTSPPDQTFGHAPRQPSARLTLTFQGSDVFLGIRKLVE LGDIAVEKLPTWMTGEEAVSGGTVRHGLLVDGKGSSA EPUS_08960 MGRTAAPAYVLGVGMTKFIKPRGKVDYPELGFEAGIKAMLDAQI SYDDVDQGVACYCYGDSTCGQRVFYQFGMTQIPIYNVNNNCSTGSTGLSMARTMVSYS AADCVLVVGFEKMNPGSLQAYFNDRANPTGTTGKMMQETRGVTNAPSAAQLFGNAGRE YMEKYGARPEDFAEIARINHEHSKRNPYSQFQDEYSLEQVLKSPMIHEPLTKLQCCPT SDGGAAAVIVSQKFLDARPHLIEQAVLIAGQRLATDGPSLFDRSSIDLVGYGMSQCAA EAALVEAGVQPKDIKVVELHDCFSANEMTTIDALGLCEHGKAHEMVRAGDITFGGKVV INPSGGLISKGHPLGATGLAQCAELVWHLRGWANNRLVEGADVCLQHNLGLGGAVVVT VYKRADGKSAKAIPSDIVGQRNKLGYNPAVEARGFTSEQVDRTRSSKSRSEWALQDTQ LKVQARF EPUS_08961 MAWLDYDTRTRLIKKYRTQFASCPATVLAVLAGSPFENIKTRMQ SKHFKNGWECIKYTHKTEGIRGFWAGTTAPLILITISRVIGFSVYQQTKYAIDGIMSR ATGDSPLALVNTPGTYPNFSTTFCFGTAGAISGVATTLFTCPVEVAKNATQTSVLMAG LSGEARGPSISNVAPKKNVGRVSSIAATREIFQRHGFFGLYTGFKLHLARDVVGSAIY FGVYEATKQAMTSFQKTEKANTPLAVATAGMLCGICSWSLTYPLDTMKTRVQNSLVGN VSKVAQESAARSSKFKGIEMIIMRSCIQNMIQMSLFEEIKNWINAKPFKDGTTKLEDR DKEKRALGRPPTI EPUS_08962 MSLRRSARTASIPLKSGMTTRASISDPKRPLTPDDEPLTVSKRS KRHSSRKPSTTTAEPTSFKVPLPPSRPKRKHTPPTETDEPPPLTPTPSLVGLISAPTY SSGDIDNATPPPPSRPADPHISNATLVTPGGTQATAYSSYVPESSPSKLGLKGPSHPT STASNLLSQACAHLISVEPKLAPLIRKHTCHIFSPSGLAEKIDPFRSLASGIMAQQVS GAAAKSIKNKFIGLFNGDDPPQSNFPTPVQVVATDISRLRLAGLSQRKAEYIHGLAEK FATGELSTSMLLQASDEEVMEKLIAVRGLGKWSVEMFACFGLKRMDVFSTGDLGVQRG MAAFMGKDVSKLKAKGGGKWKYMSEKDMLEGSEKYRPYRSLFMWYMWRAEDVDVSAVE GNGEGAASV EPUS_08963 MVLTRYMLPVLAAAGAALAQCEGPINIQHQGDAEAIAECSIIEG DVLIGSSVSGTLAIDGVEEITGDLTCVNATQLTSLSANNLATIGGKFELEELQILSTL AFDSLTEVLTIQWIALPALQSLTFNRGVSTVTNILVSNTGLTSLNGLELETVGLMDIN NNRYLDTVDVNNMRIVNTSISFAANSRTLEIKLPNLQQAANMTFRNVSDVQVPSLSYV NGSIGFYSNTFESISLPNLTETGQALVFQDNMGLSNISVPQIIQIGAALNINNNPDLL VIDGFPALETVVGALDFSGVFDEVNLPALSDARGGFNMQTTSSTFTCDGFDDLRDRDI VKGVYTCAGSQETPGGLGTNADSSGNNSRSAAPTTFNPDMPTYSVFGLIAFLFML EPUS_08964 MCVVKLKNHYRLKNVENLPQLSSSEALNTPLSANSLLLPPIDPG RSSPFASQESGTSQILPRIPLLDAPEFHQRLSSLPLHADGARFKSSLLDRFDCSYLKC LLAEFEEEPAYQNREEETTEMKMEASRSFFSTNGLREADMEWQTFSPQELDKRCSEIS PDRPPYSSNELNVEPEKRGKKAPKSQTKATDCGAETLKERSRRKHADTERYRRYEHKK SLVFMYEHVSDYALETAGKKVNWTLDSAKAPTKDIILAAYNIHVLMVERMKILSQEQL AKKDRVIEQKDREIHPLLQPAEVVALRVCAKVTTHPRLCRAAFPAASADFYVGWAATG AIDLTSLHIRIVEVQRVRVMAHQALIDDAFSERIELAKKVHDAMRKHPSDVPIYVEIA EYVRDLRSDVAGNGICDEEAIEPATKKRKLKNGTASPAGGESLPDHNSKVLFEARDLS FSIPMRKKLHLEVAKVTSPSSHGAQVYQLRARHPASEYALRLPVPEKAQKQHNFVFLP EAGLGIGDKLSTNGSDPNEPLVWTVSDGPQKLTTFNDKGSGNSEHLQDGSLIEQTLNK CLENTRAGKVVGPNDDEFVRATPEAHRKGEKAYHVKAFRGSKDGYLYFLATGIFFGFK KPLAFFPFEVINSISYTSVLQRTFNLNIAVQLPDQEELKEHEFSMIDQADFAGIDVYI KRHGLQDASLAESRKAKKLKHNGAVKGDDKGGVGEEEEQSELQKAQQELEDAEDEEEE DYDPGSEGLSDGSGESSEDEELVEGNGAGGDQDLVAEELGSEAEEGVPDPNDEDQL EPUS_08965 MPTTLVLGSQWGDEGKGKLVDILAQRSTLCCRAAGGNNAGHTIV VDNTTYDFHILPSGLINPACTVNLIGAGCVVHVPSFFKELDDLERKGLTGVRERILIS DRAHVCFDLHVAVDGIVEDVSGKKDGGKGKIGTTRKGIGPCYADKVARRGVPFWMLVS QEKEGKGRWEGRLRDLEKGYRRLYGDEALGGYDLEGEDYRKTLSQYVIAQTPLLAAAS TPAPPPPVANGVVTLQERPSHNILIEGANALLLDIDHGTYPFVTSSNTGLGGVFTGLG GLSPLAFSTPGSSIIGVVKAYTTRVGSGPFPTELTPERDGGDVHYGEKLQKIGREFGV TTGRRRRCGWLDLVLVKYSAQVNCYTQINLTKLDILDSFEEIRVAIGYKLDGEELDTF PADLDQMDRIEIVYKTFEGWKAETTGCRRWEDLPERAKEYVSFIEKWVGIEVKWIGTG PRREDMVTR EPUS_08966 MSSPYSRDASRSYLIPLILGFLSILITISILTLTRLLTILVRPR ATSLGPTRPTTSSRHPTHLLIVLGSGGHTAEMLNMLRCVPLLSLKFTYRTYVVSSGDG FSALKAREFEMEIAGHQADPAKTQEAGEILHTIRGSYDIVAVRRARRVHQSLFSTPWT ASLCVWDCIRVIMDRNPRRTGLPPHQSCNIQNPGYPDLILTNGPGTGVCVVLASLIVL FFGFSGPASSTSGEKFEEKPDRLSRWQQSGQMRTIFIESWARVKTLSLSGKILLPFVD RFLVQWPALEGKGGGKAEFVGALVA EPUS_09494 MGPSASKDRPLRGPAALELPMGGRRAQSAPQPGADRPDQRGHSL FLLNLPDELQEQIIFHSLEPCLARALANSSISRARQISDVQLYKVFILHAFFDNEPPL PVRQSHFAPATYRFLARDQRRRLQRDIVECKWFTWDLFQKHIPTLAHLALERQCRLHH QAEQRRGNDISSLPDIDNLADLIELYIDHPQPKQRRETGLTDERGSYHPYLNIIRRDL EPEMHILRPVIFLAIPSRALNPISWTEEARHYLWVVAARVELGSEYDAAAFKRGLKKA TSEQKSHIVATLLNIQAIASGQEQDQQVVQGRTLWQRFLYRQVAGLGGAPWG EPUS_09495 MTASLVRGARINRDLLNSQLKSHHIPWLLGSHVDRHENAQVSLL FHALGLDPSARVCAMFPNCSLERSIDAADYLIIGYEWNYVLHAKEVEEALPKKQMPKG FEAAVQQIDPEARYGVWCVTGVYLSNLTAKRGLIRDNAKA EPUS_09091 MHEGEAKELMERIKKAAPGLIGVNRMGNGARDNPWPQWPRIYRV DYGHTEVKTHMGKDPRIEHTCNDLRDLWKLAKWARADSYRPRDSPKFTPLATQGVTAH IFDDQVKVLKAAFFPPLPEALLNDIEDAVYPQAHYCPTAITEEEVRNAIQRIGADRAP GPDGIPNRIL EPUS_09092 MMVRAPLRDIRSAHVFFPIEKLQSAQTTEIAFSSVKSVQVDSKW RLLDSVSNLTKDTVRELAVRLKSADPSTFGLLTCLGAVHDEKNNDFSLVFRIPDGLSE PTTLRARIMACDTAHSLSDRFRLATQLARAVSSVHTFDMVHKSIRPENIILFRDQDSA LGSAFLLGFERVRRQADSTRLGGDTDWEKNIYRHPERQGSTIRRMFLPDTFQPDKCCA ACRSRALLVNLSEDVTAIDEVVIVSEWHSGRARGLGRGQSKAAGYQKIKFCAEKASLD QLQYFWIDTCCIDKWNLLELSKSINSMFRWYQNATKCYVFLSDVSASTATDANQQSTW ETSFRSSKWFTRGWTLQELIALQAASSGGHEKVVQMLLDRGAEVNAQGGEYRLTPLYE AALNGHLAVVKLLLNRGADVSIASERGWTPLHAAV EPUS_09093 MNTLLHISLLCSLTLQSIASDAMVRRQSNDIDSETMPECTKSFC AGLGTIIYGGTGVHCPYTDSDAKTWSRQCFCNLKTPLRCAWICNWNAWMNAEDWYTGN TGCGPQADDLDLKGLPACAEDCLPDALMDAGCITKGRQCFCLNGDMLGCQNSCSHQER AEVATWLEGACNISQQLANQGVEKGSFLEDPSFWATLVDKASVIFGGRGDEGVHKEVV APPSRTGQPLRWYEIWAVVVLCFTAVAILIGWRVSSHIGRIKSNPAERASLLPTGETL EPUS_09094 MSTAAHARGMHSSFLPALFVTAFFILEVNAYRGNVCCRQAARLP EKRMLFNGSEPWKACSLNSTDQYPEGTTFPSVNHTMAWCQKTCPGIQTSELKQWLQPL ATWFAPYVALLLLCPIGGSVLGKEGEDQGHDSSFLRTSRPRPGLLRRASRHVFLMFRD ISEFLLHQIKDKVPEYGSILGDPSSALAGTFFEIHADLVGTTEKGTKIIEDAVSTKDT QRDDLQQRHIRQMIVLVGDLNHHDSLSSLNPPTKDTVDDVKKRLFDAKDNQIETAMAI LVAARLNFFNGVFMPVILTLAVVASVFYEAYTKLGDNDTAHGLAFGVWFSWLLVLAVA SNCFACSLNGGVVRATLTPFMGLSESRVPLRERFINSKLWLHWMEPSNVSALVNVEST LILPTSTWAKFLVGQLLGWALVALTTASAAAISYTTPTVGLGCRSFTFLLWGILALAT AIVRVGANWAELQHKLSQRSVVGTALIWIYWVLTTINALVLFIGTILHLAGVFRSCRC KRLFVGGLFQVELNSNTVLAVENAKKIWLPVGYMAFGVIWIVCGLVIAARKWITAHME KWAVEDRQSKGHTRSSDPPVDVEIVSPDKG EPUS_09095 MYKRALAGFEKALGPEHTSTLDTVNNLGNLYRSQGKLDEAEKMY ERALVGKEKALGPDHTSTLDTVNNLGVLYAGQGKLDEAEKMYERALRGYEVALGSVQV LTYVPAMNTMENLALLLSSTGRHDEATQLYTGAQQGTEVVFRRASTRYEGLTTALGSL RVDEAS EPUS_09096 MEDSLQILTNASGRQMSLGDADAIKLVTVLDDPPLALATAGAYL SQVPTSLSDYLRHYEASWLKLQKTAPELTEYEDRMLYSTWQISYDHVQRQNKASAKLL QLWAYLDSQDVWLELLQHTEQDDPEWIREITEDELSFNAVVRVLCDHGLVEVDQSPVE QVESRGYSMHGCVHAWTMHVLNQKWDGGLARLALKFVGSHAPKRDKEKWWATQRRLLQ HANRCSSMILKGSVAKEGMEGKIHMLGYLYADQDKLEEAEKMYERALVGYEKASGPDH TSTLNTMNNLGLLYADQGKLDGAEKMYKRALVGYEEGMGTRPYINTHHGQQLRPTL EPUS_09097 MAVDLVVRDVIELQALGRDEAKTLLQKSLIDPDMVGNKEPAVAE LLDELADLPLAIVQAAAYLNTNTTTMPRYLRLLRNTEQDMVSLMSAEFRDSARYEGAT NAVASTWLVSFEQIRKYDEIAAGLLAYVSCIEPKAIPRSILPTVQPEARMETAIGTLC GYAFLTRRAEDETFDVHRLVHLGMRIWGRHHGDASTETRKAMQHLAGIFPSDHFEKRK LWREYLPHAVRLLQEQEEEEEEEPKKYELCISVGRCLQADGRVREAVDFLEQAWRWRC SNMDEKHSDRLLSQYTLAIAYQADGQVGMAVELLEHVVAVEAKVLAEDHPSRLASQHE LAITYQADGQVSKAVELLEHVVTIEAKVLTEDHPDRLASQHELAIAYKADGQVNKTTD GLSRDGGVA EPUS_09098 MEDIQSPSFYMNDTTPTKLADVDRIEEGAILNLIPPQHVITKLS KALRTALTEIQLQKDQINLMTAAAQKKALMSQSKRQINHPIGEPRNSEVKYMRAAVMA RKTREDAALERKKTRETKKRAELITAASVPKITPQTEVEESDFSEAVSYDSNDVID EPUS_09099 MASHHDVFMLQSDSPKELDRAAAEDPVVIDRWYTSFIGKIQRCA IQPGDIYNCDEGGFRIGIGKREKIIVPASKTRTRVSSTKESTRELVTLVKCVDALGNV LPPMIICQSTSDKVMEDWVRATDVPDDYLIETSSTAYMNDEIALVWLKHFDKHITKKQ IGEWRMLILDGHSSHTTYKFLSYCQKHKIMPFFLPPHTTYILQPLDVAIFQPYKH EPUS_09100 MPRAKIDLNPYKDEILALIALKKTNAEIIRYLHDTYQTQLADHT LRRRLKEWNISIRTWTQDTPALRDRITSLFFNGLNDDQLYRALRTEGYQLGARALPRL RYELGLKHSLRTAEGQQEAEETAAGVITEELQKGVIEGYGRGLLYAHFQQLGVNIARD RIYSIYRTLAPDAIERRVRNMQRHRGEYIVPGPNFLWSVDGYLKLAPYGIEIYGAIDA YSRYIIWIYVGISGRTAISVLRQYLDTIEMLNQYPRFIRSDHGGETVILAQAHHLLQQ AADPTTPLKDCYMYGASTTNQRIEAWWNQLTKGLLFRWRNYFGALLQEVLRAEIQGFV RVWNIHSIRKQRNRPNVTPGKPYILYHHPPPHIENQGLLIDSETLTELQNDVQEWDID EFLPPETYQWCQNQLQHLEFNAAQQAPEDDNSTPYRAYYLQLRARVDEHLRSEREPQL LYQRSQLEHLIGVLNNLRWRRLRRLN EPUS_09101 MPQPLWLPFCGVNRASYDDFADLKECPCCHLRNPAFEQPISEPQ EIIELLDESPVPTPKKTKAVFAASNEHVRSPQTQRFQRYTQYSVTELTNIPVPSNRTN NKLMAETYQTTIAIWRRTVQENAEQIDCRMLKRVSFKLQNKAIIDLNDFMHNSLLKQV REWRTTFTQNDDEKLYLAKRVESKQTPVELPTSADDVRTIKEAMEYFGIINKEYVIHI VIERIELERIELEQLKEELSDEEPADIKKEKIKKEANTKLVPRTESTSWRILKFKKPP NPEPEPSTTESHKRAHSSTDDSFDQSAEELDQAIKETDALLQPQPSTPKEKEKEKKEK KEKKEKKKEKKKRGIKNDTTSAEEERKERSHDTTQELFYSPPALRTKKKIKDTT EPUS_09291 MGESSSASSDPSTEHSDDKRNISRQAGRPTGSSSRPSNPAQSVF ANRDGAVQRSSESLKAKSRSNQNRKSGPTAATPTSSLRRISPPAAETGPNLKEERGLI LETAKNPIKVAERGIRLLCLDGGGVRGLSSLHILKKLMETIDPGRPPKPCKYFDMIGG TSTGGMFDQVFKKKRLPVTIGGRIQDRFDTQALEKAIKEVVLNHFVCATRKETAQSMQ FRSYQSARGSSDLLRKTKIWEATRATSAAPSFFDPIKIGDYDEEFTDGGTGANNPVRT LWIEAKNTLLGPGEALEKNLNLLVSIGTGVPSLKPFGNSLLEIAKTLKSMATETEATA ESFHRGHSELDDNSQYFRFNVTKGLKDVGLEEGAKKNVIMAATESYVESETIRRQMSR CGKHKIEKNFWSGPSESVNNMHWTVTRSLNNLFIGRESVLNTIEESIRHTLRDIKPTG QQRFVITDMGGQGKSEICLQLADRVRQLFWGVFWVDASTETLAESGFLNLADKLQIAA QTLDEARQGLANVKKRWLLVLDNAHDPKIDYQRYFPAGIAGWGDVDIAERGMPPAREL LLRAARIPDARRLAVKGDAQVVVTLLHSHPLALIQAGAYVAQGHCTLAEYPQIFARQR KRLLKFSPTQARSRYGDVYATFEASAEILRASAEAMPASSTTESARDALELLPVLACC GPTRLPLPVFEAGWRGAQEISRDQADKYDETLALTAWHVSRLPSLIRARANTWDSYRL TKAIRLCRTLALMSTDTQDGVMSVSMHPLVHAWARDRANAAEQHKGWLTTGCLMAVSR SDGELWRRRGRQLQPHMQTLTLWDSSKMFGSEPAMKITSILVDCGRLLYRMRDDARVF VLMNKLLAHLGLDGQKVEERWLVVYKLTAKNLINYGKVGKAVSLLEQVIKIKELTLAE DHPLRLASLHELAGAYQADGQIKTAVPLLEQVVKIQEQTLAKDHPSRLASQHELARAY RANGQVQKTESLLEQVVKIEEQTLAEDHPSRQASQYALARAYRANGQVTEAVSLLEQV IKMTLVEDHPDRLAAQHALAMAYCANGQVTKAVSLLEQVVKMREQTQTKEHPDRLASQ HELVRIYQADGQIKAAVPLLEQVVKIRKQTQAKDHPDRLASQQVLATTYWDLDRHTDA VQMMKHVVAIRSQALDKKHPDRKNSETWLEIFEDKLRKLTTT EPUS_09421 MHTQAYLDRTPKDHAGRVEKLYDLGMSYHKRYCRIGDIEDLETS IQRWQEALNILPDEHPDQADLLSILGIGYQDRYKRMSTRADLDMAIQKYKEALDNTSP NHPYRAKPFDGLGEGYHARYNTTGAMVDLDIAIQYYQNALDATPKGNQKSNAQSNRTY RLGTGYLKVAIHYCQKAIDITPKDHPSQELQFHTLGRLYHDKYNKTKVLADLEIAIQQ YQKAFDIVPENSPHCASRHCSFGFGYRQRYEKTGIAADLEKAIQQFQKGLDHSLSPPL DRLKSSKVLFTFYIEAKNWKSAYQAASAAVSLIPLLTSHSLEISDKQYLLTNVAGLAS DAAAIALMADRTIYEAIQLLELGRGIIVGSASDLRADISHLHQKDQQLAERFIELRDH LNNSTRQTHQINHHQLPTGLTGPADQRYSTARELEQTIRAIRALPDFDRFLLTPSEDE LKLAAVSGPIVFINVSDYRCDALIIEKHKLQALLLPHLHSNDVRARATTLGNLDELDM SLLEWLWNTIAEPVLNTLGFIEIFGDSWPRIWWIPTGPLTKFPIHAAGSRNSSVLDRV TSSYSASIRALVQSRQRRSKAKVVPEEGKAVFVGMERTPGCKRLPGVPKEIEMLSRLC GSTKFQVTRPQTHRSDVISALQDCRIFHFAGHGLTDQENPSNSSLILSDGLLAVASLF ELNLHNHAPFLAYLSACGTGEIKQDSLTDEALHLISACQFAGFQHVIGTLWKVNDETC IETAAKTYEWMIRENMSDNSVAEGLHKASRQLRAQWLSESAARTAARVSERMAGTYNE DGPPTTEQARSSQGTARDPRTAELYEDPPLYWVPYVHFGI EPUS_09422 MPVSNEDIDDLVDRAVREIRAAREEGKRSTVVAKARELGIYKDC IHRRLRGDDLVDRAVREIRAAKEEGERSTVAAKARELGIHKDRIHRRLKGIGSRIGRK AANPKLSAIQEASLIRYILSLDEIGHSIQYNQISNIANAILLQDYTTNTPAPSIGSKW A EPUS_09482 MSGFTAINKESYKEDEAVPPLTADDAAAMAASALEETAATANSA LNLDLNPIAEESPTTVAPTSTTPTRSDTEPSQATIASKGKGVLADRKPSTKRRVRYSE VKEFKKLELCYENNEAVPDDLEAIIDRINHRVGHEMKPAYEKMMMELRMRRWRAIEAK QADIVATKNELEQEVLALREERKRLQEELADTKYNLAIARSNAASAPPTSTTTPTKSK RSAKKAEPSITRPENATRRDTSVPMASVAVVAPFDTIIEAGVEAVVSGQRQRLEDWVK VAVGRDRPHYQ EPUS_03167 MDPFSAAAGIAGLISLGVQVTGSLVKFYTSYKGQEIDATRTTAK LQTLLSTFQLIQATLQSRTFQPNEQDLIKNIESSIHQCDELIHELEEECKKCEKASAT SINATIRATGRRAAYPFRQSTLQKLDEAIGEILQILSLALDVLQLRDHKNIQDDIAEL KSLLEVVRATQVSATIRTWFNAPDVTINHNAACAKRHPGRGIWFVKGSAFKNWLTRDN SFLWLNGFAGCGKSVLCSTAIQYTFRHKRSDPGVGIAFFYFTFNDESKQDESAMLRAL ILQLSGQLSDPQTDLARLHGSYSTSVPPVTLLISHLRQLVQKFNQVYILLDALDESQR EQVLDAIETMLNWSLTRLHVLVTSRDEPDIRDSLSPLEDEDVTMRNAEIDQDISYFIS DQLNTNRKLRKLRAYHDRIQKLLAERSQGVFRWVECQLKSLMECPQSEYYLDQCLQSL PRTLDETYERILCSINKSWIEDARRILTLLCFSSRPLAVQELIDAVAIQLHEPAGLNL RRRLHDADDFRLLCPGLIDVGAKVNNETHVDSDGSKEIERIVPTLRIAHFSVQEYLES DRIREQQADAFALESASAHAEIAEICLVYLLEPGLSSGTLDQTALEEFPLARFAARFS GCVGSGAFAAVYSASGAFGVWVLAGIHHSNLPPAPAYSPPPPPPSTAPVNVESVLDTP PRGSAAGAVTIATTTTTVDRSFPTPDDEEKEEEEEEALDEAFIPPLSTAPAVMTQSRA GRKRAPTMKALEAEKAPKRGTGQGKGRGRGRAGREAER EPUS_03168 MGKSTSNLYDVKPAKTQTPNAPLAEYTAANAPLPTHPARFWYHH YINAGAMKSRLDDLIFMMFRHRQDSFYTCMQLHDLDKPWDTVVQFSRDRSHIASPVYY ASLLGLDEVLYKLINICEEHGSEIRDLINARGGSDGNALQAASMKGHDKIVQILVDAG ADVNSQHELFGSPLRGASLRGYDKTVQILLDAGGDANIQSGYHKSALRAASAEGHDKI VQMLIDAGADANAHGALQVASAEGHNRIVQILIEAGADVNAHGVLRAASAGGHDKTVQ ILIEAGADANVYGVLRAASAGGHDRIVQMLIGAGGGAYIPSSYRNNALQAASAGGHDK IVRVLIDAGADINARGALRAAAAGGHDKTVQILIDAGADVNAHYWYSDSAFMVASERG HNKIVQMLKDAGALNEEISIGAKEERED EPUS_03169 MGYKEEPEIADVLQQRRPSNVEAMQQLHRKSVSNKGLTGASALT VKQSIVPITLVTVLFFLWGFAYGLLDVLNAKFQTSLNITAARAGGLQGAYFGAYFIGP LTYSGWIVRKFGYRWTFIAGLSIYGVGALMFWPSAVYRSFGGFCGALFIVGSGLSTLE TSANPFIATCGPPRLSEFRLELSQSFQAVGSVIAPLLASRVFFKRVGDTDLSNVQWTY LGIAVFVFLLAVVFFFAPIPEVTDADMALQAEQCSDLTGYVDKPLRKQYKLFFGVAAQ FCYVGAQVGVASQFIRYSEESAGITESQASDRYAIGQALFAIGRFAAAGLFMFIKPRW VLLVFMSAIMIFIALSMGIFGEAGVAMLSLVLFFESCIFPTIFTLAIRGLGRHTKRGS SWIVASVCGGAFFPAMTGLAADASDYHKAMGVPLAGFAVAFAYPIYLNTMCARELDGF RDTKIGYHDERRGTVIGDINDEAEIEAMEKRAASRDMGKV EPUS_03170 MDGPSNASARQGTQRNGRTHAPAATEATDQINHDPNNNNITENV RAIIAEDGLLGVIMLLIFTPLLVLIMLFGVLLELNKCMGNIAPPWYKMYMDACRKCSA PVLDFIISVVLMLLDALCVIFNRALLTKLFLIVPLTTVILHGRSFLRGESGVLATLVA NDLIYLVIDVMIRGIGRFEHSGIAIFILIELVFGMGSGNLIKRD EPUS_03171 MPSTYKKDKPWDTDDIDKWKIEEFKSTDNAGGTFAEESSFATLF PKYREIYLKQSWSMITKSLEKHGIACTLDLVEGSMTVKTTRKTWDPAAILKARDLIKL LSRSVPAPQAVKILEDEMACDIIKIRNLVRNKERFVKRRQRILGPSGSTLKALELLTN TYILVQGNTVSSMGGYKGLKEVRRVVEDCMANIHPIYHIKELMIKRELAKDPELVNEN WERFLPHFKRRTLNKRRRPFKITDKSKKVYTPFPPPQEKSKVDLQIESGEYFLGKHAK DRARKEERLEKQKEKREEKQKQREQAFIPPIEGGAGKRKRKRKQDDGEEPSVIEKKPK KDKMPT EPUS_03172 MEEPQPNLPAHHMKQDQPIYKMKEIPSASTSPEHSRARSKRSRA AEQLLDSDGRHRIRRRESYVDGTRRGRSPTRSVSPQSTKHRTMRRRRSRSPSRSCSSS ATDMPSSNVKRQRRSQHGQTKDKDATEDTSGMTARDESRESMRSRSKRRKKYRRRSDY AAASAEIARDTALEGLRGMNIPGDRAQNTDMPSEGGTHDRTGSFESVLEEDEGVS EPUS_03173 MSLKQEIETWVQALAHYDNNEFEESLKVFDNISDTSKILFNCGV IHATLGEHEKAVECYQRCIQLDKYLAVAYFQQGVSNFLIGDFEEALANFNDTLLYLRG NTYIDYEQLGLKFRLYSCEVLFNRGLCYIYLQQNEAGMKDFEFAVKEKVTPDHEVIDE AIREQAEGYTVFSIPVGVLYRPNEAKVKNLKTKDYLGKARLVAASDRSNAFTGFAGSE KKAALVAEPRAKDDRPTENISYAASNLVQPGLTSRGGREQSAPPAMNRNMFPPTPPPE NDKPAGPSSAGPALMTGRAASVRNPPNRPPALQRTGTNVSSMSDPMSMSSTERAPPPI TEEPKTMVSPRQRMGAMRTASEPRGPTIRRGPSQSRPTLYRETTDESMTDLYDMYQSP PAPKGLVRRGTSNNMGGNRQQPAYIDEEEEYMSDAYENDDFMQDDGVDFEMMGGAGAR PGMQARRPSGNHRSSTRRLAEVKKIRVKVHSNAETRYIMIGPAVEYGDFEGKVREKFG LRRRLRVQMQDDGDMITMGDQDDLDMLIESAKSAARREKQDMGKMEIPWHDMDLKDLH TYRHAYRLNTPSSQTNPQAHLIFANAARKGLHSPSVVAARRRQRQLKKSRKAIAAGLK ERSSSRLKGAANGPANDTSAIDNNDCDKHTKEQLAMAVRKHFNAMSVSEGEVVARFTY VVRQIASSIDGDGDKGFRMRFKP EPUS_03174 MSDGPDQIVAPNTGARQTFGQKMSEIPRRLRKQFTTREGLLGDY DYAFLFTPNIPGFKRARRAAPFFGLNDKLPIMLALVLGFQHALAMLAGVITPPIILSG QGGANLDAETAQYLVSTSLIVCGILSSIQITRFHIYGTPYFIGTGLISVVGTSFATIP VATGALTQMYETGFCPTDAVGTRLPCPRGYGAIIGTAALCALLEIGASFTKPTWLKKI FPPIVTGPTVALIGINLIRSGFQNWAGGSGTCSTRPTSGPFQLCPSNTAPRPLPWGSA EFIGLGFLVFVTIILCERFGSPIMKSCAVVVGLLMGCIVAGACGYFSKAGIDSAPAVS FIWVKTFPLSIYAPLILPFLAVYIVLMMEAIGDITASCDVSRLEVEGRIFDSRIQGGV LADGLNGLLACLGTITPVSTFAQNNGVIALTKCANRKAGYCACFFLVIMGVFSKFAAS LVAIPSSVLGGMTTFLFSAVAVSGIRIISTVPFTRRNRFILTAALALGFGATLVPSWF EHVFSSTDNDALAGFFNAIKLVMETGFAVTAFLSLFLNLVLPEEIEDEVVEITADKAD DEADRLEWERIRRPSQQRRSAELNGADRGKSVGGGSLSDDVEKAAVGKSA EPUS_03175 MAPSAISTSDHSSNGTLKGISHYHQFNGHNGTPSHPTRRLLPGI YVPTVAFFDSNEDVDIASTEKHAARLAERGVAGIVTHGSNGEAVHLDREERAAITEAT RKALGSAGYDDMPIIVGCGAQSTRETIRLCIDASNSGGDYALVISPSYYGSLLNSDLL LSHFRAVADASPIPLLIYNFPAVAGGLDMTSDQILALSKHPNIVGVKLTCGNTGKLNR ISSGTKGDFLTTGGSADFILPTLIAGGDGVISGLANLAPKSCVKVMELYKKGDIAQAQ RLQAIVARGDWSAIKGGFVGVKGALRLYEGYSAVPRRPCIAPDEEASRALKEQFREIM ELEQSL EPUS_03176 MAPIQTIEHFRVKPRWLFVKLTDAEGRSGWGEATLEGHSLAVEG ALDELTTRILGMEANEIERIWQTAYRQGFYRGGAVFMSALAGIDIALWDLKARNLNVP IYELLGGKRNPEHSINNLDRKARSEQGLKCIKMNATEDLDWLDSPAALDSAIERLAKV KALGMDAALDFHGRLHRPMAKQLARALEPHRPLFIEEPVLVEHPEGIKQISDMTSIPI ALGERLYSRWDIKRFLEDASVDILQLDVAHAGGISELKRIANMAEPYDVAIAPHCPLG PLALAACLQVDLSISNFGIQEMSLGIHYNTEAGDIDLGFYLKDQTILDIKDGYVHAPK GPGLGIEIDEDMVRTISKETEPWQPKGFHGPDGSIREW EPUS_03177 MLQLLPLLALAGTSLASYGANLNYRSPSNHHPGLGISVRKVVKR NDPSASYDPASLNFTHGVASGDPYPSSVILWTRCSPMLNNVDDNRTTTGYVPLYNPVP IYNDTDEGRPPSRSPICLNFVVATDEDLSDVVNQGTVYTSSDVDYTVKVEATGLDAFT YYYYRFTVCNSQNSSPIGRTKTTPAARDDVTSVGLAVFSCSNFPFGFFNAYGNPARKD SVDYVIHLGDYIYEYRNGDYGWGNAIDRISQPDREIYSLYDYRRRHATYKTDADLQLS QQTFPWIPVWDDHEVADNTYRDGSSELNNTEDSFIRDGGVSTDQRKMNAVRAYFEWMP IRQVDMDDNLRIWRSFQIGNLIDLLMLDTRVYDRSITDLYWNTDYIRQISNDASRSLM GSRQENWFYENLINSANRGATWRIIGSQIIFSRVNVSVLSGTDEPLNYDAWDGYQANR NRTLSVLYNNNISNNIFLAGDSHANWVSDLVWLGESPYDPATGAGSIGAEFAGTAVSS PSPFGQNITIARANNISDYLIRDNRELQWSEAYYRGYYELHVSKEQLNANFFGLPTII TRNPEEISMANFTVLNGGNRLERFDGSPAVGGVVENGAIKVGEVVRTNITNATDTGLY SVTQGSTFRDD EPUS_03178 MPVEGSADRGVTARMANIRRKPVSSAFYASDFPPIFAPDSPHPQ TAERDGARLSHHLRLELNNSSDTTLYGGDPVTAGSESHVSLLDVYNRTTSLEAGSQSP DPAITESRTTYEASYIEDVSELPTDDNHSGRDVQPAKPRPQGSSDNYPTRQLWTPIWL KKRTLVAFVVLYIILLLSVILLWHFSRDRDGFAPRISTNHYTWTYGPTAVLVIVVGLW RQVAYYSSVLAPWHEMKRGAESSRSLLLGYVSPFQVIIFSTGLLVLSPIVVTNSTYPI EVRTDLDVTSLPIDEYLAYPSIGAKATLPPNSEISVEADVMLPQFDCEAAKAIPGEQY SETGTGPYYHNFTTFEAPSCVVKNVFFSNLSLGNQTYPSRQIIGHFLLVDCISGTIYY TETGSPLLQGQNDVGFMATVADYRYMQTPAYQTHGDSTSFEDNSFWVTLDRANGVICK PAYSIIKANVTYDTAKQDESDRYSISKPEVPAGKRLENSTVNDLSLALCYAIDYSQGI IGGQQRRLSTDIVEEWPDILSRLMLLTQGESSLEVFLADIETMKSAAAKTLCGIASQY AQQFLLKPASKTSIASFRIQASDTQPMPNIAEVPSKSSHWHHPIAIQKGFIAGVLPLP LIFIAVLEGLQHASDHHNGFLPLQRDTAHESSSINILIRYIPAFVVLLLATLFNMLDF TVTTFTPFSALRSGSSAARRSIFSQLMSRPPPVALYRAAIDRQFGALFSNIAGLAGPL LAIIVSGLLIIENFSVSSNVTVQQLDSFNINTHNADYFHATETISIAEKVSMMEIFNL SSPAFTYKDLAFPHIELSEESMGLVKAASATGNSHQSSVNHNVVLVNTFRTALTLIFL TRNSLDPQIFRPDALYFVGTISGTFSPEIRLSDCPSFAFIFGNVKARTTSYENVTFMT CSEHIQEVQAQVTFTLPYFTLDQSHPPIIDESTIRLLKNSTSGLTAIKNNVIDKIFHS FFHTLVSSSDATPPEELVGPANAAKLFNHTNYLYKMQSAPLQPNPIYNATIALPTTRL KMNNGSKVTLQILLAVMFVCGSLAYLLTDMRRTLPHNPCTLAGSMSLLAGSEMASRAV VHEGAEWMSDEELKKAGVFEGYLFSLGWWND EPUS_03179 MNPDGSTYTSTSPKDCSIRPRNNRRLISFDDHDGSTLQDAGSSA PWSQPKFTSPFPSRGVSPIPGAHPSRPLPAAADPRSIAPSNYDSGKTGRGQTSAASGL GLWESWSSIQSIASTLLGSDAQQPPKGKGGGTFNASVRRKFGNASGVGATTPQWGPET STGQTHIAGSKEERQAMVQAKKRETLLLADANGLSGLYTPHKRRDSNLRLPDTATPAE YDGDALVYVHKVKPQDTLAGVMIRYQCQPAVFRKVNRLWPNDNIQIRDHVFLPVEACA IRGRRIDTKESVPDHNIPTCVGPNQSKNNSNNHFHLPADDTSPSLTPNTNSDLDPEYK HEYFVSLPNIPESIEIARIPRRTLGFFPPSRRKSQTLSDLDPYSDTPKTSLDMNSRLN SLSLSTSPSRNRPNRSQRSNSRSNTSSYWADRLKGPGGVGPLRSSGPGLAGPGPAEDS LNKMFAHHLPNVAPRESFDSVRSTGSSATAGLENVGGVIEGWVRKVGSKITGNPEPEE VYRRLGGGAGSGGRNGMGDLIELEDSSGDTVMEEHHMGVLGASTCASVPAFDGPASRP GSGWNSRDLLTLKGKGSAGVGVTASATASAIEEEALLRERFPPRGRMVDAQTNVSSRR R EPUS_03180 MSAATEDVPSANGHTGPSDTPAPNSQTPGHPSFRRQRASRACET CHSRKVRCDAASLGVPCTNCVAFSIECKIPVPKRKKNHTSKAKEGDSDRDTTQDYSPL PDGPHQYQDNGNHGYTPSDEPPTYQLSEAQVAQQESQSNVLTQFMKPKFARAPIKEAG RVAYLGESSNLSLLVHDRHGTTDVVHYPLPENIRGSRARLTEPDQQEIDILQQRGAFL LPPRSLCDELVDSFFKWVAPVVPVINRSRFMRRYKDNKNPPSLLLLQAILLAGSRVCS NPQLMDANGSTTPAAMTFYKRAKALYDANYEDDRITIVQALILMGWYWEGPEDVTKNV FYWTRVAIVVAQGSGMHRSVENSQLSRPDKRLWKRVWWTLFTRDRSVAVALGRPVSIN TDDSDVGMVSEDDFIEDEVDSLAEYPPDHIHVQFFLQYVKLCEIMGLVLSQQYSVASK ARRTNAIDLTHSDMALADWLQNCPREVYWERPRHHFWSALLHANYYTTLCLLHRAHMP PASASNDYHAMDMAYPSRNIAFQAAGMITSIVENLQAHDEMRYTPAFIVYSLFSALIM HVYQMRSSVPSVVSASQERINVCMHAMKEVSKVWLVARMVHTLFESILGNKVLEERLQ KTAGKRHQKSKTGPSNPAPKIQAIGKRKFDDMEFGYSSGPPAPQLSYERSRPQTPSLT PNRDLGHQPAGVAGLSSPPPRNAADQFLPPGPSRGGTRPASPFPGGFSIPATPPDLFL VTRHSPPIPQSLWENFQPDQLFPDGTNMTMSFSSPNGNPVDPQLQMPTHSHDDGSSSL QGMASNMALPQVNMGMHTQGWSMDEMMGHGMEHQQQDDNWSNSSRGVPAVPNALNVED WFQFFGINGDVNGISVDGQ EPUS_03181 MSSARSEKWQWVLQELFLTASLGASVYYVVRYLTNKINFDPESE QKEQARRKSAAILRRLDQPTDSDDERQGAHGRPRKEDLVLSQYEQAIAMDVVAPDDIP VSFDDIGGLKDIIEELKESVIYPLTMPGLYSSSSSLLSAPSGVLLYGPPGCGKTMLAK ALAHESGACFINLHISTLTEKWYGDSNKLVNAVFSLARKLEPTIVFIDEIDAVLGTRR SGEHEASGMAKAEFMTHWDGLTSANTNGQPQRIMILGATNRIQDIDEAILRRMPKKFP VSLPSASQRYKILKLVLKDTKIDEEKLDMEYLVKVMAGMSGSDIKEACRDAAMVPVRE MIRRKRDKGERMEADHGLDVRGIRTEDFFKRAGGIQTIKKSIKDHNKTTESKDWSTES SDSDMEDAAQVQQP EPUS_03182 MRGISSLIDTDMDDSTRFVDENMIISSASESELPKKKAAQPKAA DSRVSKPKAAPRKPKAVKEDGAKKAVGKATGTKRKALEEQVNGESQQASKKISRIPVA QSDDELDGVTGPERPKQEVSQKAKRKPRAKKDTVAHGDDSGHGPLAVQHTQAQTGSKK PKVTQKVIADDPHPIVQEVDNIVEAYAECSPQRPVKKSVGSASQSRQENVVRRKAGGS SDTERGGGDPNLRRKLGDVTRKFENIDLKYRNLKDVGIVEANANMEKLRKQCEATTSA SAELIESLKKELAMQMPLAHDARQLQIDLQNSKQEATKYRSEVTDLESSLMAAQNEIK ALQAKLAAARSSATSVESATARTPGSAMKNSNQARTIMVGSAEAAQAAQAAQLKEDLY SDLTGLIIRSVKRADEGDAYDCIQTGRNGTLHFKLVVETEGDGRTTSFEDTEFLYTPL LDSNRDKDMIELLPYYLKEDITFNRHHAAKFYSRVVDALTKKPTDDE EPUS_03183 MASIQPSTSLYVRSMPLCSTCASPNALKQSLSLNSRRSFSWWWK RGTTDWSSNLDDSFQRHLLRKERLLRYKYSKAIRRRQLWDRDPSHNASRPSWVGSTTA LSDSHDGQASSSHSSQSDSSSIPRTTGRQSLDDFAHFKACVDRDPFGAVFGRRLASPQ NLTNTSWSSFSWILKTPSSKESQVATQESAMSNTPPSPAPGGISSSNIRTASQSDERL PGKDNHRSAPTTKPSTRPIDSTEQQDEYEYDPISMRKVLKTKPVMQPPVQAFKPLFDP LFAEKGMDIPVKPYKPHRVFGYSAKSSSKKACSDHPASDTQALELKAETSRLAELRKL KAATLGNSIDTTAEYHGKWVSPAEKAENRSRTAPATESTTDEAPLFSGTTYEAKSKDI FRGIASPKQDWLNREGFGPEQGSPTTAIQPTTISDAGNAKTTSSAKLQPSLDRLQAIP QPSDRLKPSLDRLTASSKKPTTAQTSPKQVETTDEAHESISEDLDLLRASDIRASTRT SRRSKQDSEKAKQDQRQKLETDFNLRQKDDDGLSIVFPEAIMKSSKRLSESLNSLWHR LWAQQPASLIEKVQPPSTVENFTVGNLGTSANRKEQGGPVADAKIAPKALESATSSIQ TFTPSKEVLDAEQKSKERTLALRKARIEAMKQEAEMKEKEKALAQTIKATYEDEYGPI TVDHRQHKGLARIDQLAETIKEANIRADRNAIKDMIARCEHALRDAKLTRVEVTQKLK AILARLPSSKVKLHPPPVRMTPDPRSFQPASKENVAAKKPAEQPVLEAQQTSSTTQKT SSGKAPLLYKVLAYDSSTLQMNIAETTSSMSATGDGEMQPLHPTEVLSRLNNVAKFLP YFADMEKQGYEIVSGSGDVLVFKRVRTPMISSGGPPQPSSTAMEDSSSSPSPSSQTSS PAETVVESIAPNASASKVHRQESVFSGSGQTWHQEDTGSNSSSNKASTAEPGWFGRAV KRVFLAGTLTAAVAYTIGVVAEHAGAQVQVQGQEGSGSGRPRRVGRAGIYSTEDSR EPUS_03184 MSSRFFHGGDSDSESSSSEEEELYGVEGKAEDSEEEESSEEESD SEEESSSDDEGGPIGASRFMKDVASSDESDDEDKHTIVKSAKDKRLEELEGTVRLIEN KEKINDWAVISTEFDKMNRQVIKIVQSGTIPKLYVKTISDLEDTINETIAKQKTTALK MNASNTKGFNAMKQKIKKNNKDYATEVDKYRADKEAYMESDEEEEAVPVEKVRRNRVQ RIDELDAQIDEEGFATVGRGGRTLQYTPESILKHLRTIIESRGKKNTDRGEQIRIMEK LLEVATTPYQKIRVLLTLISTRFDLTSTSTQTYMSQEQWRSAEREFSTLLQILEASST YVVTENADEWDDDEKAPQPAPNEVFKIPGSIVSSIERLDDELTRSLQQTDPHTAEYID RLGDEQLLYNDIVRSLIYIENLKKMENVETPPESANRIVMRRLEHIYFKPTQVVTTLE ENTWKALPERLQSEITPRGAVKDAAPLVQTLCNFLFQHSEGILRARAMLCQIYFLALQ DDYWRARDLALMSHLTENISTFDISTQILFNRTLVQIGLCAFRAGLCYEAQGVLQEIC GSGRQKELLAQGVVMQRYSQVTPEQERLERQRQLPFHMHINLELLECVYLTCSMLLEI PLLAQTGSSPDIRKRIISKTFRRMLEYTERQVFQGPPENTRDHVMQASKALANGEWRK CSELISKIAIWDLLGKDKENVKTMLAAQIQEEGLRTYLFTYAPYYDTLSLTTLSGMFD LSVKKIAAIISKMISHEELGAALDQVNDAIVFRKGVELSRLQSQIVTLSEKAMGILES NEKVLETRTSGMANAFVRNEQRGDRAGRGGRGGGRGGMRGGIGGQAGAARRPGGQQFG GGALGGAIKA EPUS_03185 MNHSGQFGQGIPTAPMHTGHEVGGQNLNAASYGYDQYQAVASAA NNLSVSTTPASTPHSHNYNSEGDVPMEDADPYNRTKYPSRPAHHHRPSSQFIAHEGSA AAQRYSPMNMLNAAGQYTSSPKSQPQSQAGYPYQSQTPRSRQSPTRQNHFGSPQQYND SPTSGRYSSQFMSPTQNNELSPDQYYAHSPMEQVSGTRNGKSQVPMRSPNTSVARMPG AGPVPRFQKIKSVQELQPRINSQPPFRRANPEGGFISPLQALTTHLPSTYRICNPTFK YESSRNPRRVLTKPSKGVKNDGYDNDDSDYILNRYLILDVLGQGTFGQVVKCQNLKTQ EVVAVKVVKNRTAYFNQSMMEVSVLDLLNTKLDKNDDHHILRLKDTFIHRQHLCLVFE LLSVNLYELIKQNQFRGLSTTLVRVFAQQLLNGLTLLNKARLIHCDLKPENILLKNLE SPIIKIIDFGSACDERQTVYTYIQSRFYRSPEVLLGLPYSSAIDMWSLGCIVVELFLG LPLFPGSSEYNQVSRITEMLGLPPMWMLEMGKQSGEFFEKTSDEFGRRSYRLKSMEQY SREHNTKEQPSKKYFQATTLPDIVRSYSMPRKNMKQAEIDRELNNRVAFIDFVRGLLN INPLERWSPQQAKLHPFITQQKFTQPFVPPMNLKSPSSTKSPAPGVQQQQQAEAVSKQ RAAQAAQAQSAAQSAYAMQVNQYQQQQQQQQPQPQQQQHTQAPPVYSNMYPGHQSGAP PPYPTHNTNYGQQMGMMGQGQAQMQPAHYAAQQSLYAQATTRAGRQRASTMDQQQGGI PASIQRVASHLDPNAPIRLQPSPAYYPPPADGYVDTPGSSRRRPGGGQRNRDFIRTLE DGAMGDGFMNKTQWH EPUS_03186 MDGDRGHPEDNQSSSAVMATHEQNGASITESDRLKDLQTEVRDQ EDLEKDIGRQADMLLFEQANERDQQRLEKTSASKQKLESQIRKLRERVSQPIGTTQRA KLKNDIDRFQVQLDEMVADLVEIEDRMDQRRREVGQATGEGEGSGSGRLANESQRDYL IRTGKITPFSRFGLAAQGRSASNLQDALLDAEDEPPEEEVELPKETLQFMSHRNLRQP GFRTSEVSNVSSEDEYESDRPRKRRKLPARARSMKRHSSPNGSDESAWSRTPAPSAAE DSDIPANEDDSESMPDTLAPEKRRRKVKAAAGYEDAEIEDLRGVDDGNEAVYRSRMKR WSSRRREARRKAQKVNQAQDKYTNTGDMSDGDEAQDESHMPHPEIDDTQFDGGYRIPG DIYPSLFDYQKTGVQWLWELYSQKVGGIIGDEMGLGKTIQIIAFLAGLHYSNMLTKPI IVVCPATVMKQWVNEIHRWWPPFRVTILHSSGSGMINLHRESTQEERLLQQMWDPNAS RVKLTAGQKSAKKVLNPILEHGGVLVTTYSGLQTYAPLLIPVSWQYAILDEGHKIRNP NTAITIYCKELRTPNRVILSGTPMQNNLVELWSLFDFVFPMRLGTLVNFRTQFEIPIR QGGYANASNLQVQTALKCAETLKDAISPYLLQRFKIDVAADLPKKSEQVLFCRLTALQ RKAYEDFLASEEMKSILSGKRQVLYGIDILRKICNHPDLQDHKNLAGKSGYDYGNPAK SGKMQVVGSLLELWKESGHKTLLFAQHRIMLDILEKYIKSMRGLTYRRMDGNTPIQLR QSMVDEFNNSPELHVFLLTTKVGGLGINLTGADRVIIYDPDWNPSTDLQARERAWRLG QKREVTIYRLMTAGTIEEKIYHRQIFKQFLTNKILRDPKQRQTFHLSDLHDLFTLADE KAPTETTTLFKDAEVKYLTNTSKHPPAQIQDGQQPIKDEKIDLDLKNVPGIRFTEQFA GEATEEKQAATQGSTNSESRVMEGIFARSGIRSAVEHDQIVGGKKVITADPQMIEQEA KKVAAEAAKELRRAGEVARTVPAGTPTWTGQFGVVGKPQEVSAASAFGASARYRAGPL SSSLLAGLQRAQGVNVNTTPDRGDSGRVSRASTPTGSITGGSRPGTSTPPRGRDFGKL IRDYLMGHGGSAYTQMLIDHFNRLCTTPQATMDFKETLKVIATLDKGSRARGKWVLKD EYKSIR EPUS_03187 MSSRRGVGLGAFTNKNQTSQSYASHGSQLKSSNAASLQTQLSVF QSVLHTFALEHAADIKSNPTFRAEFARMCNAIGVDPLAASNVKGKKPKSLWARVLGND VNDFYFEVAVRIVELCRATRTENGGLLGVTECCQMVAKGKAIGGGLQITEDDILRAVK SLEPLGSGFNVISIGSKQYIRSIPKELNTDQSKVLEVLQILGFVTISMLQINLQWEEA RATTVVEDLVADSLVWVDVQAEETEYWSPQNLLGDRG EPUS_03188 MAPSTTSVATKEQVLVPETLLKKRKAQEKSREKSIAELEKRKKA NKEKRAVIFKRAESYVKEYRDAEREKIRLNRLSKQEGSFFVEAEPKLVFVIRIKGSIN KIAPKPRKILQLLRLIQINNGVFIRLTKATSEMLTIVNPYIAYGYPNLKSVRELIYKR GYGKIDKQRIPLTDNQLIEDNLGKFGIVCMEDLIHEIYTVGPNFKQASNFLWPFKLSN PTGGFRARKFRHFVEGGDLGNREDNINALIKQMN EPUS_03189 MSKREKFAELRALRASGKKRLDIYQIEDPGAIYDEVDEEGYKKV VRGRLNEDDFVVDDKGEGYADDGREEWQAERQYYSSEDEGDAPLKGKAAKRKREEDQE KNEKTNHKIMNYFSNGPTIAAPKPKVQATAEDEAFMAGLLGEVDTNAIPARSHARKAI KSETRRKIRVLSPPLTQERQVRHKSDLLVNTPPAQSNFEDDDGIFNSMKDEDNVPMSD PLPSSPIAKAVARKSHAQVKVEEDDDDLMEFAQAFGDEDATTSNINMKASRPPPKIQI AGLPSPDNSSPTLPPVTDVDASAWKNITAKLNIVSCPASDSRTFGKMRPQDAVEDDGS LQMFWMDYTEVNGSLCLFGKVKDKTTGKYVSAFIKVDNILRNLYFLPRKYKHRNGHET TEEVEMNDVYQEVDALMSKLKVGMHKIKPCTRKYAFELPDVPKEADYLKLLYPYDKQA LALDSQGETFSQVFGTNTALFEQFVLFRNIMGPCWLRIEDADFTAVNNASWCKLECAV TKPLLITPIPDSKDLEAPRLTLMSLALRTQLNVKENKQEILMVSARVYEDVSLTDPTP PERLPSTTFTVMRPSSSLYPTGFEAECKKQRGTLMLEKSEQFLLSRFLALFERTDPDV LMGFQLQEVDYSILLNRLREKKTPGWHRIGRMKRGDWPKNFNKGGSSFFSERHLLAGR LMCDLANDMGKSLMTKCQQWSLTEMCRLYLGSDNIRHDLDNEAVLKTWATTRDGLLNY VAHCDADTFLVAALVLKLQMLPLTKVLTNLAGNSWARTLSGTRAERNEYILLHEFHRN KYICPDKIYGKGKMKVEEEPADGEEGADTKKKDKYKGGLVFEPEKGLYDKYILVMDFN SLYPSIIQEFNICFTTVDRSGNSENENEEKIPEVPVSQAPGVLPKLIATLVNRRREVK KLMKDKHASAEQLALWDTKQMALKLTANSMYGCLGYTQSRFYARPLAMLTTFKGREIL QSTKKLAEDSQLQVIYGDTDSVMINTNATNIAEALKMGHEFKRSVNAQYGLLEIDIDN IFRRLLLHAKKKYAAINMVEVDGKFVDKLEVKGLDMRRREYCALSKEISERLLNEILS GDDPELVLEKIHEYLREISGKMRQNDVPARKYIIYTKLGKNPKEYPNAESMPQVQVAL REITRGKTIRVNDVMSYIVTMGDEHTKGLPAPKRSYTPQDVLKADSGLQPDIEYYLLK QIFPPIERLCAPIPGTDSVRLAECLGLDTRKYQINTSGSNNQQNAEIFPLESQIPESV RFQDAARFTLRCRSCQESAIFEGLCASTSMCSPTGLICTNPSCQKPFSTISLIAQLES QIRAQTTQYYAGWLVCDDPSCGNRTRQISVYGHRCLGQRGRAEGCLGRMGYEYSEKRI YNQLLYFAGLWDVDKAKEKVRLGGKEFEDVREKVSVLAESNRALFGVVREVVEGYLRK CGRQWVDMGGLFGFAAR EPUS_03190 MALEVKKLGVIGAGQMGLGIALVAAQKAGVPVTLVDNSQTSLDK GMKFADKLLEKDVSKSRITQEMAKETKSRLNPTTKMEDLSDVDFVIEAVPEIPDLKTS IFAQLAQICPPHTILATNTSSISITKLAAATTKDPTDTSVSSRVISTHFMNPVPVQKG VEIISGLQTSQSTVDAALELCKRMGKVPSISADSPGFLANRILMPYINEAIICLETGV GQKEDIDNIMKNGTNVPMGPLQLADFIGLDTCLAIMETLHQGLGDSKYRPAVLLRQMV DAGWLGKKSGKGFYDY EPUS_03191 MSDQEIGLEAHHLFDKAQTVDPEVRAHVYSLVTALGGTEADEAG SYVLGDDALACLRDLKRWLKLYDEKNNRLDVARCLAEANLVNGDLLPILAAWTEDSNN NRAKARLALACLELLVPLTWPLEHAGGMTVNHHRHTPYIQQAHVRYKAGTLCYGRASI LRTIVRIGLPSIATSKDDRTSRDEGIIKLMLYFFRNISIITPLPNLPSQGLDDEISRS ATIEAFRQQDVFALLLTMCSNMGEDFNLQDVIVLEIIFNLIKGVNVEKLFMNEEQRKI QKTTELKDVLGKERAMHRDYAKIAPTRHGRFGTMIWVKREDEKVSAISGQDNLKDGRN SLLKMDKSKKWSKPQQRRKDLDHSIYDFDHSVPLTADASELLRNFVEEFLDSGFNPLF THLRKAIEREADRLLDINYRQFFYTVSWFLQAEKCRRARQEKDRKNQKVQTDFEAESY GLVAAVLNQETFITLNRYMQTSFDNKEWQDLNASMRCFTQILLTVQEMAQSSLEEDQE IADNIQNRIFYEETTHDRIVNILKGYKDQGFGYLDACTELSHVFLRMLERYSKENADL QIRSRRRARRKKKEQQTTQNGENEEADDDDDDVRSENEDIAEAAQVSRERKFDFTRFA AKFTSQSSVNTLVAFTAFYRDLSIDQLKRAHRFFYRVAFKQELAVLLFRVDIIALFYQ LIKGPEGLDRSNAMYKEWDELVRQVLKKMFKKLEQRPELIVEMLFSKIRATLYYLEYG HERQTVSSTRTPAELEVKAAPDRDVNEQIGIVVAALLKDDKESFVRWVLETIAKAADE RKAWDAEATARRELSGIATEPHEETTAAEKGDDKPGPKAPSIVIRPPTDEIRTATFKD AKLKLLMTLSGFERSGGEEKADATWIMPSAVSSTSLEELHITIEKYLGTMWTDPSGQE PENFLRRVKTTTAAAYAEDGEQEPRRDAFIDDSEGSDDLQDFMFPDNVRSKSDALTQL KKKHKKPKLRTKNNVEPLAEDELDERRKAREQAALDRRRKIKSELYIRDSDEEMDEEE NRAFFAREEENRRKQAQRVMAALSLGRTEEGAATKKEKKRKSESIEEGNEKRRRRNDS EDERMSGIEDEIEMMEAESASSPRHRAATSDDELDIEDTPLSSQSQASNAGSGKDVAL REIAPPRLNSSAMAKGQEADDRDDSDDELPVLASQRRRVRAGFILDDSDED EPUS_03192 MGSGTSADGKRPSLMIMAIPEQSTQNRGLSGAKYLYPKADVAQL ERVLSAVRVLLEGVESIRLYFLRVEAAIEKLQAEGSRLPFPEQVPPELDKSLTMVTVQ EKYVRYMKGLLELNQAVIHAWYDGRLDHAQAYAKWQYVDPLATSAHFHAFATEILAMQ TLAGELQSITTELEEEARASSQLLDEWVMIGHP EPUS_03193 MEQQRDMESCRSYAAVEASLPRLSSILYFRSPRRSIFPFSSSSS SSSTSSFSMRDSMAAPTPRKMAALGGITPTQSYNRRCSSIPRPTSKLTAAQHISSSAA ATGPTGNKAATDGTPPSIVKPRTYSRTRRNSFVPPHNDPQPAFRPSRARPPRSSLPET TSQPIDGSHGLSGIHQQMHVTCCSRHSLASLDAMVLSATGKAENKSTSSLPGHKRRTK HKALSSVTKVTSPVIPQRQLMGPLGPPLPRSQTAGNMTCFTGSAANTPSPSKPSTRTI STVSQTAELSVVDALAESRMTDKEIEYFNQVAKEVEANRQRMKGSTRAKRLLANDIGT GSASSRTLTSLTRSNGSFDLATEEYGDMTIADSSKKLPFQGARLRIVPNSSSAVSPPI LTPDSGVSMGSGSHEGKEINVKVVHNYEPVQYWHGRFSALCDRLRSEDYFIGSSVSAS IADSPCTAVPRIPKIDNSDSFEADDLRRSLRALKELRSYCRTPEAVGSFEEFAQQIDE SYEKVFAAKQPAPFHIHSSDSKARLFSTGLCPKVPGLDKNIAAAAMRMINTNPKPNPS MTRSKTTGDMGQIETEVITGTNRKHAKVGRRRPSYLKAQEEVLVGEMGALSAGRRARA AAAAQQNARRRTGISSDVVRNAEDAPQGKMPAPVAKQTSRHSMPRKSSASSGGPGTGG EMLKRVFSESVRSVRRMGRSLTGLSGSGDA EPUS_03194 MADSDVQSWEYLERLQGTEFYRLYKNPTSALAIFRKRLSSLGRQ VRSIIRPWVDTSVAKSLVMALLYLNAPLPVKDLDVWVKPGSRSEKEHALDLLQRYHIT AVSSSKAYKLTENFSRSLRQALTGGGDTKSFGEIAIPPSNPSITVEDLDGFARNQWEG ILGYMVGNSAIPLESTSTVVDPSPGVIELLKAGHLIELTGSSRLSYSPKITKDGFAFV LQDINTQVWSLLFLYVDHAEDFAMTKVAVLSFLFLISSLELGQAYTKSHLDADQLRIL SDLSDFGIIYQSSSDSPDFYPTRLATTLTSDSNTSSTDNTNLSSALTTSSTSQSHGFI IIETNYRIYAYTSSPLQTSLLGLFTNLRSRHPNLITAKMTKSSVQRAVQMGITADQII SYLTSHAHPQMRRHAIQAASAASDPAKAAQAASVIPATVLDQIHLWQIEKDRMTTTPG YLMKQFGTQAEYERYWQFADQIGVLVWRSDRKRMFFVNQIDSLRRFMKSSRESGAEG EPUS_03195 MSALKFVRSVWEAFRANSGLEPRLLDGIRVTAASPGKVHFELPI QKQHTNRLGVLHGGTIASMVDLGGSLALASRGLFSTGVSTDLNVTYLSSGGKIGDLIK GEAVCDKFGKTLAYTSIKFLNKDKEIVARGSHTKFVALAQKDPQNIVEVLEPGKPETP GSS EPUS_03196 MPKATKPAVRDSQFLPSSTFILDNGAFSMKAGFAPSLPLADADT LKRCHAVQNSLARTRDKRTYVAAQQDNISQWSEAIFRRPVERGQLVNWEAEKEIWDCS FFDEKTAHTDLFVKEPDSTTLILTEAPNTMQALQRNADEIIMEEWGFGGYARIVGQSL NAYNDLHPLFGETDRSPSLETHPRAQECLLVVDSGYSHTIITPLYNGVPIQRAIRRVD LGGKHLTNLLKEVVSLRYFDLHQDTKIVNDIKEDVCFVSSNYKMDMEQTWKGNTARWR KSEKTAPPTLEDKADNDGSMDIDKPSSLSPPCPVPDPPGLIDYVLPDGFRIPRGFSRS HDPLTHRKQKRQANEPSSASGVGDEISMTLGSERFSIPEILFTPSDIGSRQPGIAEAV MQSLSVLPPALQATLLSNILVVGGNARMKGFVRRVQDELRMLAPVEYEVRVRAMEDPV TSTWLGGARMAGNREVVRKIGVSKEEYAEFGSGWVGRRFAAVGR EPUS_03197 MAPSAISPLEKEDHVRDAEFNRILHGTSAEKRGGMIAMLKKDPL SQKAAVEEYFKHWDNKSADVETEAVRKARRDEYATLTRHYYNLATDLYEYGWGASFHF CRFAYGESFSRAIARHEHYLALKMNLQENQRVLDVGCGVGGPAREIIKFTGANVMGLN NNDYQIERSLKYAKKEGLSHKFDATKGDFMQMSFPKNSFDAVYAIEATVHAPDLRGVY EQIFRVLKPGGTFGVYEWLMTDKYDNDNPEHRACRLGIEQGDGISNMVTVSQGLADFK AAGFELIHDEDLAERDDAIPWYYPLAGEFKHMGSIADVFTIARMTWWGRGLVHKFVGL GETVGVIPQGTQKTADSLALAADSLVKGGKEKLFTPMYLMVGRKPLN EPUS_03198 MPPQIKQDLNRSGWETTDFPSSCESCLPENPYVQMLKEDHGAEC KICTRPFTVFRWKADRTARTKRTNICLTCARLKNCCQCCMLDLSFGLPITVRDAALKM VAPGPTSGVNREYYAQEHEKELEEGRGAAQEYEKTDEKARDLLRRLANSEPYYKKQRR LEATGEGEQQRQEQKQIGYGPGLIRTSDSRRGDAIRGGRPGARGGRAFPGTAQLPPQP KDILPPSDPNITSLFVTGVEDDLPEHAIRTFFTSFGTIRSLVCSHRAHCAFVNYATRE AAEKAAEACQGRAIIQGCPLRVQWGKPKPLDNMDREERMELARQGRQTVAAVKAAERS GPGQQRGNGDGMMPGGGGEAEHDGSYAVAPPPGTGEVQYASLAGD EPUS_03199 MAQQTPESSLYRDFAETFYHSSLASGVRFIYQIGAGGPCFTFYD PASLGDHVAVLQFRADGLGFRTGSLTELGGPPRHLVFGEPLPADLAQAVSATLEAPGF TTLPSSLSLAPDNPVFTPTTSSNSSEMGSQADDGIPRVRFPPKPEGWKGDPGNPNFRA LGTLSPPVMRKIEPAGPHFLAFARRKRHGRTFSEDERIQAQNKVKKIEDDESTEISEP EDPLMLSRDAKDWKGQDHYAVLGLSKYRWKATPEQIKNAHRKKVLRHHPDKKAAKGQS DDDSFFKCIQRANEILEDPVKRRQFDSVDEAADVDPPSKKEVQKRGFYKMWNPVFESE ARFSNKQPVPMLGDENSTKEEVDEFYNFWYNFDSWRTFEYLDEDVPDDNEGRDHKRHI EKKNANARRKKKTEDTARLRQVVDQALEQDERIKRFRQESKANKNKKKNEREAAAKRE AEEKQKAKEEADRQQKESEEAAKAERAESKKAKEAAKNAVKKNKRVLKGSVKDVNYFA SGGEASAAQVDGVLTDVELIIGKVDPDELAALASKLNLAGKDAEKVKGAYEDEVKRLV SDGKIKGEELKVIKY EPUS_03200 MAVSSSSLDPYALPNDEPELERLDFQHRVWRLSLDGALYLSPLP PSTNSVLDLGTGTGIWAIEFADENPSATVTGVDLSPVQPRWIPPNCQFQIDDIEEEWT YPLPIPDPTSGEVSGARGLDFVHGRMLSLGVKDWPCLFRQSFTHLRPGGYFEAQEFDL TAHCEPDSPKQGVAFRKWSENVIGAAKKAGINAQASRHFDQQLREAGFVDVTSKHFRW PVGPWPEGENSKKEKTLGVWAQRNIVAGLEAGALGMLSRYEGWSKEQVLELVREAREE MLDMEFHQYLNM EPUS_03201 MAVRDRYGIKPLFWTVAEGRLLVAAEMKAFKSIAGVKFEWDVRS IADGSTNFGYATTFKNIEKANSFNLESFDLRLTCSKVRPGHYLVCKSFGPISQHEYWN SDYPDKTVEDNRSEDEMIEDVRKHLMEAIRLRLRADVKVGISLSGGLDSSIVAGMTNQ LIKEGEKVGGDAVTDRLSCFGVAFDEDSGFDESAIADRTAQHLGVRFYKKNMNEQSLA DQLEDATWHCEQPYADLNFIGIYALSELVREQGFRVLLNGQGSDEIFGGYSFFLPDFL REPDSTFIGPRIPEPERREALDAAEKAAPLYTLTQPDKLLSSPIARQQLNNTTAASMM ATSSPSLPFSPWIPETYGNCDQQLTFCVTINGSIISKIQHKWHPLHTAEYLFTTATLP NILLSHLGDRGEMAHSIEGRTPFLDHKLTEYVNSLPPSMKIRPDPHHPGTFLEKWVLK EAAKPLITEEIYTRKKHPYSAPVLYPVGGPIHGLMKRLITEESVEGLGFLVSEGIAER VERCFREQDRVGMRELFMVAQFVVLGRAFGVPKAVPRSG EPUS_03202 MSSNRLNQEQPGLVPRFSEYDTGPEAVQPDNRNFFSRMANNTEH RYASVFVANNSDNVTETDQKKRTQRKRHCGIPFPTLLIVLVAFIFGGAFGGGLGGGIA RKESCKNKPISTPTVILTPTNTLPLDLTSGPTQTACPSTQKFTPFNPATNDVYILNST PSVFALHCLSDLYNSDRAGSQPHMFQELYNISTIENCVTACAQYNYQLPGFAGFDGST GEPSPYGRPPDYGSFQGAPPGVALPPGMAAPGTSAPPGMQQANSQQPGALGGFPPNFQ MPANMPNINFSAPVIRLGTSGPSKPSDILGGRDDRDRGGRRAGLGSGPNQDSRPMGRE PMVQLVPPSKEEIIRTVFVGGITEGAGGDEGIERILRAAGNLHRWTRATDADNKACKF GFAEYEDPESLSTAVEVLRDVEVPMRRHLPKEVKSEDEEDIEKSKLLVVVDDNSLNYL QQWEDSRGGPDTAQTQMRLDHARNALQAVLSDLQHPSVPTQKDEMSGIDRDGDFNMEN VLPDGSNAEIVTIPITVDDELSDIPAEMRETVAKEITAFRDRSVRRDLERLKREEELE ALERTRSLNGPRPSRLASPPVAAPSGPAGGANGIPVGPRERPLNAPSGPKAFGQQIPR DYQKGVAFVNGTGINGAATGWINHEDEDSEASDEELERRRKAKKDAELEKQYLDQERR WLNRERSRTAAVEREKNRDREEDGKTDKEKEAMATRLKEWNDDMEATRKVEEYYLDRG TWIRNRAAFRSREAALDDADREAENRDLARDYQQRERAGAMADDFLNRQADEMESKMP AREPQRFKLSLGAAAQKAQTATQPRRTAFEVEGLLEDEEEDDSRDKRTLIPIQFDSAA ETAGLSEEERAQAARQLAQDIPTDKDGLWDWEVKWDFVDDAVITEQLRPFVEKKIMEY LGVQEQMLVDVVESHLRGRGGPQQLVEQLEGALDEEAEVLVKKLWRMVIFFSESEKRG LST EPUS_03203 MRLERDVKEASRSTSPKSTSNCRRKEKRKMTCFSEDVDNGTETD EDIGSVARSAAYSASCFGEEEACTFLSAAKQEEVDGEEKREWERGSCDELGEDAGTVA VAG EPUS_03204 MNRYTDESTELDSLINSVRNAIPREADENAYYLVIAAVIVASNN PAEIGSFYRHLADHVFDGDAEKAAKASSEIRDVLMKSWTLIGIPPVITAIPALIKEDD KSFIGESVLSEKWYVLSYSPRLSAHSEVLRVGCVIETTIRVNPASIEHGGPISERGMA MMLRLYGTTLLPKIFDTWGSFRSDVVFLEASIIYGLHLADHSVLTEIQSECIIVALML CTGFGAPSLWHLRGLCRLLGARGNTVAENKEVVDRVQRFEDAIKACVSYYKMEDKAKL AKWPAVADVEKELGGFGNDEF EPUS_03205 MAAVARAFQLACRARLKTLVQITPTAQSSTKTAHRGYATQSSPG ASTSSSTNTPRRAVTVTTDDGRYKWSDLSTREKAARSTQQSFNLALVVAGAVGTLTVI YFLYQELFAADSKTRQFNHAVDRVKADSRCTELLGPAKEIRAYGEPTSNKWARARPLA HSVEVDKLGVTHFKMHFHVEGPKDAGVVSLHMTKGKGDEDLQYKYLSLNVKGHPTVYL ENADEKSPKKAVAKMFGVQWRES EPUS_03206 MAQLQGEAAGYYNNLPQSGYQPQQAQGMKSGYAPPTYGQDFHMS QDNKQSFEQTFKVQKPKLNDLWAGILLILIFLGFVAVSGLTLHSYSTHHSFSGGGIYS GANDFSLNTNTLILFVFVLILAFFVSLAYFMAARAFTKQFIWITGFLNCVLALGTAIY YLYRKQWGAGIVFLIFSVFAILFFISWIPRIPFSVVMLQTCMDVAKRHRGVFMTSLLG GVVSIAFAAWFSVTLVAIYVAYEPGNAGSTANPACSRSGSCSTAKVIGLVVFVTFAGY WVTEWIKNTIHTTVAGVYGSWYFCAGKPGGMPSGSTRGAFKRATTYSFGSIAFGSLIV AIINMLRQACSIAQHQSAQDGNIIGSIMFCCLSCIIGLLDWAVTLFNRYAFSHIALYG KPYIPAAKDTWKMMKDRGIDLLINDCLTGPVLTMGSLFVAYLCTLLSYLYLEFTNPAY NDGRTFTPVIMAFAFLIGLQVCQIFLTPIASGIDTLFVAMSWDPEVLVKEHPDLYANL VRVYPHIQNAIHA EPUS_03207 MVKKAYITYNEVHKLCQKRSKEILDTFKPNLMIAIGGGGYVPAR ILRSFLKRDGAPNIPIQAIGLSLYESLSSDSADAAEEPGTKVTRTQWLDLSSLQMNSL IGKNILIVDEVDDTRTTLEYAVRELEKDVETARKQTGRTEKTNFYIFVLHNKVKPKKG RLPEDMENGKTYISAMETEDVWICYPWEAQNIDQHDKDAQTHGIRAITTAGISDGESQ RTATT EPUS_03208 MASEISVSLLSILDQLLPVLEEIEGTSQRFKESLAILQGIQSTQ FVDDLARTRLNDESCAIVFHRLATCLDEARILKSDYLSEAFNILADASRKPEWRYDLG KRKSRSKHAGWPPNPKHESYGYSHEQWLDISDTLAIMHFVSYQTAVLTTVVLECGGLE LLLRCANLKLWPDTVTTALWNICAESEPEAGAAAESANEDDSDMSTIACIQLSLRSIR ECDDLNGMSLLAGEIEDGRRHASTIKDLLGLADAVTDGSWKEMVAELLEKATSGQYHI PPTGSVKNIIPRGTRLIKPDLRPQDFLSELLTGSGRRLAMSHPECNCDVTRAIAILLS QEEMQQELIREDLVSTFLEVPSWESTASEHNAAGHKVPRAMTMVRQSLFKTIYDVSAL AEFAARYAKVSECPRLVSSCIDALGNVSNMRQTKVSVGVIPATSACVVLANLTKSTDF ALFLVQHRKVHLAIGLILRQREDSTTVFPALALLDRLAIPPENKVAIVDGGIISELLR FVNDFDVQPMVQREAVSVMRKAIIGFPKHVSAIGVGIAANGGSRTNSQLLERNPEQSG LLAALNLFRRTGDGDIKTEVGRLVVEVCRTLRHSTRGQPALDEGAVRQALGSADDIAS PISFLTIHGPSQQVRGEGWFGLAALSTWEYGRPFVVDCLADEAVQKGMEKALEGSERA SCQNISLMLTELRLFPSHLVPKPTRLFLESAASSVGLPAMWPVMAPAA EPUS_03209 MPVSKRVVACLWKYPPSLPQMPVCALRRGHQFNRQGRAYHSSRS HLNDAQRPDQAQPLSGYYADLLSTPMSTQPPLPTSTSNSNSSKSSQLQAKEERARVVF GSRLAGSGYERRSSDTPDATWRTINGVPVPPRPAEPDNCCMSGCVHCVWDDYRDEVEG WALRLKEAHAKAAGGFGSGGQGVSAKVGMHRNEVASASTSMDDDGGGSETHWDGIGAG ELFSSIPVGIREFMRTEKRLRDRHKREKRKA EPUS_03210 MPPSPAKRRKTSPTTSVAAVSLEESESRSQPRTSTSGRASYQSP TKSSLSRSHPTILARSPGRRSSQGRAKGLRDDILLGKTVIESPGNPHPISTPNPAQKH DEGVRGDTVGSPAGPRTTPPTLQSPPTEVGCPFAHSAQAPDSQRSPLRPRQPTVRSQG ASPTKPRVVPASLPSSKLPVANPQSHGFPARQIDATEPELPPTPTQLGLSPKPDRPRG LAASSSPSSRGSRRDRLRSGQLETSSPLKPKGKMLPARTEEMLGDTPDAMLAGETPES DVERRENGPPPEHETQRPRDDNEVPADTVEKRKVRNDLQTQLTRLQEELAQLESALDG GEDAEDDLDPEVLALLSTPNISCDPGFPDAYARPSLPSIRDPVNLDVNPLAFLRAFAP GDLRLVTTTKSSSMRGELHQVHTINISAPPPWPPHVFNATFRVSCDIEAKSIVSIRGA DIRPRHGAGIKELRHWMEARLKNPLHKFDAGTLIWGLGMWWKTAVTRAQFFHHIDTMK IATKTPEPQAPYMPDEAVKATDVQALIPYIGKSFVELIPAEPYPIRASTNEARKYIQP RLLLKWDLVLDWAGEVHTEIDVAGTKVSAKGQEGLKKIFPELMRRSGLDGAVKQVVQI CMGGSGKKRKIY EPUS_03211 MAAISPDSKHLSAMPDIVVPSTPPPQPIHTSNSAEMTPPPSTQP LRTNPATTQSKSAQRTLFPQSPPTTIKTGTDQPLPTPDDITKAEHNELRKMAQELSHA VAEARMLAAHYKLQYNFLTIESQESAQRADIEHQMTRREVEVLQSAQHNRSVHSAALH NIMQPLAQSQIDALSRTCKDLEEERDELDHRLRNAKAVIEQLMDRAELVDEENLMLKK RIRENREHFNEMRRSPAFHNLALTPRNDFATPQRKPVPQFAESARPHDSSRNQDPFAA LLAADQMLNSEAASLPATPTKDHSTGFRQGHNRGAFSLSSLQTTPVQSRAVTAGEMDN RNKGCAIQRLPNSAPSTQLAGLQESRDHRDRDSTISVSDEEALTDEDLPQSQASSLAA SMLRRNPGSQDSPRIPEKAEKSSKALQTKIFGQVKKAGIERKRHASFGQADGMKKTKL AEGVGLGIGTWGTKSILDHLLQSLKSSDSHLFTMAIKHNQQIPNNHFHKDWQRRVRVH FDQVQSKVLASQQGPPNALFHSLAANNDEDKRVLPKPQLSLLVLSTNFDQLFDEAGIP RKLARTIGISVDPRRQNLSTESLSTNVERLKAYKARLILFPRKSGQHKKLDSSKEDLE GAKDAVRHVGAVLPIDSGVGVKHGVSEVKRSDMPQGTEDGAYRTLRLKRSEARLVGVR EKRAKQKAEEAEAKKGK EPUS_03212 MEQRPKPATSIPRLSRLPVLSGSVKKSVGTTTRKGVIPLVAKDV PNAKKPAQQSQQHTGNTARTELTSGSGGNQIAVSEQEAGDRLGFPKCLVTSSGDLHDA PKDISSHDALLYETDERALATKTDPTPAQPETPRAKRKPRPSLSDRTVETLSQISPSP SSTRRKSSFFNVESTSPMRPPLRPASAMGASRSRPSSPNKPPPVPSIPPSFVAKPNIQ PLTSRQSLTQPARPSPAPPADKVANQPKKPLDASLKSNRERMFSKPAPTTRQVHGAQQ RQSSSKPLSNKPVTVRQPRHTKPMEASKAIEKKPTTSTPTTTSLSSYSSRRSSMQSLQ SSSTEVETNANLPRKNFSKSSAALRGAIIKAKQEAANRKASADRASKYPGSFYDIPSE VESGDVALEPKVNGEILRQRIRAALLSGHLLLPAMELTSVPSEIEHMYDASEQFHVAW SECVDLTKFVAADNKFESLNESLFPDATNDELECGEGVDQNGQFRGLEVLDLHHNQLR VLPLGLRRLQKLRSLNLSGNKLGELALDIICQIGNSLTDLRMSENELSGTLPEKLKNL SNLQALDLHGNGISEFPGGLQELTHLKTLNLAKNKLSGVPCEILANSTLVELNLSGNR LSGSFFPPQILSAPRSLKLLDVSNNALDAVGTAEVELLNVQTLNLNGNRIKVLPDISS WKELLTFTVAENLLCEVPSGLVMLRKLRNADLSNNNITKLDDGIALMEGLTSINLAGN PLCERKYLTLSTDGLKADLRKRFLVSEGPVETDVGPSISKPSSGGILDLSSKSMSNSQ LPTQHLDSSVFELRLHHNNLNTIPASFLTHNSMSDILKSLDLSHNPLQAPHLTSTLCL PQLKDLSLASCRLKSLDDLTVHLSAPSLTTLNLSINQLSGSLPRLRTYFPSLTTLFVA DNRYSVLEVSAVQGLATLDIRNNDIGHLEPKLGLLGGKAGLKFLEVSGNRFRVPRWDV LNKGTEAVLRYLKGRVPLGELGDDIAVGGEDD EPUS_03213 MFQRLKGAIDSRIAEEQARQRAAVTSASPSRSGSTTGRRSVSRN LSPSKRPKPSERAELSTGKGPDPSEFDSDSVLGDDSARNGVPKGEVSGKDVEEKKAEE PFVNTPEIKMAPKNDEAAMPTEHGGEMSTEIRVKLRKLERLESKYQELLKAYRIAHAR VQTIEPFEASLRENTPLTSINDPGALLEYLNQITLKSDMALDELKRVTAERDEYRKKL GESEREAQALKAEVSSLKQQEEKSEPATEPSVSGRQSYDLSNAREPTGDVASEPSATL KSPTPSTPSRLPSFSLFSPKNKAVKSPQEQKDPGEEFFSYDSELPRLQAELQERQVEI TDLKKQISTLQGDLSVTRESTEGMVQSLEAATQELHSLRDINEKHQMARHDLQAKIDR LEQDISSRVPPSAAIEQQGSSVETQLADMKVILEEKDTTLSSMKKAEEERKIQMTALE GDVLELRERAAQKDAAVKDLEESLAIAHTAERQPGQQSWSESASKKQIGILNNIMESL RSQLKTAEAEITELKEVKRKAEIEIKELHELNAHNEMQEEAHDKTSAGMTDEFEMKIA ELPSMRYFGFVNSDDFSLSGDAPTAFRQFSEKVKITRPELYEALVSPEKSKEKSVAPT ELAVATNDNTKKSKKKKKRNKGRQDSSAEPNILEPPAKVTETLDEAEDEAQKLSPPQL DAAQHLEKQVNDLEKQVEEKVATIDSLCSKLKDQAALNEEIETLRDDLLLQGEGHVEA REALKAARKEKSVLEKRIADLETELTDLRAHSATSSAESENAHRDLLSELTELKVKSG AFQRDLAAAEQLAAARFKDITELRELLSKAQAELRSFRAEVEELKPAREDLNNKIGEL RRLESRHEDLKADMKSLSRKIGEKDSAIKDLRQSLTDANTTKMKVEEDLRTARSDLQN AEALRVAAMSSQKQTLEELSNTRADHNASLSSIRELEDAISKHVKEVQDLKDEVRLKT SLHESTQAIMAGLREQALELSTQAREASSRADSLEEELAEAQRMLSERSREGETMRRL LADAEGRTATKIREMKQRMETAIEERDRVEDEASTSNRRMAREIEDLRNKTREATRSL KVVEEEKEELERSQKNLKHRRDELEAIQNKSLAEVGEAQAAIQQLREALDQREREGME FERQKTDMKRSLEDVQERVERLQKANKALTDELKGSQQQRKGSIRPPTGFESEVKSSR SSLDSTGPNARNNSVIGSPVPNIRDRLPASRSSTPTGANASPVDYVYLKNVLLQFLEQ KDKGHQKQLIPVLGMLLNFDRKDEQKWMAAITAR EPUS_03214 MSASLIGIAPRCLANPLTALAAPPRESLPEEKPSMALSTPQPSD DSHQQLLDQIDITAQRERIRNRNWKPSQRRNKNVKQIISESQRKEASVLASQNGSGAS TPFPATGTNTGGAMTPVNTNGSTKQPNIAQAAQNLSTLVLEKNLQRNALPVGPAVTYT NIESAPSLHPAHSKHYCDITGLPSRYTDPKSRLRYHDKEVFGVVRTLPQGVAENYLAA RGAHVVLK EPUS_03215 MTIKSVRTLATTRQLPSPPADDLRPSSPRVCDAPDYPFKGWQPP QPEGYRQSAATSIETAFVIDNGSSTVKAGFSFDKVPRFMVPPIMARYRDRKNNKACTF VGHDAYADATTRGQIRSAFEPGTSIVTNWDVMEGVLDYVFIKLGVDEEGGIGRPVVMT EPMANLGYSRRMMNEVVFECYSAPSVTYGIDSLFSYRHNRGASGLVISSSHTATHLVP VLAGKPLYQSCARLNWGGSQAQEFLLKLLRLKYPTFPGKMNIEQMEEYVRRYCYISQD YDEELSHYLDWSGLEEERDIIIQYPYTEHIAPEKSTEELVRIAERKKESGRRLQEQAA KMRLDKLIKKEQEMEYYKQLHMNYVNAPTKKEQRRLLDADDFKDEAALERVIRELEKK IRKSRNKDLGAADEEEGMEQQTFPLLDVPDEELDEQGIKDKRHQRLLKSGVEARLRAK AEKERERARVAEEERLDLEKRENNFDDWIAGRRVARDILLSKIKDRERLKQDAGNRKS LASQMRMKTLANLASDGPKRKRRGGGEYDDDFGANDEDWGVYRTVATEPASDEEEEED PAAALRSLEAELLRYDPDFTEKETFDAQNDWTKSLIHAFLRGARPFDPESQKEAHQIH LNVERIRVPEVIFQPGIAGVDQAGLAEIAEGIVMGRTNPAEQQALLRDVFVTGGNTLF KGFEDRLRRELRGVVDASLMINVRRASDPVLDAWKGAAGWWSKSALSERNAATITRDE YLEKGSEYFREHSLGNSIAPAASSGS EPUS_03216 MADATLRFLLAFSKTPPVSSWASDIVSQSMLVTTAVGRSLCRKA LLVTICPAAWKERRDIGARSFQLISLDMVRIHTPAARSSLLLSETWLETTFVVTRMAT ANVTAAWKRKDVTRVPLRVEPSFLWKVLKQNAPSIKQIIDSKDFVQAKAGEDTG EPUS_03217 MCFGCDDDESDIWLSIENGTYVPAPPMIYMPGLRQIHDRIHHQA GSKKSSYLPSSHDVVRLPVTLNEPPSVPLSLIPAPQPQSTESTPSTSTQPTPEQLTPE STTPTVTDDLDQVSASTADNTLPESPEVRSGSSPRQKRPEASVQTSYENQGQEQPHSP LPVDAGAPASVNSAASSSSNDTPLSPSNSSSSLHSILKKPSNSTSEGIESETSNQLGK GKHKNEKRAPRLKVKKSVSFAEDTTVPTPELPHETQKSCLMTAAEEGAIIARKLAEKK SASHGRGKVSEDSGGGDGSADLRRNSRVSRHEHGLMSSAPGMKKILRQTMAPNGGTGA LRGECEGRKGADRQQAGRVGLARSLFPGKHDESCIDDEIPRRWP EPUS_03218 MAQEMHIGTKDRPALQQIKSFTRFEPAEPSKSSRLRANTIQVRA AEGRNGTSYPVSPGRASQMSPEDVFEKKTQISPMPDLEQSLNRAQSLPARFDELPVEL ASLTDRFIESLTARVYSEPPTIDKLSDLFQDFYSRASSHISTHIATLALRIDRDSSHS SPSLEALKGTMPSRSKQLARKQSKESIASLDSNFEHQMLTASEVAEKKKARKLLEYKK LALEEAVERRACEKVYNSIWRHKSTLDEVRDEKLRSKTAALALVGIGLKDLGIEIDLK STLTEDDIRDSLAPARDELGRMNQEKSPLGKLQCLTIAHKTIVDTLSTIHPASSSADE VLPTLIYTLITSPPEGINIISNLSFIERFRTSSKIDGEAAYCMTNLEAAIVFLENVDL ASLREDELPQGPPKSPSRPATPAQSLQALSRATSSSPAHSPAGSISERSDSPHPSNMM PPPSRPTTITSTSSTSAQSLQTPSRAPPPLHQRRLSSLLNPPAKAIGAANDVVRNTAE EGFKNIGNTLDNSFKFLFGRLREHNADESQPSMPQTLEEARKLVSQPLVLDDTISESS SIAEKDAEQPLKREDKLLGMIGGRKQSAARERSVDSLHSNGSGRRVVSAAANPSSSAP TPTTPNALESVKSLGNSLNPLSHLGSAFGGGFRGFGKATPAASSVSTEKEEAKVLGAS AAATKAELPANIDPPIQRFMDLKDAGELAIRDVSALLSDYQRLAKIVQQLKEGETLAG EPUS_03219 MGRSKPPKQHCSSKSRVTAGLLLSFLAFTNSASAISGQATPSTI LPQLPLLPETQDGTYHDFTLRHIFHKGTYQDPQLHKRLDVPPDYNIWVLNGKDGSQQQ STNHFRARSHQINIQRLSDRRLATIESHLSHARTNGEAISLAASQWTMDEMPAPNTTD KETILSLARAAADAYVETRDDLEWEDVGNGLNLSQSFGWQADGLRGHIFADKDNATIL VGLKGTSPAVFDGEGTTTKDKVNDNLFFSCCCAQGGQYFWRQVCDCYSKTYTCNQTCL VQALKKENRYYRAAIELYTNVTELYPNSNVWLTGHSLGGSVSSLLGMTFGIPTVTFEA PGEALAASRLGLPAPPGSDPTRPATRKYTGTYHFGHTADPVFMGTCNGATAACTLGGY AMESQCHTGFQCVYDTVSDKGWRVGIGYHKIHNVIDNVLRKYDTVPQCATDDECVDCF NWKYFESNGSDSTTSSSSTRTTTRTRTSTCQTPGWWGCLDKTTTETTTSSTSTNSSTS TCKTPGWFGCNDPTTTTSATSSPSAAPAPPITTTCTATTSSVSSSYSCHHPGWFGDCL DPAAPTSSSPPLSRHCSKPGWFWGCLDRPTSENTASITPPLPTHSASSMSTPSTSSST CKHEAFFGLICLDSNSEYSRLHGVPKTTATRQGAMLGSGWQDEM EPUS_03220 MAAGSWVRGRRRGVXXXXXXXXXXXXXXXXXXXXXSRGMAVALV ELLDNLGGVEKNGLLPQVFAMVEGFAVTVRGAGDPRNPSARELNAREREQRLQERDQL AINMTQSASTAAEILQFGPGQQDEIIFVRATPPPPSEESVTRATLLPTEDSLPVPQEE EEEEEENPFILPASTAPALLQTNARPKRARGPTLDYKAMHEGKQNQPKRGK EPUS_03221 MSAAVPLEPWPPKSLSTVLISASETILPSYASTKISAPAPLVFS TLLDTSTYPEWNTWCPSVTVRSQPDANSDNGSPTTASSPGPQPSPVLQKDTHFTLHVI MDASKPSKTTDTHLRVTDISIPTKQSDYVPVSLLQSPSFTQNLAKVYRVAWTVEGGFV ARGLRSERFHEVIVLGQEECEVRTWECLGGILARTVKWLYGRTLMEKFGLWVKDLKDF CERRYEGGRVTEESTVRAMVEGKK EPUS_03222 MGARSGVWPAGKEKEMIRQRMTVCVYCRALGGDNSGMVTRGRVS GGDDTEDDGDSDALKAAAMWSKKAGAKTPFTVSVSLSL EPUS_03223 MDSTQDDDMYLFDSSLGQTPAAFPSQAFDDFLAGGTPRPSGSSS AAFINPEDFVPKPSSTTNTSSAILKQEKGITHSGSASSSADSLTNANSVRPQKTSTTS TLSDVGRSSNWQSGINGLTMPSGDELFNTTGLTGLEDYEVSNQQMASDFDFDTAASTP SGFANSSLSPTRHSAGLASFRTSVSFSSLAQLRNASPRLPAQGQFYLGTSRETSPMNT MLPPSSQSPWAKNSPSSGLEETFNGITMNGDSPGNATFSPNLQFTANSLSFDPPDSSA TPSTFNKDISSPPCTANSAESMPSLTVYPTSLKSRVETQIPIKMTLAPLPTGVTKLRL PAYTVSKPKFLAKPEAERAPEILELYTSLVCTSAMQDPTRLQRAFARARGEEVAPLSK PSPASSIDSPSSRDDEEKPLEGGEVKICSGCIQRERKRASRKKQKKPDEEEMFQKDEE KRVIVFNTNEVKEWAEPAKDAPTGISGYQGNPQAPLPKGAMQVELPMRIACYCRHQSE KLGFQVIFTIKDYRDKVVAQAMTNSIMITDDHKTHNAPPPIPTSNPSLPNASPLPGAG VFSTGGMDMPGGQSMGPKMFKQSLSTTDLQGLQRNFNPNFPMNPSPNPFTVPSAISSN TSATLTPRNLSRPASPTGFSGPSKRRKQSGSGKIPSGLTMTRLETSQPYGGSSTVPNT ATASSFAPNFMSATSERFPHSSRQTGYDTNPPTPNSNDHGFVTAVNRSFSMENLPRQA MLSAPSSRQPSRPGSAGSHRNSFGADQQLSQLSGSHLFGNHSRCPPPLIHKLVPAEGS ITGGTEVTLLGNGFYQGLEVMFGDTEATTTTFWGDKCLHCITPPALQAGTVAVVFKHE HPEYPSLQQQPQPRHIVFTYTDHREVEMYRLALKTVGKQMQHPTEDPYSVAQQLLGGP SPSFWPSNPGGYGGRISHRPGRPLTHGMNLTELESQMLTFLDYLDLGGTAKPSMLDTR SHTGVTLLHLASLAGLTRFVAGLLSRGANPNASDKNGNTPLHLAAMNGHSNIIHRLRL AGADHRIRSIRGAASNIRSRRSSATSLEWDSDYVSDDFEGPESNDLGPDPSVMSEHSM DERKATTLPPAHYMSAWRDSLAAQIQQFHESASWGMPNLSLPALPNLPDYQAYLMVRR VSSLFPHRPSALENLAGLGPASPTAPPAYNELYPNEARRLEDDDMSIKKSSAVQAATE AILDCHLHAVESATSSQKSTKKVATEKQLRSLKDLVRELGRIFIGRSALFVAITSAST LNSVIFKEKASAPVTGKFTSLFPGLGYAAGYKILQRVYKYGGQPFVRDYLAKNHGDTF DSTFGKGTGKAIMNATAGSLIGIGEIILLPLDVLKIKRQTNPAAFRSRGFFRIVADEG MGLYRGAGWTAARNAPGSFALFGGSAFAKEKIFQLQDYNAASWSQNFVASICGASASL IVSAPLDVIKTRIQNRNFDNPESGFRIVGSMMRNEGITSFFKGLTPKMLMTGPKLVFS FWLAQTLIPAFATVV EPUS_03224 MRPDAEKDAVEVKYVHGYPTLAAFIASDPGHSTAIYRRFDFLSA RTLLLLQSELVELEAQLRVLDQEDLQNDDEEVTECARDWNVFEEKAKVAHSRAEKRMQ LSLLIRAKLKEYSEANSISQDELN EPUS_03225 MLAMKRPSNQAYEALHNTFWNKSKDQTPGGSFPMLEGNSANILE DKDDLVALRRPPEEDYLTKTLRKHFPIFFSARKPGVHGRVGYISEQKIQVFVGAVNIL LAAAFLFGANYNLYYVQDPHKTLGLITGYTVAFALSIGLITSARRAEIFGACAAYAAV LVVFVSGNLGNNTNGAACCPPQNGTTAAGS EPUS_03226 MASVRTLSLATALYLLAGISCVSAHGFVQGIVIGGEYYPGFNPG AAPYQNPPPPVIGWPNTASDTGFVPPQDYSNPNIICHLNSRNGEMHAEIAAGSSIDLQ WNQWDVSHHGPVIDYMARCDGECETTDKNALKFFKIDEAGLVNGSPAPGTWATDTLRA NNLTWTTTIPATLAPGNYVLRHELIALHSADKPYGVQNYPQCINLKVTGTGTANPAGI PATEFYTPTDPGLSLSIYYPDLENYTIPGPALWDGASSGNTGSSSGMPAPSTVTVIPV PESSYVAPSPTPVDSVPQFSAIAYAAESTPSGASPLPESSVTDYAESPTPTDVSPLPE SSIADHAESLTPTDVSPIPQSSGAASVPESSSAIEVVPSAATPVEPSMAATTAFEGTS TAAQELCSTTTLYTTITMTTTVQPGRSSEDVSPSSSEVAPVPTSVAETFSEAEPVPTS NPETSSEVALIPTSIAGNSSYPVPVPTSSAEESPEAAPAPTSNAGNSSYSAPMPTASA ETSPEGYGDGYPTSVAESMLSSAVASAASEIASAVESAATPTAIISSVVASAASQIAT AVDGGAATPTAALTSAVQSVASVIASAAESAATSTPTGVSEGSDTGLPGGMGFEEFIE WIKQFFTKYFGKKGSGRKHARDLVI EPUS_03227 MSDALPAILIVLVTILCPPVGVFMISGCSADLIINICLTLLGYI PGHLHAFYLEYVYFDRREKGRLGQIGSDPAPGVYSDNIQTGGTRGYGTV EPUS_03228 MAPQVLYRVIYGTPEPEPWQAERVTIRPAVLHEYRRQRVKHADF PAIVPKPSTTVRGAFITGLTDEDVACLDMFEGVMYKRQKVKVKLLKDVKLGDNIPDSK LAKAEGEEVEAETYVWRWPVEDLEDKEWDFEHFKKRKLKAWAGMVTDPNTSVDEGFAD VDAYCAAVATSTRGGLSGGRGTGGRGSGGRGTANRSMLDREAGGRGTGGQPMEGQGGS VKGTTQRGVGNQAPRGRGQGAGVSMTG EPUS_03229 MHNTLNRIQAAFGYLVSCAFFLAFLISSLSLLPINPSFSTSSSQ PPTASLSVRNIQVVRGRPHYYSPKREEYAHIRFDLDADLSELFNWNTKQVFVYVSAEY PTQNTTTGLGQDGEAGSLPIANGGNAGMNKAVIWDTIIPAPATKWSFANVKERYFPAK KPAAKSKKSMSKSGRDKANAKTTDITKPGLLTLKNQKPKYQITDPSGAISSRPNATLT LSWNVQPWVGPLLWDKGMLEDKNGPGSAQSSWNLPFLKYQWQGGHLPRSETFDFPPLK GAQKPSTSEMVKDRDGPKTPQPAEVSGVV EPUS_03230 MWHPLLFFALLATFISYVHATALTYKLAPNEKACFFADVLPAQV NGKIAFYFAVQSGGSFDIDIAVTGPNSKQILSELKQRQGDYVFTAQNAGEYSFCFTNS ASSFPTEKMVDMEIAIENESRSASLPQKSSGTSPEQHTALEEAVFRVSSQLSTVNRMQ KYFRTRENRNFSTVRSTEGRIFKFSLVEVGMMVGMAGLQVLVVRFFFQGARKGYV EPUS_03231 MAAGKFASKLHNANILILGGTSGIGFGVAEAAYESGAMVTISGT NQAKIDTKTAEIKSNNSAGDASKLRGYPCDLGDQEKMEGNLKALFDFATNNGERKLDH VVFCAGDAPAIRKLEEMDVAYVQRVSMIRFAAPVVIAKLITLHSYLNSSPSSSITLTS GINTAKLAKGWAVGAGVGGAVEGTARGLAVDLAPIRVNCVAPGAVHTELFDKVGAENL EGMLAMFKDKSMTKTVGKPEDVAEAYLYCMRDRFVTGSLIESNGGGLLA EPUS_03232 MDNLSGTDMQVDDYEKYNNDRQDVVDINTDETVGGTDAEPMADD YEAIKPRVLPELPDQEVESEAYHTWHIDKWRGLGRKEHGPVFKCGDSPWRILFFPFGN NVDHASFYLEHGFDKDPPEGWYACVQFALVLWNPSDPSIYTHHTATHRFTAKEGDWGF TRFVEFRRAFQQNWENHERPLVENDEAKVTAYVRIFKDPTGVLWHNFEGYDSKKETGM VGLKNQGATCYLNSLLQSLYFTNAFRKAVYQIPTESEAVKTNSAWTLQRLFYNLQKHK LAVPTSELTSSFGWDSRQIFEQQDVQELSRILMDKLDERMKGTAAENALAQLFVGKSK TYISCINVDCESSRIEDFWDIQLNVRGNKNLHESFMDYIQVETLEGENKYDAGEPYKL QDAKKGVIFESFPPVLHLQLKRFEYDVNRDAMMKVNDRHEFPLEFDAAQYLSDDADRS ESWIYQLHGVLVHSGDFNAGHYYAFLKPEKDGFFYKFDDDRVTRATMKETLEENFGGE YANLANGGLGQRQPYMRGYSTKRSMNAYMLVYIRKTRLDQVLVEVKEDDIPFHIERKL SEERAELERRKKEREEAHFYLTVGLISDLTFKNHHGFDLVNWDAPPSDPAAAQQHRVL RTTKMSDFSKQIAEERGLAPEQVRFWAMVNRQNKTVRPDQPLRDPNMTIEEAWNKFGT KGAPFRLWAEVCQLEDGKPTWPDINSASSGGGSILVFLKHFDVQSQTLSGVGHVYVKK HAKVADIATQIQDLMGWDLFTNITLYEEIRHSMIEAMKPRQTFQQSEIQDGDIICFQK AHSGLDPTSVIYTDARQYYDYLLNRISINFYPRIPTGAEGEVFTLPLSKKMTYEQFSA KVGDHLKVDPTHIRFGTVHSTTGKPKAWLKRTLNQNLQQLMSPSYSSYGYSNHKSDSL FYEILETSLADFETKKPMKVTWLSEGISKEEPLELLVPKNGTIADLAAALSKKLSLDP ATTQLIRVYEAHAGKIYKELADDLVIMGISEFVTLYAEKIPEDEIKATQDDRAIYCFH FDKEPTKPHGVPFKFVLKAGERFKDTRERLSRRTGIKGKLLEKIKFAIVCRGLYPKPR YLEDEDIVMDLITDNDDLLGLDHVNKTKSFWSRGDSIFIK EPUS_03233 MTAFQQYFHRRDGPHHRQDHLRGGLPAFLMSECWVDRPIRPISL RQLFFFGRTLTEERLISSANYVRSELPTRLAHRLRDMQKLPYVVVTNPHLSTVYELYY KAFETLRRVPLIKTVEENDEYCKAISGTLKEHLAVIPNLVIGVLECQDLVHPQMMEDF INTMLRARISRRVIAEQHLALTETFDSPWHFPEKKSESEFVGEVLLRCNARDIVKQSG ELAQQLSRKATNSDSAIPEIKISGHLEATFPYIQSHLEYIVGELLRNSILAVMEKNHG TCSKPSPIEVLICEAPQHVVIRISDQGGGIPRDIVPYLWSFNKGPKNAARLRNLEKVP AMAATVDELQTSSARQGASNNHALPEKRRDSSLSSLSSRPPNLRLGMGLPMSRVYAEY WAGSLQLHSLEGYGVDVFLQISKLGNQNEQINTRASIDAV EPUS_03234 MPINLNLAVPGSTAIETSGAASCEPLNMQTAPRTAHPVSPYISS GSATVSHPHNPSLPLSPTPAGNFRTVPRQRELRGGGRGNWRGWPTYGPYSWRNDIYVG RVSLPPVSDPKSFSPWKTDLDLNSRYLAAPKSPIDFFIPKIVHNPPLEAFDFGEQSET CTTWRGLSSVGAEELLTWTNIYHTVSKHRSNDSAPVNLSSVAVEMVELYEQGPPTGFN WFFSAPNIYQRLERFLSMSLGTPTLQTEELEVPVVGHRYTLMPCVRRSEQNLGDGFAG EIVYSTSESWLTFDKSSKVFTGIVPFAPPRNIIVKANVIEFLDERVHLEHVIRTKVYL RARVAMYPADYLTKIFTKPCLDHETDLEITKPRKQVSFADENDTDSLHSSPDHLRSFF NELSAMTCNPIHDKTSQQSAFSNQSRDAVCAGSGPFSLPNPFPEAQAGGMMSEESTTG FKSQDASAGAPDSPQRKRLAKTNSSVSPNLRRPCSEQGEPVCERTTSNSVRAVVSAEG RITERHVRARNPSCASYRMNNENLEKPFSFYDTDTDINFDVALGISCPGDGGLEEIPE PDYPRPLIFRNRFDSLSKCSNMDLPTQACDSNTDTESVSQPDDQQIMDDMAFGSMLST IGLPNSGDIRHLKDFSDNLYQWNWKGWEKEIGITRYSHKESFMPGPCWDDSASATATV LLNGGLGDGGTERKVPIDSYEDTIRNEGAARRDEGFMGYGLLSPTLSSTTTDKAIRYP SKGSWSMEDDACVLTNATNCVDKTATLYQTVRDQFYKDHRIRQMSSAKNSKSFFTRGN LSSVFSSSSEHPEITHTKQHHMKEKLACYQSEKARQEGLSPSFPAEKPYVSSSNLVWV DEHQPNIGSIAVAVKNSFAVELQGQDVREKAEIRKGILHHQVMDLQRSEPGRNLSSST YDDIFWSSDGASMDDSWDKGIA EPUS_03235 MDNANLWTRRSNTGKLSLSMTGDNKDGSKIDSPRNSGSNRRLGD SSSHGKSNPFNAISPLATNSPSAGASSAFGLGSGAFASFGTVKTPKTPGAAGVFDLNG RDRGGKDTNVEAVDSDRSKSKTPNTTSATSNSNNSDHPLRSTWNLFYRPPTSKYSDYE KSTIKLASISTVETFWTIYSHLKRPSQLPSVSDYHIFKEGIRPVWEDEANKRGGKWIV RLKKGVADRYWEDLLFAIVGDQFMEAGEEVCGAVLSVRSGEDVLNVWTKNDGGRNVKI RETIKRLLAFPADTNIVWKSHDDSIAQRSALDEARQQKGGSTQVQQGHDKRRQTLQED PEKGKGRGPL EPUS_03236 MFSTIQKTLSDVLSSLTSSKEPPRLLQRPTSDVQSLSNDPARSP ATARMPHSRSNTSSNTDTKPQKGAKATQFLIQNFDTREDDPIETSSPPEPRVRRIKPG RRLSGTRRQESSPNSTRYDGTNLNDGNMTDGWNYFRPKAPPSKPQLHHQYLIVDADER ALFAGNAPPDSAAVLIPGPNLRKAIRKPSSPTMPHGPILRPVNTLDNTNNNQVSPALG RRLQNPSSTQWRPSGSRKYITGPDASSSTKNGTGEPPSKRPRLDPSMKPYVKDEGAGA VSPMFSSISDEDIVMTVSRPVRTPSKKPPRPNKISEPLPIPVAEYQSVEETMQNNNSK RNALVGVSPPLPSKPLHGNIQHPDDSDPEEFTRASKKARYQQRINDDSATPLESHRPS EEPYEKQNGRNTPPVVSNLFTAGMLPSDGEGEILEGEEHPKYASAAGSAREHVNQHST NGTSHHSSTAVAGVETTRSRTAAKAVTGNKSKVRSKTANPGAGTFDLRQLKYGFLPGD RSYTAVVADNSMRLYDDASLLSEEPLWPGIQLRKIYRVSYGSNDCLKLKLHMSHCQGQ PSSQMDLEFNSRQAKEDFISLVLGVGHNPSKMQRDDEYLRKSFEHKPEVDHRALWEGI ADEKRQPISSQRQASGTTSHHFQDEETNSTKFPTRPAKLIDQLQTSTSTSRRSGADVR IPTVISGKDRANQSYPASAQTTPAVSANKYTFDQAQISNASRLRSSSTRIAAARSHPE AGMSNRDVEEAPKEKYSAVYGLGEPWKIPLTYPPTGKRRMAVEFADLLRLDEDEFLND NLIGFFLRYLEYHLEQNRPEIAQRVYFYNSYFYERLMQTSKGQKGINYGSVQKWTRNI DIFERDFVIVPVNESYHWYVVIICNLSKLNSITNNEGDHNEEDTTLSREAVVPLEEKE DEARTDVEATETHDQPTEKTTESLSHLSLSDVDKQTDELASSSKAVSAYFSPQPGSVS KKSGRGRRKGRHSLAKYNVFAPVIMTFDSLGTPRSSTCTALRQYLVEEARSKKGWEID GGHIKGMTARGIPTQPNFYDCGLYLCAYLEKFILNPAGFVRKILQREMDQRGHADNGK RRAQKQDARVSQRPSRRAGGATSPDNTNIPRSALQNDSEDELQQDQISARILNAIQAS HKEATPPRDPLAKDQASSITGSPVAYQPSKKRLPSKSSVFFADAFANVNIGAPVQPRS GVGTREAAITIDDDDDSQPVPESVSAKKKAPVAKDDFFKHGSSEKIGTHHDDFFEHPS ELKDTTSVSKKSVRRRSRDASIVVELPPRRSPSTASADTVNTDFLSGSANKSYQHGKD EPNAGHATSEKAERLPARSEVVVLVPDSQESREVEGEEGEVEWQGLREEGTREDDQEI LEGID EPUS_03237 MCSSGSQSLHLQPPAVARVGGIRIDLACYHSLVGSNVTPYFSSF SLAQQGYVPLLKKKEQKKNNACSMCNPSSSLPPTHLSPNLQHVFSIRQDHSHHRRPAS GIGLATAKLLARQGARLSLADIQQDRLSAVADELRSLNSGERAVEVLTSVVDVRDRSQ VDDWMARTISHFGGGALDGAANLADTAGVLNCVRAQLKVIRQGDGGRRGGGSIVNASS LAGLTGFANHVAYSASKHAVIAITRCAAQEEGARGVRVNAVAPGAIATPMLERMDQAF GQRLPDRCALARRGDADEAAQLICFLLADESSFVTGAVYRVDGGWNG EPUS_03238 MCEWSAEAGEQKARQNGDGGGRMKQPQPPPFADAFDDYMARSRR SPLMRDQWRAEEDARHRPDSRHRTADKFDPRPPSPPPVRRELSKDRYQGREVVGTESY RPSRDRERSRESRKHKHRRASPSPRRHTKSKHAEPKRPRPLPLALEERITHPRDQPAV SASKRRRTRSPSLARSDRYVPSSRRHSRSRDRPDRRDRRAVGTDRAFSPRRHSPARPA RPDRRPGTPAFDTYIPSHRSRDRSRTPPTRSRRSRHSRSPRQESPPHHPRRDRGRNPD RELRELSRTPIRRRSSRSESPALESRPNRKMHSTQKIQSIMDDPNRPPSPSRPMAQYQ QGNHDPMDGRPPMRGGYSSHNNMMHPNRPPRPPPVDTRHSFGGSPGFVTPNSSYHGSP QSASPFGNRGGWGGQQQFHGPHGQMPAHSPPYRQNSYPGPAGNPNPYYQNQQGQYGGP PSHGFQQPSYRGNNPSYRGGHFQHPSDRRFSGPGPSPQQQFSPPSRGRGGHFSNLQWT APGAKRAGPQSQNFNSSQQNSIHSSPALSHRGSQESFTNPDEDDNPFRPSKDLQVEDE KKKDKMAPPGRQQGNDNQQKDASKFSFAFKSKAPPSAPAKPPPDLSTRMKDSLPPRVT DGPKNPNLQPITKSRLEPRIERPDDRHATRKDDQRDGKRSEQRARDNQHRRYDGRRDG FHNDRRPPRSPSPPRKKIKKIIKPRPTVPAEMSASDSIYYRKPGNESVVGAGTYGKVF KAIHIYTRDQVALKKIRMEGERDGFPITAVREIRLLQHLRHKHVVALQEVMVEKNECF MVFEYLSHDMTGLINHPTFTLTPGHKKHLAKQMFEGLDHLHRRGVLHRDIKAANILIS NTGILKFADFGLARFYTKSHQNDYTNRVITIWYRPPELLLGETQYGPAVDIWSAACVF VEMFTRKAVFPGEGTELSQLDKVYAMLGTPTRNDWPRIVDLPWFELMQPVERRKRQFE SQYRDVFTPAALDLVTKMFRYDPTKRPNAEEVLAHPYFLEEQPSPQQAVELEQINGDW HEYESKQMRRENERKRKEEYTREREKRKAREAGLREGVVEGSGVVEGQQEKKQKLGGS RPGSRDEGGGSAKTTMAGSHATS EPUS_03239 MAAAMAVSPATVQEFVMEDTKHAPNEQNIHTPPASDMSSHGRKD DDDDAASSSELSDLDDQFEGAPQPSFTQDVPMEDAPAVVEPARYEGGIPIFQPTMEQF QDFQKYMNAVNPYGMKSGIVLIDPPKEWKAERKPLDNMVKGIKVRNPITQEFHHNEYG IYTQRNMEKMRSYNLPQWKALCEQSQYQPPARRGERRNKAGTQAGSKIVPLKRKSEPL PLPEGVKRKAGRPRVKPLKEEKPEEDSSLLAPPTPTSPETRPADQVKDEDQETPDPTP TKRRGRPPRGSGQSKSGRQPRAVTKKVVEKNAGEKATTVAARRLHNEADAAAEDVNEE DFRGFDYRIHNHDEWTSERCEELEEKYWKSLSYTNPMYGADMPGSLFDDDTKCWNVAK LPNLLDILGSKIPGVNTAYLYLGMWRATFAWHLEDVDLYSINYIHFGAPKQWYSISQA DAPKFEKAMRSIYANEAKNCDQFLRHKTFLVSPSLLKNKYGVTVNRVVHREGQFVVTF PIGYHSGYNLGYNCAESVNFALESWLPYGKNAKKCRCEDDSVFIDVDWFIRRLNGEPT PEVEEWVEVTDDEDDLDGPTDLPTPPGSERGQIKPAKRKRHSTKDGGGKKMKKIKIKI SKSAVPCCLCPNDFACDELLPTDDNRQAHRRCALYTPETYFDEVGGRELIRGMGSISK ARLELRCNDSQAGVQVDIGDIAIWHESVEYRDTGLDFRCKMHRSVKTAKPSSDLARDN YRHIEKDTKEFRVYLKGLKQNDIVQYQSSSADDIEAGVLVEPPDEDSGVVVIDLLPTH QRRHIEVNTILFIDAATSCLQKPSAKAKEIPLHLRGQVLSLVDTTNRKPSRLDHFCDG LDHSKPYLWEEFASAMPTLNHDQKPVDFSQDRRLWYYLGKASTESKAYYSNDPANPVN DPLSNFLQSVEPAKRPLIPPPQPSRRQSLPASYPGQYYGGANVQSANPVAAIPRLVPA EQQEQQNIEDYQRRMALLGAPAVLASPLQPHNDYTTAGTPSSLFSYQDQSRIQTSQLS TDPAAREALLAKQRAILERSQQRAMVLDQQDKPYLHKPKSSASPPNIGIDIQSVERQR EFQRRAYQQSLASTQLSSHSPGAQPGFNTNGQMSQWGQSSSPEHGTGPMVSQRLTNTA QYSKASPSPTEAAFPILRRPQQQPISSPTQASQYNNHHLHGQSISSQQQPAQVTLFHN QRRFSQPTYSPSQSSHPQQPSSPLHNLNMSSTGSSAPIDIPQQHANASANIRARNHGQ HTVNFIDPNLMQFSPNHPTFPASPSQPGANKDKAEQASRSMPPPPVPSQIPQQTVTFA TDNHPKYTDQDGVDVQYTESENKALPTYGAKLTSVLSDIMKQNGEQRKTTTLINDKPV PASIATLRHMSDTHKSRPNIYASPYGDGSGGGVTTKESTTKYGNGLISRIMDEDNKLD GDEWVHVKKTYLRSKEGEEGTMESEYVNLVIERPDEGKGKSKGKGRGVTESSTDRAAA SARGAAGGAGKSSLIKLLIDLNDKAQSEEYHARPVVGKETKDQPTSADVHLYSDPATF LTSGPILYADSEGMRGGERAPLATSAVHDAYKDKSAGPEYEKHVRREFAVTEMYPRIL YALSDDEWDEEGSIAQLLSSAISEIETNPTFKQYVEALRQQNHHVIDMRQLLGKYYSD VTVLRVPTKEHFELLDKQRRKLYSLITAKCAMSHRYKVHSKMLSDSDDLQIYLQSAFD HVSRSLDIPFDFVAASVRNRPIPKDLSDHIL EPUS_03240 MSSATLVEATDDGLALVNDVASSTSSYVRSHKDNPVAWQQWSTA SIDLAKRFNRLIFLSIGYSACHWCHIMEQESFSSSEVAEVLNENFIPIKVDREARPDI DELYMNYVTATTGCGGWPLNVFLTPDLEPVFGGTYWPGPSSNSLPRLAARSEDMLTFL DILEKIKEVWNTQQQRCIQSAKAISQQSRDFAAEGGHSDASDIDTGEPEPLDIDIMDD AFDHFMSRYDPIHGGFSSSSSAPKFPTPPNLTFLLRIGASVASTSTRFGFPSPIPSIL GEGACTLAASMSLHTLLAMSRSGLRDHLGYGFHRYSVTSDWNLPHFEKMLYDNAQLLC CYCDAWALSRDPEILGTIYFLVEYLTSPDSPIVHTEGGFFASEDADSASTKDAAREEK REGAFYVWTLKDIQATLHSERDANILASHYGVLANGNVPYENDLRDEFMGQNVLHTAC TPSVLAKEFGLPEQEIVKIIRDGRQKLRAHRELKRGRPDVDEKILTGWNALAIAALCR ASTTLGDVDGAKSESCKAAALKAAYLMRKTNYDHDTNTLKRYSSPTLSKKSESTPAYI DDYAYMTQACLALYEITFAEEWLEWADGLQNHLNNHFWSPTGGFNLVSTQPSSAQNSG EEAQSAMFVNIKSGSDNALPSPNGIIASNLLLLSSYLEEAKYKKRAKQTIDAFAIEII QHPFLYVSMLSAIVLEVVGVTSVVAIGDTTVHHFGGFGRTVIRIDESQRQWWLMERNQ ALKGLKPGEGRTNRVMICEAGTCREMKDGELGAYGEQT EPUS_03241 MRSSIILTSLLATLAVATPVEKRYLTTEVEIHTVWTTVTKAEAA PTAFSNVDAEDSYNDNDRGRGRGRGRGRHGSSPAVDEPTPVLSSSEVVVPTSTPQPAS SSNREAPIPSDEPAPTSEPAPTPVTSDSNKGVSASAPAPSAPAPSAPAASSPAPVPAV GSSYGQKILDQHNIHRANHTNTGPLTWSDKLAATALKIANSCVYAHDTATDKSQYGQG YGQNIGAGSPPEDVPAMITNGMYNDEAPAYEGLYGQDDPDMSNFHAWGHFSQIVWRNT KEVGCATVKCNSLQNIGGNIRPYFTVCNYSPPGNFGGEYTNVGTRLGKPTVSI EPUS_03242 MPTTATEARHFTMPKSLNESMNSTQMAPDAGPRSTEAATETKTS YPEKVQEPLHGQKPNFRPGITYAAQDKLPKLPIPELGSTLKKYCEALLPLQTSREQED TKAAAKEFLENEGKELQERLERYATGKTSYIEQFWYDSYLNYDNPVVLNLNPFFLLED DPTPARNNQVTRAASLVISALCFVRAVRKEELPPDTLKGVPLDMYQYSRMFGTARVPT QNGCVIGQDSSAKHIVVLCKGQFYWFDVLDDNNDLIMTEKDVVQNLQVIVEDAEQIPI QEAAKAAVGVLSTENRKVWSGLRDVLTRDEGSNNAECLNIVDSSLFMLCLDYTEPTNV SDLCGNMLCGTNEVVKGLQVGTCTNRWYDKLQIIVCKNGSAGINFEHTGVDGHTVLRF ASDVYTDTILRFARTINGQAPTLWASNSPDPSKRDPDSFGDVSTTPRKLEWDMIPELS IALRFAETRLADLIHQNEFQTLDFAGYGKNFMTSMGFSPDAFVQMAFQAAYYGLYGRI ENTYEPAMTKIYLHGRTEAIRSVTPEAVEFVKTFWADNSPQKKVDTLKKATQKHTAIT KECGKAQGHDRHLYALYCVWQRAVDGEGAEREESPGFSSNGYISGTESDMGGSPRRAL DGEARPVSPPARNGNNTRPGRSTSSNNNRSSSNHRASKGGPSQMPALFMDPGWEKINN TILSTSNCGNPSLRHFGFGPISGDGFGIGYIIKDDSISICASSKHRQTRRFVDSLESY LLEIRKLLRATKRESSHAKQTRAREVDERHELGGRTKSFGRLVRSDGTDKKVVGSQMP LGDGVDGELESDDGLGGYGFFDAGMLLQVRKANQEKEQKPHQVAEQKRRAVGKKLALS EY EPUS_03243 MAISARPDHFFQTDSSLDGINRKARKSYNISGNPIKLPSKLLAI IPGPAQPGAVYVTESAATVKRVQLDTREVTHTYRGPSAPLTSLCLSADGTKLYAGCWD KLIWSWDVLSCTPSLKYQGHTDFVKSVIFLKTRAGQDILVSGGAEGDVVIWNPETGQR LVVLKGGSHAIQGLAVDPLEEDLRLFTAFSDPGIRHFTISSLENIRNLSFSPSISAHD TSVYKLYFDFDGDLWTASADKTAKHLVRAHSWRADTTLAHPDFVRDVVVHERYGWVIT ACRDEEVRIWNLATGELHHTYSGHFEEVTGLCLVGDKVVSISIDGTIRQWSLQPKDLH KAKEESANPDKAAGESTQMKIDDPSALTEEEQRELDELMANEEQELLDRMAAGEQ EPUS_03244 MEMDHLIGQRFNLISKSEIRYVGTLHEINPEQSTIALENVYSFG TEGRPAKDYIPASNQKFDYIVFRATDVKDIKVAEDHKENKQPDPNPPNDPAILNASRP SQPSPQAPQRRDQPPFPPPPNFTQHPQHQQQQPPPFQNYYPQYDRGYGPPPGGFPPGP GFQGMPYGPPPPSYYGPPGQSFINHGPFPPPPQMPIAPPGQRPPGLQAPNELPVNDRA QETPAGRSITPISTVSPAPGPTPPNESKPTLAEALAPVPSAPAPVAAPNDTVASTSKA PPTGPRSSRIVPAVPMTVNSKASAPILPVTTNGPLSTLSGNTAQPPAPKATAPTQIAM EEANRQARAAVATAMAKMNTNVQPVTAQPRPVAGGNAVDALTKKVGEMKTSDGPRGGG RGAARGQRGNFRAGANQGRKMEIPKSDYDFESANAKFNKEDTMKEAIASGSPIAGPSE DGMINGSADSTANGQKRKDSLPMVATEAYNKSSSFFDNISSEAKDREEGAGQNTGRGF RGEEIKKNLETFGQGSVDGGFRGRGRGGFRGRGRSYGGHRGYVSPDCQTLEHSQMADS VDRVFAQALRTVRRLPRPGSTRPPPSARLRLYGLYKQSMEGDVEAILSRPTLPSTHLP SNTDDSNHDESAEQMKRHGEARGEIEKWDAWHACAGLSRTDAKRRYIEVLIQTMKVYA AGTAEARELVDELEFVWDQIRSQRGSEESLRNTVRSGAGAAEPATGGLVEGRLRVLGP DESGQVVEDEDASIPEPELPDGDSADDENIRDAANNSERDPPRRIDRRSQPSASTRKW QRQVETALTKISTELAALREQIDELNSTSYYRSRFSIRRRKGVKRLLSWMRWILWIAV RQLAVDGLVVGLLLLWGLGRGDDRYQRWFTRRWAQFRKAIEGLKGRWRWVLAGIVART GPARNIWSWSIIRDTRMASERSL EPUS_03245 MSSGRPSYKTRSGAVSTRPGWYIDSADSPDRDPWAWAHEHLACW EPRSSTRAESAPAGSAPNIPGRVHQRIVGLDATSAAERAQQRPLDSYASSLPERISER PLNPYATPWQPPNPYATPWQSLPDRSPTNAQDGRERIATSGFGSPIELLDHRERQLQR SFSSGTPSRNHSDIPDSSPGPASPLPKVLNAVQVRSLGPQPTRQRDQPRPAPIDGHSD SRNEAKADSGSARAPPPGFPERVSHKSFASLRSTAAASPPTRRQPQLSVGPIPSLMTV SASVTGPGMSKGVSQQSINPRPSVKAGSGLAGAGTDFSEKMLQRSIESLPLASTGSLR PREPPGFPERDPRRFFPPYTSPMEGSASARSHSHIPEPTQPWCLRPLPTATRIQPCLV PITIHPDASNIAAVRRLINQYTHRIKGSEEGKLRNQRAIQKLMAAIDNTEILCNTRLR GREELPALLQEPSQVEERRLLARQALLQREREDMIFEQRISSLEARRGRLLDVLSLRS CASEFRTRMKLTLEYLLSIPDTFDPNVPDVDWDNYDRFEG EPUS_03246 MAATEQKKEKKEKPLNEYVDPPHRSALISTLPAHGTAIRKSHCA KPTHHSILNLPSLTHPSRLLTHSIVDIYVGPESTHWPIHEKLLCYHSPFFASIFYNDK DKKSNSRSSSSKSYGLPDDDDHPFELLVGWLYSRAIREPKEEKDLGSLLDLYLLADKF EMAKLADDVVSVVRDFYHSTGTYPGLRRVQYIYSNTDDDNVMREMMVGSIARYLALGD TIPNHWAKALRRNGQLALDIIRSVQEWHLEPRVVPDPRDPSADRGRLGNGAFSAVEDE RPRSQGTDGDNTTASAQTGTDGADTAPTSADEGESEREKEDKPSQ EPUS_03247 MPPHGGEIPYEKRVAVICLRLLFGFTYATIGEKLDLKIRSVHQV YSRAMRRTEEHLRNSFVDVAKNVRDAPRSGRPATGRSKRKPRASRAKGQVPPAESAEP RLEGPGGSAEAQRPLVGPGQVNASVPVSALLGSDAGNRESPAEPAVPNAPSSPRPRPQ PQPQGPGHRLIWPA EPUS_03248 MLRTAAVRALRAASTSRIIRQASSARPQALNTFLRSSPAAPSLA LPSIRLYSAPAGLSKDEVQGRIMDLLKNFDKITATAHFGNDLGLDSLDTVEVVMAIEE EFSIEIPDKEADAIHSIDQAVSYICSQPDAH EPUS_03249 MASAVRPLSRMVARPQTSQSLMRPSPYLRATSQFHTSTSLRATP YGKLPKGFRLPRPKRFDEGESALDKASNYFLLTEMFRGMYVVMEQFFRPPYTIYYPFE KGPISPRFRGEHALRRYPSGEERCIACKLCEVICPAQAITIEAEEREDGSRRTTRYDL DMTKCIYCGFCQESCPVDAIVEGPNAEYAMETREELLYNKEKLLSNGDKWEPELAAIA RADAPYR EPUS_03250 MAEELEAENASWERTAKDLAAGAAGGIAQVLLGQPFGNASMSSS TPVSPSNSAPSDAHSLSPSSSPSPTSATKPLSYAQYYASGAFAGLTNSILSGPIEHVR IRLQTQPHSPTQRLYSGPLDCIRKISSQAGVLSGLYRGQTVTLFREAQAYGVWFLTFE YLMNRDMARNGYSRKEISSPKVALYGGIAGEALWVASYPFDVVKSKMQSDGFGIGKQR YKNMRDCFRQTWRAEGWRGFWKGIGPTLVRAMPVSAGTFAVVELAMRALG EPUS_03251 MPGKITSRVAPRQDLCIFCALRLGRASQFLRPHPINQKRPYRAS SQISQPPAAAYAIAQEDPDESPQSVQQPSPPPLKQSQGAWPVFVPRKPIAKAIEPVTR PPEVNSAPSAYTNWKCSGCGNSNLARRDVCVSCRTPKHKPPRRPQPGLSSDALLGDVQ RSLPRLDELLNRRKSSPQESRVGGQRYPPLSFGTSNPVSVRDLESRDQTQQSQLHQVN SIDRSGGSTRENVTRARNAKQPFDFGRSISKTQPHINPPEPVPKLPSKWGHSLGRSEG STREQLGQGGQKQQALDFGRSSSEADGERPVWRFKAFNGPGSVSSRQDENLPDRAQPT SRISLRQGGDQPGRDPNRRNQRPSFEEDMPRVRFAFSKAKNNEQRASGPTAGEGAPFI PAEQPTRIATTVVGGPVSSISAVHPPKAPPVQATPVRARPISIVDTGDRSGINALLGH VADTRKPQSRLSRSTDVDITFGQEARAPIPETLDMVDNVIPKFSMAVTDSLYNESEEA EIRNRKQRQKSRLQKDEEEGRRTRGRFQVDAEEPEVAPSKLEPHLERKGARRASSRPN SYDMLDHDEELLSGERDRKKKKAKGKGKAVISARTPIQLPEFISVKNLATALRLKTED FINRMEEMGFERPRHDHVLDAETSGLIASEFNFEPIYAQEVQDLVPRPMPEDVSSLPQ RPPIVTIMGHVDHGKTTILDWLRKSSVVESEHGGITQHIGAFSVTMPGGKQITFLDTP GHAAFLEMRRRGANVTDIVILVVAADDSVKPQTVEAIKHATDAKVPIIVAINKVDKAD ANVDRVKQDLARHGVTVEDYGGDVQAVPVSGKFGKGMAELEEATVTLSELLDHRAEVD GPVEGWIIESKVTLAGRVATVLVRRGTLRAGNIIVAGTTWARVRTLRNDAGALVNEAP PGTPVQIDGWRADDPIAGWQVLQTEDEDHAKEVVELRRERDEYTKLAGDTSAINAIRS EEAEARATQLAWEAEQQWASKRAKYRPNDNAGWVEGKTSSGPKQVHFVVKADVSGSVE ALVNSISAIGNNEIAANVIRSGVGAVNESDVNHLASSGEVGYLISFNQPVDASVSRLA EAAGLEILDHNIIYKVTDVVKEKLTAELPPAITQRVVGEAEIAKVFEISVKKAKVKVA GCKISNGVIRRDKKIRVLRGSQVVYNGTLDSLKNQKKDVTEMRKGSECGMGFENWGEF EEGDQVQCYEEQKTARTL EPUS_03252 MFFFLVAIFYTLLIQFALAGPIHRNYDVVRRGDTPSKRFIAFSN PISFHLLHAQETGATGVSPPTSEPTPPTSTSNGKEDGKVPAVGVGAGNTSLLALLSST LTSSTETATSTIPPSSSGVAINPTNSQVQEAAPASTQSKQDGKVPAVGVGSGNTSLLA ILSSAIDAATQTSVAVAASSTVEAAIPSSPSIAASSTVDATAESSTPDAASSTVATVV EPSTSSTIEGGVPPPLPSSSSSTIDPNIQTSTSAVAVEVQPTQTTIQNAESVALSSTS CPSMSTTSASQDNTSVVPIPATQESSSTIENAAASEANSQSGTPTGSPVPALGSPEQS IPPVPVPEPTVDPAARLPFSESAPAAPETPLAQSIESSVTPSGETAETLTISLPVTMV TTVSDTSESPSVPEISSALTSPGPLASTTVTPLVSPESLVTPSDPLAPTPTPLVAIQT PGASSEATPPVPTSASTGSAADITIPLGAFSIILQSAPVPTASGNTVIPVMGPFLTVT VTTTVTAG EPUS_03253 MSMMEFFTFVLLFLLSFSHDVFSYRITGPTSGVNAQTGERPARR DLRTLQTSGAQWDLYIQALQMFQAANQADLLSWYSVSGIHGRPYRSWDGVNGNYQRGY CTHGSTLFPTWHRPYLSLYEQIIWNNTQTIAQQYPTTNRATYQAAADSFRVPYWDWAA SAAMPSSLTSSTLTINTPTGSRSVTNPLFRYDFHPPIGAPDFPSGEGFQNAPYTTRSS RAASNLNANAASIHDRTYLLLSRQTSYPPFSNNAYVDSRGNQYDSVESIHDTIHGLIG GWMGLVPYSAFDPVFFLHHTNVDRMFAIFQAINPGSYVGSQTNRAGTFTTAPGTTENV NTPLTPFHSDAGTALYTSANVRSTRSFGYTYPEVRDWGLTAAEVSANTRAAVKQLYDP NNQFTPRGVSVDKRQGNSDPGKSITIPPSLKNGTARAYREWYTNIRVNKFALQESFYI HIFIGDVPADPAAWLESTASFVGTFVVFMDNAMDNGQELNIVGQIPLTRKLVELYNAG TIADLEPRTLRPYLRKNLQWRAQRYDLSEIRVQELRSLRVSVLNSRVEPPRNKDTDFP TYGELVPEPEITDGKTGGVPANADA EPUS_03254 MSIFSAEIVYATLAKEFLYWHRDSGSYPSSRDRKGNPCSPVTRS QLMNQGRIVQQKRQAGPRGGAGGRTHPMQRTVALIPVDLVASWVDVFVGRTWKSPRTG RGGAASPRATWPPNYRSRGFQERKADVEMAEWVVRLVRPGAEFGPTTSSG EPUS_03255 MSGTAYASSFVYTGIWTNWSKGPIYGATLTLYQREAGLFAAFLA IYVTAAGGQFWKILCYLSYQTRAARQNPYGDNFRRKTQVILRNSAGPVSALWEFALLS FRRHDQDRTQRMSVCMQCAFFIFIAFATFSSFGAASIFSSQVTKATGNNTLLYSKSCG YARYPDGPDSSFSKFLRTMKLAQDAAGYARACYGLTDNLLQCKSYPQKQINWETDTNA SCPFSARRCRNNLAVSFDTGPIDTHAIFGVNAPPKDRVTYRRRTTCAPLDLDDLSATE TSVDGAAGEVKDVENIYAGPVNFGFGPFNASAPTFSRNVRVPINGLGYQLQTAFSIAG SASGIWVPIPDLNRTDADVTIFLLAFNDILFIQPNDDPVFSAHVAKLSPLGVRAYRSD RNISAVACAEQHQYCNPAVASGQFPRCTNLTGAQLLWPHDDIPAIQLIRDTGLNTLKN DNLGFNPFQALVAGYSSAATSAGMYFAVFSRGAAALRASETIYSSLQGPLTNTQWITE LSGWFAVGLAAIQQAALEYATGPQYLGMTGKLVPPASNDALGQRLCHSQTFRSSGEVQ SFSLLGVTIILSGGGLIILSLFLRASPEQLDRVAPKQSHDAARQHQDLMRLRHRPQPP TGMAGKKNIATEEEPLLQKATDRLLVHWSDLPEWSQDNEHIQSGFRPTSNSYWTSFQS CCYVHNETGNIYSHLLATLWMIALPIRLYPLTKEHYPNADADDWTVFGLFFLGGASCF ALSTIYHILANHSRATHDFCLQLDFLGIIVVTAGCFPPGIWYSFPCVARKTKVFWIGL DLAAQFVAAIFVIFVRRFHQPAWRPLRGFLFSIMASSAFYPIIYASFLHGYRQTDAEA GASRYVLTVAVYLTAVTIYATRLPEAWRPGRFDIWGQSHQIFHILMAVGLTLHFWAFA KAFDYAKRIKQC EPUS_03256 MEANSQSLPLPCEEANEGPSKDFLDPTILVLAGQSVVCETAPAI PLYQMNWNVTSLPPKGSSVVFERVVEHGQAETAEGTAPSKPQNRHLFYLAHPPGAQLS NEKPAYYITSVLPEMLGNISLEAVKSRLQKPELKARLPEEDHGGIPVVAYEDGKGEPH RLVVTAPMERRIRDALVATWCLRLWHDTAESRQARTEALERWTPPESMRGYGDMKMAK TAGALGAVAGAGGGAC EPUS_03257 MELRMAQILPPGYLYGSGFVFSGSFSQLYTAFGRGAPIPFSYTG CGDSCTTTLQGFGFSVNCSTVPVSNDDARAGFRSENVTTIGSAVPVFNVSSSLYGEIE CDLGDSCFAKNKAAAELGRIEIANLFKAAPDCESDVSVTSCSLQQAIVEYDVVLQDGI VSLQHAHWQNDTVLYNTPPWDEPESLNYYGITPWWSSSMNWVNFFMLQFNEELDRYGD GELGPASMNPIFRLAQQFINDDAVNSLNSINCNTTFDDPSQYVVDRIREIAFRTSVAA ATVTNNTILFGNDSLITSGLPLIRNWTQTVSYTGRSTQTVYSVSTAYLISAVVVSLLG VVAIAPLYWGWWELGRDVSFNPLEVAKAFHAEILIDADWNMSKEGLVKMVGEREVSYR VVDEGVKEEFKDVARKGGEET EPUS_03258 MKARNSPYAKKRQRRNYKGEEDDAVSIEIEYAFVNTTIETIGFR EPRRIKSSPCSSTGDEMLQTCQTPPSSICSEELIDPVLFREGLSADPNAYDTAEPSCS EAGLIQDDSTCERDALVFSSAILADLQREENPVLKISGYQVEEAGPGCSNKQLKYD EPUS_03259 MKIASLLPFVAVAAALPVSNSSTQYAAISRADGTKFKIDGITKY YAGTNSYWIPFLTNNDDVDSIMSHLQTSGQRILRIWGFNDVTTIPGSGTVYFQSFSGS TATINTGANGLQRLDAVVKTAEKYRIKLIINFVNYWTAYGGMAAYFSACGVSSNEQWY AASKCQSMYHNYIKTVVGRYRTSTAVFAWELANEPRCRGCHTSVLTDWMKKTSDYIRS LDSDHMIAAGDEGFGLAGDGSYPYQFGEGVDWAANLALPNISFGTFHLYPESWGVSNS FGNGWVTAHANICKQLNKPCLFEEYGVSQAAQHCSVESDWQKTSLGAKDNGMGADLFW QLGETIKSTGQQTHNDGHTIYYGSSDWKCLVDDRIKAIG EPUS_03260 MNNGAKTTGYEQPAVATNRNSRQNKAVDCEANEPPAEPQASLES LLKAHDYEQPTAATNMNLHKDKAVDGDTKQPPAEPQRWPEILREALNFATKLSVGLIR MLRTFVVTLAPFLSTLLVLFFLVNILRAAFESSCKIPFVPYISYPYCQSFDDRTQRVD SLENLQSQLNVVREVAGIVDHSKVPSRDKIVDHLTDFWQLTDNTIDGLGDFLQGIDRM VDSTITRNEITLRNLRNIASKRAEPASIVLQVIAVLFHTPLTAENAIRRQYLEHLDRL MAETDRLLLDSHLQDNNLKRLANIQWDIHNIIVGDNDHVTEQEQEVQGNFWAQFGGYK KLLDDYKQQAAVLQQLDVQRK EPUS_03261 MTSHPQAESTAAIARDDEAGANIQPLTMGGALFRDHEEERSHIP TGGKSPVNYIENDADDEFAPEASGPVRVEQMVRGGPVKLAGRIGATQGKVTFISKQGD IDLTESQPVYSQQEEGYLVTTLHLAYSNRFEIATRARTARRRELEVLAPFLADELLSE LQILREDVSSVSTASVSGTKPDPGPRNPQGSCGEECWLRTDVPESEPIVGGSYRIPIS ADPFFRYSAIVPGQTRILCLQPGSADHPVTINIIPMNSNDVGPYAALSYTWGSPTQSK RIIVINKKLHTVSENLYNALLYLRLSDRPRWLWVDAICINQEDMAEKSFQIPMMTSIY RNAQKVVIWLGEHKDNSALAIAGMKLLDREETRKKIMEPAHDEECLRQLNKLYEALLA IYQRPFFGRAWIRQEIAVAKRVEVQCGQDTVSWLGLKRTARRLHSLRNIIKSESAIDP LDFKSDDLGNLKFLSRGWRYGQSALGFMAEAQSVYYYHGGGLLELLMISRHFEATDPR DKVYSVLGLGREPLQAQNASLEPVRPLAAKDSSGLYAALYHHMVRCFTYMRDKVHSTL GLTSEPVSEDTAALTEILAPPPKFIVDYSQSVSQVYQHVAKYFINRDRNLDILCILST HRGPESSDLPSWTPDWRVTTSHIGLTECWDYIGMKHSSANSALAELQDQDGAGRLIVR AYLVEQIKILLEVTGDVCNTINMAMFGPDETAKHEAETEPFNSGKHVRRFCKTQSRLQ FLVPAGAEEGDVIVLLYGSRLPFVIRPLVWDSYLTVEDVTFCKPGAEFELIGPCFHPI LMNGHAFMRFQKNYSLPEKIFLI EPUS_03262 MLSRHHYLFKRIVGPVPNDQQLPLVEGSDKTGLPFADGPVPTEK AWFKSGKLWFILKFLILSSACVVTLFHGQSIIGYATSAYHALLPDYKVHQGDCNQFAA PEAPEFVAKPPMGKLLTDKTKPTCSSSKSGHQCELTTDADVTTYWQTADGAPLPDLGG NLHGHWVAIDLGQDLKVHSLAMTPREDAKEGGAVSKHVVQISTDGNNWQDVAYGTWFS DTAVKYATFEPRVARHVRLVALESTNNAKFVAISDLSVFVVDSIPAPVTNGGTWGITL NFPLVPVGAFVNPITGNVVTFSSYRHDGFQKDHLPATTLTATWEHGNKGMTDTRIEST NHDMFCPGMSFNESGHMIITGGSTNSKTSIYDPVKNEWSSGDDLKIGRGYQGQTLVPN GKTFLIGGSWAGDGLHNKIGEIYDHVNNKWEKSEDWKSTDIEMKSDPDPNRWDFHVWL FGWKQDSIFHAGPAATMHWITTNNPRKISAAGTREDGSFSDGDAVCGVTSMYDAEKGL ILTAGGAPQYHFWADRWRGISDPRRKPATNNAFILELKDPGVNATVTKTDQMNLKRVF ANAVTLPNGETLVIGGQERGEPFWEDDWHTVPEIFSPKAPNGQKWRNAAPHSTPRVYH SFALLLPDATVLVGGSGLGRAETNHFDAQIYYPPYLLQDGKFAPRPRIKSVTKNTVKV GETLTFTTTTAIDDGNSASLVRYSGVTHSLNNDQRRIELQPKKTSMADFTYTAKIPAD RGVALPGYWMLFVFTNGVPSESQSVQILA EPUS_03263 MVVDKNDIQGDVWSKGFLKHNETYYFFSIADQKQKIFSQCLKKL AAQVGDASLITSLTQVQKDRDTAGEARALNKIAIISNALIAFTRTGLDVIQSALPNTA LRLKRVENTDPAFYAGMKETNELKDRPANDWDPLFNATSTPIHGLLKVAGSDANEVER KLQAILKVLQHGTVTLDVKGISPPTTVESRIDGAVRPKDFILDGKLVNLNGKEHFGFE DGISQPLIQGIDTLEPEVAGVANMNTDEEVIILSKFSPKTDNNVARPDWMYNGTFLVF RKLEQDVDAFNKLIKTWNQHGCSSEKHMGAKLMGRWESGAPIVKFPDNDSPDPDNART FNEFNYDGDATGKTCPFAAHIRKANPRRPRNPNEQSTFLTRMIRSGITYGSEFSEKPL EKRGLLFACYQSHIESGFKFMQASWLNNENFSTAGAGHDPIMGQTANRKLLTNIGGTS VSFDEMVTLRGGDYFFVPSISALQKTLGQD EPUS_03264 MDPVSATLLIGGATVTTMAAVGIGTITAAAVGAGAAVKTLRNEK KRMKLQQEQAKQATQRAELEMRENKIAMGQHPNQSSLTTHSPHYHQPQMCQQAAPPAL PYGGYQPYAAPPMVPYYVSYVPVQHGPVQHVPPQLAPSYSGHGLPQHTPQSLGGLGQQ YLMTRPTQQLALEYDNDRPHQILALEYHGAKPETSSSKDWT EPUS_04884 MQFPKLTPLQSRLAATLAASALLVLLFFSLTSPQFAYAAELDPR IPPDHNHPIALDFCGTMMEMKEEEERVALDWEPHESSIRKDLVRRAPSGVFALSNNDP QSMDIGAGLTQNWVFPKEVIAGPPGVIGSGLPSEDITEDETAQTRSELRKRQEIKTVH ITLNTCMQPALNTLAYPADIGGGSPPQLQLWVSQSSSLTNPGPGTRDNPNQQNFDFDG GFAMFEVDTAEDVYVGVSAPNTTSWTGTWNYEIAASIDAPFHTIDDNWANLFFVDGDN HAVLLVTNDTTQRNSTSEIYNQWMTIAPPYGVFAHNQNDSTILGVQSSFCGLKNQAQI MANIQGANRPNVAGMVNRGLGGKPKEQFYITDLNVSSAYYGFLAMEGNSTASGAGVVG GGGKVWRAMNFRTKAESNCALLYNLTFCSEVAYAVPSNPDIFDPSTGVPALAARYDSH AASLYQNFNYSLQQVPCKTSSVQQYSLARTCDDCARAYKTWLCAVTIPRCEDFSSTKS YLMPRNTAAEFVNGSSLSNLTDLYNPANITTWQSRVASNSSRNPIIDSDIRPGPYKEV LPCEDLCYDLMQSCPASLGFGCPLEGRGLDVSYGKRGGDNGEITCSYLGAAHYLSGGG RVVTGLKVGVFMFVFWSVVLGL EPUS_04885 MSSPSTAMSSTALTKRQLPSCSALMGPPPAPKRIKRPPKVLDED DYTDALSEIIARDYFPGLLESKTQQEYLTALESNNPAWIADAGAKLREVMTPGPPTRS GNARAARNSRFNTPLPNSSTPRYGATYGATPRGFTGDETPASSIAEIEDASTTQKPEI DTSTLSLSAFQSKYTSEDNESFNALLDKQNYKRRKKHAYLWAPDQRIPSARQIAHRNR EAKLLTQKVEDEAAGKALVPITVGGSADKPCQPDAWKIKKPDNTFMFPPSSVDEDGLE TVAETKERGSRAGPKGVVHANTRFPAPALHNEHAASVPSSPSLSAIRDAIAGNPRLSA SEIQDTGAGSGGETPRVNGYAFVDEDEPENIPLPEETQSPSYRDLLAGQVGDATPNPF KIGEVRKREELHHRMVERESKKKRINSNQVGGGGGGGGGGGERK EPUS_04886 MASFSLSQLFSGSSSDGTTGYNTSQVVQVLTFRPSPNHPNVTLL QREGSHGETTTLYASTTSKFTKPNIQIFRVVATSTGLQQTAIGNASFHLLSSTTDLSL HGHYITMKSGNLSSSYNFMYPPTGKMKWKSNFMGTALELFDSAGLKLARYKFKFSSTS GKRLEILVPCADSFLDLIVLSGMAATVKEREKLKAASAASEAVAGV EPUS_04887 MPLCTLCNSITLSARQYKHQPSFAELSKSAAQCDLCKLMKDVLE ASGCHKAAEEYRDHRDGKWELVNDTKITTSVNTHDNEADEASLPPYQLFVTCGPLTRG NRFNKDAYSGALGYRLKVYVEQGSAAAEHIPTRPLAACVNSESTYRMIREWILACVST HDVCQRALRHGSPPTKPPEAAMVTSETQAPLPSRLIEVLKESEDGILQLRLRDTRGLT GCYAALSHCWGSTHHLSTSISSLKERLTNIDFTKLPKTFQDAVTVTSRVGMKYLWIDS LCIIQDSKEDWEGESALMGQVYHNAYLTIAAAASPNGDGGCFHSRDLRDSHAVTLPFN NEDGSPAGCCSELERYRRDTCRVGSFISPRINFILSANILLKFENGRAEKVHYNSVTF ENQELLPLYRNPHLAQIPGTREFIVTRLWMMLVANYTSRKLTFGNDKLPAISGLASHM AQLIPDKYFAGIWQGTLFQHWQLLWRVPPYRDSRVGGRTAACAGRAPSWSWASVDGPV LFAHAWTSELEPGHGSGATDDMEMKVELVGSDSYGRMARWACRKCHYKKPYRALEGYD IVQAQELDVFGAAAFDEVLESNDGLQALLFLQQTGVEEMKKEGHVNSFFVLFLLPTGT NNNDGSHGTYRRIGMGHLVMSRLLGWSRDDKRRITIV EPUS_04888 MSSDIPSHPDLTSPHPPFSSLPLDPNGPRGNAWGRFGDADQLGM LNLLTPPVVAAAAQEIVSGVRISLDWPLNKPLYPSYGRDPYQHEIKQRGGPDRVVNDD ILSFNTQISSQWDGFRHYGYLKEQRYYNNTTHDQIHSSSTIGIDAWASKGGIVGRGVL LDYHSWALRNSTPLAPLSSTAIPFSHLQRVIADQNVTFRAGDILFIRSGFTAAYNALS TAEQLALSKRPSADFMGVEPTEEVLEWLWETQFAAVAGDSPSFERSPVGCGKYKYDPP PPVVLHEWLLGGWGMPIGEMFDLEELANHCS EPUS_04889 MASQMLSLPMIVVGTLLFGRTTAWSAFNGNCTLPASDTNYVANP NARGTLGILWECLLIILLCTWSILHLNVPVIRPEPKTFFRKCGRLIEDSWPKAKWMAL TIFVPEYIIAKALTDLLAARNARNHGVQIAPTVTQAHLANMGYFVLDTKGLIQDVADN SSQDTKQRVSAEPGFDRDKFWDDFYTNAFRLKNYPRLCKSKQFKLRYWALNVAQWHEI SKVMPNLVDFPELSHAQLQQLGGQDALVTLLALVQIIYVIIQLIVRQSQNLPVSQLEV ATLAFAVSSVFTYALYWTRPQNVQSFYVIQPNRKLSTVDFQRLVDAIDRYPSGSLWLG GAAPRVFPDLGPSPIPNDATARIGKGSTGQAYDFYYSSAIATRNSTSSSIAAVPLTAM DNQKGYIGHKAVHESISSLTLQ EPUS_04890 MAITPDVDAATALKNKGNKAFAQHDWAGAVDLYTQAIEKNDRDP SFFCNRAQANIKLESYGYAVADATHAIDLDPNYIKAYWRRAVANTAILNSREALRDFK TVVKKEPNNKDAKLKLAECEKLVRRVEFFKAIEVGDPPSAFEGLDIESMEVDASYDGV KLENEMTQEFINDMITRFKNGKKLPKKYVFQIVQAVRNIVYDEPTMVEMEIEPATTLT VCGDTHGQYFDLLEIFRLNGFPDEKHAYLFNGDFVDRGSWSTEIALLLYAYKWLRPKM FFLNRGNHETDDMNRVYGFEGECKAKYNERVFKVFSESFSALPLATLVGKKYLTLHGG LFSDDNTGLDDIRKLDRHVQRQPGQQGLMMEMLWTDPQPQNGRGPSKRGVGLQFGPDV TKRFCEKNGLEAVIRSHEVRMEGYEVEHDGKCVTVFSAPKYCDATENKGAYINIGPDL KLQYHKFDAVPHPDIKPMAYAQSSIMSMM EPUS_04891 MASLLRQVARLRPVTFRLPVHSITTNAQSRASAAAAVAHDTSSP FEQPFFADEPHGPRVSTPIPGPKSKKAIAELNQVFDTRSLNMLANYQQSYGNYIADLD GNLLLDVYAQIASIPVGYNNPSLLLAATSPDMASALINRPALGNFPQHDWASILETGI LKVAPRGLNQVFTAMAGSDANETAYKAAFMWRRQMERGGAHVEFSPEDLETSMNNQVP GSPHLSIMSFTSGFHGRLFGSLSTTRSKPIHKLDIPAFDWPQSPFPALKYPLEEHVQE NEEEEKRCLEQAERIIKEYHNPVAAVIVEPVQSEGGDNHASPAFFKGIREITKRNNVL MIVDEVQTGIGATGKFWAHEHWNMAQPPDMVTFSKKAQTAGYYFGNPALRPNKPYRQF NTWMGDPARAILFRAIINEIERLNLVENTALTGDYLFNGLERIQQQYPEQIQNLRGKG QGTFIAFDSPKRDAFLANAKALGINIGGSGAKAVRLRPMLIFQKHHADIFLDAVQKLV SS EPUS_04892 MPLISPHALLYASLSQEPPLRPSSRKPSQCRPISINTSSLTHAN GSTLIKIGQTTAVCGVRAEILPIHEIANYRVNKNPENYIPQADHGEDSPEADAVIRNH NLLVPNLELATGCSPFHLPGSPPSQEAQSLSQRLLSLLHTSHAVRLSDLCIYNETITD LEREIPDIDASPEKKLKAFWVLYIDTVVINHDGNLFDACALAMFSALRDTLLPKAWWD IDLESVICSPEKGQAKRLRLRGCPAPLSFLVFRSNKLEEGGTSRKIEQVLCDPDAFEE GCCREQGCVIVDQDKKGRVFVLKIEKNGGGSVGRSGLRELVERAGERWKEWQQVLVKE AGSKKSEN EPUS_04893 MSPPSPLVVWSGRVAYEAARFRRLLPTYLHILASALFLVYTAAH ASLSIPSSAAPPPRKRPDAHDDDSVDEEQEVVAESKEALKPKDAILFPLLAGLTLSSL YFIIKWLEDPSTLNKILSYYYLQVGVVFGTKFFKDAFVVVRSFFLPNQYEESGRLWRI NRSKHRYDLVDANSDSEQAYRKSHLPGRLHRLPISTKVADRAWKFRSLLYAKCSLNFH IHKLSSIKVPVDILDALAISTSLAVVGFFTFLYKPWYISNLIGFTFCYSSMQYMSPTT FWTGTLLQSALFFYDIYFVFFTPMMMAVATKVDVPIKLLFPRPAQSERGIGGLAILGL GDIMIPGMMIAHALRFDLYLHYLRQSKTVEGKLEKATYLPVSGAWGERFWVGRSVAGP DLRAKAFPKTYFRAGLVGYSVSLIVTLLVMQISQHGQPALLYLVPGVLSALWGTAAMK GELGQMWNYTEDEEAAKPNRPPNQKKETSRQSVEEENKAVNLLGSSKNIAPSLKSTAA GAENEELTTTSIPSGDTDKPPELEGESETSSEPDSNPDSDTGFATPSSSHSEKTEQQT SKLGKESKDKEQECRHLIWFSIDFPPSPPAFAVEEEALTKSEAGAQALATPSSDGAET EPUS_04894 MATDVVLETTMGAIIVELYNDHAPKTCKNFASLAQRGYYDNVIV HRVIPNFMIQTGDPTGTGRGGSSIYGDKFEDEIHASLKHTGAGVLSMANSGQNTNGSQ FFITLAPTPWLDGKHTIFGRVKSGMRIVQRMGLVKTGPEDRPLEQVKINRARVVETTA DT EPUS_04895 MDIGRRKASSSSSTGNEHDPSSVGNEHDTSSTGDTASPHFQHLV QLINSSTARKQAASVPQAARVASDGENGGHAGPSKKHQTFRLGTGQHEIAGNVGLYQE HPGLDRSAQKQSTDFPTGHGKQWEDFSSSQQSKDNHNEALLTTASCDEMVALKLAQYR PEAQEIQFACTICLETLPLQAFPAVPITSACLPEFHRAADESFVCKSCINESISVQIS TSRPDEINCPSCSQRMNHQDIKLWAEHEIFERYDHMITLQALQEDGSFIRCWRPECDT GQFHDGDAASPIVICQACRAMTCYRHSGLPWHEGLTCDQFEDPHTAITLLKEFISELE LVSRASKTTLSDEQSRIKSGDAEIQSKIGIARLLLSERQAFLASESDERGAKFVAQTT KPCPRCKAPVEKTGGCKHIKCRCGFEFCYGCLAEWSTEHLSTPCSDEHDDPHILRLAM RDYGHDVPAAANHIPAVRQARMARALPPPFIEGGIAFIHPPEARRPAFPEVRVLRPEA RQPQGPRLQTPRPYAPRPEVPPLQLPRPQAPRPGAPRPGAPRPQAPRPQAPRLEAPRP QIPRLEVPRPEVMNRVNLPTHGDVGRPRLFASRPTAQGPMFRDPQGFVRPRAPYPHRD ERPFQFPGLQGPQGGVPRSPMSIAADINRSRSDLSLHSGSADRSRFTPAIEEGLIGTG SQRNNVANIAMQRAGLPRGLGDPLFRDRMGRAPPDFFHDNQMPHLARQDVLEGRATRL PPVGTPRAANQDFTMGYQGLQDPFGPDFGRPPLRRNNSGFTRAQEQNDFAANFGRSQL HRNNVGFTRDQEQNGFAADFYGDSTGWV EPUS_04896 MASLKPPFTTSTAHEKVKFAQAMWNTQDPAAVSRGYTSECIWRN RTTFLKGTNEIVAFLTAKWNREKDYRLRKELFAVGGESMEKIAVQFWYEYRDAEDGMK WKRCYGLEDWTFDSNGKMEKRQMSGNDLELGRNGDGVADAKEGIEGRWFVDEVNVDEA PIGDKHW EPUS_04897 MRATHLLLLPAIGTAFVIPDQEVFSSLAVENTTPSSKSSWLDSA SSPHEAWEIDEETVSKTFNCAKNTWENAVNHAEDYAVNIKDNFQEAFAGESWLESADY ETDLFDRPPHHGPHHPHEPHHPPHHGKPSKTVYELINESKYTTKLAKLINENEELVTL LNGTTSNFTIFAPIDSAFAKIPDDHKEPSKEFIEKLLTYHISPEFYPAGRVLVSRTIP TALGGEYLGGAPQRLSTQISLRGLTVNFYARIVAIDIFGTNGVIHGLGSILLPPPITT DIISFLPSEFSTLELGLLKTGLIDHLNKPSTHVGGTLFAPSNFAFQRLGPRINAFLFS KYGEKYLAALLKYHVVSNYTLYSDAFYKHSSLQDVDDMDCDHRIPKGVFHVDLPTLLD DKSLSIDVARYARFITIKINGFNRVAIPDGIAADGVIHVVPTVLIPPRTPGAQGVSAE GMELEEFMERLEPFVELGDVSIEL EPUS_04898 MANRPPDNKSPSGRPALIERQPKAAPVQSSTDLLTTSPPPLPHH ASLKPEGNLSMRQQQQQQQRPISPRPVTVNKRISALVGNAPANANRNSAISTISTASG KSRRKTHVGPWQLGRTLGKGATGRVRLAKHALTGQVAAIKIVSKKSAALVQSASMAQM DKEATDPTSATGFRLMPFGIEREVVIMKLIEHPNVINLYDVWENRGELYLVIEYVEGG ELFDYVSCYGALPEEEAVRLFRQIIAGLSYCHRFNICHRDLKPENLLLDAGRNIKIAD FGMAALQPTDRWLNTSCGSPHYAAPEIIHGKRYRGDKADIWSVGIILYAMLNGFLPFD GGDLAATLRLVKKGEYHLSDALSEEAADLIQRILQKRPDDRISMNAIWAHPLIRKYEK YHQSIAPNGVLVGPPPPLSAKDCGPPIGKRSDIDPELLRNLQTLWHGVRQEDLVKRLL STEPNHEKLFYNALIKFRVEQLENYPGVPLEYSASDYHHVTKPIPTSKHVSAPPSRNH NRRRSQFSIVSEDSGKQDSYYKDPVTSASTVTKESYDPYRASRTPLVNNNADHVTVVV RQGSGASRTRKAGHAASLRHAAISRLHPQAHATTPVPSKEYVKSTQYRGHSSGTDRSR SSLASSRRSEARIRKSVSYKRQVSFQHIRQRSSGNSSRVRDAVHLVSSDTESFKGNNS RQGLPESQSTPSLPTPPRVYRSRKPASDLDIQKARAVSNYWKDEARKVSSELGKICEE AFNRSSVSSSNVSQKNVESPATSVSVHGESVAVPLSNQLKNRPLPQPPAESLGSYTLR ELAETRRRLLEHCRNAESNTVPAYLSEVIAHLDRLMQPAMKSEESKRSASDPHPASTK NSSHLAAINEESYMLDVSQQAQSNRSASDPLKSAKQISFQDPSKTIRLVSPEAASHTQ LAPIQPLKIRKKSLTPVNSLRGTSTDSLRSTMDRSGYDPRLYGGLDTIEEHPKSPKNR DPLASPGGTRKWSWFKRQFESPDEIPPALPMKDSPPRREKYPRLEASGSQASSNGLKA TSNSTDDMDEAVEAHPTVETKKKWFSKVFGRTNKSIVPSAAEHMVIQDAASETDSNGA STDDLLAEPRGQLPTNPNTSRANEQGLNEPGPVTATTESSSSARPVHINQNWFAKFFH IKPASRVISLSVSRAKARREIVKILRDWNKYGLRDVISERSGGGDLIRGRVDSMNYLH LKPVNFHAHLFTVLERGRRCNLSVIRFTQERGAASSFYKVVETLEAVLKERDFLVTEL TRRKGIEKGMKESGL EPUS_04899 MASHLIISTSTSTSTMITEAQQSSMNQDTFTDINRKHWDQAAST YSSAQWQKNFINQTHAFILSNLNWIGVDFADPSEGFEEGAPQPRQVRVLDYACGPGTI TSALQGHATEFVGVDLSENMVKAYNEKFTASDQQGGTITQTAQAFVGNLLDNNGPSES VSGTQFFNFDLAVVGYGFHHFENLEVATSRLAARLKPGGVLLIVDFMTHGKLEAGHPA KNTIAHHGFGEDEVKSLFGKAGLVDVDVVKMEGTIEIKKHGAKDDEPGQKREVFLGRG RKPASSSGATKKEEEYLPKQIKER EPUS_04900 MPPPIPHTFYRKKATILEGLSVPDSEYSDKSPKGSVDERIRELI DEINAYDGFVTTSSCAGRIAVFQEGNGQGSDSRNEEDAVGSSGVPGGKGGGKFLFVSH EPVYVAGDGASQQLPRILGLDEEPGACGAKGSESWAPGQRFVRFAFEPMILHIMTASL HHAKPLLSAAINSGFRESGVQSLKNLDDPNACPMVAIRTAGLGLEAIIAFVAENSNNG PYQFWQLVTKEYYTMLLKIANERFEANQLRIENTTRSGRVLKQGANGSEIKV EPUS_04901 MPRRKASTTANNSTRTAPLNPHKRQLSSSSTTPVATTTSPANRQ SKRIKASAENTPTTCGKKATPKKSKYFEGPSSDNEDDDEDQKGASPEVTEEETSGYED EDASATLPSSPSPSEEDASESDGPRTGRAHKKKQQVKKRPAEKSSTTNAQSTVSGIIE KGKELWRQGVKVGLGPGKEVFIERPKPRGDGGIKYVPDRIHPNTMAFLADLRDNNERE WMKNDTIPELPPKDLVFRVYRDIRFSPDPTPYKPYFSAAWSVFGLKNSHYAETLLKLF YNRSRTGRKGPYAGYYVQIAPGGKSFVGCGLWMPEAAPLALVRRDIDRSANKLKRILM EPGIRKEVLGGVSKDETKAVKAFVSQNQENALKTKPKGYEADNPNIELLRLKNFTIGR KLQDDEVVGPGGLDRIASLVGTMTPFVTYLNSVIMPDEEDEASTDEASEASEAEEPE EPUS_04902 MKEEEERQSAYPPPPPPGDHQRGSYYPAPDSRPPPSGEDRNGYA QDPPRPGPYPSDPRAPAPYPNDPRQPGQYPPPSSWHHPPPGHYPPPHPQYPDPTHPAY HYHPGPPADMSQPPQPRHPDPYRLPPPYPYPGYGPPHPYPQPPPPAPRQRTAIACKYC RKRKIRCSGYESSQDGRCNNCVRFNQSCLFHPVSSQAAFVPAQAVYPNMQNPRGEPPQ TNSRGDYRPQGLFAREGESPPMLYGAHGIPLAPAAAQDHHQNYPPPQSGYPPPNYPPP PHSQGPPAPYDYRGQGPPPPPQADENVSRKRGPPDDDPHNESAHSSQSPHPSTRPRFS HEPRNSTANGYDYPDPTNIAPTSPSTSTTSYQSGYPYTGSAPQTARRHSPQSAHSYDS PRTLPIRDEGRSPPPGLSGSAPGSANGRANMSVQSMLDNPRSSEVDSNGPRRHKDDSD MLSKLDGKK EPUS_04903 MPAPAPALSDEPAAGKAGGDSDDAGDVNEEDGEDEEDAEDKYND VDGPDRDVGVDDTDVITVSGPVATTMVVLTSTDVTAGGKIKPGMDVDAPCSLEAVAIG KVEAPMGERVVTSVIKIIGALVGSERDMLTYNENKFL EPUS_04904 MSDVKEEVHGPEPLRHLPFPPVTRSHILQCSYHSWQPKLRSLTP KSRIIPLNDAFLAYLRADGIILPPEPSTSSADINSDSGFGTDSDSDDEEDPSASWSEL HARIQSTIAELGGKVLPKLNWSAPKDATWISPTNDMECRTANEIYLLLKSSDFITHDL EQAFDGCVADEEPIPIPYVLVLRKSFNINPSLEFRCFVRHRILIAVSQREMNYFAFLF EMRPKLLERIQTFLQDDLLSSEYFSNGDLENFIFDVYIPPPHDRVWLIDINPWAPRTD PLLFSWLELLTMPEQPPAAKVERKQAGSGEDRSDDDEAENIHEPEFRLVGRDDPEAYQ FSSTKYSAHKLPKDVVDASMGAGGSGGMEGMIREWKRALDKQVEEDDEVSNDEEKGWE SVRARASS EPUS_04905 MLDWLSSDQRASYAESSQILDAPETPAPLFAYRALKSVLFGSYD DEDGNDNEKENIPLETRPSQVSVNSQRSPLKPKSSTPQRPTPRRMLSPAKSILRTPGI PTPRRQNVSVKFKDVKQTLMNLSTVTEGQVSENKGNLQPPAIMPSESTQPTEQAAEST KRPQGPNAEAELEVYYNVREIDAYIAVTEREMKKLVRYGQRMREYARLSQKENATLKR ELEIVRKENEMLRCREGPPINQEKAGKTRESNGLFDISPPKHIPKAASQSSPEDGPER AHHILEDQAQHPLHPDTAEKKPGNQSSTKVANTKTKTDCISPQPKIAAPIQRASNVNS YPADNTRMASKIQLPPDRLAAAKARLRMKSEERKKALSMNDQVQKEDHGSSLVDWQDL EPUS_04906 MAGPRIVMSSSNLFRSVRACRPTTYCSIRQQPSTSCNGNSAFHT APVRKQETYQQRYGNAAEAHLPPPPRPKDDILPKKQELARKGASTSDTAQQDQKDTSS NLASGTNSSKNVAEERAATSSDTRNVSSQQEAREVKEEKPVTPLDIVLQATHPSEMYG EQHRHPHLAPSPYEHHFDTYSLVQDLAKGGFSEEQAVTIMKAIRLMLAVNLDLAKDGL VSKSDVENETYLFRAACSELRTSLQTSRHSASQSQRTQRAQLHHEFDILNQKVTQDML TLREELKAMFNDRKMAVQEEKRRLDSKISELGYEITVLLNSDSKSEVEGLRWVLTRRA AMAIAIAALMTLISLNYSSHVMRQKEEAEKKIAAAKKKVAERDEARYTTPARDQGTQT PSTLAESLG EPUS_04907 MRFLETNERYQALDKLDRPMSSYPDSSTLEILLKLRNCSTLADP SAYVAVSYCWNRVNVEWFDAEGALPVKVVQKNCSTRPCVVPTDVIHRVLAYSKAREIN AIWIDQECINQNDPIDKEDGIQAMDLVYQRSAHPIAILETCFHTQVQYDVFAAIADPH FFDFDPTYIEDLGDILGALADDNWFTRAWTLQESTSAGVSMLLLIGCPGLNKSDVFGP IPDEFEISIWDFQEAMVNARNLIEEGIAQNWWPDTSDAIYASNLADELWNRIPTIIPD SFESRQEVSYRQVCNAAEAITFLAGRQVSFFPDKLAILANMCNYECRIDTKILENPKS SFSTCALTLSILNGDMSLLMGYGGRSPCGLPSYGEDCRAVSDIFQNDNDDDDDDGLPM NHYGFSWGPKPSGSLNNIVYLEECGAMLRLQPSVLLADGLKVRGTLWQFEQDIAVPNT QAQFEARWKRELDLQVGEDMWASQGRQERQRFLMYEFAMTLLHELLDCGFFALARTFW LFLQPFGTSGRPWLHSFDDVFGRRVATNQEDSMSDTLAQDLQKQWPISLLSVDLEYYK IPSIHRKLIEQVGQSGTLLFGNPLTKSIEPLPSQPYVWFENTRKGELIFTPFTTMGNE AALSSYRERAISWHVRQTGRIVDACETLHCLSRRRGFWRFEGSESRDYVLE EPUS_04908 MVFTRAFSRQLSQPVSSLLARGASPRLACGGAAAGASACLGQSF RRSLTASSSLQSKVLLVLYDGGDHAREQPRLLGTTENELGIRKWLEDQGHTLVTTSDK EGENSTFDKELVDAEVIITTPFHPGYLTRERLEKAKKLKIAITAGIGSDHVDLNAANE INGGITVAEVTGSNVVSVAEHVIMTILNLVRNFVPAHEQIEAGEWNVAAAAKNEYDLE GKVVGTVAVGRIGERVLRRLKPFDCKELLYFDYQPLKPEVEKEIGCRRVEDLEEMLGQ CDVVTINCPLHEKTRGLFNKDLISKMKKGAWLVNTARGAIVVKEDVAEALKSGQLNGY GGDVWFPQPAPRDHPLRYAKNQWGGGNAMVPHMSGTSLDAQKRYADGVKSILDSYFSK REDYRLEDLIVHKGDYATKAYGQRTSKK EPUS_04909 MAPLTSLRRYMNKQTEVVLFSSTAIALYGYDQGLMSLINTNFDY LQTMGLAESDPMVGVIVSVYYLGCAVGAVLASFFADAKGRRPGIFACLATASLGNLLM FIAGLWTSKGAMTLMLIGRTVMGLGVGGIDAVVPVYSSELSSDDARGTALAQEFQANV FGLNMAFAINLLLTNQLSKFNQWAWRLPIIIMQIYPIMLFAVSTRLPETPRWLVLHNK KDRAKKSLIRVFGEEGAQEQLDELITAHEKEQKDGMVSYSDMLFPSGPQFHPTVITVM GQINQALTGYGAVSVYGPQIFELLGFAVMTAEYLTMGNYLFYLIMMTFAWLLIDRMGR RWLLVHSAWLLALSFLILTLLGGLATNNTQLHISPLSAGIPGVIILYLATSTFGIGWL VPPWLIPTEIYPSSARAQGSAISVIIWGFANFAVTLLTPIGFNHLKYWLFLVFAVTNA FAGWWTWMYCPESGGRSFEENQDFFAEAGEKGRWKVKVVKGGEWLDMPEQGSDEEEGE GNKADDGGERQPLLGGLMRGSS EPUS_04910 MKRLLGLSVLPILASASPVLVDSIHNDAAPILSSSNAKELPNSY IIVFKKHVTENVAAAHHSWVQELHLEKQNTRTELRKRDQFPFSDMIFEGFKHTYNIAG GFLGYSGHFDEETIEQVRRHPDVDYIERDSEVHTMTDPATETNAPWGLARISHRNSLS FGTFNKYLYTENGGEGVDAYVIDTGTNYEHVDFEGRAHWGRTIPTGEADEDGNGHGTH CSGTIAGKKFGVAKKANVYAIKVLRANGSGSMSDVVKGVEWATTSHLSNVKDAKNGKN KKFKGSVANMSLGGGKSVTLELAVNAAVDNGLHFAVAAGNDNSDSCNYSPAAAEKAVT VGASTLSDERAYFSNFGKCNDIFAPGLNILSTWKGSKYATNTISGTSMASPHICGLLA YFLSLQPSKDSGYAVGDLTPAKMKATMLAIATVDALTDVPSNTANILAWNGGGKDNYT EIVKEGGYTVEAKSSDWETKADQLKSELKHIADEFEHLVLDY EPUS_04911 MSSTLAQRYRSDSGQASTIVAHNEEIGSLVNNLKETDGRSLLDV QKALQDSLILQKLRHILIDNASQKETKDAFRRAGGFQALLSFLQTLSDHFDSSSLSND NVQGFFLTLKDALAVLVAGLQVHPGNQKYFTKKANGGGWQALYKSLNTLHQDLRSNDA QLLDVGRYMGILLATALGEETLWDTFTVLQHDFERDTAATGALTVESYMGNKGYATLS RYLNSVETIAIPDLFPHLLNFWSACPPRQDIEWQMLEKALTMIMQQIMCSSRRNLVAL HTAGLSQSLLALMFDNCRAEMECKAYQGLVLSLFSEGMGSLEDAYTIYSKATTSFEIA RFLLKALRASRCPPCFHFDLSHAGYCSIELPTLGKPFPPTNSSGYTLSVWARFEVFDL NSHTTIFGAFDASQKCFVLAYLEKDTKHFILQTAMKGSRPSVRFKSVSFKPGQWYHIC IVHRKPRTVSSSKASLFVDGEFCEQLKASYPNLPPVDSSNRTAMVQAFFGTPQDLAVR RGQNSHSSKWSLASSVLFTDTFSDDLISVFYQLGPRYYGNFQDCLGSFQTYAASAALN VRHENMHPGNDEQSDILSAVRQKASMLIPESSIMINISPAAVLDSEDRNNIDESQLVR SLSKLAARNLRKYTRTGGNAVVINAAVPAVNEALTHAHGVAVLTGDPIVAVPQSLDDA SWRIGGCSAVGLSLVNAAKTTEEVELAVEILFETIRQSWRNSEAMERDQGYAILAAII REKLGFIAAGNSFGNGNARYTMAIPTSSTDRSQLAMSLLRLVLDFVGYDFTKPQKSLI NNPLAYRVLLVDTDVWRQADEPVQKLYFQQFVTFSVDSENHRFNSRRLVRMRALKKLL DALKAEPILPSTMPLFMNAFKSLLPGAMSAEMLRSIALFITFSVHKGKPSTGAHSRRI HRSDTRTRRATQSGSPEPPYDTLSRFQIGVEVLRLYSNFLCADNDTFTIKKFARTVTN KWLLYLLAETSAEVVILSAKILARVLVVHGSSYVKKFKQKSGGFTIMRHRLKRWWHLP ALWPICFAVLFDTDIGSMNLDRTFNLFGLLDLFELNGFKVVNPAMFEVIMGMLQNGLK TVITSKPTEESSIPDPQFRRSSPSNTQRLSMSNMTPPKPFVATVADHHIETLHVAVRF LSDLHTRSQHYRDFTVGSPYVQDLLAILFPVVVGSDNVSAEVELNARESSLTFDGNDV VIRPLSIAPPILRTSENTNMPKGQRRRGPNLRRGSSFVMVPSISSKHQPSSSRLHPPE PCPSPIAKLPQLNDGNAVVQSLLEIIVAVFTDQVLMRKDFAGLGLFLKTPPGFLEHQT YFESWILRNTLSQLNNTILLNQKVLWEPKVLSNLARLFLHIGEALYEGWFMGGADTAL DFSGSVLEYLQRSDISQIKSVRLCSQAIEVIRSTMFRTVLLSLSQIQDSDNLPFLAKL GYWQTVLLSGEETHSEYLQLICYLLYASLVSSQESVRMSAANLWRIILVQRPSEASAI LKQATSSEQRRLASGFEKLVELDNETFLYWIDSHRDELDSLFFTTLAGSWDKFVAEEN AKTEETGKQRVAKRREKLKQWAQDEASNEDIIRRHEVTFDHWTSNIYASEQLKHQRTT QDQQDNTIFVLSRFDQMKREVELPTGFMPTSTPTKWRLDQTEGRNRMRLRITPKVEEV QERYQPKRKTSNVASLKVETSVPQITSAEAFGVTPGGATPAQISPRPGDTSSEPFPDM PVTDDAEHSIEKPDLEESFELVEDPKDDAGGYEDKNRKVMRSLHRGDQVQHVSNISRI IGLEAAEGLLILGKDSLYLLDNFFQRADGEIVNVWQAPHEERDSYVRMISGREAFERR PTSGNDEHETRSWKWEEVISVSKRRFLFRDVGLELFFADGRSYLLTTMSPVARNDLHA LVAAKAPQHSSANTSMRSEDTWRFETLRSPDEEPQSLGSKFANVFGQAATNPATRRWV KGEISNFHYLMLINTMAGRTFNDLTQYPVFPWILADYTSDELDLTNPKTFRDLSRPMG CQTLEREAEYKDRYATFAEMGDHNSPAFHYGTHYSSAMIVTSYLIRLEPFVKSYLLLQ GGSFDHADRMFYSIGKAWDSASRMNMTDVRELTPEFFYLPEFLLNLNSYEFGARQNSS QSISSVELPPWAKGDPKIFIAKHREALESPHVSRNLHKWVDLVFGHKQKGEAAIEAVN VFHHLSYQGAKDLDSITDSVEQLATIGIIHNFGQTPYQVFQKAHPAREEIRHKYKRLD TAAESLTRLPSPLLNSEERVASLSFSWRSERLLCSAAFRLNIPPSYEKYMEWGFADGS IRFYSADNRKLLGHFEHLHIGQLSSATFADSRTLVTAGTDCTVSVWNVLSPGKNVDLQ PRSSLFGHRTTVTVLALSRSFSALLSASTDGTVILWDLNRLEFVRILTKGKPVDCARI NDVNGDIVLCHDSRIIMYTLNGELLLDQTAGDSTEDSILSCAFYEGAGNEWLEREIFF TGHKYGVVKVWNKVIRGGHFELGLIRQLNHVDNSRDDGGNVRAGISCVLPMPQVVYTG DEEGRVEFESKAPVEPGNLDFDARVPIPISVFPSTYRSDASAETTITETTKVEGTVRP PRTTSRVGREDTREEIDIEVRDRRPQREEREDIRVYAEKDQQYPEVELTRERYNRSDK RYPEVELTRERYNRPAEGSRVDIEIDRHRTRDQAYESQIDITEREYRRRIDPTYEVEY RRQAPRADIEVTKEEVRTADPTPRNDMGYYDDEGHYHSFRRGVERVADRVFHPFSHHH PHHHGDKEDVIVTSDESGPTRVSEGVRETVRFVGPRGGFPANTVTIPCHFIRVGDLVI LQGRPCQVIRISTSTQTGQLRYLGVDLFTRQLHEESSFVSNPGDSVIVQSMLGPVFKQ YRILDMRDDGRLVCMTESGDVKQGLSVIDQGGLYNKIECAFADGRGSVRALVINDGGR ELVVDYKVIHSSRL EPUS_04912 MAVFTKSPPLFSHIKALLLFSVLFGNIHALLTSVDCRWPDGTDS NGRIPCNPDAERSACCLGTEVCLENNLCFGGVGLFYRSACAGGWGDNETCPEFCNDLL PDTWANIWPCPGLGTEGPTLFWCGNGRVCDSSVLGDSSFFAIENYKFQANSIIGGPAA ATTASVSASASATATASLTTVPSTAFPTTCPEGDDRQAVVVGAGVGVPLALLALALGI WAVVERRKRIHNVDYVASAGVHAGILGKGAEKAELVRNHKQGTVLAYDPLPNKAPTET IRIPTPRGMNFNDGISHDTRHLTHPKAKRARFPESKVQDDKIYTLPRAQLSDREFHHT ENSTFMVQRPYVNNKKATTVSRSATKFGVNHGRQCQPPIPKPHTLCSGMPVVAKIFSR EKAARLDGR EPUS_04913 MPLRHGLDVSIISSSHERFTEYGVNTHARSKLVTAKIEARSGVQ FYIAIRPEYPFPTQQNKRYGSSILTRAQAADCPTRPLSGPPSAPTKRFSGWKSSALAT NHPVDQQAEKPSHSGTSDSFFQASTTPTGLTPILPSLTLLTPKDTLHLNTQLDRSITH TPAPRPPPFDLIVQVHIDGRRKAEVRFIVHLNPSSPDYQREVLLVGRRVRIPSKSGEP PRQCFHDWVFTDVGIEVLLERMGVEDTTGLDARDGEDHEVAALADELQDAAKVEENEE EGDGEGNREQELKIGKIEVVFTRVVLGEVTDDVGVATSENLHDAQSEQAIPKRSVGKD VTHTTSIVPSSAASKPPAYAYNRIKWTRYNPTEDFYAKFIFDYTSRAKLVKWGLCDEA GVPVGTGQEKQTDRRRVQLHTNNPGADGGDSEQQQRGSLISRGGHGIAREEPVPATEH ASLLQGGGRKRREKEKDSEMVASRKREIARAEEGARAHGVVDADVEQTPAKRRDRTTR LPRLDRGEAGGRRTGNAKHNEQRRHSISGYGGDVVDEFAPVAENAGTRVVERESGAER WALQGGDGEEEMMGGEGEGPRMETPTVGVGAQDWGARLRRRR EPUS_04914 MPSFGDDDDDRDLADSQDGSSDNDLDEQMQDADDAEGDGEADVE NDGEGEDDAGADSDSSQGSENGSSSARPNPEVLLTSPSPPGSSTKTISDVPTMPSARP EALSASTYDIVPTIAAPQSTSINAITATADMRWVFSGGSDGYIRRYNWVDSVNGKLML TVAQRHPFVDTVVKAGVMMTYWENWDVNSRSGMSQNAVDAVVSPVYSLASQHQGLWLL AGLESGNIRLQSIRHEEGKEIALLQKHTSAVSVLSISSDEKSFLSGSWDKSVLDWDLN VGKVRTTFNSPASQVSAIEPRPLSSLPVPEQLAEPPTTNGTFSSNNHINGVGPSALTN GLESKEQDSPPAEKADSPDSLFGGDEGDDDLFGDGGGPITANGSQLADAFGEDDDEFS RAIADGPRPEGGPTDGDESMTDAIQPLRPVQPPAEASNVESVASLPNGVYTQSTTALA NGIPHADELEQSALSHDEHTSAGSGPTSDTTFLATSIDGIIRIWDRRQPDPVARIAPR NTPPWCTSACWSPNGNFIYAGRRNGTVDEYDLHQGLNQPSRVFKFPHGSGAVTSVKAM PNSRHLVCASYDILRLYDLKEPPSSRSTVPFLIVPGHRTGVVSQLYMDPACRFMISTG GNRGWEGLSTEVLLGYEINVVS EPUS_04915 MNEHLAERFARGRRAQPRGNTSSDPNHSKEALNRDIRAYVAAAK VAAAATAKPWLAKPEIPTTEEILDNEEEPVQLLENRLDRPWPNRKKYLETHYELLRED AISPLRDAVLQFKNTPDMGDDNQVAIYDKVTIASLALYCSITRDQVYISGFTFAPQGL AAQIQFSTARAGKSIAWEYSKRLICGSMVALTPSKDNFRKKCIIAIVAARPLDNVKAT PSQIDIYFARPEEIEIDPQQEFIMIEARSGFYEGTRYTLKALQKLHQERFPLAEHICT LKTDLHPPQYLEKRPSIDLASAFSTADQKQATKKVNILNNWPAAPQNALDESQWAALR QMLTTSLSIVQGPPGTGKTHVSVVGLRVLLENKAPDDPPIIVTAQTNHALDQILRHIS NFDDNYIRLGCRSKNAEVKKHTLYEARKKNNVPPPLGSLFGRAMKGQSDLARAMLEII APLVRDGAAHPLAADVLRDLGIITSVQAESLIAGASQWVSSSPGQAQDPMSIWLDKQL VPFEVSYEQNMFGFEEEEKDEEYEQLKELEAEHSVDDDEDFEILRGPFRTIEDSFTCR VTPSQRAGAEAQLAKHSNLWDIPERARGGVYRLFQDRAKAAMLKRFRELAPRYAQVSQ DLQIGKWERDAVLLQKSNVIGMTTTGFSKYRPLVASLKPRIVLIEEAAEVLEGPVTAV CVESLEHLILVGDHQQLQGHCNVQELEEVFHLNISMFERLVRNNVPFRTLTRQRRMDP EIRRALAPIYHNLEDHPSVLDRPPVPGMGDVRSFFFDHNWSEGNDSMLSKYNEQEADF VVGFFCYLVRGGLVPPHGITVLTFYNGQRKLILKQLKKQPFLAGQYLSVNTVDSYQGE ENAIVLLSLVRSNEQGKIGFLEVANRVCVAMSRARLGFYIFGNATQLSRDHLWNQIIS IMSQNPNRVGGRFPIQCVNHNRKSEIQYPQDWDGRLGCSQKCVDLLDCGHKCPLTCHS FPHQLVVCKVKCKRTLICGHPCGMECSQECSCKLNCADFARLSENPDLIPQSPLTGSY NASKDPEGNGLSDLKDDHDRVPIGVRGNNTTEINQGSRPGPMTPLASRSMTRGSQPAA SSSSSTTSDPFGRMSPEKQLQSRTDWGAFANGGVRNDDLLRDEGAMRQKQRLMEQNLD LLGLHNNPLEDPQVRKDNTGTVQKETQRALKNGRVRHDHEYVMSNGQPQREVYEGVEN MRSGGPAKKLSEVPRLIEID EPUS_04916 MLPCSSVPLSAVVLFSLLTSLVSSHIVITYPGWRGNNLHTNGTV QETNALAVAESQNGTGLVHPYGMQWEYPCGGMPLSSNRTKWPVRGGAIAIQPGWFQGH ATAFFYINMGFGEIPLNMSFNMVPAFQIVGPTKDPYPGTFCLPQVPLPANTTVQPGDK ATIQVIETAVHGAALYNCVDIEFAEPADVAEVNESNCFNSSDISFQLVFSTAALSQAV SSSSIPSTHLLAMVPLLILGLVGLLN EPUS_04917 MPHTSHKKRRQRQEPLPRNKRGEIESEDGWTRIARSDKAFSINR RHPEADTGGMISSPNDPDYDQDLDEGYTQMTFTPTPAETPAGASLEKALSHYQKSDSA WKQSNTWAELKQTFDTRISTEHLNITNCICFGLSSPTGLTGAGFDRRDISMYQLAAFK SIIDLLSSQQAQPPAAFAQEPQFNTLDHQLLAHLDIQAVHHPAAFHLITAQSFTFCPG AEHREWVPNDLPGAERAELMERIRRDAVRGAGIFHRFKKGKQSFRLPDFGHEYALYNV YLFWRSSSAEGEEEGEEV EPUS_04918 MPTGARSSFSEAHRAKSFRELIGASPSTASPSDSTLIIVDAQNE YADGLLQTTNIAQTRPAISSLLETYRTAAADSSSNEKSNIIHVVHSVPKGTPIFTPST PLAAEFAELEPKDSEKVISKHLPSAFRDTELHAYLQSLPDGKGKKIVLAGYMAHVCVS TTARQGHELGYDVVICGDAVGDRDIPGATGEEVTRMVLAELGDAFGTVVESKDIK EPUS_04919 MAELPAKRARRTDSAAMWDKNESTSAPKSQRSTEDSREIKRKDS DRDTLDDIRDRRRNDRRRDDGRRRSKSRDRYQSRRERSRSRDRPAKGRDRDHDRDRIR EDRRGADRDRDRDHRGSRRERERSRSPDRHRSSKDARLDRDRDRHRHRSRSRSPARNG ADTPTRTRSPPKAPRADRGRPSASTATRPTEPTPPSSKVKKEPSPGAAIQIDSKTNGR NGVDEDDDSEDALLKKMMGFSTFKSTANTKIPGNNVSGVRKEKSTNYRQYMNRTGGFN RPLSPSRD EPUS_04920 MVQSTQIARLDGLMLAASVDDEQVESELAEVKSQAKMIFRRLNR NSEPQASIESGQYTLHYIIQDSICFLCICSKSYPRKLAFTYLSDLATEFTTTYLATQY HSPTLRPYAFVEFDTFIQRTKKTYQDSRASANLDRLNDELKDVTKVMTKNIEDLLYRG DSLERMGEMSGRLREDSKKYRKAAVRINWELLLKQYGPFAALGFIMIVFIWWRFF EPUS_04921 MARRSGRKTKAPEHFDSVVRIRDNSTQTSKKTKRQKRNLLTSAN ALQTNSPILKEDIQSLFASTILRWSSMTEEKKRNLIDAFPPAYRIHNTDETGKLQCPI SKEFVANDSIIKRDVARFKRDVEAGYYLKKWQDEGEKAMKERAEGHFNEYIKQHAEDS FGKTQESHKDHKMMNGMDEKKTESDNESKGD EPUS_04922 MANGGKDSHSTPQFPTHNAPRVWLLTSGDSPVGITLARQVLNHG DYVVSGIIPAEFEKDDTRSEEFKSFLSEVGRRGGDGWKERLKIVALDIRMMGQCQAAV AEAVYAYGRVDILFCCTSQAIVGTVEELAISNRTQTLVRDQFETNFFGPMNIIQSTLP NMREQKIGHIIVLGGITGHLGTPGLGMYCAATWALEGFCDSIAYEIAPFNIKMTIVQA SIEIGILLNRITSAPPHLAYAPDANNAPLFRGILDGLLNRLPGIRAQYVAQNQDPYSP KSDSERMGGPNILSQTEVVSLYPPLSQAHSEKLLAETVHALTAIGGHENPPTRHIVGV EGVASVKEKLKTVSEELEDFVEASYAVDVPIDSHGNPKMEIVDGDDDGPVDFGSHDAE DRHS EPUS_04923 MGQFFGGNKAGTTNSPSLKAGIPSFSERPEPGSISNYSAIPRFV APLWPDNSTLDISMYVSPSLALPALSSLPYDTLVLEEKNFIMGNYNEKREILTTFKVP RTVQENGTLWAHFYVALSGSQIDPLARDYNPATATHFFRPLNQYLPKKKERKLKNLLE KTEEPEDDSTPQTTIESFYHPNFTIAVIPDTGVQDWKAIHPAVRNNYQLEPSGARDSS GETAWYYPVIFMNRFWQLRSHMTEINSTVERLPLNIQLTNMKNWKYSMYAAMDDGMKR TQMQAATTDQVTAAGDGSEFEKFKEILLDTNSYLLGTTFFVSILHMIFEGLAFKNDIA HWRKKKDVVGTSVRTILANVFMQTIIFLYLMDNSDGTSWMILAGQGFGILLEAWKITK TVDVRLREPGPESKFSFLPYVVVFEDKHKLTETEKKTQEYDQIAFKYLYIVAVPLLAA YAIYSLVYETHKSWYSFVVETLVGSVYAYGFLMMVPSLYINYRLKSVAHLPGKAMTYK FLNTFIDDLFAFTIRMPTLHRLATLRDDVIFFIWLYQSYKYKVDYKRVNEFGQGGESD EEGEEKENADGKEVKGALEGQDPRELKPSAATTSAKANVGTAQKRK EPUS_04924 MNSQQAQPAIGFQEGVISEQGWMEAHASALSVTENESAGIDTAA YCYSRPFSNKHTLKPPEPERPVKVPKMMKPRSAASQTNGEEGARSDPPADWEFLRPNL KSVDACFDLDASCEDAFTDQLTRVHYMPDLSLFSLIDEQISTSAHTPVNGNASIDLGV LHAQSSTKDQWAADQLDEKYLELLDPYMLSQHPSDCCPQDSAPIQPLSTKLDVSSSST NPLQTSPLLTEEMQPLSIQAARHPPTQYEVVRNYWSGEMLSNSYLDRIRGAVDPAFSN DNWGDEYYFTDSVGFKGLKDIKPNSDHKIDDVATLEGLQLRATAIPHRTVEESWNSYY ATVDRVANGDRKGKVQFSSFEGAWQGTRQPTMLMDGQSLHGGLQHGIQAQKGTRCRNL MQGIRPAMPVAPSIHSVQFGTAPFIPRSIDSSGNNGLVGDGIFDHNAQL EPUS_04925 MSAFTLPGFIPVQSIPEISEQQLYAFPAFQTWFQTLQHSLSLQT HVTHAFHASPFKLRRITIQSCDYFGGKRLGFLKLKAEVTNDKGDSLPGSVFLRGGSVS MLLVVRPDDVNGDNEEYVVLTIQPRIAAGSLGFVELPAGMLDESGTFTGAAAKEIKEE TGLEIAETELIDMSSLAIPPPGAEEELLQQGIYPSPGACDEFIPLFLSRKRLARTEIK SMEGRLSGLREHGEKITLKMCRLDELWKLGARDGKTLAAVALYEGLKREGKI EPUS_04926 MVAFAEVLPNDGNFIMAAQHVALDLVKRIKIPINSTSPQGLRDA SQQDPWAQSGKYGLGWVYLSIILLLFATALRCYHLWGDKIRTAQYKEDVINSATVFSP EPEYELTSARTFRSTQRFFPSNGKLPEKPKEESAISTIAPLNNIVSLFRWIFYRPVPA LRIGRLYIVFPSLGATAVVAISLIFCILYTFVPQPFYYNSISYGSPPLAIRAGMISVA MMPWIIGLSMKVNFITFLTGIGHERLNVLHRWGGYLCLLMALIHTIPFYIQAHRDRGG FETYKLYFTQQYYIFTTGIAALVPLAFLCIHSLPFLRTWMYEVFVALHVPVSMVFVGM LFWHCNNYLRSWNYLYATIAIWFLSYLCRLFYLNWTNPFRMSWLIGEESAVTLLPENA IKVTIPTQKKWKPGQYVYLRMPGISFFENHPFTIASLCSDDFPSEYGEEYRDMILVFR PFGGFTRKVYNTAVEKGPYKTYRAFIDGPYGGMQREMASFDQVVFFAGGSGITAIASQ LLDLIKRMRDGKAVTKTVRVIWALKRPETMEWFKEELRICREYAPADSIHCSFFITAS KRYDKEPKPSRSRPHSDLLHDKVNDVFQGVASKRNSALIMADANGDPDRERELRDENE DSITALPPVFLAPPRFAVGEKPRKASKRKSQPAPPPAESKVFDFGFPQTPTKFQKNLM RFAFLPTTRSDGWRTEYGRPDIPYALKELSKDFGRRTCIFVCGPPSMRTDVANTVAKL QHDVWSDPGKDEIFLHAENYAI EPUS_04927 MASIEAVNEGVAIHGSISKQAQKILTPEATAFLALLHRSFNATR KNLLQRRAIRQAELDKGVLPDFLPETKHIRENDAWKGAPPAPGLVDRRIEITGPTDRK MVVNALNSNVWTYMADFEDSSAPTWENMINGQINLYDAIRKQVDFKQGEKEYKLRTDR VLPTLIARARGWHLDEKHFTVDGEPISGSLFDFGLYFFHNAQELVNRGTGPYFYLPKM ESHLEARLWNDVFNLAQDYIGMRRGTIRGTVLIETITAAFEMDEIIYELRDHSSGLNC GRWDYIFSVIKKFRQNPNFVLPDRSAVTMTVPFMDSYVRLLIKTCHRRGVHAMGGMAA QIPIKDNKEANDAAMNNVRQDKLREVRAGHDGTWVAHPALASIAAEVFNKHMPTPNQL FNRREDVHVTANDLLNMNMPGKITEEGIRKNLNIGLGYMEGWLRGIGCVPINYLMEDA ATAEVSRSQLWQWCRHGVKTAEGKTVDKAYAQRLLKEQTDELASKAPKGNKYHLAAQY FSGQIVGEDYADFLTSLLYNEITSVGPAAKL EPUS_04928 MHLLIFLNSALLLVAFAAAVPTKTTTCTEEPAATTSSAAISSPS IPYSVVSVRSGSPIHLLSMQARNQNFYLGGLPGAYCPQPPLSSCPSGFDTIFAGLGGL SALVPGGQAIYARSDGSFGFTQAHSARYPAGALIGGYLTYSKTDGAQFGSLGTHAFGA TGFMACPTEDQGYQVFAAVGNATAPEGDLAACLGFDALTVDETDLERGAWQYI EPUS_04929 MALVATAAVAGGAAITAYLNAKYHLGKDLDVLILLKRAQRDYDK AVKEDRLSFWYLFEAAAAKDSNATCLWSREGTYTWTETRALAIQYAQWFLSQGVQPGQ LIGFYLTNQPEFIICWLATWCIGCAPAFINYNLEGTALEHCLSICGARLLLVDQDPAC RQRVENSRTNIENQMGMAIHILDFSLKHTISRIQIDPPSDSCRAGTKGSFPLCLLYTS GTTGLPKASAFTISRHRLSGAHLRPSFDGRPGAGGDRWYVCMPMYHGTGAFSSISCLL QGVSVAIGKRFSVSKFWRDIHDSEATIFVYVGETARYLLNARPDPLERDHKIRGAFGN GLRADVWERFRTRFNISEIFEFFNSTEGMFILQNWDKGGWLGPCVGHHGLIMRALLHN IYIPVLIDHDTGDVWRDPATGFAQRTKYEEGGEILVKVPNKQAFQGYWNAEEATDKKF IHDVFKKGDIYYRTGDALRRLPDGRWYFLDRLGDTFRWKSVNVSTAEVAEVLGRFPGV VEANVYGVLVPNHDGRAGCAALLVEESKKEGFDYNALLRFAKARLPKYAVPVFVRVVR KSAHIHNLKQNKVLLRREGVDPRRRGLEAGEEGRDDGLLWLGEGREMDGYVEFTEEGW RRLERGEARL EPUS_04930 MGSVDVERSEILIVGAGIFGTSTAYHLASTHPNPSGITVLDRAP CPSPKAASSDINKIVRADYSSPFYMELAYEAMNAWSNSSVLKPFYHRTGWIALDEKDS DITDRVRTNFKNSGRPDESEDISLEEVKSRWDGILKGIDTTDYSKAYLNPSAGWTDAS LATEAMMHEAILKGVKHMVGEVEELVSSGHRLEGVRTKDGKLYTADKILLATGAWTPL LMSSLEDVLGIEEDQRIERQISAAGVCVAAFKLSPEEAEHYGKLPILIYGARGEMMPP NHERLFKFTNANTFTNYETLPSGRKISVPNSKDQSYVPDKLKEQSLEIIRARLPQILD NGRVPDLWRLCWDVISPDQNQLITQHPDPRLSNLYFATAGSFHSWKFLPIIGKDVVNV LKGESNGEEKAKAWKWKSTWSERGAHEKTLPKGDLKDFE EPUS_04931 MHLPKSSVFALTALLLPSVLAQVPASLSGDFNTEIQVNFNGDSS SGFEEGDTIPFADTANQPVFALGDASGVNTAISFMVMMIDTTDENNFIVHYAQTDFKT TGEKTSISASSDPKIPYAKPGSFGESGERKYTFLLYQQRGSREMQGMPKAGEKIDITA FNAANDLKPPMAGIAMNVNVGEPSADSPAPAPASAPASTPAPASSPSPASTSAAASAA TSAPPTTSSTSTTTSTQTTTMVTTAEPTPNADKNISGLDGPTVQKPIGMLIGGGFVTE QPFPANGTAGPPVVANIAAYVNGTMTKMSKIAGRGVAAGMEKRAGADIVQTSASMAAL VVIVAAVALV EPUS_04932 MSAARQEYQAVQGQDLLNESEDSKHLREPIGGFSSEDEDDASPT EALHKSQLDGTPRRSPPRKGEHTTIDVSKARRPWRRFCACLIPSKRVCILITIVLAAV IGAAIGGGAWVYKSAPKDGLSPPWYPTPVGGTVENWKRSYELARQMVGKMSLVEKVNI TTGTGWSMNMCVGNTGTADSVGFPSLCLQDGPLGIRFTDHASSFPAGVTVGATWSHEL MRAHGVAHGREARLKGIHVLLGPCMGPIGRNPAGGRNWEGFGSDPVLQAVGAYETIQG IQSENVMATAKHYVGNEQEHFRQSFEWGLPNAMSSNIDDRTLHELYAWPFAESVRAGV ASVMCSYQMVNNSYACGNSKLMNGILKDELGFQGFVQSDWLAQRSGVGSALAGLDMSM PGDGLGWADGKSLWGKQLSLAVLNRSVPIERLDDMATRIVASWYQMGQDKWEKPAPEG KGGPNFSSFSTKEIDLLHPASNSDEKGVVNRFVDVQGGNPGDPPHKIVARKVAAEGTV LVKNDGMLPLSRNRSATIAIVGEDAGPGEGPNVCEDRACNQGTLGSGWGSGASEFPYL VDPASGFKAAVKNASIKVNTYLQNDLDQDIRAKLKLNDLCIVFANAIAGEGFRSWNGI RGDRNDLNLQKGGDDLIIATTESCGGPTIVVIHAVGPVIVEKWADLPGVKAILLANLP GQESGNALADVLFGNVDASGRLPYTLGKSLGDYGPGAQILYYPNGAVPQVDFNESLYI DYRHFDKNEIEPRYEFGFGLSYTTFELSDLVLKKLKPKSALPAPRLNEVPATASSGDV PDPSTAVLPAGFRRLKKYIYPWIESTKLVKKGRYPYPRGYDEPQTPSPAGGAEGGNPD LFEPVIEVAVRVNNTGKRSGKEVVQLYLSFPDNVVEPSTGEPIDFPPKVLRNFKKVEL KRGGSQVVKLGLTRKDLSYWSTVQQNWVMPVEGEFKIRVGRSSRDLPLEVVF EPUS_04933 MLSSNLFYKAIPLWQFAAKSATSLLPRTATLSSRTSLRRQLFVP RKTSVMATPFSTQMPTKKDTLAARPSQEFDSEINDMASYIHNYKIDSDLALDTARFVF LDTLGCGLEALRFKACTKLLGPIVEGTVVPNGTRVPGTPYQLDPVNGAFNIGAMIRWL DYNDCWLAAEWGHPSDNLGGILAVADWINRTNRAGGNLGNGKIFTVHDVLEGMIKAHE IQGCLALENSFNKVGLDHVVLVKVATAAVVSKMLGLTEAQTRDCISQAWVDGQSLRTY RHSPNTMSRKSWAAGDACQRAVNLALKVSKGEPGVPTVLSAPIWGFYDVLFQGRKFEF QRRYSSYVMENVLFKVSYPAEFHSQTAIEAAQKIYAQLKGIGKSAEDIKEITIRTHEA CIRIIDKQFKAMDNFADRDHCIQYMVSVMLVFNRLEATDYTDGSEAAESALIESLRKR IKCVEDPQFTQDYHDPSLRTIANALTVTLNDGSSLPEVIVEAPLGHKLRREEAKPEIL AKYQRHLRPHYSEEKVTELVKLGLDAKRLEAMEIDQYVDLYVKDTMDWL EPUS_04934 MDAWDLHGASTVKTDEEWSTSYRDYTYFCDSQSSLVEGTELCTF LSRVFVKLPKLDSIVIPSSVHFMDANDNFVLHTSLVQKAMQRTLLGPRTPTFGYRMTD IWSVLAAVAKARVKLKHAWFDYIPDYFFNSLQRLMAQCQQLRHLRLESEELLGLSVAV IGLSLPWEHLQSLTLVHIVLLENELADFLIAHQPTLCWFKARACPLKAGGWESLSKRL QPYLPESIEGSNKSASFASKDWPLRLTPIWPSRKLDDWDLDFAFDDMTESKDGEA EPUS_04935 MDYSTVSSDLEQPGGSSPWASTSPRVDRSAFSQPTSDISSSPLP GQQQSPNPQGNGSPVASRFPHVSTNVPTTSAGLTSADDDTESPDLSEQLQSAQLGDPD YIGDHEPNLYQQQQRVQQSQRYAAQPQRHGTSRYQSGPRQQRPIPAYKLHAKITALER TGRKDPVLRFDVHTNLPKFRTTQFRDVRRTHSEFVKLAHHLISSNPEAFVPAVPPSVT SAGAGTDEDEARVKASLQRWLNYVCSNDVLMRDEEMVFFVESDFGYSPVVNMKQPATG VRRKVLKQFAPPPDDTPELHEARPVVKLFYLGTLDAHQKLEKMVKARRGLGLAESSLG ERLTQMHVQETHPGLSTAYRKLGRVIQTCGDFHAAQGTAEATTFGDPLSYHSQDAFVV KETLTNRHILLRELLQAEGVRKSKESAVTRLKSSTSVRRDKVDEAINSLDEAVSQENY LKGKTQRVTANLLLEKRRWFERTAKDLNLSIREFVLREIEAERRMLSTLESVRPDIRA IDASGGLSRLGREHNPAARRASLASSQGAKGDAWSGVPRTRDSLSRSISGSFAGAASI PEDEDEDEGSVDGDFTERSKARPRSGTGSASIGALKDDDEDRVDARNAASRLAQSTF EPUS_04936 MTLKPHIVLLPFQKKSSGVPISRIKWHSLLSRTYVTHVPPSNAP QVARTRNIGIIAHIDAGKTTTTERMLYYSGYTRRIGDVDDGSTVTDFLPAERARGITI QSAAITFEWPQKPSEPESADLVESVESGTEPKSALSHHINLIDTPGHADFTFEVRRSL RILDGAVCILDGVAGVEAQTEQVWKQAGEWSIPRIVYVNKLDRDGAAFGRTVKEVASR LAGWPAVCQIPWWEGGEGRFTGVADVVNLRGLLYPKGGDGKVIRVFTLQDLEKANPKL ASELKRARIALVELLSEHDDTMVDTFLESNEDHLAVPAMAILSSLRRCLLAENNRVIP LFAGASFRNIGVQPLLDATVNLLPEPRERPDSEISIGATKIGLQDLLIGKLVLPNADS QASKRGRKHSATPSVPPAHALEGCALAFKVVNDVRKGVLVYIRIYSGSIHRGALLYNT NLNVSEKATTLLRMYASDSLPVQSIEAGQIGVIAGLKHARTGDTLIACYGSKVVPPEP LDRLQLRPIEVPPPVFFASIEPNSLREEKDMQEKLALLLREDPSLHVTQDEDTGQILL SGMGELHLEIARDRLVNDLKAKATMGQIEIGYRETILHPSEAMTKIYDRETAGQKGKA GCTATMEPYNTTESQSIEPPPSPQDLEAWSHERDGNLVTVLTPKIHQDNTSHSSKDAL LSPNLTLPDLRTAYLNGALAALSRGPGKSYQVRSVHVTLTLHPPTHLFGTESTISALA HFATIAALKDSASKGGTPLMEPVMDVTISVDEASLGPVVHDISSSRGGHAIRTARRRG DQTSH EPUS_04937 MQSVQRHFGKYMKRSADESQVSVLLKDFEDADLLLAKIIDASKA WRDSWSSILSYQHRLVNEFEGMYAPIVGAADSYHGHQPVDTSENTMTRTVRLREEYEE LKKDLMEEVNLVDEKMIKPAMDARDSLSLMKKTIKKREDRKLDFERYQGRVEAGRKKT KRSDRENAALSKAELDLNRATDEYNAADDNLRHCLPRLITATFSLLPHVLASQIQIQN TLLAHYYTMLHNYCSDENFPNPAPPMDEVIRTWDDGFKMIQREAESIAILANGKAVRM PMKIEDSNGVPNGYNRRPSGQSNLSRVPSTSPARALPAPSPTIDAKPKIGALPSPGTS LMLSAPPDTAVSSPTPSDYHTPLPFSPAAPRADYFSRDRQSSHTSATSSMTNLSATIA GKKKPPPPPPRIPSSQALFVTALYDFGGQGEGDLVFREGDRIKVIKKTQSTDDWWQGE LKGVRGSFPANYCE EPUS_04938 MSTMTTTVRGTPSLTVAPTQNTAPVFEFRCLFTHDLRKKKKIWH DGSLRFHTFNRRVMVYDDLKNYIGDAHWRETGEFLEGEELRLDKGVMVQVGEQIGHTE TDLAPVILDKRRLEITSSPPRVPLPSNPMTSVPRLVGTGPQARPKSLAAVLGASQGRI GRARLPGRSSFEQRQDNVQRLPETYEDRATKRPRIAANRADKENRIQIPAPARPIQSP TADATGKVHDTRINLPSQKKTFLSSNRNLEASAGSFVPPLSALSHHGGEWKAREQPTP IPEAEIRRNPCSSRSTTTSGSVQKKAGESFLSALNSDQTSKQNTAAHSPTVPTRNSNH TKVPADDATGSTRQFGGPVMTKLCFKNEKPRKKLIYKDLLLRPGQEKRPRPADDNRYA QKERNNRRAVGDLQHSRQNMPPDTLVIDLLNEDEDDVPTTGKTHVSAHIETALEGTPS PVPSPLSSSSPLFVNELSYSTDFEPSQLSVCEDFKPPQCPEFPSQKSFDKPLAEGLHR SEISSRRATGNLEHTHAIGDEATEVDPLPRMSSNLTLLDQRLRHTSAVAEIHSKGACI QSPLKQRQFRRILSESDSYTHCRPEVSPVEFPCEPACTTTASVLHSANPGQKASRSPT RVQRSISDVTHLAQRTEVPQRTAAITPAVEACFEPWSEPEAYLLFDWWPSGREKPSFV MDES EPUS_04939 MPLILDSPSESSFETPPDPLEERRRLHHDADVVIVGAGILGSAL AVALADQGRSVILLERSLKEPDRIVGELLQPGGVEALEKLGLRHCLEEIDAIPVKGYE VIYYGEEVAIPYPGNAHGSKASPEKTSKPEGRSFHHGRFVSRLRSAALIHPNITTFET EVTSIIKSTHTSEVLGVESLTNKTKPEFFFGSLTVIADGYASKFRKSYLPHTPKTRSK FWGLELIDADLPLPEHGHVILGDGAPVLLYQIGTHETRALVDIPDNTPTASVKVGGVK AHLRNVVLPSLPQQVQPSFAAALDKGALRSMPNSFLPSATNKTPGLILLGDAMNMRHA LTGGGMTVALNDVVLLRSLLSPHHVPDLADSKAVLHQMRSFHWQRKNLTAVINILAQA LYSLFAANDPYLKYLQMGCFRYFQLGGQCIDGPVGLLAGIIRQPSPDARGLEVDQAAP GSPKMRLPQDKLAVIKRYIHAAQGAIVAIAWLLCIIIFTRQGHSDGRVGWYFGLCWLS IPILVYLVMVPMWPRARRFSNVYAFATLDALSVVFWLSAWAAMASYVSQGIGKGDNEE ATGCDNFKFGSPGRCKISQGVIVLGVVLMLCFAATAFISFKAVMHYKRTGEMPNPTMG NENFAKQTQDAFSSNIRNDDPFDDNHADLDARQGGTSGYGPARRSEDDEYTLLQNDHD EINQAQPTQPAGPLGYGPNSGAIMHDYDTSYAGSYGQNIPDGGYGNAPYSR EPUS_04940 MSSAVDNLADNLANASIQDPSSTDQQDNVLASAAEGRRLYIGNL AYATTEGELKEFFKDYKVESTSIPVNPRTGRPVGYAFVDLETADEAEKAINNLSGKEI LERKVSVQVARKPEPAGDPATGANNTTEPTSGGEGRKRGSGRGRGRGRGRGGRSARGG RAATGENGETAEAGANGEVLPLTDVTNEANKAPAAEPKEQKPRTTRPPKQRGPPEDGI PSTTKVMVANLPYDLSEDKLKELFSAYEPTSAKIALRPIPRFMVKKLQARNEPRKGRG FGFVTLASEEQQQKAVAEMNGKDIEGREIAVKVAIDSPGKEEGAEEPKENGENEEVAA AA EPUS_04941 MWEVDPETRSKLHQIQKKEGSGNDRCCDCGAPSPQWASPKFSTF ICLSCAGTHRGLGVHISFVRSISMDAFKQNEILRMQHGGNKAWQDFYNSNIGIENGGK SFEDSSIKERYESDVGDEWKERLSAKVEDRDFDRETWKKEREAYKAKAAATNAGSRSQ TPTGSGPRKGVSGIVSATNTNPTGRSESPASRSNPSLPLSQKEQKEAYFSQLGAANAS RPDHLPPSQGGRLGGFGNSPPPTDPSHAQESQADPIATLTQSLSWFTTSLSRTAKTVN ETYIQPTAGKIASSDLAAQARAAAVQAGTGIQSTAKTATESFNRFVEGQEPTARSAAG AGAIAGSAKKATEPERKDFWDSFGAAATASTSTSAAHDSEKPKPKPSSIGTAAMKTGG NGEGLAGAPLGSAAATTKGKGKGLGEDDGWDDW EPUS_04942 MARLQNVEVRGFKSIPNDIVVVELPATLKKLHLKWESSMGFGPS TPDGPLNDDQIRRCIERNRQDFEKVVTKLFEKAPDLQIFFDTVVGHAPLNKTSAMTAR YAIIRVNVVKGQDGEMWSWGGIERKVDRLMVEEG EPUS_04943 MRRRSTFLHDPKLEVDPRQLYLSGDRFVIENLRAAREERLTVGF DELPEELWQVLRQSHELHIRWASEYIYDAIPPFVSKISPGLHVFYTPLQRQSGDLLCP LLHKVFSSTLKCSSPLSTFTTPHLRSGRFASTSSLQYHSLLPSLDSLTQYFQRYLCRH SDQQCLHSASLLNVADTVDIDYDSISHTLIFTAHWSRPPAVLYDPLTGRTTENGWSLS VNTSANAEKVEVGVLSNEPPTDPSDISLSGFLTVVGEDDHPKPTLFSFPSRHHALPPP QSQDQRYTVSFLQPTGLHPTMQISFPTASALQPPANKPADSACTLHTYLTLPSALFAD KYQLSATADTIFMQSHHLTALRAISGETDLETPDYVVEKWGSNLLLELAIPPSSSSSS LPKSASSTPPNQVPDPSSRGWNITIPLHLRYLPPSPTGHSTIDIPDPILFWACTAEEG TKFPVNPFDRVNLGYEGLFGGRTMFYHLDPAPAPAPDLGAAGRRGRGNLVQRIPVPVL NTEAWGAEWVESGAVAWVVWRLWASRLSVRGEAGPKGVAGRVEADTREGGGGEGHESE KKKKKQ EPUS_04944 MISATRRWVRRNRSGIAIAAGVVGATYLAGQYVIGKISEARERM TSDRLAREKYVLASSLPLTDLAQPLTRASLRRRFEQNQTDCTFTVLALLPNVTENILE ALPVEHLTHELQQKRAERLARSSGEGTPSELSSGPSSVRDGGDNSSLSSFQSSSYIHA SQLTQPENGSGRPRKSKAQLWNEVKISSITRAFTLLYTLSLLTLLTRIQLNLLGRLNY LFSVVSTTRPLPLERANSISLEDNDSPGSPGGPTYGNDFETNRRYLAFTWYLLNRGYT KVLSEVEAAVTEVFGTISPTEAISATRLSELTLQVRQKIEGSTPAQRRAKNWLAYLLP PRAEEEDLLIEAGVLTPPSISTSSASSSDQRPTSLPPPPQPAATKSGLETPQSRLRKL LDETSDLIESPTFTRIHTLLLNSLFSHLIDKKVSEQAFPAPPPPTQPNSSSTPQSSAE APPQFQRITEISSSVTVVPANNHETAAPAEPTTKLATILALLTRQAHVIGSGNTPPPP PNNEYVQAMEREVRELEAFAAVIYSSQLDVESVVEGGNIDGDQKVEGGQEGMLESVWS SISGTDGAGAGR EPUS_04945 MEHRAPKEYVLEIFADQTFVKDIVKAVLHTIFFHRYFPPIRPSL RDLPQLDITLPYIADPPEIETLIDTRTTALVHQLTSADTPNGGVRGQIAIQFFEKRRR KTGGWLGGIGRGADEEVCWEEWLLEVTIAKPKTESERTKLRRAMESSLQKTAMKILAI VNRDKDHIPPITTHDANPFPYQLVLNPKQEGYGHRFGSWN EPUS_04946 MSSFQDKAQHQISQLDKELSKYPALNNLEKQTSVPKVYVILGLL SLYFFLVFFNIAGAFLVNFAGFLLPGYYSLDALFSSSKVDDTQWLTYWVVYAFLTVLE SAINAVYWFPFYYTFKFILVLWMALPQTSGAQVVFRSFIQPVFSRFFSESGSTAADLR AKADSATKNQ EPUS_04947 MVHAHAITEIARPQSQAGQMQAHSHHRQISSATLPDTLQRSRSD TMTSTKSRPRPKSRGSTTSIQSAGTATHYQQDQPLPHSMFIPSQHQQQMYNVSPEEML ARYGQEQFAQIQQYNLDPSLPAQQHNEMQSHEMQQYAMHSQGYPQAISQFGASDHIQH ALARAGTFDAADNQSPAPEDSENADNGQRKKKGSATSIANDAELRRLVQQYQGQTLKT VAAEVQQNEGGGGKSEKAKQVFAMLWLQESCQRSSSSVRRDRVFQRYTARCGDERVPT LNPASFGKLVRIIFPNVQTRRLGVRGESKYHYVDLSLVPLEGSQTVTYQPQTISRPAS QHGHNNSLGEASMSHPNHTRNGSSHQLSAAPPHTAEFPAPSASFGSQPIDIATRYNVY PPPPVKANGKMHCEKSNAPLLRISTNQMSPSLITCLPSIRSSLPATLTTYLGLPSSTS HTSPSLSQTEAKIELPDIHEYLAGVHYDPPIVDSLSSLYRSYCIMVIDSFRFCREKPF FHHHSAFNGTMTVPVAKLLAEPRVASWIQECDIRMYKKMIRYIAPLVTQVVPEPVWSM FDRVSTKLVSHLVSAFEEKCPAHVVAAKVVPATRFCNLLKKLARVNQAANNVAPLLSD ETTRSQMWADMVTLVSPDRLLDESMPSPECWTAVEWCLRNEMRALLTPFDEEALRPIE AQDSTEWSQYFANTAILGKSSPTQPTSESSLDRWIQWLEKLPEMFEGHHPQCIIDWHT RFWDSILTQFGMGGAQSYQAWWFLKAFLSSMLGWMTQMEGLLLPEAEQRRIDDMEKEK RIQDEGWAAGHAYSSAIFDADSNPVPGLKRKRTQDDVGGDERAVDPTRSGKANEEVYG LRQQETSNGHRVSASVEAGTISDEDEHAKGDDEGMNHPSLELPSIETANSPKRPNQSY DDSGISLDAEIEDGAEVVGGENAVAANDTGTDEKEKERQKQKRLRREWGILSDGPDAM GEIVVI EPUS_04948 MGNQQSGLGGPPPGGDGKDDKDKKKDKPKYEPPPQPTTRIGRRK RKAAGPNAAAKLPTIYPTSRCKLRYLRMQRVHDHLLLEEEYVENQERLRKAKAANTAA PSTAPESEALDRNADERGRVDDMRGSPMGVGNLEEMIDDDHAIVSSATGPEYYVSIMS FVDKDLLEPGASILLHHKSVSVVGVLTDDADPLVSVMKLDKAPTESYADIGGLENQVQ EVREAVELPLLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGS ELIQKYLGDGPRLVRQIFQVAAEHAPSIIFIDEIDAIGTKRYESTSGGEREIQRTMLE LLNQLDGFDDRGDVKVIMATNKIETLDPALIRPGRIDRKILFENPDQNTKKKIFNLHT SKMSLADDVELEEFINQKDDLSGADIKAICSEAGLLALRERRMRVQMQDFRAARERVM KTKTEGEPEGLYL EPUS_04949 MASNKARRIAKELADLHADTSSQVQADTVGEDVTKLRGSFGGPP GTPYEGGKYEINIDIPSEYPFRPPIMKFATKVWHPNVSSQTGAICLDTLSTGWSPVLT IKSALISLQSLLSSPEPKDPQDAEVAQMLIKNPKEFERVAREWAVRYAGAPKKNVGEG SGGATAESIEAAEKEAEHREQEANLAVYEGYNKDLIDRFVNMGFDVDRVVAAFKYVGI DRMGGEDYELEEAYMGDITARLLGEP EPUS_04950 MIEDDIYRSSTQYRYWSYTSEALASLRQSTNELASERVRAAFGR IRDAKKVQNAQQPEHKSGEGGEGRQDPAKDVETLTVEEELRVVHWGCSKIIETGKVTT PPIPMEIRATAIQFLRRFYLTNSPMTYHPKQILTCALFLATKTDHWHIPLSTFVDKIP GTEEKDVRAPEFLLMQGLRFTLDVRHPMRGLEGGVGEILKLFEEKMLRLDGPDQKGRV GRAADRASQLLRVAAQMTDVYFLYTPSQIWLAAFMAADSDLANAYLASKMDHLGPAAA SLRAKLELTIRSCADMLSNYKSEDEANEVEQKEMKKEMKRIGRKLHLCQNPEKMDLVA VTKQKAAEKREGSESDKERALKKRKLEREKLERDGDVFGPSLKNSNA EPUS_04951 MLFSLLGCGKLAVIGLGVLAVANPMPANPHPTPTKEDKHNPAPK TVTEHKTITVTHPVTEFKTATVTVTKQGEDKTVTVTKEGEKKTVTEFKTATATVTKEG ENKTVTVTVTKEGDKKTDTVTVTKEGDKKTVTEYKTVEGHPVTVTAYVTKTADCHDYG YGY EPUS_09067 MPDYLEGMQSEMTGNETWTVEASVNAFVATYNQSTESLRADVGF LNETLSEKDLHSFSLFDTENEFGALPGIPQDTDGVYYLLGSFPFKGQGPALSNVGMDD QTRFKPFRENALLFNIRRRKCWGKCNITSSGGVKLDSGNCNDTTAVTKSEFLRQDRLW PFWLDTLPVLVHSLGKFAKSRNQSPWLRSSYATAVATAWWACAIHMRDFALDLAGSDS LKYAPPANIQVVNSFVQILNAKPGLYVIIALQPVFTAFAALACVIYHSLPVGKGFGLV YILAGNLADFEEEDIEGDIKEDIMKDNEKKDTEEEDTEEEDTEEEVQKG EPUS_00669 MSVRFWITQPGTLITHWKEVLSRWAFAKGSGHGEGISIAEMSMR SWIMQPGTLITHWRTVKYAAITLVGGLALVATLAATFYTTAAEALVAPKLRFGPQQER VLLGTVATSFANAKYLSKNCKTPVSEDQDPEEGGRTCLQVEYAGQSYHDFKTWLADWS ETVLLGNNTSTSSLDGRPQPVAMLHDNTTVTGQWISSGKENITADSAARGRLVQDVTM AMPHANVFNAVMNPANRILQPQDLQGQGEYEISASTPAPALKVLCVSLNATELSPLIE TDGGPRPFSPQTVVDELFGFGNESPQEPAPQFRKIPFKYNTIHNISEPWGPSAVYLLA TPPPSLWTEIYVLCSLRATQYPYCTTKYHAAQSGGQLSVHCDDSPDNTMPYIRSNPSA TPVSIVPDWKDVGSEWIRSTGLTQGVSDANASIARLVTQMVPINDKQIPVLNPNLPSI AEALAVLAGCTLVMSSAHAPFVPYYNYTINILESPQTQYFNASLRYKDYASGANHDWQ DMFYVILFLVFAINVFSLIYLIKQVCGDGQVTDYTEPENLFAIANLIPPNHILQGACG GGPRGGMLGKKWRVDMKNPDDTQSITHGMRRHPHFYVKCVEDDLGSPEVSGKERKRRI RPKSIGQWYMMDQAESPAIEQYRRLTG EPUS_00670 MVKVEVDFKAAPECRLEEMIKRSPSINSSPMHFSWHHLSPRLVL TSSSPDFDPTSISHWKEEGFAVVYLPYDSDAKAYRNSLQHLADPLELGDKYAIVAYGE AASLCLEACMKPMPKLCAIVAYYPTRLPTTSTAFPPSLLVQVHIASSQNFGSRYPSYT YPDTAPGFAEHDADQYDKIGSRLAWSRSLGLMRRGFDINVDLEAIWENHTALEFAAKD AEATMQTMVAEPYVNHVPTMTGGIGYNDLKKFYAEFFIPGNPPDTKMKLLSRTVGTDR VVDELLASFTHTKEIPWMLPGVPATGKRVDIIIVAVVCIRGQKLYHEHIHWDQASVLV QVGLLDPLLVPATFKTTKEGAEKEVDKLPVVGPEGALKVVDEENGESNLLIPDW EPUS_00671 MNSNNEAENVELHLQEILLAASQHDLTTLRHLLRSYTFSNCKSV DVQDPETGYTPLHAAIAACEAEEDIEPATSATPRNGSSLEQVTNGAPATSGRSSQEKE LMLRAQETVRCLLQNGAIWNQLDKNDETPGCIANRLGFQQLYELMVDAGVRAELLLNR LEGYEKLDDSEEDEVTGPADDRTNETSQSCDEPPQSNIVVPLETESNIAHYLSSALSI SAERILDEDSNGVMMSWESEIMSQSANALLVAPNMKVMNIGFGMGIIDDQFQLHHNRP SSHHIVEAHPTVLADMKAKGWHEKTGVLVHDGRWQDILPKLVSEGQTFDAIYFDTYAE SYSDFRDFFSEQVIGLLDPTGKFSFFNGLGADRQISYDVYQKVLEMDLFEAGFDVDWQ ELEVPKLTDEWEGVNRRYWNIEKYRLPRLAFRSTFYLPQPLVDQIPRRRSNNATSNIL LTSSSEMSSTGAT EPUS_00672 MGGRIRVTDRTVDFMRQIRSNARHISQKQQKLNEINDEITSDED RIERLLQEYDEVQEETGGKDQLDAIKQIGSDLKKLDSLKVSLAEELSNHKSSRLFFRE LLEDEIWLALIQSGQVRPDDDISDSGLSPHGQSMEDSCHPINSHHDVVLRQNDPDAGQ DCAMNDDPDTTREQMRKAMSLLEATRNNVQDVGMRFRQLDRLCEEQRRDFEDGIVPEW QDMTRTEFDLEQLALRMDLTRELVEAEKAYSDAGRFAVEVGYSPDDSNQSCHFVDDPE DGLCSGDRYATFVEEKGMDFIEAWREGIAANISTSPVSAQGDDWDVDSVNFGEGCSTH ADEWYKPKIGRWEDARELERMKWHRAGIVARPDTMVCDHTSVLDHQDLSEAVPLSVSQ YTETDSSRAGLWKPSLARVKELVVTGHAAVARFSATWSTR EPUS_00673 MAALHSNQHAPTQSFIARSPSVLKAYTPSRLYSVASRGDFYRPG ESTGDKRRLRDDGNSTTSKASRCTYNSRYPSRTYGQQNRIVRNTPSIQKQELFKTGTI IRGDHVEEAYKNGTTLMDKSMIHVPGHNPICKKARFFIVLAGHAMSYVCLPIFTHNGN GTRNKPRPEEFVSIRDHRATIEVQPQSGHEPLMTLEMSGMELKASSVVHLAYPTSRSY ELPVEVIGRLTATSTNRCIQLFRRYMPVEVSERSPASGTGVLINAGVSVSRALTNLRF EEFTHLFRNMSWSKVTCLTERELGAKGIISQTDRQQILAVFDQIAKAIMSGPDWTVSI NNDTLAKKVTVTDKDTAVHKDTVAVL EPUS_00674 MSDQFPLKGSSYSTVLKTPAEVVKDTPDKSTILKTPSKPEQKRM EAPKAKGRAAEIDKSNRFEHRRLDPGTIFIAEHYEGAGSDSNFGLIREDGVTADGITT CASHRPIVIKDRKFIVLFVHTYQYICIPLFTYQKDGANSRRDEEEHVSVEDCRLHTPR TIQQSQWKPLRTLYMTPEAHALAANTAARVTFPISRAKNFPVEVLGALDNESIERLKE LFIIFNDLAPNGEVKTRLENKHRDPTWTGAPSAMTIPGSTTANENLNPPPGLGLPTAS TSFGSTSPAARSQRTFGQSWRTHSSGQSGSYQAGGFDWGRLGQRRAGAASRSQGSQGE GAGQGGGDSQGSDQQSGRGHLPQSFFYAQRRARRSWRPVMDKIGMKASAWSGDGGSAK KNKRKAEDRGDDEGGEKRRDQPQGQVRRSGRKRTKVGSYAE EPUS_00675 MAPDKGKSIFIGNIPFNMSEEEIVHMLSSAGTVTKFRLMTNPDT GKPKGFGFADFSDADSAASAVRNLNDHEIQGRKIRVDWPHNNEKDSVPQDYSQVNTSN DMAAGPPGGPPALPPLPLGVDLPPNLKCADAISNTLKTLPPPQLLDILSQMKTLTMSD PETAKRLMKEAPQLAYAVFQALVLMNLVDPNVLATVVEQNVQASNAQIPLQQQPQQQQ PPTIPQQQPPPPQYGYPPPAQMQNRTIPTPQQYAAPPPPPAQIPQPQATPPGMSPEQR QMLEQVMQLDQRTIDSLPPNERMQIMQLRQQYQQMGVR EPUS_00676 MAQDPREAFRRLQQTLQQRTRGGFGGRGGGVPGGASVRPLAGLI LLGLGGLVVSNALFNVDGGHRAIKYTRIGGVKKEIYNEGTHLRIPWFETPIDYDVRAR PRNVASLTGTKDLQMVNITCRVLSRPHVQHLPQIYRTLGTDYDERVLPSIVNEVLKSV VAQFNASQLITQRENVARLVRDNLERRAARFNILLDDVSLTHLAFSPEFTAAVEAKQV AQQEAQRAAFVVDKARQEKQATIVRAQGEAQSAQLIGDAIQKSRSYVDLRQIENARQI ATILQEAGGKNKLYLDSRGLGLNVHAGEADKKQ EPUS_00677 MFYTSSRIIIVLTSTSWVVASALVVINRPKTTPFSLLALYSSLL VSHSIIFIENHPARRHDSIATILGALAALLAIAIILHMPMRDSDLPDDQISQVFEAPD PRLRSPEDNLTLWQFMTISWMEPLISLGAKRQLNDQDVWQLGYEFQHKRLHDKFRELG GTVLSRVLDANKIDLVLVSFLGLVELLADYSTPVLLQQLLRSMEDVHAPRRAAVVYAV LSLVARLIAGQTAVFSLWYSRRCFERSRGEMIMMLYEKMLMRKVVGLEEDRPETDSEL AIGRPPEHPGGTNEKRGQPKQSASMGKVLNLMRGDVYEVAQRFWECSSLTTKPLGLIF SAIFIWRLIGWPCFLGVLTVFVAQALNALIARGLLMWERRRRGATDIKLQLITQFVEA IRHLRWYGWQDTWLRRIMEARQHELNLRVVTSMWSTLIGFTNTFANGMFPVAAFYAYT YLAGQPLRIDIIFPALQLFGMLGSNLRDIPGLITALLNAYVAVGRLEAFMREPNKPES EIMPVAGAQLQLKHASFSWPGARRLVLDDVSVAFPSGLTVVCGKVAAGKTALLQALLG ELDMRGGELVRPTEMFGYCAQTPWLQSMSIRENILFSAPYNDSRYKHVIAACALTEDL AALEHGDLSNIGENGVGLSGGQKARVALARALYSQANILLLDDPISALDQRTAETVVQ RCFEASFLADRTTVLVTHRTDLCCSLAKQVVEISEGRARLLGPGTSFSSSLSSMQSSN TMTNADHAKDAERELAAVPEKFIEDEYRATGGVRARVYWAYIRAGKLRWWLVLVCVLT LFRLITVGKAWFLKQWGEAYNQPEALTISDPFKRFPSPEANIRPWLIVFFLIAVIQAV IFLVSRCLMLIIIYIAGRQMFKDIMKSVSYATFRFYDVTPVGRLMNRLTSDIGTIDGN ISQQFQNVAWLLIEWVSSIVVIASVTPGFLVFSFLLTLGFVLIFLRFLPTSQSLRRLE TVSLSPLMSNFGALSDGLATVRAFCAQHRFQNRVITVTDAFQKMDHFYWSLQAWLMYR FDILSASSTFLLTLLALYTGVSPGLTAFVLVAASRFVTSTHSLCRQYGQLQMDFVSVE RVVELLHVDQEPPGTVSPPAWWPSFNGDVVFENVTIRYAPHLDPALSSVSFRIKAGSK TAIIGRTGSGKSTLALALLATILPESGRIFIDGIDISTVDTQALRSRVTFLAQEPLLF PGTLRQNLDPLNQHSDPECEAVLSKILDQSRSHNIDTSHGDRRWSLSTQIDTAGRNLS QGQRQLIGLARAVLRKSPVIILDEATASIDLPTALRIQQVLAEEMEASTVITIAHRVE AVRQWGRACEVWGNGNGNGGRGNREGNRGSGNVLQGRDE EPUS_00678 MPSRLAAPVLTVDAGKMHKVDPRNVESLFGMWTVFSKCAESIEG GKRLENLSWRLWNRETLCCEAQPQYSITPAIDVSRQRPSQKEVPALSSSVDSADSDEP SEQETCSGQSPLSDDSALSRSRGKEKHLTSLELEKMVFNIKEQTELEPLSPSVAANCP VTASETHKPSSPSPQVEPMHPEPSASLHNSSDSCYSAAIGQSSRHGDHRDSDTSVSSG SIIKSGSIVHGFAPSLFSSSYRARMSPDSTTLIPNDSSSKPEVCKKKAGGMFTLGGSS GDDESSFEDRMCKQPQLSSLSDRLKYPEAVSRPSSFRAALQSGQIRTIKEGQDEDEGA IESDDEEMDESAIEEEEDSDWEDSVTESGLSSVPEEKPVFKRVDSRANLVSRKSLLTR GLHEPQRAAAMAEAAMKSSAALRRSRTSSPHGPSVLASPTTTAESGNAGLGVDVIRSK PIICTTSNTHLPAHSPRTTRRNMLATELTESLRRHLLWERQQKSTTANAVYKRRHTAH NEMANLQDFPGPKVVQPLKEVSKNNSWNHYFDYGPWEYHTKGW EPUS_00679 MQESMDPTEAIAGSQHPSHDRDSDLAEIHGCKAGAACSFSHEAA ANAPKSGNEPIRPHKQGSPSSLTPVPIHHRSNGSRETSQVIGTGRSDEQPNSSDSRVA PPTEKRVFQPIPETQRADPRQFQLNQLRRRFSPEEKEVHEATALTFRLVPTDPDFPFE MMALQCTLLVPHSYPTRGRPSLRVTNPEMDRGYQINVERGFDSLVTTMSKSTLLALMN ELDKRLEGFLVSEKAQTIKLVTNTGKGPSNIALRSTAPPAQPAAISSEKEPSSISSPP QHSSQKISEAAVKRESDIRQLEARMGRQPHFSKSPGGLSFFVPLQISQLSKLPVSLQP LKSVRLFVPLLYNLEPCSISLVDVSSKEADTVQAAFRSHATAHPEMTLMAHVNHLAQN LHTMAIEAPAAATTPRSPPLAPSPSTEPPVRTSKDVGIDQEEDRPHIHIIPRPPEWDR PRDDIDQNSSGTDGSEFESSEYGTDDDGGAAVPAEARVGTDIGPDLGILLSFPSLALY GAELLQLFSISLTLKCDRCKEMKDVKSVKSYTPADTSLIKHESCNKCAAQLSIGYRSE LMHPTSVRAGHLDLDGCSIVDLLPSNFIPTCSQCSTPYPAPGVVSFRGESTMVMCREC HQKMSFKIPDVKFLRVSAAGVGKNRPLPRKRPKENLGIVSGQELPRRGRCQHYAKSYR WFRFSCCSKVYPCDRCHDATEEHPNEHANRMICGFCSREQIYRPEDCIHCHSSVIRKH GGGFWEGGKGTRDKVRMNRKDPRKYKRRPGGTVGGGGKDKTKK EPUS_00680 MHDPSLTPFGEQQCAALRETFPYHDKLCLLVSSPLRRTIYTTLL SFTPALNNGRCQQNIVALPEMQESSDFPCDTGSDPHQLAEEMAENHVPVDLSLVKEGW NVKKLDSKWAPTSEALSKRAREARIYIRDRILDLQKGGEKSPEVVVVTHGGFLHYFTE DWEDSGAYNAYKNDRINELRWDIPGTGWRNTEYRSFNFADLNPSSTSESIKGTQSNEH LDDYENATLRETNESRARRGKEEPQHGRDKQAELFNAAMWGWKDQGTQNPDKIGEVEE EGDEEEALLRVLTKGSENVDKKGGEAPATDRRGESTADAVAKERERSRSLSVRVAA EPUS_00681 MRASLTFAAIIVATMAVASALPQVAPRDPPEPVCGDVAACCYVL DFGVISSECKRLEVAPRNVDDFDEICGEQGDYVAQCCARVEGPTSVVVMRSLTGAESH PSQHGHQLQRRFRIPQDLPASISRHVVPVTEPDDIFRDFQSRRERNYHLLIRRFVYQI LHGCTNPACKTPTCLSYQKRTTKVPLRKLTSLSARTLACYLASESNPEAALCPNCPQV FPEHESQPALKKRPRGKSHNVTFESIEKEDSNCATQKIAANGRLANSDIRRSRPGVLI SSSRGASISGNGRACIRGEQVDEGWGNVSVGTYADESPARDPKSFTQSLFETLPLRML EWLPFQPRPGNQNDTQYHSAPERYATESENSAIRTSKDTRETPTRQTSQTDSIPVKRD LSRRLPSDSSRKRSDAFHQKRPKGDARNVQKDDFVLEAEHVTRNSVFNTLKPKPTASA ESLPVSISVPDEAKSSHPGDSSPTLSVELKPVLGIETVSEKQIKETSDSLHDVQSTQK DDHRIDTDDKSHLSKTTTVDKDASTTTYTLEKVSWQTFTDLRSMQATLEKECLGYRLT NPRPWPDDAKALPDHALRFSDWTAFVKQSCFYLLKDSARLARAFKWDQKPEENADTYT WPTSILPIKGLEIFGALYHLRPSEEILHYLSHSLDQVFLSTHELMRPPRSRTNRGWYS GVTLSAKQSVDGNGAGLSDRQAAFVLAVSLYAIGRFSAISHRCSELLHADICWRLFCR IRSFGRILPADFVDILEKENVPDCHLAHLQCLVDVFQCEIALDLVSKLARAISNRLTF SEISNARAKQESSIKRQSILQFLIEYLKDFSVRHFSLTESQDPALLSSTTLEWLRTIL LRDWNGHAVVRRQGAIGGALQMLAAIYEDRVSLRLDEKLFHTPFFAERFDSMEMPVEW LSYRPDNKTFHLLSFSFLFPPSSLVTYFRALNFATMSKSFETALITSKHVTQFMRSGF IQAANTGQLQSTMRPAVASYLVLNVRRDSVLLDAMNQLWRRQKRELMRPLKVRMGMDE GEEGVDHGGVQQEFFRCLFAEALDPSYGMFTIDERTKMTWFQPCSLEPLYKFEMLGIL FSIAVYNSITLPVTFPLAFYRKLLDLHVKKLDHIQDGWPGLAKGLQEMLNWSEGDVGD IFMRTYQFSFDAFGEHVDVDMQKISRDQPWPSPNQQNKSTQKTKSSGTPSGSEGEDLD NKEMLNKIPTSCKSGVCSSSLSEPSTTCEAALVTNANRKQYVKDYVFWLTSKSIHPQY EAFSRGFYTCLDRTALSIFNPAALKSVVEGSQHIDIDELQSITNYEDGFTVDTPTILH FWDVVRSFPDEKKRGLLEFVTASDRVPVNGLSSIVFVIQRNGFDDRRLPTSMTCFGRL LLPQYSSREVLEEKLGKAIENAKGFGVA EPUS_00682 MASQIAEGSSSGAQKTNAQLLKEKHEEVEAHNPTVEDAVDEEDM EHPPPSSNISHPVDNGSMSEEAVGKQRVEVPPKKSTLLDTQSEELFPSLGGGSKPRAA AATAPAWGAKKATQASRSAANGVSNGLWSGPPGASPLSSRASTPPSGILTPASSSAIN NAQSSRKGPMQMAMPGKYTDSITLVPAQMDKTRPIQPYLDKLNKKSRATVTRHTAGEG SSIVFEGSGPKKDVSEALRTIARDLCAKQKLRLPVPASCRAHIIGQGGNVIKDISART GAKIHVPKSDVPTNYQDDDDSVTIDVEIEGDPYAVELARLEIDKIVSARTSRVNMRLK DIPPEFFPFLAGPHNAWIDAMERDRDLQIQVPHFHTWQHKPPPRVSGPDERPDFQPHP NLHVQIQLEQQVEQLRRQLAVEDHSFQRGHHQFIIGERGMSLHDFLEETGCVVVLPPE HDDTEDITIIGPAEKLQIGVDRAASLAAEMQMANLDPRRYFTDALYGLDAHSRALSRY LQERQLEEEIEHLYGAQLAFPPLSDPSVNWEIYSRDMKKSIQARSDLAKIIQAHPPAK LSLVEVDPFFHPYLRQQCLQTLRNEYGVNMIVPRDGESEHVILVCEGPEGTQSPFQIP RQRPSETEIASFQEALRQARDQLLSIIGDRSEVATSQVEVPRKFQEKLQKHIDREQKQ TQQKFPVQIVLGEPHDGTRRQASNSGAPSSENEALLRGLSSDVADWEAKIMQFLENAE KEEQERGYTTSFDFPTKFNGYLIGREGAKIKELREQFDVDIRMLDDGKIEVQGPKAKA DACKDHIIGLRKKYEDEATYILKIEPRFHGQLVGKKGADVNRLQDKYSVKIQFPQSSN AHDDQSSADAASDAGPRRPARSNQAQDEVVVRGPRQGAEKARTEILDLYQYAKDNSHS ATISVARQHLGSLIGQRGSEIDRLRLETGAQIDFPDRQENADPKAHAEIVIKGTKQAV DAAKAEIQKRVKSLDDTVNRSLDIDRRYHGSLIGAQGANIRRIVVEAGGPEQSHQVVK FPERGSESSTIRIEGSESVVAQVAAAIEKFVLDQEKQTTDSIDVPAEKHGQLIGQRGE AKRSLEQQFNVSIEIPKKGTDRTDIKITGAQEDVAKARRYLATLADQRKEGETIDMPR HLHHAISANGKLFRRLKQDHDVTVDHGSAKPPPKQQPGSSGSHSRTNGSAGAPLITDE DSADAHSWVIIPAEGMEEGGDGSTIPWNLSGPSAEKVAAAKERVLKALETASKPSATG YLTLSDPRYYRFVIGPGGRNINSIRERTGCAIHVPNARAGKGGEEGEAIEIVGSQAGC EQAKDMVLECVRTGAARG EPUS_00683 MCPTDSAHQAHSSMTESSRTRSDSLEASSSQQERKRPRLDSGHD DSLRSEEALTDSDFPETAQALDSPVKEVTGGACPTNSSSPSPSTMEPSSNGPLSKVTI NTRSAANNASDGANSSIDQLEGTSTGQKSPIATSTTPVESVSSPNMGGLPPETITIHS SPSQSPEIQIAVVEDIDQDPAETNWTPVTRLNNSVLLHQQATPHYVSRTFPYAQRTPA GYTHEVLTEMGRTFVQGGERDGLIFIEFKDWLLNFLEAYPQITQRLVYEEKAFWEELP DAVNCLLRRLVDVPPEARIADLEEFFVAYGQLTIRFLELDIQLMNHCLASGTTEKISE LSSRHYLPTLALALQYREVPFYTSLHTNRGFNITELVSTICDRLSSGSDGLLPMLTVF VDRLGQVLPRKPGFTTVFVQALAVANALARSGTERVLLQGGERSARSPVIEKINQQVL LFCTKADELLQSAISKQSPWLTIENSPDILRFLSRAFHAVSEQDSDIATQLITYACDR HPEAGQKELPEIIAYAWKFRTLRKYITAGRMELRVYGMDSMQVDLVQVWKCYTQYNPN SLSVPLVRYLVQFLRDTRMVQYIVGIDSHPQLITRSPNVVGFLVVTGTYCDEDSDIIW RTVEESQDPRTVSEVLNMLRGIFHMQSLPTLIYLCHKLLQLPVERYDTKMIEYAGALL HHIRENALSRAQQEEHLEAVPFKVCVRLIRDVIASKACSPDQKRNLQSWAADNLVRLL AFNIDIEDKRRLWEQCVRDIAQRTQFAMGSMRALQAWLEVRPDVEVPKLTSDFDFTRL LIEELVGCFAQSQAEDSTSTFLQILLPTQLYLLALVAQYAPDTITEELTEKLWCDVLA SRTVDSNLRDMAWDVFSKAATCCPKPNSIIERLMTDYLPRMDASSLNERLLHFAENSV LYELQMNRPREQQDQNVVSLPGINRVWRFVMESPTESVVNEATNWVIKQYLDHDVVLR CPRRVVEATHLSLLERCLSEVFSAASKLKSYSDGTTSGEDEPMVVVAPEEEVRAEELR FIRSLLFMRQFLLGVKCRPRYSPPLSIQSRLPKKPFEDRGEEVTIKYEVGSIPGRSTS SVQKKLVIGDQNTVDELAIYLSDLTGFSEFTGVSRGQIISLRGNQTTIKDSMVSVGLL LVQKVPDTPEKLPASSNPSTSSMDAHVMDYFDKFYDLLDLEDHLSREVYAFLELFPPQ PRIIEIVRARTASVPQLLPIGKPYKLLYGLNALRVCVEDEALGTAPDEDFLTFATGSI ISVLKRPDMKDPNKMQRLQVNHALIDCLLLALRARVSTTTSQAYFSNATGLSNYLVDL IFEAVDTCHIESSSVPVQTLVRQPFAVLIESALHDSQMEFELSSNSRVSSLIQRTLLV DKRAEVRKAAADVWFGLTGQPVTKLIFYKSSDARSPRSRFSAEKIEACLTILWKSLVE TLPSCYSYPSTSQQLFDVSLAVLRVIGKSMASTELVACFQQWGNLLISYDHQEIVGKP QDDYVVSGFCDLLQQVFRSIQTLQSDIATSHIAESVFDTFLFPATTSKATSDLSQRVP LLKASTREDLYSLVLSFIRDVSEYDIIVGKLDGLVIRESLPGFEPHIQSERQALRSDI GYAGLRNLSNTCYLNSLFSQLFMNLRFREFIFGIDPTHTGNSGQPLVRELSKLFASMQ NRWDKYVDTTDVVACVETYDNEQIDVAIQMDVDEFFNLLFDRLEGQISNSRDRNTFRS LYGGQLVQQIKSCECDHISERLEPFSAIQCEIKGKLTLEDSLRAYVEGEVMQGDNKYS CTSCNRHVDAVKRACLKDIPDHLIFNLKRFDFDITTMTRCKVNDEFRFPQSINMAPYN VESLSDPEIPASPDMFELVGILVHSGTAESGHYYSYIRERPSSRFASNSWVQFNDIDV SAFDSQRIADCCYGGVELASTLHLSKSHNAYMLFYQRVASIKQFELMYDNHDTANPVR LPFSSIVKADIDIQNQNTIRSYCLQDPGHARFVRLMLERMQGHTSHPCSHDHVTEDKM IRHALDYIQQISCRFKEMPEFEASCKLLQDYGQECLNCASQIASFFANTDYTISDVRK ESVLRSAVLRNPNTSVRRSFANLLCEALRSMRKRINDQNMEPDQRAARETQYRNAYTL CVGNLAEPWPDMHRFGRAWNDYFDLLSRLTGFGIWEAGVVLQFGFLEKVAEVILADVR HDPMDLRSKYASYVNLREKGRFFGIAGLTALLATILEYVHFNGSRYEADNHRTANDDG FYELSSYEMRLLRPARLNQKQKPSLEWVRRIIMTRQNPNAVTKIVCSLLEEPNLTLPL ENTLAYGLQTEPVGEAIAFLDPAITFCKYCKHSNLVPLLVKDALGGVDSIGGTYGKEH LDFIIELVDLENEAAGLTKKDFFTLVFKNLKSWAPTLLLYPDDIHYDVRGDTVNFLRE RLFDPPEEEGFNSVAPNALEKYARSLARACVYHIQQNHIPRPGKQVARIELGQANHIN GVVQHICDHFFTSGDAADDQFVTDAMATIEHLQAFTQEIADAASEDWPENDSVPASDS DNQDFQEWAESTS EPUS_00684 MSNSDRDSAHASDISWPLERTENQQNGGPRTSTPALPSLDPSFT VGGPKALSSISSRAFFLGVAFGIMSIIAVELAYLGTPFWRAPCFIATLSIFHFLEFYT TARYNPADAKLSSFLLSSNGSAYNIAHTSALIEFSTRQWLLSNRRLPWLKMPFQLPTF LPALPPEWYLVLGFMLVAVGQGVRTAAMVEAGKSFNHIVQSRKRDDHVLIKSGIYRIL RHPSYFGFFWWGLGTQVLLGNHICFWAYTAILWKFFASRIMKEEKFLVKFFGQDYVQY RERTPVLIPFIR EPUS_00685 MAKISQATISFLKNASHSINGIDDAPLADHLPDPEEDDELLKDY PWIEPPIRVDYGTNVRLGKGVFLNYNMVIVDTCLVTIGARTLVGPNCSIYSGTHPLDP ALRNGTAGPELGKEVHIEEDCWLGGNVILCPGVRVGKGSTVGAGSVDIPPFHVAVGNP ARILRRIETSLDSSQRTTNVFASLEGGKGVVEAIAAVDS EPUS_00686 MRIISLATCLTTAILLPAVSTELLTPKHEAGRCAIRGHCGKTGF FGRELPCLDNGEAKEADSALKEKLVDLCGKKWEHTPVCCEEEQVDALKKNLELAQGII ASCPACKENFFNLFCTFTCSPDQSLFVNVTRTAQSTSRKTVVKELDNIWDEEYQSGFY ESCKNVKNGASGGKAIDFIGGGAKNYTQFLKFLGDEKLFGSPFQIDFLTEPRHNSNMK PAPATARACNDTDKAYRCTCIDCPQTCAELEEVHDHEYCKVGKLPCLSFAVIIIYSVS LLLLVTAVSGHVAYRKHKQRKTERLQLLQDAAPSDDEDEGDMVQNAGMLDRPQRNYYL NQIFDHAFSRIGGFCARYPAVTIGTNILIVALLSLGCLRFEVERDPVRLWVSPTSAAA EEKAFFDQNFGPFMRAEQAFLVNDTTSAGPSPVLSFDTLQWWFDIERQISRMKSAKYN LTLDDVCFRPTGNACVVQSVKAYFNDGRDLDEKTWQERLEQCADTPGDRLCLPGFKAP VRPDLVLGGFSGIENITKAEAIVVTWVVNNHQQGSKAELEAQDWEISFKNHMLVFQQE AEERGLRLSFNTEISLEEELNKNTNTDVKIVVISYVVMFIYASLALGTGTITFKSLLN KPSAALVQSKSSLGVVGILIVLMSVLASVGFFSAIGIKVTLIIAEVIPFLVLAVGVDN IFLIVHEFERVNVSHPDEEIDERIAKALGRMGPSILLSASTETVAFAMGAFVGMPAVK NFAAYAAGAVFVNAVLQVTMFVSVLALSQRRVESLRADCFPCITVPKANSGVYGGQYS GSQEEGPLQRFVRKTYAPTLLNKKVKTIVVAVFGTLFAAGIALIPEVELGLDQRYAVP SNSYLVPFFNDLYNYFGTGPPVYFVTRGLNATARTHQRQLCGAFSTCDEYSLEYILAQ ESKRPEVSYIGETTASWIDDFFNWLNPNLSDCCKEDGKACFEDRSPPWNITLSGMPEG EEFIHYVSKWIDSPTTEDCPNGGQAAYSNAVVIDKNHTTIPASHFRTSHTPLRNQHDF IASYAAARRISESISERHNIDVFPYSKFYIFFDQYASIVRLTTTLLVSAVVIIFLLSS LLLGSLFTGLVVCLTVIMIVIDIIGVMAIFHVSLNAISLVNLVICVGIGVEFCAHIAR AFMFPSKPVMEKARNKFRGRDARAWTALVNVGGSVFSGITITKLLGVCVLAFTRSKIF EIYYFRIWLALVIFAASHALVFLPVALSFAGGDGYVDPESEGGLEEDLATRRYRALLP DDDYDSDED EPUS_00687 MESQHKLSDDSAELGLSEHTTSNDFTACLREEGLERCHVEKVHD CHAAKRHIEDIRRRKGLDLPEGQESTANVEDLENSLDVLSDQLYSKPTHFLLELIQNA DDNSYNTETPTISFNTVEGCLRVACNENGFSPANVEAICRIKKSTKKNRSEGFIGEKG IGFKSDDFPVSVASGETHFHLQLSLEKNCQAEKDLIKQLRVFDSTILLFLRKLKKIEI IVSDKDWMKHFTNQITRIDSNAHGGELITIKKKWTKTKRADETLQYFVVRHNTSEMPN EPKRDGIKISEVVLAFPFIEHKPAFHMQKAYAFLPIRESGFRFLLQADFLLIANREDI ETSSQWNDHLLAGLAECFVQAVKRFNSAEALVLRHTWPGFLQNMPHSDANSFSSLGNQ ILDRLRSYPILESQAGTFVRPVGAFFVPRAFRDDDERFILNCAGDGHKYISAGYETHD KEHIVLKMMGVEIMKFADFIDILRSYMTDHTQAFRNQSADWHSLVANVLCTQASNTDL QSLAIIPLQTGRWISKIDGQAHFETPEAIVAGKVPEGVPELLIVDHAVSEQRQRRKLL EKLGVKNLNQAEVCRLIINCHASTKAPQLTLDAYISHAAYLFEATSTGVFSPRGQNFW VLDKDGRARVAANMYLEKPDSAHSITSLLDGPSWYSCLLHPAYLLAYKSKKLERWIKW LETHLSIRTTLQIANKGELTPEFRHIRATQPSPVVLEILLESWTREPDQLNPGLKQRL GGMDVGCEGMQVTKHLNRTCLPIPALKDVAPPGIFYVQLNLPLKPKWKKLQEFGVVVE PNLAFYLQCLSLAQGNPVTKAQMTKLYKSIDSHWIENPKLVDEHFAKFDSLAIYVPRR KQWVHRRQCAWETAPGMGAEIVLADQYPELQSFFFHRLKIPSATIAKVIHKLWTKTDH ASLDMQRRKVLIFTLSDFLRRSPGDYHKLGVLKDAPVMPVADNRYGTSAINLVSLNKM WWYFADQHRYYKCFSNKVTFADFRVEEYARLEPLDQAIQRVWGGHHRLSVSVMEEKDR GSSLSLDVSSTNSLRQMVKYLRRIVRAAIARHSKDLGRKLQLLNLISVFTSPNMVVKT SVVRGQIGNTTLYGETMPGKMMLREMPNDIQLFVHPASTVYPSNQISQIEA EPUS_00688 MKYGRLSPRDTSEEICKCCGIGDEKWKSLITDVLFEEDDREIED MLSRRKIGGYNENDLDAVLINVTAQNCTSAASQLGRPSDKVEARSETKTSSTISLQQT SSEEVRTQEPAVLKEILNQSRPPKMPYQGYASAAPGPELTSRDLKQAARQAEIGEAVL IGGRENVAPQTYASNGSGYLAKIKPNRMIRPAIRPKGKSSLHHSTHERPVVMVAGRDH AQELKVGVRGEEAVFNILRDILGSGIDESSWTTELRHHVHGYKLWAPEDRDTLYSDFT VRDEAGKLMKWMIDNDVKFPTYWETGEGDMLYHIEVKATAKEHFNEPFFMSYLQMDKA KEIARSKSSHGVREAFVIFRVYDTESQVPGLEVYYDPWTLIQEGKLTCQAQEWRISPA EPUS_00689 MDSVGPRAVKRNEDKPSFLSLPGEVRNAIYALLLPDSGSTISLG KQYDFKTYCVFRSAPFHTALFLTCHQVRHEASSLFYPCNTFCISRPTQLTLYIRDLTT HTRDQLSHLSISVTLSLSSLSRRRRAKSLTSTDFPPKGRNANAILTQNRDLKHLSKFT ALKLLIISLNIEACDLQRYLAPSHVTFLDDLVPRNAEIRLEVGKCAHCLHWHDSTSNP LAIDDVEKNQQWWRWESSPLCLSPRSSPYHIDKWPGSRGGREWILTRRIGGRVVDVQP FPPINHASASPSLAKHSTHEQMTHLEELLSQCYTCKRPLVTTSTTFASENEDQNQQTQ AHIQAQTCEVCALVSFCSNLCFAACVEHQHLCVPKPFG EPUS_00690 MFDTSNEKAISSSTSTGPDHQGYDGQRATDTVPYGGGILGEKSP GVKRIEAISAQFTKVDRIFVFLGVFLISYAYGLDGIIRYTYQPTATASYSTHSLLATV NVIRAVIAAAAQPTAARIADVFGRVELILVSVFFYVLGTIIEACSNNVQAFAGGAVLY QIGYTCVLLLVEVVIADITSLRSRLFFSYIPVLPFIINTWISGNVTSAVLGTTTWRWG IGMWALIYPVCALPLIIALYLVDRRARKAGSLDAYKSPYQLLGGRRLMIELFWQLDVI GVILLIAIFGLILAPLTLAQGQANQWQSGKIIAPVVIGIVCVPVWIVWERRAKHPIVP FHLLKDRAVWAALGMATMLTFAWTMQGDYLYTVLIVAFNESIQSATRITSLYSFTSVI TGGLVGLVVLKVRRMKAFIVFGTVLFLVAFGLLIRYRGSPSSSGHSGIIGAQVVLGIA GGLFPYPGQTSIQAATRHEHLAVITGLYLSCYNIGSALGNAVSGAIWTQVLPGRLDTN LAGFGNATLATSVFGDPFTFIAAYPVGTPQRDQVIDAYQYTQRLLCITGICLCVPLII FSCLLRDPKLGKEQSRPEAEGGIGGEGRYGASNGEAGNEGKTKWWARLR EPUS_00691 MKMPMNGGEGSRHVGFAPEPVYSRLPRVDEEYVMEHFHKHAKHC DDCHDPYKTYKKGGTLCDQGHRLAQDVAGYMYSKGGKAYSMLDRESLKQRVQVEIPPH CDKVRGLLQAVDHGLRVQKPGALKSQDKNYYVPTRPDRRSYHDDRHGGAVKEPRRRHE EERRYHDDRRYRSDPNYQRAERHRYPEKRQSMPSHYAPYGSVWETDPVERKYQQSYYD GNPVYYYKPSRGGKERRIPRSESPKCESTRRRLPEDYRSSRYGIPSSHRSSRYDYSDS SRPSRYNSPDVYRSSRYESPDSYRSSRHGSPKSYQSSWKTSPERYSSRRSSWSPERLY RELFR EPUS_00692 MSTARNSALRVLFFFTVINLLSTTAAQTASSTYGVFSASSSPQS SNNDPNGFPSSSPNDNPDTENPETAGASGSSQTAISLSTGDQIAIGVVVGLVALIGMG SAILFYIAKKRQWEVRASLRRSARRVTTAFKARTPVKANFSRRDRGGAVRIDPPSPSH AQTSNKSKRSGGILKEAKRPAPEGRSDNTRNMDRDVEKGFGTKTKIEAVPRLTSPSPQ SSRPTGQAPKSSFEMDSPLKGNRPDAGVAGKKGYKGWMKMLGRK EPUS_00693 MAGANEHQRQHASQYYEYLPMSEDGRPQPESPGMPNVQHLSMNH PQSDDTEVPAKVMVRPISSGSSGSQNFTFGVPRNRNIHIAKKHSTNLLDFPQGELSSN LDAGKPTDGEAVAARGSNQRSPAAQTPNDHTGHKRQSPSLRDIHTNLCHVSEPLPAGP LENSEATQDDYMCGNQELTNRQPSKRISVPETSRRTILTDSPELRLDVISVLPVSPAG ASLDKYRADGSEPANSGHAKVIDLSPNIATNAEPSRSSEHTSYRKETQSVVDLTDDDR LNEKRHLSSQSLCKEDSPTHIELSSKSRVTSSEQGRHTPRMIAGDRGTVGSPHHSPKT PSLRARHEGVEKNRTLKQQQPSLLPSNSSAVSANRVPSEEDLYFLLLHRYRKREHIEK QLAARLRQVEAENTSLCEAAREYQKQLHDLGTSRSRQAAEIRAQKMVINDIKNGYVKI KDYMKMVHNEQESLKAKAISMGQEKRALRDEHDGIHQAIEEAIDSTTSSSHAINKLKT HLLEVRHDAAYFEETANKANLKLQGEQQLLVQERQKNRKYENHIVDITRQQDRLSLVI KREQQHVLNALNSIKDKLTGLEVNHATAAVPPTLPALDQCVEMLSALIKVETASPADV TDMIQVVNALIKSFDSSKKQFEESLQKATTARANADGNLLSQITQHFNELARDSTNQD EIAELRETKNLLEERVRGNENMLMEIGKSKVSSEKREEHARAGNDKLLDELSKLRELA LTAKKDSGLMQQHQNLLIRYTGANSALVEIKQKIDGKDGMLQAQEQQIQSLSDQLGQA RVDQQNLVEEVQELSKRLLEHVDTTNREKQQMLAEREMCIRDLREELTTLRNNCDSQV EELKQVKLAHRTILQELDESRSRLSQAIRDCDGAKSTIDDLNFQVAQMDDLRKQADCA SSLALECEANNGVIADLRQKLESFKTMHQQLHDRRIDAENKSIEISELNTRLQTAEAT KQQVSTLITELHECKEETRRLRGAQDRVGLLESQIHQKNEQIDQLNIKAAHYNDTLRK IDDLQKQLSTSKDECESTRLTLKTAREETARMPMLENETRAQKDEIKQLRAKLAEAEN ICTDVPKMQAQMDQFSVTFSNLKRDLEDAHRNAEDVQSTKATNASLRRTIVELQDQAA VAEQKSDSVKCLSEELQQKNAQITALRVELDRYHAESQLQKASRQADMEDLLGKDFFL ETGRLAENNLAQNESGAINSDPTSMEKETRHADQEKTTSRKIRRSAIRSASNPEARTV EPDLDKDFSKLRHLRADAVPMASANQANGSHASAERNPDEVTVVPESQPRAQELHMCS PPRNVQGGLKGIIMSSSPLSDIGELFDPSDQDLPTASQDVKTQVHRNEFGDVALENSV AAIEQTLDEEDSLSNEGHQGNGSRRQTYNCEKLSQDSRPRSSSYGEPLLLDDLEGVGS LQTSGSGNIAPSTQSNPGTQDILTSPLSTLPRGLPKMNMQVRPKVTPLPRPDMKLVDA NERSKNLPNNSSPRRVRSSESSSQTSTRRPLQNLGDDVNTARPATPLPVKEKHQPNSA IKRKSEAAGMSDEATPKGKKRARRNLSNMEVRSRQGTASQSLSSSTPDKAGQSMTRLK QSSNSTAGSRSAIVGKNAPAPGNRQRYNERFGTQRD EPUS_00694 MIPSRPKNTAPNQKTTVKKTTEKPDSTITTKPKDNNRVSKAAPS KESYITKAIEARRAKDARLQDRFQADTERQEKLLRRGPSGPPIYDTQGFELDYTKVLK SQRRPQGARRRSSAAYKKMIEKERAEAREIEQIIGLPENGLVDTLHSAVQDRVARDLN LPFHKVQILQYRQWKEAGFKADPEEFKLVNISEEERERLVELSTGSAFRK EPUS_00695 MAYQKASADDASSPDPADRSDLTHPGPDSTLHSRNPFRRQMSSP DSNIQLHDVDIGRDGVGNDTRLTNKGLGILAGYGKSEYSPVASMPTDSSNATQSGLSP AMSSPGFPRAQLSRKDTRQSMRSVAWDVSGDQGSLNSSPYLPNISSSDRELLSPYNSP QAFESGLPQFDCQTDRAIIKGRWSWLSVTLILLAAYSTVFSAIFLVIALIEPRWGKNI GTNGHMTISTASLLSAAFAKTVELSFVTVFVAFLGQVLSRRAFLAKSKGGGISIAEMM LRGWIMQPGTLITHWEAVRYTALSFIGMAALIAAIVAMFYTTAAEALVAPKLRLGPFE NKVLYGKVSASFANSTYLAGKCPTPIDVNVDKDKGTTCLQIEHAGQAFHNYQIYLDDW ARRVDSGNASSVEYAGRPPPVGVMYENTRVQGQWITPSNENITADSTSRLVQNVTMAM PHANVYRAARDRINDIMQPQDLNQGNDQGNDQGNGQYTIRASVPAPAVNILCAGVTED DVKSLIYVTWPTNNGTFNASTWTSQTPSDMIHPPDYPNATAIDDLFGFGPEMGEARQR APIFPKLPLPYNTIVNGTGLWPSHSVYLLAASPPELQTSRYLFCSLKAMQYPGCTTQY QASIAGGQLTVHCGQDDAKNSLPYQKSQPDATSGNWAPDWKNVASEWLNALALGHGIS DGAASNARLLTQFIPEWNNQSGAASLSPTLPSIGEALGVLAGCTLMLASESSPFIHYW NYSETSTLWKSPEYQAFNASLEYVDYASGSSELWQKSFYVVLVTVFVANCLCLAYLIW TLRTEGQVTDYTEPQNLFAIAINSPPSRSLSGACGAGPEGDMLRKKWEVDMQKHGGGR TGHPHFYVKFRDDDRMDAFQRVRQRKSRSSLRAMEDFEVAESPAVEQYSKLASRRRTL L EPUS_00696 MAPQVSTTSFTNYYDILHLPRDATKAQIRLRFKKLSLLFHPDKN KDEDAPRIFRSLKNAEEILSDNNKKAKFDRQLHEIDNFLKSGIARAAAASAAREAAER DAENRARDQRHWERDRARAQQNNPHAQESNPGAEKSGPNFNEYPQPRWNQAKPPQAPK PREDIYMLPQYKPSGRQPPWSIFGRIPKDDSYMPWGKKPIPIGSKNPFNPNPFTGEEI PAHGNWPLNPGDHCSPKIDAAWPVVYNEGYRVLRGCSELYKNQWKDVVAAAAVIDIKS EPLIEEGLRRIEYMFHKFAEYVWGLLMDLPYNMAALIDPEAALERLETVLRTLQEFCH RGQQLPMAMGFAAQGIRTVFDRSAGENALHHEMGRNAVLRQLIQVYETFMGEQRQGGT EPSAEEEL EPUS_00697 MTRVEEVEKECQSVKYYIETSNHCGVMEDLLSTLALQAGAYSDK RAADKLCNLATVALTPGVSDEWLYGRAGYLYLLRLAKSGFAPNNDLEMLDRIQHTAQA VIAAILNSPQPWSWHGKVYLGAVHGTIGIITQIVLSDPACAARLEQLLDSLLRIQNED SGNWPSSLPAGRRDRLVQVCHGAPGVVVSLLGIGKYFPNPLLANQDVHGAGAGMGIGS GLCHGVSGNALALAEGDLDAFLAHATEERMGPLIEAGVMEPSSHPEGLWTGEAGRAWV WAVADMGLERGLLGYNDR EPUS_00698 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTALGILAQDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMTADANILINYARQAAQRYLLTYN EDIPCEQLVRRLCDLKQSYTQHGGLRPFGVSFIYAGWDPQRQFQLYQSNPSGNYGGWK ATSVGANNASAQSLLKQDYKEDCDLKEACGMAVKVLSKTMDSTKLSSEKIEFATVGKT KDGVIYHHLWSGDEIMALLKEHGLAKEEEQETG EPUS_00699 MLKSTHRAAGPANSNEPALPPGWTEHKAPTGHSYYYNAETKQST YTRPAAPSATPFFIDHTATPTLHGQIPGQTAGSFEPPQRSEYGGSFRGGLSYQDRSRR QHQQDRPKKKKTIPGCEPWILVTTKLGRRFVHNPEKNESFWKFPQDVLLATFEMDRKE QEEKLSVEGEGPKAVATAEPRPRLPPLQRDNEGDKREADDSDSYEDVEVTDDENIDLE QPDAIEGPTKKQRTNSNHQSEPSGPVEFNEEDIAYQLAQMGQEYGLDPGEYGNGEDDE YDTGLPLSADDSRALFYDLLTDHAINPYTTWEKVLEQGHIIDDERYVALPNMRTRKEA FTIWSTERIQEMKEQRAKEEKKDPRIPYLRLLHEHATPKLYWPEFKRKYRKEEAMKDA HLPDKEREKLYRDHINRLKLPESTRRTALKDLMKSIPLEHLHRNSTPDTLPATILTDL RYISLPSRTRDPLIEAYISTLPSLPLDPSAAGPSASDQSEADKKAEEREKREKALRER EKMVQEEKRKVMGALRRGKEVMRDEEREIEEALRVSGREGLRAYLREMEGNAAEGNAS S EPUS_00700 MADEPRRSGRATKGQYTKDRDIDEDAPKKKGKGKGPKTKEPEVQ DEEDEIIRCVCGHYEEEEDTQRDMICCDNCSAWQHNDCMLLPYPPEEAPDKYFCEICK PDDHKELLAAIARGEKPWEEVARKREAAEAEKAAKKKKGGKRGRKAGSRPSEVVSEAG LEVDTTQTPGKDVGSGSAGQKRKHEEPANGAGALQDSKKQRQTSAHPTPTPTPTSTHG QNGGHPIQQRRKSSGIQTPSRQSSKPEASNAQAASKVDELPAQRKSVAANLVRMFSSE AVNAQKQGKFGIPAGQTADGLGTQLGLGVEHALYHILSNGSGEPNDAYKSQVRTIMFN VKKNDALRDGLLDGSITGQHLARMSPEDMASREQQLRDAKIKQEQEKQHVIVQEQGPR IRRTHKGEEYVDETQQIAAEPDVMNAPVRRRESGLDQDMREMKSPTTPVLGGPKSPDG KPLSINTQVRPRPSTDPERKSSQTFNIQDVWSSVQGSPDGERPAFPQIPYQSGGQPQR TNQTAADAEIDALLKDEDIESPPYSPKSYDGDNAIIWRGSVNMSPVARFQASARKAAG ADVENLGLSWQQLIPNILTIEGRIDPARADSYLCGLRYSSTSDVIVVSLRASDIPNEQ HQFNVLFNYFKTRNRYGVGVNPSNSAIKDIYLIPLEAGSEKKPELLQLLDNDKIETPI QEDMLLVPFVIKTSELNANAIANVTTPREHQPIAASPIAGVGVGAGLGGATAPTPAQA AYPTPTPPPATAAAAAAAAATQNQQQATNPAHPLQYGSPHPPLPAPLPNPTPSAAPAI NPSAQQAGPAPSGHAAAHLILGPHAAQLPAVQQLLLQAPTAGIPEFNVVRDCLAENPV AGQDLAVLRDMLMARQAPA EPUS_00701 MEFLAELEYMATNVVDELNAESEHDIQSIARWQRLFAYTHAEAA AHIMDYRGNLSRTRVSDEHWDIVRAEKVAEGYDREAYEYSIGLRKFKRLFTTITADSQ KPSTYLVKLEGSLASAAEIQRATGMTSAPTLIRGIGDAGDEANFVRVDGTAKKAILDS LAGTTFQPTFIRISKAEKALSDSSMHPILGIDSTLPQHRLDHSGPPLTYSPAQDQYPV WYFVYGSLADPDVLSRLLSLSETPDLRPASISGGVLRTWAGKYKALVDAPNSEDASVY GCAYRVVSREHEEGLLYYETERYEVVRCLIEMRNETKEIFMGLTFRFANHSQDLL EPUS_00702 MGCLGSCGSEEECVTKCFGRRPLHSPGASLRRQAPQNSHPSSGI ATVTPLVELRNENNPQVAQQVTPPRPQPVRHAKAEEGSTSERSSPNPSGSNDVVTVTT PTPARGQHPPQVSSNAFAERRAGPPRTNPSRTFGSQCDPNDPASARVALSVNPVRVSA ARDRHFARMDSPRPQPSRSAVSINPPAASSWESHSAALTRRPAVALPALAASSQQRIR GTSKGQLCSIREDDRRWPDGSSSLKGGSSGFLRSSSREAETQVQHPSPDLGLRTAATS RIAGPSRAATSRRHAEPSVGHAGEYRFMRLGIESEFFLAALSSKHDAAQLGSFVNMLA SSYNRAVGYKHRRMHRTLDSPHGAHNYSKWTMAEEPSLESGVKSPWPLEMISPIFDVY PLSGWRRHVIAIWNFLEQHYSITTCDSCATHIHVSLVPHYDLKDLKRVAVSVIHFEPA FEALMPEARRGNPFCQSNWLDSPRLARAGLSRSESIAEIERKLDAYDLITSIQKGGDK CFAWNFESLREHGTIEFRKPPASSTADEALSWAELTLNFIQASLKYGTSRRLKRIPST IKGLRWFLEQVYVPGMNVPSRLDRIWAGKDENAALQPWVWTRRKLDGDMSLDTRLRKL AAADLELVLTHAKSSKEPYWPQERTP EPUS_00703 MELRVRRLREARERIRLIGKREWVGDGDGGGGIARGGERTDMGK GAEAVKEGLGYDNGNGEGEGEGEGEDEDEDDDNTDEFDGWGVQ EPUS_00704 MAGLVLPAREQLPTPSSPSFPTQLPAVQDAIPPLPMTPPDSVQS TPNIEKSAKSPIKLALKVLSTERAGLAHLENLYQNNLFAQEALSQAIDQIAATFNNGG KLVITGVGKSGKIGQKIEATFKSLGVDATFLYPTDALHGDLGTIKQNDAILMISYSGS TGELLALLPHISDNIPLIAMTSHIHPSSCPLLANRGNSLLLPAPIHESEKTSFRISAP TTSTTVALALGDALALAVAQKVHTAMGQSSAEVFQLNHPGGAIGAAAAALVPKPAAPV SMSDIATPVEDATFAIPKPGALSLTGIDVLQAAVRSPRGWVRISPIHIMAPRRVQQLQ DTAEIISCLHPAVIEKQDWISVLGSCPVDEAKQWILNMRKQGRGRTFLKKGTVLGIVD EKNEVSAVAEIEDVVGELPEEC EPUS_00705 MSQSLQEQYVNRGIGHAICRLIAENGPSGIVYASSRAGVDLALH STRGVYFRYAILDLTNPSSIEHLAWIIKDEQGDMDVLFNVAGLNIMKPRTGSRAFADN KKIMDVNFHGTLQMCLAFLPIMKPGSRIQSAKGSRAAQDGWPESGYSVSKACINAMTA IMAREHADILVNACCPGWVSTEMGKQVGAQPPKSAIEGASIPLRLGFEDIGHVRGRYW ANDFVGDTDNGRVQNW EPUS_00706 MPAEEHSQDPSSSSVASSAHGEWTSRHRQGSISSTPRPVRETSP RSPGIGMMPRTSSVSIFSGATDTPSSPNLDPRNSTLNPKVADRVFPVRSVVSVDPTAT PTPRGEGEGGDGFPDMSFNGGIESRRQSIRQDTLAGSTSQGQYAQSPEAQTPARRHSP SALSLSAMSEDRCRRRSSDTTAASSAKGTTHQEISNLIADDASVRSERASVTEAGFST SSRPSSRPESVRSVPNEAHVTARFKHVVMDGGHAVITGRDGDTLQRCEDEPIHIPGAI QGFGLLIALREEAGKLVVRVVSENSAKIIGYTPGQLFQLPNFLDLFTEEQADNLLDHL DFIRDESATDPAINGPEVFMLSIRAPRKKTRKLWCAMHINESNKDLVICEFELEDDTQ NPLVPVEEDGISNVPENTLGSDPTPEEIAESTKNVSKPLRVLRSARKKRGEAAAMEVF NIMTQVQEQLANAPSLENFLKVLIGVVKELTGFHRVMIYQFDHSFNGRVVSELVDPRA TKDLYMGLNFPASDIPKQARDLYRINKVRLMYDRDQETARLVCRTMEDLEKPLDMTFT YLRAMSPIHVKYLSNMAVRSSMSISINAFGELWGLIACHSYGQKGMRVSFPIRKMCRL VGDIASRNIERISYASRLHARKLINTASTTANPSGYIVASSEDLLNLFTADCGALSIR DETKLLGKTEGHLQEILAMLEFLRLRKIGSVFTSTDISTDFPDVRYAPGFQYIAGLLF VPLSSTGSDFIVFFRKSTSKKVKWAGNPYEKFVKEGTEGYLEPRKSFKTWTEIILGKC RDWSEQEVETAAVLCLVYGKFIEVWRQKEAALQNSLLQKLLLSNSAHEVRTPLNAIIN YLEIALEGNIDRETRDNLSKSHSASKSLVYVINDLLDLTKTEEGQDLIKEEAFDFHVM LQEATEPFRGDAQRKGIEYQVSWGDDIPQRLVGDPRRVRQVVSNIIANAIQNTSTGFV KVAVGKSPKQPSPDKVEIEFAVEDSGAGMPQDKVDALFRELEQVSYENEELGSTVLEQ NGISTSPTTGAGKATLGLGLAVVARAIRNMNGQLRLKTEAGTGSRFIIQLVMGVPGEA IDGGQLEGSRLRNLGSSPDQGADLPANPRDAAWPVSRNTKKRVQTLPMSRRTSQESVT SVNSKASLQSMKSGNSIRSTKSDVDRLIDDIQRPHLVPETETPDRNRSPGSRPRKLNR SCSTGDEPSSLVGTRVGRSASLQVPGEEKITDSRTPLKAIRVLEDDVGKPREAPPKSA SRVAFELPEPPKTIVAPLDAEKMSVLVAEDDPVNSKIIKKRLEKLGHVVHLTVNGEEC SSALGDRPSFYDVVLMDLQMPIADGFTSTKMIRSFEKTHGNACLSARAQVNGRIPIFA VSASLLEKDREIYVNIGFDGWILKPVNFQRVDSLLRGIVDEKTRNDCLFETGQWERGG WFEKRQAPDDIFATDTTPSTEASISASPKPPASLAKADTL EPUS_00707 MAANVMGMSPEQIASFRYQQEHISDDLRPVVYAVTWILWCWAVT ATFLRFYAQRMVRSTIKPEDGFVVLGLLAGTGQAICNTIAGANGLGLHSISPKVNIVV VAKCAFAFQLLYGLTIVSIKYSILIFYHRAFYPYFYFRVAVWCVAGFVTCWIFTVTFG VTFQCTPISFVWNKSQPGHCIDFRSLSISTAIINIVTDCIIVVMPMPIIWRLQLPMSK KLGLSITIVLGGIVILASILRVTTVRGVNKYDFSYDGVVPGIWTVVEPMVGIVGVSLP LMTPLFRKIKGGSTQRSSEGGIEGGWYRARLGSGQRTANVPLANYDDWIDYDPTLPAN RSLMIGTELQDPIPQCHFTGFKSRIAEPGAALPWRGIRVPASSLAQSLKTLPTRAESR DNGTQRRARAGKKRRIAMRKKHAAEVRAAETEKEKRARKNREKKLKKREKNRQVKELV AAAKESGEAPD EPUS_00708 MAALPTTYSGNVRIGVIGGTGLAVLPHFTQVASIPVTTPWGVPS SPIVILEHPSPTTGEPIPVAFLSRHGIHHEIAPHEVNSRANIAALRSVGVRSIVAFSA VGSLQEEIKPRDFVVPDQIIDRTKGIRPFTFFEGGLVGHVPFGDPFDEAVAQIVRICG KSLEGDGVVLHERGTLVCMEGPQFSTRAESNLYRSWGGSVINMSALPEAKLAREAEIA YQMICMATDYDCWHATNEAVNVEMVMAHMKANSLNARRFVGAVLDELIEVVHKYGTFR RPTAGIREDEVAVSRKLHDGIGKKEFGSRPENDTR EPUS_00709 MPFLYDPEDSDFDVGEYASSWSHPEPDIGPGSNSSRVTHGSDLT TTAFPSSAQTYRPADNGPFPTTSVQDPRQVATTLASIIRLILDTTSATSQQPNQINLL VAHPPTQSLGTTEDRRTIIDATGQTSRQPMQVTPTTVDSPRLTSRTVGRATAPMGRRR RTPLAEGNASTQREQYQNARSQLVIERWLIRSGRLAQLEAERISGGNSSAEHRREETD GARTADEVEQSTARE EPUS_00710 MYGGGRYGGHGGYGGMGGFMGGGRGPGLVPTYQDLIDEYEAMRG MSGTSGMPAMDEMPGMSNMSGVGGTQANRIMAACDPMQMGDVKRGAQALLRRLETDPQ GGAMMLQQVGCRDVATAMRSLRAQLIAIITGGGQGGSMMPGERGDTMGGGMPGRWSGA ANSEDGVGMGGGMPGGLSGIFSGATSPGMAGRMEGSRTGFQGGPNGYMGNEFRSQSAF GRPHNSGIGRGPCRHVHFNNEEDIGDEGRGSGLRGMFGGGRHSSEFGAPGGGESSGAS IYGDFFRFGRL EPUS_00711 MWIFLISSLFLFSLRATPTEAECFTPNGTDRNDMPGVSGDPYQP CPSDGDVAMCCRIGTGDICVEHGLCFNPSGNIYWRESCTDRTWSDPACVKLFVNGTGF SGPDDTDDEGNQLNDVNVYPCEDGSYCYGNNNRACCAQQQGYFIVNGDQTRQNLSETT SSISSSPSSTSTATGSSSTIIPSTSAAPPIPNSSPNSLSSGARAGIGVGVAVGALVIL SAVFWFIRRRSSKRKSDQPTNPDHNDKDMTEPRKDTITNGRPSELEFTPKIPAEMYAA VRTSTSPSKPAGELPA EPUS_00712 MSSGNPQLTMTTFLSGNTVTTTRSAPFPTSAEMEALQHQLGALR ILKTASSADEQSEAHYRRLVYADYEECVEALSSTTDSIDHTVNEVLTYLQRIDCSLVA YFEFLADMDSQTEQLTPSECLGQMQHVTNLFADDLDLFFRKQIWTEVLKLYRELWSVE DATELLRDVLCSSWEDGILDLDQCVRLLRELRNRKAEMARALKGIEAAVSEVQDRTER FCKDAARFLGRSKVNEEEGCEALGMWLESIGKEIGNITFYWERTEEEDEDNFRMEG EPUS_00713 MMESSRGPPRVKNKGAAPTQISAEQLLREAVDRQEPGLQAPTQR FADLEELHEFQGRKRKEFEDYVRRNRINMNHWMRYAQWELEQKEFRRARSVFERALDV DSTSVVLWIRYIEAEMKTRNINHARNLLDRAVTILPRIDKLWYKYVYMEETLGNIPGT RQVFERWMSWEPDEAAWTAYIKLEKRYGEYDRARAIFERFTVVHPEPRNWIKWAKFEE ENGTSQLVRDVYGVAIETLGDDFMDEKLFIAYARYETKLKELERARAIYKYALDRLPR SRSAALHKAYTTFEKQFGNREGVEDVILAKRRVQYEEKIKENPKHYDIWFDFARLEET GGDVDRVRDVYERAIAQIPPSLEKRHWRRYIYLWIFYALWEEMVNRDVDRARQVYQEC LKLIPHKKWTFAKIWIMKAQFEIRQLELQAARKTMGQAIGMCPKDKLFRGYIELERQL FEFVRCRTLFEKQLEWNPSNSQAWISFADLERGLDDLDRARGIYELAIDQPTLDMPEL VWKAYIDFEEYEEEYGRARALYERLLQKTDHVKVWINYARFEINIPEADEGNGDEQQP VSEEAKERARKVFQRAHDVFKEKDLREDRADLLSAWRSFERTHGSPEDISKIEKQMPR KAKKRRKVEEDRYEEYLDYIFPADDESAAKLSSILQRAHAWKQSQGSNNDTNNGTNGN VS EPUS_00714 MPPPTPPTKLKDHCSIIHNNVLYVYSPDALQSLALQKNATWSEE PMGISVTGATCVLGGIDGDHTKAALYVVGGSANSSSTQYPGLQRYSIQEKRWDNISPV TRVTQNRKHHGSAFLNASSSILVYGGSQDGDNNPSTQTFLIETWPPYNVRSFNSLAPP VVEPIMLAWADNRAAMVGGSPTNNQVFTFGPDDGWADAGVALTQPLPSGSISQSALLS LADESKILVTFDMGQSPNRVSETVLLNPGGQPAQSGQAVGNIDKPLRSRKREVTLGTF PQYNDSLAPRTIRSDVSLAQDSDGLVVISGGNDQDPLSIFNAAENQWVDATELLGEQP QIPLTGSPTPSISIPTASASSTSAPTGGTSRSRPLTILGAVLGSTCGIAAILIIALLL LRWKKHKSKKNPKRRDSRFLNNKQRKDSRLSFEDQGLRPIRPAAEPMGHSVAPSTDDL TLVGGKGSHSRKSSSMSNRLKLDPQRASNLSFGQGMFSRNKSPLAISRPIPHEQSAVF QERLSTGGVRPPPNPTTLDPIGSHRKNDSGWSTYFSGNTAMDVGQNRHTIESRTSQGS AGQRGSYWPDPAAPVAKLRTANPGLTDSNGNQLERLTVPKGSPSIGYSGFDGDGHRVA VAEGIPAKISNTDSMGTAITEDYDLGHPVNHEKNVGSGYPSSQPPGNYEWAFQDSSWS SPPQRLVRSPSSIYTNSIHPPNVTSPPSQDWSKSSIRPVTQWPNDVTAFPTVPIFTPG TSKGPTIPARAGHPAKEIRDYFGSSKRREPSQNDMSWLNLNGNGNGNRNGNGSGNG EPUS_00715 MEGVAAFALACNVVQVVELSIEVAGIIQQTYSRGRSDDNATTQD ISERLNTLSQTLNQSLTADARHGSPTIAELQLQQIAPECSKIALELGRELDLFKTRSG KRNALRKGIRAMMKKGHIEKQKAKLQDYERILNTGLLVNLREQNNAALVLQQDGFKNL GQTMQTFIKNVAAGHSRTEDILRSEHALTRQLLDEEGRKIERNLGAQINNLSKVHLDG DRYDNLLKSLEYPEMNWRPSQIGDAGSKTFEWVFDDILPNTYYGKERPWDSFSNWLTS GTGIYWIQGKAGSGKSTLMKHLWQHDTTREILKNWCSTKKLVIVSFGFWLSGSPMQRS LRGILCSLLYQVLNEHQESLPGFVEPTSKLFMGKKSPQDWSLRELSTFLQKTVHRLAE TSAFCFFLDGLDELDKSEDPRELSSLISTLISEVNVKACVSSRPEQFYCDEFRGAPLL ELQDLTKKDIMTFTTEQLSDVQTSGRLGDLESDIRDLVIHEIVTKAAGVFLWVRLALR DVLRGLTIRASWKELLARISRLPADVERLYEDILERYAEDWHLVKEEAALYFATLLLA EEYSISGPYLDIFAIIASKWRQGWSDWRPNFLVEELRKVCYHTMLRIQIVCGGMLEVT EHDEVVEPETDPDNPVEFSFRGRRVLLFHRTVRDFLMDNPAGHAILGCSTISKKDLFH AMTEAHLFFRTMRLYHSSWDDIAKLIRSINRYHRLNGYVSDDEIVANLNQVQQYLPQI AHAALAEQFDLPHEIDHSIVQSNRSCEYQLATYSFGVASPFFCAEDLVGLTLQMGISA YLENFLRAYSDTQLQMPKLHKQYKDYLLLCACYGYAMGNLYSTGIRPMEQVMVQLLNI GADPNAKLFLQPSLPYMTTPGSTLLLTKKQRMMTTNFCELFWHFLEKGFRLEDSEFFY YTVGYECVPMAGYRGLFQMGSLVLLFQATRRQGLVSSWDAMVQICPNLTLFDRCEAEP DNSDRDVVLVRSMYIKDHDIGERAVVPTSDQSEQLLLVVDMLERLNADQAIGDDARYE KRKNLRSQFDQKLQEVVESNKEEVNYENFLAEKGLSTACSDPVTLPGPIPMYENDSDD AMTSDGIAKYCEVCKQQRKELLKYRWVAYREMKKKPLKLLISQGLDVRENYMVSS EPUS_00716 MNGAPAQKFKVADISLAAFGRREIELAEIEMPGLMAIRQKYAED QPLKGARIAGCLHMTIQTAVLIETLTSLGAEVTWSSCNIFSTQDHAAAAIAAGGTPVF AWKGETEEEYQWCLEQQLTSFPSKESLNLILDDGGDLTALVHNKYPEMLKDCYGVSEE TTTGVHHLYRMLKGKGLLIPAVNVNDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGK VAVVAGYGDVGKGCAQALHSMGARVIVTEIDPINALQAAVSGYQVTTMEEAAPQGQIF VTTTGCRDIITGDHFSVMRNDAIVCNIGHFDIEIDVAWLKKNAKSVQNIKPQVDRYTM PSGRNIILLADGRLVNLGCATGHSSFVMSCSFSNQVLAQIMLFKAEDEAFGKRYIEFG KTGKKEIGVYVLPKILDEQVALLHLDHVNAKLSKLTKAQSDYMELPIEGPFKSDMSLP LLVYISFCSLFRTFIMSLHWAEQPENWESENHAQRILDSGFFLKRPND EPUS_00717 MTLVSSQSKTVAWKYAIDRHLSRLSDTQRKTFSTTATPEECLDL IRQAQGRKKYDRFIVALRPLIEPLKRFEGSIDVLVQAQSGIASPIWGPLRMVITLISD RLKTLQNLVVLLDRIVDPLKRFANYESLFSENELLQNAIAALYCDLLEFSSRIIRYYS RSSFLNVFSSFDKDLLEVSDSVRHHWTEIDIVANAANIEEAKNAREAEKVQRQLDIRK DINRWLSPSNVSDDLAKHLSEYVEGSCKWLLAEAALKDVLSTTDSSMLRILARPGGGK TTAAAFLIRQLAGSTSGATLYFFCKASDAEKRTSLHVLRSLLWQCLQYDNNLYAAMVQ WYYQSGRSIADSQTDVIGMFTTCMQGTALPAIHIVIDALDECLDSMDLLPALSNAMAA SRRPLKLILFSRDGMSLPDVPILQLPTIHLTKDKCRSSIDSYVKKRLAEVDSLEGHRH DDQLAQSISHAADGLWLFARLLIDELHYAPSWGEVQRQISGLPHGLRNLYTSILTTKE KTFSGMQLKMIQELFLWIDTTEYLPEWFRWNKNSDVIEDQTICAILDVATTSRELFDP PNLIKRLASPLLEVRIISPMVAVDQHGTPYHCTAFSVEYVHQTVIQYLRWTVDALSAD LPHSLRPRRLAALYRGVTATHYLSQSDDFKDNLQQLRERPRHGIFDNYLEIVYGLWGA LNLPHLRWDLDAEENAKAATLCDQLTHFLTTEKCLGWIEAAIIINYAGQWVNLIENVE NVLEVASDPLSSAPAFHRFHCARQTLMTDFIYVLAQTWPQDDLPTQTLTRIAQIPRGF YQRPLARKVMALARQYQWLLSPAQARSSNCFSFNGRG EPUS_00718 MDSSRFPPGESFLSSPPKPAATTRPIIPANLNISSFLSFGKQPA KTCSPVKRKPLPPSSPALAPKRNGAAANNQKLSPSSLVAGSEASSPLLVRDLDQYPRG QSPFLPSGPIVRKPVNAGKDNQSPANASGLQGRPLRHSRIPSEPIIQKQSIDEQEDTM TRSRTIALQPNSRPPPLKINVHDRSLSINLVEPKQPTTPVNKITQIFNWKRTASPGDD SDSTDVSDNSLSPFPSPMAVSPQTSRYSARSIPHVIDTQKANIGVFQDGELESPWVHS SAGEHDLSTKVGQLEGELREISCELAGSIKREMELEDLVEKLQTEAPGSNDETRTSDY YSDYSASSMRFTIVENVLKIEDFEKIKRQSEQEKAQMKVDLSQRWQDEIVRRKALESH VQLLEGQVSRLNREHIDASSTLSSKTKELEASLEDARRRFAEERRIKEKFEDSLTALR NDLERHRQERDKLRDEVVPELQAKLLSKNIGPPSPSFDHARMQQEIQALKSENAALKE ARKAQHESQHGQPRFDTISEESEPSSSSIARSSVGLSGSNSLARAAPPRASGLVRTTS LSRSRSNSIGGKSPTEPREILVDRMKDVEFQRDALHSAMKALLARQECQNKEFQKQIR ALEQERDRAMLPPTPRKQGYEKEVRSLRQEINNLRQRANEAMTQKLQCEKGLGGLKMD LDRSEQETSTLRRLLKEHDIALPEDLSGSLQHAYDALQQDRVNAEARIEDDSFSRSLE EEQRLAAQLRQSAERSEGLALQMSAQLATNQALRNRLAQAVGRGERDQAANTGKITEL ETKLRSLEDTVTTAQQQSETEVMKHEEEMRILKEAHNAQLQRLKASGLRSPTSPGLSN NKRGLTPLISPMFAQRSPKLDKTTSGPGVRLDQALRTEHLEKKVSELEAALENADNEM QQVVSRMNTAQIEVMELQTERDEALRQTRKLQAAIMAEREKLQSLMNDSQQF EPUS_00719 MTDASTHPAEFVKAQQTPEKKSFMFIIEHLDPELGDWSALEYRT IAKECRETKNQFILCSVLQDFVVKAEGLSLGDVVAKRVEELFPPEERDGRRGRRVCLL DPQAKKELSPEDGDLFEGFLFGGILGMRPLPFLLSLVLVSLIGDDPPRDRTSELRKKG YEGRRLGPVQMTTDTAVRVTRMVVQDKILLEEIPYVDFPELKLDEHESTEMPFRYVKG EDGGPVMPDGMIELIKKDADKGFDDFLQS EPUS_00720 MKIPALGLSGHSRTSISAWLLNAIALLLLGQASVTAEKSAADYF IRSLPGQPEGPLLKMHAGHIEVNPEHNGNLFFWHYKNRHIANKQRTVIWLNGGPGCSS MDGALMEIGPYRLKDDQHLVYNEGSWDEFANLLFVDNPVGTGFSYVDTNSYLHELPEM ADQFIVFLEKWFAIFPEYEHDDIYFAGESYAGQHIPYIAQAIIDRNAKARGQSKVEWN MKGLLIGNGWIAPSEQYQSYLQFGYDEGLVQGGSDIANKLEAQQTRCLADLNAPGGTE HVDVAPCESILTMMLDLTQKDKKCYNMYDVRLLDDYPSCGMAWPPDLTRVTPYLRRAD VVQALHINRDKRTGWTECAGAVSGAFRARHSKPSIRLLPGLLESGLPILLFSGDKDLI CNHLGTEDFIHNMAWSGGTGFELSPGIWAPKRDWTFEDEPAGIYQEARNLTYVLFYNS SHMVPFDYPRRTRDMLDRFIGVDIASIGGSPADSRIDGEKAGLETSVGGHPNSTAAEA DEKQKLKEAEWKAYYKSGEAALVVVVIAAAIWGWFVWRGRRRQKGYSAVPLGNGRLGM EAFRSQQGKRDVEAADFDENELDDLHSRPRPNGMARDRDAERYALGSDSDEDGRNTVG KEKGKTASEQPRQFGGTPRPDLRFRDLDSPLDGVLEKPRYARPYASSRVRLPDLSIST KPPGIIPNAAIHRCIRSPCSAVISVSSVWSSDRLDQYP EPUS_00721 MAEGQPDMQIVYNTGFTSVSFFLPILVLSGAFYLLDATDRINRY YIALAGLLMGVAISAMHYVGQLGIATYHCTYRVGNVVGASIISIVASIIALNVFFTLR ESWTDSWWKRVLCGTVLACSVSGMHWTATVGTWYRFKGSGSRPTDPLSRKQTVIVCAT LSVATCILMLLVALIAGYKKKTCRSRAQQLVLACGYFDEGGRIMVTTEGALPTQKIAD HYMDKVFDRTHPAFIWAFRASYNWHTLKALIPGMKDHLHATDSAAMHCPEESRSMVNT CSACPDFSMVFKELFILAALNLAYQIHRPLEVLGRLFEEPLETGRRTRLPSCRRSSDV ESTPSPVDKEKDILLRFLSAGKFLFVTRQVSKTDVALFGAAGFRFAPITQISGALSKT MELPSDELTARLQHMRTQTASEKLMPPGVHLACFMLRPRLHQGFDILVPGKSRNQLPA VKLPYDDLTDQQAEVLRKLDDHTVAQMFEMLQGSTDLGSKNETEFYRDFLRALTQLVR QIDDTKILQAKFSACVVEAPCQPQGHSDTASKPCSLLSIHMISTIYTPSPKSDFVFIP LRSFTVQQQVYMGVADQEAFARQAVVEFAHCFEPGEDENKRNSNHSSRVPILSSRAPD SPSSWHWSKGSSQRSIDEARGSSSTAEKLVNSASGAIVVSNDVTLDITDTLKPIEKAG EGQNEMGSTGGASATAVETETYVDKLFALYQALSYLRSSPSLLSAFFSNPTTTPSSSS STRTSPQPNTTTQQWLRYLETYLLTPLFTYLPSLNPLSDPKASNNRTNFKSAGSASNG GADVLTTILVLASLFVVFKIANYIRSAGGWIRRLGMGRWLGGLWRAWWSGWGGSLGAA VVVGREGEEERGDMMLEDRLGGAVMALGTVMGEEEDRFRGGQGGVVGFEAEGVEREFT TGRKWD EPUS_00722 MSKFGVLVMGPAGAGKTTFCTALIEHLQNSRRSCFYVNLDPAAS DFSYEPDLDIKDLISLDDVMEELSLGPNGGLIYCFEFLLQNLDFLSTAIEPLSEEYLI IFDLPGQIELYTHIPLLPGLIGHLSRSGPLNISLCAAYLLEATFVIDKAKFFAGTLSA MSAMIMLELPHVNILSKMDLVKDLMGQKELKRFVDPDQALLDDEDTGRGSAAHTVDLR DPAEIDTVMSGGSFKKLNRAVAKLIDDFSMVSFLRLDVQDEDSVGDILSYIDDAIQYH EAQEPREPREREDAEPAWEGG EPUS_00723 MGSITNGNHGKGDTFLFTSESVGEGHPDKIADQVSDAILDACLK EDPLSKVACETATKTGMIMVFGEITTKAHLDYQKIVRNTIKDIGYDHSDKGFDYKTCN VLVAIEQQSPDIAQGLHYDEALEKLGAGDQGIMFGYATDETPELLPLTIQLAHRLNAA MSAARRDGTLPWLRPDTKTQVTVEYAHDGGAVVPLRVDTVVVSAQHSEDISTEKLRKE IKEKIIQKVIPAKYLDENTVFHIQPSGLFIIGGPQGDAGLTGRKIIVDTYGGWGAHGG GAFSGKDYSKVDRSAAYLARWIAKSLVNAGLARRALVQLSYAIGVSEPLSLFVETYGT GQKSSAELVEIIHKNFDLRPGVIVKELNLIDPIYCRTAKNGHFSDQSFTWEKPKTLKQ EPUS_00724 MSGRDRGSRGRGDRGGYMSRGRGGGEGRGEGRGRGRGDGPGFRG GRGGGRGAPAAAVEVYSAPDRSIPNPSARVTEVEDLFQKSLSARGDLGIRDLQIDARF PRRPGYGTRGQKVILWTNYFEMIPSPDLLLYRYNVAVQPAATEKQLSQIIRLLLQLPE YDGFQHDIVTDFKSSLVSCRRLSPDTAESVVQYRAEGEDDPRANAQTYRLRVEETGIL TVSELTDYLTSTNVNTTYADKLPVLQALNIFLAHYAKASPTLATIGSSKSFDLNTPKW DLGAGVSALRGFFSSIRVATCRILVNVNVSHGTFYDPVPLDQLIQNYGSSNQFNRVKL QSFLEGLRVKVIHLKEKKNKAGESIPRVKTIFGLAAKDDGQRLQHPPQFANQFFGAGP QDIAFFLNDSTGAPSSASTGQAAGTTGSKKKGKGARGGASSHGPGRDIAQAGRYISVY EFFKSVHSRLIGKPKLPVVNVGNKENPSYLPAEVCIVMPGQNSRSKLDPTQTQQMIRV AVRKPWENANSIAQEGLHTVGLLPRENSKMNHFGVSVTTRLITVPGRVLTEPKVTYQQ GKSPFVRGGSWNMQDIKFYTGGILKNWTYLMISMGGQRDAFDQSSLQSTVAEFHRALQ NVGITAAPPNPGSRIVANGPEDMQIETALRDTTRDPRQARLVLVILPIAHTLLYNRIK HIGDVKVGVHTVCVVGSKFAKGQAQYFANVALKFNLKLGGINQLVDNTRLGIINEDKT MVVGVDVTHPSPGSAPNAPSVAGMVASVDKSLGQWPADLRIQKSRKEMVSEVDSMLKS RLQLWKTQGKHAAFPENLLVFRDGVSEGQYETVLNIELPLLRKACVDLYPAQDTKKGL PHITIIIVGKRHHTRFYPTNVSEADRSGNPKNGTIVDRGVTEVRYWDFFLQSHTALQG TARPAHYYIVLDEIFAKWKVPPPFQNVAEMLEDLIHHMCYLFGRATKAVSICPPAYYA DIVCERARCYLSGLFDMSTPSATPSQSQVGEGGHPEARMEDVLIHPNLRDTMFYI EPUS_00725 MPEPGLDILGKRLGNWKVLLSPKALKRNFGSIEQKLRELASGSW EHPRLYYGIGSEQQRKKLRVPLAATRCNAQTSILWQVDIASDDTPHTECQVVKIWEVA DETELAQVIDRVILLQKSCPDELFTQCRQKGLEDTAKNLLPRSFTSHSDTAKPGEEVQ GLDVRTVDREVLQMVNRFYTLTEPMIRSVLANDLWAEFPFDFSSDETRVILHFDTSNL ILGRSGTGKTTCLVFKLLAKYAAGCALMEERSPRQLLLTRSAELANKLKDYIDRLVRA LPKKSIDAGQEQETELPLPDVGTEDDQPSTVFQLQNRSFPLVCTFDRLLELFENTVDY VDREGFPVTNEDENDDTQEKDQSDQSESSEEEIPWWQPSTKSTIAQFIDSQSFRLDYW PRFPANLVKNLPVELAFAEIIGVIQGSISSRETLKPLSREAYLELSSRVAPTFTLETE KSQIYDLFEKYQALKLQRREMDGVDRVTKLIKAVRDNQRLKDIMGATFDEIYIDEVQD LRCLHIELLLSILNEGRAFHFAGDTAQTISQDSHFRFQDIKALFYDHFAGAASLANQP ELSRPRLFMLGKNYRSHQGILGLASLVMEMLWNGFPETVDKLEPEIGQIYGPIPVFFL NCNVQMLASGDTSSDEHPKQTLDFGAEQAIIVRDQSTKAKLQAELKDKALILTVLQSK GMEFEDVFLWNFFTDSPCPGGWRCLDTLKTKSGKFDSKKYAAMCSELKQFYVAVTRTR IRLSIIESEEILAVRVADLLKHDVSSPLVEVTTSSDPDFLQELLSLRSVSHDPYKWSD RGHELMQRKQFDDAVICFRRAKDKGGETCTTAYIFEEKGRHLTSSGDAGAARDCFQSA FQKFLELDIIAEAVRCLEKLEEYHEAALLWAQSGNSLRAAPLFAKAGMFKEASDHFHK ARNYDKAVDALRQGDLAENLVPYVTENQKKLSPRSFKSHSRFCIYLLQQNNIGSHLLT PAIRLLGSPKEQKEAFMAYEIHDQLADLYAEQRKFKERLLLFFRIGKLGHALKAITDR SPADTNSKSVKEAQQRVQNYYFASQIVCPRRDEVQIPHQLLSSNSDWITAQRLMTSKQ RGAIIGNIETIKNGVVKDFLRLHALLNLGLLDIVPTLDAVPFCGIETVFKMAEGVSSL AEHTHDPIILLLTGVMKVDHGTKPFILLKWSPFMNSRPMSTP EPUS_00726 MVEAQSNFISASRNPGQEKGLCVLAMNIENLLFHRLGKDLSERN DISSLFEQTQVSQVLDYKVQGRFARYLMDKLFRSADTQIQLRQLRVLRSLEGNIENPN PSAFRQSLRQFTSQILLVDVRQFLSFHSVTTVFEFFAAYLIIRSRRVALVLRLGSLPG PGFRLGLSIYPPRLLWQRNMELLALIVVNLGFNSTGMEGFQDVWRRVRQVFSLPFTRC FHLQHQTIPELLEKLIKSYRAYEGKVFIKLARKTNGQCAADSQLRKLGVQSVPLAELL IPTAFTSYGPSQAVSSNETEAQHSHQIRAAEKIQQFWRSHYPALLAKRAFLETSMGRT YIHVLEICKRNNASTMMRHLLLGNAVELLENIHSTSSTASELHQRAVNLLKSLPQDKF ELVDEVLLRVSAIEESLENVA EPUS_00727 MTSSTLESFPNEVLYRIIIFVEYSPQNLQALTLTCRRIRSFMVA RAGHGNLLEDIAMMQYPHAFQVLHYPNVPFSSHANITFFSQLNRLKRSTMLVDGEVEL MREIRQDLIEQKPQLVKYLATKGWDHNLRSALHLAMFPSWFGGPPSTRMPLYSGFATF MQSIPAHYVLAYRHASFMIAEACTLVDAKGIQQEYCREYGYHRHRSIISEVNMRKEVE QYPSSAIASCHEHWTQQKGRHPTPEKPPSGNCYGYQDGGFDRLQVAKTSSKLLDEKIQ FILSNWDRYTVEDPAMMDDLQQPGCSSSDTSAVRDLVESFAGLDSTIAPQPDDSLIDP QCWADDPPSIHF EPUS_00728 MSSVMFDSLPNEIVLAIIVRVHFSYESFQALLLTNRRISTLMSD RGRKLLEEIAGVQFPLALWASSQPTIPFDKNGPIYCLGRLDNLCSRSQKVDEFVHIVE KIRSDMLKEGDLGPALGARGWKQNLRVALHVMYFPSVPLEDHRGYGQRLKDRSHHYAM VLNDIPVDCILAVRHGTLMAVELFKFLDKLVAAKRAEMGLPATTTLAHNEIQLRRAIE FSFDKFQLSCRITFYALHGSGWSDPDKDIVDPWPLLKLTMGEVLLEPGSHSCASCRPN SRVSLTIQSCLNRRTQDVLGDWNNFVVQAPEAIAALQREGGEQNLKPVRALVERFLEQ EPUS_00729 MSSSSLEALSNEVLLMIIQQVDFSKASFVALTVISHRFHELIIS RGGRLLYDIAAVQFPLALITERDPPAFSIKSYISLSLQTLEKIAIRSQSYENVLRIFQ RIEQRMEQEARHFRKHFALPSWEENVLLGLHISYRMQEILSEIDVHDLPTLNSHTSRG ISHYEAWVLSLPPALCLALRHTAFIGLDSIQAWDWKLGSRLLGDTMSQNSPFILPWIL GFEQHPVYALLLCARSVEIETSQRQKIGMPPTSLDWQGIHDDIRQLQDKMSIRQHIFI YLTDLVTRRIRQDILGNWNRFVEENPRVIAGLRSQEVRSTEEVRALIEGFLVGFEG EPUS_00730 MGKNQNSNGHDSTKHTSKSVEQTKYKVNETFADSEDEFFAGRDQ ILLDDGPSSKRRKLHEDDAFLQLSDEEVLDYDEDDDTEDDIPESDGEASAFERPDEEG EEEDNLRDWGTSKADYYNADAIETEADALEEEREARRLQQKQLQKLSEADFGFDENRW MEEDSAGIELRRDTFTERLPELEIKEDASSEEKAQILKSRYPEFEPLAKDFVELQNLH EELTLAAKAAEAVVKAKGSSRKGENGAIVEDLLVHETPIATIKLRALSTYLGTIGMYF ALLTSTTNPASSNALALSPAELRDHPIVHSLFRARQLWENIKDTPLPELQAVAREAES GTLIEAEPEPRMNGASIPNSMNDDYVAKKKRRTKTERAATKAQELVEAKRQLQMKRTE AKLADLDVLLLTKRKEARLKDIGRKSNGEENSEFGDEEALTAQEVAEKALRKKSLRFY TSQIAQKSNKRGAASRDAGGDADLPYKERLKDRQARLMREAQRKGQSQAGENERLGSE ASGDEAEDIRLAKEVRGDGVDEDDYYDMVSARTKQKKDERKALAEAQAQAVKEGGQVY IEEEVGPDGKRAITYAISKNKGLAPRRKKEIRNPRVKKKMRYEKAKKKLGSIRPIYKG GEGRGGYGGELTGIKTNVLKGVKL EPUS_00731 MSEAHKMVYNKHYVPLESNPTIFTRLIHELGVSPSLAFYDVLSI DNSELLAFTPRPALALILVFPTSAKYEEHKAKEEALRKCYDGCGEDEQVMWYRQMINN ACGLYGILHAISNGDSRRFILPDSHLSRFLESCRQLKPLDRARMLENDAELESAYSMF ALQGDSEVPENPEDEVDFHYVCFVKSHKDGHLYEMDGDRKGPVDWGPLGSDEDVLDER SLYLVQEFIQREDGCNANFSLLVLAPA EPUS_00732 MHFTTILNTAFLAIVSGALAAPLAQSGSGSSSSNYFPSVTEIGW CMIPWNVDDCVKAQGHADIAAQAAQNLFPANTLHNGKGDAFRHCYWNARMTIDLGAGN AKSIGDNHEDGSNGPAEEKRMDLANNASGRNIGASAGSYASAQEACRVAAVNGRLVTL K EPUS_00733 MAPRFKRKRRASLTSSSARTSQSWSSQSSRFKRPSLPSQSSSRR RSIDPPYNQASSHHHIPHDRDASQPQTYHAADNEEVDEDLEQVVMAIDRQQKGTIGCA YYVARNETLYCLQDVIDGMKIDIRPTIVLLSPRVGPEDNDSEATRRLGRGSLVGDVGS EPPLPYQVDIRPSQEFAYEGTKTKLINLESAIARNDGTIFLVPGDASTYDDEQDHENF GSTNRQGKLLRLSSWIDFESRVSAGCVGAILTHLQRRRAADYLPDDPDAQWAFRVRSL EMFTLQNTMFISPDTLLSLQIIQPESHPNAFNQGPGSTGSKESLSIYGLFHHYARTPQ GKGRLRQTFLRPSLDLEETNHRLDFIGVFVRPENQIPLQKLSRSMSMIKNIRTVMIHL HKGVNGGNQKSGGFKSGVWATLLEFAHHTIDIRDTLREVLGGESLPLLAKSMQVLDTR LLQSVGRNINDIFDRESSIEQNRTVVKRGVNDQLDDLKNIYDGMDDLLSRTALEIARE LPDHIAMKLNVIYFPQLGYHITIPLDPHTRQPLYEGDPDDPASGSRWERMFTTENQVY LKDDRMRAMDERLGDLWNMICDLEIEISYDLAQRVLQHEEILISVSDICGELDCMLAF AQAARQYNLVRPRMTEKNIIDIKNCRHLLQEMTVPSYVTNDAFLIGGTDPDDQSYRHT SERPTRQPSSHPHEDEDEDPPPPRILLLTGPNYSGKSIYLKSIALTIYLAHLGSFVPC TSALVGLTDSILTRIRTCETVSRPHSAFMIDLQQIAGILKMATNRSLVVMDEFGKGTD SCDGAGLVAGVLQHLLGEIGEEGESVRPKVLAATHFHDIFEMGFLPPQNGLQFAHMEV RVDRKHHHDDDQHAEAGQSATQVTYLYNLRPGRSTESFGTQCAAMNGVPAPIVQRASQ LSKLAAKGEDLVSVCAVGGKEEEEDLERAEVVARAFLHWDLDDDGGNHCGVVGNGDPR RVLEELVGEVAGEIDIGVRTGSGSAAANGMEMETGSGVEIGRENASEV EPUS_00734 MVFSVISTETRARLQISTAATSPESAPPESKSSQLQDAASKDDD FFWTYTEEPHRTRRQAIIRAHPEVTKLCGPEPLTKYLVVLVVLLQIYCAYALSSTPVL SWRFLMTAYIVGATANQNLFLSIHEISHNLAFRSASANRAIAILANLPIGIPYSASFR PYHLTHHKSLGVDGLDADLPTPLEAIFLDSLLGKAFFCTFQILFYAIRPMFVYQVPLT KVHFANIFVQLAFDACLVRACGANALIYLIMSSFLAGSLHPCAAHFIAEHYVFDAPAT VPKQPSNVPIPETYSYYGGLNLLTYNVGYHNEHHDFPAVPWSRLPSLHKIAKEFYEPL PYHKSWTLVLWKFILDKQVGLWCRVKRAEGGRLVGSWKESEVQN EPUS_00735 MSIDLSPPELGFKRPFNREVSETLRLGNPHDGPLAFKVKTTAPK QYVASGSRDGVMLKPARYCVRPNSGLIHPNGSVDVQVLLQAMKEDPPLDAKCRDKFLV QSVLITSEDVSNIPAIWQAIEKTAKSSIQERKIRVNFLPAEGATSTTNGVPAPHRNSE EPPAYSSPSPQFGSPATHSAVTSTETKDYGKKSVDETNNSSSTATAPSTVSSVAAAVS SAVPKSQEDLKQQLADAKAQISKLTSQLGDPQLRQRKVQEASEKMQTVVQQTGETGVP VQIVAGLCLLSFLLAYFFF EPUS_00736 MASTDLEQLLEMGFEKERAELAVKHTGGLQGALEWLETNQDKSI EDIKAAATEDSGPALNPGETARSLVCNECGKKFRSTAQAEFHASKTEHQDFSESTDEI APLTEEEKAAKLALLREKLKEKREGMSEQDKIDKKRNEEIRRKSTKETQDIKEDLAKK EQIKEAAKKRQEKLADVEAKKRIQAKIAADKEERRLRTEREKAEREGRAAPPQPVPAA GPTSSGPATSKPASAYTETRLRLQTPNGNIMKAFPVETTLFEVAAELSKESGMEVESF TQTFPRKVYNNEFFGETLKELKLVPSASLIVNVGDQHKSSNGPSANPFEEEPPRMSEY TAQEIAILQSRLNKQLGPEYISSRPGAAGQKVHYLAAEKVINLANEVFGFNGWSSAIK VITIDFIDENPHNGKISLGLSVTVRVTLRDGTYHEDIGYGHIENCKGKAAAFEKAKKE GTTDGLKRALRTFGNVLGNCIYDKDFLGRVTKIKTAPSRWDADNLHRHPDFAPVKKEQ TGEDNSTTTVVATGVASHTEGEDEFGADFSDTDFSENHNSHPDEVILPVEPQIPQAPA RAAAQNLKLHPEQTRPSAPQINGGVNTPPRRPQSRISAPSLDHRVQQPPVQRNPSHDH RPLPAQQAASIKVDSGANVPTAPRTSSGNQSSSPGHVSQHFGAPQAATGQVQQHNAQP SNQQQTPPVGFYSARAASVLNGAPEGPAAPISQFNPHSESPSIRKTVGVDHSRSVPVK RAAMVVTEQPPAPLPGLRENMPAPGVVARDFVNPSSDMYRRIGVPGGGVPSPIAKGGM NGSAYRPPTRRAPLETGIGMGAAGAQTQGQGQAGLAGIKRPPLGDVSNMHPSNAGVNS GGEDAKRQKTTGPENIGPPQQNLPGVR EPUS_00737 MAEAGPSRARPGGAGVPLPEIEARRLIGAIASNKATLADKTQFR HQVATSREFHGLVLKELSSEDSETGLRDRRRLQKFYNSIDEDQRRTTDPRDIRARVQE VRREIDRRNRGQNRGQFRRTEAERRVPDTNVPERNAQAGERAASLTEAGSNPRSNLTS LAGSAGFRGERAGEAPQPIAAGSIPPLDYAIGTVVIHPHLPSLFDLVAFNIATQDQFN SFMRVLLEGNQEQAVVERIRSLFYRSERDAALRLYAVLMQAHVLVLTQLSSRYAIQNS GVRNSTQKRDLYQLIIKVRDGSASRQQKDHFQQLLNHEDFRTMIIDLQIFCDLTATEE GPKMAKALKDELVRYGAGSALQTRYARKSPSLKLSSIVGERAANAPPETTVPSDARVF DLLIDRIESAGSSTAEPEKELFSQFMRGERFRLCVLHRVRRYKREPTTSTLRRLNALL DAIDWDMTTLVGRELVLKNLADNGVSEMVLINRVANNTATEDQKRRFRGRLFSNVIFR MLVSDQIRKCGQAGAQDKVGRLLVLKGMEMGLPTPSEQEKLSVEGQAAAAASVIAPPP AAEGAPPAAPVPAPNPDPEVVTWIFPPEVRP EPUS_00738 MAPHRIDDFLELTDDIVPELVHPGYKYAGGDGTAVDTPDNLSNG VTEYDPIAIVGIGCRLPGEVKSAANLWDLLISKKSSHSRVPAERWNIDAFYHPNDNEK IGTMSMDSGYFIHEDLRKFENSFFGINNIEATFMDPQQRKLLEVVYECLESAGVPLDQ VNGANIGTYVGSFTLDYWMMQTREPDYLHRYHATGMGTTILANRISHALNLRGPSFTL DTGCSASLYALHQACTALDAGECNAAIVAASDLLQSPEQQLGTMKAGVLSPTGTCHTF DETADGYGRADGLGALYIKKSSKAIADGDPIRSIIRGTAVNSNGKTPGISLPSQDGQE AVIRKAYAKAGLTNFDDTQYVECHGTGTPVGDPIEVEAVSRVFNRDVAAKGPLLIGSV KTNLGHSEAASDLSSIIKATLALENGLIPATIGVQNINPKIKVDEWAVQIVQENTRWP SSIGTGPPIRRISVNSFGYGGANSHAILDSASSYPSSAEDSSPQDLLHPSSKFIPSVS EHLVSFENSSCTFGIYKSRTREIGAAYAAERFSAAQAIIVAFYRGYVVGKTETTTSGA MMAVSLGEDTAKAEINLLGLGGSIKVACVNSPESVTISGDAVAIDQWLTLGQEYPDLL EKRVGPLLLPVEFTEAMWVSSVHEESVTGKILPSYWRKNLESPVRFSGALERLLKQTP IHLIEIGPHSALEMPIKQTCKKLDLSDAKFHYSSALSRGKDGIDCVLNLMGDLFLHGH DVSFANINYVETTFATGKQGKVLTDLPPYPWTYDQILFNESRSSRELRNRKYGHHDLL GLQTLYGDGLVTTWRNTLRVKDVSWLSSHRLGNDVVFPAAGYIAMAIESVCQVTGTTI ADTPSFLLRHVNIAKALPLSVEENDPGVEIFTTLRPMKLTGVSNSAKWYDFEVGSFEN GKSRTHATGSISLEMTIPTSAKLFSQEIVNFQSSATRNWYNRFAQVGLNFGQEFQSLE RIEIDRKKEVMQARSTVQYLTGGGVGHATQADYIIHPITIDAMLQTALIASSAGTISD LACMVPTAIETARFKAPSSVDTPWFVDAVSQPVGPGSIQIAAELHDG EPUS_00739 MVDQSSIDGRHPMMRVLWKPDVAKLTQSNAQGYSDYPTNATDKM LEMPLTKNLAKLATMAGLVAHLNPRVNILELGAPIPDFTRHLLRDVLRSETPYKQFGS YSRGYFTANGELFVEALESADSIADSFDKIQQRVEVTYDLIIFPNPFYQDEFAPERLN LVQSFLRPQGRVLGRLPAALEVPDMAKSAGLGTVQIPTGDASEALLLASRLKDRGGES DENIRRIVVVERGCNSAFKDFMVQALSAYIEKPVERVTLSALTSGLVTPGTTIISMVE LYEPLLATMSVPEMASLKIMTDNAENLLWITGGDQLSGVRPNFAMVSGFQRSLVLEQL SLKFCTFDIDQPGAHQQATVDNILAVLDELGSEEIRDCETVQKDGVPYLSHFVPEETM NETFRQKLGVRQALKPLGQVKPCRLTIRDLGQFDTLAFKPTASSSKELGADSVEVDVK SVGLNAKDIYVYCGKVETRGATSSLECAVSRLTAGDRACAKLQDGEDYKVVSTLPLVF ATALYALSDRANLREHESVLIHSGAGGVGIAAIQIAQLKGAEIFTTVSTEEKKNFLVN HFGIRRENIFNSRDASFLPGILGATNGKGADVVLNSLTGDLLHDSWRACARFGRLDMQ MFRRNVTFTAFDVSELCDISNRTLSNTWERLLKEFMTLYRSGQIKAFDPLRVFDVSEI SQAFRHFSSKNRMGKIAVSFEKDESLVQVLPSQYDSNFSDHKTYVLSGCLGGIGRSIT KWMVRQGARKFGFIGRSGLDKEPARRLVHDLEDSGASCTVIRGDVGNYEDVQKCVEAV DGPVGGVIQAAMGLDEHIFTAMSNQSWHTSVNPKVHGTWNLHNAIKGRDSELDFFLMT SSVAGSVGTATESNYTSANSFLDNFAKHRRNQGLPATSIGLGMISEVGYLHENPEIEA VLLRKGIQPIKEDELLSIIDLTLSRPDHTLVGEAHILTGLETQGMKKLRQMGFEGTIP TLNDSRASILASSLDGESDAHSKKSDSGLPPALAQTLEPGGTNDDVLDTITAIIVARL ANLILVRAEKIDSKVPLIKWGMDSMLGAEFRTWFFMAFAVDIPFLLLLGAEVTPQGLG EMTKKDMMAANRFVID EPUS_00740 MASGSKAITRRFIIFTLHHSTWPLRLSMRRRTLEVPVDQTGLHV EFDLVFRERDLSRIVAYISHPSTMSMKGLEEPRSCSVGKILDQTEYPPASLRWARKYL GKRFDIVIQGKTSIARACMEQLNERQLLGLYNTLLAKEKAADKKRTGDTTGQRPKKTH KAVPKPKSLADNTNDHCLPSSQLHHMRKRVNRSVAKSGKWHPVREARDLRDGRQVQFT ANGLLPLQVPWIEDPLRLVVGPEAKAKIKLCGENPTIHSCKTALSSRLEMMSSSASPI PICTQHRLGSYGKHKSEKSG EPUS_00741 MPSLWVVVFIASYLAYLVVLALYRLYLSPIAHFPGSKITAVSGW YETYLDVFKGGQFTFQIQKWHEQYGPIIRINPTEIHISDPDFHDIVYSSSAPFNKLPA FRDRFGIPTAVISTVDHELHHRRRVALNPCFSKKRISDFCPYIQQRAERLCNKLLLEY KGTSKVVTLNDAWAAYVTDNVMFYTLALTPDFLDLPDFVAPYTESTRELVSSTHFVGH FPWVLKALQSMPEALSGVINPAMRPIFKFHNEIKAQIIKIMTGENEAHKSVSHRTVLS SLLTSNLPPQELSVTRLQQEALGIVGAAIETTKASLTLASFHILDNPEIFRRLRQELK EAFPDPTRPPTLTQLEGLPYFTAVIQEALRLSYGVTQRLPRVCPHTCIQYNDTYTIPP NTPFGMSAYLMHHSPSIFPDSHTFNPDRWLNNPKTVHGKPLSRYLVSFSKGTRMCVGV HFAWAELYLGLANVFRRVDFELFETGKEDVEMASEFFVPRPKAGSKGVRAVVK EPUS_00742 MSIPESNGVPDISNFLASRDKLEPIAIIGMSAKFPQDATSPAAF WQLLCEGRSAMTEVPEDRWKIDSFYHPDANRLDTLNARGGHFLEGDVAAFDAPFFSIP RTEAVSLDPQQRGLLESAYKALENAGLPMEHVTGSRTGVYVGCYAHDYDAVFNRDPLN MTRYQASGVGSAMLSNRLSWFYNFTGPSMTIDTACSSSLNALHLACQSLRSRESSMAL VAGSNVIINPEAVAIPLSNLSLLSSDSRCYSFDARANGYSRGEGIGVMVLKPLSCAIR DGNLVRAVIRATGSNQDGKTPGITQPSMAAQERLIRDTYASGGLDLGTTRFFEAHGTG TPIGDPVEASAIAAVFQSERSSESPLYIGAVKSNIGHLEGASGMASLVKTVQILENGF IPPNIWFEKPNPAIPVEEWNIRFPLQPTPWPKLGLRRASINSFSYGGSNAHVVMEDAR HYLSARSIMGKHCTVERPPDARELSARYATVRDSFVNDSLNSSALSNGSLPNGSKSLW CHQSNSNHSVDSSPSRNLSRPRLFVWSASDEAGLKRQAMVYCDHFSELPSAAKSEPDK YIEDLAYTLATKRSRLPWKSYVTASSLSDLQSDLLGKLSKPVRSTSGAPPQLGFVFTG QGAQWHAMGRELLTYPVFECALRHSDMYLKELGCKWSLIEELQRDKDQSQVHSPEFSQ PLCTALQIALVDLLASWSIRPLAVIGHSSGEIAAAYCARGLSRDAAIKVAYFRGVLAA RLAINTREHSGSMMSVALSEAALQPHLKKLDAKGSIAVGCVNSPVNSTVTGDEALVDE LKKVMDDEGVFTRKLKVGVAYHSTQMNAIAQEYRRLIHDIAPPTDVMEAPAMFSSVTG TYVPTDRLYQSEYWVSNLTSKVQFAPALAQMRREKYRQRVAHFLEIGPHGALRRPIKD TVGHNSHYFSMLHAGTSALQSSLDLIGQLHCHGYTVNLTAVNSPTCSEADLSMLIDLP EYQFNHSQVYWHESRISRNFRFRQHPRHELLGTPSADWNSLEAKWRNIIKRSENPWVS EHKSNETELYPGAGMVVMAMEAARQIARDPAAIQGYRLKDVRFSKALLLPLDSEADVE TQFHMRPGKVGNAAEEKNDFRLTMLSNDEWVEVCCGVVTVEYEEALDEVNNSYQSSKE GDSYKDIYVSGIKTCKRNIASKQLYDNLASFGFNFGPTFQNLKDVSYGHKGEATASVA LRDWMEKVPKGTEIIQPHVIHPTTLDSVFHLAVVGLTKGGWEPVPTIVPTMILDMWVS NELLTRTTLKHGKAFARSSWNGYREVDSKIVLLHPETEETLLAIDSYRGTVVGSLDAY RWRRLCFGIDWKPDVDMLSTEQLGTLCENAAKLAHGESGVIDVIDRCELTCLYFMQSA LLAVETDYTYSSPVLQTYVAWMKHCRSESGFEHLLATPELENLRSDETYREAFFSDLE NSSPEGKLYVTVGRQLLSILHGEVDPLEILFSDDMMSQFYSGNLFMISYRKMMAYLEL LSHKNPSLSVLEIGAGTGGATQFALQAMGPQDPTHEHGTPKYEQYTYTDISPKFFEDA KQRFRVHAGRMVFKTLDIEKDPVAQGFEMGTYDLVIASCVLHATGCIDTTLANVRKLL KPGGKMVLIEPTNVHSVRTTFVFGLLPGWWLSKEKHRQGGPLLSDETWNYTLGRNGFS GADICLPDQDPAWHTCSVIMSTADKAEVLAPTVSIPHTMIVIAQDCPIQNQIAQDLKR QLLVLGALGCRISTIGEIISATDLSGDFCIFLPEIEKPYLYGVSEEEFVSLKHITTSA RGILWITKDNERIRNPELELVMGFSRSIRSEFSDLTFVTLALEPEPESTSVANMLKVY RAILSAPQEQVESEYQSQHGLLCINRLVENHSLNDHIYSKLARQNSEPRPFSEDASRP LLLTIGSPGLLDTLCFVDDDGVTEPLGPLDIEVKVEAVGVNFRDVMTALGQLPSKDLG LEGAGTVTRVGASVDPAQIKPGDRVCFVSPGAYKTYARCTASTIARIPDDMPFVTAAS LPIVFCTAYYALFHIAHISHGESILIHSAAGGVGQAAIQLAKSVGAEIFATVGSSEKK ELLMQTYDIPADHIFSSRNVSFARGIKRMTHNRGVNIVLNSLSGEKLKVSFECIAPMG RFLEIGKKDMAERADLPMAPFLANVMFASVDLGVLTKHAPDVMRELMETVVKLTKTCP PVVRAPVPLHVRSINEIEVAMRYLQGGKNTGKTVIEMESGGIVPVLRRLESSWTLDSN ATYLITGGLGGLGRTIARWMVSRGAKHLLLLSRSGAQTPVAVAFLKELTANGVDARAP LCDISDKEMLKCVLDEQGKTMPPIKGCIQASMVLRDSILEKMTSQDFNAPLAPKVTGS WNLHTHLPPSLTFFILLSSSGGVIGNPGQSNYTSANTYQDSLARHLSARGRRCISLNL GLMTEAGFVAERQQLMTAFKVSGHEGIRNAELFAILDYVCDPTRGVLPPQDSQIVLGA GTPASMKAKGFDELLWMRRPLFRNLMQMDRLVSNTDTDDSIKTEVNTTALLRKVETLD AAIELVEKALVRKLANALCILEEDVEVAKPVHAYGVDSLVAVELRNWFLKELNAEVTV IDIVGAASVQELIRMATESIKPLQGSLRRVQVSME EPUS_00743 MVQLKASGNSAVAVSVAMSIVASIALIARFVAKIKIKKLAVEDV VIIVAFSTYSGYVGVILTGMMKAGGTLDLSQTDLIQTTELLKYVYISELLFTITISLV KISILVFYQKIFSTPGFRKASFVVGSVCILWLLVCCLVSVFQCRPISAAWHFELALLG QAQCIKYGHFIIGYELSNVLLDIAIIALPLSMLKKLQLALERKIVVACMVLLGSLVCV ICIIRIGYMNQTASTDTSSLPIGLDWSTVELAIAITCACLPCYGPLINSAKLAQYISS WSNSRLLHGFSKDRALKLNYDQESSKYERLAQPSEDIQHLTHNDPKALRGSLTRQIVP NEVEAVLLGEVPADIC EPUS_00744 MASSKPLTAQQPMKTFYIILFALCSVIRVPFLLLYYLPRSLRPR LTWSYRAAVGTALVRIYFTFITSIRLSTPRSLVPGADESRFELLQPGPTNVYRGVLQQ NPAIRPTTLGCIWLPRLPSLSTLSSSSSSSTSSSWSSSRMILHFHGGAYVCTSPRLPV AQYGPSMLCSSLSATAVLLPQYRLASSSAAAFPAALQDAVTAYAHLLTVGVPPSRIIV SGDSAGAHLALALMRYLAENNSSGLLPLPAAVLLHSPWLDMLLPPTTTSVDQATGDYL PLSLLEWGVTSVVPPEEDAAGPYFSPLRHPFRIGVPLWVQSGTAELFYADAEKFVERM RIEEKKEEGGGSEIEFYRFPDGMHNTFAAAGVMGLEAEAKSALEGARKFVKTWCPSWR EPUS_00745 MANSILLLLLLLPLTFALHNLFCLFLNYRIARKIGIPVIVLPAS PDNPVWMLTSGPVLSIVKAIFGDCSVTKYGQIGWEYHEKYRVHVKHGDAVVLVTPAHN WVYVCNAEAFNDIFQRRNAFARPPEMLAMLDVFGPNISTVISLTSSVDGPWLTTNEAN GADWQRHRKITSTPFNEQNSHLVWAKALRQADEVLQFWKSLGTPIRRIAKDTRTLSLH VLSEAGFGKSYSFRKSTEAPKPGHVFNYRDSLALILENIFLILIFGPKFLTNQFLPKS WTRIGQATLDFKFHMVEMVEEEKRLIAEGKPGGGNIINSLIRASEEMTKSARTDGSGF KGLTEDEIYGNIFVYNFAGHDTMAITLNWALYLLAAHPEIQDWVSEECNAVIQYDQSS TWTYDEVYPKLNRCLAVLLETLRLWDPLIGIAKSTGSTPQPLTLNGRVTTIPARTRVI LNINAIHTHPKYWGSDSLTWRPQRWILLSNPATESTPSNTSPLAHEYLYTPPRGAYVP WSDGARVCPGKKFGQVEFVAAMGVLFRRHRVEVVPESGESMKEARERVMGVVLDSHIT LLLQMRKPDSVGLRWVESG EPUS_00746 MTFKRNSILDGFRECGLVPYNPNIVLNKIQEYQPLTPLNRPSTP PDAQIWPPVTPLTAQSLEKQAIQLQNATPSRQTTLQEKFIKGALIQAKTAVQIQKDLS EYTAAERERKERRCRGQRQLQNGGVLYAEQARNMTKQREEEGGTQEMRAQKREQILRK ELEDERRRTANLEWTIINGPLEEIED EPUS_00747 MARSTAENIAHKHRDPLNNHELVRVVQPNKPVLTHDVRDLRVEY AEWATKEQENSAIFIFVDETYCHFGGHFRNKPKITKPKGADPHLYARFDPAEQFQLMV WGAIGLYEDEIKFPFWIWEPETEEDK EPUS_00748 MASYNSFNSDMNSNMEIFWTPYQDQQMWQGLTTGETAESSQIPS QDMLQPLNSAHSSTPLMPCTYTQHNQYIEPQGNMINDPPAWHQESLQSAGAIHDDMLA AMSDEDLFDLASLVIPPEGSQYPVEETSHQIPVATQQDMQTDSLTTSYDDFQPPFVPT HQPYPDISDATLERLLAGLSTPPPPFHEEQQVPDTTVPNNVFGDIQEPGSSYPPPYMP MAGPSTYNSATYQPPPPPQASYFDHSPTPAPLPAQITAAPPPQLQLEGQLPLPPLTSP VVSSSFINNATIASSSSFSSSSINSTTVASSYFSSTTTNSSHSNTTSAASSRNNTPRK RRAAAHKKRIEQSCKVAGCSYKSCNKEEFRRHTESVHQKIRRHHCDICDSNFNDASGL SKHKKTDSHRQRAKAQGIELGGKTMFACRFCINHGVNPTKFRRADHLKRHLRECKNCE KKDDGLPVGYGGGIGGMKNGALRDGAGFFFVHSEVSEDEAFGLAGAFAAFTVDLLVYP LDTLKTRIQSPNYQTLYKTIPRPSSSSSPSQKHPRLTGSSLLSRHTHFNPSLFRGLYQ GIGTVIFVTIPSSGAFFTTYEALKSTLSDSIPPNSTIYLPQPAIHALSSGGAELVSCA ILTPAEVLKQNAQVWNRSQDRTGGKGERRSPTMEVLKQFKRQPTKLFRGYTALAARNL PFTGLQFPMFEHLKGWFLERRRRKRLRLRDNEGGGEAEEVKVDGIFERARITALSAAL AGTGAAWITTPIDVVKTRIMLAAGEEAKNRDSNPHSLHDHVTSRTTTGTTSRQTALSL TKQILKTEGIQGMFRGALLRSLWTAFGSGLYLGCYEGGRHYLEERRRDKDEGGDVVME REGDWKGVKVGIGKSRSQGDVVRKSAWQD EPUS_00749 MGNLCSKSANKPDAFPGQGRVVGTSSSQPASSAPLPRKITSNSP GKPLGGSSVNSGGNLDGAASDPRSAAAKAAEERAKAASRGTSGGKLSAQLEAQKKQTR SELLAAGSKEEQQARAADAVAEARNYN EPUS_00750 MEEAIVEDLGLEVRSAATEIENAIAIGTGSVSVIERPTEDETTT TNLGDEIVHVIDGGQKIETIVVEVVTKIIEAGGKTPESAMVEEETILLTLGGRLGGKK AGRRLRRNQEDTAAQLMIQTSKPSTPNQNAEEQKAARLAKLEAWKKKQAAEQAKKDAE LAAAGGARSILTEIDKKARLSPVASSPQSPAVPGNVSPVPYAGKFDPKAIVKKAHVPA ATPEVLGADLAAPQLPKQSAVSSTSGTFKADNTTVSNSTTKNGQSPRQPNPMFVADSL QASFKTRGNVSGFGLGAKVPSEAEKSKTGPVFEEEESSRRKLEKLPTPPLGEVDLNKT NGVKEDGADVDDGDDDDVDMQVDGSEEERLAAARAAAEKREELVQSQAGRNKAENGML PSNSANGGDTSMTDAQPEAPPPDAMEEDDADPLDAFMLGLRATPSKASGVNGIKSSKS RQQEPQAIFGDDEVDLTAIDADPGDILAMASKKKKKDIPTVNHAKIKYEPFRKNFYSE PVDMSGLSEAEVANLRLELDGIKVRGVDVPKPVQRWAQCGLGVQSLDVIQKLGYAAPT SIQSQAIPAITSGRDVIGVAKTGSGKTIAFLLPMFRHIKDQRPLDMLEGPIGLILSPT RELATQIHKECRPFLKALNLRAVCAYGGAPIKDQIADLKRGAEIIVCTPGRMIDLLAA NSGRVTNLRRVTYVVLDEADRMFDMGFEPQVMKILANIRPDRQTVLFSATFPRQMEAL ARKTLSKPVEIVVGGRSVVAPEITQIVEVRNEDHKFIRLLELLGNMYSDEKNEDDRAL IFVDRQESADSLLRDLMRKGYPCMSIHGGKDQIDRDSTIDDFKAGVVPILIATSVAAR GLDVKQLKLVVNYDAPNHLEDYVHRAGRTGRAGNTGTAVTFLTEEQDRYAVDIAKALK QSGQPVPEPVQKLVEAFNEKVKSGKEKASASGFGGKGLDRLDQERDAAKARERKTFKT GEEGEEDEKEDKDTVGDDLFAKAASGIKALDAKPEPIPGVPKGIDLDGKITVHRTEKE TGPQNQMDKVAAAVQNIAAKLSKAGVMRQGVPIDNKGPDAGAFHATLEINDFPQKARW AVTNRTNVAKILESTGTSITTKGSFYAAGKDPGPNDNPKLYILVEGDTEVVVHDAMRE LMRLLKEGTIAAADTDTRAPARNFPMEATQNPLRGEDFRDPLHGQAEPAAGPVWIRKS DMAMAEKETPLKAVQVEGLVVMKIIKHCSQRFPTTATGALVGMDVNGTLEITNAFPLP IIEIPPEAHYEGQHINTAAAAPRAKANTGYQAEMIRMLREVNIDAQSVGWYTSANMGN FCNTNFIENQYYYQKDLNENTVALVHDVSRSSQGALSLRAFRLSPQFMAAYKENKFTT EKLVAHLRITPSSKANSSNSLQKSNLRYQDILVELPVQIHNSHLLTTFLHQLPTPPPP TSLEEPTSVSSIETNPFLSSNPLHPNYDTLSLNIDPFLSQTCDLLLDSIETHHTESNN FSYYSRALAREQNKIAQWQAKRKAENALRASTKQALLPEDEWQRLFKLPTEPNRLESM LNSRQVEQYSRQVDGFVAGTTGKMFAVRGNLLPGEGVGGD EPUS_00751 MKFFVSPRRVLSHQSVTRLSHLRATRLSCAHSWSRVTFNNNLGY LSSLLQCNASTSTLGQLLSRSYATKPGKPKAHTGRPAASKRKPAAAQRDAAAGVPDKA PSKKTATRKKPAAKKPARKTKRKRSTKSKPEGKRKRLTDKQKAAKEKKDAGKKKKDLR KIALLDPPKRLPSTAFIVLSTETSSKGMSLSQHSKEVSAQYKNLSPEEMERLNHVANE NKAKNEAAFKKWLGEHSPVEIKAANAARSQLKRQAKKEGSKKIYPHIQDERIVKQART SYTYFLKERFASGDMKNMKIGEVGALIGREWRALSAEEKKPYEDRAARDKARYIEEYT TVYGTAPQFQKRAKDTA EPUS_00752 MSILSPKRWKAKIEPHLHWRVPFEPGVYGNSPRWSNKDLDPIPP KRRTWGGLDYWAYWTSDMLAPPLAATVSSVMSLGFTARETIPIVFFGFAICSVVVTLT GKMGATYAISYPAIVRTSFGMYGSYPAICLRAFVAAMWTAILCVQAGAFLQNCIEAIW PSFERLPNHLPENAGITSAGLLCFFLYWIVQTILALMPIEKLRILFLVKAVVVPPTFL ALFLWAVIVTHGGGELVTGKAQITSTYMNTAYSALTGLNVIIGLFSSMAVNMPDFGRF SKNRLGGYHQFFALPVIGTLGSLTPIFVTSAHSYIWGEFEWYMPAVIGKFDSRAAKFF TAFSFMLATIGNQIAAGTYPFSNDVSGLYPKYINIFRATIFISIFCVVSTPWNIIKNA AGLLAFLSGYSCLMGPLAGVMVSDYYLIKKRKLNIHEVYRDHGIYHYRHGVNWRAYVA FFAGVGPLIPGFAKSIDNKLNVGGAWQIFTFAWIFGFTISLLTYYVIVNYISGIREAN VEVAVYPAQKSDGSSDVESGSGEIYEEKSGLDAKTKEVDSPL EPUS_00753 MATSRDVPTLTFIAKPYPFTFPIRTTALLVIDMQRDFICQGGFG EIQGGNLEAVQASIGPTKALLEACRAAGLSIFHTREGHVRDLSDCPSSKIIRQAAAPG NSQHLKVIGDKGELGRLLVRGEYGHNIVDELRPLPGEVVIDKPGKGAFWNTRIMHKLK ARGITHLLVSGVTTECCFSTSIREANDRGFECCGIVQATAGYNSDFKAASLDMIHWSQ GLFGFVGELQPLQDALHSYRQPHISLGPTTPPQTPPYWDGSLDITSLHAAYRNGLSPI SVAEALYDRIEKYQRIDPGVWIYLRSKDAVLADAKKLAEKYPEKHALPSLYGIPFNVK DSIDVAGLHTTTACPPLAHIPPKSARAYDLVLEQGGLFMGKVNLDQLATGLSGCRSPY GIPHSVFNEKYISGGSSSGSCVSVGADLVTFSLATDTAGSGRVPSGYNGVVGYKPTRG LISIEGVTPACPSLDCVAIIAKNVEDARAVWQCCEAYDGNDRYARNSFPLERHVNSLG QLARSFKFGVPPPEVLEICSPVYRRMFNQAIQHLQIIGGTLVAVDWAPFQKAGDLLYQ GTFVSERLASLPDDFFEKNRQDLHPVILKLFEDVIARQSTAVQAYRDLQAKSLLTRQA SSQFAAAGTDGLSVIVVPTAPEHPLISSMLVDPIDLNAKLGTFTHFGNVLDLCAVAVP AGTYQASEIDSSGKGELPFSITFLGASCTDSEILGIAQRFFEAVGQGDRA EPUS_00754 MCREPQPFDQTESVLEDYANLRGPSLLKATLGLQNHRHARYIGA TAEYEPALLDLRLYDDSKGESPAGKGSFRRISEVDHFWQLLEDPEDKKRDVETLDTIE NIVAPHGEALVKIYFRIIHPSFPILHKRVFLEKYGRTHREFSPPLLAAVYLLALNWWS YSPDLVEMHKPEVAKLEDLAIRGLQEVAQRAKLSTVQAGLLLLQRPRQDYSWMLTAQL VAIGQDLGLHLDCSTWRIPSWEKGLRKRLGWALFMQDIWSALVYGRPPHISSSNWAVQ EVTGSDFPESAADEDEEDGSSEVEKGRTLFSAMIVLSKMLSDILEALYSQKAEAEIRL AVDTTKYVLERAKPIQLKLRAWYADMPECLRMDSLKARRLSSQGYLHLAYFALEITLH RRIIRSLSSNTDPYLTQVCRSAAKARLVSALDFFNRLKPEHLQSFWYFASKVNFAMIG AFNGLLWVTAITSEEVEVYQRRLQEYRWTLRVSSKSAEFLEIASGILESAVGTLLKAA DTNAALRPLPSSVAGTAQFTSEGAAMEGFFAGAESGVFDMVIESYNVNTDLSTPV EPUS_00755 MTETHYRTSEEEWDRHKDIIRNLYVEENKTLEELMALMLRDHSF RATKKMYKMRFAKWGLHKYNREDEMMAILSKKTERAAVGKKFAFQLRGRQVDMENAER YLKRKGITTRDIMAWRATGATTPPGLRCYTPEFIRPYPAAPKMFHIPESLSLISELIL WVHSKRERGFRMVLSIYASALRQIIPPPRYLKIFVKISYQPAFSLASNYTPKHLPSLN LAFGDPELVEILLKQFAEMSAVILRTPAHPMSRIFAGLCRLELPQFEEVALKAWDCMN DVFQKVLGPSHLGTLTSKLDRLSTATTSLDTENPDGHLEIILNSGREESGSDALRSIA VLQGLGTYFVNRKRYAELQETGGDIVNCVKNWDPGDPEGTRLYRCGFDFMATAQFALG QYKQAMSSFGLLVDLASADLCSENSQAWEYQAKFEECLRKMSSHVERESGPAPVP EPUS_00756 MKETSKLQPVAGPSIKEAEVDIVFVHGLQSTFDRTWSGVNSSVI WPRDLLPKDIPGARIFAYDYNFRNASVIRPDAASHGLRQFLKELTEHNAGLPIIFVAH SLGGLILQNALLKESKLNKSSILTSASGIIFLGTPRFEQDEEWSKFRASVSKITKATS LPDTRELTRLGRESIEFASWLTTRSASTIATWCFYESLPVYRMGILVPKERAVIVNDQ SSPLQSDHFRLSKCDSVSDTQYQAIRYRLKCMCDKSKGTENEGDIRPKRIGSIDSREL IIDAVGELEPARRTIELALALEDHGHPGAAETVYDRAIEEWTTNHSSESPVVWFCLWK KSTILRDRGKFSKAENLCKQVLKEAAPVNETVYLQSIGNLALIMRAQGQLNQAYTTLR DTLEGIAVDPYQDISHVQVVSILGTVLNDLGFPNIALLLARDVLSASGALLGSTDPFT LDQASRLSLVLSDQGHFGLAEEIDRRSLDALQTTFGTNHPRSLQTATRLANNLRYQGH CEEAVKIAEMTLKAQEIQLGPSHRSSILTKYCLAAAYFLQGRLREAEMLFTEVTRGYT EMLGENHPDTVLTRQALKFVKDAIESTYLESGLSDKMNEFFKKPASRKEAASMVNNRE KFPELNLGSTDVNEALRVAAMKNDDAAFDAALERGAKQESIGGLCGTALHAACFSGSE RMVNKLLHSKANPNIQGGIFGTPLRAASFSGHAAIVQTLLKSGADPNINGNHGSALQA ALFSNHGDIFRILLKAGADPNMSDMWYGTALHEASMAGQQQMVDILLEEKAKPNIRGG VFGTALGASAWKGSVAITNSLLEHGADVEAFFNGRNALYIAAAAGNRDVVAVLVKRST GFSDSLKQEEHIGPPKMSPNQDVLGSNTYRADEVKSEKTRKKRQGHRSFIRIIRQIMC RV EPUS_00757 MDHLGNISDPSLGFQTVRLYANIRTNATNDVNFFTFHQGDNGYI IHDDDRTPEPTMSQLEYELPRVYDPLTGFVQRWLFFEVLRAILGHLPGFSVLLFTRKD NEQNEWVTTKELPELLDKWQDYENHNPSGKAQRLILAQQVLNVARSHVSKNCAVTSTE MKPKWPINDKVALSIMILGETLTSALIKIKKTVEFDLHGWCNYDDQSQGWGSSRAVLE ELKRKNWCAKTVTMLQGLFKGNTIGLLYALQMPPHEKPGIQHSNCSPTECKASSIIAA EANPDAYQQFHCPECSRDTCRAIGPTTTELNSIVKKGKVPLLQYEKEANEIKLIEMNK SCDKDYVIFSHVWADGYGNPDANELNTCVLNLFLSLFADIRRENVAQSNPGNPIPQNF WIDTLAVPVDRDSTGLRKKAIGMMHDIYMGAKYTIVLDAGLMSMDRGEGYTQPAMRIT LSRWMTRLWTLQEAVLSKNLYFNFSDQVYSLDRLESLFERENDPLHSCVAFVSRTYYH GILQRESRRMHGPDSTPKDRKTDQNFMAAVWKAVQWRTTTHLQHETLALATLFNVNNN EFADASNTSGSSGYDQEILDRRMQKLLDLLSAVDPCPIPPGMIFLPGPRLAAKGYGWA PRTWLSGHQIDTPDPRTPEARKARLNVPHGLEVKFPGFCLHRLADDNESLSGSKEFHF PTDGSLLQWYRAVPADEEKFHLLDRKVSNRELAIIVPHLLPSIREIALLVTIAKKHDG IFFVEILTRIWMSLERDPEMIKALRVNIRKANFGIMWAGEKLSDEQVWCVDGRQDPGF LLSDFSNDTSAEPAARQFVTSRSRTWTDRMMSKAGKRLKNWTKMDA EPUS_00758 MPPSGIIRPRQRSTSQELRSQWASPNDILSLLLLVGGDVVQQAL AQQSGTKLPTPVVFSFGWVGYAFTALLSAVGNNRLMPPAPGPSSIILSTNHGHPRTND SWILNRLLRDYENLWMPNQVKVELEKMLQAANAPKAGLCIAIFEASEKGIAGVPQKDL YWFSGYVVALLQLGIAAVPCGTQGSWDVLLLTAVGTGLAFLTGSLPQWRQERWACRRN SKKTFALSHGNGAQHVLVIQGAGRGLDLEDIARSVEKTAYDWKTKVAFGLLTTLWGGL LITVSGVRRQTWFLLAVGALSMVHTVIIAAAPRNPEWFGIYLDYRGVVVKRKVMEALQ SAEKTLPGLGRSMLPVFFPGTMTEHDVRWWSQNSPGRTYSHADLGALSDKAGREDTLE RRAEQ EPUS_00759 MISPLSLPVGFLLLLTVRTFADFPYNPTRIYVTNNGSTAYIFSS QPSTSQADLQFLNTSDFIDASSPSLRTLTGSLPFSNPSSWRSITPLLNANELNVLVGD CDDEGTETELWHYTPGEGESAGTWDKRSLSSTDSTLNSGFLSAGFSFSPIASLNNASF YVFGGMCPNDTSTAETWTSNARYLNDMLSISPTAPAIASYPNSAYQSSILPLRGPPVP EAGLTITPLTPTFSNSSTTSVSQQQNFVLIGGHTQQAFINMSQVAIFSLPQESWSFKE IKHPESGETDLVRRSNRPEIEPRSGHTAVITRDGSKIIVFGGWVGDVSTPAEPQLAIL EIGQGYGGVGEWKWTTPSTSDNPMDGGRGIYGHAAAMLEGDVMLVYGGYSISASPRKV RRQNLQASNNQMFLFNTTSSSFISTYTRPTASQLSTSVKDNSSTGALHTTSQKVGLGA GLVLGFAALAGIVTVYIVYSRRLRQRRSAREKELRELALGAERYYSGDLIGAGVDVRG GPYPEMRSASWGSRQEKRISSPGDSFPWAPAAPAGQGQHSENGRYGNGEREAERTGLL VEIPSPTRGLRRSLHSKGPTTYNPSVGVPVNSAYGTSPTTGEIHTITEQDEDSEASGS GRRSRSSKNKGGGIRPISDPFRDPPPQLTRSQSELDRLRREREVKGWVDDWEAAGTAM ESGRPVQRSSSTKHDQSNTNTNTSRSRSPEKSDRTNSNLSERSTVSSGSIQRSVFGSI SRNRSMRSSSTGYTLFANAAAAMTGRATLVHHPGPSPNPSSSTEGNHGAGVARRASSK RSASLNFNSGASTRYRPGTGGSGQSPRERSDTFLPRIPSLDLSPTRQGHGYDEVFETP PESPVRERKALGWMGSMRRALTGSAGAGARRGTDGGIGRRVEEYDSQHGTSSRDQPQM TEVNADDSPRRAASASAAFWMGKKGARDWDVDPSSPSPSLPPGAAGGGDEADSEWDVE AAVQKRLVQVMFTVPKEKLRVVNVDQLSLLSKSDVDDPGEGVAEKKDELDHAKRVSTV VEEGESPVAAEAPDESNPTWKGKGKAKQKDDRDEEGKGSS EPUS_00760 MHSFFYAASVLVSTFAFANAHGFDASSNTNVAMYWGQGSDQKPL RYFCDEESIDIIPIGFVNVFPDQKGANGYPGTNFGNACGGSYYKSPDGKETRLLESCP LIGRDIKYCQAQGKKILLSLGGGSPDVYLASDSSAKDMADFIWAAFGPAKEDWEGPRP FGDAGVDGFDFDIESEADKIVGPVDFGYATMVDRLRHLYDQEEATYYISASPQCIIPD SHLSDAISKSIFDFIFVQFYNTPSCSARAGIDHSYGAYGGPATDISYDSWVDHVKTNS ANKEAKIYMGLAAAAPATFGEMYLSPEEAVQLVEKFQSKWPTMFGGVMLWEATFSENN QYKGMSYGKHIKKGLESCSCASKPSSISTVVTSSTVSSTAAPTVSASSATISTSSSAE YPTSNTSDYPTSSSTDYITSSSEAYPTSSSEGYPTSSSAYHPTSNSTTSLSSQISPSS SPLESSSTTSFTTTYNNLTLSSTTTASATASPSSCGVQGYDKSPAYNFLNDTISANFE KCSARCIADVACVSFGFGTTQCLLYTQTVASNVNENSNSPFTFYDKICGTPSTSSSSM LSTTSSVVSSSRTSSANMTTSSYESHSTVFETISSRVNASSQTNSHLSTSSLMTESLS GSVPYPTGPKNITSSGYAYSTGSKDSTSEHDSTPTSSETIVSSYIVSTSSTSEEHPII TSTPTYRSSEIPDEDSDTVTSYVDVTVTSCPPDVTACPPKPNGSSPPVLTSSSSPVYP DSSSSSTPSVLTASTASSISSGLSYPLSSEAATRSSGASGSSPPFLSSTVTGPAGSYS SSVPSYPMTSGVPPQSSKAPEGYTTIITTHYIGICSTGYTTIPYTTTVVIPSGSDFAH APTSEVPAGFTTTVAVCPACNSASPTVTLTIPVISVMPIPVKTDSPSAGSPPAAYSST VSQSAGSPAAGSASVGSPSASYSSVAYPSAGSPPASSSPAGYLSVGSASAGLSSTGSL AGGSSPAGFAPSAPAPQISSAVPTGYAASHPASPKSSSTAPVTDLAPKPSAQSPAHSG AAPTTATPPYSAGNSTTGFKTAPASAGNSRTTGASTTLERQAQPARSLLLLLSLRQSG LAC EPUS_00761 MLLQAVASIAANTFQPLVKLSGTYSPPLLAQDHASCHTAMNHPK SYLHTKKPAPCLSVYNDGGCPHSAPPKIGQSQSTERRARLQAQIASLVNARGDVETDR VAYDELQELMDSETSDDTPAKADQDDSIEPTEQELVEQIHYLRNVRELERKLEKARHK LHLSMQRRDQKRRRAYWQEEAYQDQQRVEMMDLTEVEMTPASRPTVKLKKEDFLGLVD LYFYSHRSRFLSESPDASPTPLQLDDYSFKVSEDFSPPTNAESDLVRDEEGTPVSPLH HVEMEIKIRKMNEIKVLQKLVDLLLDDYSSLEDLFRAYKALPQPGVSYLPGGVIRLFL QRMSTPWRKSERALLRYLSLLDDMQLARLPITAWEWSSAIYLAGQSFNNVSNSDVSAA FRVWRQMEKDAGVAARAVTFNILFDIAVKAEKFVLAEELLREMHDRGFRLNRLGRVSL IYYYGKKGDGDGVRKAYRDFVEAGEIVDTLVLNCVMASLINAQEPAAAEQVYERMKGM QERLRRGKNGDGDEALFLKYPPPGPDKIGNEMASNALGRVLLKASRLRTVLPDHHDDL QSRMPLTPDAITYRVLMSHHARTSGNVDRLTVLLDDMTRQFRIPITEMTFQLLFRGFA MHGGSDRSDAKWTQQRLHIAWAACVVCMNAGKARETGTSQKPSRLDLLSVKDAEAIAA DEEKRAIEEASKRPKPRRPTAWHTFIKQFASPYPETKPFDLYSTIINASEPEGENEAG SGEEYRLPRVDLTPRIAPAEETADPNSIQPTKHLVLWAIRAFTRCTASRSVLEDVWYQ IQRIWRPSDVNEQAVAIREIRRALRYCDTHGKE EPUS_00762 MGRLNLAALRVRRRAIADFETGRTRMKPIWLDVVADIPPAQIFT RQQPIQHPLTKIRKKTISPPSNSDSQLPARTSTEVTTIKPTRSKKRASQVFQPVPIRY EEDELRKQFYSDHPWELARPRVVLETSGDNYTHRDYSRNVRSPNAQLNGESVVQRQLY LLHNVPDIKESQAYDMARKEFYAHRLREEIERRVAAEEAEAVGADFGPSINQRSIELE NKTYDEWARWSRQTVLENMQKSAAFTGQMLEGGGGAGGLGDAVDQGSDGQVGGKRFAV Q EPUS_00763 MPQNLLIIGATGLIGKYITKEIIEAKGSVERIVILTSKDTTHNK ASEINDLKQSGIEVLVGDITKEEDVKNAYHDIDTVISCVGRNVIAQQIPLIQWASETS VTRFFPSEYGTDIEYSLESASEKPHQQKLKVRRYMSTVTNLECTYLVTGPYSDLYFAE PPFGRFEAGGFNVKEKKCSLLGDGKGRISFTAMADVGRLLVAALQHPAASRNRVLIVN SFTTSPDDILAEFEKQTGTKWEVQYTGLEKLKEIEERAWRNQDPLATALTLRRIWAEG GTLYEKRDNELIGDPPMETMTDQVRQAIERS EPUS_00764 MSLTLNRLQEERKQWRKDHPFGFYAKPVRDSKTGVVDLKKWDCG VPGKENTIWAGGLFKLDVTFPDEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILNEEEG WKPAITIKSILIGIQDLLNDPNPDSPAQADAYNLFKKDKPAYEKKIRNVVKENPAPHP DVQKFKPTALRMAKAARHRGPDWSGNFIGNKTILAHERLSIVGVGSGAQPLVNEDGSI ALAVNGEIYNHRILRKQLKEPYNYKTNSDCEVIIPLYMKYGTEAPQYLDGMFSWVLFD KTQDRTVAARDPVGITSFFLGRSSETPGAVYFASELKCLHPVCDVIIAFPPGHVYDSQ TNSMTRYFDPTWWDPMRVPSTPVDYKLIRKTLERSVLKRLMAEVPYGVLLSGGLDSSL TASIAQRESLRQRALMQNRGNGYKTPDYSEKLVGIDDDNELSTVTFLPQLHSFSIGLP NAPDTKAAIEVADFLGTKHHAFTFTVDDGLDALSDVIYHLESYDVTTVRASTPMYLLS RKIKAMGVKMVLSGEGSDEIFGGYLYFHAAPNKEEFHKETVRRVKFLHTSDCLRANKS TSAWGLEARVPFLDKQFLEVAMNIDPQEKMITKDRIEKYILRKAFDTTDEPDVKPYLP DKILWRQKEQFSDGVGYGWIDALKEQAEINVTDEMMKTPKPEWGDDIPDSKEAYWYRT IFDQHFPPYCASTVVRWTPTWSKQTDPSGRAITTHVAKYEGKD EPUS_00765 MSVPVAFSDIGKPANDILNKDFYHTTAANLEVKSKAPNGVTFNV KGKSAHEGPISGSVSTILPRMGPFTVGARRTKYYDQLEGKYQDKATGLTLTQSWTTSN SLDTKVELEDQLAKGLKAEVLSNYLPAKQAYGGKVNLYYKQPNLHFRTFFDLFKGPTA NIDAVLGHEGFLVGAEAGYDVQKAAVTKYSAAIGYSLRQYAATIQATNNLSVFSASYY HAVNSEVEAGAKATWDSKAGNNVGLEIASKYKLDPSSFAKAKINDRGIAALAYNVKLS TGVTLGLGASFDTQNLSQAAHKVGASFTFEG EPUS_00766 MISRLIASPTLYLPHRRSYQCICQLAAPTPTLRLAGARLFSTTR SHSDDFINHYEVLKLPQNCSQAELKKNTPFPNPDNSTPSPARPTQTSTEATPTPPPTS PRSPNPTPSSPTRADGNATTATSCAGLSKRRGTFRGPPPSFYAHGGKDTTSSSSSSSS AHFSAAENTPPNFNPHPVHSTQTHEDVRRQSRREAAMAAAQREMEDDAGFWVRFVIVT GLLIGGVSVAGVFLGVGGFVRGEGGVDEGGWKSA EPUS_00767 MAAQTADKLDQLHLNGSTTAGKITSASKARAENGTSEHDDSDDD KEDEADAGENATKKKKKRKPKKKKGAAPKAQTDPPRVPIAQLFANNQYPTGEEVEYKN ENAFRTTNEEKRHLDRMNNDVLTDYRRGAEAHRQVRQWAQKNLIRPGKSLHEIANGIE DATRALTGHPGLEEGDNIKGGVGFPTGLSINHCAAHYTPNTTSKPWIVDQKDVLKIDV GIHINGRIVDSAWTMAFDPQYDNLLAAVKDATNTGVREAGIDVRMGDIGAAIQETMES YEVEIEGKTYPVKPIRNLNGHNIGQWEIHGGKSVPIVKGGDQTKMEEGETFAIETFGS TGKGYVKDDMEISHYAKRVDAPNVALRVSSARSLLNTINKNFGTLPWCRRYLDRLGQD KYLLGLNHLVQSGIVEAYPPLCDVKGSYTAQFEHTILLRPTVKEVISRGDDY EPUS_00768 MSTFGQYFRVTTYGESHCPSVGCIVDGCPPGMPLTEADIQPQMT RRRPGQSALTTPRDEKDRVMVQSGTEFGITLGTPIGMLVLNENQRPKDYGNSTMDLYP RPSHADFTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKYLTLSHNVQIIAYVSSV GREHLFPPTPEHPSPSTNPAFLSLISTITRETVDSFIPVRCPDASASARMAKVIEQHR DNHDSIGGTVTCVIRNCPIGLGEPCFDKLEAMLAHAMLSIPATKGFEIGSGFGGTGRR RKCTQRGASTHSSTPTPNEKPKQKQRLTTKTNNSGGIQGGISNGAPIYFRVAFKPPAT IGQAQTTATYGFEEGVLEAKGRHDPCVVPRAVPIVEAMAALVVIDALMAQTAREGARA LLPPLKGFTTQAKGIPFDLDSPTREWEALIGHSALFFFLSTPFILDYHLSGSSIYPKP PTDSLASFPSQLISRGK EPUS_00769 MSSSRTRPEHWQHTRSSSPPLAQNGLPGPSRELRSKGQSLDIPG SNIHPRPSLESTYSEVSELAVHLGEHRHARQPLLSSPHNNIQRLSPVALATSHHRHGI RGFLDAFWLRNKGVVLVLLAMVFGSGMNVAARLLETDGTHGKAMHPFQILFARMSITV LLTLFYGFYTRIPYFPFGSKVVRLLLIARGVGGFFGVFGLYWSLLYLPLAEATVLTFL APILTCYACSLLIKGETFSRQQQIAGFLSLVGVVFIARPGSLFMSSGAHHDAGHDPST VHSNTTSAVSATSPPSSTASQPTQHQHLLAVLFAMVGVLGATTALTTIRKIGTRAHPL ISVNYFSSWCTIVSSVAVLAAPSVGFRLPANVQEWGLLIMLGIFGFVMQFLLTAGLAY GGPASSDNKRADGEIHTTPKEVGAVGGDVAYGEDEEAGRESGQGRIYAAQETSADVTA KPITAATAKAAASKGSGTRATSMLYTQMLFALAFDKCIWGISPGWSSWVGSVIILACA VWVAAARDLQTKNTGQGHLDGEHHEDGENFELGEREKFRKGNREHVQERGDEQRLIHC PLQDAEEGGEEDGRS EPUS_00770 MTTVHSHPALQAVAVEHGHENPSLSTLTNPGMLLRYRDTPSSPY RFGESSFQSSDTEPSPSSSTGFASPASTEAFEIGTARTFLMPIRPKPAPPSSNPLLFK GYSHSAPLSDIGEEESTPKSKRLRSRSPSPTASSPAIAPQLPGSWGQKREKRLSLMSS SSSMSIGSDLQWEAFDTRAGMSDRLRADLAAAGDDSFNLDGFDNKRDSMATNGDDEYS SQALSKKAEQILASAKKRLTNMEGNLSKARTSLLETPKGSPSASDYKQAGGLYRSISQ GGDRRLSQSSNVRKARQSHTITNGAGSLHVRNLSDTSVLSGAAKYPRPPEVRSASAMD YGYGSHSIDSMLYDRSKSATPGLSPTLSRIQLSPLTTLQEEEGNPPTGITSSNPSQSR GLGIRNHKFHSCNTSHESSFGFTRSQSQRSTRELWDQVNGLRSKIADLCTGQQAERYR RNSLQNLRTPSSVAAAEEWYLGAAEYKAGESPLSTSAGMGWRSGKDREEGSTKSPTFS QSPQSPQTPRSPRRSQSPCSAKDSGFEEVQRGPSPVAHSGRDFHSHSNSLNSPSALRG INGDGDDQDSYIQESQYEDAFGGGSEEIGDVVAASEEEQIYLNEVLEESLQDVEPAVP PIPDVIHVAEPERHEDRADAFDYENFFLHSALGNYSQTGYHRRNLSQATAESRASYQS TESVETTRGPQARENEPISEEDAERLKGDGREVRDAETSWQDEPPNQTAPNPPFAQQH LRTHSIESVSTTATFATATEGGGDDSESETDTVPSEILHWGERPLPSTNNMVGAWPSP PASSPRGLANLPNGHASPLGIHVIQAESDDLTAPSSDALPTPPTSSPLHQETAQEEQE DEESDHEAGEDDDHSSQQQPPNTEILVSALITLANPDFKPTRSFSELDKELVISVLRS VGGVCEGIDASDSRGEVQETRVWRRRLDTARRLLEGEIEIEDD EPUS_00771 MAGIKRYRDESRSTSVKKAKVDVSVQQQKLIKTTVRQSVLPSPE DSSAASEDLVNTHSPKIPPRQALKDGAPAPKRKISQTNRPANLSTSTQGGQVVNGSSS REAHAKQKVLAQERKAVKPNADSIARSKKIWERLRRKSHVPKDERDKLVIELFSIITG RVKDFVFKHDSVRVIQTAVKYGNLQQRRQIAQELKGEYRSLAESRYAKFLIAKLVVGD DEVRDIIVPEFYGHVRRLIRHPEAAWIMDDIYRTIATPEQKARLLREWYGHEFVIFQS SADSALRGDLHQILMENPEKRGPIMQHLKEMTNHLLQKKTTGFTMLHDALLQYFINCT SGGSEATEVIELLKDDEEGDCLKNMAFTKSGSRLVCLALAYSNSKDRRTILKVFKGVM KMLAGDAHGHVILLTAFDVIDDTVMTAKAVFPELLCKDSEEQQRQTELVLQVEHLTAR IPFLYLLSPDLPKWLLPNQDTSILKEIHEIRKTTSKKDPQIRRQELVKALSQPLIDLI GQQTELLVSSSFGCQFINEVMFGGVGDKHAALESLAVIARDKPELMNTPYAGRMLKSL VQGGPFDKESKEVRVTDPPLRFESMLYSKCRDGIVNWASGANSFIVVAMLESPDMRDR ESLVDALRDQVQVLREAAKGSGSDKKGNAGTKILLQQMEKSR EPUS_00772 MAPFRMPFSNRRGPVTNGLEPNNDENARPATNGATVGKDSVALA SKSARDQPNEYKMSSGKAISYRKEELLAQDTHVDDIFEPSKSDVGQRTLLDISTGMQD ISGRSPVLRGDSFPSRTSLDSRAMRLPRSSVNGSTFEKPQSTEEEGFEDVGLQDESKP KKKSFLSRFGESASDTHSSTGDTGKSHFGLHLPGRKRGQSGQGAELGHIERPGSKGKI DGVVR EPUS_00773 MTQANLEQGNEDSGFEEGLAGGPGAPMPLAQLAGQDGLTSRDIQ LVIDGGYHTVEAVAYTPKRVLEQIKGISEAKATKILTAAAKIVPMGFTTATEMHARRS ELISITTGSKQLDTLLAGGVETGSITEIFGEFRTGKSQICHTLAVTCQLPFDMGGGEG KCLYIDTEGTFRPVRLLAVANRYGLSGEEVLDNVAYARAYNSEHQLQLLNQASQMMCE TRFSLLIVDSATSLYRTDFAGRGELSSRQTHLARFMRTLQRLADEFGIAVVITNQVVA QVDGGPSSMFNPDPKKPIGGNIIAHASTTRLSLKKGRGETRICKIYDSPCLPESDCLF AITEAGIADPSPKDLEKD EPUS_00774 MAAPKNVPLGYEHVTDTRNGYGNGPGIISSSEELEVICGPLLNY LCMSYETEYAVWHGTVLLVTKPGQRQPKLELKFLGNTAGAGAQPDLCAEGDNVVVDGL KLYADPQKAFWRFSLKVPLNPDAEAKWQYTITNMKMLSEASKSPSREFYVPAANQSMR LMFHSCNGFSVGTDVEFWSGPVLWNNVLETHAKRPFHVMIGGGDQIYNDSIRVDGPLK PWTKITSPRRRREYPFDNEMRKACDDYYFENYTRWYRTEPFATANSQIPQINIWDDHD IIDGFGSYTDHFMQCPVFRGIGGVSFKYYCLFQHHTAPPKSTFTTDAPATMKAGADGT TGADSRQLKDTYVYTETADDRSWIVGKRPGPYVEERSRSLYMRLGARMAFCGIDARTE RTRKQVNYPDTYDLIFQRLRTEFIAARGQIKHLILLLGVPIAYPRLAWLENILASPII APIKLLHKRFGVAGGFFNSFDGSVDLLDDLDDHYTSRHHKKERRELIKRLQGLAQEFS VRITILGGDVHLAAMGRFYSKTKYNITAEQDHRYMANVVSSAITNKPPPSAVANLLAR RNKIHHLDHDTDETLLKLFDKQPGGNIKGATWNQCTMPSRNYATITEVVAGSVDNGMQ QQQQPTMNGDISNNATNSLPVLNNEKDGLMTLAGGPRGKDGHSPLHKGEEGVGTKHPA ADGVSAKSGMLGGLDISIQVEINNHSKGGETEGYGFSRGKVRWQGVLNIEANVYA EPUS_00775 MSNPYPFLGDPQEVDILGFTPESKKAMYSFTTNGTVSTMISAPS TDDLFHSSNAEAKEDGDKDISDLLFQAAKDDIPPSVTRVKTAYGFDKPGIDGLAFLRM YRSLFEQHGIHPTLLDRWRTSASMQRNILKTTPINPKLLSVLQDLDADMKGSSNRWLK YEQLLRSRMRKPYPACINCGGKGNGNKLLQCGGCLNV EPUS_00776 MFDRQWFQDRTLRDPVFHQQVLDQLKELPRKQKKARELRKHYES IPRYLRDQVDPHDRPTRIGEQLKGMRHISGAQASRNPSQNAAPSDSGLPERGQASDGP ARTPSSPFAPMDVSQAQPDPLTGKHPAPPQPRRADRAAQARGEQQPEASANQSVRSVA DRKTKSESIKLIRLIATNKATKDQLQQFEENVVILGPTFHRLIDEAQQIYQRFLSTAE VAQLQLMRALTALRLSKLIERSEVAEGARVENALAEEDFAHQFLELRHNSPEDSPIQV DIVDRVADNTADENEVREFASRMDLERGFKEMVNGRLQLYFARSRYDRSIQLRITMFR LSLLTDLIWKPKELLLLHCDAVTLAECQTASMSRWGQTPEAVAVEAAWHPHEGGGQAG RSQARGAEAAGTGGAIAKEDIPTRMGSAAKNVASRGAAGGAAGGAAAEGAAAAGESEG LGQGRGRAAATPGRATAKREGRGTSPRTTSSYS EPUS_00777 MSGKSNRGQGNDTPRESSSTGLPELRLPDRIARQRVRQARQDPV AEAPSAARVKSLLPERTTLESSSGQAREVGRNTFLPPPTGLPPVGGAPESSRQAGGAR ETPSANPASEHLSARGANGSTGQPPAALAARGDAVSGGSARSSGTWIDEYAELIHRVA SNIATPADMDLFNHKLLSRELEIYQELRRWEVQYREGGQVEKADRLRGLVARVVLHSR SQPSDFLPKERGTDYPNQTPQKPEASKDETEAVALARAMAETIERVAYGTASKAQKRA FVYDLLISEDLFERVSRVQNLLAQEATKTDQDKAKRLAQVLHSCNERLLKMHQDAAAA TDMASGPGLLGSSLSGGGAAGASRGSADADGSEQFEENPAREEASAPRQTSTLSKRAQ TSVPLQPGAASQRSEDAFVRELRRRASIREAADAQRLPAGLADTLERTRLNDQQQGAR EGTRAKGSRGQPKASCSTNPKKAKKGRCKK EPUS_00778 MDQSWPSDANFRTGTSSEILLERRPAYSINVRESAFRQAFSKLD NRKQEELTSVYAFDYMKDRARPEADRWDLELEDLFDELSRADQKHRSESWFRRGLGKM RPFLDGLSIGVDAMSSVTGLDPIASAAFGIVKSVTSLSLGLCGASEDIEGRIRLFIEC IPAINRCNDILETHPSFHTIHKALVRVYNDIIDFYFTALGILRSSNVVWALGQSEFKQ CFPEVISSFAIHTDQLSLAIGIETIGKVIEIDRYVQKISEQQIKEYIEEHLDNGREKE INIILDKRAEGSCRWLQYHPDFCRWQRLTPPSVNCFMMFGGMGCGKTMIASFITDHLR EKFESADSNEAIVCHYYCKEDGERNDPSNIYRSLISQLLDFRPDLKGRFMTWFDKTKP KTTPKKPTQSPERLRDFLVDLIRASGQWVFIVVDALDECQRYFQQDLPRLCHELSGAA FKVFISSRPDKNLKNSMPPSTSYLDFKPTYEQDRLITAYLTSEYLSDMVQTAEETVVD KIARNAKGCAIWIKLVLEYLREESFHDGETLLTAIDKIPPSVAGLYAQLFNQAVKRAG SGPRAGQVLEKTLEVLAVAHRPLQLRELSCAAASAVVEDRACLSQIEHSFGYRRKLLE LARPFVIAEGSADSSIVRLIHHSLKESILEDAPGNWWSTHQRANTLDSSPNLQRMHGS VARACITYLTCTDFGQDMFDPAYKLEDSASSPMAATEDSQDGDADFLPLWFAMVTRNK NDYWDKRAAIQKIHPFFDYAALYWGGHLSETADQDALELHDPAAIICHPGSNYLKNWL GYSQELTCTELGITVWEQLPEVDQLVIASYFGHRLSVRRLLDCSDADLEGLSIHTALF WAAYRGHDSCLELILEKKNHISRSIYHASDSSALATAAISGSIGCLKMLIKSDLFDIN LPDQSGWSPLFYAIASDHAEIVDLLLGSPNVHLRVQSVREAGANALTIAASGAHFNIL GKLLDYPLVGPDDVNAADNLGMTPLIHTIRHGNLGMVRCLLRHDASVTLVDKKKRSPI YWAAQKEDAAILRELLALDPAGANATDDDQYTVLHAALESPSSQTFAELLATQGIDVN QQDSLGSSVLWCAAKRGRIDVVQLLCKHADHLHLDLERCDAWGVSPLNGACSTTCRTA PSILKTLIAHGANLGSTDLRGCGLLASAAVSGSLENMKVLLDVPDIEIDVRNRAGQTA LSLAAASLDPGTLDAMVLLLGTGVVDIDSTDEAGRTPLSYAAGSGQLEKVKLLVNSPG VRIDLFDTSGATPLDHAERYRWKDDRSKEQVLALLNPEEHL EPUS_00779 MSGVPFGKVEYWDERFTRNESPFDWLLPPQALDRPILEAMGTLP THPQIHHIGCGTSSVSLHLRKLVENPQQIHNTDFSKVAVEIGEKWERQVFNEAEGSKS GNGTTPKPINTSLSGSLADGTAPEAQRRQDDGVPHEQPPRRMCWSALDLLSLDQILLL PRRYDITIDKSTSDSISCAEDPTIVLPYPLRATDSKRAYPPLPPHFRSKVHPLHLLAV HLAYLTSPGGRWIALSYSGSRFPYWPPYPSSVDEGLLQQEIVDGGFVHPGRLWRLESQ EMLQAPQSETDGDHVVHRPEIVNYLYVMTRTNVAIERT EPUS_00780 MTAIPIAERGYDGTSEYDLISNSSMGSDSDCDTEEGHHGRPKIS KIAWLVQQKFEQIQSLFYLSSLLRRPTFTGRYLRSVKSKARAELLRDESPLIFRLGRY DSEHVFEKVRQWHGLSKSAINVSHEDEKPASLDCIQARRAVNSELGEDVTVLCHRLAK ANNRRREQLQYWAEHSDVLMKEGSSVSMAETPVLEVKQGSESQSQVSTIKRSNQTELK QSKDTRSSFSKQSFSTAARSAVYETKTQSGRTRTVYAQSAAANGRSNRVPDMPVPAND TSSFHCPYCGMDLKSHDMEDRQAWKRHVFRDLRPYICTYPTCQTPEKLYVTRHDWLYH EMQMHRRQFLCGNCHVKYPTQEMMVAHLRAHHEGSFSESQLPTILDMCDRPIDDNEQS TCLLCGQKMYLLQLWEHLAMHMEDIALFVLPSKVAEETGDVDGGSISNQAPRLEFGNG SHGDGASSLDSLHFSNAGSEYAHKQTSADFENLQSTARPEADSKIAFWEVFNSDLEYH SSTLTPLVGEGNNKLEEHFPYTIAGRHSVESVSFQFKIDTIVSNVAD EPUS_00781 MAEVAGVVLGGIPIALLALEKYHGPVKTYWNLIGLEQASIDEVR ERLEEKYPHQQEEFLSIIGAMDKITSSLLHKLEVDINGKPLWTDEAPGRVQWEWRRIK RSFNVKERKALIDELQYWNTALKNCFEKPEVPAQDEDTKVQELQASFNPKYCHSIRVN VQAIHGALKESWNCPCQCSHHATIGLDWQSVEPNLNSVFDIALSFRDSPIQEASAEHS WRKFQVKIASTDSRNIVPVTLPVPSQQSPEATPRTPSPISRMSKAWHSLWQQQNEPNS IKIPVASCVDTAESGILYQHSTNDKISCLCAEVRKPSKSSAALGVLPDPDQQEERKFC LSHASDTKPHILRPMPLKSLLPEQQSNPEYQLPPHLALSAKQRYGFAAAVAWAVLHLS CTPWLCDTWDREQIKVFLESTAASPVTVSRTPCISYLFETPVTASHLDTAGRFNSHHI RNKTLFSLGILLIELCLNKSFEEFRPPNPAGSTTTSIPDDFRIAESKIDEVYNQAGDS YGYAVQRCLRCEFPGRDVTKSLDFSTFRRHFYNNFVAPLQATYLRYPASCAVV EPUS_00782 MTRVLSTFPTRTSLLRIANARQRCYATAAESSLRTALFFPGHGV QRVGMTRAWLEAYPSTVRPFLEETDEILSYPLSKVIADGPVNKLNETENAQPGIMATS IMILRVLEKEYGLRTDEKIDVTLGHSLGEYAALVVAGYLEYPFALRMVRRRAEIMAEC TRQAAQESGSTYGMMALVCEPERLESLIATIHQFLQPGAGSKVDSHEVPAIDQVLIAN INSKNQIVLSGSFERIKQLLVQIREFGGHDPRAVEIKSNSPFHSPIMIPAMAYMRKIL RDGPVKFPANMPCISNVSGLPFRSKDDLKDLLSRQAVETVRWWDSIKYLDQTAKVKRW LGVGPGKVGRNLVGKEVGRSFAKGGGVWAISDPREVEPTLRALEETEAEDISSF EPUS_00783 MGFTTGFVRHLSPHHDTIPASLLCRPCSNQKFSSQLGGLTLTYS LLYLSLYLHRTNRTQQHTLLSQQSLLLNSLLERPTSSLSSTSRTRRTRIDPETLEVEE RWKKDSLTERLKDRWNGEVEGMVRRVAETDWRAVRERWERRGLNLWRNMTTTKD EPUS_00784 MSSIKHRHLGVKSSHRSALLRNLVTSLIETESIRTTWPRAKEAQ RMAEKLITLGKRNNNAARQQAQAILFRPDEFLPKLFGPLRERYANRPGGYTRVLRTEP LDRDKKHRRDEREYNPGQSESAILELVDGPKDMRFALTARALVRQREREESEGAGNGM TEIMAANVRKVTRFREGGEEDLEREVRRLEREGRVRGAGRREEMEERDEEREEGRGYE DMNRNVVERTPQGYDLMRRRR EPUS_00785 MAPDSGSRTPGLTSFLRSLSSEPDETCIEQFIALLRRRQIRHSR PCAVATAYLLRRVVAQAKAEPGKPIEAARLLQRVQEVGRRLVAAQPRELVVGNVVRRV LGLIREEQDNERGEGDISGLSSEVGIDSGQQTPQIPSLGKGDPLSSLNGTTRATADMQ ALHTSQSPAGVNTRRTTQPASRPALQPSYTTFAGAPPVTSMFSLLSHPTMSSPRSSPA PGSPSGTATPHPTGSNDIRQEVIEGIGEIIDELEQSDEQIASYALEHIHANEVILTYS SSTTVQRFLLKAASKRKFTVVHAESYPNNHRKVHALVTGNTNSDHSDALTTEAFHKTL TSAGITVILIPDSAIFALMSRVNKVILGTHAILSNGALVATSGTKLVTKAAKAHHVPV VVLSGTYKLSPKYPYDPDMYIEYGDVSKVFPYQDGELVESVQVENPLLEFVGPECVDM YVTNLGGHAPSYLYRIVRDQYRDEDVDI EPUS_00786 MNSLNILSSRVIGQSIPGATRPRSRSQGEIFGSSQTEDYSRGRS LSAQGVDGVKSLLGFADGANTSSEEPDRDDKEDHVKSLSEKTPLLEKPSKPDVSFYKS RLQSLVKKIAAALTALLTTIGAPVVYAVSCFKDEGGRYSPFLPLKSVRPLFGGRKATT STATAVGLSNFKAKVSEAKTITGKRSTYTAKLRSSASNESLTSNTSESEAEKKKTRPR SSEIRHPKSKHDDNVPARRSIRIQESKTESFDNRKQRRKSIINEEPLTLDTIKSPLSA SQSLKIHKYPHAPAPPRPLIPRRQPSYSNIIPRALNTRYPRYQKTLILDLDETLIHSL AKGGRMSSGHMVEVKLNAPIGLTSSPNTPIIGPQHPILYYVHKRPHCDEFLRKVCQWY KLVVFTASVQEYADPVVDWLEQERKFFQGRYYRQHCTFRNGAYIKDLSSIEPDLSRVA ILDNSPMSYIFHEGTSTIDIDELGGLIDYGCGMESDTGNADNAIPIEGWINDPTDNDL LHLIPLLEALQYVTDVRALLALRRGEAEVRGGS EPUS_00787 MVLAPLSPSLRATNNPPERKVSPTSPVSPSGKSKSKSKPEFTKS PPLARLPPPALFQGPPSRNASNISLHLPGANAAAIGLPASASISNISPTTTSPDNLSR ISSRRPVADSRTNDFSPASAGPRVREAPSSTPIRPHQHTSKIDAAAATKRENDRADAL WAEMQNTLAEVELSAAAGSHIFGAGHAKALEELRAAQLELAKAWARSEKDEVESHGAG DEEEEEEEEKKKEGGGVGSAGFGLGVLGGKDGVKQQQQQQQRPGKKTLEKETENDILL AKTRREANDRYFEQVNNSVLDAVKKLDEVAAAMRKVEKESSDIWSEDGDGTESVTGST TGG EPUS_00788 MTKGKPRGLNAARKLKTTRREGRWADLHYKKRLLGTAFKSSPFG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLSGFGRKGKAKGDIPGVRFKVVKVSGVGLSALWKEKKEKPRS EPUS_00789 MSSPSSTGSSAKRKRIIQMPSERVKPSTAELLQPSSRDASAEEA AESPSRMSKHKKAANSIDSKDAPPSKRPRTRATAAPATTSKNSMDSTSSGLRVEASAI NSKDPGETSSTTEDSEEIERHTDQRRGRTSSKSNGAGNENGHVEKDRSMKPMRRAGLR DPIGGYKTNPPPTGRSVRVYADGVFDLFHLGHMRQLEQAKNAFPDTYLLVGVTGDAET HKRKGLTVLTGAERAETVRHCKWVDEVLPNCPWIVTPEFLEKHNIDYVAHDDEPYGAD EGDDIYKPIKEQGKFLVTERTEGVSTTGIITKIVRDYEKYIARQFKRGASRQELNVSW LKKNELDLRRHVTELRDSIRSNWTSTGSEVGKELRQFWQTSRPASPAPGIAGNGGRAG VEGQKTANAGSAFEHLKHLEVPGQPAERGRPESIGGSIRGRNEDFAAGYSLGLIGGVR SWMMRGNRSLRGSQPPSPSGSEDDNDSTKSPIIAPTTELVDDDEKTPSRGRKDKMTSD GIDSMDVGA EPUS_00790 MTAAECSPCELSDLNIRELHGKDSITTLSRLRSIEKKSFPANEA LDFNISLLAKKNTSIIYAVFGEDPKQQPVAYAVYVRWRSVLLLQKLCVAESFRRKGIG RLLLHEVINGARRTKCGAIELWVDTSRIVARVNSQVPPVARISQQFSFTFAESTFYVS EEPTFYSLRKEPSWLQFDNATRTFFGNVTGVAVGPTTFELVASDSSGSSSHEVTFAVI DQIGPQPGKAMLPQLGEVGPTSAPASLLLYPLQPFSIAFSPDTFSNTTKETIFYATCA DNSPLPSWLQFDPTSLRFSGTSPPLVSPTAKAQEYGVRLIASDVDGFAEAIATFEIVV GHQILAFSQSLQKVELSPGRPFESEPLRNKLTLDGKTIDDTEIAWVTCNAPIWADLNK KQISLSGTSPEGASSQSVLIGVADIHGNTAKTTISLVVSSSQIELFSTSLAPVNATIG QDFRYMIDPNSLSSNAVQVTADLNNASSWLTYDTTSMTFSGSVPDALQPGPVLIMLHA VLWSTTEHEQFVVNILESSVPTRSAHTSPNPSAHTSRGLPSSTERSNAATSATSNLEM NNHNRTLVIVLGILLPLLLLLCLIFLGWYCCLRRRRHRQPSSEASEISISRPVLSPES ERTAAQHVKGNTQTEKMPPPTSPPRIELPWAADSLRKSREHFSRNMSNRESTLVDSGW GDLVMRDAPVSAKGSKRLEPTTECATANSGDWTPFVRLHSNNYLNYSRKRTPFRPTQD KMQRLSLSTRASKTFSSLSNLSIGLPTRLSGAGHGAGGPGPAGSGDVRRSWRNVVDPF ASEDSKTTFLDLDAFPDPPRDQKETQEVQQKLGAKASVRLVPSSSSQSGSLVDQRQKW VRDRARDRLERGARFSNAWSSRIHSRAKDLDSSGSSNRAKTGSFDTDDLLRRQSMARS WSRSSSIGVPARPVTRMKSSDSHLVRHPSNLRRALSTVSSGRFDSAESKSNSSWIDDL IEEEDKDGRRRWVAVDNPHQDAAEAARTGQQDGGDSEQGSWGRNSRTGGLGALKANIQ GGGPVIPSGERKWRLGGEQAKRPISVDEGELQRTQGSHRGNLAFTSASGTDECVLFGL SAMQGWRISMEDAHAAVLDLQSEEEGATQQPTPPDKRLAYFGVYDGHGGDKVAHFAGE NIHKIIAKQDAFKRGDIEQALKDGFLATDRAILNDPKYEEEVSGCTASVGIVSKDKIW VANAGDSRSVLGVKGRAKPLSFDHKPQNEGEKARITAAGGFVDFGRVNGNLALSRAIG DFEFKKSADLSPEQQIVTAFPDVVMHDVSSDDEFLVIACDGIWDCQSSQAVIEFVRRG IAAKQELQLICENMMDNCLASNSETGGVGCDNMTMIVVGLLGGKSKEEWYEMIGKRVA NAEFRGPGVRHQAERDSPDEYELDLDNRSRGYGGKNGRIILLGDGTEVLTDSDDAEMF DHSEEDKDTENQVQKGLQGSSDEDAMRSEREGTPAPQCLQRTESPSSTQTDDSEKAPP GVKESLNGPTKLDEAN EPUS_00791 MANPTNTSLPSRESTVSETRPPSNIQHPDQDNAIHQSSAEAPSI LRTSGSDEPPPPAKPPRPLSPREQAEKTLIEAFPSIDVAVVKAVLTASGGNVEPAFDA LLGMTDPDSQKDVPPPAKPPRPAQQSGLPTSTAQSQLEADEMYARQLHEHYSSTGRQQ RQNVVPNQQPTRPHTQAQEEREYSFLDDDLPMIRENIRKGFLETQSTVNKWVTNFKKK LDGEDDEGFSNQPAKPAQRLQGSNQGFPNYGRRSSEMARRSADRERYDADPQVLGDDF STLELRDGDRPPPKKPQRPLANPDLFKPNSPAGSDRKVSFQNGPPEEMDDLYSGSSKP SRQQVPSGKSSKWQPLSAVEPSPVAENDPFSLGDSDDDKDSRVKENRSDEAERLQLST AESMAGSIGDNPKNASGTEMSQGTKT EPUS_00792 MAELELSFIPALYKPSSLLPIARHRESLLYAVEQFPVLIVIGQT GSGKTTQLPQFLEEAGWSGNGKVIGVTQPRRVAAVTVATRVAEEMRCDVGEEVGYSIR FEDKTSAKTRIKFLTDGLLLREALMDPLLSRYSVIMVDEAHERSLSTDVLLGVLKKIK KKRPDLRIIVSSATLQAKEMASFFANEGDTDSQCRIISIEGRAFPVDILYLERPAEDY VEKAVQVAFDVHKNEANGDILVFLSGREEIETAIQLLSEQAAGIHPKAQALLPVPLYA GLTTDEQMYVFEPAPDNTRKIVFSTNIAEASVTIDGITYVIDAGFAKLRAYNPQTGID TLTAVPVSKASATQRAGRAGRTKPGKCYRLYTEAAFSRLPESTVPEIQRSDLAPIVLQ LKALGIDNIVRFNFITSPPSRLVMRALELLYSLGAVDDYAKLTKPLGTRMAELSLEPM MAKVLLSAPGFGCLSEILSIAAMTSLQGSVWFHHDGGRKAMPASRHKFAVDEGDHLTL LNVYQAFLTRGRKDSKWCRDNHLNHKSMLRAVSIRTQLKRYLERFGLSVDESLNSNGM QSKQGGAEKAKQIGKCLTAGYFAHAARMQPDGTFKSVSGGLTMHAHPTSLMFNRKADF VIFHEILETSNKIFIRDITKIERSWLLEYAPEYYKVKS EPUS_00793 MGSNQSTPKISAQDRAILDMKNQRDKLRQYQKRITVITDREKSI AKECLARGDKEKALLALRRKKYQESLLGKTDSQLEQLEKLTSSVEFALVQKDVLYGLK QGTQVLQQIHKEMGGLEAVEKLMGESEEARAYQQASNWQVRILIFHNWEQEISDALAG QMSNEDEDEVEDELERLEQETVVLPKVSSQGLGHEVVHDAADGIVADHELPDVPTSIA AHDPERLQKQRERAKARKIALHA EPUS_00794 MLRVQVLVITNYNRLSRNALSPIRFQPSILSVYDRDLPITALPN GLQESSLTEEPKSAFNPQQSDSEASASNPPSPRPPPFSSLYFPPFSELQRIKSAVTEA ACDPLLVTAPAPSFEETLAEDEAESKATAETKTALPRDTKGESSGKGVDDGEPPPPYT EGSSPLESFTYVMAAAGGAASIITQVQQTGGPPINALGGGEAGSDEHITLDLRGTRFT LSREELLTLPEFVLLSLFPNGLLPDGHMNTFHDGDVYPIDVGSDMHVLAVLCNPSIYI GDVTSFSQYDPVSLQYMLEFFRGVAQSIPSSSPSPTTSPEHDPTSVEPIQGSARDMLQ DRAGIIVLREDLDFYVIPPKQDIEQPEMIEIKRAAGKSLLKQDGIFSGLRKSEETGTT EQHLIEMLTAGGFDHEDCWGHRAGEPNKAVICSLALARLRTDIKGDLTGNNAVGMAQK LLLFWRKPARRCWWEGVNLDNVDGVEGKLKVWIRRVWTLEMSVIGLR EPUS_00795 MAPTQAFITSYPTRLAKYGNPFYTPVIPAATIAPASRTTKRGTT IINYADDAYDEDDFDESEGQRRPTGLRSLRRDEAQNKDAASQKLGKEIYRPVEVQGIY RDWMTRRTVKPTYDPIFLNLWRREPDEFSRCVYPRTDQQVHIQSQLPLTLIPIRIDID VPASQRDPPFPLTRNATEVGFHSGMVAFQRPEPSPAYRIRDIFLWNLHESLLTPDDFA QTLVRELDLPNPVALTMSISNQIRSQLEEYAGTAMHPLFHRQSEPVTKDGIVTTQNTA NGDSNVATPRPSLAPPPSRPSSVTPGATTPLPDAPTSHLNNTGIVAQASVIPAEPSLD PMMQDTPSQDFDPFLNPDDTYRCWISLTISLSSRLYTDKFEWSLLHPPGFAEVFARQT CADLGLNGEWVLAITHGIYEAVLKLKKETFEPATLTSGGGVWTQDGIDNQAVRDEEGA GWRYDAEDFGAEWEPRVEVLSKEEIKNREFARERQLRRVRRETAKFSSTTGMVSSTRE QEAQSRGSYFDPPGGMAGGDIDTPNMGRGERSKKKRRFRSLSPVAKALATPGEVANGP TTAWAGPESKLQEYERQNWRCSWCHVWGAGVWAVRDGPAGPRTLCNNCGLLYERDKKL PVWSEQLHKHDIPVGR EPUS_00796 MPDELRREWLRTTSVYQQRQQLCNRRSLSFSTLTHSSPTMAQVI SNSGHDDMIHDAVLDYYGRRLATCSADKTIKIFEIDGDKHHLQETLKGHEGSVWCVAW AHPKFGTLLASSSFDGRVHIWRESPAQSPQQPAQWSLVFTSTLHTASVNMVSWSPPDL GCLLACASSDGQVSVLEFRDNHWGNLMFQAHGLGVNAVSWAPSGMPGAIARRDAGGAG TGARRFVTGGSDNQVKVWEFQQQSGSYTDTVTLPNGHADWVRDVAWSPTLLSKSYIAS ASQDKTVRIWTSTNPGDPASWQLSKTLEFETVLWRVSWSLSGNILAVSGGNNKVSLWK ENLRGEWEMVREVTE EPUS_00797 MDPKTKQLYLADAPPNVVKLEIKPHFEALTDKQKRYAHHISRAS FLGTRVTLRQVSPESEPIYDLIITLYKSCKGEWKTLAERTGTSLQDLTSFLEYATQFL GNCGNYKGFGDSKFIPRLSQEALRKLASCSPESEKALQKAMTAGGGIYETKDTALMHL GYPQDGHMTAYYPDSPTITKEEISSIGDLLEKKKLLLENTRLRKTSTGDFELLIASAE SNPAPANRDLGDIDYLDLEAELAGKKLKFVFGDYSKEMENISNESGKAAEYANNDVQK SMHEQYRRSFHTGSIQAFKESQRYWIRDKGPMVECNYGFIETYRDPHGVRGEWEGFVA MVNQERTRAFGKLVESASSMIPKLPWSSDFEKDKFLSPDFTSLEVLSFAGSGIPAGIN IPNYDDIRQNLGFKNVSLGNVLSAKSPNEPIPFIRAADDVLYRKCRDPAFEVQVGIHE LLGHGTGKLLQEIEPGVYNFDHVNPPINPVTNKPVSTWYKPGQTWSSVFGPIASSYEE CRAECVAMVLGCDFGILKIFGFGTGKEDMNNEAGDVLYVAYLQMARAGVGALEYWDPQ SRKWGQAHMQARFSILRTFMDAGDNFVELKASRDDLSDLEIHLDRSKILSHGRPAVER YLQKLHVYKSTADVEAGRKLYDEVTGVDEWWGGKLRPVVLEKKTPRKVFVQANTVLEG EDMVLREYEPTMEGMIQSFAERDI EPUS_00798 MEQAQHSGIYVAPLHIVKSTSDLTASRYKTGSSHVEAGLHSSDQ TTPPLTPHDASEPRSKANEPVRATFHNYLRAFYPFHPTASVSPSAVTLPLDAGNIILV HSIHTNGWADGTLLDTGARGWLPTNYCEGYEHIPMRPLLKALADFWDVIRSRKDATLA IFRDQDYMRGLIAGVRFLLEKSDCLTRDSSIVRNHENIRRARKALLSDLSSLVKMAKV LQDIASGSTSDRPVDEIFSEMLLKAFKIVTRGVKFLDVWNEDAGANRDIESVSAILDR ARSHDRSSPLTFALAPPVNLKEESFYADPSIAATQRESRLSKESCKSRHRQSPGHERC YSRREASYSPLGDRPISVYSKRTSVSHRMTSGGYTIGPKNSKLASERLRSSHDTFLGC LGTFLGLHMQSRSSSELLLTTQHSVKSCRELLTLIEVVLDHDMRRAENLAEAKDAMYD NITELVHAAREAFRPLPSADEDMLFIPDEGKRLVNAARDCVRGAGECVAMTRSVLERI GDFEPEATELRSSVVTIGSPRSSRRIDMDNERSHAGFEEERPIRVEPEDQSIADETVE QSAADDPREHSIADGTEERPATDEMEEESDVNGPEQDLAPRLVIPETIIPPTSPLSLP FDASLPKSYSIFHAMTADSVANASPVSTESVQAPSTNGTPTIPENELATFEPIIYASS QIHSDGCSARAIVNSTGSSGTYVSSGRDSEVSADSQNSTRATSPGALSQHLEADVLEN QLSDSHTISAEECDETEAKIMEKTFAHELMYNKEGQIIGGTLSALIEKLTAHHSTPDA LFVSTFYLTFRLFASPQEFAEALAYRFNYIGETPSVAGPVRLRVYNIFKGWLESHWRH DCDDIALPFILDFAHNILTEVLPTAGKRLVELAEKVTDIHGPLVPRLVSSIGKTNTSI AQYVNPDTPVPAPIISKSQLLALKTWKMGGPNVSILDFDPLELARQITIKESSIFCSI LPEELLATEWMKRSGSLAVNVRSMSTLSTDLANLVADSILQLEEPKKRAVLIKQWVKI ANKCVELKNYDSLMAMICALNSSTILRLKKTWEMVSQKTKATLEDLKKIVDVSRNYVV LRQRLQSHVPPCLPFVGIYLTDLTFVDHGNQATRQLTTEEGSIGLINYDKHMKTAKII SELQRFQVPYRLTEVPELQTWMQDQLVRVRSAGEKSFQNHYRRSLILEPREQMQTRTS PTGTNPPGKSGEKSFDFRSWTHTHRDKSIATNG EPUS_00799 MKFFSTLFFLSFSALAFSAAVFMPIEEEYEVAKREVSNAKYVPT TLPDGKKSIAVYDGDVLEGSLVEGPDGGVTAYDAFGKEIDLDNPEEDDENGDKRKRQS RLSILRKFYSFIKKYGRRAWNFLYCISFEVAVKCADDILQCAMQGTPPWACLEGIVCG GVAFRRCV EPUS_00800 MTNIASPTQQQAQEQPQGEKTEPKTNNAEKQTRSSQHSMPCGKV VEVPHLTWDDAVHFKHKMTSATKVKPTTNLRSMPDLIVNGKVIPAKSTSGKHQRTNAT QPEAEPQFLPELTPENVSQGVLVQSQTTVGVAREYQPEIRMKRTRATADRPGLLTISL VCTSLAPGRGKIAKGTTSVFAIRDNAPIWFKLVSRNHPANILCPEPVAEWLHFRVSEA QASQCHRHETGVIKAPAEAHKPTGLPCSGGATQSPTKQAQGGSSQPPAPIASDDKDTI TLDMDKPFPSVAEVQAVYAQPQARSFSTTHERPKLRVTIPENLRHLTAPAGARATVPS AAKNSTSTPLNASSRTESLAKTSRTPLAELP EPUS_00801 MKFPWQKKPLQPERATNPSTPSSPEKSIEPHPPQQPQDGETRVI PVPEDKQSNPRTSLERSEAAEEPVADTDQSRTAEGKEAETDLVFTASRTTQPSSVGEA GEDDESKYPKTLPLVLLTFGLCMSTFVVALDNTIIATAIPRITTVFDSLNDVGWYGSS YLLTTTSLQPSFGKVYTYFNIKWTYIVALIIFEIGSVICAAAVNSTMLIVGRAVAGVG AAALFSGAMTIIGFSVPLRKRAIYIAMLSSMFGISSVVGPILGGAFTDRLSWRWCFWI NLPLGGIAIAVVFFFFKNPERKHNKLTTKEKIGEIDLLGAFFLICAIVCLLLALQWGG SVYPWSNSRVWGTLLGGGLITIVFCYLQYKRGDRATIPPRILLKQRTVLACALFYLPF YFQAVKGTTAEGSGIRTIPYLVSTTLASIVVGGSITAIGPYVPFTWAGSVIFVIGSGM LYTLKVNSSTGMWIGYQILAGAGAGACVQIPFIATQVVLNKKDMPSGNAVAIFFNTLG GAIAISIAQNIFSNTLISQIPRFTRNIDPAMIIGAGATNIRAVTPPEELPGVLEAYNV AVTRTFILPIACAGVACLCSLLFEWRSVKGKKLEMGAAA EPUS_00802 MSDFPTLEPAFTIQVVIDPPMGIGSASRGTPLTVVPMLGGTMKS ESGFSPAVDAKFKGVGNDYIHNDPTGKHIRLNAHGVLETSDRAVRLHNSASCRWLLMY HFQLIYLNYTGIVEVTPALGAILGGSSEANTTPYGTSFTHLTFETGSEKYKELETSVF VAAGHFIFEKDKPVVVEYKVSRVKHTSS EPUS_00803 MYRTEPKSCSSSHSMTLLYTLDFFCVHARTSARLPPTLRLQLYA SNSTLQLYASNSTLPSLRLHLYVHFWKMSFTWTRHVNGQVVPYKMSIWDAFKGVPPPR PRKSASSRTSATPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPQPAP EPTPANVTVTATNPPTTTAPPTSGNGNTTAVTKQGEDQAHTFTTTDDTKLLELKAAGK TWKEIVAEMKKSQSALKERFKEIGPKASGGAANATTVTKQGEDEAHTFTVADDAKLIE MKAAGKTWKEIVAETKKSMSALKERFKEIGPKADCAAGAGAGAGGGAAEADDKKKKKE ESGAKAAAADDAKKETEEEKSQKKTTVCKHCGKDASAPVEKEAKVGKHPVQLPIQILE PTNPQHQPTPTKAQITVAGLADDYDLGKWRYVASRYYDLTGERVSAEWARKEAKAGKL FLQ EPUS_00804 MAPVEVKDDFYAVLEVSNTATFDVITKNYRRLAKIRHPDRNIGK HDSIAVFQDCKATLQNADRTIQYGQKKGATEDKAKQKEDDARQERFRRLNVTKSRYDS DIFEDQVDEDSRKERERNGWWAYMTSPNYGKVDETVEQNQARESARLHRLASKSIQGS ELRDKEAKLKRLQSALQDVNSQIAVEKQKVEHAASAQIRERKATKEAREAQAAREARE AQEREWKAAMAAAAERRSMEAEERRRAMRAAEEAARKAEEAQRYVMNVRACVRLAGDT EPUS_00805 MASTVAAVRAAIKHLSKRIVTSYILDWIVILATAGVGGAFSQID GNHHVFSLIDPDISYPSKPNTVTTSVLLLVSLVAPGVIIFLISMLLVPGRTAARGTSK ALKWRRKFWEWNTGWMGLGVSLAGTFMITEGLKDLVGKPRPDFLSRCDPDLSLVTQYA VSGLGALVDNAPIMVDYRICRNQSRFVLQDGFAAWPSGHASFSWAGMLYLTLFLCAKF AISIPYLAPRTYSNDENPTSFEVHHHHHKHEVDHNHGIVPLRNQAAAPPVYLLILAFV PIGTASFITVSRWSDYRHAGFDIISGSILGAFFAWFGFRWYHLPIRTGAGWSWGARSR ERAFYTGLGVPTYVGNEGWRSAKAEHAYRVDLESGENILGSGHQDQPARGMNGVRVQN SESTDGNAKDLPQNHVV EPUS_00806 MELQKSPMLKRKRVGSGEVDLSQRPNKRRMERPPQVFVPANPTP IEKPLAVASPARNEMPVQFDLPRKVEQTEMDNAQPVLDAPSTVRLKPDKQTLRQILNS QISLEILLKHNELRLIDQEIAKCQIALEQLRRCAEIPYPVTGLSQNASQGLGPVVRSS RLTVQPESPAPWGVTDGPYTRHYAKWLLPDPLFDGGDVPGNAGLNTPAGKTPTKGRST RGSFADSSTAAGKSKAQRAGKFHALSSGYPQPKDKAGPMIQKRKSDGLLVKLVCLDCR RDNFSSAQGFINHCRIAHSRNFASHDAAADACGEPVDVDEAGAVIGGEGSAGSSTTGL VHPLIYTALLPKQETPKKSSDPRPTRAGTGPPDEQPLKSSVADIAEHSLATQLTPSPL TPNLSCMMQRKGASLDLQGLVAYMKTSVPVDDPSESEGEGDEDSKMAEAPPLGRHPHL TGSMQPACSTATVQPDSPSGSRKGAHKSRMLHDADQPSLPPAPPAVADVITSHPCVPP LHGNELESSPTNEINQAPSLVDDDGDDDCEAHSPSYSASCSIDTENRDLDFEVEDGDE GGPSTRNRSTESDYTGTAKHHPPPAPPRRASAFRRSISGREEKHVSFVSPSPAREAEP SKKAGGRKRRRTGTVP EPUS_00807 MSLPYRFKAGGSGSRESMAPISTMSHHHRSTTKQSHKPFKPRFA SKGALKDIAKGKIESHSEARGKRRTPHQQVMSKIARRNQAKQLRMLHHEKRDNESSIF QGRDGLPKQVAVVPLSDKVDVHKAIEQLNSSVDITGQLQGSGTTAVKIERFRRNLLYL PAKYELITALEICQLADWVVFVLSPEQQIGEDGDQYIRALEGQGITNVLCVVQGLNDA IPPPRRSKVLTEAKSEMSRYFPNLDKLCVLDNPADCANVVRSLCTANSRGIRWRDERG WMLIENLKWKEAQNDQQLSTAYISGTVRGKPLNPDRLVHIPGWGDFRVGQITEIPQRK TQRRQEDKMEEVVKRHIPSQDQEDLQTLAPEEMDLREATNSVATDTHKGVLLDDHHYF SDDNSHIPPTPKRLPKGTSSYQAAWFLDDVSDSDSDIIDGEDDISNVAMEVEASPMGP EDGANLGDAMDATTEAGPSEYPESEMHIDLPANEEAEAIQEFRKRRKEAEEDLEFPDE IELHPNVLARERLAKYRGLKNLRTSEWNVDADAPFEPSDYQRLLKIADYKKSKNAAVR EALAGGVPVGTKVEVEILNVPASLQNSGPPTSMFSLLRHEHKSVVVNLNMTLSSALSK PIKSKEELIVQIGPRRFVINPIFSTAGTTPNDVHKFDRFLHPGRTAIATFIGPLTWGS VPVLVFKGASAHSQDSETEAPISVDAMATDVEASTGKLSQQLQLVGNATTVPPSSSRV IAKRVILTGHPYKIHKKLVTIRYMFFNKDDVAWFSALPLWTKRGRQGYIKESLGTHGY FKATFDGKINPMDAVGVSLYKRVFPRPARMWNGKRGFTV EPUS_00808 MEFSRRPEELAKAEGLSDRPPTPEPEKQLERYETHNVGAVASNA EDVQTPLPSKPAFSVATPPALDNLTELPLQPVQEIMTDSEPQTDRLVHPSTMDDTPHQ NGRAHDAFPTTNGAPSPQMNGNLSSPPPSNPPQTESTTTDITLLASSSSLQASSSFDS FAPSTTQVGTQNSATTATPQDPIDPVSEVRPAPEQAPTSDMRSSPQPLPQESETALRI KNEKHQQDLANDPELAQGLAAGGNGPIERTISPQPASIPVEEQNALTFDMDISFDAAG HQPATMNPLAVTDIALPSPPPPSEPAHATLSADQPLAPAPASAAPESSGHLQVDRPML DAPPSPGKISRDRDEEDDEEGPAAKRLKSEVAAMEPEFKVPEAPVRHSPADQRTTEQS PPADDMVTPARLGHMKKVIANLKKSAISQYFREPVNPVALQIPTYFDIIKQPMDLGTM DRKLKGSKYQSVSAFVADFNLIVDNTALFNGPNHNVTDSGVKMRHSFNNQMRQLPRAD FVVPVKEEKKSAKTKEHPVRTASQRRPSTSQASIASTTRSPATPVSGTTFALNPQGIP LIRRDSTVGDGRPKRAIHPPKHRDQEFGPGRPRKKKFEWQLKFCREVINEMKKPKYYS FAQFFYLPVDPVALNIPNYHSVIKKPMDLDTVERKLENNQYERARDFEEDIRQIFKNC FLFNAPGEFVHTAGQQLEKVFEDKWATKDEWLASHEPASEPQSAGDEDEEDEASEDED DDSNDERTDEIAQLKAQIAMMSQTIGNLQSAPKKKKKTTPPAPAVSKKSGKPKKKDKP TSFPAPQQTGKDKKKGSTKSKQEKEHFVTYNEKQYISTGISSLPDARMSEALKIIQSN VPSLKNTHETEIELDIDELPNHVLLKLLSFVKKYGEHAPPEPEPPQQPSYAAPMSATG KPKKNKPMSKQEQEQQIRELKGKLGVYDGGQTSPDPNRSVENAVESSDEDSEESEEDP DASTRALSEANASTSQALRSHLLQAATEELLKSSIRNIATRSVEKEDEEVLNFAPKQR EIVGTISIILDEAPILDLHGDDYELLRPDIDAFHDHMEEIAIPVSASLQHQHDILCKI ASSTSSPVEPASAKQVANSKRTLNKTVKNTTVSSSTPSLPALLYPLLPQIPPPTLHSS LPSLYNTTLQHSTTHLTLLRTVLTHLELTTHGLHARNTKARSAHLSTVASALAKRIEM VYLRYRNSMYNKDVQTALGNYQRHLEEVEGEVEERERFLKGVVDEFEGVGLGSELENG GEGEGKGKKGVMREVGRRYGEVLREIERVREEVERLEGGHRAVQQSRAAKKSGSERVG ES EPUS_00809 MRSGVSLSTVLTQALHTNDNNLLESCFHNTDTQIIRSTVQRLDS KLAGILIQKLAERLSGRPGRYGHLLVWVQWICVAHGGAIGGQPDVINKIKTLYGVLNQ RSKTLDSLLLLKGKLDMLDAQLGLRKQLLSEGGPVRNPDEGHVIYIEGEEEGSNSDEE EDVVNGDTRAALTAESGARKKNLHELIPVDEEGSEDDEDMPMTNGIVAEYDGSELDSE DEDEEAPILQRKGGIVDDEAEESDEDSHPSDREDDTSEEEDVSEAGEEDSEMDDFIDD GPIAEDDQESASEISIDKTPEKPPVKVQRQHR EPUS_00810 MADNQDLSDAPRCFVSGTGTVASWGWLRKTWIIYRVASNTASED DMQFFQRAIKESTEFERLANQWQNIYSACHCSSQCCGCSSERSKYAARIRIAIRRVKD GMELPPWGPPLRNGDENPKFAHTPPHRRDELEIMNLLSQPQRAGAPVIDILRRTIAEK SRKRPLKAQRLKDECSRLEREAHDLAVAATAASSALGRRSPDPTTMRAAEEAQERLEW AQKKAELAQQGAEKAIRLQRFIEQIDRDGYPGPNGDWGNRPPGHWVPCAFGPDPDHDH DPAGSDAPPPSRSRRNGDGRPTPAPSTHASFRTSTTRTPLARNPGGGVPSPAGGDEVS PRESRYRPVKGQSAAARSTPPQPGTAASGGRTSHTNPFAGVAPPLDSIHSQNLDEIDA HTPRTLSSVGGTAFAGGEPPRASRLGVHSAFHEAAQRDAAFSSEAPAGMRPNLSSASL FSWTDQAIAAVHSSGSLQDAVRRQAASGQAASAGGLIGDPRAPAPVVGERPEHMASLS LRTGREGRPAATGPRRAAPAATARSPALPPRASRGGGEPGLRDTTMRQNVAPQQAARD RAAAGPSAPAAQPERAPTPAGMFPFGAKMFGLGIALGRGRDPDPKTGGSGASGGNQGS AGSSRSGGSASQSGLVLQGASQSGGAFAPPEESGSADSPRSRHEGKVLARVKQEEETL RVQGGSTPRFGTLISPIASPGSQGSLSSQGASSSRRRAALPVRLIPEGPTSASASKRL FATRAEAQAPALAPCPGQDPSLQLLRSRFADSSDGSETGSPRVAADSPSVRYAQEYSH GRGGTPQGWGKGKPLSQRSRPYESGAVGDTPTRPLLEPPSYGGMDRG EPUS_00811 MATSNLTPGSNAFSSALPPAIGPPTTTSVDTTQIATATGAPSTT PAPFSPLPPFPTSSSISSFRETASASPSTSTASVSSSTTTQPAESDAARTSGLSPTVA PTTSSEQGSLNTGQIVGICLAAVAIFGFVLGALLFLYRRRREINRKRRGSRWSDSIEK QPPSPFSPADHHIEAGVSNPRAATPDHSQRFYAPPTKTQEKRRSFWRRSIKPEDIGVA VSPEVVQAGSPTSISSQRTTSQLLPEFPHYSLWPAPLKKSQQNAATFEQRRQPERPTV TFPSTFVERKKANKPPRIVSSRTGNGLPTDPRAQMYRLGQAKSVNDKIPLTPVYDNGN VSMASGAILPPRQNNFLQPGHPSQAQRKYDPYLQDQKVAPNPIPAQTIKLLPPSPPGA HSEASSSRRAPPLRRGSSASDATNIEDDEDTTPEQETDKQLRPTPLSPVLESPRHYSL SPIERLTSPLNDLAYPSPPRPAAISKQAEKQPRPRVVEFADPLGRTSSPSRTPTEAAS RRDHLILDERSLLSTASSSASSPLRKRDESPSTLLAKRKGDRAADQMLQHGLRLSSSA ANALPRSRYQVNNQNETRARKGSDTENDNGMNTVLKTPPPKGGQGLKSPPFWTPKLTP TRRGEDLFLRVE EPUS_00812 MKIESVSVGSSKSQGDLQTPATSASSIPRLFGRKCSTWDREEIR EILDYFQVEHWRKKRKTTLFDLMCHRVVQDRGVDESAIPAIRLLRFIRDDDGATTQPL IGAKPRGGVESESENGGSSGTGNSQNSLKRKRDDMDAPRITNLTDYWPGLPVQNCVVC STELLRTVNTPWRRITSGCAHRSTICLACLQQHVENQLEMNAVNTIPCPMCHATLSPA DIQAWSNPEFFDRYGRISVQQAMHEGLNFRWCAAPDCQNGFLCDPESESYATCDACGR MTCLNCDVDYHGGISCKDFQDQRTKAEEEVRLKQEQDQQSMAEVTRISVRCPGNGCGT PIEKADGCDHMTCQVL EPUS_00813 MDYKILEDGKSVLLPEPRLTDLPSEILQSIFWFSLELNLCHVSK DMRRKLPSYTRTTLFLTLVAFGPRRLHDRILRSQGTDCSDVIGQLDLRLPLSPDAQLD LQKRFFNSGWLNLNVCMAALQQSREHHIQARWVEKGNSVVPASLQAFEEHHRHSNGRL TIHGTASKGHNKRLIIGELHVAIDSHISQPHEDNICRWSYECFNLLDVLYIPDRLLSG PLPWPQVPGGYPMCRLYDYSILHSKPSLLNLLWAAQHEWACRPLTAQPRHVEAQPSLV DSAVMGAITEGYILALKMLLDTRYAKNGALYSITLTRQDFILAAQRRNTLIIFVMLMT GRGMIPIDHKDVAQWISKVHEDANPLGAHGCPCLLVKYIKYLKEKKAVQGTTERFFAW KHDRENWSPSHDPRVASELAKDTAEEAVEGDSVAKNSANNDGVDLARLGGQLREVCGL WRP EPUS_00814 MASTTPPHPCVPSLLDMPPEILQDIFWRSLELSLCHVSRRMYQT LPSYPRLARFLPVLAFGSDLVLDKIIHNDGDDCSDVVKKLSIATPLSDKDRVTLQLTI MDSGWFDFSNFVEISHTLEEYLIQQFWVEKGIKTEPDDVEEYEKRNANLSDALRVRGR TQDGQLCQLSVQSVEVSVLYYDESEMMGTELLFEEDYRMLHIRCIPNRILKAPLAPAD NDLPLWPYILDDRRLFHTNIIGPVGNFWRLIWGMGRFRADDPDYMKRVVVSPQAVELA ISEAVSISNHYVLGGILRLPLTADGEGTLQPVTAEHFIAAARRSDRNAIRVMWKQGYS VFPFNNGEVIDWMHDPDIECADSTCRTMDFVDQLRRFKRELDEQREPNHWFRWPYLGV CSCCEEHRRLRRGYAINDKVAAHIVRSR EPUS_00815 MAADARVSVNEGSEQQTSNRALDNNGTSATYKPASIPARRHRSH QRHYHDCHRHRPPAKLIAPAAGEGSEGVWLRPGREGREGERWIGG EPUS_00816 MQSILHPVIGPKKEIQNLSGRVALVVGGAFGIGYEISRAFVLHG ARVIMVNRKEDQGKEAIDKIKQEAGEEAKIEWLPCDMGNLKQVKEVFTGVREREERLD LLILSAGINVNQYGETADGLDRHFQVNWLGQFYVCNLLYPLLRTTSKMPDTPAPRIVF ESSEQHRAAPSVVHFGSLNEINNPDLGSLELYGRTKLAVILGVKYGLRDRVIKPNGDN IYALSVHPGAVNTAMQQQWKDAYPGLFGKLVTTIMLAGGRDVEQGSYSALYAATSPEV EDKGWNGYYFTDPGQPGKESSQASDPALGAALWELSQRLIKEKAGEDALVDWSST EPUS_00817 MAPTTYTSKVKVREKYQIVGFISSGTYGRVYKAVGKNKAGDATS PTSASSAPREVFAIKKFKPDKEGETIQYTGLSQSAIREISLCSELTHPNLIHLVEIIL EDKCICMVFEYCEHDLLQIIHHHSQPTRHAIPAPMIKSILFQLLNGLLYLHRNWVLHR DLKPANIMVTSNGAVRIGDLGLARLFYKPLTSLYSGDKVVVTIWYRSPDLLLGSKHYT PAVDLWAVGCIFAELLSLRPIFKGEEAKMDSKKTVPFQRNQMTKIIEVLGIPTKEDWP GIVEMPEYAQLQSLAYSRTGGSGYNSSSSHNHNHNHNHSHSHSHNNNGRGTGTGLDTW YNNVLRSANYPPSSNTSSGTESNPDTPGLLGYDLLSKLLTYDPTRRLTAEQALQHPYF QDPGSGKISVSGNCFEGLEESYPHRRVASDDNGIGTGSLPGTKRSGLPDDSLVPCGKR RG EPUS_00818 MASPHYAFNTVHKPILGGLELHGKGGSMAVDTPAPSSLYLWYLI IVATVGPLQFGYHLSELNAPADVIRCEQKSSPAFAAAQLPQCIPMDGNEFALVQSIYT LGGLVGALIAGPIATKYGRLLTMRLATIVFILGPAAEALASNIPVMSAGRFLSGIGAG AATVVCPIYIAEISPLEKRGLYGAFTQIMVNVGILATLLLGYFLSHGNYWRFILAVAG MIGAAEFLGLFFAPETPKWLAEHNQTNKARRVLQRIRGPDANIDDEIKDWKMDSPQGE EESLLAAPSGESPPQQPSISFLGAVRHPKYRPAVIAVIAVMAAQQFTGINSIMMYSVS ILGGLLPTSATLLTVAVSGLNVFTTLACAPLADKIGRKPCLLLSIAGMGISSILLALG LEFGVKILSAIATLTFVASFAVGLGPVPFILASELVGPEAVGATQSWSLASNWISTFA VAQFFPFVDKALGEHGRAYWVFAGMALLLGSFIWWWVPETNQKASADEVWGRTEDRRV D EPUS_00819 MDAYTMGYANTHTGVPGRIMRPWHPGFLARFPWLGLGALLGSTL GVILSVIILIISDGAPISGWRFQPTVYLSIASTATNIMLHFALTEGARVAWWRQAMKG KNKLGDLHRYWDFANNLWAATTSGRYFNLIAMACIFTAIAPINGPLLQRASTVKEASS ASEPITVKLAVATSLPDGFSGYLSGRSYVVSAYTQNFTPVVQDYYNQRENRMNDTGCV GKCSATVKGVGFAVNCSSSEEPYKLSPTYAENGTYDATLDPALMNGSEVFTSYFSWTS GKPNNITLGIRYKDKADCDGRLMINECSLTLATVKYPVVIDGNRSTITLKPNTSILDD EVDGGYGNDTFVTRQGDTTTLGGIYLALDNKFNSSAHLRFGGAIGYEFRTKGATAVQY IDTDGSFNLGGCDIMFGDPTNDILASARELMFRTAIAAATTNSSIQAQSVPALQIATR AVYASHYHFLAIATLLTAIAVLLVLVTFHGYWHLGRRTTMSPLETAKAFNAPLLRDAD SNAEVEVLVKELGPYDVRYGAVVCGPEGEVSATAAKEFSQTTTTLLASDVPHQMRLEL NNQALTQVPRKGWRFSG EPUS_00820 MSSSEAPPTAYPSTSLKAQDQAPGKGLDSKLEPAANWTQLEFWD DEGKSPYLKEYEGRGLLKDKAALITGGDSGIGRSVGILMAREGADISFVYLPEEEEDA QQTKKEIEKAGRKAHLMPLDITSRENCQKAVDEHMKVFGKINILVNNAAMQEVCQDIK DIDLDIVEKTFRTNILSMFALTKYAVKHMKRGSSVINSTSVAGYMGNPTMVDYSSTKG AIATFTRALAQQQAPKGIRINAVAPGIIWTPLQPATKGNPAENMEALGTQAAPLQRPG MPVEVAVAYVFLASPMGSYFTGEVIHGTGGIEMQG EPUS_00821 MVRIGLTHRISNHLSSRGGNGSIDHSRSGSPTRQASVGDSKPLT LKVNVIKGRNLAAKDRGGTSDPYLVVTLGSAKQSTPTINKTLNPEWKTCFDMPLTGVP LVECICWDRDRFGKDYMGEFDIAVEDIFADGQLHQEPKWFQLKSRRKGGKKSSEVSGE VQIQFSLIDAANPTASPEEIYLKFRSTILAADGDSDEGLSPMPSHEGNVDQDSDADND DGDAVDQTGKDEETSDETEDQTKPDLEKKKKKRKLKMLRRKSRAIRAYEFTGKDSDVS GIIFLEIVKITDLPPEKNMTRTSFDMDPFVVTALGRKVMRTKVVRHNLNPVYNEKMVL QVMRHETAYSIYFSVMDRDTLTGNDFIASTNFPVQRLVAAAPEADPATGLYRLPEPVE SSPALPKENRSRFRLPLSRSASSSSLSKLTRPALKSNNSQNSLSSSSQLDLQDRQTTS LAPTNIAPTSTPSEPPSFPRMNSSGEGEAAQQNPEDPDLLPLVIPLTLKNKERWEDKH FPELYITAKYLPYRALRQQFWRAMLKQYDADDSGRVSKIELTAMLDTLGSTLKESTID SFFHRFAEENESADSLDLTFDQAVICLEEQLLKATQKATIRQKINGLGHHIREATLAN GEHENGLKTKAEGNKHDSTNVEPDRSAIPVIDQDSTGKLGEEGHLLDEDDLADEGGEE HVIEIRECPLCHQPRMGKRSDADIITHIATCASTDWRQVNNLVMGGFVTSSQAQRKWY SKVITKISYGGYKLGANSANILVQDRITGQINEERMSVYVRLGIRLLYKAMGSREMEK KRIRKMLRSLSYKQGRKYDDPASASQIEAFIAFHQLDMSEVLLPLEQFRTFNEFFYRA LKPNARPSSAPDDPRVIVSPADSRTVVFDRMDDATRIWVKGRDYSVDKLLGDAYPLDA KRYKNGALGIFRLAPQDYHRFHIPVDGTLGTPKLIEGEYYTVNPMAIRSALDVYGENV RVIVPIDSVAHGRVMYICIGAMMVGSTVITRKAGEKVRRAEELGYFKFGGSTILLLFE PGSMKFDDDLIENSNGALETLVRQSPAEFDSETDIFQVRVGMSIGHHPSAGPFTPDMK KNEENITIEDRQEAKRRIEGSLAPEA EPUS_00822 MTTLVARQPLQTLSMSSSQRPPRRLSARLQEKEDAQPHTNGYHL TTKNQTLSSANDGVPMRRSQDKPPAAKKRRIDYDEEDDGFMFTRAKAHKPRPSTSKIV SIPEQHVPEMENSRPARVDDEVQAHQEQESKGPSKKRRNKMSFSTPNVRVEKPVRRSK RLSDDVEGKDGSPQQKISRRDEAQQETKRPPERQKTPLAPQAQVEEDHSSTKIALPFA DTPVIRKNKAMRERKSGKGERRSSLGLRGRRASSLIDTGNSNALPHSEVEVADFYKHI ESEGLPEPRRMRQLLTWCATRAMGNQSGVPPHAHPEYEDQSAHLAARVIQEELLKDFA NRSEMSDWFGREEAQKPEETLPLRPNPKNAQNTIKIEELEAQIRRLKSERKSLEALLR PPSIPSVPRDNPRSSSHVNPPTLHNSLLSHSDISILETLNTSSASTATISSRINNLSA TIGPSIDAFADGIHKVAQYRDAAERVSSRVLSICAQKLEERDKEGRRRAVGAAGDVSD DLTGVLRSLSKIER EPUS_00823 MGLYDNLPLSSTEQSIRLIKLQTASHRNAALVANISVIPLATNP DYAAVSYCWGSPTTKCRITCNDTVLQVGENLGAALTSIRSISNLPLWVDQICINQNDP RERSAQVAFMQKIYSQAMRTFVYLGEPDSGAVNEACKVLETIGIPVLQMSDYDKDELK LKTRLKVGLATVKLAVQHPRLMKRAYSEDVRRALERLIRLPYFSRKWIIQEVVMSRSL FCLLGGRCFEWDPFITAMLKQSRTVDSSADVYSATKVWWLWDLVHEFADKRRPPLLTL LYYSRSFKATEHRDYVFALLGAASDSHEFPEPDYELSVEQVYRETSSSFVRQGNGFLL LHLAGLRPTDNGLPSWVVDWRNFDTFYSGKDFSSFCAGGMDGSITLTPTAAILQGFGK IVDRVVAVADLFNPEVNLCDRLAHYIDNCTFAFGEFYEDDTQKLSIQRDLASMISFEM KFNVNDPERTMYKFNKDYIDVSACQDLSALDSLTPGQEVLMFCRFFLLKSERCISSFG HGIGVSGLGRPFQKLRPIETPKILAEDAVANGIARDSAFENFLRYNFFKPTTRPVMTQ DRRLGLGPSMTQKDDVVCVLFGANAPLILRPSAGGTYKIVGEAYVQDIMFSETLKDDR YPVQQILIS EPUS_00824 MESADQKSLHAQQPPSYPPAVIQAGYFAPPAPAPYPYAANLYLP APSGYTSKISNVHAPFTSTPYSRTTLHEDVPTNSLNSLQLALDEVKHLSRMADPLSVV ASVVAVASAAVQISQTLYKVADALGGTNSEVESIASEVEIFSDVLEDLREILDEAEDI ITPKALKHANTLLSKCKDVFETIQQMLAPYKDADRISFWHSIQWAFRRERVKPMRCRL EALKTTLSVWLGTVRLARYRSSSLAIDSSSDRKDKKYLLDVEKSIVRNSTAMVRWQRA EAEARQPYNPYGQAYSAIAYTPTKPSKEIQWMSHLVPYTNDSKTEPRNQALQLEDVQL SPSIPRSISNIEVLKTVNVLLAKWTNLDVSAEDLPESRTATGKTGRSKRDVEKATGTG RLGRDAESSGVKKTKANKEQPREMLRTKKTQPLSVKGIDSGDRESKSEDDASIQTDGV AESIRKERVGDNATDTEDVQAFDPIIADASGDEASDDSAWEAISTGHLSRRETKARFV ENRLEKREEGIGLETPSDFSSTNNAPPTASYTYPSVSQPSALNPQAGHAQTIASHSQV YTAGPQIEYAQKVASDSHPPDHTVPYGLVPQPQDPIPTSPWGNSYQITSPYSMLVSGP PPPEEPPPSKTLNGKEVLARVQEMLLKNKEENISREKAGLAQIAAANAAREKTYRKEM EAAVLRAHMNAERVIAESVARVQKEAEIKESDMENAVIHFKDAVGRRFIFPFKLCRTW RDMQTLVRQTFFHVETLKPYVVDGKYDLLDSDGAIILPQVWDSVIEPGRTIGMHLWSL PGQDNTQDEPASTASAPSTAPGPDPGVLSYLDGTPIPSPKPPNLNPLSESAFLPPPEA PQPSPAIAEEDSEAQSTPTRKRSKDRPRTLGTSGESSSDEGTESEYAGDSEVDGAESS VVPWQEALQRSSEKHAEEFQRTWDTITEAAKAEAVREILRKWLKENSGSKDQEEDHQI QTQAKDLIEQPKNNARPAIQQLQMQLPTSVSGSISANPYATEAPRSVLALGGRTQSKH DVANALETSPLSAAPSWLCSECHSPLPRGKQTEAPLPDIGSPQTPQSKPRKPTNAQSV IPQLPNDMSPPLNINKSIAERLDLLEKILQHQGNVRGQAKEEEEEEEERLAQLEKTVR QPGNGYAPAPALMTPPITEPELSQSYTPSSAKTPSKTGTVSTKERKGPSSRSLLRARL FGRAKSDSGAVH EPUS_00825 MDKITRDGIDNHHKVPTPGLSLSIAPLLSPTTTFPGAQPPYSCS SSATPSNMGTFEYISPPSSRRTTVDDKASAPRQSLPSIQEALGGDGTKPFSATIVHPL SATSRVGQPTLSFGSGQTLPEAPSGPPNPFSQGSAADQTSENDPYISHPVQHQTPHAE QDRIKPRFPSINTTEALAASSHTYQSKSPKPRSAHPVPTNSYNQSPHIKNYNPSADTS PTHYPSYRSPYAYSGGSSNPPPSTYPSAPDYSRFNPAFKFDDRKQSIPRSHPSQPYSD SIKRHLDIFDFEMALNEVSEGSGRALEFSRIWKQRAHQRQRSVQLPESLPSLSELDDL MRQSSRVVEAFSRIKEAVIAQQHALAEEQARALKREHYDDESTGFSGEYKENGGFAAG DSKKRRGKAAPPGRCHSCNRAETPEWRRGPDGARTLCNACGLHYAKLTRKMAVNKNAA LSGSNLRPKNSEAHSTL EPUS_00826 MARTIIMGRGRVPCMTTVEQYTCGCMGNKTIEERQRDCPNCQKI TDAAQQDAFCLPPVTQIDIPIKCKKCERSTEEGKASPENSTVPQPEQDGSKTAEGKSA GGSEIPQQQQAPCTARLTRFICGCEKGPSVDRKPLCPRCHHISRAARQDGSCRPWLTV SQVQRICDVCMLHIEQRVSQPPQCLTTIKKRR EPUS_00827 MGLLALGTPLEWSEARKAANHVRDWGIEQLLTIWRNAKGKERDA LLWGDEIEYLVVAFEHDRRRVRLSLCQAEILKALAKDEALSKSGGCVFELQKSSKLGQ TLPTFHPEFGRFMLEATPGKPWSINPKDLLDVEPNMKWRRRIAKDHMAENEYPITLTT FPRLGTKDDYIKPYFPPSGPMLRSQFVPDEIANPHIRFPTLAANIRSRRGRKVELNVP VFKDEKTPSPFKDPTVDYDLHRWPEDDDVRNGAAKDGHVYMDAMAFGMGSCCLQITFQ AKNIIEGRKLYDQLSPLGPIMLALTAATPIYKGFLVDTDVRWNQISRAVDDRTPEELG EKPLKDDRWRIPKSRYASNSTYISQDPRLRPEYMDPDLIVDERLKQKLLDGGMDDLLA THFAHLFIRDPLVIFAEDLKELDPEGANHFENLQSTNWQHMRFKPPPPDADIGWRVEF RSMEIQITDFENAAFSIFIVLITRAILSYDLNFYIPIARTTENMETAHLRDAVTQAKF WFRKDPLPHHRSSHASRLNGNSTGLHSSSSTTSMNNASDTSTPNHTLSRAPSPHPPAP SKTNTDS EPUS_00828 MPAEAPTTSSIGDTRTGDWSSGKVSKIIRPAGPIMEDPVTLVRL SGLSRPVRVLSRRQPTSTATLQGASKACNSRAMAFQGEGELYSATYSNVPVYELLISG DHVMRRRSDDWINATHILKLAGYDKPARTRILEREVQKGVHEKIQGGYGKYQGTWVPL QEGRALAEKNQIMHRLAKIFDYVPGERSPPPAPKHATAASSKPKAPKASQPRKPASQG MSVPKVPKRQPAQHAAGYYRPAHEQYDNISAQLHDDETPDDVTPESASFLGDDDMLPV SQNSAASRKRKRGTDRMGYSAADLDHINYGDELLDYFVTSAEDPNGAQMYPPIAPASF PIDKAIDNQGNNSLHWACAMGDVQLARDLISRGANNAMQNELSGETPLIRAVLFTNNY DKQTFPKIVNLLSSAILERDWHGANVFHHIAETARSKNRWNCARYYCEVLVNKLLESG PNYMQAALTASDQNQDTPVNCAIRNGCIKVASFLLNHCPEAGDIPNLQGQTANDLLRN MSQNQKSLQQAPSSPVKAGDSFSRRRSKGSNKAMVSRAATNVLANIRPMIVQASSQLA DMYEAEVKEKDMSIDEVNQALLDIEAQKRTIHQQTTALKDRCEDDSKLNSLRGQYETL LRENESLLEQKEHSTLQSEVRSHDQQAPSQAFRSANPRPLTQEDIRAALPWAKELNRQ QIRRKDNVRNIARLMSDAGTSERIGKHRRLVAIATGLKEEELDAMSVELLESLEATQG NVVEGPRTPPRIGMVMG EPUS_00829 MSMDAGGLAQMTYQSNPFDSTRHLGAPGSGFASRGKASHIKRLS VALPPKISTIDETQQINVGSTPRTSRGHLLAGLRTAPKSATVPSINTQLGLDSSKYAD PNHAARVPQTATGAYFPGHTLGMSLNSGRQMYSLPEHVLAPPTIEIKGEGGQMDQNYY DELVATNTYLAQRQRVLQQQLMDVQAAAQQLGGMNLNSNSAGSQQYQSTSNMNLYNQQ LQQGMQPIIQAVPNTPGLYQVYNPMTGQTNLVVDNSAQVQTPFRDIPEAVQSPVPQTP SFQRRMSPPTEQASRGRSPPKTTPSPPQDVEPLPPPSANAFRRGHKKSTSSFSTIRTD GLAEAAGPKSAGIPQTPLTGTFTPGHGQAGVRPIRQPRNPINVNELMEAPTSKHPGSK NFVTRQRRRAVQDLVKAGRERRTDARQSSSGSGTPGSEVDFSFSVSSSDNDDIGTSSS GNLSKKTSLGSLRAAAVGAIGSERKEKSRERSSVDSVGTYSVKSLSSDEDVSVGGKMV EVEPARRKTPMLVLSSAEKRKSAISMMA EPUS_00830 MWSGRGASKVGRDVPSKDESPSKGAGPSEAAGSSRDAKDSPPKA AGPSENTKGAQSKDDSPSTGAGPTKGVKGSPSKAAGPSKDTKGVQPEDDSSSKGAGPS KAARTSEAAGPSTDARGSPSKAASPSKATTGAPSKDSPSQGSQTKSDELQLSPQVAWA EAIFDYIAPTYDDCRYHFTIAEDFIAWSAPQKGAKVLDLGCGTGIFTFLAADKVGPDG LVIGVDISRRMLQQAKEKKSASGGQRFKNIMFVRDDISNLHDAAWLQRIMTQRGAFDL ITGVTCLTALPAPHVAIKNWARLLKPGGRLILDNPSEEDSSPGYVWEADLYPALGLPP KHKGTWTTDIHCLERLFVSAGLEIEKSLRTRNYVETFYEEKEGDRIFEEEYRADVDLQ TRYDKKLLRQRWRKVWRRNLDKNGEFCARVQSFVTIGIKR EPUS_00831 MSFEPYQRSYGNDNATDSSSGKGEKLNEMHSEDVVIAVMGVTGT GKSTFQIGIRPMQYRDGPTIYLIDTPGFDDTRRTDA EPUS_00832 MWDALKDPHSRQVGINHGNELRTTPNYWANMVTGGSTMFRHQKI HESSMNMIDRVMNYDTSIVLDLQRQVVDQKLTLDTTSAG EPUS_00833 MQQIAQSYQDVKPEGDATYSQQLDQLKRALASHADNSKQPQQQQ AAQPAANDRQTKAVADEHRAAEHTLEGGAAVVLGGGATGSAVMLTHASTIISNAASNT YEECVAGQQLSEQEPLQSRGIAFSAFRSAIQPSWY EPUS_00834 MTLDLMVANPFEYIQLRDVRCIRLLELEPAREESAPLRITLKKA RLDRTCLPRYEAVSYVWGPPDFNRAITCNRKTLCITPSCERILLGLRRKIKRRLLWID SLCINQSDTNERSRQVSMMDKIYKSANRVLIWLEVSGPKISSFFKYLAYCARYCDSYE GGVLVREIRTVLQFLRNLEDKVWHSYSNAHEDYMPIAQQIFRSPWFQRVWTLQEVSLA QAKRVVMICDDEEISWRHILRASAGGYPLDGVIRSEMLSAIAAHCFFCRLIQWERSRV RQRIRLLLFGETLRDASLVPVQSSFFNFSSASKIDLRLFRKHFQNVNMVLNLTRRKHC YDPKDKIFGVWGILRELGVDLSRPNYQLELETVYIRAASDIICHDGNLDILRTVSSPR RAQTLPSWVPDWRTGWGLAPSFLDLLSPPFKQSRTRFGHSITRTRTLITKGTVLDRIK ECAWPLMIDGHDPEELIQRDLWAAIAKSDPVYKILQQWFSYSQQLSTYPTGDSTQNAF ERTIQQRIQSQYIPLGADERWFDMVAFDAAQMEWNSPKPQINTAEMAKDFAYGRLQPL STRKEPEINKASDREAHEFQRTVFDHLCGKRFFTTEAGYMGVAEFSIEPDDAIALLIG QDMPVVLRRRGDAFRFVAVAYVDGMAESHTWPERVDDNDIIEIV EPUS_00835 MPCCTRPSKTKGVTESIRRTATTAASTPGQHDNVYIQPQGSARY PTSTPADQTPDRPASSDSGSASGTRKLKRLTGLRPHRNFGHTANENPAAVHGDEKLGA GSLRKKTDENRVLPASILILS EPUS_00836 MATALTLENTPTASIWVTKLEGRSIPKSRPEPTTRGHYSHNALV PAPTFVKGPALHQKLRGQLHNPTPDEKRTQRIVVVHGAGGAGKSQLVSNYVQEYRSDY AAVFWLEAAMKVG EPUS_00837 MESGKSSVETRESAGRLLPHSCVHCRTPLRISSSRSRPIPRILF PQTSRQAREAYLDGCTIFKELIENYSRQRIWQLIKKHYLDRCDHCRSVRQRFEHVLRS LSRKPFTLTLEACPDARGPEPALLSFAYLDSGNGVQGRRLNAYTYTGDNVEWMLPSID LEVHSSQTILNVKQWLSNCTCVSSKNGDGPFRPTRIIDLGGEPSSDGFAVKKSEETSV PAYVCLSYCWGDRQSLYCSSRNIDSSGAWNGHISSLPAAFQDTFSIARELGFRYLWID SLCIVQDDNDDMKREILQMPLIYKNAELTICASSTESCREGFLQSRPDYSEYQIPVKF PDGRGGTVYLDSHKCWLPPATEPLGTRAWAYQERLLSPRLLEYGWRTVRWSCSCCTSY GGHQRLPSLNKDSTAQDGSLNYNLFPTLNPLSVPTFPRSREELFQSWKFIVEEYSTLK LTFADDRLAAIGGVAQELRRLTGIPYLAGLWNYETLPSLLQWRVIAPPSEMHTRPRID RTPSWSWAGLDNAVSIHCSEEAIPQFRILTASAVGGFGKTAHGSITIHSLARSGRWWC EAGDLRMGTVKSNMRSTKESMDGLVVWPDCADEIVTFVNGAVVALQIDLTFIAVGIAN WDRNRVRGLILQKGCSDVHTRVGFFHASLSSVRSWQAFTVEIV EPUS_00838 MDRLLKERWESLFEKAKCELTKAKCSNTLSTESIRELKDALNRS STVFGRLEAVQLLNKIQPSLLHVQTYVGAITSASQYRPIGCLVWSGIQAVLQCAASGP NSLEEVYDMVGELNYTLANIERDLTLYPDREELQWHLQDIYEDFLSFCIVSVRYFQNP PGWNLFSYLWNSSPQRKLKATKTRIEKHIQRFKDEVQAIHREERKINAIEKFRNLDFY GREEEMTSIYKRFSPRNAAEPGNEERLNLKPTFCVIHGLPGVGKTQVALEYTHRFEDD YDALFWLPSEQEPQLANHVAGILRRLKNGDPDFGRIVGNEHDQLQPTEAVRNWLQYTN RVWILVFDNVEDISDVERYIPQRSRTRGSVLITTQNPNFRKLDMDFHKLPVSSLDQDA SIELLFRYLDQKTTDDDERLAAAEMTDFFGGLPIAIATIAGAIKEAQSSPKDFLLTMK HSSDFWRDDQNPYTASYERSLDKVFEIALQELQQRNKNARKLVNILAFLNPDSIPESI LLAAPNQPEVEFLRHKDQLTEMVRTLSRRQLVKREVSEGEGYLTIHRSLQWSIILELS RNNDQRWGVYQQAFVLLRRGLPISSPLQEPEPEKWPDFQQFSPQVLSLRNHCLWPTPA VDFPVDFARMLGDLGTYMWHAGLMSDGAKALETAEEILDERGIPKFNALRSDILANLG ALSGFSGVSDRRESMKRRSNALDIRKRKFEKTPENQLTRLDHVRYINAESDLAFGYLQ EERLDEVKHIMERCLREYQTWGDEDEIPFEYAKYHYLMSFVFASQSLPAKALESGEHA LCLVSKAAGAEHGMTQLWKFGLANLYYHSQNLPQALTLNKEILDARKRTCGEFNGFTL ESYSTYGALLHHNGRSEEADQCFKICLQRRKRGQWDREGVARAQYRYHFVLDRLGKQE QAAEELKLARLARDQLLKENEGYLEFDRSRDELEIFDQLCSMWAGRFTGKIARMQGRS DSGSV EPUS_00839 MVEVIQRLRALVPLISEICQIAGTPGVSIGVLHEGAIVFTHNYG YRDVKARLPPNENTIYHLGSLSKSFTAASLGILVDEGKLSWGDSVKDLLPKFRHFDET VYDHANVIDFLSHRTGLAPKNSLWLHERAGLSLARRETLNVVSYLEIVAEFRSQWLYN NWGYALADLITERLSGQSWGDFLTRRILEPLALNRTRTRHDSDKKNVAQAYMALSDGS PFQLPRPRIGDGTIMEGAAGVQSTVSDLLKYSQVLMETAAKEDSEQTKNITGYSPFKQ LRVILKGHIDLSPVVAGREQSYALGWIRTMLPGPLGTCGLNPMYVESMPIVGKGIDDP ELVIHHQGSIIDFLSSIHLIPRTRTAVVVLTNSLARNDAADWLGQLIVEAILDNPYKN DYVELAKQSAETSIALWSQMARNLEEGRDPNTPRRPNWEYVGSYYNVVKDYYIEVFEK DQELFMCHQGDRSQSYQLKHFRYDSFSWLLTRDECAHRGLFPETHLEFYILRFGLDER DNIDHVVWKHDPSVPEGETFRQLCQQASIVEQKQGGNQNVLGPKG EPUS_00840 MPEKERQETSINVADSQKPAWMEQAQAAAAAEKRWQPWKKNVEG DKVNSGFEGEDKIKTRCDDDVQHSTAAA EPUS_00841 MDKNEEPSSVKASIKYIKWQELYEKEKPFQIFIDIRKDAVDQRY HNLVFENKQETFFNIRGREQEFRLDDHGFAYHHHHFNFDDYEDRVSVESSYLPQVESF IRNEVEEVDKVFFFDWRLRHSGSYPKGTEIDLNDPTDWLLPSIHAHIDQAPGAVLNRT LLQLPDEAEFLLRGRVRVITVWKPLRNPVEDWPLAVCDGSNVEYSDMVETDHVRRLYT GANMNVMHRAKYRWHYLHKQSESEVLLLKQFDSASNVKARFSPHVSFEHPNVPTGAPR RESIEVRALVFTYAHPNGSS EPUS_00842 MLSSLSSNLSLPLPASASTIVPAPSQGSRIPALLYGTAWKKAQT EDLVIEAFQSGFVGVDTAAQPRHYQEQLVGSSLRLVLHRKALRREDMFIQTKYTPIDG QDLDMAPYRASDSIADQVRASVTSSLRNLSTREDYGDCGEDNNETYIDCVLLHSPLDT VADTLAAWNVLEKFVPHRIRHLGISNINLNNLEIIYELVHIKPAVVQNRFYSRTHFDR DIRNFCVEKNMVYQAYWVLKGNPALLQSAPVLRIAQELAIQKEAALYCLVLGLDGVVV LNGTTKAERMRADVDAINKWRSWWTEGENEQIWENILADFKILTDEELP EPUS_00843 MLLQPSAETRSSRFIRSWELTPAAFVSLGSGKVKDLEKRSTLHR RTATTYGLKRPSSRQTLSEIVKKFGTFPFSLRQLDDERAGKVGVVECVRANVIREYKP AAEADGEPVARLFTTIAITKNGITRLAAPPAPDMNTLETKNK EPUS_08938 MSLWDEARDVFVQRLREEGEDRLLIEQFLKDKATLEETRQSAVN LRDDSDRKYGINESRGKGISAKWIRRIMENLDKFTKFGNVAMSAAPESVGLAWFAITQ ILSAVQNDYKLYGTFNAALNDITEMMVLVRTYDKIYHTVEVDSSIYHELSKGIREVYI SILDFSYAVKKHITGSKRSKLMHALKDTMGALNRQFEEKTAAIQAQKAKIIEYSEAAF QEKTTDKLGDVSGELAGMQQTMREVYEFHQKSSSEWKEILNELKISKAPSHRDLAVME YEKNLKLLTPWLVASTATMAAHAEEHEMGTCSWIDEVPAYTAWRDSDTSALLCVTGEA GSGKSVLGTFIYETLRDKAGEDGRFLIQYASLNARSSDDGSDTLKRFENTLLRDIYVH ALDDVDDDLPLQRCNRLFAHPKQVKDKSRSGRDKDTGRSARSRYTSGDHASDLLEIYP SLVEALQKRVVLVIDAVDGLSEADQAKIAEHLIELSNVPSICVRTLLLCRPSSPIRIK MVDENVSQVLMGDHNERDIKLVLEKGLQMIPGLFLTEKTEIEHAVMQKTGHRIQYVEQ VAMPFLRTPIQRPVATWLRDLPENVNETYHQHLHQLAPSYRHLLRAALAWTLVAQTPL RVEEIMEAYSRVYLDGDASEEQSMANTDPSLYREQIQKAGGPFLDIRDNRFVVLQDSQ AVRSFSIPVPDVATHGVDDRSLCAKCRTALQANETLNISEKQEHLAMAIICMKHLNSH SFQSRFFSLSLGEVTSRAQKKLQVDESNSNGKAEKENALDPSSGIDSSGGPPGLNGQP GDETSQVQDTKESQPEEEADDDDSTFSDQDKEDFDQPVNDWEWYDDNGNRHRYEVTGW YYHVQEAERLWPPSERKESAEWQVLLDEMERFFLLEPVAFEVWKLAYVWYSHEAWEPL LFAAEYGLTSLAELLLDRGANVMDLSPEGYSALHVASEAPNPLEILRLFLSRGGDPNF ESSKIPAFHEWLTFSADVECVQELLRSNASCSMLNRNHQWNALHYFAQYGSDRKVLEL LLDNPLNAENRADINIRDGIGETPLHKLLRRQEIPIDLLEDFLMRGADVNVEDKASER PLYEAASWGENAAIKAIISRVTDVDDDNNWGRTALHGAAGAGQKETVELLLDHGADAN RTDKHNRTPLLFACLTHMRDFLQGGSHQTTAELLVENQIRHGASFREINACTKRGRTP LREAAGRGFTQVVAAILQQMTPEDKEWINKRDDRKGRAPLHSAATHGRGEGIALLLQY GADPSLRDGENGTGMTSLELCLDRWSIVGSRRYETAIVHLVGASENEAKQNSLLLTTA AIHGSVQVLEKLAHVGVNLDLPDAYGWTPSQLASQFGHAEAALFIKKSLARRALRPTR WTLGSDSKKTTLQDNGQWVSHQGDLRLSILADHPVPAGLSVYYYEIEMLDPETGKSHD TPTAGDEAMAIIALGFCTSSAKLIEFPGWPPKPIAPNVQSWAYHGDDGGFYASDEKKW PRQYGKHYGPGDTVGCGLEVDSQRIFFTRNGVHIGKGGLPNP EPUS_08939 MGKPRLIILIRHAQSEGNKNRDIHQTVPDHRVRLTPEGHQQALE AGRKLRALLRPDDTLHFFTSPYRRTRETTEGILSALTSDDPSPSPFPRNTIKVYEEPR LREQDFGNFQPCSAEMERMWQARADYGHFFYRIPNGESAADAYDRISGFNDSLWRRFE ENDFASVCILVTHGLMTRVFLMKWYHFSVEYFEDLRNVNHCEFVVMKKNLANGKFILQ NQLRTWSELKRERAARDSINHANNAAPEPGIGDSPIPQRRRWGSCPDGCDHSQHNHGL GYSQPKRQNTADLFKDDNEILSSSFKDASRSSSRSNSIKLNQTRSPPCMPQSRDRYPP HTGGKNRDSAASGDLSLPDNEETILDQDSAIASPATQLPFHSSSRTSKNRFRSAQPGS MSLNETLIEEELSPNLQTEGVPTPKHFAVPPPSAGYPAKHPSTTAITPEILNRKLRSP RELALISMAGRDGGGSRSGAGSSDEADAEEEQSISQLPPSRHHLLQTMQTRLPIPQSD APTLPPPPPPPPPPSSQRP EPUS_08940 MDSVTRLLVLTVVLLLTKTTAVGIVQREPCPENYKPCSLPGVSK SSVPVVDNSLSGLYVDLVSSVKPQPVIRDLGANQVHHQARDMSVSICCAEGIECRQLN VWDLPFCWDRFTTNFFLPDGSYGNLFTGYYNSASGAIANLVSGDIALPNGRTANIYSD APQARPVTSLLAVPTPWTSKGLGTAIPNSELGAAPSYAATPAETTLALVNSLSFPSMT SIAEPTGTPITLNVTSYPGSYGFGPPPTPNTTSVIPSMGAATTNGVRGTHLIFTLTLL GYFVNIIGSSSFA EPUS_08941 MKFYIEYDIPYHSAVTPSLTDLNSDLPVLFPYPRIYPEQYDYMC DLKKTLEAGGHCVLEMPSGTGKTVSLLSLIVAYQQHYPEKRKLIYCSRTMSEIEKALA ELKALMKYRSEQLGIVEEFRGLGLTSRKNLCLHPSVKREKSGAVVDARCRSLTAGFVK EKKERGEDVESCIYHDNLDLLEPHNLVPPGVFTLDGLLRYGEQHKQCPYFSARRMMSF CNVIIYSYHYLLDPKIAERVSKELSKDCIVVFDEAHNIDNVCIESLSIDLTEDSLRKA TRGAHNLERKIEEMKSSDEEKLQNEYQKLVEGLRQADEARAEDAFMANPALPDDLLKE AVPGNIRRAEHFVAFLKRFIEYLKTRMKVLYVIQETPPSFLAHLKDLTFIERKPLRFC AERLTSLVRTLELTNIEDYQPLQEVATFATLAATYDTGFLLILEPYESEQATVPNPIL HFTCLDASIAIKPVFERFSSVIITSGTISPLEMYPKMLNFSTVLQESYPMSLERRSFL PMIVTRGSDQQTISSGFQIRSDLGVVRNYGNLLFEFAKITPDGIVVFFPSYLYMEQII SMWQGAGILDQIWNYKLLLVETPDAQETSLALETYRTACDSGKGAILLCVARGKVSEG IDFDHHFGRTVICIGAPFQYTESRILKARLEFLRETYRIKETDFLSFDAMRHAAQCLG RVLRGKDDYGIMVLADRRFQRKRNQLPRWIADAMTDGETGLSTDMAVGMAKKFLRSIA QPLPKTSRELSGGKGKDSWSLQDLENVQAERRSRRGEGGHTGRDEDEDRHHDMQGINN QNGTGNGHVEEIDRALEPTSNYMRNGLDEFDEGIDDDELMRMEA EPUS_08942 MNKKFKSQASSARAASSTFGGSSLGFGNPASAFQTNASPLSYVT EVPDLSAISDPSIVVAFKNLTKKDSVTKVKALEDLQEVTSSNAETGPEPAVLEAWINI YPRTSIDNARRVRQLAHSLQGSLTVSSGKRIASQLHKVIGAWLSGTFDSDKSVSRAAL ESFEVAFSSEEKRRAVWRLYQGSLLEYVEDAILRHSPQTLSDERNTTPDDAEAKHVRV VSTALHVLDRLLQIDWGDKALSSNETLQSITNANKTCELASHNDASIRRAVYKLYADA IGQRIELDWKQVSSCFLAKALHVSHTNSSAQYIDALLAITRARPSVWTTEYASKTAVA RRLYQYIKQGSQRGPELWWLHLRQLIKIIPAQAWSAVAADSKEGLSYEAANQLLNALH EAIVNTDEPRQNSAAAWATYADIFFWILGTPDVIEEQEKLVQSFLFPVIERYLLAASD LSQWTTPAAISLSLCSSFMLKLEQTFPSTQIAAFYQSMVGCLIETMKTSQPESSKTFK ASQDDVIQKTRRFVDLQIAMQTNRTSEEESEGLHHEPRTLALGSTFIQGNIEILREAV KLLRDRNGKPYGAAGAVYLILDKIPGITDEIKISSTPDLLSDLLDQEAPRLLDSPSAE LLISILLKCRHMTGFKKSFDAILEQFLQNEALRGSRAYRILLRGITNDDLIHHRDLEQ ALLQDLNTALAGDDSRWSAVYEIMTNPNLNQSLIAPKPSSTPPIQSRVLEDMLSGLSL DEKEGNALNGFDILLNRNASLRPLLAAHLNLGSLLTRLLLISDSSNEENADKAARLAS LVKNMFAKQGEIGRGASAIEIFSRQLDGAGEALSISSLVEIAGEALQDAEKSHSPTVA SALFPTVASWQKALTPFLQLQPPLAISLIAPLQGCAFLVDRERRRSSGGLPRDLEGFS VALRLIILVTRLLEQVSPEQLTDDQVEALYLYYPQALQLADDKLSIESANALWIDSTE EVVQEMTDIVAKGQKLIRSWLLDEKSDDTSGTKPTLISCWLSQLSNIHGMSAQSFNLA RTFTSVMIEASDLKGASRYIASWEASLRAIRASADTMKSAALLTVCRETLATVALGRR LCNEFVADATEIDFDDANNAIRRLVLLNLLTKGEDDLIGNIPSQRLIFLAKHLISVGS FNQAPTGLQSEILLALTAILPPIRDLYGDFWHGVVTLMAQYLDSIKDASEVVPLHAAL RLHACLLSLTGGDSNEDLEEELSKVKPSVDASLLEILTHFDETPSGACQPLEITTALL ARQVQNLQFDGQAQIADLYPLLSSEDRSVQGAAYGILHRSLPSIQEQVSIEAALSKTA THLPDELLSLLLDAPSMDLFNNSLYSTDSVWTNIRRYLLSWKVVFDHFSKASHIVQET YVVDIKDYGHLEYLLKFTCDMLRIASGKPMDASKYNITDFTLDAETSLERECQWLTIH LYYLSLLHLPSLTKTWWIEQKNRIKTPLESYSQKHISPLIISASLTSLSEWHSTTTQD NPEEERPLTLKINPRASEAIASIPIDPDSPPIALCITLPPSYPFAHALVTSRNRVAVS EQKWQAWLRTIQGVIMFSNGSVIDGLLAFKKNVQGALKGQSECAICYSVIGSDMKTPD KKCATCKNCFHSACLYRWFRSSNSSSCPLCRNAFHYA EPUS_08943 MSKKLLQLFRFPYQTAPGEAEAECALLQREGIVDAVMSQDVDAV MWGSTMTLRDWSQEGTRGNKTATHVNVLRAETTKANAGLDPDGMILVALLSGGDYAPE GISGFGPGLACEIAKAHFGSELLEVMAKGDREGLLEWKERLQYELETNESGYFKTKHK SVKVPEDFPDETLLRYYTHPEVSSPVDLQRIRRDLERRWDGDIDTGDRGGACKFIRSM APALLAQRLLRVQKNTTISSSDAISERRMHFVSDGMPELRIAVVPADIVGLNIEDEED NPEYVQAMEEAAAAEEEINGVVDQVEPEDEPPAITPNVSRPRKKASWDPRNPEKMWLP ETIVKLGLPDLVEEWEQKQRDMLSDPKKSIANKVRKINSSTIASQNTRSIQAYFTTSK STVTGPSAMPASPKKAQKDPIDQHENRHIRASSTPTQRKTRRKVTDPTPAPASSINPF SLASQGKPPSPLKKSSLLPSTNTPPPQVSANPSSKSPTRRARKPLQKSKTLPTSFEQP ILISSSPLPSPHPRSLTPPPLPPRAAQCNIPSAAIEQHRSPDADPNPHSDSDSDSLPS PSQLLNSLTNKKTTTTHKSAAAAASSSLSSIPQPIKPTPTDIFRQHATTAIPADLGFK RGNQKKKKKTVLSRDSLPGTWMEVLESDEGSAAAGLGGGSGRAGGRGRGGMPRVSLVD LTDL EPUS_08944 MASSIASSVRPSLRQAAQPPSPHTPSRFASSNLSSPGSGFRQED DAVIIELGSRYLRAGFEGEHSPQCILTFGPEDSRRAGDYRGWAPGHRRKHFDIEEWGQ QHELWRMDMRDVDLGLVEDKIERAIRDVYNEYLLTDAGSARLMLVVPSILPHPLLSTV LTTLFNRWKYASITLLPSPTMAAMGAGVRSAVVVDIGWSETIVTAVYEYRELRINRST RSMRSLLRELARTLIAHRQGQGDDAPRIEFELAEELMARMVWCKHSGATTDGGRNTLN RAEAQVDSTPSDTTDEREMEIEIDWPTGVSSQIVTLPLALFSQPVEKVFLASDTASNY LDDNEHSLPMLVYETLQPLPPDARALCMSRIIFVGGGSKIPGLAPRTMFEVDLLVKKY GWSTIRGKREDDRRDKLREIGQGRAGKPDARFQEPLPPGEDYIEEKLRKLQAKEALPV VQGQLRRVESLGAWAGASLLASMKVKGFVDIERERFLQHGLAGARNNVDISVVPQRTS SGPGFTKAGDERKSWTLAGWA EPUS_08945 MSSALRTSSLRSLEERRLSRNRKSGSGLKWEPEDEKKFIGEMLP DDRRKLDALMNYHLGELDRLKKFVVRLNDDKEQHEVRLASAKHRADDVGMMASVVYAA ELVRDVTKMRDSYLRYVVFHKEELEMIGKKVVEMKKRSGEKATTPEVVTNMLSRWYEW EILFSA EPUS_08946 MQSTASATLMRNYLAQPLGITLSSSLKAVTCPILKTHLARRQHG GSWSASHNPPLRFLYYSNKKKYSTKSGNRLRRSAPTDREQQSLLKTSPRSEYPLEGSV TEIEVPGRGTFPFSNLWLRDNCPCSICIHPQTRQRQHDSFEIPLSIGVETCSEDHEGL RIRWNNDGHESHYSWEWLRSWGGSFRPDNGPLEERSREWAHVDPSRPDHFPTVSFEEV MSSDKGVATWTKKIYQYGFSFVTDCPLTPSATRSLLERIAFVQHTHYGGFWDFSSSAT PTDTAYTNQALAVHTDTTYLTVPCGLQMFHLLSHTDGSGGESLFVDGRAAATYLQDKH PSLRNYLHRQKILFHASGNAEVGELGNNTISPEGTEVLLGGRNTISYPPDEGTSGHKS GKTALGEIRTRIRWNNDDRDTQLWRSLESIERWYRAAREWTRILKMKEFEIRVQLKPG QPIIFDNWRYLHGRTAFSGNRRICGGYINMDDFLARYRMTNFGPRNGLRAAYFYEQKA KPDEPAPWHRA EPUS_08947 MSPRSTSSSSGESIKLPRSRTDKRGYAVGSSASQVSGSGMGMDP SKVATESSESVVSSSSKRTAIHSKKEKIPAGPMPAGSSDGPAVQHGSGRHGLEGQTWS LKEPTSSQAQAGDRIKRSGATSGSREQARGGGETILPVQRQSRLEGWQDAKITESGDQ VQAQGGLKPQEGVGARALNSEPQERCCAGASISHEQGKGRPLPDMADETTSDSLPGIS NVHQYSVGTSNLTNFQSLGLNSTPTRQHMLSGLSDFSTSSWHMPRSVKDFIAALVSLK VEGPLKLTNGLVDKLKLGEGGQFAVYSNELLDESDCSTTTTLEKVAVKKCIKFQDISA NERLDLLSPQYRTQVHDMLLEVAALRDTRLHNHRNIVQLIGYGIEMDTWHETPFLVME LAIGDLRHFLSTERTWEVLQQLCLDVGCGLDRVHQCGLVHGDLKPLNVLIFKTSIHVP YVAKLADFGFSVGELDAHHDGLITLQGCSHGWTAPEVEQYIEHGTPVTVAGLMAADRY SYGMLLLSTMCYGGRFVPHDQSKSIKGIETITSAIPTRLRVTVVKAASSLLRFDHALR PQHVGDLLKDSSDTCKSWLQACREFELNKRPTSDAVFQYEWELPSLAPFLVQGLEKSF HSSKGDLTGPQLLAMFLLRSFHDASRADKSVQINMLMEACLKDFPPAQAIAERVLRSY NLPIPSFMATVDTALWMFKAASTGSMFAYHELKQINSSLASQARDQFRSISGYNTLYS PLFGTGPEGSRTLTFGNQAIHQFAAYGNLDALTALLDAEPNMIHSRNDFDETPLYKAC MAGQSHVVLELCIRGADASLTATKLQISCLHWMHSFPTSTINDVLSVLVKAGGKLNHT LATTDSLVNYHFPFMWPPGTALHWAVTASHQPLISALLRAGADPSIRNGHDPYKSDEN VRQMHSHGNDEVGEFSQTPDDCLGMNAVDLAVAAHDWRSLETISPLISGRRSRFFLED EEGYTPFHRLSYLRVGRTISGMRFWYPAFKGDAPTRCNDLTRTIAWLQAKGGNIDQLT DAPDHPALSGVDGLSPLMISVTKLDDEAVEALCKAGANVNLQNRSGRTALTLLRDVPV YQAILEVTLLAIVSCLVRYNADVNYCSPDGLTPLQCIAELGEIRSFRLLLEAGANIRG KFKNVNTLAYLIFRNGTYRLIMDRVDQQEIEARDVALSKILRDLSIQQGVWFTNLAVD DTESTLLHCCAAAGLPSCTAALVAAGANLNIPRLKKLSTSGLNQSSLFRHLAPGTPLD VVNHEISKPQWSKNNRLKAEDSIFIQTRMKQVQDILKVERYLKLTRETIMTNTSLQL EPUS_08948 MSVEGALALTGVVLAVPGIIDLCIKYGSFLQEKYTLYQRMDADM KLFNLISNLVNGEMNDVLMFFKSVDDKLSPDLQGQIKNLLIQLLAELDALKGLITKDP GIRQRLGYSARKAQQIQKKCFSLEEWHVRFLRRATVLMLFGNFSPATDSKMVEAATSR AMARIQRIRGAIMGPDPDKVILGQLPNGARLHQTSTSSAMLLVNNDGSHSIAEIRGYG SASDVDKKNAAFEVIKALALRLAWANPKTMGMLRSDGFYDDTHYHRFILQFPYPDGMK NPRTLQNLLTDTEHPKDLVHSLTDRVNLAKKVTSGLLFVHAAGFLHKKVNPTSIVVFE RKDEKYPDHLGEPFLVGYDSIRKVDHASARLKVEDWKENIYLHPVRHRLQPGDEFTAL HDIYSLGVVLLEIACWSSFADRKGIGKHLWISETAKRDGEDVVVSRPLTPDKLKEQYL RLAKKRIPPVLGTRYCEVVVSCLEGLPDEDGTLPPSIATSDSSYIQQVIGKLEEIYV EPUS_08949 MPEVHKTKTLAATAGAAFPFCFVDPTGTAQLVFLTTSTGVGLGL TARLGRNIWGKAQKLRSSSKRAPTEGNVDFASDSDHGLVAFDQREFEECIRKLAASMT GIVVSGSLSTVLPHYVVGFLLSGGEAAYYLRKLWKMRDMCGGTKQLMENVSKFDVALQ VSAGVCIRFLTTILFLGAAEFNTFVDSTAAVCDGLMNSGNGATEGADALKATHDSLLG QDLFGASTALAGAPSEGLSQVFGYGTDYTPTWTDGAPPAHWAAFGGASSVAETALARL VEEPVHKAINVASSIGEKFAFSKQGP EPUS_03881 MPFYSAAFLCVAVLVPFAHVRADSTVFSQFIGATTASGPSSGDL APSFTLCTNYLHGLPALTSLPSSLSTQLEQQAVDGVCQFCTSLDQSRIDSCCRQATSS ACFDQFAGANTVRTTPASASATPTATSAGGPTLMPPNSSNSGVIARKKDTLAGSFVAV MVGFAGWIL EPUS_03882 MAMSSQKRPGDELIRGVDAKKPRTEEGVQSPPDSASADHFIVEI KLRSLTKAGRNDPKSHKDRMETRWKELGLTSLDVKEKEDKVEELIVDVHTQSEGAEDR VEISSTTLSEPQAPENMNTGQIECGPADGESMDYDELLIEDEYPEDRIEDDSSFEEFT EKSSDDEFAEFEWLEPLDGTVTYTGGTADVSHHKQIGHCVGKLIRRSKIRSIFYHEME EPSRETCLLAFDLFDRYGCLKPEYKNHSIRKGSGIWKEELDDGDILLIEKIKIDEEYR RRGLGNRVVTAMLQKTREKTRGFFAITWPTVLWTDKLGDEVDEKTETERLIILKSYDN IAQAFSRSLGFRRVGSSYWFALASDPGHPCHSLSVNDNYDPETPATDPSWFMSDKEGN TLLHIAALNSQPRTVDWIMKNEFGEQMLSTRNDAGDTPLEATLMDLEGKRTRREVMNL TVPMSDKFEGYSKSSVLCIARLKGLTKASQLDLARLTFGCTCGQCIEGFLSPRMTYAL LCQAEIQHDLLNGEVFRELGPDWVDWHRDMFEYVPEKVQDNFKTNKSMRQGFTNMFDY IAACLRAKILPTETNVLATLRNTGEWPPATDNFLQRGGSVASVATMCFQLAMDQDEFA GDSQHQDVFSGQIEALPECRNDHEFGFVSGMCGYKRISQIRYVSVFGEPLSP EPUS_03883 MHATAAASLYTVSTPTFSHLRSPGSLNSQVLPSAFLNQYGKNKV RILRRRHSCLLAVGAWKWGMKLNWNGRAPQAAQIPDAEWEKHKDVIVLLRPLMTLEAL MVTMARDYSFTASRPQYKSRFQKWELPNVKAAPSLHGIGTTPAATTRDPSFNLPLREG TSNLQVEAVAESLLSPTAESTPSPAVEHETPLRAHIPSVANSEAEMENVDNKRRPVPL FQPGGSTSVQPVTPNPSRPLQSNLYPGSIEVIAPRDLIDAMERYDVEPMLSAQDLQNI AEAGHFLFAAGSYQNAYDLYTKYFHVLLARNHETMHPLVSAVVNVARSAQSLTQLEVA KQAIEKLMQEANEKLKLFPTAEFTLRAQLADILRKLGQPIEAAKQCAFVLTGSYGWGC DSGYVPNDRRGPAFLLYQCCIKLSERSEESTDHSCLCSFQPMLPGGYLCWRTKSPNDE NLRLIFTWCAARLLDTELHQPLPALEARHVDDDEAFFRELATVVFCHLWMAFLKASKA EEEDENIAKLVFQIRELMGISTPEIFATASVALMSLAPRWVVNGVSSRSIEVPWQCYH TAQNLRCVQERALWESSHPEFIEIFLTAYATVNTSKVPSQILEADHVSAFVQEHSNIS LSFSLSQASAATSSIPTRSLNGPCYSLFPKASIDSTTKSSTIPTRPINGPSYSPFPTG SFDPTISITPRSSQSSGFASMLSLQRRIARGQGHKSNADDLSIRSQRRDSASTSSLRR QLGLSITSYRTLSTDDSESLHSSAMDWEPTIGTIEEGIAI EPUS_03884 MNPGVSYILLGMTVRMAFSLDLQIESHHFPPVEQYLRRHVWCAV AWQDSHFNISYDRPSSTTLLGSEISTLKTSRPGHRGYAGSMMWILKPTQELIKNRIFQ LEAIMTTPWIVSCMEKVARIVADGEIHLPDRKHCFNQTQHLQRLGLKLHSSYITSALC RSALTSTRPCADRRSLHDRSTATRERASTQQGEITHADMSQEYLTSLKRVIKTYVELH SLCFLGARSWVEKTFFDTAELQSPPLDQKSSDLGARNRESKDGDRDMADTPYSARSIT KLLKALGKLNAALATPANGLTPPYPGAYNQSGPLPSVDYSGRISGSRSDPSNGGGSYD PLGKGEIVVPGMMLPITPESATASSGDLNFGDIMARAGEFVQPALGE EPUS_03885 MTTTQPSCKVVLAGSIAKGLLAEVSDGLKSIEQPPHLLGILANA DPSARLYAEWTEKTCKENGFQYTLREVGKDQVEETIMNANADQAIDGIIVYYPIFNSR QDQYLQQIVDVSKDVEGLSHRYIFNMYQNIRFLDEAQTQKSILPCTPLAVIKILEYLC IYNTILPYGNRLFGHTICVVNRSEVVGRPLAALLANDGACVYSVDVTGIQKFTRGEGI RKRKHEVEDMDGWGLEDCLPFCDVVITGVPGEKFKFPCHLLREGAVCVNFSSEKNFGP EVKEKASIYVPATGKVTIVVLLRNLLRIVQNRRAGNSKPAEAVEKPEKVEAANPRNMA EPUS_03886 MDEKPADGAQKVSLYSINDLKNATDDALAPYLTKLPFPYTFKQS HYYTDVRLAVGYAAVSIAAATFYADWKLGWDATKNYTTAACVLYFILNSFLTLWVWKS EAGKVFVGIREGKQKLTLKSSVKKHVPIYRLKVRYEAPSGKNWVDKEIEGRFSQWFDE AGYLQRAELKKWLANNIEVVGMADPQSKKAIEESIPDEAVQTPIEHHQSPVLETPSSG KGARKARRKA EPUS_03887 MSTPPKPPSTPSESRERLALLRGMHLRNHASSTLSPSSFESRRT HLVTAYKSGTFLKDGPESRGKAAPNPMTDPAAMEGMMGMMKGNMAMMIPQTLIMGWIN AFFAGYVILKLPFPLTIRFKSMLQSGVMTRDLDVRWVSSLSWYFLCLFGLQPVFAFIL GNDNAANQMSQQMAQMNPAANANPFGPGQDPDKMFLAEAENLEVMEHYSILDGVEESL TCRARKLKCDEKRPRCHNCQKGKRDCQPSDGIVFRHQQNASMNGTDGGSKGDGNLPGF YSYKNTFSPDSVWLEIPKKVTFVDVTDPYAEDPLEPPFTSSDTSVSAPSRTEWGPGDN YGSRPSEIAAHGLEALSVAASRDRSAFPQPQISHHDLASTAISYMAQSPSAPVSARKH MHTSHSPTTSLISNNNINFLLNPQGSRSPPIDPSLRNAPDHQENSPSIGFRSEARVEQ PAESDQEVAYLLRHFAEAPG EPUS_03888 MGHGFPFHRASPWNRIKEVFGYLSGKLRSLSDYSCFIKQIQCVM GFYSHAQSFEDTYVPILAVRQSSPLQSAGFRSQLVSEDMAKHREKKRAQRQHEEVYPM MTFYPPLLTRPRSLTPAEEPVVSTPVTRVVRSRVINPGNRSLENTEAGSSNPTNLETR YQQPILDGGVKPLQKTTTAHAQKSEATADFQAPILPAQPPFIT EPUS_03889 MSDLVEADNTTSSDLKRMSTGGSSDEFNLTGSLTPSNSSPPTPY PLSRNVSFTNGSPLPEDWEAYPPLDKLTVFDFLGNLAVPQRLEQWQNTIAVQKEKVKR HQERIRSTGMSAKDRAVREWRKRIPTADEQLEKYRARMKTSVDRLGRKWRDTAAVTLR EKMSFIAGVLNVFISGYLIGAYPAAFYYWFTAQLCYFMPLRFYTYHKKGYHYFLADLC YFVNLLTVLSIWVFPKSKRMFISTYCLAYGNNAIAIAMWRNSMVFHSLDKVTSLFIHI MPPVTLHCLVHLTPQDILATRFPALHDIKFSHPDSPNHYTLWSMLLWATVPYVIWQLS YHIFITVRRREKIAAGRPTSFTWMRRSYAKTWIGRFVLSLPEWLQEPAFMLIQYSYAI LTIIPCPIWFWYRYASATFLLVVFGWSIYNGATYYIDVFGKRFQNELEALKAEVAKWQ NSPPEGLVGSPPLGGELAENPGKDGILDGMKKVESVDQIPLLDTRQPTGVGSTSVEGM EKEGITERKQS EPUS_03890 MGKLIRLELYNFKSYKGHHVLLFGDAYFTSIIGPNGSGKSNAMD AISFVLGIKSSHLRSTHLRELVYRGRVLRHSTVNGDGVPAEEGSNGHTNRNTGNGDDG SGEAVSERNDPKSAWVMAVYEDDAGDEQQWKRTITSQGASEYRINNRVVTAVQFNDAL EAENILIKARNFLVFQGDVEAIASQSPKDLTRLIEQISGSLEYKSDYERLKAELEDAG DQQTFQLNRRRGMNSEIKQYQDQKREAENYTKKANERDQAIVTHVLWKLYHFQRQIEE SSAEIQKHQNELQEFRRGIAKYERSFDAAKSEHIKITKNVIKVERTIKSKEKEIEEKA NSLVPVDEKIEISTKKLSKYTSRITDITKERDSQTATVKSLEKDLKTVVKAQAQWEKE WKAASSKTGGHLSDADLQQYSKLKEEVNKRSSTDQIRVENLKRQRAAEEGTVTNLKST VDNVEWQLRSLETETNKIEDRKTATQEVVHQLEQDIEDKKKALNAMTSERLRITQMRT ELEEKLQDVLKKLIDADDGRKQNEKEVRMKETISTLKRIFPGVKGRVSELCKPKQKKY SEAVSTVLGRHFDAVVVDVEKTAKDCIQHLRETRSGQATFIPLDTIQVKALNSNLKGM HRNMRPAIETVDYDQSVSRAISYACGNSIVCDDLNTAKYLCYERGVDAKAVTLDGTVI HKGGLMTGGRGPGHQQSRRWEDAEVENLTKLKDKLMKDLAALPSPHRRGNDEELLTAE LSALESRHRFATEEFQGLDRNLQSKKKEVDNAKRQLKEAQPKYREKQRALNDLDENIS EYQEAVSRVEDEVFADFCRQLGFEDIREYEAQQGSLQQEAAQKKLEFTTQKSRIENQL SFERQRLQATEARIQTLQSQEERDRAMIAELNQERQQTQDALDVLNAELDQLGDQLDE QKDLQTEAAEKLADQRQQVQKRSKTIEATIKVISVHEADIQRHSSDRYTLLRKCKLEN VNIPIVPGSVTLSDLPIDDLISGPDPNAMDIDEHEEDPSSAALQAPRIQSHGIEPDFS TLEDDLREQSSPAIDSELQDRIRTLDSELDKMAPNMRAVERLETVEAKLRSTEKDFDA ARQRYRSTKTAFEDVMHQRSDLFNKAFSHISEQIGPIYKDLTKSTNYPLGGQAYLDIG DTDEPYLDGIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAVHSYQPSPFFVLDEVD AALDNANVGKIANYIREHAQPGMQFIVISLKTGLFQQSEALVGIYRDQGGNSSRCLTL DRLCDTQLICLFVSLSVVVQAAGLLTRDLPTATEIQLSYIPGHQSTSGLICRFMAHRP ARGRTRRKRAGKENQFLERRLRRALQGAFPAQQRQRRDIRRSTISVRPCDRVSKQHPF RGETQDEDVLWHRLSERRAEFAAPSASLLSGTEDRQMAYPPPFSSEPFYLDNMMRIRK MEQLFSWASP EPUS_03891 MTSTARLVSHGATRRSILSARIHHTSSFASLSRSHHPVISRDLP PHIRALAILVPRRTYATETTTHGNDGGAFPPPGFNVHEAKKPPPPSKKTIQSSSKGLD EPPNEQHTVNKTEATAVDKTKALEAMTLSELAETKASAKPDEKAAVKKEEEKRLTIGQ KIKKELQHYWDGTKLLATEVKISSKLALKMAAGYELSRRENRQLKRTTQDLGRLVPFS VFVIVPFAEFLLPVALKVFPNMLPSTYEGQKSREAKAAHLRSTRKQVSSFLRNTLRET GLPVSASVARKDEFTEFFRKVRATGEAPTQQDVIKVCQTFKDDLTLDNLSRPQLVGMC KYMNLNTFGTDAMLRYQIRHRMRQIKRDDKAISYEGVDSLSVPELQMACASRGLRTHG MSPSKLREDLQMWLDLRLKYNIPSTLLVLSNAYMYTSGKDSEISSQIDALQAVLSSIP EELFHEIELEVHNAEGAATNKQRLEVLKEQQELIEEENEQQETNMESGKEAPKDVDDI DDKPAMKAEDAATNEKAPEAASEKEAREAEGDVEEADRTEKVTEVKEEEVVVEEKSRQ KA EPUS_03892 MASTVDAKLLRQTKFPPEFNQKVDMKKVNIEVMKKWIASRISQV LGNEDDVVTELCFNLLEDSRFPDIKALQISLTGFLDKDTPKFCKELWNLCLSAQGNPQ GVPKELLEAKKLELLQEKNDAERAAEEARQRKEQESARDHEVDIVRQQERAERGRGRG GGGRGGRPFDPRRTRDSASPLPHRRRSLERGPPPRRKRGPSQDHRHVHLLDHLLYLLL TVIVTVTVTASVPGLDLNEDRSGPDGHLAFLYRHPEGAWGGIDGGQFLVVIDHALTHI LYLLAHVRPEDTGRSPRRHHQASHHLHHLDVVLESEEVPPFHRQGPPLEIVIGGEDDR RGSRADVVKGPTTRDERRLSRSGDRHGHPRQRTRSRSRSGSRSRGYQRERRRRRSLER WAPPARKRRNTSSVTSPDDKRQKIADASPDEVRSEQPQTRNHADEDMVAKEDDKEDLL PTSSSIHTTVPVPPRSMSKLTANELREKLLREKIKASRKTSMNGKAG EPUS_03893 MSPLPSGAAKSEGHNQIPGRPHTADSQAKPKPKIKPNSLATPKP HTVTLDSPHIRRGRPGVELIARRHSVPSLALSVELLIRHFESLVQDGEDPRLGFRQRA VTAPAPNLRGRYHSALNSRRRSGTRHPPATAIVEKVAEESLSHANPETNTSGSASKAS SLKMWPFANGEGNHRIEPLVPAEDGSASNQQDARRNSTPKVDQQEPSRSLRPSRSLSS LKVAAQPRGLHIPPGAAVSQSSDVCAQGEVTSTPLKADKCEQPPSEQTAQEHEHVPAS ANDMNPSPSGKDNVRNETGHDPDLASTDGALEAASITSPASADSKQSSSPTDVRDYGS QSAHIIKSTESEEPELPVAVEKLQPSTPKPLPEGDNETLRDDLSSHETVQQTWPVTST NAIESHAADDPPQNSSISAPIPTIRVQQTTESDETAPRSELLASENTENRPSEAPEKV LEAPGKVLEAPEKVIEASTDSSHVPAPASVDIHMQHDAASPRPSTPTTVPEIPGDETR SAADPSVDKVTDKLDSDHPGLAKLAIRKARNLAGSRFVLSILLGRELADQTKPQLEEL ARPPIKRVGRTAPAPGPSQVDGLAELDGDLESDAVDPL EPUS_03894 MAWLRRFSPLLLLLLSLTLSPAFARPNHPDSGSGDRQYDYMLGG EKGKDRDGGRDQPAYAASETYSASPDHSVDGYGAGGQYDQSSDGGDGYGGSGYDGSAS TSVDGYGGDGYGETGYGDSGNGGDATTYTSTAQTTITETSKEQVTETETQRDQVEVTY TETAKAQVTRTETDKAQTTQTETKKAQVTLTETKKNQVNVTETSKARLTLTETRNAQI TLTETKTAQVTRNETETNRVTVTETRKAQITETETRQVQVTETETATAELTMTETRNE QVTETQTETQTTTEVSTITDDGCGAPNEGDAGAGEGAYGGCRTSSAWSAPSSDRGGAG AYGQDGSSALGPATSSGAGGAGEYGQGEGGAGAGAKISSSTGMGVPAASSVGVDGKGA GGAGAGGMSSMGAMDTGSARPLDASSAALGGAGASTTVPAGAGQSSAAPGGGNGGAEL GNNAGNGGNNNAGGAGGDGDNGGDENNAGGAGGDNGNNNNAGESGGDNGGNNNAGEAG GIGGNNNAEEAGGNNDGNNNAGGAGGNGAGNGAGNGAGAVANSTIGAGGNNNLAGNFS SGAGGNNFANNSTASNSTQGGSGGPKPCNCRCMCPNPFGAARTGGAGSINNNTALATA LSPSSTFATQILPSSIALGTGVGSLLLGGTAAPVIPEVTASALVAAAGDAADDVVGAA ATALTGVANAIDMADIKLETAATLKIEGRDARPTDV EPUS_03895 MFYDLNLPYSNTANPSELSRNLSFSAELGYNCIALSRTLSGKVP TDVAADVISLPIANVKVPAPVTLLTRITFTISDPSQNHRLSSLQSAYNIVALRPTNEK ALSLCCQSLECDLISLDLSIRLPFILKFKTMAAALQRGIRFEICYAGGVIGAGTEARR NLISGATALIRATRGRGIIISSEAKSALGLRGPWDVVNLAAVWGLSQERGKEAVCEEA RKVVQLARLKRESFRGVVDVIFGGDSPKQDQAQKEKSAVTNGLKRKAVDGELDVSAGM KDGEKPLSKTQMKKRARRAKQEASTTKSSEPINAKIPHET EPUS_03896 MARVYADVNQQMPRAYWDYDSVNISWGILENYEVVRKIGRGKYS EVFEGINVVNYQKCVIKVLKPVKKKKIKREIKILQNLSGGPNIVALLDVVRDSQSKTP SLVFENVNNTDFRTLYPRFVDYDVRFYIFELLKALDFCHSKGIMHRDVKPHNVMIDHE KRKLRLIDWGLAEFYHQGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGNSNSDQLVKIAKVLGTDDLFEYLDRYDIELDAQYDDILGRFPRKSWHS FVNSENQRFVSNDAIDFLDKLLRYDHQERLTAQEAMAHPYFGPVRMASAQPAQNHAS EPUS_03897 MDPASCRVIYIDDRFPAEKWLTRDVVSSPTTNNRAKEALALELA ELPPELQANVNAVLGVFNQVFVCNSGRSFSAKLAKLQDSVKVDCTPILALFDVDSDLK HDHLLRLVGQKSHNLSPDSPTSARAALQRQLTFSSEADESYGLQLLSRITSDLQVDEG VKLVIPVALVRTRRKDANATAATPTRHSYSGKDPRVLLHIEDKPSPVDTPMMLHCLDA GALDVVPSPLNNEKIMGLTVHAYRIYKTAKKEQSAFLASASQFMAAARRRKQSWVGIE EEKPYAYLREAMVKKLLKGICEPETIIEDYQHRDLRVDHDRKQDIITEIGKWSFCAHD FSEDELVYAGYYMLDHALKMPELEHWRMTEDEILRFMQASRVAYNSFVLYHNFRHAVD VLQSLFHFLVQIGTITPYPVGSTPPPLSQDKSPVCQLLAPFEALTLLISAIGHDVGHP GVNNMFLVKLNAPLAQLYNDQSVLEAFHCAAYSQILRRHWPTAFADRSLRKLLISSIL ATDMGVHPDYMQRLGNLQEKIHESKTTDGWAPKDIETSRILICGLLIKCADISNVARP WKVAERWTHLLQQEFASQGEMENQVGMETTLFGGPPEIGNMMKLANGQIGFMSIFAHP LFANVSDVIPAMGFAAAEINNNKSVWITLIEQEKRRQYLTNEGGSSDGAISPRSQSPA PRKMDKLQKPNTGYFPASPLRHTSNPPSPLQQQVKDSQDSSIATMPHVMTTEDSSRNH SEAPSWKSPFVENGTLATSSATSVPQEGTRASSGVFPNAKPHLQLRRPEMQPRRSSNT VPRSLQMNGISGPHDGSATTTTASSEHGDDEGKKESELRESTFTGASVPLVLEDSAPN LPNRQASLQKESTEPPQFATQDEIVSDSRCCAVHNRSIPQATHQVRTSGHMSVPSTTD SNSMATSGGHTYSTNPSTTLSPSTEATSFLCIDSGDEQVEERGSKSWNLPTRRDQDHD RAQSSPSILPEVMPPNTMGRVPPVVSSGRGRTKSPAKTSTATVKEPAQETVSTWEEDG DRSMRRRISRFRFWRKKTEEDECQ EPUS_03898 MATHSSKSEPTQLHGTTLVPLPRVAIKFCVQCKWNLRAAYYAQE LLSTFSLSLGEVALIPSTSGTFVVNLFLAQQPPQLPGTEELGLEKGGAAVAVQEHVLW DRKIDGGFPETKELKRRVRDLVEPGRGLGHVDRDYSRVGAEKAKGEGEAVGEDRKVGN RESVETEKKGERGGEGGGDRNGTGTSTKLGDDAKYEKGDSTGNDKGAGEGEKCADCL EPUS_03899 MPPPPHIKPENVLKRAQELIAVGQTQAALTVLHEHVTSKRTRNS PIASLEPVMLLFVELCVDLRKGKSAKDGLYQYKNIAQNSNVGTIEMVLKKFIDLAEQK VTEAQAKADEVQSSLETSTTTANVEDLDAIETPETILLATVSGEQSKDRTDRAIVTPW LKFLWETYRTVLEILKNNARLEIMYQSTALQAFQFCLKYARKTEFRRLCELLRNHVTN AAKYSQQMHAINLNDPDTLQRHLDTRFQQLNVAVELELWQEAFRSVDDIHLLLNASKR PAKNVTMANYYEKLTRIFLVSDNFLFHAAAWSRYYNLLRQSAAAVAAGQSSKKDNPAT SESEMTKAASFVLLSALSIPVISTSRSRGALMDVDEARKNKNTKLTNLLSMPSPPTRA VLLKDALSKGLLSRARPEIRDLYNILEVDFHPLSICKKISPILSQIGSDPDMEKYVLP LQQVILTRLFQQLSQVYESVELGFVYNLAQFPEPFQVSPAMIEKFIMNGCKKGDLAIR LDHVSGILTFDADVFSSAKALHAGSAAGSAEGEVGSVQRLQNTPAEIARSQLTRLAKT LHITCMYVDPEYNASRLAAQQAVLARAAAGAEKEHEETLSRRAIIEKKKELASDALIK RQREEETRKRRRVQQAAEAERQRLIDEHRERERKRLKDQQDQIKRKEIEKQLDELKAS GKGFSLDDMNIDELDSSTIRAMKLAQLEKDNRERDERVRITAKRVDHLERAFRREEIK HLPEDYERQRQHDLEVYERTKAETLKEAQIKHKEGLALKHRLERLVGPYDRFKSELNE RRHEEFERRRKVAEREFENKKRQRIQEVREKKHRERMERQETERRKREEEERVAREAE EKAAKEEERKRVMAEQKAAKEAERAKNDEIARKQQQREAEAEARRLARKAEAAAAPAP PPFTRGSIPTRGAAAMSERTDSNERPARLQLAGQGGGTWRERLAAKEAGHGTSPSPAA EEAPPRRPGGYVPPALRDGGRSSSRGAQDGHDVHPPPRDTTPKPATPPTNNVGNNTAS SSDGPKGGRWVPPHLRKKD EPUS_03900 MPIVRRVRPTDLLSLNLCNLDSYTENYDLNFYLTYLMKWPSLFQ CVEEDGGKIVAYIMGKLETSPPHHPYPLPWHGHITILTVSPHYRRLGYAKLLTSSLER TCNQSSAWFVDLYVRASNKLAIDMYRKMGYSVFRRVVMYYSDNPNGTSEDEGGGEDAF DMRKPLDRDKERKHVRENGEEFRVSPEDVY EPUS_03901 MPSIPSSPNVEPPSSASSNQYYASNGTSSSRRSSRTSQTSIPYF PTTPRHSLGKRDSASLSIASDGLYGELGEGEDMDNLADELADAWDENGEEEPGSSFLD GLREGSAEPLSLHDEICSGNNVGSPEFHSVRSPTTPLRKPVVDDPLGSPTRSAGNIRR STSLQHREDESRCMGSNYADDSDLEEAKVISPALARQMTNIEALARQCLNDDSVSEAG GVVLRTTLALQDLGPQSSIENGVTRMTTAYTSIAMHRTQKTREIYSVAHSLLLDRYPN LAEEDIGGLIAELDILLEYLQLPSGPSPLQSLHSLIAGTADLAHSLRSLTDMIQESKQ AASAASRRLKNAKDVVMELQQEEEAREEGIRYLEEGDWDRRIRDREAECLCGDVVAGF ETTFDAWRYRLFGTLSTEGTPA EPUS_03902 MHLSSTISFLTTSLLLLSTTTALPANDQNRRPCDKNKTRKDPLT SCPLEPAPSLPESALPPTSLTLRHVLLGKGTQNYTCANTNSPPVAAGALATLYDISCQ VTDPNSRAKFDQKLIPDFLKNFSKSVQDLLPSTASAASVGDHYFRDGTTPMFVLNDGH FVAAGKVGGCAAPSSATGNENGAAVDWLKLARKQGEANGGIEEVYRVHTAGGRAPAAC SKAGLLTVDYVAEYWMYG EPUS_03903 MNGDTYSSRDSGRSRDFYVRKFIHKVAAILNFAVQGSRGERDDR RHDRDRGAGRDRDRVDRRRSRSPHHRSSRRDYEVDTYSSSRDYRAREREDRYSGHQRD ERGWDRDRGDRGPKRDSRREEDDRPPRRERDLFDDRRHGGRGGRDRDAIQGGGRERKK SATPPPPKKKEPTPDLTDVVSVLDRQRRLTQWDLKPPGYENVTAEQAKLSGMFPLPGA PRQQPMDPSRLKAFMDQPAGTASNAALKPSNARQSKRLFVYNLPPSVTEETIIEFFNL QLNGLNVTQGVDPCISAQIAKNREFALLEFKSPTDATVVLALDGIHMEAPDTMQNGSS NGTSNGLVIRRPKDYIVPSPEETEIQEGIISTEVPDTANKVCISSIPLYLSEEQVTEL LTSFGPLKAFVLVKDRGTEESRGFAFCEYVDPANTAIAVEGLNGMELGDKILKVSLAS IGYTQASGLEMGVNAMSMFAGTTSTDLEEGRVLQLLNMVTAEELIDNDDYEEICEDVK EECQKYGEVLEIKIPRPSGGSRQSAGVGKIYVKFDTPESAGKALRSLAGRKFADRTVV TTYFSEESFEVNAW EPUS_03904 MALHGRILSLRQDSTHLHYRALCPLPIEVPLTPPSSIPPSTIDE PNQDDDTETLVKHYLNLEPDLGGLYSQWSGADPNFRKKAPKFTGVRILRQDAWEALIG FICSSNNNIARISQMVDKLCLHYGPLIGTVNGTAYHDFPIPSALTGKNVEAHLRELGF GYRAKYIHQTAVMVANERLPGWLDSLRNPESPILGTKTSPGGEWMLEGRDGYRSAHEA LLDLQGVGPKVADCVCLMGLGWGEAVPVDTHVWQIAQRDYKFGKGKHSSLTKATYDAV ANHFRKLWGKEAGWAHSVLFTADLRAFSERLTAKVEIKNEEAEHDLEPHPVVQKAAVR KIRVKRELEDESKEGAGLEIRPLAISKSIQSSGQPDIHRVATETLSSITPSAWTSYER QIITASVLTFSPEMRIRVYNGCSLQWVQSPNPDDLLLAVPHLKSMAAGSPESSRQEEC GHESKHGNPAVELHLLLVLCCPLKVFLLVKICHAKTALHSDLGYLRSKVYIVLAYIEP PGHEVWSVPRCQKCFSSSACPREHPYWKAWRLLTRLSLSITSVEKQRNTSLGPLRSDP VLPRDQEVRQFREGMLSP EPUS_03905 MRFHSLLGSAAAEIAVIILLFTSTLPSTQGVLTPVPPPPIDLSS LGSVALAGNFDTISIYSYEGQSQGSSTNGSQSVLSPLPSGAFGGISAADANINTMCPF VMEGGTLAGVIVGGNFTSLGGVDAQGIALLDPNTQQVTPLPGVFGSVNAMLCDRETNS VYVGGAFKAANSTNAIAWVGMTGWSNLPFAGFNAPVTSITKAPDGHIIFGGSFSGLGN STTPTDRDEQVINLQTAQITASASEGSYEPQNIICPTNGNEDRAWLLPDNTPGYWRAS MGFGYQPSMLRIYNTNENGKGTKTFRFTARPINGIMNFTYVDPATGEEAACDARCPLV QNSSVPYQDFRFVNEVGMNSFQIDISEWYGSGAGLAGIELFQDDMFAYAVNDYNEPSC ARSEYSSRSSTTGTWFSSPPGRTGAEYLVANVSGEVRPSITFEPNIRQSGNYSILVYT PGCIQDNACATRGIVNVTASLTGSGSPAHTTQIFQTNNFDKFDQIYLGPVDGSRDSFR PRVTLTPISDQGTVVASRVRFDLIASTGGLNGLFEYNPNVAVVDTNFSNSAINNAGTR LDPGASVTALATHDQTIYVGGNFSDTVFENIMAFSDNNATSLPGGGLNAAVSALYSLD DFLYVGGNFSNTSQGNVPGLSNVAAFSYSRNEWVALVAGLCETENQPETCISFNGAFT EILAVGNGRPSRAQHLAIWVPSRNDWLQNLDIPRTALRGQLTSFADVPNGANLVAGTL TSTGIESTGAVGLQPADDGVRLAQLPINFEQTSTQGSLSKRALTGDQNVSGVVTGLFY NSGGRNVTVYGGHFAAASSTGNTLNNLVFLNGSNNDEVTGLPDGIDQNSTFLALALQN DLLFAGGSVSGRLADTPINGLVVYDFTRADYITSQPPALQGENVVVNSIKTRPGSSDV YVGGSFDTAGFLGCESLCIFQASSGQWNSPGSALSGTVSSLTWASNNRLVLAGNLTVG GNDTSLATYEPDSQTWTALSIPQVPGPVTAFAPATIDVSQWWAAGRRSDGSIFLGQYD GTNYHPINDAFTPTTSIRGLQVIGLTEQHQPSDVLERDQSLLITGQLDLRDFGNVSAV LYNGTTFTPFILSSTADGQPGSVSQMFSSRVNPLRSGGGRHSNGITVLVALCAALGTI FLVVLLGVIIDRIQRRRSGYTRIPANHTDKSSNINRVPPETLFGSLSHRNSPPHV EPUS_03906 MIRVGPPVFFYLLPQNQAAVSIVSLPSNASFKHSEPSDGSVWLR IGIDHIPKSSGRLVSFGCDHSCCDILLPEGYPQKQCHFYIHPRTFEVLLRDDTADYST ILIASNTPAETRFSLPDGQPRQRVVLEAVKNAHIKMQKALFMIGWAGTRNVAFEAARA TSKFSPSAALGSHAADPFENGRIVHRRIRRLGTGSYGKVFLTVNLKTGDHLAVKVFEF APEVEREGKDVVRQEVDLIKELSHPNIVVFKHAQGWEPLARAEIFMEAYQGSLVELWV DWKGKRGSSKYPPFFPNLMKQMLSALVHLHSRDIVHRDIKPDNILHDGKGNFYLADFG LSKRLDMSTTAVGSLHFVSPDIFQPGLQTTKMDELVRGHQKWFQAIRDTVTNGVPQLL PMFEINPQRRYSAKDCLEKLFDQDSKMEAMFRELAARQRSGGRAVLTEGVRVQRGTTT NAASPATASAARQIPQEKNRGQPPSDRAPPSAKAEKRPTSEVSSGNLAYLAEVTDRYR ARQQTERSLMQPQLQDKQQNDQSARDPQGQQCLSSQLTAVRLAQPNDKHAGEAIGRGG PCSQLASFRLAQPHGEHPGATSACGGPPSRLGSFRLAQRQDQRPDKVLARQGQLPNST ASEVEGLVAMPKDGEICRHEVQQAIQLALQQVQRSPASQLAFSEAEDSIKTLGIGQAQ RALEQQEAQSQPPQQSGQAVRGIPASQQAQQRPQQPVRGMLSREIDQYRRDQPHRGIP VQRQAQQQRQQPVRGLLARELDQYRQHNPLRGTPAQRQAQQDQQ EPUS_03907 MSDYDLLFAIRLQNSTIFKKLKHETIDLVDWEHSILCESVFCQL LATFEVPKHRASLVNALYSHLKSKLHLQTAVGYDPCFHLGTVEDIARRTNCRFCCIVH SALAIGSHVAEHDDIHVQYITEEGKFDLVTLGKLGRPEGRVLALGVRIVFCEGPDKAQ ATIHCGRPINFKRFDLEQVGHWLSLCEQSHEAPCQRNPTKPRGWMPASQSHTFRLIDV RKECVVSRPWQSRYLALSYVWGGIEQLRLEKHSIDTLKVPRSLQKLRDNIPKTIQNAI TFVYLIGEKYLWADVLCLVQDDQSSMKDGIQLMNYVYENALATIVAADGSDPHAGLRR LHSNSTHPSTNCVQTMKPGLRLMALGALDVHLKRSKWSSRSWTFQEQLLSRRTVVFVN KQVYFCCRQRIWSEDTCTDKFPDDRLMYEWRVNAISCLAVGSSSNGDLEDDEEPLPLL TNMLEYYGMRELTYECDAINAISGVLNVISTNMGSDFLQGIPVVALDYMVLFQIYGDK KISRDKTPNAGRRARRRRNFPSWSWAGWEALYSWNTHPDVSPIDPTSFNKWLDHRTWI VWYKSIGSDLPKAILEKQPGNKRSRGEIGVFYSRTSQPSLADRFGMLDTLTTVPAASF AIAPAYSTQDLLRFYTVVVILTVSLDIPDRIPWPIHNEYDSNMLHMSDVKPSAAIYDS EGCFSGLLLFDIAGFLGEDGEYELVLLSDSQNSLFNRMDLQDPSRLNPFVSYQAINHD WRLYWVLLLTWNEGVAERRGLGQILRTAVEKSFSPGAQWREIVLG EPUS_03908 MRHAITTSTVIAATISSAVAQACAAGAAKEIGGNWYCDAVKAIT YSDFGSTGSYNKITNMDGGNCQSESFDYSGALAPMDGEVSWHFRGPLNLKQFAFYAPG SGLSKQDIQPESHERRQHGHAHFHKRNKEVGSIQEGYITEEKREVGALVIATIDGKVA SWINQYDGEAQPTPAPDSSAAQPATEVDAKAATPTMNAGDGQWGRQAYYNAEQQMADG LTFLNNMGGQGSGIFDEVLGMSLSYAAADAKSGAASPQILANQMLGDGDEIVVMTDTP CKDGDCGVTRPGGVAYHGFNGAKKVFLLEFDMPISGATGWNKDMPAAWILNAQIPNTV QYGKPECSCWESGCGEWDIFEVLDSGNNRCKSTIHGNISGGDSNYFDRPVDSTVKVAV IFDGDNSAGHIVILPDEASFDQTLTDAQVAEYVNQDSSGVARSLFRLGS EPUS_03909 MWMGLGLKKHSVPAVVPDMIELTNVANSTPSMHPVRVSKKSEEG SIAHVDAPLSSVHAIPDSDNDKALPKTQIFFLCYARLFESIAFYLIFPFINQMILEVG DVEEANVGFYSGLIESLFSLTQMVLMIPWGRAADRFGRKPVLVFSLVGSAIATALFGI ITPVFWFTRPELGGYGFSPLWISIFLGATGFLQAVWVLFIFPPLQYRIGTGGVMRLCS YGFPLLYAFSPVTNLLLRMDLRLAFWLSTPALQLLSSAVGMSFIVIQLALNDIAPSSI ALGTLNAIALTITSGIRTVAPAIFTSIFAVGVQNQILWGYLVWVVMVLLALTTAAAVR WLPKEAEGKSKESG EPUS_03910 MARKRPHPQAKDFTIAWICALPLELSAARAVLDEEYEDINEAAQ FVLGRIGIHNVVIASLPAGQIGTSSAAATAAQTRSSFPAVLYWLMVGIGGGVPSSVTD IRLGDVVVSQPQHCHGGVIQYDLGKTAPDGQHIRTGFLNAPPPILGTAVSKMRSVSDL GKSRIQSCLSSLSHHNMFDRCRAGPDILFEPSYKHVQGSTCHQCPEHKIVQRPRRENE DIVIHYGLIASGNQVMKNGVERDNLSAKLGGVLCFEMEAAGLMNNFPCLVIRGICDYA DSHKNDKWQPFAAASAAACAKEILSCVPTSGRSRSRSHEATERLSYTLQAGHTEQDSR SRKISKANEALEGSGQDSLYVGSSLTEDQRQRFLRSLNFDQIDARHATIRSAHAKTCR WIHSNPGYQDWLDDDRLPEHHGFLWLKGKPGVGKSTLMKFALASTKKRLPDWTVISFF FNARGEDLEKSALGMYRSLLFQLLEKLPDLQKVLALRPPQLDDMGSPEWDVEILKTIF ENAVTQIGDRPLICFVDALDECEDDQVRDMVVFLEQLGQTAVLSGLKFRTCFSSRHYP HITIDNCKELVLQEQDGHQKDMTNYLHSELKAGRSKLVDQIRDEILSRASGIFLWVVL VVRMLNEEYARGRIHALRRRLNEIPDSLEKLFDSILSRDNLNGDGMILCLQWVLYTRR PLRTEELYFAILAGIEPESVSAWNSEVITKDDMERFILNHSKGLVEETKAKSRTVQFI HESVRDFFLHGKGLDKPYFGPAATFPARSHENLKQCCQKYLLGVDVTSNLALNGSLPK ASSEDSANLRRRACESFPFLEYAVHNVLYHSDAADGLGCPQNMFIREFPLSRWNFMNN LLQRYDIRRHSSNVSLLYILAEEDLPSLIRIVRRHVETIDIRGERYGSPLVAAWAAGN EEALRALLTLNEREESELHANHDGSSPLDHDLREVVSSLLKHKHDIKVTSNTTLLSWA VRRGEISIVKFLLASRNIDVNAKDKDDLTPLSYAAQNGHSEVVKLLLASRNIDVNAKD KEDLTPLSWAAQKVIQKW EPUS_03911 MECDICMRSYSSRLPFCCPSCARSVLYEPRLRNAKLLLEKEHLA SRIESIHSSAKGTDKTPNTLEVRQDGQAITRWSVESRNIQKAQAKDRTKVIHEHIQTL RAEIKAFREEIAKRKAMLQKKRSEWSTIKSAVSERQKASSEKAQEEIKKITQSWDTIQ NKAIETRSFLCREAAHLHGLRQRKKMRGGVVRDQYTIGGIPLLDLKDINSAKANELTT SLNNTAHLVVLISFYLSLRLPAEITLPHRNYPLPTIFTPTNSYQGREVPFLGTTPTSS STNSPAGSRHTDLRPLPRPRPLFIEPENLKDKTPHIAKRDSTAFAFFLEGISLLAWDI AWLCRSQGMYAGTESWEDVCNMGRNLWNLLIAPPQSPALLRVLSGRDVRQQRTITPSA HLAAPYRSLRLGHFSHDSAHSFPVNGADFLRGWKLTKYTMIVDPLKRALIGEMSNAEW EMLEQDEWDDGGEQFRADEAVFIRNRNSAEMEGRSSFDDARSIMTARTERRSEDRRVE TDRGKELVDADADATVAVSGRVKGTSGWTKVKNREK EPUS_03912 MNTHSSPGSVADSDDCSPRSSPTRSNTAPPSVRSFFPGQTRHES PTRSTRFQSDILPSSTNFEVNGFLGVGPQNQHSNFATQASTLVQQENEVGLLGHGELA EDALMASCDPMEDLPSKDWEELETRFDGDMEAAVQHEQSIIDEIEWVMKMMKESTTAS SKTESERARKRLRTRIAYVQQSEETLAEKRKHCASFSAPSVMVAANDPVDKGVVDAFQ NAMALLGGI EPUS_03913 MSTQYDTIQAPYDYIRKKSIALIEHENVQTTVAPLINNSRVLDL ACGSGFYTYDFLKWGASAVVGVDISPVMIEEARRRGSAVASSPSSTSGTIDFILADCA KPTCYAGAPFDLVFGAWLLNYAPDRAGLVDMFRNISLNLKDGGHFVSVTVPPTQDPTA SVNAEFNARPPPEGSGGLVYHVLQDVEDGIYFHLHGNTEVGDVDFNCYHLRKDVYEAA AREAGMRGELKWDVTSVPERYLRGDGPGGASIEELKSYQEVPNYGLLVVAK EPUS_03914 MDPPNHGAHQLPENDVEKQGELEATELNVTTDAGSDTLNHSDIP PDPLLLKGKLAKLNAKVESLAGLEARGITRVLQEEKHHGDIHGYLQMFALWFSISLTA INIITGLLGPLVFQLGWVDCVCIVIFANALSACGASYTSTFGPESGNRTMIIGRYFMG YWPSKLFCILNLVMQLGWGIIGCIIAGQMFSAVNGAGLSVSVGCVISAVCIGLIATFG IAIIYTYGRYAFIPQIFAILVLVGSAGKSFDTSTVAVGPAGTVTAHRCSFFALLFATV IGLAGLGADFYVYYPTATPKWITFLTTWSGIWLPTIFCNIIGVGIATGVATTPAWNDA YSISSGALFLACYDGLGGFGGFCVVILALGSITNNAPGTYAGALTIQVLGRYAKAIPR WFWCVVITLIELICSVAGRNYLFRIFENFLPIMSYSVCPWLSIALEEHLLFHVLRGVP FDWTAWDDKKKLPIGAAALFASLVGWAGALMGMHQIWYQGPIALKIGGYGGDIGAWTS IAFACITYPPLRYVELKRFGR EPUS_03915 MLLSSQRLTWMRSSVITLPPFALLRQPAYLMVERLTYPKSTPSA HDINPLVGHALHADISARFRALQGSNGPVKSVSIKTKSGTSLDIPELRKGDEDKTVEE LLAELGPEEEWAVDKGEDDQVRDLLKEAQDALKGPPHTEKNDDLRDVEPGAAGNEQQK QTARTLSIDLSVFQPEPDSEVEDTAEAQRQTKSELNHSLDLEAHEYLERVLDEISHEN TATQHIEQEDDPPPEYREAESHTPLSPTSHHLPLSSTSDLPSTPSKDPVTPSPSSFSS QTPSTTADLMTRFTSLSLALPSVPTTLPKPASSPSPKSSNTGNTYTDAEISTWCIICL DDATLQCIGCDGDLYCRDCWMEGHRGGSAGVEERRHRALEYNKDSKGKRKEEEQRERR KKKRVGLGAV EPUS_03916 MSNSLELLWKPELTSAARTQGQGQGFIQLTLIWVVYAVVIALVF AIASVFVYIYQTPRERSASVTIVCIFTLTCLLATVLLLPVDVALVSSTTSSKLGRRKE WATQDKVDNITKTLQIVYYTLYSVDAVLCLLVVPFTYFWYEEYDEAAQEDGSQTVGTR FWGAFKYTFVFIFLCLALFLVGFFVPIARHREGAHFDLDYFRHLLTENHGERALVFAV GLLITVGIIIYCFYTAAGMALLPLALIKSAPAISAPQLAQTTATELEANRERQRQLEG RAQGNPDGLSSKDRRELEALVREERTLVRRERMAAESTGEGRSWVVRAWIKLEAIFRP LKLLGGIFLVIVALIIFASMLITGIDKARNSICGRHCGYVLAKIKIFQPINFILVKSA KIFPIDYILFTLLVLFFFSSSIVGLATAGIRFLWLTLFRIRKGHTSPQALLVATVMLT LITFALNYSIAMVVAPQYATFGPQTFCDHPLRHPGEQPDCTNHTQHIVPCSETTNSPA AKNVCTPSMVSQFLNRVTVNYPFFGAVDFWAQFVFLGVFLVVFLLMLFRTPKLADEDA DEDALEAEEEGLLASTGRRFGATWQDITGRVGRVSKSGAGAGERGARDGADD EPUS_03917 MQCYTELVPPSGVTNALALPFISPEASNLVVARTSLLQIFSHKP TSNGQDTKLVLVAEYSLPGTITSLGRVKILASKSGGEAILIAFRDAKLSLIEWDVEKH SIATISIHYYESEALQRSPFTPDLRDCVNHLTIDPSSRCAIFNFAVTNVAIIPFHQAG DDLVMDDYDAEFDREDAERSPTKKTNGDVETYQTPYASSFVLPLTALDPGILHPVHIA FLHEYREPTFGILYSSIARSSALNHERKDVTQYAVLTLDLEQRASTTLLSISRLPNDL SAVIPLPLPVGGALLVGANELIHVDQGGKTSAVGVNEFARKCSSFSMADQSECRMRLE GCQIEQLNASNGDMLIVLATGNLAVLSFRLDGRSVSGMSVRTLSQELGHTLFRSRASC IASLGSHRLFVGSDVADSVLLSTARKVSQLKKHSSRSGQRTVGDGNSDVAEDMGEAEG QEEEEEEEEEEDDDDLYGDALDKTMTGQSEGVTTSGTNIRVLDRLHCVAPLRDVTIGR VGKRKRDGDEIKGKDQPRELDLVVCCGERLAGGVTIFNRELRHDIVSQSKLSQADGVW SFSVKPPAAAADGLGMDRSYDEFMIISKPNEPVQAESTLYQISDGSPQEKTNTDFDPS AGGTIECGSITNGAHIVQVLEAEIRVYDRDFGLAQIWPIVDEETNADAKAISASFADP YVLILKDDYSIVLLKTDKKGELDEVDLGTELRDGKYISACLYSDQQGTFTKQNALMAT TTSCIVALLSSAGALSIYSLSNLQIKLFFYEGLQFLPSILSHSTPLPRHWKGAEAVSE LLIADLGDRNDTCPYLVFKTSGGDFAIYESYKPSVTAFGFRKITLRPVSNAPSGEFLE PDQNNPSRKSRPMKMAYVNGLFVVLAPGQSPAMIAKVATSSPRIYNLAARPILAFSGH HTASCSQGFVYIDADQNLCMARLPTEISIGHSSWVERKVELGSDVSGIAYFDRTQSYI LAASHLADFQLPQDDEWHADWKNEEASCLPQVEQGSVKLLSSATFNIIDNFPLEYAER PMCIKSMNLEVSEETHERKELIVVGTAITKGEDVVARGCIYIFDVVDVVPQPGVPETG LKLKLIAREEVKGAVTSLSKIGSQGFMLAAQGQKCMVRGLKEDLSILPVAFMDMKYYV NVAKELPGTGLCILGDAMNGLWFVGYSEEPYKLQLFGRDQAGLEVMAAEFLPDGKNLY IIAADADGDLHVMQFDPESPESERGTKLLQRSTFHSGSFVTSMTLLPKHEGSSEDSEP SPAANDYSSDSISSGNRQILLTSREGSLGLITPLPEQTYRRLYALQNILTANLEHPFG LNPRAYRAVETDGIGGAAMVDGNLVQRWLDQDSFHKNSTADKVGSSLWELNEDLNEIS GCSLLYL EPUS_03918 MSSIADRDDDRSSTLSLALSESSLNLSDPSPNTMPLKTSSKRTI EEIVAAEESGDDDYDDKAPGPSKARSQRSRHRSKPARKRQRRKYGSGSEEGDEDENDS LLSGSYSESEKEEEPVEMNEHGRPVRKAAKARFTYEESDVEDDNDLAGQTSETDEEEH APALRSKKSVPKLIVKLKTGTPRTTPAAPTRNLQKRSGSHSSKQPPTPTSATARGTRR SSRISHDEEETLIGLSNSGKHAEVVRPGSREPEVPVRQLRGGKGLKYPSKSTIDEASQ EDSNPHNPEEVLEIKASQHEIMESESQTQGEAAEDMPKIISDIRDAAKESGSEEAIED EARFVPESQELVEDVEPEEDDDEEPIPRGRATRASQRKAQSPVKELAESSHRLRSRQL RSEASKRPSRSSQRKVNEESSDFEPDVDHEKEDEMSASEQSENSPPKVQDDYESSNSR RSRRMQRGKRTTSRQSPVSDEDEDLADELAELKSGGRKRRPRQHEIVYEPRRTRGPRG NVDYRILRPELNVPIDDAEEDQAPTPSRRRNGGGGGGGGWQRSLFSTYGPFGGAGGPV PILGGPGGIGAAGGADSDSSDEEVMQRPKPVGGTVGMTPTSAFGPGFGLFPAAQALNA DAAQGTTGTPANLGKVKDKQALADADPLGVDQNVTFEGVGGLQGHIDQLKEMVALPLL YPEIFMRFKITPPRGVLFHGPPGTGKTLLARALASSVSSQGKKVTFYMRKGADALSKW VGEAEKQLRMLFEEARRTQPSIIFFDEIDGLAPVRSSKQEQIHASIVSTLLALMDGMD GRGQVVVIGATNRPDSVDPALRRPGRFDREFYFPLPNTEARRAILDIHTRNWDPPLDP AIKDELAKLTKGYGGADLRALCTEAALNAVQRRYPQIYKSQQKLLIDPKSIQVLPKDF MISVEKIVPSSERSASSGASPLPSGIEPLLRKPLNVLERIVAEIMPQKKKTTALEEAQ YEDVDSSFGRDKVQRAFDGSRVFRPRLLVRGPTGMGQQYLAAALLNHFEGLHVQSFEL SVLLGDSASSPEATMVRLFSEVKTHKPSVIYMPNVQTWYTTLGQQVISTFLGLLRSLH PTDPILVLGILEQEKDEELDQDFIKQLFGYSRRNSFALSCPEQSARREYFKPLMRFVA MTPPEFPDPLNRKKRVIEELRPAPPEEPKAAPPPTKEELKIQKKRDRHTLNMLKIQLQ PIMDQIRTKYRKFRSGVIEEHQIRYLYDEADPGTVSSDLHTELLARASFRPYEIGKDA HDEPGLLETGTGKFFYNLDTVTIEKRLSNGYYKRPKDFLADVKRLAKDARTIGDQERL LKANELLTNVEVDIEGIELGQAALTAECEKVYQRELIREKEALDKAKKTAEETGSMRP PPVVCNVPHGGASEPSSVNPSTGPITLGEPVNDRRMLFQNIMPITPSRPPHNSVTTNG IRPMAAGGSDLNGSGSHHTIDDHDADAEGDTHMAQSGSRPNSHEHSMETQMTNTTSGS SFGPSAQPRPPHSYTAPSQQMRQASGMSAPLSQKSTVTPMAAGSQAADYQNSASTTQT TSGKKNSGSSGERMASQEIGPNLYMYDYIRPRTEATEIPDTQREHCRNILSGRSTANT EIAEFQNSQHRPISIYQPLSQPNSQPSSHTHSQPPPVPPFDAISRARSTSIHALLNDD HSQPSSINSQPTSSNATTTKPHSPVDATHHSSNNTNKTTTDHQKSALAIDHDFVTHLL DDLSSRTTGCSVEQLEQVNSVLMDTVWRTRLEWNRSRVAVWVGETFNRVLEDMEDCGW EFGPSSWGRRG EPUS_03919 MNYLSLLIPFAYLGILLTSLATFSSLYRKRKARSSSPPTLSPIP GKSNEPTNHFPFPVPEKSLSLSPYFPAHTARDIYLSLLHLSDHDGSAAKVPDSLLRAA LLARCTEDIHRIVHIRTRKQALNTLLKRGCVGDEIWQRLLRAEAELELEVKDCVQEAN AFSPNWGTTIFQSANEMANNDMLKQRLEGIFASLPAEKREWERRRQRMREGFLRELEA GAEKGTAAESRKESLAATAAAGRGSSDEDAVLVEKGGEAAASSNIGAGGGGGAGGGGK GKKKKGKK EPUS_03920 MRRICEHSRRWHQQFFPSALHLGHRPCFPRLWLPAQAHQRQYAK KPNDEIVQRPYARRQNGDTVQPQYPPESNDDVLWRRNAQKANDDVVQHPLANQQNNEQ NNDVVVHEFEQIGTNKKSRVAVNPKEELEVTGEYLRSQLESLEKELAVLREGPFGPNS EFMQSLPPNERKMALKALGKDLTGGLHDPDDFNPEELDRLIEAQDSEADDFGGDPPPK VILQYTDEHQAYVRNFNSVLEEAVNTPVVNANTKILLWRSYRRCCYNIQNFPSTVPPQ VWDVLWQTQADLSHRYGTKKVLRLAKDMLSQSSTPLSPQQTLVYLECLRVEKYLETAI QCWNENRNSLGRNAQVAKQFWALGVQLYSDNDQPGEAETIALQCLEGSLADPGILVPV IDSWARNGTANCLEKAWASYLHFSQKSGTEVNMEDYEKISATLLKHGQSNMALAVFKD TAVRRINRGEYDSFHTFQRLASKVGEFQSSAINADEVSRVSLNALTMLPRFLQNKFFF AFWIKGLLGHGQVDAAAKVVELMYERGIKPDAKHLNGIVGAWLRDGSDEARNQAEQMA WSMVNARIDFVRNRAMNLKNKDVVSYANRPIPPATIETFSILLLHYTRRCQLDLAENV TKVMTEEALIAPNVYIWNHWLYAALRAKNLEKVWSLYLNMQRHVQPDLETFACLWDSA KLQWDPSRSAQYDDFPTARRLFKRMPTWMSQLPEAQLIRTKQEFSRDLHNQIIRVFCL SQDLPGTLCALYGLVQLFQEYPDSDTTRIIVIQLAHLLPPDSLSRGVRRKTSTMRTAL SAVNNILQIVTDQRIIALKAKGLDPERLDETTQKQFQLDVLSDLLVVFLKRLTKENTG SLVNRMQHIAAHMGVNIDAVDFRVEE EPUS_03921 MSTTDDGFRGDVYPEDIHEETAHQSCYLYLPRTADGGQRPSKRR KTAHIATDSEAHAEIFPALLSGQENVQHAKRRRITFQKCWAEQKSRVAHLLEPADNAF LGEVVQFVKEAPTLPDRGRLRTGLILCGPKAGSQTSLLERWNSTYSQSSSAIVVSLNP TQAANLITALKNLIRAAITQVDGLDGYQTFLNERKRRIPMNYDLELLQEFVASRSVQR CVLYLTDIEAFDMLLLTDLISVVSAWKDRIPFVLLLGLSTTVDLFEARLSRSTIRLLD CRLFDASVRADPCAEIYQSLNAMSNVSGISLGPDVSSILFEMSREQDAGVASFDRAIK HTIMSHFFANALSILLEPDTEDLNAHPELCEAIRCTDSFRAHAEEILDKGDLKTVRGL LNDDRLLLGAAREAVRNGRETMSRHHAALELFEAVSKVVKPSETPQDSFFIQVQALSG SSFLDSPLYTDLISKITILPSNDMRKMLDAIQQQHSSPGLDATILLERLDHVIPPDST KGPLRTAYDPRHTTTSTTVTNNKVSLSKHGPKLSAKETEYTRLVDQITNTLTSYFETH IVNPVTLFMHEAFVYNLKSPLATVFTPRPRYAAERALSMPYDYLGCECCEGDASTRGG GRGQILATQPPTSILWQLWCEAGGIVNVRDLWEAFRAIVIDRKEREDGGKEEEEEREE EIQGNNGEKDVAEETGVVDERMALALFYRGLAELRMMGFVKPTKRKADCLAKTAWRGL EPUS_03922 MAAIITIITRQPEGLKQLRKHGLTGSCRRSRHGGCVHGRRHPSS NQAERAVRQRRREAAVYSEGDAPLTQDNIFQRQPSNVGLGAGSASASAVGAAPDATDT AVEARQARMDEEEEREEELLALALQEVRDMNSRVQQQSSAQHSGRMSSVWQWLTMNLG RV EPUS_03923 MPLHADDRLLCIVLASGLLVAANAIPYYLKYIRTITQVKHEDKP SKGPCEDAEDALKLNTLKKLVAAPSYKLRASAVKIIAERSIKDATRKLLLRDLASKHS TKRDQALHALWFLLSDPSLIASLEIRQHFMSDPSTFAAIVDCLSNLLPLHSHGPPSQA HNPTKSSPLLPHNRPPSETVALRILRELLTDQTLPTALSAGLISKWLKHYPFPPALYP RTAPAAKK EPUS_03924 MDRGPTYGDDYAWSATVSSGGGYVQQGNMQAENINISYTTPGLT QSSQFHEKWDECQQALLLRDPLDDRNGYTTEKGIEVPDSCDWIQAEPCYRQWNNPKNP PQPLWLCGPEGSGKTMLSVYLSKHFERENPKDCTVLYYFCDGDDRERNSAVGILRGFL YQLVRDKGPDTTGRAQKEVDRERKEIQGLREILLKAYREKKDKMFVLETLWRVFQFMI RVLPVRRLVVIVDGTEKCDKKSLELLLKKFIDHFMPNKGPPPSCGASIINLMVLARDT PEELNDDLSDIPYLLLTNSEHYPSGLRAYIEYKVAELARNRGYDDFICDNIRRVLTDG PAEGPRSFLWIKHKTQQIRKTDLSTLRQQLNWRPKGLGDIYLQALNSIPDDWKQRCAA IMRWIYGAQRPLKLSELAEALSLDDSYSSMGQVKVDALSEIIKDCEDLLKINVTDNTV SFVHESIPELLSQNKQWSLKYETLLSMFYIEHESVQSKISNTLMAYLYGGCLDDHAFH NPASDPDQSTGEVLRAFLNARMKAYPFLDYCINFLIDHLQTVISEDEVFRWDFFDSLS SSPQRDAWWDAYRSLQVSEYYYQPPKPLPLVHIAAYTGSLSILQYLDHKDLLSVDLEE ADGKNTQPCTYAIYKGHVDVVELLLDRGAPHTEAGVPLILLAAQRGDVEIVRLFLNRG ANANTTMPTAYEIRTMFHQLSPLIVYAFDFPEDGQTLEEPIEDGETLLHIAATSGQPD LVSLLLENNAEVNTRTTSGLTPLYCAANTGDDESVTNMLNYGADVSGVTNQGWTVLHA AADGGLGLLLVQRLHKLGVDITARNVKGETALHFAACDDDIEVIKYLLDHGVGANTMD REGWTPLHKALQPHLSHELDVIRVLLENGADSNARYHGGLPPLQMALLSTWGCDTEII RLLLEYKADIHATNDENRTALHVAIDYDSMCEVEGLRLLIERGADLYRPDAKGVTPYE MLRDHTNPECGELFKTLAPPPASLVVPTSGAHQAYAIPVHQQDANIYLQPLGYPSEVG FSTQHPWNEQQPRAHSSHDFHQEPYTMSTPGPPQMGGPSQIPIPPAATPQSVLTSLEN LSITSPPSLPGPYAV EPUS_03925 MPPSQLKQLKASLRDQGLVGPQKSKKQKKLAAKDAGNRQKRSAA LHSIRERFNPFEVKAPARKEKFEVVSLKDGRTKKNVQGRPGVTKGLGEERRKATLLKE IQSRNKVGQIVDRRFGENDPTMTPEQRAAERFAWQSQRHQKKSSIFNLEDEADENTQL THMGRFLTFGDPETKDDFKEDGLPLSDGGSHGHIERSDLEDDLERPTKRRRLSEDDER DLGDDNEDINGLQLPEKKKSKQEVMKEVIAKSKLYKYERQQAKEDDDDLRAELDKGLP DFLESIRNYRKPSPPPSAIDRSAASINPDRAALLAGRDREEADHEYNERVRQMAMDRR SKPSTRTKTDEEKAEEEAARLRDLEEKRLLRMQGAPESSDDENGQDIEIPEHVEEDDA EAFGLGQSKRPKENLDVEDEDEFVLDNDLIASDSEADLATDDGVLSEEEPLQLEDDGD DDFINGLVLPPGPTSHETQSSNDESRDTSHNRNLSFTYACPTTHEEFLKILQGTDVSD VPTVVQRIRAFNHPKLNAGNKEKLEAFSAVLTQHVAYLADQEPDAPLAVLESLLRHLH SLAKSHPHAVATAFRAHLRDIADNRPLNLRAMDFIILTGISTIFPTSDHFHSVVTPAG LTIARFLGQSPAVTLAHLVKGAYCCTLALQCQSLSQRYIPEVMVYIQKALSSLGTAGS ISAASIIRSHLPEMSPLRLLDAEAEPFASLRFQDLFAKPPPSTTDNTSDDDAPEATVT NNKLKATLISHFLTLLNHAADLWRRKSAFPEIFKPATLLLQAMLSQNDSNLHPSLLTH LHTTLQTLTALTTSFLHTRLPLTLHFHRPLAIKSSIPKFEESYNPDHHHDPDRERSQL NKLKAEHKRERKGALRELRKDANFIAREQLREKKERDAAYEKKFRRLVAEIQSGEGHE GKVFEREKARRRARKG EPUS_03926 MRSAASLFYLAVFTLLQPSAARSHRPAEVETGPADVCAIDPKSI VSDACASYATLESLNSDLFQSLDSITKSTDFFAYYRLNLFNKECPYWSDANSMCGNRA CAVDTLENEEDIPPVWRVEELSKLEGLHANHPGRQQQEERPKARPLQGMLGEGVDESC VVEYDDECDERDYCVPEDEGAAAKGDYVSLVNNTERFTGYAGPGARQVWDAIYKENCF SRPPPQLSTFGRPSPLDAAKDLRSMIQGHKVGSPISHEDDSYPLDDQCLEQRAFYRII SGMHASISTHICWDYFNQTTGEWVRNLDCYKERLHEHPERVSNLYFNYALVTRALAKL QKHLEHYTFCLGDPAQDFETKQRVIELISRITSAPPTFDESRMFQDPAMLELKEDFRN RFRNVSRLMDCVGCDKCRLWGKLQTAGYGAALKVLFEFDETKNGENPHLRRTELVALV NTLARISHSLTAIQHFRAAIKAEDQDKNNNSNNYLISTKQTRQDPETQPGRRMFVQQD EFQDFPDDDFADEDETPAPQSFSQEFWAEIDLVWRAYKYVLSSWISLPFKTWAIMIME INRLWNYWLGLPVPPRSWDFHLPTRDEL EPUS_03927 MSLPVEATPCPTSAASNELLDLRSNYSAINLGDSNTTSEISFTT DSKIFFQAAAAKRPAIMKRPRRPGTAVDFAVHQDKGPDSREHNLGKSKRSVPTADKLS VLAQPAQRLRSTLSFNPPYPDLVPFQTTTTTGNSEQSMMATDKATKVQPVVKNSLQHV PIRGSDVSIERRARRGTLYIPPEDTTMPTVWMGVFSPIKDVGFGDENSVDGASTDLTG IVAQMAMKRGPRQSSIMGAPQRAPLRRSSRPPQETTIAEDIPGRLTGKENLPPGHEST GNKKTKKILGDSSQKPRQTSRKSTFDNIKKSKPYSYGVETMSSKASCSPQSTQTGELY KLQTQVGSIVSEHDVNRNFARLRLNAGEQGVVRIARSNEVLKNSPQLSSADRIEKLST KIIVPKVVKPLLDQKYPVLFEDIQSLSLYKNNWLDHEEIAVTQRMNELFVAANGPADV GNPFTKRSQLFVMYQDQSFVLLHKRLQASLLYGALALPKETLMKSSRLSEDLGMKQHF LNLWLNTYDLQILQVCAEVVIGREYSDNPKTECNAQANSCSTQKAVSKLSQFLEIFLI RNEDATPSDDENSDVGTTGIQHTLLRSLMLIKLLDTAKTSSSQPLLSGCLFQPSSQHK SSTAVIQALAQLLNPAAGNIVRSLNHLNYTVSHIQCPLEEYDYHITNLAVDLRDGVRL TRLVEHLLFQTSSQAAGEEKHWPLSAHLKFPCLGRATKLYNVQIALDALKGISKELVE DVKADDIVDGFREKTVALLSGLVGN EPUS_03928 MSRAHRRGPWVAEEDATLLALVASRGPNNWVQISQHMHFRSPKQ CRERYHQNLKSSLNHDPISAEEGELIEQMVSDMGKKWAEIARRLGNRSDNAVKNWWNG SQNRRKRNVPHNGPSSKTLSNRTHPLSLVRSSKSPVEYDVRHRNYSNPSPRCTPTWQD RGASNLDRRTLRSQQNQTIDRIYSRGQFHSDLHDAQQFQINTYRTQRTPERLSGPVLC RYADDHNAQSLPSLRLINTSQPSPSRVSHVMEPPHSATSMERAPSLVSDHNSTYSISP KTWSSPRPDVSAPAESSRSRWHDSSHMDRRGSAPTISNLVSMPFTGDEGYVSAIPPSA SSEPKYLLPTPVSRTVSFDGQYSSSHRYSASNPNICLAPKVEHHNHLQDSSGTRDTRM KFSSLLN EPUS_03929 MLFKIRYQTDDGRERRAFVESLHFDWEMVTGDEKRQLGEQLLAA TPGLKKLDEENWFKVDWTRVPELVEYRTVFLRRGMAYVPMREQTSMIMTEFTNRLEKA LELTSRALPRLDEDDRLSPILNHLTKNFATPDAAYNDSDAALPGAPINASNIDSLSQH FPLCMKNLHSTLRQNSHLKHFGRLQYTFFLKGIGLSLEDCLIFWRHSFKLITDDTFNK EYKYNIRHAYGDVGGDANRRGRGYSPYSCQKILTEHPPGPGEAHGCPYRHFSIDNLTS MLQATGVNERDVLKGVKEDVEKKRYHIACNRVFEWAHKGEIKKVKDDGTWEAAELDTI VHPNAYFKRSYLLRNLGKMARDNVRTKE EPUS_03930 MIKSDIQRLDAKRRHVNERKKQFAVAVYKQQDYPHRLNFYELPP TSEISLEDFEKWAIHRLRILAEIEACSFRNKTPQETAAHIEPLLKKYLPLSANSAAAG GVIDQRLRDER EPUS_03931 MGVSSGSRKLREAARIILVGAPGVGKGTQSERLMRRYPQLASIS TGDLLRDNVRDKTLLGLQAESLIKSGSLVPDPLILDLILRELTSRNWLSTSRLNTNIV SAISQRSRSSSCAVEPSQDPESSFILDGFPRTATQADSLSRLLPMNLVLHLVTPAEII LSRMATRWVHASSGRVYNIGFNDPKVAGIDDITGEKLVQREDDTEETWRKRLAKFEET SSGLLNFYKEKQPATVVVVRGNSSDEISPQIFEEVERRFA EPUS_03932 MDFEAVKDQWNEVEDRDGVRLSWNVFPSTRMEASRLVVPIGALY TPLKEKPDTPLLQYEPVVCKQPCKAVLNPFANVDIRARIWICPFCLQRNPLPPHYKDI SETAIPPELHPSNTTIEYQLARPGPAPPIFVYVVDTCQEEDSLKALRDSLVMSLSLLP ANALVGLITFGTMTQVHELGYAECAKSYVFRGSKDYAAKQVQEMLALTTPGIRPNVPP AQGRPPPPVGAAARFLLPVQQAEFQITNALEQMQRDPWPVANDRRALRCTGVALSVAI GLLETSFQNAGARIMLFAGGPATEGPGQVVGPELREPIRSHHDIDRDNIKYYKKAVKF YDNLAKRTAHNGHIVDIFAGCLDQVGLLEMKGLPNSTGGHMILTDSFTSSQFKQSFVR VFDKDAQDLLLMGFNASLEVLTTKELKVTGLIGHAVSLNKKSGSVGETECGIGNTCAW KMCGIDPTASYGIYFEIANQGGPSAMQQGPARGSMQFLTYYQHSGGQYHLRVTTVARN LSGPAGDPSLAQSFDQEAAAVLMSRIAVFKAEVDDGPDVLRWVDRMLIRLCARFADYR KDDPTSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHEYVGNSLVMI QPTLDAYSLEHEGALPVLLDSASIQPTHILLLDTFFQILIFHGETMAEWRKAGYQDQE GYENFKAILDAPKEDARELVQDRFPLPRFIICDAGGSQARFLLSKLNPSTTHASGGYG GVSQTAQTIFTDDVSLQTFMDHLMKLAVSGTT EPUS_03933 MRNISFASTSINNLKKDRLPFAPAMPPKFDPNEVKVIHLRATGG EVGASSALAPKIGPLGLSPKKVGEDIAKATGDWKGLRVTVKLTIQNRQAAVSVVPSAS SLVIKALKEPPRDRKKEKNIKHSKSIPLDEIIDIARTMRFKSLAKELKGTVLEILGTA FSVGCQVDGRSPKEISDEIKSGEIDIPDE EPUS_03934 MSANIAHAVHIPSPFDHQQRIRNYFHAHHRTDVTSYETLPLYGA AHGYASTMSMVPRQYAFPAISAMPQEISKKGTTGLSFTEHALRRKTPNGTIAGGYDAT PVDKTIPLPATKHILVSLQEPAGIVSPRSCLPERSWQDRAVNAPTFLDSRTNSGLTAK QVSPFAQINQYPTTPSMTNYERWEFPGGLDSVLNQTLPMQPSGRFYLQHGSSIPTVLP TSLQAYPGPTASAGNEFFGRYSPNEAFVPFPYWPAPVRDHRYFPNASAHGWATNKHAP QNWMTLQTHPQPSQVDFLGPGIQASHSRDTGGFGQTPSDSFPPSYGMTQSGRLPPSKE DQQGCGLQDLSVYNTSGPFSPSNNHPNSLQKPLSDFSPYSDHAALREKIFKWAHDVYV NLLSSIHKHRQFNTVNGNGSARRSSKLNIFPRPPRQPGSDFSTVRKNDENSSQRLFQA LPTSMSNSQATPALRQRPPPSGSICSPSQRLSRQWSGEGGPRPSAGRMQSFSEYYHDR YGGLPNKVQGNFPGTLSRESADPSPERLAALAALDVLVESCQKSSRSWIEGMLLAGCL AYGLGDYTQALNWYQNVLSRDSSHVEALSNLAATLLALNRREEALQHWKQAVKLRPSY FEAVEHLIGLLCANQRTLEAVKIIEFVEQSLRISKQGEYLHGLETASEGESETKSRSS SDYNLEAWDAATFDYDENEKSPMFDLSSRDVESPGFGSSGFAIPGSENGRVLALIHAK GNMLYSLNDNKGAAAAFEDAILIGSGRRRNGIRGLIREILTAFSDDSNDGYLQSSRDP PKEPILLYPEKALLTAKLIFGPQGRLPGLEHVPHGPNSQNEKAAVSTTSNSLLSLAKI YQDGLSNAGAANGPKTATGVRDILALYYLSLSLQPSPSTANNVGILLASVQQVVPHRL SPKAVANQAINIPGVVAGSGVALALSYYNYGLNLDAHHAHLYTNLGSLLKDIGQLRAA IKMYEQAVKCDPNFDIALANLANAVKDQGMVADAIGYYKRAVHANPEFAEAVCGLATA LNSVCNWTGRGGVYAGNGDRDRWHVDDKGVLLDPTLTRQGTGWMNRVVEIVDKQLKDG VSWGRHCLTPGLIERLCQQLTHLDHAAGHRNTMQKRSTILKALREWSGQDWEGARIVR LIESAIRRICWQWYQDRYHDGKIYTEHRYARPVLPASLTSPNAPTVLPFHTFTAPLSA KQIRQISQRNGLRISVMSMRSSWPPTAVLPPPAPPHPHLKVGYVSSDFNNHPLAHLMQ SVFGLHNPRHVRAFCYATTPSDNSVHRQQIEREAPVFYDASSWNVEKLVKRITEDGIH ILVNLNGYTRGARNEVFAARPAPVQMSFMGFAGTLGADWCDYILADEITIPSSTLSPS RRNVTLEDRLRAESLAEDEEDWVYSENIIFAKDTFFCCDHRQSAPDAKNGPPPRDARS RQRAWEDEQARRWKLRKELFPALSDDAIILGNFNQLYKIDPSTFRLYLRILNGLPNAI LWLLRFPDLGEQHLLAFARRWAPLHVASRIVFTDVAPKGTHITRASVVDLFLDTPECN AHTTAADVVWSGTPVLTWGKWAYKMCSRMAGSIVSSALPVGPEGDRARGELLVKSEME YEQRAVEMGRGLRYFKDTQHEGDDRRGRGEGRLMDLRRMLWEGRWESRLFDTKRWVRD VERAYQLAWSRWERGEGGDIWLD EPUS_03935 MPLSHSSLITPCLWFDHEGEEAAKFYTSIFPDSEIGQVSYYTEA GHDHHHQPAGKVLTVNFTLSGTPFLALNGGSPDPNPDSNLNFKFTEAISFQIDCKDQD EVDYYWSKLLEGGGKEGHCGWLKDRFGVSWQVVPVQLKEWLGGKGNGEGGKRAMERMM GMVKLNIEGLRKAYEGTG EPUS_03936 MESNDETSIESLRRLLREFLAERSLAFRELAELRDKGWANKEEG DTYFKRQRERADQASDRDAYRLFKMMQGIGDELQATTGVLTLQKKPPEVLDLCMAPGG YSASALKYSPRAHVSGVTLPKEDGGHALLIRRGSRDKRVQAIELDITMLWSEFCTEDI PEDHPDHSKFLPGQRPYLDKSFDLVFCDGQVLRTHARATYRESNEALRLICSQLILAL QRIRPGGTMIILLHKVDAWDSIKLLFQFSKFADIQLFKPKKKHAQRSSFYLIATNVNP IHPTAISAVTGWKSDWREATFAYQETPTPEGRPVPNSSEISQVLTEFGSTLIDLGEPI WATQRDALKKADFIPKETANSQLPISPAALHS EPUS_03937 MHISKTLLPSLLGLCLSTNAACLQTQESGWHGPGFYGLRSRATG TVIDLYLGGAADGTPIVGWNSKGWNDHQTWLVAQVDNNEYVILNNGTGTAISANEAPN GVTSKKFCQLDGSIRWIGTIETVNGVDYATFESVEYPGNVLDLQGNGAENKVPIITYP KMVIGTSSGSL EPUS_03938 MNFGSLYRRFMQSLQRHKLKAESSRTLASKGPTSTTKSHLSVSS TDTKPLKTWRSLKTLLSSKPVENGLPFKATQRPSCYIVLVHLVPVGAVGVITWFNLYG YWIGKELSGTYNENAPKLLALQLTTKVHDLLMLASLSEALLTQLLKSLAIGHELPFGS LTAVPKFKGLSYLWSRDLRATCAARYPRRSLLVPLLLVCTMLGVTLRPSSATALIPRL GVWPAGEAVMTLNTSSDLLWPSSLQAIPSDPSICNHSSLGCLNSMVWDSIAANLFSYW GHTTTGVAAASPQHINVPGISSLRSMNIRVKGSVGPSEPNFTVASVQHAVIGDMVNHF RFLTFPSQSARCKRTWSPAQCSYKDVSWFVSAMQPAVSTACWEKQLNTSSVEFPAIRY APTSLKMPPISFNDDFEDETQPQFRWVPFDSSPSLHASIGAVIRLGTATNTQDFACTI QAQWAESNSSTSYTGTYYIIDSMIPALDSLTLIDQEYKRQTVTIDSQWAQQSVESLAG SQTNTTAFEEFMHLGEATKNVPVKLETVLSVLFAEKMAHIGSSTVPLSISSKDLFLKI RGDLSQNDMAAAARTSATNRTEFLLKTSMTGYSYGLYTTSGLSTSMLISIGVLLIYAV IALVHLASLYISKDPYIESWREERELLVTCLQSRFRPAILKMDPGDGGGQGQGQEQKR RDGNVRILKDIVLVTGREGQPELSFRRMDWPDGV EPUS_03939 MFSRAVQLWQAIQGRKVPEKKKVPVSKISFSSGFTITVHLLAVT VAVTIAALNFQGLWVGSELTGQRGKDAEKLLALQLVAKMHELLMLASLSHIVFSITIG QLVFGDGLPFGAVTAGHRFQQISYLWSAEFLAACVTTFPNKFLVLSVIVIFTGLGVTV GPASATAVRPTLGDWPGGGTSFWLNATAEDLWPSTLSPSLAGNETCTSLETCGSSTTW NTLADNFFKYWGHETLEGIHGMPESVQIPGRASVRTLSARFRGPMTLYQPEMTAATIQ PAAIADAVNEIRQIWLQANTRCYSGNRKGWDFCSYRDVTFSVPAEQPVVYVVCQSGNS TNAPLFSTLTPGSTRSDLVSHAENTSDIGSASTSSIKWVNLTGPAFTKTSVGAFVKAP YDKTSSGDEIFACSIDAQWANATVQSSFLNLPYMVNGEPTEFYLREQPHNKYQGRRVS ISPAWAELANPSLTIPAQNATTPFDKLFAAADSSNPTQYSAEKIEAALAVLLAIRMAD ISSTATIQGNMIDRYQLLRPNGGHIFTPPAASPSYHRFSFQTTVTGYAFGLRTASGIA TSTLASVLILLVYASIATSYIFYTIFFSRWHMSAWENMTELLALALRSDVSGVEALRN TSTGIETFEPLKARVVFRARGEHVEMVFDRDGNEIWETRKGEKVVVDAAYS EPUS_03940 MSLDSLMRDLERRVDSGLDLVNSLSSSQQGYAGEVTVEPVVFAR KLLSDARRSISHFSHVPLDYRSFVHYLADSRLPIIDPLSVEWGDFLGSGWTMSVYSGR WTSEDSKSRIVALKQLNLDVAYSMSSQDESAKDYRTKMASFTMELRLMSHELLKSHPN IVRLRGITWKQQQSSLLHDLITTPVLIVERASLRLNMPLTLESHVLTETSGRLSGEEM ARLLHHVASGLRALHALGVVHGDMKPSNVLLFEAQDGLSAKISDFGFCIVSDNRVEAL RGGTAFWSPPECLPHASPDASIQRLKDTRDYYSYGLIGLFVLFGRIPFRGDEEAITVA KNEDLMKQHLDEMFMSHFPADELLKTGHELVIRFLVQWVLVRDPDARRFSFDLYLDRL TSSSWLEIAKQTLQSRMWDAEWQRFGEPSARLRLLSEAPLDSLYHLTIDHTMDHWGSL QQYERTPELTTLDSLQSSYVPEPFQRAIFQNTWERVHQDRAPNVVLKQKFVANCYSTG FGVQRSTEKALEWYQKAAEQGDADAAKSFLQIAGFHRSRERLGLEQHCNLMLQVLLVE FIPEGAEGKVQKDLQSITVLRNQVLCEPAISEAALQQALETSFVRTAAYISEERRAEI FKRNRKFPEAFQAIENDDVEDLRRIIILHEKTDNQLVPINHLLHYAVAHGRAGLVYSL IHEFGADARARDEDGLTPLALALRLSKTQVVRVLLFSDKVGGIRVDDAAMDTASSGEG EIVQILHANFVQGTTAEKSTGSSMSLLERWSFFSLLPAMVSNNWSSFCTILSLGIDPN TSCPPEFASATTFFAPALLAATTYNALMLVAVLAFGASADIRVPLPDGRTPLHLACGN YFHASSGLDEDSPELFRRWPLDKTQVREEYLPGLQRFCITILLRFGADLEAQDYHGNT PLMYALENGRDLVAATVLMEHVPRANINTTDFLKNTALHKAFDQQRDVIDFCLRHGAD VELKNHMGETALGVAAKEGSMTRCAHLLDAGALIDARDENGQNCMHVALQKSHVDIVR MFQGAIRNKSGEGLQRILLDEDCRGWTCLHTCIQKCAEDEAVFHSIFSEWLSLCPEEW IDRQDANGWSMLHMAVSYSLECCRTLLEHGSSPTLADTVLGWTPLHNACNEANFDIIN LMLQHGGDMNKADHYRGWTPTDLLTQATDAVRLADGDDFDPGESESDGGEIQTEDTDI DAVLFDEGYNLSPDELRHIALRMALSMQESESDEDEDENQAEGIDTYAVNEGYDISPD ERRHIALRRALIMQKVSLYFTREALSRVARSMQQVDFDGLVEAERGKVFPQLLAQTGA KTSQGPSTDERETKLSLQVRLNEQRNLPSCFIMNDTGVCVDRYYIFPSASKSPRER EPUS_03941 MPSGFVVLGAISASWGLFKDIVSFLRRVYDGKSEIPAVLLRFEN DCCLMAALEAFFTETVLRSLRDDDLDHLQRVFSYLLPKLQNVAVRLRRIGGANLWDQA KWVAVGKSLKSAEEDIYEWVQRLQYPLMLLPASSKRSLLETVGGSSDQASKMINMVGT QSKIEARVADFHRAGKEVNAADVTKFKLVKGPVLSLVPAKGPQIISRDGTEQVVEFKR ITSSAANDPRVIDEVEKEVTKLTSLFSQLTDDQAFLLRPMNFFRSKLIPTAPFGILYQ VPSTHKFPVTLAEVISKTKPDGSRILEHSLDQRFELARQVATAVLFVHSTRWLHKGIC ASNVILLYRIGHHPAQRSPICLGTAFLVGFDFSRCDVSRSTGDETSGSDWGRQIYQHP ARAGDTAQGYQPFLQEHDIYALGALLVEIGRWKALRAYPNLFKNVTPEKRKTALEDMA RGLSTSLGQKYVDITLRCLQVLDQTQSDQRSNPPVLDVVHGLQDLVVATR EPUS_03942 MKSLPDHSSLLSLLQTCRSLSDIFDYAGPQILQAILSQLFHPRT IPEALTVRSKIFFNSRRRRKGQLASGSCKDHEDIIYYRAVAATSSSSVFSPLLAAPNL VGPPAQRWITTAH EPUS_03943 MSYPSGPSSYFEHLPLELHRMILAFLPDFSSLHSVVIASRSAHD AYMLSTPSIQWSVLRRLFFNEPQLAAESRWLHAASYIRRNTPDWHDDMDGFLAYAECK FEKQCAKDPRETVTAEGLRFHIIIEDLASAFPKAVNHPNLDGSTGVSESSIWKIPLQL AKSVRIQRALYRFQRICQMYPRNVQHLWYDGRTQKEHPLGDFIRRLPSWELEELHCVY RYLISMVSFLDDPKYSSLVCAHGIENEILSYRYKEQVISMGLIFLHKLVTAPLETRLA LLKQYCHPQTSTLSDVLPLDGANRPACKRAQDRLASSPCSGPARAGVYWDWHPSEIAV AQL EPUS_03944 MRWPSLWASYPSQQDEVSKELGTNTSQTPGEALDSFLSTSKSAS TLPPSRKSTDWSSFLTTTPSQLFFARENILPTAVLTGTCLAFYAFYRSYLRRIPVAGN ISPGFFRKRSLVGRVTSVGDGDNFRVFHTPGGRLAGWGWLPWRRVPKEKKELKNKTIH IRLAGVDAPELAHFGRPPQPFSQEALTWLTDYVLNRRIRAYVYKRDQYDRVVATVYIW KGLLRRDVGLQMLRAGLATVYEAKSGAEFGVGLEEKYRKAEWWAKLRKKGMWAATKKN FESPREYKTRHGSETTVEAAKGK EPUS_03945 MASRYHSPVIEAPPLKALKPPITRQQPLLSSTLSIAFDALDDLK EKLSHCQTMAFQIEVVLNDIKTSANCTFASQDTSLIDLSRDPRPAAKVLLAAQEAQDA QAALEARKVEIAMKAPAAPAAPEAPEAPEAPEAPEAPEAPEAPEAPEAPEAPEAPEAP EAPEGPRSPRSPRSPRSPASCKERFAPTFNSPPAPSGNKAGRTSAVSRLRGPVDFIAG MRDGAGVDRLLEKQRASLPKGNVLGLAYTCEAKCGYDDTAADFISCDSAFHRKQPRLK LPTGEYSDRGWYHRPCEGVPPGPVPSTWFCSSCRARGRMSTDDHDYDDGNDSDQDDQP DADDFDGSEDGFDPGQGTPSEDGDDSDGQDEDQYDYEDLGSTNADKENRHITDEVAQD NDQDVQDDAHDLHDDDQDSQDESDHDYDYVNPDKRRVPYIIGDDSQDESDEDDDYVNY DNYWKPYTIDDEDKQDSNQAANTASSSTTRKKPNKSRKPTPHSLGTAGANQTPLPPPK TYSRQRWLEEEKAEAIRLMREIIDEDVISGEARFEETARRMRLQGYQRPWTGVKNVWN RGLRERSGYDERRNQNAPLTTSKQDAETKRKRKEEKEKEQASRSSTTETDGNGGDGSE TVDQTVDQTVDQTNDQTNDQTNDQAQSSTSVQTNGYATPRAKSQSPKRQHVADEDDEA TPTKRRRSV EPUS_03946 MSQQFGSSMADDDNMDIDIDIDMDADVEPIPEPELEEGEEYELT PFNAPIPDTLVPAIYTEDPEQEIQPTKVHIRGIDDFSTDDVRNFAMDYFSPPPTFVQW IDDTSANLVYPSSDLALQALEALCAVTPTKTDIINSPLQLREAKPRAGSHLQVRRATA SDKKRRNAKEASRYYLLHPEADPIERMRAEFANGRSRRNGDHGDYQRRRYNDREDRRR RDQDANGESGGGADFNASMYDDNPEPARPSRSRGAGGGRRTARNRSASPGRSTTNSDE IILDDASDPSETERPSSSSFRRNRPTNRFRDRSPPPTYSRDDPHPFPKANREKELFPS SISGTQTSSGQLASDKLQAQKQADRVAAAAKLKKELFPNRTDRSNHRRSDAFDARAKA DAANADELSRRMRGRMDISDHNTPASASSNGNAGKELFSTPTSSSGAGPRSGDDSGLN IKGTAGLSIKGGAGMSIKGAATAPANVRELFPDKFVKGGSGNEGKELFSDKIRGRGTL RRNKAEDLFG EPUS_03947 MSTTSFETTEQRALAAERNASLPKSRKGALEATINAVELYMRAL RLAPTSNEKARLDSKCKELLSKAEKLKVEDVKAECDDRNTASTLPPRVGLKQPTSRRK LTTREEIILLEGSKLNGFVFPPWKGDPTPDEFVLKDGQNLFVDSRPLQLSSLQLKTFA GWKRPWEAFAGLDMLQDGIELSPQPTMQCVKKVDLVQDMTSDCSVVASLCAGSARAER GHPKILSSIMFPYDHSHSLPALSPSSKYIFRLYFNGCHRKVVVDDLLPSSTDSRIFHV VDRSNPSYLAPALVEKAYLKVRGGYDFPGSNSGTDLAVLTGWLPEQIFLHDEDVMPED LWSRVFKAFAYGDVLVTIGTGKLSKREQRHLGLASEHDYAILDMKETDGMREMLIKNP WSDGDVWKGVSRSSARTDEEKGQPLLGSKEQLSANTKQETMAPGTFWMDLGTVFQHFE NLYLNWNPALFSHRQDYHFSWDLSTAPSMLGTFQSNPQFSVTCSQSGSLWLLLNRHFR TSDYQGNNKAPHGFISLYLFDKKGHRVLLSDGSMIRGPYVDSPNVLIRLEAQARTDYT VVAAAQDLPSTKLNFTLSALSRCSIELSLAKKRYLSAVSLGAAWSRSTAGGNSESTAY LTNPQFSLEMPSRAEIAILLQATEPAAETKVAVHIKVFFTMGSRVATLRSRDIVAQSG DYRRGSAAIETILDAGTYTIICSTFDAGQMGKFSLSVQSSSDSAPNLRALPAEGSGRL TSVSQPAVFAAGTNRLLAPVWASRLARASVVVQPMNNPVAGTQSPRTYSPLKLTLEQG QGPYKVCLATSSASNENEFDDPATGVRIDDFQIQPEMQGLATGGLWLVLERMAASSAA MQLEERFQILVLGEERVSTGAWGVGDGLVAWYAQSSIGTGSGSFLPALLGIVDQVQLE VKMSSQIPAAVRAADLARFATRASQLEKVKPLISYYCNYWIVNQILAKKLEKTDQDCF VYTTHLIDKLEQFKADNQEHDAAVDDLARRAYVEEFALETFQRADNALRANKASRQTA DTFQAAATFLELLQIWGEIDAENAAKIKYAKYHALRIAKALKAGEDPNASNPAADYRT SGDEALPALDPNDPEVQMLNGSSTKPRQPSVVDVPDEAYKIQSDLARQSSLNESLHPS RDPSVPRPSVIVPKPKVEDAPDDTDRIQSNLARQSSLDQSLHPSRAPSLPRPTQDEVS PVEASASAEDFYHQTSPYDDVSPLGSEAERKSSIGVIVGACARGWDLRIWSSSSSSST IWSGDG EPUS_03948 MAAQTQPLPPWGSAVAGATGAVLANALVYPLDIVKTRIQVQARK KPLDTNSPSTHADHYDSSLDAISKIVADEGITGLYSGMNGALLGVASTNFAYFYWYSV VRSLYESSLSMPKPPSTAVELSLGAAAGAVAQVFTIPVSVITTRQQTQSKGDKKGFFD TGREVIHSDDGLTGLWRGLKASLVLVVNPAITYGAYQRLRDLLFPQKINLRPWEAFLL GALSKTLATIVTQPLIVAKVCLQSKPPPDRKGKPFKTFGEVMKHIIEHEGPLALFKGI GPQILKGLLVQGILMMIKERMELLFVVLFAYVRRIRDEKMKQAADAMRSGAATASDQI SDKTRSMKLKEKADAVTSKTSTLAESVSDRAKNMLPITTK EPUS_03949 MPSSATPEVIVARFLQSNHYNETLDAFLREAGLPADAAQTAAGD WTIEKILEEKRQYDAGIVYEKTSGEEREGGWTRPAPSQPDTITSLDSPSNILAVHVTP TRTPWATPEDGTKPLQLLISSADRKISVLSTRLGYELEKTVDLSLTHNSPVLCLAPIH HDAWMTSSMSGHVDLYRAGHRCIDSERHHVKYAVQVIVTRSCRDGQPGRPFCIAATAG WDQKICLYSFEPPSDASLPHGDEQDESSVIGSPLTTLPVATNPESILFVRHPDTNELY LIVGRRDSTYLYYYKITEHSPHSTITVHEAGKQNLAPHANAWISFSPSSLAACPTDPT LIAVATSHLPHMKLILVRLLFPGTREDETGAAGFVRGDTQTSQARAELAIQDREDAAI RLHVSTMAPQTPYSTPQVVWRPDGSGMWVNGDDGVIRGVETRTGKVVALLKGHEVGSK VRSLWAGMVRVQGTDDGNGSEKEEEWLVSGGFDKKVIVWKPGNGDSAV EPUS_03950 MEPTKHQNVAGSSRSEPPAPIFTSTAASSKPESRDDQHYYPPSN REVPSSEAPSGEAERDHHRSITEFVASGTVAPTHFGTRRRRLLHPASHPALAPSSDNF PLSSSHLAREETPVSFAVHRPGPRRTPIERRVRRQGLEQPHQLELPRSSFESHRSAGR FAADVGSHPEERRSQDQVRNLNQEQSVPDLVRSASPGSRVGARSLSVGLTLPTELELL VASENSQPPPPIPPRAASRPNPYPSSTPAVPPRSLVRRRAVSYRSNPPGIASASRQGA HRVITTPVRPLESLPESPQRHHSRPSGSDNSFRKENSSSSPLARQVTPARKPQNPVTP EARSLGTAGTGSSGTTSFSSTNNEAGYLLQGQGPSRAEHSAAESFGAVGDFSAGPHVR GISGSTASPDCGTPSSSPLADFDFGFEREEDERVSGQGRSGRVPPPNTPAPAHPNFAN FKFSFASLESAPQEAPEETRSSEPAPAHPNFANFKFSFASLESGPQEASEETGSTKPS LPELPPAGIGRGPRGLASAIAEKCRKFEVESPPARAKQTSTQSQVRAVSDDAGPRLRL SPSSRISSGLPKTGTEESALSEIAKGQRPEQRSPMPNRSDSSEDMDFLHPESAAAMTE HRREAVRMAKSQEAAVVEKCKRSGAAAPPYTFDELIGKGSFGRVYKGRQTSSNKVVAI KVIDVDDTDFRAFGDAKDEQINDFNKEIRILRQAQESGAPNLNQMIEALPVHSQLWLV CEHCPGGSVKTLMRATNDKLGEKYITVVARELSKALKGLHDAGIMHRDIKAANVLIHE EGSLQLCDFGVATVLDKKTDKRRTFIGTLHWMPPELWDKDPEYSDEVTTRPSFSDVLA HEYLANTEETHPTRILSELVKVYYSWLYGGGQRASLFMPGGAAAASAPESLTTSDEEW NFSATDNFKKRMSSVLNIPDFSDLSEMQSMEGDSTPKAPRAAATGELSSEEQANFEER VRRGADLSNIFDPNKPNYEYVTKKDFVPIQQRRVSDLPLRAMSEERPYSIANQVIDLG EYDSSNYASVSQTKDEKIKLADAATIKANRGNSKLYLDVPTSETSTTKPTMSANKGLS AANISADALLKEGKGAYFVKEY EPUS_03951 MPPQPAAIDISVESVTDTTSVVLPDPIETVVNGDGHLPFAIKDV HGHRSRSGPMPSGVAPYASADMFKSPASFGKPKAKRWDHWISQESSSRTGSSIKKSAK YLKKPGLITLGGGLPSCDYFPFERIDVKVPVAPHFSEPETLESGIVRTAGKHDIRNGK SLYDLEVCLNYGQATGSAQLIRFVTEHTEIVHKPPYADWWCILTAGNVSALEMCLRML CTRGDYLLTEEYAFATTLEAAAPLGLRTLGIRMDKEGMLADDLDHVLTGWDELERGRP KPLVLYTVPTGQNPTGATQSYERRQAIYAIAEKHDLCIVEDEPYYFLQMEDYAPGRIH STAETERVPHDEYLNTLIPSYLSMDTSGRVIRLDSFSKIIAPGARAGWITGAEQLIER FARHAEVSTQTPSGISQIILYKLLEETWGHDGFLEWLMYIRSEYTRRRDTIVAACESH LPREIASWNPPMAGMFHWINIKWHKHPKFNKDPSTTNLKEVEESIFQAAIGKGVLCSL GSWFRAQKDTDTEIFLRVTFAAAPLDRIEEAISRLGEALREEFQNGEANGIEQGANGH GS EPUS_03952 MSDSPPKNEQETEQGAQSGEEPDQMDREQEGAQQGLGDFEVKEQ DRWLPIANVARIMKTALPENAKIAKEAKECMQECVSEFISFITSEASEKCQQEKRKTV NGEDILFAMTSLGFENYAEALKIYLAKYRETQSARGENQNRPTSSGAGGGYAAGGPVG GAPGNSAATQPSVFSAGQPETAGTILSPTHGLDTSEHDATGPYVYPGMVSTGHNGTGA ESY EPUS_03953 MDRGDSPKRRKLDVDRYSTPPISDGLQPSLKPPIFSKHQRFRDT GSSGHSRSSTPRGNRNASTPHQTEPDGAEPYIADEETNALDRDWYAGDEFGHTFGDET HNPFGSADNTWADQQKEAALADKKLNKRVTAKAAQKQKDVDAWETNRMLTSGVAQRRD HAVDFEDDDDGTRIHLLVHDLKPPFLDGRTIFTKQLEPVPAVLDPQSDMAVFSRKGSK VVKEKRQQKERQKQAQQATNMAGTALGNLMGVKEDEGDSAAAAPGEESRKGGSKFAEH LKKNAGASDFSKSKTLREQREYLPAFAVREELMRVIRDNQVVIVVGQTGSGKTTQLTQ FLYEEGYSKFGMIGCTQPRRVAAMSVAKRVSEEMEVELGGVVGYAIRFEDCTSDRTAI KYMTDGVLLRESLVQQDLDRYSCIIMDEAHERALNTDVLMGLIKKVLARRRDLKLIVT SATMNSDRFSRFYGGAPEFIIPGRTFPVDIQYSRSPCEDYVDSAVKQVLAIHVSQPAG DILVFMTGQEDIEVTCELVEERLRLLNDPPKLLILPIYSQMPADLQAKIFDKAPPGVR KVIVATNIAETSLTVDGIMYVVDAGFSKLKVYNPRMGMDTLQITPISQANASQRAGRA GRTGPGKAYHLYTEQAFKNEFYIQTIPEIQRTNLSNTVLLLKSLGVRDLLDFSFMDPP PQDTITTSLFDLWALGALSNLGDLTPLGRRMTAFPMDPSLAKLLITSSGPAGSEFACS EEMLTIVSMLSVPSVFYRPKERVEESDAAREKFFVPESDHLTLLHVYTQWRSNGYSDA WCVRHFLHAKSLRRAKEIREQLHDIMVLQKMELVSCGTDWDVVRKCICSGYYHQAARV KGMGEYVNLRTAVTVQLHPTSALYGAGVLPEYVVYHELVLTSREYMSTVTSVDPHWLA DMGAVFYSLRSKTYSHRDRRITEMEFNRKMEIESQMQADRVEEEERKRADDERAALLL GGKKMPALGKGNRAVVVKRMGSNGVVKKPGGAAMGARRRVGGF EPUS_03954 MAARAAEARRKREKSVDIHAEPLSSTDEEELEKTPEPTPRSKRL LNGMKRDDEKIKKNQQSLKETKELAELESRKSAGAKQARRKKLQDDPTELTLVHGEEK ATSTGTGTGTGAGAGELSEDEAKFMSWEDERAAKRKRVGSAKTTSS EPUS_03955 MGTLEIAHKGKHSHASPASDEDARLEDEVDDFGLPKNRPRRVDP VHATSDEDEDEAAFHDAEDHINTKVEGQGLRTPKPALPDSSQAEKISASQASANEKIA QKSTVNSTPPGSLSNGHHDYGNPQVSIREIREVESGSEHKPVAEQRSSTPPQDYGKAL HGHSNSFETSPASKINTPPSSKTKRPSDAPAVSEWSHQRLAPQHSEIKEEEDEGDDWQ AMPALAKYDLYDDEGKLLARGAEPEDEEEATYGGLGGAGKGYTRVQLDEDAKSATSMD EDTGYLFKESQKHNVMDDEEQRDAVSQLQATKDLLTEGQRIAYVGVTRLTMFNMTREL EDIEATRTTKKELSKAFESMTKWGQQMMVRLYAHMEIDSAEQVMIEQLTDHGVQPTDL VPPLMQTSRVANPMADTKSPEKLARPEDQESVQDKSESESAKTESEVLPRDSKDEEQV PRVHTPSQMESTAKIDLDLRWTVLCDLFLLLISDSLYDARSRRLLEQVAKAMDVSWTQ ICRFEKRVIDALEMQEEANKENWNEEEHMETRRKLGLRRKYMVMGLATVGGGLVIGLS AGLLAPVIGAGLAAGFTTIGVTGTAGFLGGVGGAALITSAATAAGGTIAVRASHRRTG AVKTFEYRPLHNNKRLNLILTVSGWMNGKVDDVRLPYSTVDPIMGDIYSLLWEPEMLQ SMGDTINILATEALTQTVQQVLGSTILVALMASLQLPIVLSKLSYLIDNPWTVSLDRA NSAGLILADSLIDRHLGNRPITLLGFSLGSRLIFSCLKELAARGAYGIVQNVYLFGSP IVAKKDEYLRARSVICGRFVNGYASNDWILGYLFRATSGGIMRVAGLAPVEGIPGLEN LDVTQLVNGHMAYRTAMPKLLREVGWEVESDEFTEIEDPDPENHQKRQRELIREIDEA RKEADAKPQKTRFGFFKRGNLAEKKGWETYQTSNSSGDLRKSTDGSSGLNGNVLFDIE AIRKELESEMIEVRQLESTLPPIQIDLNGSAAQQSPYSNLRHTKSSDASMGTETRTVK EIVEKSLPRPLPEELADVKLPPRPSDLDDDHPSPDEEREIRMTFDNAFEAPRELPSTL APLSVRPDDRTPSPFEIPELKTSATLPTSMSTKFGSLNLEHNAWADEDEDFSREREMR MTFE EPUS_03956 MASTPPPFSVENGHVSEKSDITPVSNGDAIESTSINEKALMRKI DSRLLPAVTLLYLCSFLDRSNVGNARLEGLSSDLDMTGNQYLTSLTLFFIGYVLFEVP CNIVLKRTSPKFWLPTMTLMWGTVCTLMGVSQSRIGFFIVRFFLGVTESGLFAGVVFY LSMWYKRDEVHFRVALFFSAASLAGAFGGILAWGIAHMRGVGGYAGWRWIFILEGLLT VSVGAGAYLFIFNYPDTAGILTRDERIFIQHRLKEDNDSVRDEAFNWTNVSKAIKDPK IWLYGFSFHTVALPLYTLTLFLPTIIQELGYTAAEAQLLTVPPYAFAFVLTLTIAYLS ERSQIRAPFIIGCSSFAVIGYIILLTDYRPGVSYVGVFFAAGGIYPSVALVFSWPSNN VSGQTKRAVATAMMNSIGTSGAILGTQLYRAETAPRFYLGHSFAMGYLVLNVSLTAVL WRVLNEANKRKESGRENHGLESLSENEWLGDEDPRYRFRA EPUS_03957 MSTTCTALNFRQGPLLNDSELDAWLMQRGPFEHPGAPGNGEKPS GGFRLLLCERPWQSPPALQMSYASFERVEEVFDLSPATLPSLFKYAGVHYRSYKRHAH SNKVESLKMIVKATQKVEISDALLSLSHTFDTQWTTAILCGRGFVVRQNIDDSYGLRL DHILALTESFTEYWTHPLFLPAALLQNLCHRTGISTGLLNERLIDLENDIGVTFAGKA GHGRSLEKWPADIDIKSATIGLHSTNLAKEIEVVSPALSRTSMELSEYLAYELCSMSN TARFVRGYKERVQAQINVLFSAVSQRDNANALEYNRFANKQNEIGQAQNELSIKIASS TKKDSIAVMAFTFITAIFLPGTSIATLFSTGMFHWQDDTSRLGRSTVSSQFWLYWVIT VPLTLAVMTGWFCWYKYADRKRKKETGIE EPUS_03958 MSMVLATTWVRRGVAAPFPRKYEIDEAELGRISKLARLQLEDAQ EGLTTSHEKSDRGKEEESDDSEGGVQENGGVKVLDDKVDNDDDLKEYNLDDYDTEPID DEGEKFSMFGNVRSLAYHAPSEEDPYLVLPEGEDEDDEEREELQILATDNLLLAAKVE DEVAHLEVMVYEDEADNLYVHHDIMLPAVPLCVEWIDLPVGKNSEGRTTGNFVAVSTM RPEIEIWDLDVVDSMYPNAILGQEPEEAREGNGATKKKKKQKKKLKANDEYHVDAVLS LAANRQHRNLLASASADKTVKLWDLNTGKCAKSYSMHKDKVCSLDWHPTQSTVLLSGS YDRTVVVTDMRAPDAKAPRWTADADVEQIRWNPHDPNYFYATTEAGTVYYFDARIVPS EDGITKPVWILQAHDGAVSTLDINPTIPDFLATGSDDKQVKLWNVQNNKPSMVVSRNL EVGRVFSARFAPDQEVSFRLAVAGSKGAIQIWDTSTNSAVRRTFAGRVALPDGEVKER FVGVADDQGDSEEEEEADEVENGEEEAQGWESMDED EPUS_03959 MALARALTKRAKRQHEASSPSEGSFRFPAGTIERSKISLPIELI STTNVNALSAPDIRSASSNSSNASFRSADDSDFSSICKSFLSTPATSADNSSIESSPI TPEPRHGKGFFDAVSPKRSATTAARGRASNGTQSSTDAPALPQRALTHSKKAHQEVAR NRSLSRLTPPPTIMDNATMVRNSADMFSPAAVDPNHPFGNELAQVNEVAEEFGGVSAL LQEEEQEMKMKGLLRFGADDYVNEILGLWEGAHGDRLTGLASPWI EPUS_03960 MAEMLAVLKEKYGFSAKLGQLQRQLKSWSSLAAATNASPGTVQH TTSQPGAQPTRRKRGPPSINVGGRSQRSPSPVRLADQPQHILYPCNTANPKDAAKSPG VQLHGLASAASSSAVTSTAQHRPFDEDGPSSQADLTGHTEVCRMTTSTDSGPSGKSES NAQVHEFQCSIERQYLKDFLQYIRGLMRDLEIIRDLEPHTTTTTNSDNNDDADKNMLQ QDRRRNFAEAEHSKPAAGSAHKTNSTKQRSDNPWPPATILAHPTSPYDRVNRQQWRCA SPTLFSIAPSSISGMSTVKSTARRAKRCCSVEIGRDKENKATASLSSAFTNRRHSMSP SNFKDVTGMSSCPSDDNPNVDDVDLCGDGAMMEEGCLGVR EPUS_03961 MEQSGLQHVHHTKLVQANRQLGLPDSPEEQTLRPVGSIEKEDMK HGPDPRQTGAEVTGAPNSFCANSSTADHLIRVDRSEIITPRISDAGSLKHDNLRKLQA ALADPTPLARWQSESVKVSAWNNMGECVLGWNRDSVSPEKKEAQEAACANKSGAEGCA STNDRKLDQLPSH EPUS_03962 MPDGRDRCPTILPAPHPGTRGSTFVDPRDVGIDPDTGISTNTNA HSDPTPARRSARQSRRSAKSSPSAGAGPSTEAGSSTRIGLSAGASPPGAAGPSGAARP QPALPDIPRRLHRKKARTRAEPSPGAGPSGAAEPQPAIPIIIPTPRPGRRKRRPEGPA IVERPHSVPQPGLDEPIERSPSVKARSQHRAPASGSTTPLLPAYTRGRPADPSSRWES MLVEQAQEEEKILEKTVVEFIRLDKGQPSLDPAYDGLNWGETFNAASATLEHAMRKIL YYERELRLLGVSTRSPGPDRFRLHRIPSRLHLPARGDRGPCVEYRRPRSPGGDRGAGA GAGADGGIVGGGAAGAG EPUS_03963 MPTIQIVEQGAHVLFARQAQGEDAPAELEWRSSELSATNLAHLD VPGNCFIFASSNVYMQSEHLRHDLSRHFQIPDWFWGGRYLSSNGSFGSDEVYDNDTQA YTPQIWSQYLVKQLEQNTGYKHHGYVWYSIKIFAFQNASGKTSVLCLDTPDRFRLRLL ESLKSRGWRSDDPDIYQLHTFLLDQIINLYDESVWALRDLVRNLERGRTGIRHVEPDF PVLHDIARHAIHVSETLDVAIENMSSMLRQYTSFPEDRSFQSAEEKTKSRRTTQCFNF QMQTLKGLKARSASNQARMQNEITLAFNLVTQRDSQVALSISGAMRSDSTAMKTVAIL TLTFLPATFVSAIFSTSFFDFSPPAQPKTATWTVSNKFWIYWAFAAPLTVATVVSWFV WQRWYIRKSAQMHA EPUS_03964 MVLNREKRSTAGRAPRRLDDADRDTPPASAQSTGAQKVILRVRE ESVASQGSKRSSEKGSPGPAGPAGRKRAVSTQPISLRRQEDVSTAPPSSELEFLDEEN AQDKAEPEEIEKEIQETLLKSNTRDSSYTIELSVMLGKRGIYNTSIESERFIFERFES EAQNRASKAADKQKKDAEYLSFEASIKIGQQKPRLYTIKDRLDMNRVDRIVAELQKAY NRAS EPUS_09486 MNTRQQGWAVFLSQYWDNMTVIYKEGRDMVVPDALSRLSMKLHS QKELQQPEEKAFLGVVLLGLNEGELERLRQEVKQEFRDLCEKFQEMPLPTPNPQRLPN RPYALFHQENSSDLVRLDAQGAEPRFVIPHSFRHAMLQAAHDDQVHGGYTRLREALAG VWWPKK EPUS_09487 MTQPIRTTRQTRGQTKAAEQAEEDKEEIESEEDTPEEHEEEAPT TKEKGTQKDTSRFASLSSVGAESAKSSVKRVPASDSSNMTLKPSELYLFKPTSRQDEK SARIFVERIEDARRIYKNQSEKLALLLPRCLDNEIAHAWYSALSLEDKDALSDSVNGW IRIIKRDFMGTLSELRSAASKESFNWNQGRLPTEYVDEKVGKLRIAGMNSEEDIVQRV YEGFDDVPELQAALAPYSEESLANFRKRLRALQPIHKRMYDEKIAKPRESRTKWSSGY SSNYKANRDSEKLIQKPKAEEVPKRQIDSSANRTSDYFTPCRRCEAKGRNPNHRQTNC PLRDEKKEKGFAGKEDDSSEEESTSAPQRQRRGYAGKQASDMSDDGKSDNSRGEGEIL ANFGVTCHECNKFFPSGNQLHGHLKSFNAHAIESAQTVLDLSTNLKGEPLEGISNSTE TRVTAYPNTDAGSSNTFIAVIDSGFGHSAVNRELLAMLPHTVKPVKQLIIRGIGGRQA VSEIATFVFYIRGGQGVILKLKIAALIFDDLGTDLLISTDYIKAWNIVIDIPRQLAIF HRSEKIRKPIAFVRLQVTRQPISNFVVRVSKDSTIPPHSMGQIALRLNHSGKADLLFT SNRPEIPDGVITATQSTVMYSNQESTPQTIKRGTILGTASSIRAGSFATSEIATEILN GFLGRESLRLDIGCTCIAKSCTCVITNSFQGQKTKDTISAEKEKVKDSRWLEKPYRPH YRYDIPKGIVVPNVSTSTYQEAHVNEDLPLMQQKQLRQLAKRFAVIFNDAPGMARQPE DEWLRIRVPSELEQKLKPRALYRNAPRAKKDIDVTFSQNVRLGRMALAKHSPYSLPVF VVYKYTPEGDVKKARPVVDLRPLNDIAESDAYPLPLQEDILASLAQASYISSIDFVSS FYQHFLHPNDQYRTATVSHRGLEQFCVAPMGFKNSPAHNQRTFERLFTGLLWKIVNVY VDDVNIFTKGAFEQHLYDLDVVFRRLADAGYTFKASKTYLGFQKLVTLGKLVSRLGYS TAEEKLQAIANWDIPRNGHDLERFIGFVGWHRQQVPYFAQRSEILQELKTSIFRAPRK DKGSPSEKPQERKSRGSKALGNLWTNQHNAAFEDLKAAFTKADDILRHFDPVKILYVF LDASKELGFGVAAYQLEGEEAQDPHKPSRTFLRPIIFLSKCLTPAERNYWPTDLELAG LVWAVKQLRIYIEQTRTIIYTDHRERIQSS EPUS_06574 MDTTASTLKSDYPWIQFPLIISAAMYPMSCPSLALAVSKAGGLG FITGGLNAVDLKKNLEESAELLHQVQPPMSFEKGTLPIGVGFLLFGNKLDEVVPLVQE HKPAAVWLFAAHQIAEYATWARAMREATDGKTKIWVQVGTSVDALEVAKICEPDALVV QGADAGGHGLQRSAGLMSLFPEVSDSLKADGLGHIQLFAAGGIAEGRGALAALALGAN GVVMGTRFLAAEEALIPPGYQDAVVRVKSGATATVRAGLFDNLRGPNIWPKGYDGRGI VNETYVDAEAGMHLDENSRLYAEALDGRLQQYPESGQSATGTGRLTMWAGSGVGLVDR VMPAAEIVEHTRREVKEIVGRLGSKCLE EPUS_06575 MNHDWHKKYGSLVRIAPNHLSTNDHSAQKIIYGFGTKNIPSMEK DPRFFTPAVDHSMNIINECNMEEHSRMRRMLSFAFSMSSLLDNEDVLVHRIDEFLGMI DNIKEEDGKKGLNIIRHFNYVTFNIMGEMSFGDSWDFQLKEQHEHRYHWADVIVNTTY MNDVMRAVVCIPGLSSFLEWYRPAHMRKTLYRHAEYATEHTEARLKSQTNRKDFMYHI LNSKGASATSKEIASHYNAIMMAGTVTTATFLSGTLYYLCHNRLALQRLQNEMRSAFP TIQAISSKELMKCTYLNAVVEEGLRIYPPAGAAHLSRIVPKGGCTISGHFIPEGTRIS VHPWSILRDPKNFHEPNKFIPERWIETESEGQRGDKLDRSLPFSYGPRGCLGRNLAYL EMRMVLAKMFWKYDIVWFNGDEIDWERDTKGYTLWEKPNLRCMFRERDMTGPP EPUS_06576 MSPPPRPLRHQLWPDGGLPTLHASLPHTPLPTLLSFPVRRLTSC TTPPSSPLPLPVSEVGVPEVVVYNAARINYGMFGQYATEDIITDFKIPNLGPYTTAGV LLPHLRALAESEASMKPSLFAAQASLVKLLADGNKDIVHVVLLTVGGQVSFDEEVNNP QNIATKFWELYEQKKGNWSSRRNADGDMRMLVEKMDLKAILIGFYEPGIN EPUS_06577 MPVDFNLSPAEEGIRKAASAFAAGQAVAGGLIKGQIPPSLGGTG GTLVEATILVEEMYAVEPAASLTIFATGLGLTPLNLTAVGKLGVFRTRRGRELSGKSA PGLVTTATPEGDEWVLSGENIWATNSAGWDFEGADLQCVVCRTTNPKSSEPADAIMIL LVTIADIKRNAPGAFKIVRQVSTAGHTACSGPHIKYTNLRVPLKNVLCPPGTGADIVS ASFDCSAVLVGAMSVGVMRAAFDAALAFAKRDNRRGASDLLTRQAVADLLSGIKMQTE ACRALTWKAASCLQNGPGEYNARRELALAAKIYCSDSCTKAVTKAINVVGITAYDADQ PFADLLNVAMVLPIFDGGNVGIRRRHQTPAHARFDSVGGL EPUS_06578 MSTFPPSAATDPNLPHNSLVPHIIGVCSTMLVLVTLVIVARFWI RWTLVKARLGPDDWCILVSWVLAVALDLDGINQIRYGLGRHIYDLSPDTNFSVSLELC YFGEILYYLCVGTTKVAILILYLRLVVQQTYRMLIWACIVFVILTALSCVIASIVQCT PVHKAWDAAGNVAGSCFNVNALFFANAGLNILQDALVYILPMKMLYDLKVPRRQKIAL MFVFAVGGFVVVTGMVRLNSLKVALRTPDPSYDNFGAVIWCAIECNTGIVCASLPYFK PLIDHFYPNLMGHSRGSAKMVRLTNGPAGSKTLKQSQKRNVDQTELELERGIGWNDSY AAKYAANCGYSVTANGKSSSTSNGSEDHISSQEAHGIHGGIHKSMSVVISRD EPUS_06579 MEPNLKSIDFTQERYNETIELCKTYVMMMEKRKICQEAIITQAE YDYSQEETARNKNKVDLERVFLAANTGEKRRVVDRLAYRLLPDRHKLQGGYNGLISLL SWLEMPEDAVPQNGNSHLPEKICEGIADALYGVADWDEPPLGYGPNVKYFEGQAATGQ AGRGPETHSKVPSSPASSLRNFTKGFSGAVPSQKEKSKCQSPSGSSPRSPPREQPSVG SKASEGESSSQQAARHSPVLQGGSSSQRAAQASQASQGGSGSQQASRNPTASQSGLSS QQAARNAQTSQKGSNPQQGARNPPATQSQTGSSSQHAAGIPLTSQTCASPQRGARNPQ ASQSGSSHQRQAVRDLRDPATRRAQQRRSALNLRAQMNRLLEEERAASGIPRSESDSM FPSGAAAAVLTAERTVRFRFTTTLILTPTTSQGLLNISCTLHSPIINPVAHPLWRGDV EAVFEYLASYFHVSSLRDGSHVAVYLQPICNLTELKRIAQAAIHFEKTLNNMIPPNID MGDMQGLDWPANIPIGGFDHHIQRMVFPMIESAKSVQDLAALVDVVGLTSRYWMFYKM IRPEMLDHGYLRWDRPPGLQNARLAIEYTTLAVAFIEAAVEFADHPPKELLNFAQDPA GLSKFLSGKGPSSQSSQSHQSRAGAS EPUS_06580 MEWVKNTYNEQYENWVPWLEDKYLAWFGENKSSYFAKDNLSKTK ITGDKNVNALQDGVNDGVGGQLGKGGLLEGVGKLGSKEVFTRSERGGKGEGGSVL EPUS_06581 MGDEKDSQRYEALAIPSYEEATSSRPTSSHLRLGPEEISDDAER QGLLRYSPQSRTQSPNGYQPPTVESARSSLDLPPSVDGSERRSAEELRHEMEQLEVEE ADNDNGSHRSLLTNRFSKSITSFTNSISSLHLPFRQYLPSFSLPRIRPGSWVRAEHTR FVIFGRIFGAFLIISVVYLLVASDVLSIGARRSVGEVYDPESIRLYIQNHMNENGDIQ QYLEYLTRDVHMAGTKGNLFYAEWIKDLFEAASLEDVALERFDVYLNYPTDNGRRVAI VQPVEKTWEAMLEEDAIHQSSPQTYSPVFHGHSRTGNVTGPLIYANYGSKDDFNWLER QGISLVGAIVIVRYGGTQGDRALKVKAAELAGAAGCIIYSDLEPNGEVWPDGRFMPLD GVQRGAVSLMSWVVGDVLSPGWASTPGEKKRLSPEESTGLVRIPSLPISWRDAKHLLE AIQGYGKEPPQDWQGGSLANEYWTGNQNSPMVNLMNMQDEVIREPIHNVLGRISGWEQ TEKKVIIGNHRDAWCVGGADPGSGTAIMLEVVRIFGELRKLGWRPLRTIEFASWDGEE YNLIGSTEHVENRQDELRRDGYAYINVDVGVSGSDFWAAASPLYERALLRVLDRTSDP TTNRTLRNLWDAKHASLEGLGAGSDYVAFQDIAGTSSIDFGFRGQSFPYHSCYDNYEW MIKFGDPSFGHHTVMGQIWALLALEIANKPILPFDMEDYAKYVEGYVNDLEQYVRTKE KDASVPNALNFRVLHDTAAEFKTEAHTFQDWARAWTDVVFSGGGFENNVMAIKRMSHN NRMANFETHLLDLEEGGGLPNRTQFKHVFFAPQAWSGYDEAFFPSIRDAVDVGDWEAA QKEVNKVADIFGKAVHKLNHN EPUS_06582 MTCLEFDNPEAAQDTSKTSTGPGRSGFLTSLAEMCGWNREIFLG GVRRRETDQEKKDRKMAMRLFKEQEEAERVRLRRIEQENKRQAEAEEAERQENERLAR KAQAERERRERELRLQREEREREAREAQQSRVRRQKEESASTTLLQTNTKACPGNCGW RIEKKDGCDHMTCWDSPPLRASHGVADVELAQALGVAISFAGYVSRLGRPYDETGIHR MSRRVGIIPAICE EPUS_06583 MSVSEVSLKPFTDQKPGTSGLRKKVTVFQQPNYSESFITSILLS IPEGAEGAFLVIGGDGRYYNPEVVQLIAQIGAAYGVRKLVIGQNGILSTPAASHVIRK MKATGGILLTASHNPGGPKADFGMKYNLSNGGPAPESVTNKIFDKSKSLTSYKIADLP KVDISTIGTKKYGDLEVEIIDSVKDYMDMLKDIFDFDLIRSFFKSQPDFKVLFDALSG VTGPYGVAIFQEELGLDSSSTQNCVPSPDFNGGHPDPNLTYAHSLVEVVDSKKIHFGA ASDGDGDRNMIYGYKAFVSPGDSLAIIAHYAKQYIPYFQKQGVYGLARSMPTSGAVDL VAKKQGLSCYEVPTGWKFFCALFDSDKMSICGEESFGTGSNHIREKDGLWAVVAWLNI MAGVGKDTGKVPSITEIQNQFWQTYGRTFFTRYDYEGVSSDGANDVIKNLSELITTKK SEFMSSSIKGRKVVEADDFSYTDLDGSVAKNQGIYFKFDDGSRIVVRLSGTGSSGATI RLYVERHETDSSKYSMDAQEYLKENIALAVELLQLQKFVGRTEPDVKT EPUS_06584 MAQSPPSASPPSPPSSLQSPTTPRSPRSPPSPPSLHHVRSPSVA SNSSTPTLIISPFDSPLRSQSSSIIDEKRHHQDQMTSSIRSSSRFMEYFNEEVAGAHS SLYLLVSFFTSGLIDSVAFNAWSCFVGMQTGNTIFAALGFSGQPLTVHPNAYLKSITS IFAFCAGTLFFSAMHRIPCWFDPNPTPSRRRFILIVSFTIQTTFIVAAALLIQLGFVS SRPSVSGAFSSGNHLSDLPNPEEEDNYKDLIAIALLAFQSAGPVFFSRVLGIIELPTI VLSTLYCDFVADLYHLPTSLRNKKSWYSFFFNDERRQFRRLGSILMLFLGGLVGGFMF RSLVGMVGALWMASGLKGMMVVGWVLWKAEKKPQEEGGV EPUS_06585 MPCFKGLAVSIHTPNGPLSEYAVQRSSRLSRISSYIPVPPARIP TDNSSDKPEQSTFAISITLLTPGQDVPYSAPKATPEDPYPQPKIVGGLPGLSSERGRY SSAIGPYIPLTTSPNETIAAYIYFDGRNKEEVATLLRRGEETWVNSRWVGVPDSEGGG LAEREFLFREVGLERWLNGLDLDGKDAAATIEKRRQKMERRRRKRREKKSDSDEEDEA DTAMDSKKSILKYGAGGTSPVEDVSEDDESSSGSGDSDDDPIPETAGQIKVALFRVFA SGEIKRGEYSPQFDAHDDDEEGQRNGHVENGEGGNGADVDHTTSFAKPKTLDPKSIST QTVTGIDPTDKPYAVFTFLYRGERQLQKMGILQPPRSEKTTTVAKRKSLNAEFASLGP LKKEGTIGFSTFRDNETRPKPRRKSIKKEDVDAMDSESEDEEAEVVGKMEDVDDVEIK KEDDLLSPEDAERTGELAEGVRKIKLKRQHSAEPLHNGAGAEGRKPSKSATPSAASTP NIGNDLSATPPLVPGLSAPSLAKAPADNPLEDVSSVGSPMKRQRASLAGPEADNIQRR LGRAMTGNIGEILGSAGDAKVKSEPSGGNGMHHFGGAIVKKEPEDEEEL EPUS_06586 MADTHEKGTRNNQELSSASSGDDEIHRAERGRTMSEEANLNSNI SAMIKNPLAHLTPEEVTRNVEAFAKEHELAEITPLLVKGALVAKDPPAFESVPGLEDF EKEAIRNEVLHKWRQPKSLFFTIILCSIGAAVQGWDQTGSNGANLSFPLAFDIWEGET FPDNDPRGRAGQPNPDAQTNQWLVGVINAGPYIASAFFGCWISDPLNHYFGRRGTIFF SAAFCCLPVIGSAVCQNWWQLFITRLLLGIGMGAKASTIPIFCAENSPAAIRGGLVMC WQMWTAFGIFLGFSANLAVKDTGALSWRLQLGSAFIPAVPLWVGVYFCPESPRWYIKK KRYTKAYASLKRLRNTELQAARDLYYIHAQIEMESAFVKPSNYFTRFFELFTIPRVRR ATLASWTVMIAQQMCGINIVAFYSSTVFSRAGASVTESLLASWGFGLVNFVFAWPAIW TIDTYGRRTLLIFTFPQMAWTLLAAGFCFWIPDSSRAHLGMIALFVFLFAAFYSPGEG PVPYTYSAEVFPLSHREVGMAWAVATCLFWAAVLSITFPRMLAALRPQGAFGFYAALN ITALVMIYLWVPETKQRTLEELDYIFAVPTTSHMSYQVYQVLPWWIKRYIFRRKGAEC PQLYHFEGKMQDDDDWQKEIRRQSYAAQGGTPRKGSLAAIKAKF EPUS_06587 MVTSAPRMEDETILSEIPPETIEDIEKAPEAHHAFCLDQVENHL SCHEPHGALNQSTQIDSAQYERFLERRKMAITAVLSLCGSLAPISSTSILSAVPDVAA EYKTMGASSIWVIFYTS EPUS_06588 MNTEIHAVHLAHQPPSSIWDVEIKDGKITSVQPSVSASAASPSG KHSILLPSLCHPHIHLDKAFLLSSDYPQYADLAPTAGTFQEALSNTAQAKTRYTRSDL EQRGCQLIGESIKAGVTAMRAFVEVDHVVEFLCLEVASLLKKRFKKACHVQIVAFAQD PIFSSEHGDENRRFLEQALERREEFGIDVLGTTPYVESSNTSHKNIDWAVRKARQHDL HLDFHLDYNLDSSDSDTNTEPKTKTKTKTIALAHCTRLTLLSTREFQDLATRIRDSDL PISLIGLPSSDVYMMGRPTSSSPNPAQDRNRNLSRPLGTLPIPTLIKEFNLNAALSVN NLSNPFTPYGTADPLQLACWGVGMYHAGAEGDAEVLYECVSARGRREGLGVEVGDVVS ARGGEDTVVDICDEKAVPGSGDKVDSTVTVLGRGMRSVRDVVWCPPETGRRKVIR EPUS_06589 MAVNLPNHPFLTQDTCLPNSPQRASDLSQKHETSSNKLSACKRK RIDQTASQIGEGLDPSPLSSITGHLNHRATIAHGEEYYPLHRPRSEAGDAVARHLTEP AANSPMARWKRESVEKEPWNSTSRLDAKDGERALEVHKRNTDLVSKDGNHVTPKTTPF SHWTSSKATEYCDGASEGGACADLAGVGTWLR EPUS_06590 MIFHSRDFNLTEKLDYYAAGASVFYGLYYTPIRVFRLEQSKKER LLNIWTWTCLALYAAHVSYLTFWRWDYTYNMAANVVAGIVQNILWSWFSITRYRKMHK IWAAWPGLIVAWIVFAMSFELLDFPPVGGMIDAHSLWHLGTVLPTYWWYNFLLKDAQE DMAVSSSSSSTSSSDEEDRRPRPRTRRQSSISQILPALGEVPAYPPSERFFDPPRPPK VFRDRLGLSPLRSRPKAETMDSIFNQPKPVVKIQDEVKSALVQNAAPYPLPEGKRFQY GTAGFRMKADLGLDHVVYAVGIVAALRSKRRNEATIGVMITASHNPAEDNGVKVVDPM GDMLEQEWEEYATKLANTENALFGDVYEKLTNDLLVDPVHQVHTRKSRVVFARDTRAS GPHLIKALKASLDALHVEYTDYGILTTPMLHHMVRCHNTKNSPRPFGEASEAGYYKKM ANAFKKAMYGRKIKGHLTVDCANGVGGPKLHDLLKYLPNASNDGIDIKVVNDDVIKPE ALNYEVMLSVACNNSLLIIFQCGADYVKTKQRAPPSSNAVPFERCCSLDGDADRIVYY FIDDNKEFHLLDGDRIATLAANFIADQARIAGIGDKLKIGVVQTAYANGASTEYIQKV MKLPVDCTPTGVKHLHHAAKRFDIGVYFEANGHGTVLFNDNAIDIIKSHDPQSPGQKH ALESLDALTDLINQAVGDALSDMLLTEVALAHRAWSPQDWMNTYIDLPNKLVRVVVPD RNVFKAVDAERRLESPAGAQARIDHYVNLTNRGRAFARASGTEDAVRVYAEAHSARDA EKLANQVAEVVEAYGMRAASKS EPUS_06591 MSGPGAGFEYPAAEVSWLKRDVLLFANSIGCTADELHFLYHFYP QCPGQRLIQFLKPLPPTSAGKRFELRSKVIGVYDKGKVGSVVETEQLLVEKKGGNEED VYARMVGSAVFIGQGNWGGPKGPSTAAFPPPEGKPEGAFELKLSNEAALLYRLNGDYN PLHATPEPGQKMGFGGAIMHGLFSWNATCHGLLKELGGSNPANIKEFQARFASPVKPG DKLLTEMWRTGEKKDGWEEIRFLTRVEGGKVCVSNGRAMMKCVEGGTKSKL EPUS_06592 METLPSYSAHANGSSIASTLVGTTGPTTEERLAGEDHAEIRYFT SYDHHGIHEEMLKDEVRTRSYRDAIYQNKHIFKDKVVLDVGCGTGILSMFAARAGARH VIGVDMSSIIDKAKEIVAANGMSNVITLLQGKMEEVEMPLQHMPGGKVDIIVSEWMGY FLLYESMLDTVLYARDKYLTAGGKIFPDRATIYMAAIEDGEYKDDKIGFWDNVYGFNF SPMKESALTEPLVDTVEIKALVTDPCPVLTLDLNTVTPADLAFRVPFSLRAQRNDFIH ALIAWFDIEFTACHKPIRFSTGPHTKYTHWKQTVFYLREVLTVEDGETLYGELENRPN SRNKRDLDIKIAYELDCSDTSREAKGRCAYRM EPUS_06593 MTFRVIPSILGKRRLVDVYNAAPVLIPNLSRPPLCTVPLVARKY ASVAEHKPTQNNGPPPGYISIKGHNHSGSNVDRAPRPSPAPALSSSATKTPNLSSHSS TKSDSRSLDAADWDQNPNLSISKFSELPQKNFGVNQHMIINEEFKEALRQILWKFKAP IRYAFAYGSGVFTQKGDPSAVSFPASTLHPNPPEAITKVQDGPQKMIDFIFGVSYSQH WHSLNLQEHRDHYSAVGSLGSYAVSKLQDSFGAGVYFNPYVTVNGTLIKYGVVNLDTL CRDLSQWDSLYLAGRLHKPVKILRDNPSVRLANQVNLISAVRTALLLLPPEFTEEQLY STIAGISYMGDPRMSLPAEDPKKVLNIVQGQLPNFRRLYAPLIENLPNISFNDSVCAH EDWFDDPHINAKLAQDMDPVKRGNMVRRLPKKFREKLYFEYQAKFQIPRGEFNKMLED TKDDETSTGFRRQQGGWFEQRIAKDTEGIQTEVRQVIEKTIRWPSIVQTFKGIVTAGF GRSWRYAMEKREKHRASEARKREEAVQKPSSKDADPKKEQ EPUS_06594 MAPLPGMETLAARLSSFQTVLPAPKRRGSNIRGQKSISWPHQSP SPEELAEAGLYYNPSASSPDNTTCFLCESQLAGWEEDDEPAVEHLKLASHCGWAIIKN AGRHGNEISTMEDPTHERLFEARKATFGISWPHEHKRGWMCKTERMAAAGWHFAPTLE CEDFVSCAYCNLSLDGWEPKDSPFDEHYRRSPGCTFFIFAGTTPPKTKRAKKARTSKA SRLSTQSNTTNASQAQSIPDFNDSIDVSNISQDSVLSAVSMASKKGAKGKGRPTRVKK AESIEVVIVRKETNEGDVRNPDPASRGKKRKSEEIDEDHRSGRESTVKPEPLPKRRAT RGRNSETQQIDYPILDASENAQQEPVRGGRKRASSRCRKVSTASAATTASLRAPVADD AEIEAALEADLDKPMPELPPASSEASMEGPKPKGKGRGKKTNASTALARSTRQATAEL DISQGQTIKAEEPVLVSEVDTESKADLKGKGGKARVTKKTINKRTTPPGRDSHESTAA LATNAESQSNSSLITIQTTADDSGHETDASVASQNSVARKGSRRKGAAKTKGKKKGLM SKNIEDIVQTKPGSESVAEQTSTAEANPSSILAERDLDEDPDAEEATKQDKPKRATRA TKGKKAKANTTAKAKTKLPQLSMPGMFSPLMGEMDPSFDSVLANSSPPVAPITRFGLG ADDRIPSSLAQVSSPKLPELAEDDTATPADTKSTTAERPTSTPQRNKNTNEATPSPSP QSSDAENQPPSSRPPSARPPLASLSPVKGAIQHIPLAPGTPRQVPLSPSKIGGLKSRM PWTAVDVEMIFAPSPDKENRNIFAGIQKAELTSPEKGMSVEEWVRSQASGAEENLKAE AERIVSIFEREGGRALGVLEAIDVME EPUS_06595 MIHNRAAWIPQVRGQLEVRDGPEPQVGEFDVLIENKAVAINPVD WKIRDFNVLGKKYPIIIGEDVSGNVVEVGSSVTKVKKGDRVLAYCIGLGTNKPINAGF QNYSVVPEITLSPFPESMSYEQAAVVPLGVSTASAGLYQKDCLNLPYPKPGSREPTGT SILVWGSSGSVGANAVQLAAASGVHVVATCSKKNADFVKGLGAKHVVDYNDESSVDQI VEALQGTKFVGAYDSICLENTWKACGAVAEKMGGGLIIGTLQPPENIELGEGVKAKGV FAITIALQQPEVGNAIFRDYLPAALKSGEYKAKPDPKVVGHGLESIADGFAAQKKGVS AAKVVVTL EPUS_06596 MGDSAKASLAGNGSTQRTRPEKPDQARYEADLAAAQKEHNANME KFNAARSRFDGARAGKGSPANDKWNALVAEQKKISEQQREIKKSRSAQRDKYNAAEAQ LKSMIADQKDAKGRMGFKSVGEIDAKISDLTKQVDSGTMRLVDEKKALNEVSSLTRQR KGFSGIEEAEKRITAKKAENAELKKAFDDPEARALDEKYEAIRKELDEISAARDDDRK NFTSLKEERDRLHQEQQMSYQKIKDIKDAYFQGRKAHKAYEDELYQQRRERQKAERDA YEKERRKKVAEEKLEQASQPAYLDEIITTEGLIRYFDPSSAVSDSKKGPGKFAASAQR SVDDSAMKGMKVVKKDEEDFFVGGGGKKKGKGKKAAPEATKFNMSIGIIEELGKVGVE PPSNQSDVPAVVEKLKEKLESWKKDQETQTKQNIEKAQKEIDRLEREADEAAASTSSE PKAGGRRRDASKKVSAANHVVDGEVSAEAELDQEKDAAADVTTEMQKAEIEDKKNDAA VEAQS EPUS_06597 MAPPTSTMTETSTAPSQTTPPKGFDSPAAQPSGTAPHEPKVNRQ TASAKMMKFPSPPTFSDKHEERKYLKGRLALAFRIFGKLGYDEGVAGHITLRDPVDPT TFWVNPFGLAFSLIKSSDLIQVDHKGDVIAGGENRLLNTAAYMIHHAVHAARPDVLCA AHSHSIHGRAFCALGRELDCITQDSCAFYDDHVVYRQFNGVVLAEEEGKDIAACLGNK KAALLQNHGLLTVGQTVEAAVFWFVSLEKSCQAQLMADAAARGRGGETVKIDEEDARF TYKTVGSPRAGWFSAKPAFDVMARECGEEYLQ EPUS_06598 MTMDSLPAPLLRPAARASQHGLDVVTVRAGTGETTQLFTIHKNL LCQSSSFFKSTFNGRFAESESSYLELKAVDPLTFEVLYQWLYTGSMRDIPGFAAESNV DIGLLWLRVFTMAHEYMIDQLQESSYHFFRRAFHDCQRVVPSVLCVSELYQSELPTHL VDMLQSYLVLHCAYWIMHESCNCWEWETVLKHPHFGADVAWELTKRGSKDYVGVQSHP WYNVKFANHNGRVFLKKDMDDEPGLREPESSDGEDFSELYSPASQVGEDNENGAEAAS DD EPUS_06599 MVGAFRQRQALSQVLRITSSSSTSLPRSFLSRPHIYHRLSSLAS SSLTARAFSSLPAWRQHAAQAYADNPANAPPQQFSKFQDLADHGIVSPKVIDTIVNDM GIHTMTDVQRLTINECLDGADVIAQAKTGTGKTLAFLMPIVQRILRDPDLETRTQNRA SADDIRALIISPTRELAEQIAVEAKKIVRGTAVKVQTAVGGSQKSYHLRLMQREGCHI LVGTPGRVKDILSDGYSGVRLDNIETFVLDEADRLLDIGFAPDIEEIQSFMPRRQERA RQTLMFSATVPKEVVSLVRTTLRPDFKFVRTVAADETPTHDRIPQHVSFLRGLENQLP ALLELAKRAIEANKNDPENNLPFKAIVFFNSTAEVALAQQVVQNMRSAGAEGPARSMF ARHPLEPCAVLEMHSKLSQQERTRYSQQFRNAESALLLSSDVTARGLDFPNVTHVIQI GLPRSAEDYVHRLGRTGRAGKPGEGWLLLQQDEQLAFRRMLGRGEFNIRPDSSLRTAQ IDMGQSAQLPASTANILQMVESGVKSTPMRLKNQAYASMISALGQGGRNHQTVVDLVN GLARNGWGMQTPPPVSPVFVSRLGYNRTRGLNVSDQRPDYGDDRGDFGRGGGGFGGRR TNAFGGGGGGFGGDRRGGRDSFNDRGPFSSGGGDRGGFGGGDRGSGGFGGRSGGDRGG FGGDRRSGGFGGGQSRQSFSR EPUS_06600 MADESMFDDYGDGSDFEVAKPKAKAPRKAPKKTTASKAAPKKAT QATLKSTAKTKTTVSKKRPTLESEDEAPRAVDNAFDDESLLSHTPPKAKKPKTDPKPS KSAKKPLADVVNEAMAVDGIVEEKPKKGKRVSEQYQMISHLEHIIKRPDTYIGSTERS EKQMWVYNSEHESMEFREISYVPGLYKIFDEILVNAADNRHNDKNMDEIKVNIDREQG EISVWNNGRGIPIEIHSKQKIYIPEMIFGNLLSSSNYDDDQQKVTGGRNGYGAKLCNI FSTEFTLETVDARKKKKYKQTWTKNMSVKGDARITDFNGEDYTKVTFKPDFAKFGMTG FDDDFEALAKRRVYDLAGTCKGIAVRLNSARVPVRSFQKYMEMYTKAIKKERGEEAVN DKSNIIHEVVNERWEIGFAVSDGSFQQVSFVNSIATTSGGTHVNYVSDQICNKLADIL KKKNKGGPALKTNQIRNHLFLFVNAQIVNPAFTSQTKEQLTTKSSQFGSTCSVSEKFM KAVQNTDVITNILSFLEKKADQILKKSDGSRRSRISNPKLIDANKAGTKDGWQCTLIL TEGDSAKGLAVAGRSMVGPDLFGVFPLRGKLLNVRDASIDQISKNAEIQNIKNFMGLQ HKKEYTDRKGLRYGHLMIMTDQDHDGSHIKGLLINFLQVAFPSLLKLPGFLLEFITPI VKAWRGPISKPTEVIRFYTMPEYERFREAHKHEKKWEHKYYKGLGTSTPQDAQQYFSN LDKHLKEFHTMQENEANLIDLAFSKKRADERKAWLGDFKPGTYLDHGAVDKITYDDFV NKELILFSMADNIRSIPSMVDGLKPGQRKVLFTCFKRNLQKDVKVVELAGSCSEMTAY AHGDTSLQQTIVGLAQTFVGSNNLNCLEPSGNFGSRLQGGSDAASARYIYTRLSPFAK KVFHPADEPLLTHNLNDGKKIEPQTYVPVVPLVLINGTDGIGTGWSSSIPNYNPEEVV ANLKRMMDGEPFLPMLPWFRGFEGTVQESAQDRYKFSGVAQKTGNTEIEITELPIRVW TQDFKDKLEDIIKAEKIPSFIKDYKDYNTHEKVRFVIQMEEKHVPSGTDEEVKKELEE KFKLSKSIATSNLVAFDAEGRIAKYATVEDIMKDFFRIRILYYEKRKQWQLAEMQRNL DKLSNQARFVQMIIDNKLTISKKKKSVLMSELKKLGFMAYNNVEDAQKAGEKQQVAEE SESEEDAAVGAHDYDYLLGMPLWSLTQERVEKLRRQIGEIETEVDTLIKLSKEDLWRR DLDSFIEEWRVQLEEEHKQKRRINNMGRRTSSKVKVAASGAATKKRKGLGDSDDDDFD DGPKAKKPAPPKVRRIEVKPEKKKNTLEGFFKGNGTLGKNPARSHDGNSSEMEPEPEV VQKAADAKKVKVTAKPKAVPTSEHSDEEEIIKKPAVKRARAAASKPIQYAVGGGSDSD GSNGDDLLGDITSMVKGLPGGENKSSTDSKPLFSASRGIPNNSASLKAAVPPTATKSY AEISDNDDTNFMGLVPQQSPRRSINVTKNAFLTDDEDEDQDGIRPLTTNKSRPAAVKP AIPAPAVRAKPAVKSESESDDNEEDEDEDDVVITKTKTKSRPAASSSKPAPAPATAAS KPKASKAATSKPAAAAKKDPATKRSKPAPAKKAPAPLSPAAKAYAAKQAKNIKRNKLL DSDDDGDEAIDAMADDLLDSPVPDGTGSGARKEASDEEDSPPVKKAGTARPARRAAAA KKKPVYVLSDDDDEEDGDGQVGFEDEDDDPVVDSETDEGF EPUS_06601 MTETAVIIDEISAFEHPSSPHDTDSLVTVRLSDVGLIDQPYQRE PQALCSLDRTESDCSSLSSRATSISNGSDSAVNDTVDWDELDKSEEQEPRDEGTDEST ALLLARLEQENAALAGNPKCGLATSPRLRSNTRPPSMQQLKKLVNGRVRKSLRYSQVP APQMTELEFWAALVADYPQTAQRLPTLTSNKIRGGVPPPLRGVVWPSISGARDALLHE EYEKLCGETSPYEGLIGKDVGRSFPSVEMFREKDGEGQQMLGRVLKCFSLYDNKIGYC QGLGFVVGPLLMHMNDADAFCVLVRLMEHYDLRSCYLPDLSGLHLRIYQFQHLLAQHL PEISNYLDALKVEPLYVSQWFLSFFAVTCPLPMLLRIYDVILSEGATETLMRVALSLM KRNEKKILACNEFEDVMQFLLSRGLWDTYAQQADELVADFVSLTTLVTRESLQSLELS FKESKSLAIVPSLKSAASQLLGRFWAGSAHSFSKSATSLVLPLPSPSRPTSSIRRTPS KQSLSSTINSYEGTSETSTLATEVSLTLRKSDQVQSESTSSDRSIMGHKERDLENQIE DLLKAMSDMQRQQSSLISDLQREREERQDDRDISRALVESLKSMTEDMREIAEGEMTE QEKRTEELIAKACLRFSACDSKRTSILQSKHQLRDEAAEWKEKHDLEAARCQELMKHL DERENEQSSLREQLREARARIQDTHKDKQRMERTIHDLRGQRSPVPESPNEASPSPTA ELREHRMSFAPAGLREFKLGRADISKNVAFNQRSSSLNMQAVTATESKQPPAEDALLL ELVNAKTSEAVARQELEEVKGKLDALKKIVSGQAASSSVIARAVTIETSNLHQPHEAK TPSTTPKSGISSAAGGFFSGWGKRAATSSP EPUS_06602 MGCGSSRARQRRSVQDLVLESRLLAGASLRSVNDSAFWERDTDA VSTRTVSDSAFSHSISAHPSPSNLSWRSGSTAPTSAASSFSSSGTGPRQAPTTSAATP HVAGSSALAPPPPPSSGSKALYQQLTLPSPTPSTRQGTSMQSVPSSGSLSRLSPASNP SQQNGHLAQPSPLSTPRGSSGNQSRRSSHRATKST EPUS_06603 MATQVLTPLNDLETSFTNLLTSLTTTPTYSAAPSATQSLLAADT SLTSALEALYKHQQNYSRILQLRAEASRLEVQIKSTIRTCVDLRKEIGDIHPSILSHS DWDDENDPEAENKRDVDYHTLLSFASKIGKHNTAAAKEAEEESTRRLIEARKGQDKST ASAAAPQTNGTTHTATAPGATGTGTEAGTSTAAGNVNGEITSQTLNLIPQHEREWLDA EAAMARARSGMAFPAAENLRKGALGRLQWIREQGGEEAVDKEIESLIGGGKEGNIVKE AGEDEDVVVKEEVVETAAAAGRQGVGVGLPSRPQQQQQQQRAAKEPERKKSLALDLDM WKSDDDDD EPUS_06604 MDAQLPSLEPALEEGNGPPILELMSLSREETSYPGEELRTDSSI RLLKIHAASGQEQEIECTLQSFDLNEEPCYHALSYTWGPPVKFFDPRDEEFPNEIRSI LCNGQPFSVTPNLQDALVELRRSDFTDWLWVDSISIDQSNLKERASQVALMARIYRST NETIAWLGKDETGAEDLQWAIDVLVPETVRRGSEYWESKVVSDPELLLIFGVDDIPGK LLRITTFLATHHLFHRAWVAQEVALSRAIHVRCGRWAFPWAEFQNVYKALMKAPWLTL MEANYAGSSDMRQFFNRAGAMMVSIDNIRECIVYVRKPIQQVLPPPQQVISKIRDLEH EYGMRTDIEVATAWMSDSLSKIRPLQSSEPRDKIYSTLGIASRFSDRVFQLIRPDYGR SAEEVYTSATATIIMNCQHLGILAHVGDIARNRPLNLPSWVVDYSQLSDTNPICGFAP HILFSLDESVAAKLPPPIRKVEGARLTLEGAALDHVDVVSVAMWDGTLNDSGYHKEFC EFLSYLPRYYANGWTLTEVLGRLLMFDTGSIGDEANHPARSSYSQAFLMTIIAMYQTR CSVSGDNLETIDRMKNATIALHGFLDDTEWQAIQDLARNCKHAQVVHFLHSVSPKVRN RRLFKTKGDIIGMGSYSTQAGDQIWFIRDCRTPLILRPKPETEDFWLVGEAYLQGFMH GEKLRNSWKVAERLRPVTIV EPUS_06605 MITSKLGPTARMATAVSSPRSTQRLSQLNRQFSSSNPIRKEIQD AYILSAARTPTGRITDLYYGNVYSAGLGQAPARQASIFSGLPPTVEATTVNKVCSSGL KAVILAAQNIQLGLAEAQVAGGMENMSRVPYYMPRANQHPPFGEIKMDDGLIGDGLWD VYNQIHMGVCAEKTAKKYNVTREEQDAYAIRSFERAQEAWKTGKFDEEIAPVTVKGKK GDTVISRDEGYESLKKDKVPTLKPAFVRDGTGTVTAANSSTFNDGASALVLGSKAIAQ EFGLGNRVLAKIISSADAAIDPVDFPVAPAKAVPLALERAGLKKEDIAIWEFNEAFAA VIKANERILGLENARVNLLGGAIALGHALGSSGSRILTTLLHQLKVGEYGCAAICNGG GAASVMVVQRVDAV EPUS_06606 MSDTPLSLVLGVLAFCRRFVLPAEMMADESHKEAPILMDLPWVD DEGAITNYKCPPPELEKIRCQYQLLPSEEGDCSDDESTPLLGSAEDWEPPSPALPDEG TGSLADKSTPLLGSESDGETFQPAPQSEDTGSSSSPPPLDQDDDWGPYQRALPKEETD LITLDPTSTAPSTPAVDSSPASSNPNTEHPPEPTLQNHTPQSVSVSNPHPPSSIQDPD LDPNSVPPVLALSSPTSPCFTHDLEKYDLLRQNLSDKVDRLHKILCAGDDPVCMH EPUS_06607 MPNATSGDYLPCLPSYSLTPLPSIVPGISDQLLALLLPIAAYWI VSIGFHILDVYDFGSQYRLHTPAEVLKRNHVSRGEVIRDVVLQQTIQTVFGLAIGMLD PVEMTGKQLYDIATWARRIRVLQSYIPKVLALLGVDAIELGKNLVPTHPTAAGLFLGG QYPHLTQDVIGADGQNVTMPAFGSGEIWAAQLIYWVLIPALQFGVAIFIVDTWQYFLH RAMHMNKWLYTTLHSRHHRLYVPYAFGALYNHPVEGFMLDTLGTGIAYLVTGMTTRQG MWFFTCSTIKTVDDHCGYAFPWDPLQHITSNNAAYHDVHHQSWGIKTNFSQPFFTFWD RILGTVWSGGDVSARYERTRIAAQKMMDEQSKGKESSIVNSAALDRRRALHQAAMSQQ QVQEDEAHGGQRVVEEEQLEEREMRQMLRRSSRRKTGSFDPKSDGLWNLTGRMTNGLH GRSTAILHTDGRH EPUS_06608 MIIYKDIITGDEILSDTFKIIDVGDGLWEVDLKKVTKGGDNFVL EGANPSAEGEDAEESGDTENKQVLDIEDQFRLNKMEGGMDKKTFTSDLKAYIKRLNNK LKAEPNGETKSKEFQSGAAAALKKLSANVADYDIYMGESMSSEGMYILVNFREDGITP FATIWKHGLKEEKV EPUS_06609 MAQRGLYQSGPPALQTKADMNATLLVSWWCTSFALLIILVRVWG KYVRTEKLFGEDKIMAASIIPLLTRMALVHVILIWGTNNTVSDGLSLLDIRHREIGSK LVLASRIFYAAFIWTAKLTVLEFLKRIVGAFWRKSYEIGLRIVRYFLLATFIAVIIAT LAECQPFDHYWQVMPDPGPQCRAGIPQLLTMGTCDIITDVILVVFPIPIVMVSAMTMK RKISLVLLFALSLALVAITAYRIPSTISRGGAQQYRSLLASLEILAAAVVSNSVVIAS FIRDRGVKKPKYKRESTGGNSSLDRTMTKRTTITQNHWGSDSDLVGDIGMSLAPDLQS RKSSIISAAPRPVPPPSPSPLVEKGESVRRKVAEGVTSVPTDLTTDLRQGISTEGRSA KAVSEPATPKKMSFFDVGNLMQSDEKLSSPSSSKPANKNSPQSSLKDFARSPASNQPQ LQHGAPAADATTSFADIGGLLSNTRTSTDLDRSTALPPAARHRPSSPSLLPPPSSPRN FSRPCSPYSQPGGTDSSTIQAEPPPSYRARRESETTRWNYSGAESSIEIVDAGGLLK EPUS_06610 MPDTSVDILVIGAGPTGLGAAKRLKQINGPSWLIIDSNETPGGL ASTDVTKEGFLYDVGGHVIFSHYKYFDDCIDEALPREDDWYTHQRISYVRCKNLWVPY PFQNNISMLPKEEQVKCMDGMIDAALEARVANTKPKDFDDWIVRMMGTGIADLFMRPY NFKVWAVPTTKMQCQWLGERVAAPDLKTVTKNVILQRTAGNWGPNATFRFPARGGTGG IWIAVADTLPQKNTRFGEHGTVTKVDADKKIVHLQDGKTIGYERLITTMAVDSLVEHM GDEELINLSKGLYYSSTHVIGVGIRGERPERIGDKCWLYFPEDDCPFYRATIFSNYSP NNQPPKSAKLPTLQLANGSRRNSMQPKEGPYWSIMLEVSESSMKPVDEKNLLKDCIQG LLNTEMLKATDEIVSTYHRRFDHGYPTPSLEREGVLKVLLPKLQEKGIYSRGRFGSWR YEVGNQDHSFMLGVEAADNIVNGAAELTLNYPDFVNSRQNNERRLVEGMQLLGQKTMS QKQTNGNVVVGRRMTGGKAELGGRLETSSMRDGEKVDVHVENSQALHSR EPUS_06611 MSEAEKARKSEDSPRPVEMSTLPTVNSEAKEPTRPTKPPLHPAF YVISWIAMSSGVILFNKWVLDTKNFRFPIILTTWHLAFASLMTQILARTTSLLDSRKT VKMTGRVYLRAIVPIGFFFSLSLICGNKAYLYLSVAFIQMLKATTPVAVLLATWSLGV APPNFKTLGNVSFIVIGVILASFGEIMFDLTGFIYQVTGVMFEATRLVMIQMILSSPD FKMDPLVSLYYYAPVCAVMNAIVSVFTELPTFQLDDIYRVGIITLIANAMVAFLLNVS LVFLIGKTSSLVLTLCGVLKDILLVAASMIIWGTPVSGLQFFGYSIALGGLLYYKLGS EQLKQYASSAGRSWNEFGTNKPATRKLVIVGASVFTVFLLLCGLAPTYAPGSVKASSE YLKSLLGGAAAAAAPKRKGT EPUS_06612 MARYHHSDLSDAPTMIMSPTNGAQLPHNSMRSHSFSGNSDTDDS LLVKSHRNNSTSWTPVDTMTPVSTNPSRKRSRDESDLTLNHDGSYFHEQKVNTPAPIP EEPTYGEGMALLNPSTGISISAESQTGTWYEEKVEAGSALLPGIEDRPRMPTSRKSVR LDHAAPPSPRPDDIVAAVAPESLPKSSSSASYPTIDDFTYALGIGWTRLATEDPDIQA AARGWARYLENHYSRRIHGAEILLKSKGLTAYLVGCQDGFYLFSEDLLEGRLVGRNWE SCLSNLQTNPITFEGAEVLRAERTPAPESGVSCGFRYGNETEKGMNNGNGAGINGQMD STSNRHATIQPTYDLLTGGNGDTPPRHKKANIRQSQIGRPETSNNEDLRAQINSLKYE LDNIHQERGLLTLQHEKELRDVQLKADADFKKYQAAEGASNKASRKYEALSQELRDAQ AQHINEKAALERKLRDLQEQNQSLREDGEEVQAQLVDQGRQHLHQINDVEAKRVALQD TVDRLRNDIQRVSQDLESTQARLSSRNAEFESLEAKVVQLKSRAGDGEGLAVVQRELS DQVTHIRKLESTNREQLAELRRLRNAHQSVQIVEEQKRSLETELLLLQDVHRQLGEAQ IQKEMLEDEKRTWSTLLEREGQEAEFESPEAVVKAFVQERIERASLVDRMGKLEAELS EKDEIIKALEADKLSLQQAAKERKATTQIGATDKPDSKAYKRLDRQRILAVKEVEYLR AQLKTFDTEETVLMSNENFDNQRVEQIKQLESLVDQYRTEVQSLHTELSHRESQPPSQ YPESRGTKRSISEAASSEEDQLGPLLRKTKNLQAALTEATTKTAVLETELHATKSQLK SISSRARTRILELRSNPTSNHEAIKLSTLNTLRTENAALLAQLRGDDLSNVAVVPAAT VENLTMQLSRLQAEVATAQKQTRRLREIFGSKATEFREAVASILGYKINFLPNGKARI TSTFYIRSHRATDGSVDAAREAEEDEEEQANNNSIIFDGEEGTMKFSGGANSPFAMEM KELVRFWVQERRSVPCFLAAMTLELFEKSSKVEAEGLVGGEEVEEEGQGTE EPUS_06613 MGSARDLQRRLRSGEPRRISTTTVSIIPSSTAAPETKERLRDFK IDNITLQSGDDFIKLLSKACNAMGVPAPGTLPTPGLSTFSDDILKIELSGPDRQHLTF IDVPGIFRTPTEDVTTRRPVAPAPVDIATQEILGMAEEVDPLGQRTMGVLTKPDLVDK GTEGDVMDLVRGKKKRLNFRVEQDFFSTPPWSALNKERVGIPALLDRLREVLIDIPRR EFPKVKQEVDKRLSDCEQKLKRLGPARETEEQQRTFLIDLATKFQEVTSHALDAYYGR SLLFEENPLLRLATRVVNLHTTFSDETSLKGHTMQFSGSTTRYSEKSATDSPISDASS AVDTADDADEDDDADEDATADISLSISEGFGQLELDRFPELSDFIQGPWRCPSPETDN IFDWIKSVYQSSRGFELGTFSPSILPIIFQEQSKKWEPLALAYISDFIGVVHTFTYDL MTALCADPRMLSNLRALITDDLVEGYKKAMSHTHFILQVERKVVEVRLGSGLQKMVNV DTISDSIPMGNTDHTVEDIHAILKSYYKVARKRFVDAVCMQAADHFLVTGSESPLGLF TPSFVHRLSAGSLTTVAGEEVASRRARIALGQEIKGLKDGKKLLRS EPUS_06614 MVTRIPDQRLVYLHCASVFKGDRAQIRKLKKVTEVLSKYRQSIK TLTNEFSLDAIAPVAKALLDRKIFESEACAKLHFPELFQPSETQEAERVASEAEAARI EAEAVQETIVTSDEECEEEILNTTTSQGQGLPSRAAAGTIEGSAQDIPAEQGNVPQSN KERDVNPIPRLHPVYLPVRTQHQVLTLVQSILEGCCFQFGNTWVPQLMKAQKWEEAES IELTRWVQIFSKYTKDLPVSATTAIAGKSLKEVLFATTNLRHSAVHRLNTSAAGILKM LEAAIIFTEALTDTARATCIRKIKDEVAAIVDDIVQHQTLLERKLSDQLNEFARKRAE IDELERLAIEDMLDNDKTHRGSAGSVVEGFLANLTKAAQACASESKEEDQKGASGSAQ DALSDEDSNSEEDPDETNAATHPGLLDQSQSKRDGKLEQNNSQVASVSGHGEDNEENP LDADVDRDFVDTVSFTARNKRDRKKKRKDRRSACEHISEIAPPLEDFPAINDPSVHNH YPAEYNTAEGKVVSDIEIDNSQADKVVVVADPFERNYAAAGHRIPSAPPFAGSDAKIL EETIVVDDEYPTILMAEEPSPVLVLEEQVEAGSEGPPSVSCAPAPPVLVEASSAEPEE TRKYAVVLKIPHGSEVLMTMVCLSSATRTAILNEAEELYAERVLQCQPEEKGWERKCT RRLLTVTMEGHEVDMSAFGSEDLTFLVERISKSGIPMFTVEISQTMTYVG EPUS_06615 MGDSGECLLCLGTPDDQSVHRWDTFDRVVRSGFFLTLDTTNWTL VTALITIALTILIRRLLRPFAALLFVFLPDAWRDWRIDQFRVILANTTSAPDAIFNVA VWRLLKTRSSPAWGASALWLAFAFSILILSPLSAFAVSKLPAVPGNLRRGDDYVCPAF FHFPDQSNELAFQQPSIARAFDRVDSTSPNYTSLNAAAIGLHHPEDRVYYPQGCPDWA PVCNRNRTLLVGMDYWITPRDLGVGGAGDVRFGVSEHCYLTEFHSRLVEVRDGYNVYG VLYGDWVDTSTNKSEYADRYGPMVTDTWHSLAANSAGAYEFTPFSSTNDTLLRNQLRV NDTIRHAGDLTLLLLTQWGVRVQGQSNDPLFPTTNYTNLTRGDIRFTGRLIDALMCNT TYSYCVKSKGAEECTTPGRFANLKNSVSKLTNLSLSTKGFLEVLTLSSYAPPIGQVLP HINGVLASQTLVGSPRYQIAPEKVSGRSELLRLMLSGRQFLIAGNPAYEYWKRSLSPI TQTMPEIVVLDNITNINAEFNQSLDGIARMFTTHAIQSMCSRTLIDDPQYVIVACTAI VTIAVLWFVIILLTIPPLTRRLFPRQRPLLLSWRTRGVGQLHRLLVEQLTNKSWPGLA SDEWPQGVGEVPLFGPSGQEVAYELVDGGQRKYQGFKLIHEQEETGI EPUS_06616 MPRDVIPQVDVGALTLNGLAAFSPLIAALSTDNVSPMAMIQLQS LGDLFHTSGRYAARVPDLLQRCSSVPLDQLGMAIGWRKGDAASLMAQSAGGQAIALLC FCLVNLYPRESGDILFELSRNVLRRDLAISSIAQLADVSQLLDGKLSALGFGNILAEQ VLYIHKVFEQLEESVPSDFLHSISRDSMVDLLSALSRAFREEEILVRISGSQGMGYIV ALAAILFPMDTTLIIGSFIIREGTSKLIFLELDKTADSGCPTQIRVEKVLKTETPDSL PINIVSEEAPRPPSSYYFEWTGHVADWLFIRFAEMGVTCTSALLVACCDVLLLLPHLV QVSWVDSPRLNMFSLLGPEPMQRIYHVCRVVFREVPSGQFKDLKSAYRNLVQSFIGCA GVKSQCTCGKCDLHKGWKTVERQYNCATRNLWRCIGKALSGGFWYFYVHAQEHATLAP DESYCDLEYNVVLDTIYGEIFKVGRPIEYPGQRIHHYLMELVQGRDIELAHSNRSSTI CPASLLQLKRGLDEGVRYELKDGRMLVDGRYYRSLLSTSVEVRPRASKSLYQRKGHIT PTSLGEHSNLVFTIREFSSGLELRTTAICNGQSLHLNLLDIIISSLVVQIAAPCEHGP GCLLDEEYSKDVFTTSIAGPLADGKKIAITQTRDNPVAQLLACEAGIPLLQRDCCLNC AFKQAKEHNYSQIIVM EPUS_06617 MAEPVSLAASLITIAATASELCQTVYQTLSALSEVPKQLCYIHS DLEDFYKVLGTLQALLRDEETVGGVVQPITSINLQNVLNNSVVIFKELACLIKPFEEQ GGSTTLTLWQRVKWVFREKERLELRKMLIANKITLNLAISIAYLYNSSACHARDARMQ TNILTRIRNIEDTLPSILQQFEDIKAARLPMSAPYGRTERETLRSDHDFALRNFLNDA TSLFSSDNIRNAPSMQVSSFLGSKATDAVTFQTAPARVTARSRNANNTEPSQHSPIRY SKRKFRGNVPPSGHELESDVLDGLKEPASAPRTRSALGSSIPNRPSSQANIKGGLLGE KIFRLLSKIRLYRRLFLPPDDSTPGPSHPLAGDKACFPEISGEEQWNQQLSRYEMEAY EMAIFRDYEMPSTYELPSSYYADWADNPLPERENVIGRRDLAIRASPDWA EPUS_06618 MWNDIDWDFRDVCAQLDKPVYHRMLDIQRKGYSSGGKTLNRRCV EKRRKRRNGQYFWDDVDGAIELRYVQPRQGYIAVSCPWQLPRPNDVVTGKYRIEPDCD MKELKYPQDVVLDRVTKFADVEGMPFWIDKLCIDQLDGSDEKEIAIQSMDMIYKQSAL SLGLLFVRIDSKEEVECLRDLMCGSCVTEWQNRRGNDEYSLAVSLQKARKVLEVLDLI VKDMWWERAWIFQEEYLSGVRMRLLIRSSQSTRLKSSSMGFGNIPGELEVGAVEFREE ATRFCLAFRQRAGVSEGEKNKCTEILKKAGKYNILLPRNTAGMTLEAMSPTIFEEIGR RNMEYTSDILAIAPNACDYSERLNTNKLQQERESLSLSILTLYVLNGEILRHNSPPRK HFIGNIFEFLKHNTLNVELPLEEKGLTFIKHCRFPNVTLSRAGIKTKGIIWKLRKKID PHHFSTMGRIINKCHLHPKIREKINRHHYWEHSRKCLDYYEHQALWILVDWLLYGESR SYRVLARFLMDFLENIAPDGYVEDWTWKHIMYVMAISVARAIRDGRQLRLGCVCCDDK NSPYTAIFVRDRVDRQSNECFALTSWAPAKEITQGNLLLSSCSKYTSLEIDYNGSVDG PPRAVPKRWLNGLCFFSTTDAEEVVIPWPRSIRG EPUS_09381 MPPPDRLGGPLATSLALTSPNAIHSVAVSEPIVDWVSLDSGSGE DDSSSPETAIPIKRKPKPYQYTSTDAKSLLSLRSQLFPKPDSYFDPFASPTLFLRAPG RDCPQPYLDFDDISDSEAFGPYDDDTHTRTHSFSSSSTEEQENPAVLRPVKRRKVLRR WPANGPPESADLPRFRVFVSDDLEGEGMVLRQQGVELVELMRKVCFYGQEKEVAEMRV GVDVLPGRQREEGMLGIEEAARWLAQRQEEDA EPUS_09382 MAQSVSKRIVFTGGSGKAGRHVIPYLLQQGYSILNLDLTPFPED HLPTGKSVYTTKTDLSDSGQTFNALSSLFDMSEFSLPAHPGPPDAVIHFAACARNMIV PDNETFRINTMSTYNVIEAACKLGVKKIIIASSETVYGVCFSQGRDEDLSYHSFPLDE DTYDVNPMDTYACSKLCGEHIARTFARRFNNDIYALRIGNVVEPHEYERDFPKYVGQP ETRKRNAWSYIDARDLGQICDLAVKKDGLGFQVFNAANDTITTTVPTKRFLQENEPRT EITREMGEWEAPLSNQKIREVLGFREEHDWRKYYKP EPUS_09383 MSCPAVHRSLRRLVRESQAASSVSVFLLPCLAKPYQARSFSCST ASHSRIGGAAISIPPEVSLRFLDLPKSNVRSRKKDVPVSAVEVAGPLGQMTLPLPPYL AAKHNTKSQKVTLSVGDPTITHQRAMWGTMRAHLQNYILGVSEGHSCVLRLVGVGYRA TIENTAITKKPEYPGQQFVSLKLGYAHPIELPIPLGVKASVPQPTRILLEGCEKQVVA QFAAEIREWRKPEPYKGKGIFVNNETIKLKAKKIK EPUS_09384 MKAVRGLAKASCRYLVTFAALTRPRSCNAFQGKNVISATAYPNL APYQATSNGSTLVQYEHAEEELMEGIRLIESMKSASTCKTKATQQLLNSCSALDGTGP SQDRPAEELDKLKSQYAARLAVCELQEAEKPPKLPRCSSLGSSGPGELVNRSQLAACL RELQNNMVFWGSYVNSLQNVGYMCQVARAEIEKEQLVEQRQASLQTTLLVTRVLSEFQ QSVATQNAELLVHAQKLRDLHRQNIEELAMARKDTSATLHQLREDFSAQLQNVADKAE AVIETVTASASNTNEELGRHVQNVQHSLANIWQLMAEGNAEIAARQLQDSTESHEMAL AIQRALESVVMDEIGGLSDALSSLSSDLLLAGHQVTSMRQGHAFLAESLDQSVAKSVH VADTLDKLNVPVLEMFAQAASLANFIFSDAFLGLVGFLSPMVFVFVCAAVFQFRLMFW LLRVGALLGASYVTLCVYTYRDTSPVLQNIILGAWQATTTSLILLMLSYILFVAFKTA RRTWHKLGDTTSMTITPDEDEEASRLKRARKKSLENARKARFDRASTSPPC EPUS_09385 MRYTEKALESASAKGHLDVLDWWKKTSRARQDSNHPLPLKVGKS ILAAAQSRRPATVAWWDNSGIPYSHEEGVARLASTHGHVRVLELWRELKGSKMIFDNQ VLVGATKNGHADVLEWWKTRSGMRIEYKTCDIEEAMEDSLGGSGEAEVREWWERNGLN LGVGTSEWMKVKMLGN EPUS_09386 MGRDRSANSTKPANGPKANILLYLANRIAPDAILPHVTLGSNFN IRVIRKQRRPEVVKKESKSFPEVDIFTETRFAIWEDECGLLAQQSHQHARWADVLFVE MDADTISAMLAGLAYDTVLSILRCWDTSKRVVILPELSIDQWKSPIWKRQVTEIQSNW KWIQLLRPALWDMEDDGGIISESAADWVWDWQGPEEVVRAIQTEAQKITHSDHTNTLS TYTSTNDKFPSTKRNLRNKRSPTRPRSSLPPEIWTLIFEYLGDWEYATALGIYTTLPT PYEWLPHIPKSPSHPASLEYTLLTAPYSTIRTTLDNNSSAPSTLSPLAIKQERRAYDL HCYIL EPUS_01941 MENMINTTLLILALVVPALAADDWDSFYNNFATDLTPLLALFGE QVTKQFLSESTSVLDNIIFAAAPLGILTAVVSVIRVCGNPSLRAFVGRAQEGHGAAEA ELCSSTSRDVCELWNRGGIARVFGRPKILEFVHDQNSSDFYQGLDGHAPTAGLHRPAK FFPYTGDPTTSCAGANQTRTVKRLNGGPKNPENSEIQTDSEQNNDPERLERSRFAPSP NLSLNIGIKKPSRFWVLTAVLLGCFLQTAVLGFAIWATYFRRLLKDGQPIPYWAFPLT AAGTSSLVAGMFLCAWLIERSTEEIFFKKRARMYWLQPSNQSVGDQTFDAFAHRADLE EYVTSWKLEGSFQPQHEPLVWTAVAVTMAGFILQFIGLRGLHSLVALSQLGATLVMAA VRAGLRTQRLGEDKNDLGRILDIIEGHELDWQSFQIESYSGTWQVIHPFSALLRSWSG CLVENGLQENESIFCITSRDDTKDRSFRDDPDAAAATAAVKWISILQCEQSGTHNPGL EKPNRAARIMYYRARLARLTDVEAPSLSQRWQTDVRHRANSLGQAIEDAVKVIFSGDV ELKKEWRDASAIFWRVACRLSCSGDRSKEDLPLYLLIRRKYGMWQIDRSELEAVLGLW SWSLRGSPLANADNFSKAYALAATPDQVEQAKVDLTMWVSRELPPLVVDKMPLDSLSL VTEDDVGSEEHVCNTTPKYDRTRSLLSIPFATARYNLDGSAGSDKGNDDMKILSVPTK NSLLAMCAQDIFTSFIDSAAAIILNLSDIKPMLQSDLDTPQVQDGQRDFRFSNRHLDR IATAFAEAGLGSKEDALMSVIPSLRARSILPLPDKVYDTVLSLGKDRRRSGRFTEGED LLHWLYYNVTDTESPNRETVARELGELYRRAMRNPQDEIRDFGYRGIFWMLRSLKGSD WMIKLKDRYGWVAIQIALGKKDEKTCRELEDAEVNRSLVKEFEKMALFDVVRSDQIYP VGLLVTEKWKDDIRKPLAGLEQSLLSFAAQRGCIELVEDLLEEGTDLDSKDVMNRTPV FYASEAGHYDIVQHFLEAGTILVTRDRKGQTPLSRAAANGHEAVVKLLVERGVDLESK NEHKYGGRTPLLWASANGHEAVVKLLIERGADVDLKDDEDRTSLSWAAANGHEAVVKL LIERDADVESKDKNNSRTPLWRAAKNGHEAVVKLLIERGADVDLKDNKGRTSLSWAAA NGHEAVVKLLIERDAWSPRTIGGRYVEQGVDLESKDERGVDLESEDKYQYNSRTPLSW AAANGHEAVVKLLVKQGADVESKDEYDSRTPLSWAAANRHEAVVKLLIERGADVESKD EYNGQTPLSWAAENGHEAVVKLLAERDAEVDSKDSGARTPLSWAAANGHEAVVKLLVK RGADVDSKHSKGRTPLLWAAENGHEAIVKLLVERGADVDSKHSEGRTPLSRAAANGHE AVVKLLIEWGADLESKDKYDYDDRTPLLWAAANGHEAIVKLLIERGADVTFKNNKRDW GSLLWVAVYGYETVIKLLIKRGADVDPKDTKGQTLLSRVAEHGYEAVVKLLVEQGADV DSKDNKGRTPLSWAAENGHETVVKLLVERGAVMDSKDNKGRIPLSWAAENGYKTVVKL LVERGADVDSKDSEGRTPLLWAAENGHEAVVKLLIEQGADVDLKDNEGRTLLSWAAEN GHETVVKLLVERGADVESKDKDDNRTPLWRAAQNRHKAVVKLLVERERNLESKDNKSG EPUS_01942 MAMQTQTNPLTALHARSADVSESDLTAAPALPPVSRKKQAAVLC PAFVAIALTIGYNQCWGVFQEYYLSSSQDILVPSPASRVSPPTALLAFVGSLCYGLTW AGGNLVNPVIPRIQHGDWPQTTPSTRLWRRRILRLLAPRTITISGVLIVSAGFALASV SSSVWQLLLAQGFLAGFEMSLLYFPLLAPAPEYFTNHRATAMGFILAAGGTGGLILSP MIRALLSSVGGRWTLRLYAGLNLIAGLPTAWAVPRSQFAARSTAEDPERRNTHVSRAL ASRTTFLFSAVAAFLQAAGAQLPLSFIPSYTVILGLSASKRSNSLAASNAINTVSRVL TGYPGPHLASSPSGSLASSQPPRPLFRSGWLSSCSTVFLPAGTTRCFRPSSPRSSASG SMLL EPUS_01943 MDNTRSAVTMELPLSGGPGSEADLPLQHDSTEQNLVEHMARFEV NPYEWFREVALFVSGSGWRAYEKVTGQPVFYSGYTEKIKSGIMASPMLQRMLAELTGK RLEVEEQEHLLDRTSPTFETDKVKRQDEIISSLREATNTMIDNMVCKMESKYFIRSAY YMVTQLLTRAYHQGIYVDRQEIDRLRSYADMAAKQKRSIIFLPCHKSHLDYVSLQLIC YRLGFTLPVVVAGDNLNFPLVGSFLQHAGAMWIRRSFDKDPLYITLVQTYIDTLLQNG YNFECFIEGTRSRTGKLLGPRFGILSFLLDSVITGRTEDAYICPVSSQYDKVIEVDSY VSELLGQPKQKENLSGFLSASSVLTLKLGRVDVRFHEPWSLKDFIKSQELRPSHAPNQ GSIERSKEVRSRMLRTLGYKVLSDINAISVVMPTALVGTILLTLRGRGVGRSELIRRV DWLCGRIQAKGGKVAHFHGAPTGYVVDRALEVLGPSLVGTVEGLAEQTFYAVDRFQMS FYRNMTIHLFISEALIAAAMYTRVKQGGGPAIQRMVQAELTDQVTFLSQLFRGEFIFP AGEGLAANLERAVAGLQADEVIEVFTSYEGKTYAGLTDIERQRGRENYDFYCFLIWPF IEAAWLGAVSLMCLTPPSAAEVDIWIDMKKAQDSAQLLGKTLYHQGDLSYFEAVNKES LKNAYSRFAEEGIIVVATNKDSKAGPTMRLSPDWTPRRDGQTGALLLQGRLWDFTEKI AKCRREGKNRRDGATVSTRVLSMANKLGLALFEDMISSRTAPNEPLVAPKKVQRRSKL EPUS_01944 MRLTPDLINNSLSYLNPLKERELDLRGHKIATIENLGVAGPQDA IDFTDNDITSISNFPLSPRLSTLLLARNRVRQIQPNLADSLPNLHTLVLTSNNVAELA DLDPLRKLVRLTHLSLLENPVHYRYWIIWRIRSIRFLDFQKIKDVERQRAKELFGTEK EPSALASKIMGTKSRTFDVGAATANGRAAPAEKVMRVKLTETEKKRVEKMIREAKSLQ EIARLEKELNDGRIPAGAADGDRMVV EPUS_01945 MHKLRGEAKHAAWYDEPDEPANFNPFRKVRTDLLGKKNALRNAE NGDGGLTRSTTDQEHRHADVSNRRAEMGAAADTPQRYGTAPISGRSRTEHVPGSDSTQ FEIIRTVSPKQEAPLEDSPLPLEETKDSTSGDTIAIEDTPTSEKPRQRKGLKGMFRRE KKVVGSDKPEGDDLEKPHYTFGNQIRATLFNSWINVLLIAVPVGIIVNYVHITPVAIF VINFIAIIPLAALLSYATEEIALRTGEVVGGLLNASFGNAVELIVSIIALLKDEILIV QTSLIGSMLSNLLLVLGMAFFFGGLNRFEQSFNVVVAQTASSLLALAIGSLVLPTAFH SFAGDNQTAQDPEAENDVSAGSEGIAPISRGTSIILLIVYACYLFFQLKSHVEMYTKE SEKAEKRDIGRGARKIRQKLKRRSSSDQARRSALQVENAKVKAEKDEVPQLHIWVAIA TLAVSTVLVAFCAEFMVSSIDAITVCGSGVSKTFVGLILLPIVGNAAEHATAVTVAVK DKMDLSIGVAVGSSMQIALLVLPLIVILGWITGHDDMTLWFDGYQVVVLFISILLVNY LIQDGKSHWLEGVLLMTLYLIIALSAWYYPTKGDGACPNGQ EPUS_01946 MSSAGNLPPATPAFILRGHTAPVHALQFYKRNAYLVSGDSEGWI VVWRLASKRPAACWQGHKGSILGIKVWENRVITHGRDHKLRAWQVTEASLEGETLDAR LPAEEGLHGTEREQPWLLHSMEVSALNFCAFAMCIASNAGSAEDAECQAIIAAPNALD SGGIDFFHLPSERRVSVLPADPQVKTGMVMALDLFHQPETGLLTVISGYEDGRTMVHQ RKPMASPEENWKWEMILVSRPHSQPVLSLDTLPSKDFYFTSSADAVIGKLAIPTSNFD SDKNIKPAKTNNTKHAGQQDLKVRNDGKIFATAGWDARIRIYTTKTLKELAVLKWHQD GCYSVAYADTLSLGLHEMPSEAGHDQALMASRNPTNSALEVIRQQRSIKAQMTHWLAA GGKDGKISLWNIY EPUS_01947 MVMMFSLVSPLQTLRSRLGAHARTCQLYPFQRASISTSTSYRPD KHRAATPSNSPDTSPYPFRIFRDDISSLRKYRGEITHNGRAVGLVPTMGALHEGHLAL IRAAAAENSDVFVSIFVNPTQFGPTEDLATYPRTWDDDMEKLIALNNKLTFPNGLGRI SAVFAPGVRTIYPTLPPSSDIDGAGSFVTITPLANRLEGAARPTFFRGVATVCTKLFN IIQPERVYFGQKDVQQSVLINRMVRDFHIPTEVRVVATTREEDGLAMSSRNVYLGERR RQVAPILHGALRAAEKEYDEGRLSRTEMFGAAIHFLETERLKLTDRGLDAVEFELDYI SVADVEEMNEIKDEVDPRRGAIISGALKMLPIKDPQTEEERAQKPVRLIDNIILTPVI NVDNFQH EPUS_01948 MSHEPRAMADDGMLLNFSTTEAAPIYQYQPKFRGGSWKERLLVQ RVARYRQKRKTSEQSTPAARKGEDNERQNNPLPPQKESEYSQSRPVKRRRENGDFTPV SSETTVNRHTSAVQDRSVSRKPSQSREVISSLFSYNPKSATAPEQPLEPINDIPTQPS NAPLVDGADTFTSLGLSNVLANHLITKMQLKKPTAIQKSSVIQLLQEDSDAFIQSETG SGKTLAYLLPLVQRMMSLSASKTGVEKQVNGNGAVHRDSGLFAIILAPTRELCKQISV VLERLLGCAHWLVAGAVIGGEKKKSEKARLRKGLNILLATPGRLADHLENTKVLDVSN VRWLVLDEGDRLVDLGFEEEIQKIVKKLDEGRRDSNIVGLPRKRTTVLCSATMKMNVQ RLGEISLKDAALIKPDVDSDDKSPESATRPQSTFYAPAQLKQSYTVVAAKLRLVTLTA LLKRTFARKGSVMKAIVFLSCADSVDFHYDVFTRPLAQEQDDTSTQGPSLPSTLPNSE IPYAVSPHLSPSTSTTKPTQPLTIFKLHGSLPQQARTTILRTFTASTTPSLLLATDVA SRGLDLPNLDLVIEYDPPFSSDDHLHRIGRTARLGRDGRAVIFLLPGSEEGYVSILRS AYHPSTSLDGVNLNANVTHTPCNEILKRGFSPTGGIIGTSSNKPSKTAPSPSVLDSDL TKDLANWEAQATAHQLDVERWVLASPHNTDKARKAFQSHVRAYATHVQAERKYFDIKE LHLGHLAKAFGLREAPGSLNVQGMRGKKMRRGGNEMQGGGRGIRDGGGGGGGEGKVGE VDEAAQKMRRKMREMGRLGAVGGAGEFNIA EPUS_01949 MDANPDLPIPVSLIAGRYLLFAIDAVTYLRREHHICGVTIGTLP QAPQQNVFLGLPLELMPEEVRLLVEKGLAYVIDDAKAHQQGLVNASARKRVHYVKHLR DKGRQAAISQAGAKEHSKALALSKARSKALKAPTIRAASHDESEDTNLTDTAEHDSLF APSSPSGLATSDSQPITNFGVTPASSASLLTPPPSPPASEASLPAVPESYPLFKHLHS NGYFPLPGLRFGCQYMVYPGDPLRFHSHFLASYVGWDEELDLMDLVGGGRLGTGVKKG YLIGGVEKKKNQDIPDMQTERVRTFSIEWASM EPUS_01950 MSFRRRNIGLSASTNKDQHDQSSKAPLSAAPGVRPSPIDGRPTT STGTASLDSLLAGHAGLPLGTSLLVEENGTTDYAGALLRYFAAEGLVQGHHVHVVGLR EKWGRELPGLVSSEKEEKSQRAEDRMKIAWRYESLGQFEAGVSSSRDPVHSRTGISDA GQARSQPFCHVFDLTKKLEHPPDANISFLKVSPHPERSPFSPILEQLHTILADSPNTS IHRLVVPGLLSPQIYPPHSSLPHNVLPFLQGVRVLLAAYPARLAAVITLPLSLFPRSL GLVRWMELLSDGVIELTPFPHSSDQDRAAPKPPPGESATYEEPPQGLLNVHRLPIFHE RGGGSSAVGEDWVFTLSRRKFTIKPYSLPPIEGDTEAQQTHPAGQQPKKSDMEF EPUS_01951 MSEPILFEDAFSILSVNSQKYERVSRIAATSNDQQISLTLDVNT ELYPVNVSDRVQIALALTLNLDGSKDDTKGWRDVGLGERSLADEYDYVCHGKIYRFEE GNQDDM EPUS_01952 MAEGLASHMIWTGIPCNGVALQMKEGLSARTSTPISQASSIKRR KTYQNHPKFQPRGQDDDQGAGGGGKQWHVKDNTTRSFPYGLPSPPSSYYTFIHATTPL GYISPRVFDKITWDPSIWTINRTSRTVELRGHDVTERDRALASFLAGLRERRAFGVLE GWRGESYPVYSYNRESGKKEVIMSMERAATPLFGVATYGVHMTAYTYPKDGEAGMKIW IPRRAMTKQTYPGMLDNTVAGGIATGEKVMDCLIREASEEASLSEDLVRGNARPCGTV TYFYVRDERAGGETGLCQPECEYVYDLELPVDVVPVPGDDEAVDFRLLNVEEVKTALN AGQFKPNCALVLLDFFVRHGVLRPENEPDYIEIVSRLHRRLEFPTA EPUS_01953 MYDDYHCRLIEETSNFSPQDANSFIEITDHKALNTFPTWYDNLA KLSTSELREEALRRVLLKMLASQPCLKQRLWTPEKHGNDTKILPLPVSKNSYKRIMAA WRLPTEFLRMLLSLMLRSGRSRDWNYCLVVVHDTATGVTNAVVHGLEQREIGTLKHCL RQNKELAHHPILLPVTLVELKIHYFAILLERRARGLDEIEYETGMRHGFSNRPERNRP RQERLKSRELLDFDLLTQKLTGLAGTFAFCDLTFQNGLRSLELVEEVARKLHSNKKEW SLQDDSAIPQPLSLRIEYLKALIAGAQNTRRLLEQRTQAQIQTVYSLIAQKDALDTKR IAVLTRQDSTHMRIIAAVTLIFLPGTFTAVSKTKTSAILRANSYSGTIRGRLLQPRTQ GPITCRFILDVVVLGITINICKISWPMSVPHQCDSRHCSRRYLPLDVIDNQYYSWYSL PVPHGEEALLYLLWSSTYVQDTEIVHRLEIEGDLEIDRSRSKADFSSIEVKL EPUS_01954 MTSHPTHPAHRLLSRAHSPTTAQTLFTDRVKNKPLILRRPRAAP QERVLPAQAETATAVGEGEAHLGRLNRVWRGYVREVLGLDGTAGGNNNIKVVSAQHHG ALLASLDYHGAEIEVVRCACVGRVGTRGIVVRDTKFTFVVVTRADEVRTIPKRDAIFR FEVPVPEGEEEGTSPEKETRPPQQPLVFELHGNQFEMRAADRANKKFKWKSMEYL EPUS_01955 MLSQLCSLICKLPRALWLSVWALLCSLPRSTAAPNPSRVLQNIT IEVPTGTTNHSDKHLLCLPSQWVDVFAFFLTNYVSHAMTVKSEPGQALLTQIHASLTA LFLPTFGLVRGLSAVVQCAVSSHSELESASKAGALCVVVRSGDWQPMHGDRIEGISVK NLPSDVTSSDFDEIGTPLHSPSLADEEQANAEPQTEAQLQSSQASYHSSCGDTNYDTG SVVTETNGSQDLPLAEYNQSPSNLGTFRVWRTFLPGGCTWKPQKGSFDLTGRKVHGTC DLPQGYALTKLPAGIQFCDMHGNKVLQVDKRSWWTRAWACIKMRWQGIRDGLRRSSTR TSQPETQDVQPRLSPSYSLSKGLFAIFQAFWASYTLWQARGNQIDEYGYAAFGLTVVP YLIMSIINLFSTILTPDYSTVYMVRTDIMDEAERRQGHFDGIVGKIPETPVVGNMDGV FEMQDGRTFIFLSKRDTSPTKNQASKIPSRMELVPMDHISRTCSQPPVTVEIPNYSGD LKHRYCHKRDVQTERSAFFVGLAVGLISLAIIGGISRFKKGSKSTYTQRVWIMTWLAF GTIVGPHYVLAKSGFYDEIMRVALWQVKFMCFMYGVPAIGGFVVVGQMISSYGRCVRL Y EPUS_01956 MAASQKPIRRQVTVWVHDDSFSKDEVLVASDILGEDGLPPNALM EIIALKQPSNFRDSRDNAQIDPDSFGDTAMHSASKIPRARPGQHLQAEHLSNLSQTTA QNARLASDPRTRYVFIAKPMSLDIRSKHPTFQVSITANIASRFGYRQRAQAAISLADK RSCSASHVELVFRDEYLSRSDMWRLVRAELAGKTVYRGQKIQFLATIKAVVKTIHVRG RKVSSGYFGEATVPVFRSESARYVLFIQMSREMWDFDSEGTGEIMFSRVIDGFLPELF KKWVELEARHLVSIVLFGRLEYDRHAPAVLQRLNPQHADGPSIDLDQKASYRDFYRVV VTDMASGQWTTILDELKKDFRTYLRDITLLQPQEEKSSAGLTVPEAETDSRTRICGRP TSALRGNILEAINIASSQFASDYIDRDLVRTGISIIVITPGTGVFEVDRHLLDLTSEN LTNNGIGIDLVCLSKMPLHSVPLFKWRTSQSTLNTMRADSDPPLHGSLTHFAAESKGT NKGYSSSQSDGSFFRSTPRGEAVSVVPEAQWSFGIPQWIDVSYWASTGQQRRGNRRKE GRDYAQFYLNPMSPKPFVPRVRMYELQMMGLMELGLANISVPYLSEGRHTDGYTYKSP NGIREHKSPRSTQSEHLASSPRSISSPRISTSAYSGRLSRGLLSVQAKQQGDMYERMD AYDQNLFRLEPSKNSIKIKLKRKKNNKYKSSSDQGFHGQLSSPPKRCGGNIDTSDTDT IRSLKGAKTAKSQASGLYFKGFHRSVDPTDSRISRSISFALRGLGPSPPRAVASTEIN VENVQAEHPGLNVTQHHVLGDSSKTLRTPGNHRSNRLSVLSIETGSSGPSDADRPGQS PKRSPSRPILINNPTRKDRNSDQRSGNEVAATSMLKVGFKGAHTHKIPLQPKNTKETT RSSKRSDTESGEDVTSIASIPDISPWVRNVNPANPIKFHSRAEIWVGRWQHLYPRTPR TSSVKWKSLCTPASLPLTTEEFPSMKELDRQYTQTGYIVSHNDDSDLAEVPESREWFL REMIGLRLSHGYQIVIGEALAEATGIDAPTLGDYLTPEKLAEDGVPVFMSMGNTIQKL VCVDNDKVQVTRFVRKQPEATLERTQCITYRPWIRTILSKSYYPRTMTFAGFSEEYPW KLADSHMAGQKDDLGKIHEQLRFWRARFVVIPTEPPPSAKWPVQSMSEDNEEEIHLLG IRELTRLWQKIRYNPPKERHFKPTPGKGKDPNPLEIIFQTLNPSEVVATEIEKLLVTD DGAESRQIQLLPDSELLERSTSSVSRIAQVLQGDKGVDITNRRWHLKLHYSCFKGDDF TNWLISNFKDIDSREEAVAFGNELMQHGLFHHVSTRHTFKDGNYFYTIAPEHRASRPE SRSSWFAGGRRSDRSVPATPITDSDSHASPLSISQPGWNSTRQVDSGASEKMNNEKRQ VSVSLTKMMRIGVDSRNPKRSERPEIVNLHYDRLHNPENCYHLELSWFNVTSKFIEDA IVHWATQSEKYGLRLVEVPIAEACSIANHEPFRAPYRIVLKVQPPPSGNVMVGNGTVY STNSFFANAPSVDRLIYQKALLKKFNFVLDLEAASEFPPDVNVTYTWGKLGYRYPQFV HRSGVVLAQITDEGELYLLANRLYNTRSASAKDVAGKFETKNSHHWPTPASGIVALNP QNPSPHPSPLVRASSDVLGSRDALNASGMAAFITPEQIKDELEEFCHNTEKLIAFYKE TSERGLPVTRKSGSRSSSSLLGPVAEHGLENSIPDLRLPASLVTTATTPAKSLGIGLN KKTSPQPGRRGSIAPDTAATDSSRRTSTDSQ EPUS_01957 MLGRLFGNAAPSVNTASYSRDSIVDEEYTRGLLYPDYAAPQHGP AYVSQLGPGRVGDFDEWGGLELDALKDFRIMVAQDALGDSEEPCILFDTQHSQQPESP IDTTSRTGILQAPTHRRGGSTTSTLRSPTSPLVSKPQPRIPPNSPNMSFPVRNRSSTF SGASDEHDPRQIRISDSKKEENRDILSCAFGSSAGASSGTKMHFLSLGSGTKELPATP VSPSVGGNISAGYFRKREPIARAHTSALSGFRPPLHERSYSSSGAKPGITDAVLITKL FSVNLPEPMDLQALHTSTASQGGSAIPNHEDHSVHKSATKGKKPRAKKTPVFAIILIV QLPANIGTMSRPSSRGGMQTPPTYTSMRSFRNSFNSQSTSPKLMSSAHSRSIDRGDAR VNALVEHWDIIDRALTLLENVSTPKILNHLKEVDSFSAAFVSKPSKPKEKTMQRTNQI NIYLSPQILGRDPKLKDIAIQTIQRIRRALRIPRVTIGPGRWGLWNDELIRVVRCQGG REQSSFVGKLLTAFLGTHTAEWMPLVAPSGHRRQHSITRKTNDLDVISTRTIIVSNDR SAARRLIFLLASFLIGDFYAEDNPTLCGGPGSAVSLRNTLHNSPSTELLKTSNDSDSP EEQLSGSPGCSQGGLLITRGLQHKPSDIRSVKSIPIPANDLSLRKSSAATTSTVTPDP TTPVPQFSSSLDTQGGYVPNESSALARLTKIWQTANKDSESGGSLLSGFWSKDSSGTT SGSTAPSASSSIRVKNETALDTMVRELSSESNSATPVLANESAQSFGSLDSEALPLRL QVYPGEKVIDVDIGLPGFLSSSNDSGLASPPFRNIRHVSSAASLDSLASSMRHGSPKA GSRAPSRVAGFLPRFHPDYSLQAVRVSKSDLPDMVEKVKSAMLSEPYPQQTTTSGWVD VATTLIANVQTASVKRLRLRRKVSQSSYNKGDGTAAAAPNAERSTTPYPIKPCATTCS EFVQEEAFSYESVVDFDPLLIEVVDRILARGSAHGSRSISPAGIGHSKHVSTGTNDSF RRSNKQSMLNGQPSLERFSRGPTNNLVIGALEDVVKTVNHDLSEALNGHNATTPTKES GSAQRRKSQDNALSEGSNLNALAQTLDGMGKWRYGVILDAGSSGTRVHIYRWLDSAVA RQSSNEIELHSLPSLETHGKWTKKIHPGVSSFGETPSLIGPDHLQPLLEHALDIVPSY AVRETPIFLLATAGVRLLPDIQRKELLKQICAYTRTRSHFLLPDCDLHIQVIAGETEG LYGWIAANYLVGGFDAPEAHNHGKGHHTYGFLDMGGASAQIAFAPNATEAQKHVNDLK LLRLRSIDGRESEYKVFVTTWLGFGVNEARRRYVASLVQSSGGDTVSELPDPCLPAGL KTTLKGVVLLDAATVPAKEPYLLGIGKFDECLRATYPLLDKDAPCEDAPCLLHGVHVP AIDFDVNHFIGISEYWHTTHEIFETGYKNKAHDFNTYQQRVNSFCQQDWALIQAGIAD HKWGKKVDEQKAYEACFKASWLINILHNGIGIPRVGLEDAASSDHNGTREVIKQGKEK DFLEPFQAVNKIKHTEVSWTLGKMVLYAASQVPPLDEGSLPVGFGSNEPGIPLDFQYP TGATHPLVTNSSHPNLEDESEGELIHNTLFSSDSRRRIPGLLMFLFIVLIAFFYLCGR DRRSRLYRNIIGSPGRKQSLLASRVPFLSRWNRASYERVLEDGEGLEDPSDLELGDIQ VDDSEQERARAAGWDTPRKKPRAGIMDQEVMDRSGLVVRTESRERLALHNGRKSRTGS PARMKASLTALVEQ EPUS_01958 MASPNILDGTDEQNASPSTNAPSCRNAHPESCTTSDDATMVPAS LDITNTNMVREPSTPKCEEEPNSSCSNIPEESTAHDPVPQVDQSAIPEPTERTSLVIS DTASGCSTNTLACGADSSIASTADSNSNEPRRVVLTKKLFKTPKERAAQAAENERRLE EAGDLFRRSLIIKSPTTVTPQSSTPAGPAEPHDDGDVEIVETGHHFSPIELDTEAEDA SAVARFKSYKRSYERKKKNGENSVQDDIAFSKARNAEEHRLKLNSRKRAYENIDSGVD DDDALFLSERTLSAPMNNSIQEEGSGTPAAPKRHRGRPNKIPESALQDATRIGYAALE AEGRKDASRKRQPKGTGKNKTPKSPKTRVSKAKDKKYPEGGPKPKRGRKRKGPEMLNM ETLFNNDIVAAAQANVGKAAQPGFTSTNKKTALAELIASIPESERTTHNADKNALDKA TRSFTGHAAMKADGNNGWRLRGMATSLYHYQLLGAAFMRDRENSSTRPYGGLQSDEMG LGKTVTCLANIVDGQAPPKSPNRTTLIVCPAGLCSQWLSEIKKHVMPGTFQETIIYRA GSRLESADPTKTLSNTDIVITSYGEVLRSYPHCKTPMHLVTPDAKASWWAEYFNANRG VLHKIFFRRIVLDEAQAIKNHSSKTSVAIRSLSGKYRWAISGTPVQNNLEEFFPFFSF LKVPHTGSLETFKNNFAGRNETTDRRLQTLLRQIMIRRTHLDTIFGRPLLQLPGITTK TVSVEFNDCEKAVYKIVKNRFVAKIRMYARSGELDKNFQSIFVLYLRLRQLTGHILLI QQTLKELMEGEDLEKLWRLTEDEVQPQREGGSQNMLTQLRKMLSRAQDAQDSLTNETV QPDAADSHTNEANAKEAADDLGGTFGTAFRFRKYLRTLQESGKWALMNQRSLCHKCGG IPDNPHVTSCYHVYCKECLQAMLFDASKTNKDKANCLACDAMFEHTEPCHGFNEARKQ LNSPVSGGQARRARRSADSADSDIDWISVGGSILPSAKTLAVKAQIQNWVEEDPTCKI IIFTQFRDMIRILARMMQEERWGWSQFHGGMSFAARDRAILDFAEKNDTKVLLASLKA GGVGLNLTMASKVIIVDLWWNESVESQAFCRCFRIGQDRPVDICRIVAKDTIDDDILA MQARKTSEIDLAMAAKNRPGKLSTEELLRLFGPIDWNEGHEDKIVADPFIFVEDEDDD DDQSDSGAPTRVPIPSFV EPUS_01959 MNGNTDQIDLYEILSVPRDASNAEIKKAYHKAALAHHPDKVAFE DREAAEAKFKAASQAYEILHDDEKREMYDTHGMAAFDGSRGPGMAGGPDLEELFSSMF GMGGMAGMGGARGARKPKKSPSEQQKYEVTLEDLYKGKNVKFNSSKQILCPACKGTGG KEKAKATKCSNCKGQGIRQVLRQVGPGMLTQETVECSACGGQGEVFNAKEKCKKCKGN RTVESKTQLEIYIPRGAKEGDTILLEGEADHVPGAQEPGDLIFHLQQLPHPTFQRAGP DLSAKLEITLAEALTGFHRVVVQHLDGRGIELQHPRQEGQILRPGQVLRIPGEGMPHK RSDAKGDLYLTVDIQFPPDGFLSDQQALEQLRSILPPPPPPVQADEVDEVDYEPNASM EDFGGRDAAEGWEDEDDEARGPQAQCTQQ EPUS_01960 MRYLGPQTSSPRYVYCSCRFQCGQSLENRRVKSIRPSLNLVRDA SSTRAKHLLPDHPARTRFAPSPTGNLHLGSIRTALFNYLLAKRTKGQFLLRIEDTDQK RTIPGAEAQLCRDLQWAGLQWDEGPLVGGPYGPYRQSERTKIYGDHAERLLRLKLAYR CYCSAERLDILNRSRHEEGLPLGYDRNCAHLSLHQSEDRARRRESHVVRLRAPDRYPP WHDFVYGNTGKSGQQGRKNLIDDPVYDDPIIIKSDGHPTYHLANVVDDHLMKITHVIR GAEWMSSTPMHLALYKAFGWEPPLFGHVPLLVDQNNQKLSKRNLDTDIASFRDKQGIF PDALVNFAVLLGWSHQQRSDLLSLNRLEEIFDLKFTKGNTVVSFAKLQFLQERYARQY IAEGGKQFHHMAQKVCSLLHDICDENHISAILGRRSLEDVVGLILRADWKSYTTAEAF AQRSSNLFKPLEELPAYTPLNPDCPLSALRVAAASFLLIPDQQWTAAVHRDNIVAIQP PGSETEPANDEAMENVKVWRKEIYHFLRWSLMSGASGPPIPDLMEIMGREVCYLRINR AIRKTCEQEQASYSEQPEVRLEAAV EPUS_01961 MDRKTRSPESMSSQFSGAQTQLPAEWQSFYNTSQQAPRQVSPPQ ETYREVGVSHQGSLTRGTEPPSSPATTQPPVIGSPGLDSVVSTDDKEVYNGRGDPSAP PPAHPPRKRMCGLPKKWFLVAAGLATCIIVALAIALGVVFGTRRSSSNDSTSLPTDTT LPQPTATGPPPNTGDPDFNVGGALNPQYYSTAGAFNGTGLALASQSFGQDDRGEITMY YQHWSGDLRWSQLMSDGTWQGGSAGETLARDAKNGTPISAVAYSMDEASIWHIFYVDV DDRLRERINSNTTNVWRDGPLSRRNLRVNRADRIGLQACWFGNFYGDSSFQRSDAFNA PNTEGLPNDQIGVRLWYASSNTTFEQLGWLYGEDDWVPQDTWQNKNGQAGVGCYSWGP GSVTYVFMVNLDNTLEIWWRDTNTSLAGNFSHPINEWTNTSISIPNLHPSTSLGYTNY LYAQSADYTIHGYNISWHSENTSFVPNDNFTILSAGNGSLGLPGTHLSVTTLPNPSGG NDLFAFYQTEGDDVSVFSRDMLAGPWAEAEVPIPND EPUS_01962 MSTLVDSIIHSDAFAGTPSSARRQQDLPSSSRPQPIPSESNGHP SEADVFPDDEVVGSLPGRARNPLDRNVAKVIDVAGEKVEQAFEDFLEAHVEEPSSSGL PPSSELKTDKYYINQIHGLREFQLSTLYVDYRHLLAYREGTTLADAIASQYYRFLPFL TRALHALLAKYEPVYFKDHRQMTSTSSQANSSLTGNASSEANTQGEKTINQQTDKIFT LAFYNLPLVSRVRQLRTDQIGRLLSISGTVTRTSEVRPELALGTFVCEACNAVVPNIE QTFRYTEPTICPNGICGNKQAWRLDIRNSTFVDWQRCRIQENSSEIPTGSMPRTMDVI LRGEQVERTKPGEKCIFTGTLIVVPDVSQMGIPGVKPEASRDNRNFRGGDVGGAGVSG LKSLGVRDLTYRMAFLACFSSPDTSTPGQAASQLNGVSSNILNSLHQTDAFDLYDSAE RAQEAYLETLTQAEVDDLKYMVHTDKIYSRLVQSLAPMVYGHEIVKKGLLLQLLGGVS KMTPEGMALRGDINICIVGDPSTSKSQFLKYIASFLPRAVYTSGKASSAAGLTAAVVK DEETGEHTIEAGALMLSDSATCCIDEFDKMDIADQVAIHEAMEQQTISIAKAGIHATL NARTSILAAANPIGGRYNRKTTLRANINMSAPIMSRFDLFFVILDECNETIDRHLATH IVNLHALKDESITPEFSTEQLQRYIRFAKTFKPAFTPSAKALLVEKYKELRADDSGGV GRNSYRITVRQLESLIRLSEAIAKANCVEDITEPMVIEAFNLLRMSIISVEKDDIEVE DEEETTPAPGNGDGDSPMADHDDAEGAAEGTPAPRPQRTKITWEKYNKMMNLLAKRIN EDELTRGEGVENDELVQWYLEQVEGDLQNQEDLEAEHELVKKVLKRMLKDNIIQQVRG EGLVDGDEELAGSSADAERVVYVLHPNCAIEEM EPUS_01963 MLALALKADLEGVTDLRPVDTPDSPYFYSFKVQCTSCRETHPNW VSISRFRESSATIKAAPAPYTHSSPPKTQNVIEFDCRGCEFVEFKPEGEWEAKGLESP TTFGGIDLQEGEWFDYDEKVSAEVSIKDLKWEIKRAINEEVIIRLKWGQTEYKGRLIS VDSYMNVQLNETEEFINGKSTGTLGQVLIRCNNVLWISAAKGVEMNNDVKMEE EPUS_01964 MSARDTLALTPTTYDLIAFKEDQKKVLQQLNTLNRNLEGIIAIG NEFSAVEALWSQFEGVMDSADDGNVERRRRSGGGGDGGVAKEDEAGVGEVGRQGNQNL DSEPDREHVEVETRNGDAT EPUS_01965 MSIRTYGREQQFGNLSRHQSILSEKTPRSNSISPRRKPVRTQST RTSNATVSTNMSYSSAGRLSEATNITQPPSYSKKLVVVGDGGCGKTCLLISFSQGYFP EKYVPTVFENYITQTTHNPTGKSVELALWDTAGQEEYDRLRPLSYPETDLLFVCFAID CPNSLENVMDKWYPEVLHFCPTTPLILVGLKSDLRTKRTCIDLLKTQGLTPVTPEQGQ AVANRMGATYVECSSKEMQGVDQVFELAVNIVIEAEESSYEDSKTISGGTGGGGSLKG GKKAKKRTCRIL EPUS_01966 MNTEKELLDAGLKSLNHYKSKLPKWRYRPRQSLLPLVRWETPFL AWLQEKLRSPALDTYFAITANLGTHTFFMIVLPILFWCGHSDLGRGMVHILASGVFFS GFIKDLWCLPRPLSPPLQRITMSGSAALEYGFPSTHSTNAVSVAVYALYLLNTNSSLS PKINILLQAISYFYASSIVLGRLYCGMHGFFDVIIGSMLGAAISFVQCTYGDVIDDFI HTALRKDMFIVVLTILVLVRIHPEPADDCPCFDDSVAFAGVVIGVEYGSWHFSNSNYA WSQPIPGTVPFDFESMGWMVIMTRIILGVMTIFLWREVMKPCMLRILPPAFRVIEKLG LSLPRKFFKQASEYTRIPKLRKDDNVIPAISEIPAFLTSMRHPRRRSVSIGPQSQADA YETLAYREKRRRDSVSASYATDPTGDPEVTNNERANSHSKPEGIANGSISNQALKMTR LKTYESMMGTGFNTSTQDEAAESPLHSPANMKVEVQTQEQGEKDMFSRLEKPRVRYDA EVVTKLIVYSGIAWLAVEGNPILFDTLGLGVGT EPUS_01967 MADVTMMDWQATPPAAEINATYNPAMLERRRPRDEFEIPNMPGG WVDYYTERQFNVKDVVHFQHLTGPSITTCAIQAVGRAKRRCIEAAASVLRAPSYLFTS AQNNFTSTVQATHDLAHQATYQIARRVRRTQAVRRRRPVPARQSPRQSPQQVAQPSVQ RSPDQLPQQAVGRALQHVSQQSTPQAPIAVSGPRDMSTSLPAPISWLSSTHEWDYLHR FEPPKPVNPPHTFNSPLPVAAHQPMPELEEVLSSLGTASTPSQEPELPPVPQPNVIPY PSHMEGNDSDLSSNVPYTLQDLDESVSSSSEAPSTPTGPNLPTTPLRNIKLYPSHMEG KDSDFSSTVPDTLRNMVEPSSFPPGSPKYTDVSLYQQTKPQDFRQSSSLLRNALERGS PIPVHVRGTDVQTALDETEFSSFVPFSPDFTDVSSQAPTAQDETEFSSFVPFSLESTD DAPASPSDAPQDNSEDNQLAESYHDGFSSFIPVFTESLEGVVHGPTYFPDAFRGVNAV DAHRIAAEISSDLRVNAHRTTEEISSNTPIHTHLATQDTSKFPIDSDDVENISSGLPP GPYGAVENVSLDPSLDARLAAAKISSNLVVESDDAVADSPSEHPVVSEDDVHDFSSYV VVDFPSEKIPPQWYEEAAPSPFSKYRGVVSRRMTRQAVKDEAEAQKRKEEERQRNLEK AKISSLSEEWEDKVRKAVRAGFAPDYTAADFARVVPPQQGRFTDSWLNDESVNGYLKL ATKFHNTKHPSAIPKSHAFPSFMLKQVAEKGYRGVERWARRAKIGGADLLQTHTIFIP VNNGSHWTLMVVYPGARTAHYYDSMDRTRSNNGRQWFDLLKIWLRGELGTHYNEREWS FFDKQSPQQNNSSDCGVFAITTAKMLILGADVLGYGPNDIPLQRKRIVAELVNGDFL EPUS_01968 MSITKLKSTFSRQGSRHSYNPSVQDELETSHLLRPPHGDNAPSR LAKNLQDVWQLLRSNYANILLVIVPFGLLSGPLSWGPAARFTLNYLAIIPLAVVISYV SEELALSLGQISGGLLNAILGSATELIVRLSPYHLAITDLMRSQISIIALEENEIRLV QASIMGMVFSNMLLTPGACFFVGGLRYPLQMFHGSITSTMLVPTLASIMILVAPTALY SVTARGFEEQHESNSLSLGCAVVLLLLHAASLVFQLKTHTDLFDDVDETEENFDSLEP NINIWMAALILPLTLVLITLCARNMVRSLPPVIDNSSLSRSFIGFVLLPFIDSTGALF TSVVVAYRDKTDLVLGVCNGGILQTVLFVTPVLVITGAATDHPLTLYFGRWDIVAVLF ATVLLAALLQRGTSTYLDGVFCLAFYSIVVIAFCVLPEEIAPFEQGVGTITM EPUS_01969 MLGLQNKARRKAVESRKSSDSNPPTRNSASNSTGFFSGILANFQ PQSDGSELQSRSVCGSNCWARQADLQKPENFLITPLRLDDPDQDQVAALNGRVYESLR PWQTRLIVLQPGELGSDVSCKLVSVDVIDGPGLGISGTSDIVAYEALSYAWGNPALLY SISCNERQFGVTEELAFALQYLRLRDAERYLWCDAICINQQDLLEKAHQVKNMLRTFE KADRVVAWLGRPLPTSAKLFKAIELVGPSSGRDPPQKHQDGCAAAIRNVALAVSKQLE SAWFRRAWIRQEVYAAKKLILQTGHLQSDFMDFTSSLARMQMTLASFDASQDRSRIPS TLETYQNEYQHLGSDRPSFKPKGERPTYVQYWLDSINTGLWFDVTNERDRIYGMLGLL TSKTVKFFAEVPEELEKMAEAFPIDYSKSLSGVHEDVTKFLINTTKTLEILDIFQDKG HSGSPGRPSWNSDFSRKQERYFLPEPPSQVKKDMVKVNMQDHLDVGRLRLAGVRVAKY IQRLDGEKEIGHPQDRFIPKYRRSITLRHIMQGPQRRSSGSLSSGIDNPPYAFVFSYA KESEQNHDVSISHHLSKFEDMDAPFARFLSGIKFAFAYVDDLEGTKSFDLASLHFLMP RTASLNDTIVSLYGSKCLHLIRSLHTDPPEWTYIGPIAAVAIGQESIIKYQSAGLSGS SSKMASKDVKVQDTQQAEIFVLR EPUS_01970 MVEKNPDPPRRSSSQTSDTSSKSNKPRNRNRPHKSSSNASSLAS PKPDPSLTSFPSLLPDSPPINKEGLQLPKPTKLNRTVSQKVKDRKATLRGLTSASPPL TGRNALFDDSPRSSLDVPGALHLANDEHIERLIACSGAVKLVRQFAQDLAQRDAEISA LRVRADERERELKKMLREVEVSSAEIEKRLRRLESPDEEGELGRSGAAGPGIPPSRKL AHTIDGMMHEAMSEDVGSHRASMGSDDVVDFAATIKPIKTDKESKSRQSTWESTGNSA TSDKGWQGYIWGSTTGSRKTSTSSSIISQEIGDGGATAKPRQSSSNGTRRKGLNNLFQ PPNQPTSSSYFIGGPKMRASSKPQNADDASVHSKQSTRSMTSMTSWTMKLFAGNQQAA KDSTVPTNSRRRSSSLGQGGSGVRTTSATAAESAMAALTKTVSHQPHSSKPAGTVRGP SQGVRRVPTPSNATSDSPEHARSSSNVEHLGPVEMDAILPSESKPPTMTQTYNKYQAE GLLTDRFGFIYDQRQRKRRRDTLPSMKNGDQLNNLESLANYRHDPDSEEDILLPAATG RVSGITSPAPRPATPASTDEQADVAAPPKKWQDYLKVSNLKRPTELLLHTPSAGAIVT VNTADATTTIRPPSTRATSISVSARNVLPTASSAAEPNLSLATSSVAESASNRAERSA AAACESTTAETSPTTDQEPVKLLLDRLTELHDSLQAEKETKWNEFLRKVRAERTSSTP TDRPSKVTNAPEAEIVNGELIGIATLGRPNKFNRNKYIQFKNLVLQGIPVSLRPKIWS ECSGANARRSPGYYDDLVFRSENGEDLDPEIVSQVNADIGRTLTDNTYFRHGPGVPRL KTILLAYSIHNPSVGYCQGMNLITASLLLIMPTPEDAFWILVAIIENILPSGYFDKGL VVSRADQTVLRSFVCEVLPKLSAHFEDLGVELEACSFMWFLSLFTGCLSAEALYRVWD VVLCLNSSDAPPGAVKDVEHQPAKVLSKSPLGPKSHPGTSSPFLFQLCLALLKLNESQ LLALSSPAEVYSYVNHNMTNHAISIDGLISASEALRKVIKREDVLARRAKAVQQISA EPUS_01971 MSFAAKQRLQALSQQLAEGIPSEGTFEDIPRIRHVAGDSRGPRV QGKVVIVTGMKLEDTVATKSYIDTGGNSPTGIGRAAAHQFAHNGARAVYLCDYASSHL ETHKRELRQLYPAVDIHVKQFDAGNEEAVKAVVDEVVSGYGRLDIMFANHGIAGTPAV YTQITADNFMNTFKTNALGVFLCAKYAAIAMQKTSKEKPYPSGSIVCTASVAGLRSNA GSTDYSASKAAVISIAQTMSYQLTGTGVRINAICPGLIETGMTLAMYEAARDKGTEKK IGQLNPTRRGAVADEVARVALFLGSDEASYVNGQAWAVCGGLSAGHPAVPGKLA EPUS_01972 MTEDALLNELCAICHINRPKYKCPRCTIQTCSLACVKRHKLWSQ CSGTRDPAAYRKRHQLATPSSFDQDFNFITRVERTIERAGDEAQERGIALSEERRKRV KGEARRDVEIGQRGAIVLRAPPGMSRALQNKSKWDNRHKCLLWTVEWILENGSRVFGN CQETRTITEAFTNAVGRRKVQSQQAPASTATLKAQDVNLVGHEKSTSSTGHHFYLHRP NLPSNVRCVIPLQPDAVIKDVIQDRVLNEFPTIFVLGASKEKLQKPFITEEEYMEKRG SGPPTIVPGGPMAGRTADDRGEGLDGLRSAQELNERQIKEVLQKDLGT EPUS_01973 MASIDQTEHATPTSPQNVPRSAQPQPGLHTSSTPVEMSSLPSNN NLREAQSTAERQTAESLEPGKVRSDPVDTSRTGQNTQISGSALPSSPTTSATPTQLTR LKSTAIGPSSDEPIPVPKDVEETGPVLMITLLLINGARHPFKLDAKYLNKRNVEVQGN DPFNLSVYKLKELILREWREEWEAKPSSPGSIRLISFGKLLDDKAPLRDSKLNHDTPN VVHMTVKPQDMLDEEEAAAKGGKSGSRHGDGDERSPGCRCVIL EPUS_01974 MVSFLLPLTNAQNPAQPLTTTPNHPVPAHSAAILAPYNHLQHHH PHHQKLLLIYEQPPQGDGVGWGGGRWGARGDGGQGGDGEVVIFFSPEVV EPUS_01975 MLEGPLMDLVSPVISTETTQKAHYAPPWQDMSIIGVAGSSGSGK TSLAVEIVKSLSLPWVIIISMDSFYKSLTPEQNALAHANEYDLDSPSSLDFDLLVERL QELKQGKRANIPTYSFEQHQRQKETVSIYSPHVVILEGILALQDPRVLDMLDLKIFVE ADADVCLSRRIVRDVRDRGRTIEGTIKQWFSYVKPVFQQYVEPQRIIADVIIPRGMKN KMAITMVVNQIRQLLEEKSARHNAELMRLGRQVEDEPFSARIELMEQKPQVRAMSTIL RSPTTEQVDFAFYLDRLAALLVERALDSHHFLPVHVTTPQELQYNGLRSSGKVSAVAI LRGGSCLETGLKRTLPDCLTGRLLIQSNYRTGEPELHFLKLFPDIASHETVLLLDSQM SSGGAALMAVRILVDHGVEERKIVFVTCLAGRIGVKRLTSVFPEISVVAGNVADDHEE RWIEKRYFGC EPUS_01976 MFEIILDQRKAVLAIVVIVVFTLYLVGLVIYRLYLSPIAKFPGP KLAAATYLYEGYYDVVKRGKYTFKIRDLHSEYVRGLNVNFQGPIIRINPSELHINDAD YYDSLYNREGKWDKNPFYVNSFGNTTSGFGTIDHDLHRLRRAAINPFFSKQKVTALQP VIQRLVDKLCRRFENVRGTDEIVSLECAFDAFTMDVITEYSLDTSFGYLDKPGWSSDF RELERAYGEAAYVQKMFPPYIAIMDSLPNWVTLWMEPRMTLLMEFFRHCHTIAERMVK ETDGEKYQEKPHPTIFYEIIHSDLPPSEKTPKRLQGEAAAILGAGALTVAWTLAVAMY HLTVQPQKLERLRAEITSIMPDPKQPAKLQQLEQLPYLTSVIMESLRLSNGIATRLAR VAPDRSIYYHDWEIPQGTPVGMTSTLIHLNGDIFPNPLEFAPERWIDPKERQRLERYF VPFSKGSRNCAGMNLAWAELYMMLASVCTRFKLELYETTREDVDIYSDMLIAEPKRYA NGVRFRIK EPUS_01977 MHHEENADAALDREINNLMMDYFITEGYPSTARIFAAESNTQPE ADFEAIKARVEIRECIHRGDLQTAIEKINELNPQLLDTDDSLHFSLLRLQLIELIRAC TSKTSGNVTNSPDISTALQFATTHLAPLAPTNPNFLADLERTMALLIFPTDNLAPQLA ELIDPRLRKSVANRVNEAILGSQGSRREAQINKLLHMRVWGEKAAIESKKIDIPDAGL GFGLDERPAAAGRGRTEQNGNGVMGSQAPAEGDGDTAMREGNGEGEMMVS EPUS_01978 MSFVIRRSLTTLVPPKVANPEGLGAAKDAVRMARIAKFYERLPK GPMPEIKPRGLLERYQHRYFGKNPSAAHVIRFPFQYIWRIAPFIVILSRPYRKALEEA PIRDLLRGPEVVHRAGSSLVTATTQAGNPGPLIQVPSQPSHNPASPLPSRSPPALQVV VLANESDSAFVHYPDSSLVMAEQSVHDVVNQTMSVGDHSPADAYEDKSTHHSTGGDVA ALEASTDNYDEKRPNQDGQIFQTDSIHYPVVNGQANSEPSSMEPSPADTFTQADSNTQ DSDFDGNISRNQSVGDLSTTSDADIRKAEPSSVLEDAKNQDVNNAARRPASFKPVSFA KYSAAKVAGVNSAARSAADKVLSSSANSSTSSLQLGSRPRLVAKTTSGLRDNAAKSFK SGGRNGAGGPDPMQVWNKNRPAQPPSTKHLTDEELKQQYGIHMTSRIEADGESKEAKW ADIDDDEDDWAPETIEWADGTKVTLNHGSNIPLPPGATNLEKPSEEQPKETQSVRPKP LQASSSVGPNATVLKLGASAERQQAQKATILQKGPSEKPAPSAAKSPAPVPSKSPWAP LPPVEKVSPITINPQLPIPAPIRYSHIPPGDSSSAMATATSPAKEISADDFNRSWRDS QSGQPRELFMPNSGRYEAVNENRRRGSRNDQNFRAPALLQRPSPGDQHAPAEPSPAFP TSRVSADQERGPWNRRRGSSIQSAGSGPFGRRMSVGKGGDVAPVPHDISQQLRSSSQM GPVDGAAPSRGVHVQQNMNVPLTSSTAAPSVQVPDMAIIAEQKRLMQESARLAVQRRR EEEQKEEAARKERIRVKLEQMGPPPDQKAKAQEQKAEVPQKTEEPVIQVSSPPKPPIP EASGEPKQYGMMKVHHPDNVKKFSPPVEKVEASLDAMVQAVETSPKPEPVKGPIPVTN GATPAGEASTERPSSEPPHNVLPPGEENVQSWKSIHPVNERIPSWNSNHHHSSPATSS LWGPPNHDKALGNGTFDQRLTGLSARDLPMRSSPQQQSWLHGRPPSQDKSPQIPSVPL MPQETVHSVVPFPSHEQRPLAANSETESIPPIGKPVSRAPPPAQAFNQRWHQSSNIGP TDDGVVAWKNFHAVATREERAENERFQRDLAAGLEEEARTGIRKAPQYTFNETWKKVE LGDQAGDRQVTSVAKSNINPSFSTGNSYGGPGSNLSVDRATNGLPIRGSRFFPAEARR AVTYSHPGFPRSPSPPPAEEIGGDHPAYAGDQRRPVINFPVPKAVVKLPKPQQKPTPA PAAPAPPRTFAAAAAAQPPPAPPNLRAVSQPLASTPDWQHRFNDLFGRKSSPKKPVLA VASSTKEPLDVVPLRVSAAVSLPPKEADPLKDAGKATSKDVEDEEDLFEDREAASTPL VLIPRDVPESLSHPVTAPQYRPKGRYFKTEIDPISITSLDGTEDYGRGAKGREIIFFV NLPGTAKSIKKTMPRKGGSGSVSKGLKPHSYNKHNKKPPPKSRESSGSFQNSQQNNRG SNSRTPPIANGSVPTPRSGFSHHSSNHGWRGSPFASAGVAH EPUS_01979 MSLFRPRSSLKLYKAATSFPTAVAPATSRCISQITSWWSKPGSE SDFADRIQSTEDKSSLVSQESTPDPFATHQPDYSARIDHGTSTFSPVPKRVMDGSEPE ENVAAAVLSGAPVDLQARQVRIYRPAKTATQSGTWHSHHWRMDWDILQKGHRWENPLM GWQSTGDSLQGTHLNFKTKEDAILFAQKQGYEYFVQEPNERRIVPKAYANNFLHEPKP LKHIKTK EPUS_01980 MGSVVLPHLVTAWHVDQAIMSEDERLVVIRFGRDWDPDCMRQDE VLYRIADRVKNFAVIYVCDLDQVPDFKQMYELYDTVTLMFFFRNKHMMCDFGTGNNNK LNWVLEDKQELIDIIETIYKGAKKGRGLVVSPKDYSTRYRY EPUS_01981 MNAHDSTPDTTFENVVLSLRQALTSEDVPLAKRFRALFSLKHLA TQQPANRRTSEAIQAIAAAFTSPSALLKHELAYCLGQTKNLEAAPFLRHVLEDREQDE MCRHEAAEALGALGDISSVELMKHLRDNEDQPEVVRETCDIAVDRIIWENSEAREKEK LKASDFASIDPAPPISLESNQFRISDLKSRLMDTSLSLFQRYRAMFALRDLASPPDIP SAVPAIEALAAGFNDPSALFRHEIAFVFGQLSHPASIPSLVATLSKTNEASMVRHEAA EALGTLGDEPGVEDILKTFLDDPEQVVRESVVVALDMAEYEKNGEMEYAMFPPDSISD KSIFSDSNLTTSCAWKGISSYYNINVNGDILKDAAWYYPAPKEAAQNIKDHVAFYKTK VQVSIED EPUS_01982 MQAPRRPTVEVIINGNQKATKVSSTGRLRPLSVDEALQYSPLAT SVTSGYERIPIPDLAQPYMSPGLLAENERTSLKRLLDQSTSSFSNGGAANAQAGVWGK GLNDLLDPDDLSEFKFKAVQLPRSSLLPISNTERSLPSFKPQISPLARMVLDSSAIAY RYPTPETPSPKASSRTHSLPTPALSRSPEQPIASQNHRAFQENNIHQPGKQRILIEVP PRPPYEYGEVPHQSPKRRKLDADNELPRVVIQYQAQKEEAEVALSKFQDFLQEIFTAH DLLQPDTSSPRALKASSYFEETDSLDESTSHLATKVHSKLQNAIKKLTISQDFERVQP DDVRRLQKICEGPILFAQTINLHLGEEPSEEDAKHWQASMLRAENGLTSACTVAWTIL GSLENKELCPEDIVQSIPILLTNTFENCLIPVLEARSNGRTSVLFKLASGSKDLLTRL LHQGRKLLSLMADICLQMESAETAITRIEYLATQLIFVESSYTEKDSVLGVQLFETVR KAAVEALAKIFSRFVDQRQSILDEILSSLQKLSTTKQGARQFKLVDGKNIQLVSALVM QLIQTVATETSEQRGRAEVTTPKRTDPVEPATDSDDDGSTMKSNGVNSEETLSPLGKL SQKANSLYQRAVESANYIINYFVQRAKVSTKTGDQPHRNLLDLFIEDLISVLGSPEWP AAELLLWILARHMLTILNDDKSAATPKNMALELLGWMGSAISNLLLSIQSLCETFDHQ DDAVSQYLTGLAEDQLQRSLRNKDIVTETGPFKITLEYLKDCDRDRNNWQLRTACGYY LVTWARTFYSTVDIGEDQHSQEIEGFSRALLKAFKDPISLGGEKVFEDITPHQGRLAY LLTVVNMGFCKAFDVIVKVLLQSLTSDQAKMRSRSLKSVVAMLEADPKLLDRDAGVMN VIFRCASDSSPMVRDNALSLISKCMFLRPGLEDEAIRVVLERGQSDVATGVRKRCLSI LKEIYLRNPKNTVRAGIARSFLSRLNDPEESVSLIAQQTLYDTWIAPFIAPAGVVVDT AKAQVALTEQVHLIVKTVSATESINMSDTLLRLEQFYRSVLKDGMKTHTPVSVICSNI VDVLFDLILNSSDQTSKQEQRALLSSLEAFSKADASLVKPEQLQALQPYIQNLSTDDD LFFFRSVVVIYRCVLPHLSDKTLLKAIQNDLMRAVSRLGRAELNEAISCLWTIDRVLH NTERLVKLTMSLLKNIYAAKISTSDGLDEGDPALGDASRRLRSYLRITGCVGKHCDLE PFAHSFKDIFPSWKGTSVVDLMVDLIYPLTTAQRAPLIRSEALHSLASICQSWPGQFN KEVVRKSFSEVLERGNSDLQYIAVTSFLDFFKAREVATETLAASKTDAKEPTGRLESS LRASDHDGAAALIAQHFLSLILLISISGNEHIDMPAIEVIASINRQGLVHPKECAGAL VALETSPRPLLARVACDTHRLLHQQHETMFEREYMRAIREAYEYQRDIVKDPTGAKAR PFVPKLSSLYEIVKTSNIKYVRKFLRHLVMKVGVDPSDLTVSKNALDQVQFSRFIVQN LAYLDYGKLDELVHAISFMESHVGKAGAEVAQAIEAHIIGRSDVKQDKGQQLADGPTY TEAIPMKSAEPALLKRLAMSAMILTMLWETRTHLRRQYGITGPVRENDGKSKDAKELA KTPTKVHGITGERFWENISDIMTSLDDDEAMSRRCLAFAQLMAVDDEVKVAAEVDEMR ESYSASVDPEASLQPLVNGSKGPRGKRKSSVSAIGTPKKKRGRPSLNGRRRSGAKQGS DDDWD EPUS_01983 MESVARHATFGVKRLYQSPRACAQISRWAGPKTATSIRRIHTPI TKFASLESNVLRCLNLRSPSLRLSPSQLRLLSTKPLPDHRRPIVRFFYRFFAYTGLFI LTSTGLVIAFFVYDATTYKDNSTIADIPVSEHALSPKRGGPKNLPIASVLVDDEDSPD MAAQRDKPKMVILGTGWGSVALLKTLHAGDYHVTVVSPVNHFLFTPMLPSATVGTLEF RSLVEPIRRIIHRVKGHFLQAEAESVDFSEKLVEVSQLDSSGNKVHFYLPYDKLVIGV GSSTNPHGVKGLEHCHFLKSINDAQQIKNTVLHNLELACLPTTPDEERKRLLSFVVSG GGPTGVEFAAELYDMLNEDLLRSFPRILRNEISVHIIQSRGHILNTYDEALSKFAEER FAHDQVEVLTNSRVKEVRPDKILFSQMEDGKPVTKELPMGFCLWSTGVAQTEFCKTLA KQLGDSQNNRHALETDTHLRLIGAPLGDVYAIGDCSTVQNNVADHLTTFLRTMAWEKG KDPEKMQITFKEWRDVAQRVKKRFPQATDHLRRLDRLFEAYDKDKSGTLDFGELHELL VQIDNKLTSLPATAQRANQQGQYLGRKFNKIALALPGLRANEVDYGGLDEAVYKAFEY HHMGSLAYIGNAAVFDFGGMSFSGGLLAVYLWRSIYFAQSVSFRTRILLAMDWTKRAL FGRGELRFLSNPSKAS EPUS_01984 MAGGDHAQEAAGSPAPIERGFASLNTLKIGVKAFIEKNGEPRKA EILSVKQRNNALTFYVHYVEFNKRLDEWVTADRIDLSQEVEWPAPDKPEKKKTTTTTT TKAPLPSKASNKNARSQTESRDVSAAPDLLSAKTGNDRKLSRPSKAGGKENREDIEDT KDSLLTIADPTLAGSAPATTAAGTPLPQDDGDTEMRDAVVETQIDKVDPLPNPEEEIE KLRTGGSMVQNHAQLHLVRNLSRIQMGKHEMEPWYFSPYPQEFSDADIIYIDEFCLSY FSSRKAFERHRSKCTMLHPPGNEIYRDDSISFFEVDGRRQRTWCRNLCLLSKLFLDHK TLYYDVDPFLFYCMCTRDVHGCHLVGYFSKEKESAEGYNVACILTLPQYQRKGFGRLL IAFSYELSKREGKLGSPEKPLSDLGMLGYRANTGAKPSSSYSRTPSACRPSTSGSGAN GTSTPSAAAALTEVSLNASPNQYSTSISELASLSAMTEKDVHEQLEVLKVLRYHKGQW IIVVSDALLEQRERQLEKARKKADRSRAHHVEAPRLYRGQSHLELVIFFIILTGILTV LFLFRGLLGVRVGLGAGISRNRDKGKGKGGG EPUS_01985 MPVVKGGVWTNIEDEILKASVSKYGLNQWARVSSLLARKTPKQC KARWSEWLDPGIRKIEWSKEEDEKLLHLAKLMPTQWRTIAPIVGRTATQCLERYQKLL DEAEAKENDELGLGGPEGAETLAPSGDDVRRLRPGELDPDPESKPARPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARERQLEESRRLAVLQKRRELKNAGINIKIVNRKKGQ MDYNADIPFEKPAIPGFYDTQEEQLRNEHQREAFDPRKQQLANKRKGDQDEDPDRKRQ KQDKNKPSAAFAAAAKAGQMQKIREAEQQSKRRSLVLPAPQVSEGELEEIVKMGLAGD RAAKMAGDENDGTKGLISNYNNMVGSTPIRTPRAPAQEDHIANEIRNIRALTETQSSL LGGENTPLHEGSASTGFDGIAPRRQQMVTPNPMATPMRGGPNGVAATPLRPGATPLRT PRDNFAINENGSGPLVGQTPMEIRQQEDLARQSLRAKLSSLPRPKEQEWELEELPSET AETNGDPQATEEDAAELDRRREEARNLAAAADFKRQTQTYQRSLPRPRHIDYEAMKRE AEALSDPIESMIAHEAALLIANDALKHPLPGTKLTGQPGQLDALEDNLLATARAELST ELSSSDLEKYTEAFISTLESQSASTTLPLSFTPETTPSEYSAAFSAVLNDQILPLATS GNKLEKKLALHLGGYQEAAEAVQKARVALHGYQTLQVSEEAALGRRLDGLREEVSLVA KREREVQERYRDAREELRELKARSDGQAKVNGIAH EPUS_01986 MSTRGNEYLDAGSSDTDHEEGYDSEAVEYSKFGTKRQKLSSSAV EHVAEVVIADNEAEDDARIVHSPHPSSTGRGTSNSPQRSIKAPDTSRKVSKTSKPGVI YLSSLPPYLKPSALRNLLTQRGFSPITRLFLAPSSKAKNHHSSKKSSRQLYTEGWIEF ASKKTAKRCAATLNAATVGGRKGGFYHDDIWNMKYLRGVGWDDLMAQVREERREEEVR RMEERGQIARETKEFIEGVERGKMTRGIQSSRRKKRKKDDVEAQTEDPQSALDKANEG LTWRQYKVKGSGSGGQEGDSAAVSDDVKRVLSKIF EPUS_01987 MPLPSPTGNIQDSLREMKHDKWGWVIYRCTYDDDEGGPASSRSS TSGPAKESGHLSRTVARSMAFRATSYAPASGCGRARRSEDDGTFGVPRYNFFIQVDQC ALRSVVYEAPQPPEIGVHGEGYVNFVDANWKPLSQRLFAQATEGDESYEPIDGCREEN VGWMKIASFMVGLDFYEVMSGSPDSSNGYLISGILFSISNNSLRTLSRYGLVEAENWL RMYLIWSATYVRANYETC EPUS_01988 MALEPLKSTRPGDENIVTPLRSKSVLRGDDQAMALVEPTLVHPG DDNMMALMELMSVHSRDDISIVPVESRALHPKEDRFTDSGYGSAVGSPRTDEQGASAQ TQPQTEPNQGFNAANQPKPTIVGATRGLKKFEKEVDQSIIDRFQDVVERLEGPLFEYM RKSWRQPRPTAIRLMVLGKDEGDARPWIVVLCSQDQSKRIKRFFQQKFAKAVYCPEGP AEQRFEVLVIGQPPRPKAANASPEMFDGLLSPALERIKITSCGTMVKLSVQDHVRFAT LGGIIKVVNTDGSYNLYGLTAGHILDPPEASIESDQSDTSSELDEDEFSDEEDYLDEV RSNTDIFEHHSDLNEDLNSSLRFVQNPKATDTWSKLGTISLGSRQVQGCNRDWALIES IEPKFYRPNYLVGEVNNSQHRCKDLQEPTRDKTAMRAPRKVVVNSESCSPKLRQGVLS KLPTMLMLPPARKFVRVYPLSMDYGSGIIHGDSGAWVVNDSSLEVYGHIVAGDTFGEV YVVPLWDTFDDIRREMNATSVSLATSVDILCHTSETVEKKAKSSEMAGKDTSTLNLKG SLYACTLDSPVEEAQPSSKSFEDLAKMSQKTSSDLPIQCWGQDSPLPNEDKSRSEDSG YCAWGSESQKSSTYEHNPYRHHSFEAYDSSPNQIFGNYPYGAHGPTLRTNQPHNQSLC TSYRPMSSLNMCLGHQPYGYPPLAYPPHGFPDSLIPFHGSAPLPISPPMPLSQPDSGY ASNPSSMSGSPVPIGKSCSPPRAPSCVPRPVTSLPQSGQHRRQMPESRDIVISSRESR GIKIKAPTQAFSEEQQV EPUS_01989 MASHLLPPFPLASTSDGQLQMLGQVLWNWELCGGCNKQPVCASV GCPWNRAKRLGRFWDYYKEITASYVPELLTESSPALSTHEDLLNILRLLKAQPDTPRS QLTHEHFSRRADEEGKCPPISDQNRAFNLAIRVLLMVNCTVPHQYSGILESGDQCVPW HGSTSISQFIAEAFPKTDHPYLNDVEGSMKSVDIKYALMAKILKQRAELRFEATNNIY NHLKLDRKQGVVQIFHHSAVLKENLRASQHVQTAAKSSDFVKSGNMPRQLALEALDSI HKILFPPDPDSQSLLSSLVLRSSFDEDCLRFESTAYRADDEKDINFHFFGSRLVALHD ELESPTPRGWLQKWLERKSGARYVMMATLVGVMIAVILGILGLGVTSFQAWVAYQQWK HPVTQTTPPN EPUS_01990 MSMDIDQPVALPSIHSNQTAATILCCNCGAPIDGTSSAGALCYD CVKLTIDVSAGIQREATLHTCKDCERWLQPPSQWMTAAPESRELLALCLRKLRGLNKV RIIDASFLWTEPHSKRIKVKITVQQEVFEATILQQTFEVEYVVASQQCPDCAKSYTAN TWRAVVQVRQKVPHKRTFLYLEQLVLKHGAHKDAINIKEVKDGLDFFFAQRNHAEKFV DFLTSVAPVRAKKSQELISTDIHTSSKSYKFTFSCELVPICKDDLVALPFKLAKSIGN IAPLSICYRVGTSVNVIDPNTLKTADIPAAIYWRDPFKSLADVQELSEFIVLDIEAVG HQNGRFFLAEATVAKASDLGVNDRTYFVRTHLGGVLHAGDSVLGYHLTGTNFNNRHFE ALELSNSYSSTIPDVVLVKKYYARKKKSKNRNWRLKRMGKDEGELLPKKADQDRLEAD FEMFLRDVEEDPELRQTLAVYKANQRKAKGEMTGVEQDVMSMAETEDTEDEQVPKINM DELLEDFDELNVHDMG EPUS_01991 MPLRAKITNPEVGQLGQRASIATFSDPPLPKELPSDEPDDVLFN SLYGVRTIELNRPKKLNSLNGSMARKIVPRLREWEKSQMANVIIMSGAGTKAFCAGGD VAELAQQNNTPEGQRASSDYFALEYQLDHLIAIYSKPYIAIMDGITMGGGVGLSVHAP FRIATERTVFAMPETTIGFFPDVGGSFFLPRLDGEIGTHLALTSERLHGVQAFYAGIA THYIDSSVLTSLTTRLSELQFRDYATLPSRLKLVNDTLSEFTSSLPSPTDFSPEAKHG NLNGNLRAAIDRCYAYNTIEEILSALEAESQSTSPTAMPEWAAATLSTIKTRSPTSLK VTLQQLRRGRTWEIRETFIREHKMASRFMSHPDFVEGVTARLMKPRREPKWNPARLED VTDEDVTSFFRVSREDESRIQLFEPSPYGVDPSFKEAQYHDYPHAQMALPREEVIRTC VTQAPRTRRMIVDEMLRRWERKLGVSEKVEEVLDRKTETGEDGIVRWVN EPUS_01992 MEISWQGEETASESVAGNDESPASNDWLPDTAEQHATLLTSSLL EFAYNVKAAEHLNHVRGTNRFHRESPEARALGAVMYQGASTVLASHGIIADCVHEEDW RQLRQQYLAGVDNLGLQAFNESLSHQDNSRRGRPTSEDNKVLVRHPSRPRQEFLSVLS SKYLPGQLVDTNQYRGMMDAMTRSLVVPSPDASMQLSLPPAAAGCSRYKAEFCELKRL GKGGFGTVYHVKNFVDNQDYAVKKIPLDPRRMKQWQTSGAKEVEALLKEIRTLARLEH ANIVRYYSAWIEGADESTNTPTAVRRPHQLYLKDNAASTYVSNLGNDKRQDEDNTDIV FTKDSPRDCPDMRNGAFDDSMGIVFSRDSGTLSQYTPSEQQLPAQQSQHQSRSHDHDC RPPSTSNETDIFTDGNCEARSSKSKKNDELGQMKMVLHIQMSLHPLSLSTYLAHSETS SCPSPPRHCFHLKPSLRVIRAILSGVENLHSQGIIHRDIKPGNIFLSEHNEYDQRPGC VEVSCTDCEQSQTKRYLNPRLGDFGLVADISRLSDEESVPTSKHTSKAVGTEFYRPPT IAARQDYSHAIDEKIDVFALGILLFELLWRMNTKMERHMLLTDLAKKATLPPHFAKKI DPRGESCRIKNQVHDRAEFDTVGDCVEDCIRNMVATSPAERWGCARVRERLDELIEVM E EPUS_01993 MYHTHSAPQQHKQSSRKGKKAWRKNVDISDVQEGLEQLRDEIIT GGPVAEKPSADIFTVDTCGSQTVRKNYQKSHKPLRADQILAQRSVIPVVDTRKRPNSK ITEGITGPSSKKQKKDWVSGKELQRLKDSLNESNLLASRRLDHGADMKVDLWSCDSMT KDGAAFDYLEQPKAKVPPSTIRQPPIAMTASGKAVKAIKTPAAGTSYNPSFADWDDLL NQEGQKMVEAEKQRLREEQLEAERAARIAAIASDDASSARTNDESAWEGFESEFESPE LLKKRRPERKTQAQRNKIKRRKEAERQALHQAKMADRRKQARELEQLAKNTHGGPAST SDETAVAEDGNVSSDGWDELMLRRKRLRNVSIPEKNLEVVLPDELQESLRRLKPEGNL LHDRFRHLLVSGKTEARKPIIQPKKKRVTYTEKWTHKDFRILV EPUS_01994 MQIYNLLTLGFAIVASVSAMPTESDPKTPACKLSGEVYNGAGKE CQCPPGQLKKPNKGKCGYPPFQYKKCPKDDEKPYCAKSKYEYCGYDQEHDYCEDDGKN SYFCCKESDYKSCIESHY EPUS_01995 MRVIRKWVLYSVLSALFLITFYHLSPSTPVDSDVGQSSSQEVLT TPSASAHGHKWANKPVKYPVTSLVSLPTSKPVAIPKVQFPFEAEDPTTKEIRERRLQT VKEAFTHSWDGYKEHAWLKDEVAPVTGGSRNTFGGWGASLVDTLDTLWIMGMKAEFEE AVAAVRNIDFSIAEQLPLNIFETTIRYLGGLLGAYDVSGGKYPVLLGKAIELGEMLYT AFDTPNRMPITRWSGDKETSQAEALERTLVAEIGSLSLEFTRLSQLSGDMKYFDAIQR ITDVFDKQQNRTNLPGMWPVLVNARTQEFSRDTFFTLGGMADSLYEYLPKEYLLLGGR SDQYQRMYAYALQTIKSNLLFKPMTTTDKDILLSGNVRAYGLNTVHLEPQSQHLACFA GGMVALAAKMFNHSEDIDVAQKIVDGCIWAYESMATGIMAEVFYTVPCRGNSTRACPW NHTKWVSEVVLRNSHDEQELDLATPYDERIRMKVERLRLPAGFSAIGDRRFLLRPEAI ESIFVLYRITGDEVLRDAAWEMFENIQRVARTDIAYAAIEDVTNTQTMQANNMESFWM AETLKYFYLLYITTLATCQLNQWALDFEGNLERILLSIKKAKEAKATLRVGPELEITG YGCLDHFLEADTFLHSWEMIARILKNPGCRDIILDIGREMRYFTPWSREGHVEEYYLP RIIQDIVGKVKVPIGDAVISTRDTCLGCESCEELFTPSAPHSAMSLNGVEIFTNSSGS HHELRKLNTRYDLILEATRKAGGIYLYANQQGCDGDRLYYDGSAMIVINGIIVAQGSQ FSLNDVEVITATLDLEDVRSFRCAPSRGLQALQARSYQRIEVDFGLSAEGSVLDTKIK PSEARAPSFHAPEAEIAYGPACWLWDYLRRSRQAGFFVPLSGGIDSCATAVIVYSMCR LVVEACKAGDEQVIKDVRAVCAEETYSPENPQDLCNRIFHTCFMGSTNSSGETRSRAR NLSKAIGAYHTDLNIDSVVSAMTKLFTAVTNFVPRFRVHGGDNASNLALQKSVPPNCQ SLLDKTLAHLITSIQARLRMVIAYLFAQLLPTVRNRPSGGSLLVLGSANVDELLRGYL TKYDCSSADINPIGGISKTDLKAFIRWAATNFNLPILDDFISATPTAELEPITGDYVQ SDEVDMGMTYDELCVFGRLRKVQKLGPWGMWERLVHEWRDKMGPREVYEKVRRFFWYY AINRHKATVMTPSYHAEQYSPDDNRYDLRPFLYPIFSVAYNKIENALSRYENPKGPDS VAGKEEGIQDLGGEARQEQ EPUS_01996 MELSANWKKLKATLSVEAPKKTLPQQSYHERKILKRKRPVEIGK YVALDCEMVGVGPLPDRESALARVSIVNFNGEQVYDSFVKPKELVTDWRTPVSGVSAK DMLEARSFEQVQADVSKILDGNILVGHAVRNDLDALLLGHPKRDIRDTARHPPFRKLA GGGSPRLKILAAEILGIEIQSGAHSSVQDARATMLLFRREKEAFEREHAKKWPTQNGS KSQKPRQPKKKKKKR EPUS_01997 MLAVRASEKGSEKLQVNVLPCRIHRNGPSKVTKRCWCPTTEEGL MITLTCFLVRYWQLNDLDGSKTAYFRGRKLRGRQIKLPKKYRGLTVIRTDETLSGASD EEQTTLHKQPYEDEDEEDMLEHESQAEPVKILKEEANFDAITVWGHDRLPAADDSFLK GIEEWVTFAEAIHTTPTDLSQSSDTSR EPUS_01998 MPPAVPSFIISSSSSAHLTRKSSTSPEYETQDIKTSRPDLSPLK SWLYCLRKNAYRIGPRRLLMTKPALKQSPREPLPTPALDNSSIRNSYTIGRGETGVLT FEPYKSLILPFWAFRTVDIARNSAEVLWGIFESYCERGDFVGADMTRKFIQMGMTRSR RYANHKGGRKYAKDGKVLDKWTEDDATGQRAEKEEASRIFKEYWQRCTRDESYKKLKD TWSAEQKAVERRRPSRPAAPDTVKR EPUS_01999 MEINSKAAAESLIPLFQFQRLLNQDQNGRRISLLGSINEEPALI SLERAAFPTDPGVIRTFLAGISNTTNLGSNDIYRWYMASHEPPKSVSGNADRSPPDLK INLIYPCTDAHIKKYSPQCLRMVTETAEIYARYVQPYMRQKREEGRLNWVFNIIEGRT EQEDVILREHSSRTTGADGEGFLLLPDLNWDRETIGGLHLLALVERRDIWSLRDLKKR HVVWLKHMREKILKAAVKIYGSKGVGEDMLKLYVHYQPTYFHFHIHVVNVMLEAGATQ ATGKAFGLENIISQLETMEGEEEAGMADVSLTYFLGEASELWETVFGPLKEGRHPTTT SPPP EPUS_02000 MEYLSALQSSALTSPSKPSLFELLSESQLNCLLPPTLRYLLALL THRHPRHLLRILNSFDEVYALLSLVVEKYYLETFGGGFTENFYGLKREKVLRVRGGEA RRTGLAVPAELRERLAVSTSRRHVWSNLAVMVGVPYLKRKLDEAYDVHVAPSRALIVG GGPQYVDRDALPSNPTWRQRWRWCCRWFLRRVYPSVNAAYYFSILGFSLGYLFDGTKF SSPFLWLGGTRMRRLGEADYRAFALAAERKIPGLDSGLGWRSIFDRRVLYARLLSSLR ILLPASIFALKFLEWWHASDFSKQLSRKAAEGLELPPPIVSGMGYGDEKQTDAKASKA NRDPPISSTSYLPILTVPPPPSSDLCPICLHPISNPAACQTGYVFDYKCIFRWIEGTH ERQAAWMRGEKMSEWEDDDGEAGAGDGADDGEKRGEVEEKTPSSREGKWDSGKGRCAI TGRRVLGGTSGLRRVMV EPUS_02001 MEASKEQSHGWLDKPPRPKSFPTDENAITVKDVTGRKHELDKRS LDYVLRSGLAGGLAGCAAKTVVGPLDRVKILFQASNPQFAKYTGSWFGVFTAIRDINR QDGIRGLFRGHSATLLRVFPYAGIKFLAYEQIRAAVIPTKAQETPIRRFVSGSLAGIT SVFFTYPLEVIRVRLAFETKKDSRSSLSRICKQIYREQPRDVAGAAKSAESITGIIEQ SRPRSGLANFYRGFAPTLVGMLPYAGMSFLTHDTIGDLLRHPSMAQYTVLPSTALSRS RGPGRPSRPQLTAPAELTSGAIAGLVSQTASYPFEVIRRRMQVGGAVGDGHRLRIAET ARMIWLERGWQGFFIGLTIGYVKVVPMVAVSFYVYERGKWWLGIAS EPUS_02002 MCLSRAPYAPYAPYAPQQFPLPKFPASTFSVHMYKWCALRYISL TALTYVQPRGVDVRVTLTSEAGSRMLTSVIYQNVTGTTTLLDLPVSIQNGQCLQRNEP NQGVTRIRSADCPKKPYPNVEPKGLKRRKLLHGIPPAEREYHENLRALIYESLEEIRS AIGPRKWLFPRQPVKSPVESHAHVREEAKGEETSSGPPIILSSISNIFTSMEEIAGRI VSNPSSLCTSLVADSDLYRVPPRSTFILSGVEQLCPGTRASTNRIFPRDFDLIVMDPP WQNRSVRHAKAYRTSQARREDPFLSVLPILHSHLRPDGLVGIWVTNKASIRRLVLHSL REKGLQLYEEWVWMKTTVDGESVTPLDGLWRRPYEVLLLFRKGPDHKFDVAAGSQWAG SSTWATGVHRRLLVAVPGHHSQKPCLKELIEPLLVDPNKYDALEIFARNLTAGWFACG NEVLKFNHQSHWARTNEYW EPUS_02003 MALPMDGHPGDGLLNIRSDAPVRGLGPAQPGSVGTFSDAQNRAV LIVAVTAASISLAVAVVSLRWFLSMKRSFRHHLILMLICSDTFKALWYFLSPVVIFTR GRFESSSAFVQASGFLLALGVEAADLSILFIALHSTLYILRPPQRLGHGGLYRYRHWV YASWLLLPLLAASLAFTNRSGPAYVTLGTVAYLPKRPYWYRLALAWIPRYIIFISIIA MYTAIYIYVKVKFQGFDNFGSDDLSYKTSSTGASTSGQTSHFASSASPQITSIGNLEK SDNYGREPPAFPTYNSLANGQKHLQATDNVPEWEKIDFITRSPLVNPKRNSQLSVGIE AADFAHGSTTKPNVSGGRLSPYGLDTRNHSTAPTVKTNSTDRTTLTAGIVDSSHAATR SSPALERTGATDELAITRIAIRRQLRFLFIYPLIYLLLWILPFVQHCLNYTNYYTQHP PFWLNVCITCILALQAGIDCTIFSWRERPWKRMNGSPFITIWAFRKLRARFLTKDGQR SQSHGNSNMDPADPEALKPNKPKRDSNWWEEEGKKRKDSVWLGTDTLNDIVRTQTMES RKASCLVA EPUS_02004 MAEAAGHRDVDVPKTKKAFVPLENNPEVMTHLVHQLGLSSNLAF HDVYSLTEPSLLSFLPRPALALLLVFPVTNTYEKFRQQEDSSREEYSGSGAGERVVWF KQTIRNACGLIGLLHAVCNGASRQQIQEGSDLQKLLKDAIPLKPGDRAEMLYQSQALE SAHADAAKKGDSAAPDAEANVDLHFVAFVKSEEGDLWELDGRRKGPLNRGKLGPEDDV LSEKGQELGVQAFLRREEEAGGGELRFSVVMLGPSLE EPUS_02005 MAKTTVILSFACRGCRGSVLQSFTSTFLENPPLRPFQPPRLLPA ARYSHARFMLADHSTQPTPSSDQSEDSNASVSLSPPNSVASSSDPIPWYLQVDTPQPP TPSHPFADRQIIPDLPEDSPAILSPMLEYLSVDTGLDNLTLLDLRSLDPPPALGANLL MVLGTARSVKHLNISADRFCRWLRSNYKLRPYADGLLGRNELKLKLRRKARRTRLAAS VGNTMYEKGGRADDGITTGWICVNVGQVEEGHSKDVPEQARAAAESDMREHEDDAVPE FGIEEDDGGATMETGHKRELMGEVTAETGTKLEEEEYINPEQSIEDQDNNYIGFGSRS SAPRIVVQMFTEEKRAELDLEGLWQDRTTRRSRKAVIANEDAETALEGQSMVEEEEEE DDDLSSMVSDPRRAQSERAM EPUS_02006 MAPTLSLDPPEKLLKDCLADCAQTIPPLQIRFAGGWVRDKLLGI QSNDIDAALSTLSGKDFGKIFQKFYEQHGAKYKETARDLGIGNTELNKIVLVEEDAEK SKHLAVAKMKLFGLEVDLVNLRTEVYASDSRTPVVQMGTAEEDALRRDATINALFYNI HTEQLEDFTGKGLDDMKNKLMRTPLDPYQTFRDDPLRVLRLIRFAARLDYNIDPAAQM AMKSPEIHQALKLKISRERVRAEITKALDGPRPAKALLYIHDLGLFSSCFADPAESNP PSPQNLPETYSHLGEILANDPLNQGLQLHQDSAAVPWLLAAYTPWASSKPEDAALAIK EGMKATVREAKLLTSAITNRRAISALVSQVSTPDNTTTRGTIGMSLRRWGASWGHQVL FALLCELQTDPAAPLRRYEAFLHRLGELGLDGTKALDEKHVVDGRKIKEILARGDKGG PANKFAADLVMEWQFDHPRAQVEECEEMVRRRRDEILGCEARMLEGKGGVAARKRSLE E EPUS_02007 MQSLEEISTALDVSTKDARQECQSLCYENEGQIDHESSDMGELH ATSTSGSSAEIDSVDKNHYKEKDNLDVEDILTPYPQLSNKAPISPEATTHSKIADNRD EEPSVAKSYERSTSPHSAMHRNKGAIVEKVGESGVMRMHKFSLCETATKYYIVGADLL ERHFRILQIDRTSEPGKLEVTEDEIVYSKKETDQILNAVDEAHKASGGLKLKSSTWGL LGFLRFTGAYYMLFVTKRSQVAMIGGHYIYQVDGTELIPITTTSSTRFKSDKNPEESR FVGILNNLDLTRSFYFSYSYDITRTLQHNILRERKALDEGLTAALHADHNDMFVWNHH LLKPAKAILKNTYDWCIPIVHGYVDQAALSVYWGRVVYITIIARRSRFFAGARYLKRG ANDLGYVANDVETEQIVAEMLTTSFHAPGPALYANPNYTSYVQHRGSIPLYWTQDSTG VSPKPDIELNLVDPFYSAAALHFDNLFGRYGAPIYVLNLVKARERTPRESKLLEEFTN AITYLNQSLPENRKIIYHAWDMSRASKSRDQDVIGTLESIGEDIIPRTGFFQNGNDAE SGLKLQNGVARTNCIDCLDRTNAAQFVIAKRALGYQLQALGVIDDPTVEYDSDAVNLF THMWHDHGDTIAIQYGGSHLVNTMATYRKINQWTSHSRDMVESFKRYYNNSFMDAQRQ EAYNLFLGNYIFAQGAPMLWDLASDYYLHHSDPRSWSERNRPNYRDWFTPEHLGGPKV PPAIWQQALVKKPLSYFDDYWLEYYRPLAVSSFQKIFAYKMHSNLLAIPIKSTQEGKY DLSPFKVRNANERDRKTSNKSQPRRKGVRIVEPPDDKNADDTSSIDARLNGGPPVEVP KPQPSSLGPWLDSQQLLSSRRYTGVIEEPSFEVPSSQPQIPPIPSATSAPPPPTKAEQ ALEAFTRLVAQSLDPSVPDAEEYQRYVTHPLNLPLVVSTEVDAEKTPSASDFIEYLDQ VKEVDGVEMSERTEQIREEFAEFLQVGDEGLTVLEEDAPKKRYKAYRQWLRGKSLFKQ RPLSGLVEV EPUS_02008 MDDILCSLKSLLESRKPPPETLPTIQKCLSVFANYEGDRSLEQL PVLLEELLISIIKPLFSSRATSSAYRARFVDDSKKPWKDCATWSVDILRWIVNQYGTL SPPLRKQAIDAQFSLFVPPILNLLDDQDLTLKQAGSDLLQILCNHIADCKSGVFKHTG LTKVFEDCLAPNMLLLPSLTPEKESLQILSSLYPAYRALVKASFLTSSSTPPSDPGKL IVLLPERTIASRQALDQHNKRQAMLDRVLRSGILAGYMHASENVKIATLLVSEMSDVV AMMGASSAKYLSTLLPLLRSILTNPLGIAHQPLLRCAAMAMQELILQCWPRIGEVWWQ ECLRAVVGLWLLLSEEDQSNTQELRDDAKGLIDLLLRIRGRLEARKELSLLEEEYENL KGLV EPUS_02009 MVMGDFGGPQLFMNNAQHNPVGLTYLPSDFLSLDLLQRPNGFGF SPGTSPAPNDVKNEAECFFSSQTRDLPISQSSYWAPNDSRYRSALKNPLYQPAFQQLA HQPSRLHIPSPMSKQKHGQLTPPSETTPTKESPLVETLQDSMINTMEQENGPTTRKRR STQSSRITDASSHTTPTSRRRKKSARKQSSVGLAVEGDDKRSQFLERNRVAASKCRQK KKEWTSNLEQKARELQASKTSMALLVTSLREELLYLKGEALRHTTCDCNSVREYLARN AEASLPRGHLDHATSPHSSCSLSFDAMDLSSSIMGGSPNSSAMVDNQELPELSIAGQI PD EPUS_02010 MSTSIDPGRRTGIWSYIPFGSSSPRRRSVSLPYRANGDLSDPFD PFEKPNRHTPTSSGRHSASPTLIENLQNAWMTQSQRSRYFKTGGVLVFILFVLSYVSK SWSGIPDVGSNIYNISNSPTSKCTKPHDGSKPLVQYALMIDAGSTGSRIHVYRFNNCG PTPELEDEVFKMTEKRQGGSGLSSYAADAEGAARSLDPLMDTAMQSVPDEYKSCSPVA VKATAGLRLLGEEMSTKILEAVRQRLETKFPFPVVSREKDGVVIMKGEDEGVYAWITT NYLLGKIGGPDKTPTAAVFDLGGGSTQVVFQPTFKDAASGGMPEHLADGDHKYELKFG GRDFTLYQHSHLGYGLMSARNAVHKRVIDNMHESNKNSRGWLSSPIPNPCIAPGMSKT VNVTLSPDHDLGGWVQVTMEGPRDSIPAQCRALAEGILYKDAACNLAPCSFNGVHQPS LEKTFAREDVYIFSYFYDRTHPLGMPESFTLRELHDLTAKVCGGEESWDAFAGLQGED APTVLEDLRGRPEWCLDLSFMGALLHTGYEMPIDREVKIAKKIKGNELGWCLGASLPL LEKQSGWQCKIREVE EPUS_02011 MSKDGNHSAKKSTLDQFPDVTRDYAIMARYVRMRQPDGGLSPPE SLHSTISRLRRGDEYLVQISKVDEDGMAICRIMTKADLLKQKKDKERMQQEHKKSLKQ SVPKQIELNWAIGPNDLEHKMTQMKGFIKDGKKVEVVLASKKRQRQATPEEGQEVLRK VKEKLAEADAIEIKEMQGGEVGKHTVLTIRKKGFE EPUS_02012 MASLMPVPAPPRTPTPPPDESTLQGGLGLDGIPHATATEILYDP NSLSPADPNAHFGSMSANMVSPAGANSVYSPMSLESGNSDISSPFNFQTTTLAKSPVV KSNIGQRRGHKYKHSSVSHQIFLEPPPRAPLSLPNSLPIPTFAECCASMSKDQKTRFW WSLCHISIAGYAMWSASGSSAMEALSHLLIYDSLGALICVVVDVLSNFEVWKRSSIRH PFGLERMEVLCGFGLSIFLVFTGFDLISHNAKHALEGIGHTPHHLHKHERASAGSVDV TAVLALMSTLISAVGLGNHARIGKAMRFAAIESLPPLLSNPSHFLTLSCLAILLLLPL LSLNAYTWIDKALSATMAVCMCALGVRLAKTLGSMLLMSYSGPGVQDVIRAIETDFAV TKVEDAKFWQVHYGLGMANLKLRVRGTEDSLLKLRERLTSLIRNQLGGGYGGGGQKWE VSVQLITDDLRAR EPUS_02013 MEPQRAVLLIFLILFFLFSPDTSQPSRNQRAGVQTQILRQHEAL ENLRNASYGQLVRANNGKNNATSFGDPNGFSWDLLPEVQKRARAQYLRLFLGTERVTE NDVAVGSEQAYEVAARSSLPLYQNVSGTIKGDFVRQPVTGPMHAPSNLTSLVLENRSV IKEFWRNVTEYEGGLTLRIFEEDKDGTTDLAAKEISADAVVQTESAPGSGWEMKLYGV HYQKSGSIILTTTSEKFPGIFTLPHFALIRDDFENAQELLNRSLSKTLSDRQRSNADD LAFPWMSKAGDESTSIFSAPSCEYIVYLQQQPVPLQKLSLNPLQQIQILMQIEKELRF PEGAPIPEAPPMAFSAVIFSPDCGFMLESREGLDPTKSYLLSGPKIEAFWTLIRQLLL ALLIVLGLQITLLKRQMDEASTPSMRSRTSYHSIAIMAMADGLMLATLIAVSMVNDIG FLILTSAGFLCFFNFAFFEMKFIYDIWLVQVGHQRRDAEGRSAQQANADVQNSTTTQP SSGHSPLQPSTQVASNPSRLPLPVTTSRPTDLGATPIILPPDQDMEAVTEGDTTQNAA TAGTNPGARTRVDFQTLYSRFYFSMLVLVFFSLWASSWPTTLRSAYANVLCFTYLSFW VPQIYRNVIRNCRHAFTWEYVIGISTFRLIPVMYCYLNSSNTLSIETDPHAGVVLAGW VLLQVLTLAIQQFLGPRLFVKESWCPPAYDYHPLLQSDLDDVESGSLLPIGFLASASE AKEKEEDSTKDKLTNKNMKIFDCAICMNEIEVPVIPSKETAKRSNLGASWLGRRTYMV TPCRHIFHSECLEGWMRLRLVCPICRESLPPL EPUS_02014 MAESRPVRTGDKPVPFPPILNDHISQAVEESLPAAERPRADRSN TPHRRTIPGNILSKLSLLRTNSGSERSTSGERSNDGEDSPPSGSLALIQQNAKTRKRK GSLRKTALLGKSMLGRDRKGSDAKLKSPLSSPQFLKENEKSIAVATHGTDTDATPRPS QEKDSPVLSASPPKWKFPTSKVSLSSIRSSVPSVEPASSGASITSPTLPTDHSPTDDE EQGLSFPKLPFIASRRPPSSSGDSYFPPQQPLRSRRPTDRMKSPLATQPQSLTSSPVA SEEEWDYSETAYWGYVILIITWLVFVVGMGSCFDVWSWAWDVGETPYAPPELNDDPTL PIVGYYPALMVLTSVMAWVWVVVAWVGMKYFRHAEGRGDDG EPUS_02015 MAPVKTAVVLPNGSRVDLIIPTDGGTTLKTLQELAIERAALHHS TDISRVDHVLLRLESQAGPFLYPDDKVEDVISAGETVFVVLSDSSLPAGPQNMHDESS KSNPQFSDDFRLRVITPQSAHCHHDFRTIPLLENGKVFSAHSTLRDLRAAIADNLEIP LRSESPELQECNCKLAEMSCEMPHLFPDRGTKVLIVSGFSNVAWLDVFGNTYAAIVTG LRQLFGDTFEDAKSVHLKGGVQTDDDWFTRLPVVSICAESRHSSMHPTSTSSSAATSM LDLHTAEGPIETTRLDLTMKNLGLTDLIVNGVLSIYAVERRVNFTGTEKQTLGKDAMF SAASHWALPRMTASTRGSNAFLASLRVFANKINTKEMDTRRQNIVLKLVHDMTRYFPA VRAVRMLMDGKTLRPNECAAIVQSLAEALRDLVPLNLIENDQRRYLEGTRLLLGFVLQ KVGTLATEPMARADEGNDKGQPYLDLFKTVDLRDVRTNEFIVDPIQTDLGLMERGCYN AFKRGGILSHPGAEDVDILSASPDLPALRAATLNSGVAPEASYYETNTIRASLSIKDL NNNVLQELRGFSRDLRYLGMLSDRSGMNVIHSTSLKSAKAPVLTLDRDGYLCVYVGRA ACAPPDRDISIFRPVHGSEEAVDVNIVAQLVEPVLRLREHDGTIVFELLSESLRSDNA KPTELLMFCVDCSQSMDSASDFYETQENSAAPVGTEATIGDVPVAGEIDEAISLDDMR DWIADHESFDDMLGLVASAETRTRRAVAENVVDFVSLLISRELFEKGKQVSALKSSAT RFMLLEIATAYENELGSLRRLVGGLTTYKAALCDFLIVKAESWTAREAFPWTYGEPIP RREPLSPGLHHLDVTDSLSAPHEIICPISQVVFEDPVTTSDNFTYERRSIERWFQIRQ SSPSTGLPLSDLTLRRNHLLHNRTVRWVAGEDILQAASQAADRSRLLSTRSVVILRLN FVTPAGTFTRNLPSTLPLSDLYKMAYRGMRGVHKSFLLHLRGSLLVSSDQQLGRCGIT TGSDIMASIQPVHGDPAVPMETKSEEMCLIKVYESSSELFSYWIPRDTSHTMASIIFR FWRFKLSSLGVIQALDQEVWSGLEYGGDGVIYGQRHDHWDLLAKALNKTELGRLVASE SVYVSPPLEQDIDIDIDVDMAWQSPTSGEHDTRQADSVGTGPATQYRVLKVDLSHYIS PEVSELQRQERLRCLTRLAVSKQVFGAFINRMIAYNYPTVMGLVSFGTSPSLSQPLTG LIENFRHAVDTLKPSGDTSLWDALALAADQLAHFGQKYPGISKRIICLSDGVDTKSAR TATDVCRRLLRDKIVVDSCSIGVEDNSALRTVSFMTGGYKFVPQTLEQAVAICELEPV LSLHERPPVLRPVMGPLLTPRDFAVAGLQFFEDEVTQDKFPVQKRHPNLDDQFFRIDS VEKGARSIVAQSVDGNPVASTVVRQRRLLAEIRNITANPHPSYDVYVSESNMGFWRIV MCGPAESAYASGTFVLYLHMGDDYPLSPPHARFITRIFHPNINRGGRACHSIFDRNWL VDITNKQVLDTVFGLLLVPEFTDPINTVVTLNYYWDEVAFREEVKKHIEKYALKGREE LGKEILGE EPUS_02016 MCRFLVFKGTHPLILSKLVTEPSHSILTQSYDSRLRLDKRRPVN GDGFGVGWYTEAHLGTYPCIFTSTLPAWNCVNLERIAPKTCSRLIFAHVRATTEGSLA ENNCHPFQHNSLMWMHNGNIGGWKYVKRPLADSLDDNWYLGVHGGTDSEWAFALFLDT LEKEGVDPSSEPTNGFGQNILRRAMRKTIAKINAFVKAIPAHHNLDDVETRSLLNFAV TDGHTVIVTRYVSSKTDAAASLYYSSGTDWVEGKTKGQFKMERRDKASDVVLVASEPL TFERHNWLSVPTNTILTICNQTVFVRPIKDEFYDPDPSAERSSNFAKAQGLVTKAPGG GQPKQPASSVVAAADAELTPKLRKSVAVRVEEQSDDTENEAAV EPUS_02017 MARLPALFGASMIAGLAYLQYQAAQAGNYAMDIFRRASDTASAS ASSIFQGGRGIAEQISLGWDKTKDETQLPEWLQKILRLDEESHSHGQGGPGGEPPNQS RAGAAVAGAAATTGAAFGYEQSPEEDDRSHEQIARDDQMMMLTKKMIEIRSILQGVGQ SEALTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMVTRRPIELTLVNSPDAKAEY GEFPALGLGKITDFSQIQKTLTDLNLAVPVEKCVTDDPIQLWIYSPNVPDLSLIDLPG YIQVVGEDQPAELKQKILDLCDRYIQPPNVILAISAADVDLANSTALRASRRVDPRGE RTIGVITKMDLVDPRRGAEILADKRYPLRLGYVGVVCKIPQSASGLFARSRGNLTNII TKNENAYFSSHPLEYGPESDLAVGTSTLRKKLMHVLEQRMASSLAGTRDAIIQELEEA TYEFKVQYNERPLSAESYLAENLDSFKHSFKEFSEAFGRPQVREMLKETLEQRVMDLL AKRYWNQPIADLTSVPYEAYALADLPKADPNSLYWQRKLDASTSELTKLGIGRLATTV VANELQSQIDRLIASSTFSSHPYAHSAIREAAANILNERFYSTSDQVENCIKPYKFEI EVEDNEWIKGRESVAKVMKKELRACEGAQKQLEGGVGKRKLNDVTTFVDRVRRGEIVL EGDGASGAGGFSSALLQQGREAVFLRDRAETLKMRLLAVRSRQCASPTNKYYCPEVFL DVVADKLTSTAVLFLNVELLSEFYYNFPRELDVRLGRHLSEAEVERFAREDPKVRKHL DVIRRKEMLEMVLQKIESLRQLEGRAKASVAAERTASAAKQRSKGWSIF EPUS_02018 MAIQSTLPSMASTPAIWPRVPKRCASLTTEKRIMRGSSLRERRR RRRVEAHQEVVPAVVVGLMRFGGAGQEEGPPVIETPDHARGPQDYRAGVAGDSGGREG DG EPUS_02019 MLESAEKSVYGPKCLYVRIFEKKYTEEHEWIELSPDGKIGTIGI TNYASKALGDVVYVELPSTDLKVTKGDTIGAVESVKSASDIMTPVSGTITETNGLLEE KPATINKGPEAEGWLAKIQVEDVKEMDGLMSKSEYDKHAEGEGEE EPUS_02020 MSLYSESIDEPRRPSAQYYQYPARRGVDLATSPRLEVYDQPISL PRRYPDSDREHKGALVVRSKPLRVRAASPDDDDERRSRRKSVVLRPRSTSRVRIREPV LLVDTSSSDEEPRRSRKNRGDDTRIRATSRPGKKKEYAFVRKASKKRRKSDAKVAVVT DLELKTDRRHRDFQSRRNDLENTEALVLVRARSQERDRLVDDLSDLDSYDGRRQRSHY NTGSRGKRASLDDGRRAIVIARDDRDRDDRRRSTRGSKLYKYGDGLVMAGDGDDIRSK GRGSGRRRISLSPDRETIDSSTVDGSLRRASTVSSRDPRARPSRAYDDRVADPDTRLD PRTDSAYFTDSERRAREREREAIEREKRAIEREKAALDKEKLLVDWEKRIPARDFLDD RGGDAGDYLKQGQTFLKDGQKYYKGGQGVVGGIKNLLK EPUS_02021 MHFSTFLIAAIALCATSASGKCFQTGKNWGDHAEAKKQLANACN ELKGTYHPRQIAARCRYNSPGQVSYIFEIENYNSGDAQIPQDECERNLGAQIDNCGHG GEATHAGVRFRADPNKGSC EPUS_02022 MAMDTSYLTTQVTTIIGQLHGIFDEIGIPKNERDSKEAELFSAL SDTLHNHLRQVNAEKTRLTEEAEQIITTIKQMEGSLDDEKQNGGYDLGTTGLCVTYPL NQCLRDLKEKYNTVSRLHTERFEQVKKLVQALESYSSHLESSFIRIKLPPTTSNTCPP NFDLSPRYVTTLDEEFTRVYDEYNRRVQMVQSTSEEIIRLYSDLGVPQAQTDSAIVKH YRDSPEQLGLHQADLERLRSKYEKLIEEKRSREKRIKELKSTVEELWNRLGVEESDRR AFLASNRGCGLRTINEFEDELSRLNELKRQNLHLFVEDARVRLQELWDALYFSEEEML DFTPAFSDVYSDALLSAHEAEIERLQALQQQRAPILAVIEKHRSLINDRETLAASAND ASRLMGRGTKGEKRDPTRLLREEKMRKRIAKELPKVTAELQRTLENYEDEYGRPFLVH GERYLDELAAAEAKAPPPRCKTPNGLPPRSKTPSIPSAPPSRAISTIPSNSTAKSRSL HASQSTKTPTSVSGAANTLRRNAFASSTQSASSTVRSPSKIPARAPLSNLQHGGNSPE RQTNIPQYPGGGNRAQQGTGTLGRMGPPRVPPPRMRELRAEESPAHGYGHAPPARPGS VQSNSTSGSSNHNGGLVRPMSPEDVYDDRERMSYMSASMSSRDRGMMGHPEDFQSSVI RHGGGHLVHKVSPPISRQISETSSNLTTGTTASGSENWETYSDASQIEPETDAQDVYY AKVRAMNPIKRPGDGYGMMAPPPPKMRMVNQVSTVEHRGERAVGVD EPUS_02023 MAESDLTGKVAIVTGGSKGIGAATCLALANAGASVVLNYSSDAT QANEVVQQIGKDRSLAIKGDAGSLTFIEDLVMQTVDGFGKIDIVIPNAAVLPMNNLET ATEAAFD EPUS_02024 MSAGSRVILLSTTLTVASTVTANYLLYNASKGAIEQMARVMSKE LAPKGIMVNAVAPEPTATELFLKGKPEQMLRAIASLNPQGRLGEPDEIADAIVFLSGK GSRWITGQRTPVNDGMEWLKVHVLGFYEYRFTVEWLRRTPDPCIDN EPUS_02025 MISAAQGAWEAENSILTIYPVQNALYNYDPKEQKKVDADRPWSK NPNHFTTCRISALALLKMTMHARSGGNIEVMGLMTGYVSGTSIIVTDAFRLPVEGTET RVSAQSEADEYMVNYLTASREGGGRLENAVGWYHSHPGYGCWLSGIDVATQMQQQAFA DPFVAVVIDPDRTVSAGKVEIGAFRTYPKDYKPPKSLQDDADEYQTIPTGKIEDFGAH ASSYYALEVSHFKSTLDTHLLSLLWNKYWVATLSQSPLYTNRDYVNSQISDHALKIKD AARKQKFASALPRQELMGTTPGQIKLYKNQSIEQVVKGGNKIAQEEMAGLMAGRVKER LFFGVVDEARRIGEGQTNGAVVEEILQQG EPUS_02026 MKKLKAVLRGGKNGKHLQEGNASSTTTNVHDDEVGQGPSPPDIP AATFPEGIRELHPCDDAVVDICFVHGLTGDRERTWTAKNQQVPWPQLLLPHRLKHARI LTWGYDAYLMHKGVSSSNRLIDHATNLINDLTADRAEHNASSRSLIFVAHSLGGLVCK KAITTSRDSPAPHLKEIFIYVKGIVFIGTPHTGSWMADWAKIPVGCLGIMKSTNRSLL SILQTEDQLLESLQISFLSMIRDLCDNHQRRVEVTCFFEELPLPVVGKVVSKASATFA DHPVMSIYGNHSDMVKFATVEDNGFKRLAGELVRWERELSLHINAGEFIPQKPASAID PVGNVHWTVKRSINNLFVGRDVILTTIEELLRRTYQDVNTIRQQRFVITGMGGQGKSE ICLQLAYRVRRLFWGIFWVDVSSEILAESGFLEIAGRLQIPAQTLEEARQGLANVQQP WLLVLDNADDPEVDYQRYFPAGSYGVVLLTSRNDNCHRHATEKSFALDGLSDDEAREL LLRTAGVPEAQRSTVEGDANVVVSLLNSHPLALIQAGSYISRGHCTLSEYPRVFAQQR KRLLAFRPAQARSRYRDVYATFEASAEVLSALAETMPPSSTTESAKDALELLPVLASC GPSRLPLPIFEAGWQGAQGIDLDQVHNDDVFIQAGADAWDSFRLIEAIYLCKTLALVL TDTHDGYLSVSMHPLVHAWARDRADVTEQHKAWLAMGCLIAASRSDRELWRQQGRQLQ PHLQTLTSWDTSRMFASEPLMKITSILVDCGWLLYDMRDDARLFMLMNNLLTHLGLDR RKVEKRWLAAYELTAWNLDKYGKVKEAVLLLEQVVKIQEQTLAEDHPSRLASQHELAG AYQANGQVKEAVSLLEQVVKIREQTLAEDYLSRLASQHALAGAYQANGQVKEAVLLLE QHELAGAYQANGQVKEAVLLLEQVVNIQEQTLAEDHPSRLASQHVLATIYWDLNRYNN AVQMMKHVVRIRNQVLDEQHPDRKNSEAWLEDFEDELHKLEYI EPUS_02027 MQRRKKQQLDSNSNEPAPSITNSIPPNLPPSLREDMSMSALLRR QPVWLLLAIASGACAAFNGVFAKLTTTSLTTTWSHAVSSFLSLAPSNQMVNYALRAFF FGLNLLFNVAMWALFTAALTRGSSTTRVSIVNVSSNFTVTALLGDGGGGGGGREGEAV PLMRDEDLLAGPDIIQLDEDDAYSESTGEAVKKGEEVDAPLR EPUS_02028 MATAIAGPVNPPSATVYVRNLEERIKPDALKSALTDIFSEYGSI IDLVAKTNLKAKGQAFIVFDSTEAAARAIEEVNGFELFDKPMQLDFAKTRSDATVLRE EGEPGLERWKRGRLAEKERKQAIEAQKNHQLKRPAAAPEMASRPAKTTKGAGLKATGT NAAAVIPDEYLPPNKILFLRDLPEDYDADGLSTIFSRFEGFREVRMVPGRKGIAFVEY EAEAGAISAKEATAGMQLGDEGKGIRVTYQRQ EPUS_02029 MDMEERHLWPVLVADWIDRILSLWHTHRTPVIAGVLTALLLLRA YLRRTTKFKDPNSSSNPSSPLPLKDDEKPPWSSQVPEKLETVSSRERRVSLQHSAGPK LVTGRRPLKERKNENTKQGGGQLRVQPLVFYCSLSGKTAQLAQNVVSKLNASLPPDGS VLPSELHDISYIDLDDFFISGPKVRPSAGGVRYFYCLLIPSYNIDTIMTNFLSHLDET HNDFRIDTAPLSSLLGYSVFGTGDKEGWPSEVEGFCSQAIEVDRWMAKLTGRKRAYPL GLGDVKGNLQKSLDEWTVGIQYTLYDLSITGTLGEGVPGSGADLESADEEEADFPDSG RQKRQKTEQVADLEDVRGPINGPLPIDFTTTTSYLSSAPTLREMVPKTSPTYAALTKQ GYTIIGSHSGVKICRWTKSALRGRGSCYKNSFYGIKSHLCMETTPSLSCSNKCVFCWR HGTNPVSTTWRWQVDDPQMIFDGAKKGHYQKIKMLKGVPGVRAERFEEAMRIKHCALS LVGEPIFYPRINEFVGLLHDEGISSFLVCNAQHPDQLASLQRVTQLYVSIDASNRDSL RRIDRPLHRDFWERFQKCLDIIREKRFVQRTVFRLTLVKGFNIEEEVEGYADLVQKGL PCFVEVKGVTYCGTSSSAGAGLTMQNVPFYEEVVAFVEALNAALARRGLEYGIAAEHA HSCCALLASDRFFIDGRWNTIIDYSRFFELLERGEDFSPEDYCLPTPEWALWGKGGFD PADQRVDRKGRPKVAEVVGYPGHAAQTIAQPA EPUS_02030 MVTYSAQRPAPRAPRFSEVMGSPPKKPPTPYGPPAYTETDPSKN DLEYAKKKIRDMALSLPTKSDEALAQTGKGTALNNAITIPPNDNQLSKDTAGSSKVPE WKRQASLRPRLPSLSSNMSGDDLDIAFGSLPLRDEMKPICDMRKRRKCAHKPKDELDE SPMFICLDCRNRPICEACIRDVLANPQDPHQADHYLYHWKATSSLQFDKFLWERRHGA RLHFGPKEMLGREWLYSDHSFAPSSTGNLTVRFVLNAQPGTYYVSVGVRTYVNPATIN KDKLGKSNYSMIKAGVTWIGSLAVGTQTIDRGAVSAGKGVNPCLQRLPDKIVEQEVKK GTENEETILASGTVKILEGQAVEVSIRHWHDKGIFKRGSPFKWWLDSITLTPVVEKEQ IASEADLKL EPUS_02031 MDRPSAFCERLASPSITNFAVSLFIFLGILVSYLPQHYRIIRRR SSLGLSPYFVLLGTISATCQLANILVLPRSRADAACCKEISRFACVADLLGIAQIGVQ WACFTVILVLFFVYFPRSKSSILAPSKDADAPSPRTALAVTIACVVHAIITAGLSLYL IFVHPEVLQVWANILGIVSAVLALIQYLPQIYTTFKLKKVASLSIPMMCIQTPGGFLW AGSLAARLGPEGWSTWGVYVVTGCFQGTLLIMGLYFKLKDRTRRKAEEDDASQKSADH ANGNAQSNDSSEQTPLLRSAE EPUS_02032 MGSSKTDFPPIRACIFDVDGLLIDSEDAYTAVTNTILRENNRPD LPWKIKAQLQGRPGPEAGRIFHEWAKLPISYEKFVARNTELQAEAFRHCKPLPGVEDL LRKLQNARPKVHMALATSSIGKNFEIKTGSMKEMFSVFAEDQIVTGDDDRVPKGRGKP LPDIYLVALQTINEHIRRTSPEESEVQPEECIVFEDSVPGVEAGRRAGMRVVWCPNPG LLNEYQGREKEVLAGKTGEHKEEEIERHGTSTIKGSPGHVGEIDDGWAEMLQTLEEFR YERYKIEV EPUS_02033 MSSPKPAPSLEKAPTSPPSDSDDDQETFHDAATHFSPEDEATLL AESNATKAEANTLFAQRDYAQAISTYDRALSSCPNYLDYELAVLKCNIAACHLYLEHW KNTIDSATEALENLERLDPLPEQSRGDKQQQQQQEEKQNGTAKPTRSLGKETSSSDDT VVEIPPDEDEAAALHRLTNSDARLADIRRIRIKSLLRRARARHRLATWSSLTGAQEDY TLLQSLHTAATTTTKSSLHTQNIASQDLRTVRDALARLPAEIDKAKDKEMGEMLGNLK DLGNKILSPFGLSTDNFKMVKDEKTGGYSMNFEQGAK EPUS_02034 MSWPLTMSDSGLDDVADLPTEGFAGIPSTMSQSGLPHLAYDNSG HYPPTMSGNELLDFEDGGYVDGEASAPNSLAYWKASLHPAEGEEGFTPYLNQQEFDKA EDILDEDNDDVDDELIFSSFQEATSWRSRTRSVNPQSSADPTIPRTLKHKKAAVKLVF KAYKSTALATDNPGMLKAFQEQKHDNRQVETICWSIVEGCIDRCDRGPLLNAYEPDKA KNNPSIRTFADRLDAIVGSLSHQKTICKHLLDAPYLNRFIDDPIGSKQRVESNRKLNR KKGGVMDVGKKALGLTGRKGRPRASDAGSDDEAEEYKSESQEFRGESSGISSPFKTPD QSILAANSTGGPPSVGLNPLYSAERFNSETPTPSNRRRAGTTTSQTSLRTRAHRSLST QKFGVPGDMSPNMGQGMELGSMSTLPGPMMDPNMPVYSAQLPYGIEASNGYQSSYNMA NTFTAMNSFGNASNFHATRMNNGYDTDLLSGPTSAPRQVPGHFQQTEIDYVPEASGGS NDSNDSDDEYRPGPSRKRRRQH EPUS_02035 MSRRMEADLRLKRPKLLAFNSALNNTKQEASAQILRSNGRNYCR PPDLLEDRKQELPLDSYSDIRFVEAVKAFTTYPLFDLQDTTTSLLLCSIPDHPIRLHS ALSSELIASYPFVNTTTEAYIAPHSLLFTGHGRNFIAGSSNLVATFDVTRPGHGPSSL FVTAASRRSRKLNGSIGLRGIVSALSIDPASKVLAAGTFSRHVGLYDAAGEGESLGVF KLEGNEADGRISGRGITQLIWSPCGRYLYIVERQSHGIMVYDIRKSGQLLSWTEGRMA ETNQRLQVDLFNSPNASGDLELWAGGVDGNIRVWRNTHCQEGGQQPDLDWHLHDDAVS STTVHPSGTVVASCSGQRHFKMDQQDSSDSDERSDDGTDVVIKKTFDNSLKLWTI EPUS_02036 MALVDRQGRRSSGLSLSVLTGTESTTVGSNDACKPDDTTIKHAT IVDIDVQTAKPREGLTFQRPSVKDLTRRGPAQIMNGAKQHKVSLRWVHLRANCMSWIE ELMARVCEERGIAIPESSENSPKKNPLLRKDLWADLFHGKASDQIQTRFMGPTCIQFS SNLEDEMSDTNGSTGGSRDNIVLYLPFLHWESYDAWTEREDLTRSISANKPGKPQPDR DFVAEYLHHGTAPFHDRRSLHQAYYHDFGMTRSLPQYKQVMQSFTSQTHPDSVKMMVV DQLWLWVIKGTGVSEEGSQPDLVVTAFPDRFNGAYDSANVYNSIIEHLERGLQPPLRT ANNLVAAILEHCTGIFFQRQLEQDKWFVEFFAAAIGTVRNSQKLAFNNFCLKSRELKD LEDQEASLAETAGKLEDAAFSISVETSLVQDIKDIIDELSCVDYILHRQQDVVASLLR SQNSRSLKTIGEMVKERRDTWASIAKTAHVAYDEIQTQMDAQESARYGRTVLLLTVVT IIFLPMSFLATWFGMNVRDPGASSLPLYQIAAIIFPISIAIALFALVVAFSEKLRDFI VDGVRDVLDFVLGALGTNRSRSRRRRQKRRINRRQQYIESGTVGDSRDC EPUS_02037 MFGSGSKPSLGLSISTSAANASNPAGTASSQPAAGSLFGGFGST TTSQSQQQGGLFGSASSQPEWRLVWFYSITTSWWRSLGLDNISASQHCIIWSNIITAS QWRTVWNGGLFGAAASKPASSGGLFGTAAGQTAPSSGLFGSTSQSTQGGGLFGTASSQ TAPSGGLSGGDSSQSSQAGGLFGAMPSQPSQGLFSKPQAPQAGSLLGGSNQGGASQPA ATLNLGQQTTLGASSSGTRIDLEHLRPTTKFDHLTEDLQREILNLDTAILNEINRCNE VSNLLPAIAATGSNIPNDVAYVAQKLEEVETGLENDAEEIQDLKENVVKKDANEAKVC FREVDRLKMPAQYQASVTGPAASVSGVYGGHGLSGWWNHPQTLQRSIRGGSGTGGSRS LQLPGDEDEDAPAGVPTNIVDFFDRKTDEMRKALAENKSLLTEIEEFVMSVENKIVVK QREVTGRDGRASGLQGEDDPVNLLRYVFGEFERSLYEVADKVGSARDGVQELFLGRTD ASGRTSHKTAW EPUS_02038 MSGVDDAEPSLIEYARFHGLADNHLHQDIGRYLPSNLLLPIANA SLPEFKLPCFELPTETKFGLDSKAASLLASCMTTQQALPSHDTLSDHHRVKNLKIEQP VLRTDHDNDMNKMRFWKLPKASLNLQCIDSAEHEDEELDNTLTLTGLAAEWDKKLAEE KLQTTREILKALQDTLRPVYTPEMHDAIMEEGMTCTKRSRLEPISPPLLPCEADHEPY IPSSSPLRMQLISDVPDGHDEQMEKVNEDISNQMSITSENAMPAAVGQVEQLLHFAEH GPAFDELPPLEIPGQTPVRKAQPSKLLKLEPPELEPLTAPKALGERGAQSTRLASAHK DVLPELMEWEDLSCNGTDQPFQDELFAKLAHEAANAIEKNLAGEKLEPADIITRVEVP KLDNVTFQAPMTKIHPRALVQGMVECHLKDTRRSFDKALERKMRWAPIPSGHLDPPTD EPIEPSPHLNKWICQPTFTTKAEDLLWRPDMLRILSINEEDDEEQLEIDSSLRDEAVQ MSHPMLKRPGALDAAESTNTPQPCQLSVPQAKLFPSTFGSLAGFMDTRNAYKKPRLDI ASKADGTLATPTTGLEKKMVENIQVPATPTEGPPTNVATFSVPLVFKITSPRSIIIRS GLLNSNRLLTQALENRPDPPLVIIYRDLEYENSTAGSPDMIITPTSVAIFTTLQATMQ RSLPGQGSSHPPIFHRIHRLSDSYDRLFVLTTMPPLDTSLDSSTTCSQISTLNSFCAS LSRPQSDCIVQPILIPNAPSQPPVPSIPSKRASATSFPLYQWTYALIAKDSLPNASGP SPTLLPSETVWELFLMKAGLNPYAAQVVLSMLKKRADHHDHQQKEHQQKQGECSSGAL LWGLRAFVQMGKEERMRIFAGLIGRKAVERVSDVLDQSWGGGCQVSGLDARCEGASSI WEAHLGSGGDGGGEAMPVD EPUS_02039 MGPCLTKIKSQRQPTSPPSSRPLRDSQPQPQRPSSSQRPSQSQS HPSHPSQQAEPQSQSQSQRTSPSQSPSTTISPVTATISPVTTTISQVTTTISPITTTI STVTTTISISIPIPPLPSITTSPTTTRPAIRKPHPRRRHRRRQRPSLPPAPYGMLLPQ LPPEHVLRVRHPMAHQLREFPGVFADRSCPGLQVLSVRQWLGCGSPEVG EPUS_02040 MDGASNAILEEPEEYLHNIEEPANNPHSPESSWRKCNLLSLDGG GIRGYWSLLALDKLMEYIAEAEGKDQVHHSFTPQDYPENVSQGPFTDEELRKLDEADA AEARCCALNNTRRYLPCHYFDYICGTSTGALIAIMLGRFRMTVPDCLKEYRSLGGEIF GNPRFFTQMRFGLGRRTKYEGEKLKKVFEDVTARRSEQGESSLSRVTFPFKPGLCKTF VITMKSEPPKRKVETVYLIRSYDHDQRTTPGSLPSRKSTWRTTRSNTQVSANGTIDTR GRETVAFKREINYGNADPYQIWEVARAATAAPLYFEPLKIRNHRSEAQSVFMDAGYQY TTNPTEEGALEIEDLYGKFSIGVVVSVGTARRDEPWGGGIRRNVKTVFNKASNSEVVH NKMRDKAANDDFQYYRLNDRDALDIDLDEWKPKPRPFVKDPGSKTINKIENAFGRWAS RNDIIDQLQKCAAELVNRRKARATDFAKWERYAIGAQFRCRFKECERGDFANRRDFHN HLVNDHAMQQTELDQEADFCRKYWRYQKAESR EPUS_02041 MAQVDSTASVATSGLGESRERGARRKKVYGYLKAANELRQSYQS QWAQSREQSDLEDEQGLSGAFSDVEIVRSGNEEMVLFPSYARKHTKRPQTAHYDKPGA HEDIAQSASSGDAEYWKRQWEQYEDDNAIVDIDVRGWIYTPHQGAITRKNRLVIAVAR RLSGIPAPTPSPDSSRDSSRHSLHRERLEERAARHEEEAAAKAAQSIVQRGEGEADAA WRGGYSQDPSKNVQGGSPYSTRSSSPVSQHEASDEPHPGHLRHAQTDSSLASEYDDPA IKSLAKRNSWNRPANMSKEELARANTLLMARLRPFMHLPLAGAPITVFFFNDQTSQSR SVNTNESGHFTLRASLDFVPTSIRVLASENLSVTEKITIVESRGVSLISDIDDTIKHS AIAGGAKEIFYNTFIRELGDLTIKGVKEWYSRLAALGVQLHYVSNSPWQLYPLLRSYF ALAGLPPGSFHLKQYSGMLQGIFEPAAERKKSSLDKILRDFPDRKFILVGDSGEADLE VYSDVVMANPGRILAVFIRDVTTAEKREFFDQSPSRPQGGRHQMAENDARRGAVLAQS DAPEARPSLLSRTRETGARAEPRPNSGGDLIDFNQDDQVHSPRESSNYADLQELGQND GTSPRSPPARPSKPSSLRSTSNTQIRSTNHERSTVTTSSPTSPPPAKTSPKNPGPPPK PRRSSTSINLSSNQHASFSSNRQDRRRAQPLNPPTLHNSAPNPQEEGYATSARLPSPR TLWSSSPHATTYSSNTTPTQENPNPISPSTQTQAPSLAPPPPSSRPSARRNISSAAHN FASNPARGNGGAPPESPYNKKEEVWRRRWARAEELMRREGVVLRTWRVGGDVMVEDRR LLRREQDPQDRKYQTAVPEGGGEPVGARDRVPGEGADDRGG EPUS_02042 MVDHNSGHALEVLQDSQNPKPTNATVNSPETTEQSGKCEERFLY QSYRAFLDTNVKTWPELRWMQVFLEHQGSNPSDTQVDVIDSKDGCLHSSQVPYTGAQN LLKALGQRSPDVNTRIIIVSYRESLSIDRGVVEVLGRLLSIDPLAFLQHFHHESLQQE DSYNDKYKSRLAYEPHAFLPSEQPTQGRCLHFGLESRKYASAMFFGDGFGYNNISQAT VVIWIRSPRRYYATSFGMHPSFSEPPRQRIVKAHYITGTYIDCLLALDPGVVRMADRD LRQYIAPLIRVFLKEHYVEFRKEIDPFSLFRPTFIHNTPEDLLPRIRHRLRFLDNMRL TIRNVLKEGEDREVRPAEAPIHKTIGDLYVLIDELKEAEGFYERLQREQLLNAQLQEA RKSTETAVSVARLTKLAFIFIPLSFVTSFFGMNVKQFGNGNINIWIFFATAAAMICLT LPAFMITTESGEIAVCTVKLLMKSPYVGFWFLAFTLFNTHKVNMMLCERGVLHYFSKG EQGCREYALSGARGWRYTFGWREESLYRQFWSWRAQYIMAIFYKWLDSPDWKKLDWTD RLLWQFEWWRLDYERQGWTNID EPUS_02043 MSGTSNVGNRGVYEDGDQRNPPDSEEQKRDRYHEGKPHSHNPND SKDERSIANRLAREEKREHEEEEKDLQKKQVEKDPTLPAKSHGNEPSKGAKIDAEIQQ EEEEMLKKKDAKKSSS EPUS_02044 MLPCTQKIAELPFKPKGVILSGGPYSVYEANAPHVDPALFELGV PILGICYGLQELAWHHGKNVLAGEKHEYGHAYLTIERHSESEEHIDRLFKGMEKEMEV WMSHGDKLSKLPDNFHPIASTSNAPFAGIASTSKPYFGIQFHPEVTHTPKGKQVISNF AIEICKARPDWTMEKFVDKEIERIRVMVGKKGQVIGAVSGGVDSTVAAKLMQEAIGDR FHAVLVDNGVMRLDEARTVKETLTQHLGINLTVIDASDLFLGRLKGISDPEKKRKIIG NTFIEVFQNQAKEIAKAAANTPQAGDIEWLLQGTLYPDVIESVSFKGPSATIKTHHNV GGLLEGMHLKLIEPLRELFKDEVRALGTNLGIPEDLVWRHPFPGPGIAIRILGEVTPE QVRIAREADKIFIDEIQAAGLYRKISQAFAALLPVKAVGVMGDKRVHDQVIALRAVET IDFMTADWYPFDGQFLKRVSRRIVNEVNGVVRVLYDVTSKPPGTIEME EPUS_02045 MGKRKSLNGAKAETSQSGESGSDEDFDMLDVEFEWFDPQPAYDF HGIKTLLQQLFDVDAQLFDLSALTDLILAQPLLGSTVKVDGNETDAYAFLSLINLQEH KDKSFVSKIIDYLRQKAASSSVHSPIAELLSGPDTPPIALVLTERLINVPSEVTPPMY SMLLEEIQWALEEKEPYHFSHYLILSKTYTEVVSKLDEEDDRPKKKKKSGGSSPTVLY FHPEDEVFERHAICHGPYNYSTKQDEGHSDSKRAFQEMGIKPLGHMILVEAEKLEEAV KDIAEYLKSQG EPUS_02046 MDTAIDLSDPSKALDLANIRFQLIRLEDTIIFHIIERVQFPLNP TIYVPGGVEIPGSDLSLADWLLAEQERLQSRVRRYEAPDEYPFFPRVLEKPILQPLSY PRILHPNDVNVNATIRQKYLQEVLPEACRKFDREDRGETKENYGSTATCDVMCLQALS RRIHFGKFVAEAKFQKETKRFVDLIKKEDRKGIDAAITNSEVEKQVLERLRLKAKAYG SDPSVGEGGNEKINTEAVVAMYSQVVIPLTKLVEVEYLMQRLTATEWE EPUS_02047 MAGSHSRNASESRRSLMGSDGDEGVLGTALTIEAFGRKVTATAS HLMGDTTSNAHYPNAMGSLSRQIRRPGIQRGVFSLAQTSPRDLVRSRLSTSEISHRAI TYLSDDLLENIPEDANTYSLFQGFQATLPEGESEHRKSHRRRTSKSQKLLGEATSDPD GPPSLENLKKDRNTMNHRLEMMGVRKNLCSSEIHEIDKKISNLNSMRKIVLDRLADLE NDEAQLEHELLELDNKIEIIQEELEDAQALHQSANATLPPSNDDTPEHQAMDASFMSE SIYEKLPPTSPKRRRPKPSRRKSMPVLHEHLEPGSNIRTLPAHNDCITSLDFDMPFGT MVTAALDDTVRVWDLNLGRCMGLLEGHTASVRCLQVEDNIVATGSMDASIRLWDLSRA KYEPYENRVGENGEDDDDGLGFGNADEDAPPPPPASSMEECPLFVLEAHVDEVTALHL KGETLVSGSADKTLRQWDLVKGRCVQTLDVLWAAAQASATLNPVDASWKPTGRTHDAN ADFVGALQCFDAALACGTADGMVRLWDLRSGQVHRSLVGHTGPVTSLQFDDTHLVTGS ADRSIRIWDLRTGSLFDAYAYDHPVTSMMFDSRRIVAAASENNAKIYDKTDGRHWDCG AGVTAEEDGSTPAIVEQVRIKDGYMVEGRKDGVVGVWTC EPUS_02048 MKTNRTPAPANLPSSPSTSTPRVPALPTPPSTPQTKKPSQRSKS YDQDEMVRRELASLPGKRIPKRRRLFGEKGDAAAPPLKRSKTQEYGKEERKKNKSIAR SSNTVPAQRSPPAKRKRNDNATEQTGKSVVESRQPRKRAKGASGLQGLQEALEKAGLD DRAIAERTEQGSEVEYDRTLISELMEQRGQLIARWRGSRFAAGGDGAEHAGAAVRVPE LDCLRAPPFLADASHHLGRCAVSPYRGSPETR EPUS_02049 MDTETTSDQASTESAFTPPPMLDTRVVIDAADRDLRRGSTLLVA GAAVCVLWDDATKTGVGVGSMDPRLRGASTAAFRERKFSSPSDRLHRQARQSILFEER KSPVFRDDLFSSLPLFKTQGLGRSGTAATDVSPTEVHPGKRLAEPPGDSGWGPDSSNG HQELSDIFPIPSPTHLKKTSVAPESAQVAPIHALDENAVEAQHDQSIVTARSSHLWSG FLWGLLNLFPSSATLKPGAFTGLQVASTAATAHAKAILHHQSYLHNQRQHIYITEYEV GDSLKPKRVPMTLNSISGIQHYLMEASTSRFTAPLLRVIYIQNNAEAMDFLTNIFRLD HASFENFEGSFKDWIHEQKSHRDSLNKTISWKPMYDVTRDITCTVFGLDLGSGLVGVQ AAPDIALPGKKNFDRRVLTTGLSASRPQRLSVYIQRKLDGFPEIGKMGVFNTSAREKW QCAHQNTILIYENSHDDCEEIIQGQGLLDLDWKASTADKDSDVALVRTMEHILLHIFT KVLRAWRKQLALLSIQHAQLEDRVYGQPSDDSHATELWAMSKYLWSMAKLVNRHSNLI EDVQEHFNQFAERSNDYDWLDDILRDFRQASVTIQDDFIRPTEHMIDLMYKSVSIRDS RQSLELNASLWRLSWITFIFLPLTFLVGFFGMNVGVFEHYPSVKYYFITAIPLMTIIL LLWFAVRHYVPSDGSLRLQSSRSAQLDEPERPP EPUS_02050 MGQAYSLTTLSAGSASIDVPELADLVHEKSLGTARLMKSIRARN QHGLVFVKAFVKPYASFGLRRYLDIITEERDALRDISNALPYQRILETVNGGFLVRQY IHSSVYDRMSTRPFLEDIEKKWMTFQLLCALRDCHARDVFHGDIKSENLLVTSWNWLY LTDFSSSFKPTYLPEDNPADFSFYFDTSGRRTCYLAPERFISKSQSQMDGDINWAMDI FSAGCVIAELFLEGPIFTLSQLFRYRKGNFSLEHTHLNKIEDKDVRELILHMIRLDPE SRYAAEEILTFWRGKVFPEYFYGFLHQYMSSLTDSSSGSKPISLDVNAIEPDERIERI YADFDKISYFLGYIKQNERQDSTPPSVLVDRGMPIHIDISQKPSLTPEMQTETDKGTL IFLAVVVSSLRNTSKAAARIKACDLLLAFGQRLPDEVKLDRILPYIVVLLSDNADMVK IAALKTMTQLLATVRVVSPVNAYIFPEYIFPKLKTFMLSASHQPSSVIRSTYAACLAS LAQSSARILDLVQAIKADGNLPELAEKDWASGATFHGLFDVARIDLVTHFEEATKALI TDSDPLVRRAFLGSVSSLCVFFGSSKASDVILSHLNTYLNDQDWLLKCSFIEVLVGIA TFVGVVNLEKFILPLMVQSLTDPESSVIERVMRSLTSMADIGILQKATLWDLLTIVVR FLVHPSLWIREASAQFIAACGKHLSAADRYCIALPLMQPFLRTSILELSELEILDSLK KPLPRPILEMAVLWATKVDRGLFWRNAIRDAVFVSPEADSATRVIPSVRQFPSRISSS QRNEEDEQWLTKLRGLGMQNEDETKLLALREFIWRVAHRKTNAVDVNLQSTLNSVVSL NQINVTPQNVFFDNKVPLREKRDTGQHRLDHVGDGRRPHTIADALLDASTTIYESAGG QKSYTGNPAQQDASEQTKARQIPKPKILPGPSSSPSPYSSSRTNSYNSSTPQPEHDKN KSSKTRIGTEGDEQGLSEPETHYRLSVGKSSDNSLRHRSSAINLLNRKETSKANAATS TTSENAFGKLDGPLQPRRTTELSALSFAAAPLKSQSNSPEGESEEQSYEPNHSYSGND RNILRLLEHHFLENYPLDVHDLGPERQAIDPKSPIPRVSDSSQQTNGAGVPESPITHS EPWHPSGRLLTVFSEHTAAVNCVVPSPDHTFFATASDDGTCRIWDTTRLEKNVSPRSR QTYKHATDVKVKALCFVERTHTFISGADDGSIHVVRVDYKKVDGGESTRYGKLRLMRE YQIPQSDKPGAAEDTMDRATEHAVRVHHYRTSNSQSVLLLLTSRSRVLAIDLKTMNLL YTFNNPPAHGSVTTFLVEKRQTWLLIGTSHGIFDLWDLRFRLRIRSWGLKSGRRIQSI YMHPSKGKGKWVVVAAGPEIGVWDIEKATCKEVYRPESPSTNPSSSATEAVATRAATI ASTKPYEPWFPDDEPADKLLSRFADRLVADGALVDPAQLSPSSDTQGRREASSRTPSE NAITALCIGYDNIHNPSNPQYPVKAPFIISGGSDRKLRFWDLTRPDYSSTISGIRPSP DDGFTIPKLRYDISHPGGQITLVSEYLPSSSSSTGAAGHQTTTPRKGGAKANTSGGTS ASVGSNKPPRSTIISKQQQMLLKNHLDGITDCCVLRRPYGVVVSVDRGGGVYVFQ EPUS_02051 MESRTIAMAIPGPLPQTPAAQSYISLHQPELEAPIIDKNELFPD VVQKITSYITKAIDSSYSYEQIRTSGAGQRLRPLVTSLTDDCHHSAIVAAILASRWLF ISTDLDDTGVNESRGLACELVAWEFLTCLSERELMDHLLHELPEDTNREDPQVDMESR PSPSSGSAHSGEHEAANDQTPLLQRHQREFGEFYKPPNQEAPSSSTTYRAESDLSEVE QVDDPTKPLAGLNALEIAAVANAKKFLAQRLVQKVVRDIWDGNIIFWESLSVNAVKRA RVYNKRIADPYARLRVPKYAKAIQICFFAALFALYYAVLIQRHPHAITVTEVFLYIWI AAFAYDEFGEFKDAGVAFYQTDFWSLWDLGIIITGIAFLIVRAIGLLGQSDYLTDLSF DTLALLALFLIPVLKEMTKDVIKFLPVVSSYLGFDVAAEVHNSFLLIGAVANLAWGTG IDQPSFWPYFNVWPPFTPPVQIHPRTPNLGAAMECTLHTRQLIFVTLTNILLITSLIS LLSNSLTEVMAHAREEYLFQYSIYVLESSSTSSRLTYFFPPLNLIALLFRPLRLVVPA QTLRQFRILILKATHAPFVAIIFAYESSRLFSSHRSHFPPAASSSMHINYSRPGRPLS SNLGARFTALKPALAPSTPQQPSQHQQHLPGSSSSALSTADVAEMLTILQKLSSQVDE LSMKVAGQSKDW EPUS_02052 MSRNHPVPVRSNVHEDGQQKRTFTYRLGPSNSLLGSTRMIDYPT PPRTQSPATSISSSSAPTTPQGLGLLNCPFPNNGVESYSTASSFHPVNTTVDWSMPVS TCGNPYGEGPRLNLLPTSTHAMSSRSLNAIAFYTSPALSSCGSMPTPDADVGFSLGQD HNPVMLQSNFQEQGCPHVKQEDAESWFNEHVDMERPHSSMNLSSYGNMKSPNMRASHV TGSGLLSSPAANFGAMSPASCGQSRHFGASLTARSDSVESFTTLDRLPSSRNAVNSRR HSTSAEKRYVCSVCNRAFDKKYNLREHEKKHDPSRVSPHVCPEPGCGKRLGRRTDVNR HFQSVHEKAKRFVCTKCFKRFDRKDTLARHCDRDGACPYSKQYGEMQITERPRLAPSG SSLNHFTQDAQPSAFSAPPPANYLASSPAFPPY EPUS_02053 MSDDEDTDASLLALLRQSLSLTENTATPAIPETGVLSSAKFIYN NSIDVAIDSSSTKLAATNIYNLMQSKQYSTHSWSAHDLHPKAKDKATLDFIFTMDLLN FSFWSESSEEQRFAVEYRGKRWTGYWSLVACLQRAIDQDVPITDSHFWQDEGECTLEL LQHLFRSTTSEQIPMLRERLDCLREAGTVLYKTYSCSFANCIAAAKQSAAALVSLLVQ DFPCLRDEHQFEGRRVRIYKRAQILVADIWACFNGESYGTFHDIDSITMFADYRIPQM LHSLGCLMFSPPLEGRIRRQEEIKSGERYEVELRGCSIWVVELIRRQIMNDHPDAKVN SILIDNFLYDTLKEKEAAGEMTDMIPHHRTRSIWY EPUS_02054 MGRDKYPRQSLGALHSQIKQARVLLIGAGGIGCELLKNLVLTGF GEIHIIDLDTIDLSNLNRQFLFRQEHIKKPKALVAKEVASKFNPSCTLTAHHANIKDT QLFPLSFFRSFTLVFNALDNLDARRHVNKMCLAADVPLIESGTTGFNGQVQVIKKGKT ACYDCTEKEVPKTYPVCTIRSTPSQPIHCIVWAKSYLLPELFGVGEDEETSDQLDTSE DADNAEEIRNLKAEAQALKKIRESMGNDDFAKQVFNKVFRDDIDRLRGMEDMWKSRTR PTSLNYEALQSEASSSTDATISPSDQNTWTLLENFAIFKSSLHALSMRLKQNAGIAIA ATEATNPAAVRPVITFDKDDPDTLDFVTSASNLRSHIFSIPLQSKFTVKQMAGNIIPA IATTNAMTAGLCVMQAFKVLRGDYFRAKMLFLENSGARALNSEPPRPPNPECAVCGIA TGQVDVDPERATLNDLVDGVLKSELGYGEEMTIMNEVGPIYDPDMEDMLPKTFRELGL RDETFLTVIDDDEENPRVNLQLAVSSKRKLPAGSRPVVLAPTTAAMEDGRPEEAGQQR GTLEIARKPKKATSDRPEEMVEGNGVTVVIANGAANGTGTGKGKGKVKRSADEAGLDG ADTLKRKLAADDDGTGSGAAGDASVVTNDSTKSNGDVDGDALGFDRAQAIMLDDAAAG DGSIVIDDD EPUS_02055 MARTIRAVARSAPSKLKLSQVASSRLRRLFGNVKPGARLGQKPS EGIGAHRTEADSEDINLFSENTQGVTEERQQPGLESAVSPVAEEFHLQPGLSPSHLQE TSPKEIPPRLEEVESPSQSGSLLPNKPLSVENGPSAGAIGLDSPVPSPFFSFPSAGLD EGVKKVAITPPAVGVDETGNTAIPPTVSKKTRLAGRKCLITGATSGIGYAIAKRFLTE GVASVTTVSRSQENIDAAYNKMKKQTKRKGLPFKLLLGDITDPAFRLKHFNMTSELAD IDILINAAGISQLFPIGITKAETAQQIINTNLNATIDLCRFFSRIVYRRTKKSVRETQ LKSTDPSESDLDDSVDEDAADQSSEIVRPSRLIGHVSPCIINISSLLGVRGGAGATAY AASKAGVLGFTRALVCESASFAIDMRVNTIVPGYIHTPMTKSFAPARRKMLCKQIPAG RFGTPEEVADAAFFLATNEYANNCVLNLDGGLSAV EPUS_02056 MTVRSTTDSTPAAGIDSASSSTSPMTTPSPFVTLISSDGFEFHV RRSAACVSGTIRRMLNTRSNFSESVTGVCHLENLNAMVLEKVVEYLYYNEKHKESRDV PDMEIPSELCLELLMAAEYLDT EPUS_02057 MDVDLSEETQDFRFLSVLTSNTTLANTTTTPSVASTETLIPKRG IKDFEPNPTRSQQNALRASRQAMHDVLSGERVHGSKNWVIGYFVGSIGGEGESAPLEG RDSGEDGIGLEDRDKRLRGCVVRIDQPRGSTFRTMGVSDRENRIWLLPEEALYCIERG SLDIRWGLPEAEKDDVKGGPVTGGNDEGSAKREGKKDDDDDDYDDAPAFSDLPMSLQG AYATFISDKDLTLARYTVFAGLRRLGYTVIRAPTWDDSAPPSSQTRQEDKTEDKIEDL PITSHARTVSPPSALTSQQPFFKWPSLRTFITRVFQLLFRWESPSKPYHRHPNSYPSF GPLVAPGLYRSYIDIYRALALIPFHNPTSKPQPAHTAPATTSSPETPSIQPQPPNPSM TSTTPPFRISYHVYKPTTPYRKTSPPAPDFRLAVIDAHAHATIPNLTELGTLLEEMPL HDPRKDEKLKKGRPEMRLKAGTRSVVLAVVDNGVVSFLRLAEMGSGREKIYEGKVGRG GGKRSGRGRGAGRGRGRGR EPUS_02058 MTIGHERFFVRDIDDIAHNRAKIDAMCAFYFAAAHNRAFNNLAH KHLKQLERQCENLYVSQMEENLCTSLLQAHDRAELLQETQNAAQRLQLALSRVTKGDK HLPPWGPPLLSRDRPIEQVKEWTFPLPWTIIHDKDPKLYIKVAIATPWDPRIHSEEAF PSDAAELGVLPPTAVPWGVEKVDTAPATVACEAIARAGAALATAASSARVEAGVVPTR ATSRRAAQVGGVWTAPASSSPARVGASQAVTASRGAARAVGYPATAAPVKGAGLDKSP ATAAGSILASSMPLATAAPGVSAGAVASSTAEALRNKVRTSTALASAMQRTTIRMTHR YTPPTTPKSTENPKTVPSLATAGAVGIAAQTDAATGQRAVGSTARSGATVKAASAITA RGCMAPAGGRSESPPRAGSSRASMPRQRQEPSKAGAVRAKEQELKATESQSSSPSNVR SR EPUS_02059 MSELKNGQPKLRVAVEGCGHGTLHAIYASVEESCRIKGWDGIDV LIIGGDFQAVRNKYDLNVTAMPAKYRKMGDFHEYYSGARRAPFLTIFIGGNHEASNHL FELYYGGWVAPNIYYMGAANILRLGPLRIAALSGIWKGYDYRKPHFERVPYNEPESVS IFHVRELDVRKLLSVRTQVDVGLSHDWPQGIEWEGNFRWLFNKKDRFEADASEGRLGS VAARQCLDRLRPNYWFSAHLHVKYTATKQHGDYDSVGPSQEKRPRHGTPLFEPAASRV DTQHNPETTSNLSESHTTSSQQDNYQRGPPDQIERPADGISTLSSPLARGKSSPQINS TSKTPEQVQVSAWQNFQVEGVRAEAQDTELRLQEQRERTAEEERTGVRNRPHYTFDET WKQVQIDDRIERGITSVSKTVRLPGEDTNMANLDGCIESKLKRRRSTSSEDRVKKGHK VPPSKPRSVQAGQLDGQVPAPAINGNNMTSNVDEIEINISDSSSDEIGSGKELPRTNS IRIVPADVEDGVPFQPTRQIPNKRAKPEVVMSDDSDEGGVKLDPTSPPFVPKARSSSQ SALVEEELRDGTTSKADTEEREEEKVSETMRAQLAELSSTFGPPPSQQPVSASLPLPK SIANTTTRFLALDKCERGRDFLQLLEVESITEQPCLPNAQPYRLQYDKEWLAILRVFA PELELGGDPNSKVPPDRGDTYYRDRIIEEEKWIEEHVVLPNRLTVPDNFTITAPVYDP DESVSPSDKPHEYTNPQTSTFCELIGIDNKFEISEEEREKRMDQGPRPVDRNFSRGGR GGGRSSKDGGSTGRGRGGYNVNRLQLNGACAGSKELQTLRFLLGALIPFRAIAQTQGI LSGGGNRARWWLDRHKLVEKMSAFVRVSGRPNTNFLVGYPGISATLPRIEGTVEIRPN AGITAPAHVSLVTVSLQRRETIHPSAESITKKHLAAPRKEITDIVGKEMLLFRCPAGK EYEEIMSMDLPFVIFIPFGRGAQETARRVPPASLQLPKRSAETYYEMVVLLQQGHSEQ KKYAFPVPIARYDTLSTFGMYNKPEVGEARSDSLLTLSITLPRWSYGPLDPVSVRVKL SPNLDWMSRARKVTIQKLTYGINEEVIYNHEGDEPQRKVKSMKRKTEVIGIKVPESGH SVNLGLLFPSKEMRDGDGIIPRGKAAFPNFAVQSFTTTAGLYKVEYYLYVKAHLSGAK DIELKQPIVVCPLDHASCKAEMDAIEQAAKDAAHVNAENPMLPLATIIRAGFALLYQD LTSLYHNLQLQLRLYPDLLSQARLYLELHDLDLDLDPDLRLLELLGLHPLPTLALAAN HPRLEANPEVPTSETV EPUS_02060 MAEQKPHESPKGEPEVNSAAQTVDGAEEDDNNGVTEIESLCMNC HDDGITKLKILRIPFFREVLLESFFCEGCGFKNSTIKSAGEIQEQGSKFTFRVESEAD FQRQVVKNDSAIFRIEDLDLEMPSGHGQLTNIEGIMSKILVELEADQPQRKKNNIPLY EALDAVISKLKKMMHGSAFPFSISLDDPSGNSLVEPSTSDRGTKYIRTDYPRTHAQNV HLGLATDEEDNGAAEASDALDGVDIVDGQIYEIPSLCPGCSKDCTINIKKVNIPHFKE VIIMSTVCEHCGYTTREVKTGGEIPEKGQKITLRVENTADLSRDILKSETAALKSPEL GLEVQPGTLGGRFTTVEGLLTQVRDQLHGQIFDIESRNGGSGGKAQLGCILHEAGSGY PG EPUS_02061 MVVDPFGTTLASIGVFIQFYNVCDTLVHGYKLTVHFGEDFCAVQ RELDIQWARLHLLMQSRRVLKSEIDLENRNSTIGRTITSYLAQMQRYFQICHDLMKKY NTEEQRENYLNKNTSTSMTGTGGLTPSGSSNTTLVSSPVPSKPIKSSRWHKINVFRRS EKSLSPKLGTKKIFGKETVDLVVPDLDIQEVQDAAAATKQEALMYQEKVKFWRRSRWA KRDQEDLKATIARLRQANNNLDSIVQLLALKDPSSVLPSSSHADSLWPHVTRVSRTLG ALHRELMVLNVKRDNHAPYLLSLRLSEDHRKSRRELADYVCLQDDSQVFNLQRHLTED PGEASRLLLIQSFRQQQELSGDTVRDAVSKLEHIDRPKDVQAVPDGEEIEEWGWCGNS LENDYLHIIYHDAGNDWICTNTLQDVLTGNEFRKRITTVQVVQLAKILLCSYLYLDSI HDGTKVPRPPNYRFYKTSDEEDIWDPDDPRVLRPWLSFGFGRRPPKAKLGGGSGVADT GGSAMAELGLLLYQIGTGMAIDYVAGPTGLKQAKSEALSNIHALELRMGLFYTEIVQK FLEFEARPLYLLPPNDENQETEYVKKVISALMKLEHDFEDTAIAPMLEESDSIPEIRV SGVASATASTEDLAASLHPETAGPRSITASV EPUS_02062 MVAPASSVLAITSATEIAERIGHLAKIIHPCEGAKVHPIYYRLF AENAKLRRRINQLRDQHGDSLFSLIDPADVEDFSLSIRLLERYHERFRRKHEDIKAIS DRGQPSETTKVKLRLPAEDLNDLMVMTDTMAAMNEALRAMVPVQTTYDGDPPISNLRP SYPSGRPGLGSERIQSLSSTTAPAELAAQPLADDMQPVPISIRIIWQQAVLGLTKIAI ARKEKRLENSAGRLKLWGVGLFEDRSALDDVLVSRSRKNNHFYHILVRTFTEILLLEE REIRSITADESDIIQRGLLQVKSEIGALLSTDELVGYAIEDWSSQLALSQKNTEMGQT ARTKMHAQNDAEKTDIALARAVENLFDFLPGIRAERHAYCYDKEVSGEHRTHQKATAE SGGTNFPVIREQNEDVQSFTAGREDISHNEDVQSFTASREDISHNEEQSEILEPTLAK VQAHLDEVKEKEKRTAKLATNEKFAGDLREEKKKAYGTRTISSTNDIDLKTREIRVHN RSDRPQACPRRLDVDKHARWK EPUS_02063 MASTRNVNGILAIPAAVTGKKASTNSSAAPKLSPRTGSQKLRLI VRRLPPGLTQAEFEVILGEEWRVGAGRVDWFAYKDGKISKDSAKPSRPARAYLRMKDQ AMLDTLSAVVKQCVFQDAKNTSKDPCLLGPPSLEFAPYGRVPGSRVRKDGRQGTIDQD PEFIDFLQSLTEPVTRSNASGEVAEGIDTRPAKVTTTPLVEYLKEKKANKGKESATSK TAKPQGKSEAKDTKVEKIDSKKVSLAKKEAQRSPETTKTLEKATHEAVKAINKPMAAV KGKSDALEPTSPPSKAPVTAPTTAKRERERGSVSVAAKILQRDLGLTPVRGDRRNIRS AGLASKSAEEAEPERKPVSKPPTTLSSDTAPEATTTTPTQPSTLPANAVLAAPTGPRS TKSPMPTSQPVNRPASAPSGRPSRPAPGPTPGARSAFLKHANFSQGVTEELLYTAFCT FGTVTRCEIDRKKGFGYVDFEDTESLKKAMLASPVKVGDKGGQVVVLENKSFKMKPQT PVQVPTHTAGKVASQPQTPPPMSTKAEIVANKSTTPAVTTAPTAPRGAHIPFRGGSQH HGTRGAYNGPSRGGLNRAGRGGRANSRGRGAMDSNRGGGHAKERNMYNHTVTEPAGAA GLGPPSASKMEANGPAG EPUS_02064 MADSAESEPGPRLRSCCAKKKSPELTPLERARRGAEAVVMRNGV VEAAEELGLDLGKLSPGSVK EPUS_02065 MAPSVPQPGPARLKRSAGPDEWLDAAKNCKYLSEHHMKQLCEIV KEFMMEGQSDSPNVCRHTFANGSAESNIQPVSTPVTICGDIHGQFYDLLELFRVAGGM PNESAPQTPITPRKIITSADIEPPSTITNPKLMKKLKLGGASHPDSAENSEVSDAIEV PSSVVSDPDRVEDSNNEDTEIHPRNGNFIFLGDYVDRGYFSLETLTLLLCLKAKYPDR VTLVRGNHESRQITQVYGFYEECVQKYGNTSVWKACCQVFDFMTLGAIVDGKVLCVHG GLSPEIRTLDQVRVVARAQEIPHEGAFCDLVWSDPEDVETWAVSPRGAGWLFGDKVAT EFCHVNNLSLIARAHQLVNEGYKYHFQNKDVVTVWSAPNYCYRCGNMASVCEVGEDMK PNFKLFSAVKDELRCVPASRPGRNEYFL EPUS_02066 MQSQPIDTQPNETDNLTLQSVPSVQHGLWNDFEPSSSPLPTGRA DEDATQQYKDADAWSLSSGGSSRGRKPNVRRLKSYDRSYHGSSPVNRIEEYERSHLPS NKDDGISFQVIPSVPGVKRHISVEQFPNEVITHILSHLPPSTLSAMSLVSRRFHNMVT TPHAWRIAFSRYFPGQDVIGDASTDLRHHVSGQQILRSERRLFSRLSALASWRSEYIL RTRLLRSLARGKPGLFHTISRSGASRYNGGGQAAAVVTYSSNLVYPVSHIHATFGSGL NKKIPFFMHGASEYGVVTVSDPSAGKVTETWGGNTDYSAFHYFEDSAYSGEAMWGLGA GDLVGVPNTLDLSHGYGRVYAEGLPGGRVFFTPISDRRGVFLTVDSPANHDLGIPDVN TFRRSVCSTWIVKSERVLKMSCGLFGLLVGYSNGVLAAFSIGANTAYDYRFEKGQPTA KWVLSPGVPIIAIQVDENISSRRQGQHKIWAVALNALGEVFFLSEFPVRPETKGKPSE DEVHQIAWETGRSVQWTLIETTRRVSTPDPFNAAAVDGSYSPRSSSKAAGLSKEQLNA ETREIEMFLSYRPNYFQKICENWDMRRRLVIDFAGADHIGAGESIFVLTCGFDEKRPA AIRRLMRHKIKLLENHDLEKYPVIQPGPTRQSIFGNDAAYMSSPSYSIPISRTSSDDS EIDTAFRTEWRLSEYTLGGLKGAQISATATDDSHFAVISAREDPLLGMSGGSNTSSPL ASPLGQMAQPSSVSEIPGQCARFMAAGTASGVVLIWNMRAASSPDVGIINSIAPLRMI YTESPQISCLALTSLYVVHGGNDGLVQAWDPLASTTEPVRTLNSRFSSRARRRLIQAE ASLQGVGNNYYAAGAVVLDPDPTVLRGIVSLGTHLRYWSYSSSGADQYKSGKRKLRRR SERGSNATTTEQRFSHTGRGVLKDYIANEKQELEREKIARRKEHERISGRFGTDLLGP GASEEEMLAYATMLSEESYTSDEVKRRDSGGSDAALSSASNDTVHEGNVSICGEAATS LLSASANDPENLEPDVAEAIRLSLLEQEGEQTSPPPHARIQIRYGKKASSPSPSSPNA GGSHRRSPTTIVEEDDLDFALQLSLAEEQSRQGDIADLEDEFPALALPATSPSSDLAG KKGKGKGKL EPUS_02067 MGDQKAQQAGHARANELLLLQLTSRIKANPSVDLSDILAQQTSS YPKLRRSLAGQEQTQGAGERAAPPAPQPQSAYQLEASGTPQIIHPIHPLLSQRLKLPI EAGAWLHPTQNFSKESIAILNKAIRNGTPLGSAGGTPVVNLGSSIVVKFGRSLSTDQI KVLQWIKAQDSRFPVPDALGALETTDRTYLFMTLAEGVPLEKVWQDLSVLQKTSIRSQ LNRIFERLRLFSHPQHSPLGSLSSRCTDMRRSERTSSVTISSEADFNDFLCSHPQRAR SRWIKLIRNSMRDDHRIVMTHGDLHPKNIMVTWDGCTASGPQSHVPNAEIRIQITSLL DWEFSGWYPQYWEFVKALNTIGRRDPMSDWIEYLPPSIGVWMVEYALDSQISRWLGE EPUS_02068 MATATHQFPPLHIVPPKAGHAHTHTAIMLHGRSSDGEEFAEELF SMKLSDQEGDDLHSLFPSWRWVFPSSQSIWSSVFQEDITQWFDIHSLTDVDAKQELQM EGIRQSTKYVLALMEEEVQRLHNAREKLFVCGISMGGAIGLWTLLCQKAIGHNIGGFV GLSCWLPFTEVIKRHLWTVKDSLNSPGASPDEVEARSFIAKIMDSKAASAGLLSSTPV FLGHGVDDAYVDISLGRKVKEALKSIGFTPEWREYAGAEQEGHWIKEPEELADIAAFL ITQAEKSAL EPUS_02069 MIQGRLNDAAIALYQVLQQANVKHGIFGGYAIASLGGPRESKDV DCIAAVSKEQIIQVLNGTNGFVFINQTRQDYVAFLWSDKPDRSHAVLVEIFVEQFPGA QYDMRNLQTRPVQVQGQVNGNRPISLLDPVYVFKGKLRAAALRGKFHDSADLRWLESH YGNQLRQNKSQFDLQYVGLALRRYPELYNLFDRLGLNIPAAEAATAQIDLAHLPAPRP GDVHRGLLKVPTPRTSPRGSAPGSRSSSAHSRSSNGRGRG EPUS_02070 MELDYVVKVVEQLALGNIPFCVVGELALNYYNVPRAVHDIEICV PANHLPEAVDILQTNCRLMKISNVPKEDIFTDYKKKFPRFASQEGPNIQLTLLLDEVY GFQCLENTIIPDDRQHSDSYYSKEILDVLSPDAIASLPFPSLGAFLGGLCSRYIKSRD VVYAMAAEQLVDGMDIDTAWCHVHLAHLPPVEQKFASDLVAGKRGRMDDFSENKITCY VVDIQDSTNIRRIPGSGFSELPEHGNGRRLMECNVSTLATQDVSEGGLDQTRPTLAPR HPTEGSEPKQQEPPEELFDDQNSPASSWSL EPUS_02071 MRLQILSDLHLELGQQYSDFQIPVQAGSLILAGDIGRLQDYQPF LKFLQVQCQNFQHVFLVLGNHEFYGITREEGLQLAAKLTQEPVLQGRLVWREHNRFDF PGENVTLLGCTLHSHISPNSRDIVQSKVKDFSRILEWTVDKHNKCHRDDLNWLQGEIN SIRNQEHTNQEGKRNPRKKIVVVTHHAPMRKGSSAPENENNAWSDGFATELLTPGTEK RANPLLDVDWFVFGHTHFTTSCTRGSVRLISNQRGYVLPGQQRNIVDAGGPNKRKNSS AVPVAKKQRSLFLARLLRRGNHHTTPEPRFEQFDVARCIDV EPUS_02072 MPGLTAADTIRILVATDNHVGYNERDPVRGDDSWRSFHEVMCLA KERDVDMVLLAGDLFHENKPSRKSMYQVMRSLRMNCYGDKPCELEMLSDASEIFQGAF NHVNYEDVDINVAIPVFSIHGNHDDPSGEGHLAALDLLQMSGLLNYYGRTPESDNIQI KPVLLQKGHTKLALYGLSNVRDERLFRTFRDSKVKFFQPNTQKDDWFNIMSVHQNHHA YTETSHLPEHFLPDFLDLVIWGHEHECAIDPRTNPERNFKVMQPGSSVATSLAPGEAV PKHVAMVSVTGREFTTENIRLKTVRPFVMKEIVLSEEKEAQKFAKKDNNRTELTRFLI KIVEGLIVQAKAEWLEAQEGSHEDGDEVPEVPLPLIRLRVEISALDGGNFDCENPQRF SNRFVGKVANINDVVQFHRKKKNATARRTRDDIADEAVMSHLQGLDTVAVNKLVREYL TAQSLTILPQNYFGKAVSEYIDKDDKHAMEDLVNETLANQIKHLLELDRDDDDEEQDE EEHLADAMERYRSQLEEIFAKGQIKRSKGGKSRFKPRPDGWDSEFDGAWEDQPGALLR PDEVDESNGAEEDEEEEATPKPAATRGRGRGRGRGVRGGNPTTTRKAAPTASKAAASS RGRRRVVDEDEDEDGDSAMFRAEEGEEEDSQAMFFTEKSTRGRKAAAASTRGKKAASP VRKAPPRSAAASTKQSKISFSASQASVLGKGGNRSQSVNDDIEDDEDGDAFEPIPKTK SRR EPUS_02073 MSNPLNAIKRNTSPTLKCLNLHHDSESSLPSSQTRSKSITSGVR SAFIQRPETTTPVQNVKGAASFGEYSPQLTERESIFATHYLPSDGNDQTPRLPTAEGA NDVANLPQDITPVMEIARPTSPPRPASSLKALLSPKKSRSTWTMNNIEQKKHSESSTP SSKGVEGSGGTIHKLRRMASESQSGKQILQLRVTDKAHPHSTEETSAIIRKEQTDKIC DNAEEYSDSIPEVSQKQSNHGSAMKETIRQTVSKERSSSRGRSHVEKSIEATLPNRDL AKNVRTRKSSHLMGIFKETAPSDARKRDAPSGSAKSKHEGGSRGILPLDDKGIPQSRS QAALSITSTLTDLAAITTNTTNKISHSPTSAQFSNLSIDAGAGSSVNFLESPDSSPST PISQSNIGHDPYFRKYDEIKHSGSGKTPMLPAKLLEDIRKHGSLKPAGNFDTIVSHSP PSIVELLESDHLTGTKGCQGGEAEHQNDEDEEHISSAVYFPHPGPSDEDIEQFTSPDE EQKGKGLPASLRSASPTSKAELKRSLNEQAQPEHIDISVKSKHEKSVFHGDYRRNEEP TDEVLESKIPGPTGKRATDIAPSASDPEISSGEEPSYTSQPEEGEVTPTSTPVPQSPL QQRSRAMGLRGAVVLEPYSHQVGGHSTLFRFSRRAICKQLNNRENEFYERIEQKHPDM LRFLPRYIGVLNVTFSKGPKPPTHTIPIADKETENGQPHVSSNMTRSNGSIKSLHDKA LPESKPAETAGPPRIVSQSQQIGEVPRVILDQNRHIVPFSLFGSPGRPRSADPHHAHT KGTISPISPDARTSKDTVFGTGTNNHSPTRPPLSESTSWGTTTVNSKLREQVLREVFG PPLIHHHRKHAKVHATLPRLRTPSHKKTHLSEIQYDTHRRNNSILTEQWRTPNPTDAH DPAEVKENLLILNGEEPVIDAYSTSASEFEDLARGLEKVKTAANTDSQLSAGSPNSRS RRRHSGMGLRRRRNSGCRNASGGLEYFESDEYGPDINGDMLPMEFHSSGASFSMPVPS IAVPPDLNLSTTFRNTTERLSNQASEASKKNKGQPSVRLSDFGMQHLPLNPKEAQTQN PDQRVVYFLLLEDLTAGNGDSPTTTSQQLGVRICGMQTYNVKKQQPTYEDKYFGRDVK AGREFRDALTRFLYDGISYSSVSRHIPTILDKISKLENMVRRLPGYRFYASSLLMLYD AEPEKSQKAIEAAQKSKGEDKEGEKQKAGMKWPSPIELKIVDFANCVIGEDELPAGAV CPPQHPNDIDRGYLRGLRTLRMYFQRILRDINQEEYVERGEGEGMAIGIAGGKQGPPE GDIEEDAGEVSI EPUS_02074 MSATTTNTPKPDLSKKRNIIFIHPDLGIGGAERLIIDAAVGLQN LGHRVTILTSHCDPKHCFEEARDGTLDVRIRGHTIFPPAILNRFHILLATFRQIHLVL ATCLFTNELAELKPEVFIIDQLSACVPLLRWLWPRNERVLFYCHFPDQLLARRDEQGW LGIVKRVYRWPFDWFEGWSMGGSDRVVVNSRFTRSVVEKVFGRDRLGELRVVYPCVNI ESGQREEQDEKPLWKNKKILLSINRFERKKDVGLAIKAFAGLTLEQRPNVRLVIAGGY DSRISENVLYHKELEALADYLGLSHATAKNVPTALAVPFSIDVLFLLSVPGVFKSTLL RNAKLLIYTPQNEHFGIVPVEAMQYGVPVLASNTGGPLETVLDGKTGWLRDAKDVGAW TDVMSQVVNDADGVEIQMMGQAGKKRVQGEFSRTKMSHMLEDQIEEMIRGRRKAFVER KDVIFVLSVIGIFVAALFLTLMSIPDLSRPPRKHIQLNN EPUS_02075 MPEIIDLLSSPINSPQKSKQTKAPRSRVEVPSENIFDISSDVLS SSSFPDDLDFLSAQPKKKRRLSPPEPKPTKSKESCKYSFLSSDGLNDILNTENTSISK TTIIATTTNVEDVSSDPITFPSSAPEPRTVRRATKAAFIDVEVEDLNEEDVFSLSQPI APTRAPLSERTANLPANITGPGKGGGRYEATAYTASTETKIIPTATSKATKRSTIEDN ITSSPPKRRRKLAKDKDACTTDRAAAKARKEKEKEAEKERKRLAKEEKAREKQLAADI AEVNKSKTDKKNSTPEMIVDMARSLEGTSVGNQILEHMKILGVDTTFFDEQLDLSKPT EVGTRKGNLVRWRRKLKAKYNEAVGHWEPIPVEKVEIEKHVLLHVTAREFFEICALGL SLNQELATQEKAMTKNLDAHVASLRSQYKECKLIYLIEGLSSFLRKNKTAKNRAYTAA VRSQMTDDDHGHPPASSQPRRKKNNQKPTTPVIDLSSINEDLTETLLLHLQLHAKILI HQSASASLSAEWIKSFTEHISTIPYRHLRMSANDAVGFCMDVGQVKTGDDRMDTYVKM LQEVQRVTPSMAYGIANEFDTVGKLVKAFREEGPLVLQNIRKSANRDGAVSERVLGQA VSRRLSKVWLGKEEGSTDGIA EPUS_02076 MPQSENFTPPDLSPPFSPDQSPTALRPQRLRSPVNLASTDTYSV CGSSEDQSRNPRVTNGSAPPRHLRHVRDRHLQSKDIITNARKQRKNSWTRYAHRKFKP SQCLVFSVDYLVPSAIRNAVQAKYRDDLEGGSEEFTHMRYTAATCDPDDFTLKNGYNL RPAMYNRHTELAIGITYFCEDRRMLSRSLHSVMENVRDIVNLKMSEFWNKGSSAWQKI VVCLLFDGIEPCDKETLDVLATVGVYQDGIMKKDVDGKETVAHIFEYTTQLSVTSSQQ LVHPLDDHPSFSPVQMILCLKQKNSQKINSHRWFFSGFGRILNPEVCVVLDVGTRILP GSLLCLWEAFYNDKDLGGACGEVYPWLGPGWRKLFNPLVAAQLFEYKISSGLDKPMES FFGYLTVLPGAFSAYRFRAIVGRPLEQYFRGDPTWILGFKRQTVLQKNLYLAEDRILC FELAFKAGSKWHSASVKAAKGETDIPEHVIDFITQRRRWLNGALAAVTYSVTHFRQLY QSSHNILRLALFHVQLLYNIISFTLSWFNLATFLLTIFIVTDISSSPPADSTIRPWPF GAATPIFNAVLQTVYFLTIVFQFILALGTRPKGQVWSYIVSFLIFGVIQLYFIMNVVY LLAVALLHKKSSSNAHSYAYITTFYSEIGQLTIWITCGSVFGVYFATGFLNFDPWYLF LAYPQYLFIQSSYTNIINIYAFSNSHDVSWGGEGRVDASEALPSATVTKGQKQQSPVE VEMSDVSQADIDSTFEATVKRALTPFTEKRRDANRTLEDQFKDFRTKLVSIYIFSNFV LCLVVMNDSFDALKFMVSRDLGLFGVKNSTLHSSGNEDWDRPLTCSPGKFETTQDLVL PDLDVGDFGMLSFTIPWIFLQQIL EPUS_08470 MSSPLLIDDEAESSGGRAPNLSFCSPVWIEELGFTTLYEPEISD VAADLVFVHGLQGHPRKTWKFSGSTREKVLSTNSNEKKGGIFGLRKSGPQWSEKTVKK SLYWPTDLLPNDVQNIRILTYGYDSHVTRFFQGAANQTNISDHGRSFLNDLSSQRRTC RKRPIIFLAHSLGGLIVKEALRRAREEKYHSHLQDVYNSSNAIIFFGTPHSGSPDAAW GEILRRIAAIAQFDTARPIIADLDPRSGSSKLDELTESFSIICEERSLKIYSFQESRG KTGTKLLQNLVVPKESSAIGDPKHEIRDVINANHMNMCKFSGENDGGYKKVISALHLI LDALKDGSHGGTADPSERVERWSSAVLDSLSYENMDTRKAQLVPANDESLDWIWSTSP GRSGMVDWLSQGDEIFWISGKPGSGKSTLMKYLSRHYKTRDYLGQRNTQKWIIADFFF DFRAGTGTANNLDGMMRSLLAQMIGQIPDLASYVPFDGNTDLAIRRPQSMDVGRMQEV LSSACRALSPNVCAFIDGLDEYQGNVLDLLSLLKAMTEDFKFKVCLASRPEPAIQQLL EKFEGMKMHEHNARSIELYVTNRIRLVQADQNDQLVNDLARTIVESAEGVILWARLAV DDLLMGYIEGELRAELHRRLLNLPKALEDLYQRIIDHIEPRHRTDASVILQLVSGATR PPKLDELQSALAIVSSKTGLNLAWREPYGCDLVARRIHARVRGLVDMVPLPDGTGISV RPIHQTLRTFLGRTKWLEGAESETFKAIYPDNFWLRVCSQSLASPISKDSLSKLRQAR PLIDPDVPVLSKAYIPDCNWCHQAFVVGERPVIRYAAENVFKHAVECEATDKSSYHIL SAALCCPLILLHFDRGWSRCSCVNMWNPAGSESHSTSLLWGVLHNLYLYCGDAIQNGE SFGIDGGQALLAAISNLSTNPYSEQTAKLLSLVLDEGVRITDYHIFQAIRSLLPPRFL QQMLQRKDYTPGTGLRVGSLGEERNVGLLWAWARANSSHDPERYATLLDFIITFGEDI NDFCSSNGTVLHAVVGLFHLDEDLCAQKVQMLIARGIDIDIKGPYGTASEYAYQQWRK EWRKEWRKEWRKDWRKDWRKERHTSRIQGLLLSSEAAIKKPQHVVRGQRVIKTVAEMV ELEGSQP EPUS_08471 MGSARLESGTLPRGRPTFLTRAATDTTDQLLRRSSLPSPPREAR RSKLAHSEEPDIPYEPRTENDEPPPPAHALSQRLFGRLFRGRYDGGDDIHVANRSRSG GRRKSGNTGLADHSLTAQMHQSTDEQRKQRSDTPKMGSFPRPVGGSEKLGTYSGVFVP TSLNVLSILMFIRFGFILGQAGVVGIMGMMVVAYLINLVTTMSISAVASNGTVRGGGA YYLISRSLGPEFGGSIGVVFYLGFVFNTGMNAVGLIDCLIYNFGADSGNWFCFLPEGF WWQYLWATIVLLLCTGICLAGSSIFARCSNGLLAILLLATFSIPFSALVRKPYHDPVQ HIHFTGLSLKTFKENLLPHFTRHAAGSQLKERENFPDLFGVLFPATGGIFAGASMSGD LKNPSKSIPKGTMYGLILTFISYTLVILGMAASITRTSFYSNLNVVQDTNISGVLILL GELAATFFSALMGVIGPAKLLQAIARDSLLPGLSIFGQGSKKGDEPTYAIIITYVVAQ LTILFDINRIASFITMTYLMTFLVTNLACFLLKVSSAPNFRPSFRYFNWWTAAAGAIA CAVTMFLVDGVAASGAVGILVVIFLLIHYTSPPKSWGDVSQSLIYHQVRKYLLRLKQE HVKFWRPQILLFVNDPRRGYKLIQFCNSLKKGALFILGHVIVTSNFGASVPEARRQQA AWAKYIDLSKIKAFIDVAISPSIEWGARNIVLNAGLGGMRPNIVIMGFYNLKQFRQEQ PLVDVPSPPPERKSRMHDKPNGGVRKRRRSAATKQLEGALPTDSCYVEKRTDIQSYVM VLEDMLLKLQINVAIATGFNDLELPNPKEGNTKKYIDLWPIQMSATISSQSEETQQDL LTTNFDTYTLILQLGCILNTVPSWKKSYQLRVAVFVEYESDVEEERVRVAALLEKLRI RAEILVFWLASGDAKSYQLIVNGDSSSADAEIEEQVEKVLEDEAWWSDLKRLRGKLSG GELTALESLAVAADLSSAAPAWPSASFQHGKREQSIARFEGLRQLIHDSRRKPSMANL SRLGVSMGMRTHRLDDDMISRHASHASASEDSEWSDEESFASDSAVEGSDEDASTYAT RSDSESGLPSHRSVARTSSPLASKTHSKRSSLASSKESRSSKKRSLRRAAHKAASEVY GIAPSSLREDFDSTLIDVTSPRSQSPRQRSKSPRGRKPIAQHDLLDKINLPTTSHDLP KPSQPGSQRRSFNDLPCRAQHLILNELITLNAEDTAVIFTTLPAPVEGTYKSEGESLA YVSDLEVLTGGLPPTLMVHSNSLTVTMNL EPUS_08472 MAYNHHPSYSDNPLWSDITPIPLDEGPGPGPALASIAYSPRYST AMSYLRAVMASNEYSERALSLTEDIISMNPAHYTVWLYRAKVIREMGKDILEELAWLE GAPPPDPNVYPPQPARNRTRIPHQDPLPRLQKRQWLVSRFDLFDSPTELAAIETLLRE DVRNNSAWNHRWFLCFGKDELKYGRGGKAGVVVDEDLIDREVAFAQEKILLAPQNQSP WNYLRGLYRKAGRPLAELRAFAEQFAAAQDADDDDDDDDNDDEENEGAQVGRERELDL EEGVRSSHALDLLADIYAAVGEREKARKVLEVLGRRWDPVRKGYWDYKAGLLLDDEDE EEEKGIKAVPDGGQGVAVA EPUS_08473 MNGSGPVHGRRSSSPMAPPFMVSAPGKVIVFGEHAVVYGKAAMA AAISLRSYLLVTTLSKSHRTVTLVFPDVGLDHTWTIEDLPWSAFANEGKKRRYYDMVT SLDHDLVKAMQPYLADVSIHLPEQERKVHHAAASSFLYLFLSLSSPTAPACIYTLRST LPIGAGLGSSASICVCISAALLKQIQILSGPHADQPSGEVDTQIERINRWAFVGELCI HGNPSGVDNTVSTRGKAVLFKRTDYNRPPEVTPLKDFPELPLLLVNTKQSRSTSVEVA KVAAMKQKHPTITEATLNSIDEVTNSAHDLITSEGFDVRSDQNLEHLGDLFRINHGHL VSLGVSHPKLEHIRELVDYADIGWTKLTGAGGGGCAISLLKSNADAATIKRLEEKFQE AGFEQYVVTLGGDGVGVLYPSVLYNGTDEKGGEEIDQQKFLRAEGADEIERLVGVGLH EKRVQWKFWR EPUS_08474 MTLNRYDDMEYNVTVAGLSSSADHGCSWCTLLLENILKNTKPKG NWPPLINLLSVNIACEDEEYVCEIVLGAFTASRTHAADFFLSIPERKTAPLGFNVERC QKWLHACQNSHDRCAGGKSYLPTRILDVAPQMGDSYVALHVSQPKEVAAYLVLSYRWG GPQHITLATSTMEAFCNGIKLDTLPQTLKDAVEVTKGFNVRYLWVDALCIKQDSAEDK AMEISKMHQYYRNASVVIQPTGLKSVQDGFLGKNINAPHKPDIAPTTNPKILEEYFIR VPFFTLNGIEDSILLQSDPTMYEPRNEPLNHRAWVLQERLLGRRLLIFPSSGGLVWRC ELYEQTDGRVYMSKAATEGRERVMRQTSQSLQPQEIHYSWRAIIDFYSGCDMTDPADK LVAIGAVADHYFELYGTQLGTYCAGLWFNFLVRDLDWYLHPDSLSARPRIYRAPTWSW AAVDGQLVDFYGIRPVEDKCPAKIISCETILSCAQAPFGSVSGGCLRLEGALKKFTWS DNSGSMSLFDDQSQMECPDYDLSVYPDSLEDVIIDRETLSFLLLRSWSMHNFDEGKWI MSGLMLQPTEGGLHRRTGAFLCTTYNETWFDQ EPUS_03356 MDRILSTKFSNSKYSAIQTDQELRPKWSQRSNLDNNDIRPQYDE RQGPYEDPNRDGGDQIASWKTGFWTNLPVLAIASLIGVFACTALAVGVLASSNGRAVQ TWRFFTPSVCLAVLSVVSNALLAVALAEGLVISFWRKALHSCTGFGFKVDCELESNSI IQNVTLASWHNLFNTSVTLYPIPLNPYNDNTFFAGLQLDATFYEGFKVENSLSGQHMP YLLTSYICKLQGGIVANLTAPATRGWNSVIGGFQYAGDYLFSSQATFEYKGAISATRL AGSLVNQMVANTSDSWFITFHDPMDTMLDAMREIAFRAALQAGKNNVTVTNAQQSVPF TGHDTHTIYTMDTKYMVLGALVSLMGVVSVGATFYGWWQLGRKVSMSPLEIAKAFDAP LLREMGSNVSNERIPIYIKSQRVQYGVGTVRKRGDTTFKKVQEIEHLILGPVDSVRSP VAGVAYT EPUS_03357 MANSPYEIKSKLNSGTPSKRNSLHTPLARPGSRSHKPSPQPASL FLRRIIGTTTTSSSGLTSHYDSRTFAYCAGSAAVLANIDDEGEISYRFFRASPTASPI HPSVSFYNPPSPNATSGSRRRTTFASKHGAEERSSSHSPRRIWSDEGNSKTRSARERV KAVSCVDLSPNGRFLAVGETGYSPRVNIFSVSAGASTEVPLSILTEHSFGVRCVAFSP SSQWLATLGDVNDGFLFIWSINAKTGAARLHFTNKCTASVLSMAWCSNNLITVGTRYV KVWRVGEPSPGFQTKPGRVQAEDSNASPGPKTLSGRNSLLGSLADSTFTCVAPFSESE AILSTTTGVVCLLDDRTGSQELKTIKHLRFPAQSIAVDIQAQKVWFAHGDGCLDNESF ETLRSGPLPVTSYNASNEPAVTPYHSPSAAAGSLHAFQSPQGSASRKRKKRKAIIAAR CLSNKLVSIDDARNIRVERFGPGLYSADSERSSVILLPAHNDPVQGAVPLPKQSTLGD YITWSLEGTVNFWSLDGSIRRSEKVELDQPEQSFSEYDEYVNELKSIRVSDDTQWIVS GDRFGILQVIDCPSWGSAKIRAHSAEVTDIALHSSDQPLLVATGSRDRTVQLFQHGDE GIELLQTFDDHVGAVTVVAFAGDLLLSASSDRTVIVRQKLSKINDDGTRLLAYISQRV ITLKSSPTSMVFPEPDVLAIATMDRQVLTFSIDKGAAIDAFKAVDADGGDAVILSSLS INSVEDNSCTRRILSGFSSTDKSIRLYDFESGILLSRELGHTEGISDVAVIEHADDAI GPSRKTLISTGLDGLIMIWEASTALPRPPSSPLQELAQGQALTNHDLDSTPTKDSILR RPPLRKVLSKLDLADVDGAVPPARPSRDQHSPRLKRKIAAQTRCDTAPTDGDAVAECV ADRLEPLPQVPHRFTSSTPLRPASPLSREMALGLDRAANHHLPVQKSPSPPPARVSLS TSPRNVNRGNKRGLRRPPSVPSDLRDHSKAQNRRKSMGSLNEFGSIGMAGEQVCRTLR AYRKKIKAAPKTEHLQLDELEVELLATLRAVVGRLGRDGSRRTKAATENELDNLASVM QTWGLSQKTESQRDVEYC EPUS_03358 MAFPPDYRPRGPPPAPQGKPLLNLSEHEQLDGFFAGFESNNLTP NNLSTAGPGMMPGEVMGNNDQPLTPQYFITSHTHMQGQAPFVDPNALEGGGNMFSSSH MGSNMAMTANGVPYNQMVTHTAHALPFATYQNSHNNGQDFFDPLATSVPSAYPVSWPH NLASNNMSSSGPRPLVRFGSDSHFGPSGYIPPLDSTELTDQNAMQRFEWLEGDAQSSA PPTQPNTEPSSPVYTRKRKVEVDQSAKLNQSNGFVENAEPAEQEDIEVETATTSPRKK RRVTLKSEPTTPFRPSQSQSSPATSNIKSTTRGKRKSLPAVPSISPARPSSATTKTQP SSATSHTNTNKPKRPPAISSLSGSGSAGGVRVPLTSDQKRANHTNSEQRRRDQAQRAF AKLFDLVPELEQEGKLSQMKKLERVVRKIRELEEGNRECRRRIEAVEKGGG EPUS_03359 MPAFPPRGESGHSFLQDGDACLRPSTQEGVPPGSNSSTTQDHGS DSTTQPRLGYSDQWPGSQSQFQDMHTFHPSYMFNAHEVTNEYNLLNDFLSTSLQDDGM YSNDEFPASYNDPSLINSMASSLGGSNGHLPLNYQQNQPPLTVQNTPQQAAAIRQTSS IPLEKAKETYYMTAADPSGTDPPEERMDKLLKAKYDAGLLKPFNYVKGYARLQQYMDQ HMQPSSKQKILRQLDRFRPKFRERMHSLTDIELVLVEMWFERSLMEYDRVFASMAIPA CCWRRTGEIFRGNKEMAELIHVPIESFRDGKLAIHEIIIEDQLVSYWEKFGAIAFDSL QKAMLTSCTLKNPDAKAKDQEIKCCFSFTIRRDNHNIGQLLASGASKMMDSNFASHLQ KSLECESEASSALPDPSAYLQAFPLSQVTASPTRSAVDRAGTQLWNLCRKFDWNRTES GPTRHASIRALAFFMIESAQERNHKAPTSERYANLTLTQADLGKEGLRLVSLGLAAAK CCLYSKQHGLASQILERVAYYLEGSSRCNSPKHLFVDHSHSQRYLEYIRLRMALSWQQ DRLDLTEHLFTTVDLGQLRSTPTVTEDMVDMLFHIGRDLLSRGSHDMAVVWLKRASRL LEHQDIECLSSDAGELRLNLLHTSVRALHCLECSEARQEAGDIMQILLRDYGNKLAVR LLQLDIISRTESPDRNAYHAALNAISMMMHLTKQNFSINELACRVLKRFLLQRLASHG NEEWITKAFITLIWMSTTGESTDLQLSELGTLFNDLFSSWKSCLCPEATHGALVLLWK RIEDTFDHGQFQDTIKWCELALHKLLEKAGDINVDKIARRMVQCYLEISELGACKALV TRLLESKMSNPLNHYLAYCLALRTEDINSAQAAVTALNDSPTMNENLLYACISKTLES GTSEQAANILLSLLDKYNFDPPEHIILPALLRCTVRLYLSQLTHEADLDRFLVDTVLR LFTAVPEALERRASTARNEPRQAPDSESVCQNEFSATEIMWFLVNCYNLAVKVASTWP ASAVISLFDIVIRITAHRICASTDLEGDAFMENNARKCLCHFAITVVCTAEARQHSDL DSKAKYYYKTLTAATEFAKTYKVLQQHTTDVQAGREGTKHYGNTMLELDSKRQVLLPL EFEAATHQHLNMPESAITTTPNDLSAIISNAQHISAPRKIYALFADIVLSSTYRNGPQ HDKDETTKTLSSSPVLPLPTASALLIHIIQAVRTLPDYDAIRASRWIRCLVQLCLDQH RGHHGQKDTNKDDSAEHHAAKGPPKSPLTTVEDIIAQAVQLARQSLLNRTTVVDPDSG KRNAGDGKDCVYPAEELEWLSATLFNLGIDFYVSTTAYPNDNKTSKSTANMDKKNDEA ETDAEAQARKWIGIAVEIADVLAEYEREEGGDKGLLGRVLRGKVKEGLGWVV EPUS_03360 MAKSTAAAKSSRKQYARLAPRVKTLKEGTIRRKWRKLTVGTQAK VADLLRTVERPALTHGNNDRRGVEAQAFVSELVEQYAHTQVVLRNYCRGLMRITRLIL RLPRMPFPPGTDEFSFDFESTINRQRVLEEQLTANTHAVGLLAAEVGGETALLEREKE ELATLEKDLSDLERSEARQEQRLHPFVQANALETPPKTTVEYNARAVSGTTAPTVSDL DDDPKTKDMLEQLRHHLDSMQNNIESTRDIEVALATSQAALDVFNWRRLAKDEYSQVY RVDAT EPUS_03361 MTGSNIFSFASIKRKPKSVRDNISDPSSAAKLRYIETKAVDNAS RSPQSARGRQAERPMTTQQIVASINACDANSQHSISTSASPECLRKQSTRPDLAATSR TRSSPHRDLLKKEQSSSTLRSHYDRKKLPLAVSQQTSDSSARDFALRKGCPPVIPSLP PDVSCPPKPHFIPQATRQAAAEKRPSRLDFSMLFPKPLPRQDPLLSPQRYTDSPPPLS ATSEMPSIGVQSHFFAHGKRVNAAQEPPALDPTRLLSRKTRKLEQKFARTNMQKSRGG VQNWFDGLQGNISEDDDYDEPDMQPAFLETAFQTASDRAPLDTAPQPMIGSSKIVCPV HGPDHHSSIDGKGLFSPKLEPPQGNQLGDALHEWQVTKGKSSVVGQSLRSGSTLLDTA DLHEQSVLCLSSSDDEDENVHKVNHRQINEKRAPFLRDSLGIDSIDSDVEIGTAQAVN TSFMRTHKPPMQSKNLRDISLVRTNSKVQKPQAVEIPDRSSSRQAAPRYNYPTVPCTL DDGVPEAPFTGLGECQSVTSKWSTKNAQRVMPGQSTLMMALTPQEASLLKAMRSKKAS MRYNTQIEVHQVKGDRDSKSSSTYQPSGSHDWGSEYTDSIRASLDANPLGFKKSCLPK LVWKEPNVPSGRVSLIFSESLSSPTTGRDSPPTPTLDSNQVLHDFHRLDQYNDPSLHT VKMNDLGPTRCRPESSQLIVLENFQPSEKESVCPEEYPWMISQFNHRNNSPIIH EPUS_03362 MPVPGGRRYLPSTAVFLTELSKLVVCLTVSLYEIATSLPRSTPA TSLFGALTSAVFTGDSWKMAVPASLYTLANNLQYVGISNLDAATFQVTYQLKIIVTAI FSVTILRKSLTLRKWAALILLMVGVAIVSIPHSKTGGLEPSGHSRIYLPRSLDSLREH FLDTTNTPLAKRSATYEGIAEDEMALNHPRVSASIGLLSVIGVCICSGLAGVYFEKVI KDAPKLTSMWIRNVQLSVYSLVPALFIGVIFLDGETVARYGFFDGYDWIVASSVILQA LGGILAAFCIFYADNISKNFATSISMVLSSLASFFFFDFEATGNFVLGTSVVLLATYL YSYQELKRPRPPPIRIHSYEKTTIDRDPKEDAGRDLSIKLPLTPLKIEAALSTSRPAS PNQQHKRKGESGTYFTKHLD EPUS_03363 MAHDSDLSRSGFISSDHSTNNGQSCDDILPRPRLTEDPLDAFDK ELLATGLAQDRRWQASQGLARLSLPPKLITTPRNVNGARAGMRDELHTTPQVKDISSS SPAGIASSPTVVALSQRRHYGLDPVSNLASEHNHSSPLARKVPGPSSTPFPAVEKPAS SSRIELGHAPPVVQGIPLISVNDLPDRIRSLFPFQLFNAIQSKCFATAFKTNENLVLS APTGSGKTVVMELAICYLMNSVHSREFKIVYQAPTKALCAERYNDWQAKFVALDLQCA ELTGDTDVNQMSNVQAADIIITTPEKWDSITRRWKDHAKLMRLVKLFLIDEVHILKES RGATLEAVVSRMKSTGSSVRFVALSATIPNSEDVATWLGRDSLHPDLPARREVFDEDF RPVKLSKVVYGYPVKSNNFVFDSILESKLPEVIAKHSQGKPILIFCPYRKSCVKTAKS LAQLWTSTTTHNRLWSGPLRPPGILDNDLRQFVSAGVAFHHAGLDASDRRAVEQAFLS HNISIICCTSTLAIGVNLPCYLVVIKGTAGWTDNGSQEYADLEMMQMLGRAGRPQFEQ SACAVILTRSDRVDHYNRLVSGQEPLESCLHLNLIDHLNAEIGLGTVFDLASARRWLA STFLFVRLSRNTNHYRLKEDIKSRDNDELLHEICAKDVALLQGADLVSTEGKLNCTEF GDAMARYYVKFDTMRVFLSLAPKAKLSEIMSAIAQAAEFNDIRLKAGEKSLYKHINKD VGIKFPIQVDIALPAHKVSLLLQAELGAMDFPASEQLSKHKSQFQQDKAMVFQHVSRL VRCVTDCQLARGDSVAARHALELARSFAARVWDSSPLQLKQLEQVGNVAVRKLANAGI NSIEALENTEAHRIESVIGRGPPFGMKLLSKLAQFPKLRVLVKMAGKEMKPQRCVKIR VKAEIGFVNENVPAFFRKKLIYVCFLAETSDGQLIDFRRIAASKLHNSHEVFMTTELT KPSQYILCHVMCDEIAGTCRSAELRPNLPAWLFPTSMEQKEGDKTDGAGKDGLNSRQY PSAGGKRQRSEDFNDSGLDDGDLLAAENVEIMDIDAFEDELASLPPKDSTQPVKKLAN FKKQRTDNNDCEPPQLKNGKWACNHKCKDKARCKHLCCKDGLDKPPKPPKKSSSLTAE DHTSIASVLDLVNNNSSEQSSSRSTSAQVEPKQSSYNEGIQKRRHPTPSAKKVENLVK LHKMTTPDLPTHRPSTTLTNSKFSKVHEHPYIDAGGKLSIENMNDRPPNPDWANEIGP EDFEDFAQPVGPLEKEHELNRFGGTSKNTSGKDTLFDLDSDDLDFEDENDTFLLEASM VGLDDSLQLKNDSDCRQNRKKLISSNASFSSSTVHESSSSRAERPSADDLFDGDDGIY GFKQENLDALDPLPSRSPGAGQEQHVFDPSSYNEPVTSPYKKLFFTSPERANAAPIDA LTEEDKSQKGHDSHDSTPVIRIRDSHGEISNPTAAKPTSDEAGQQARTRSVNDDNIVS LEKKSSEEEQKQKLLDQGIDPSFYDEFKDYVEFI EPUS_03364 MSEGDGDRPNELNPDQNAPDGLSGDDAGEAEEPPARLEADKPNL SAESGWTEITMPDSHPQKPEVDDHPTAKSPQRLTTAPDPFLSLNGESEVANEPDRSTE GPAKTQIPAPSPSIRVSKDLPLTPPEHDLPEQRASPTPTALEPRPRAKSTSTSTSVSA PISSTVFVVTALETIGSSKEARKSKDLQEAVQAALANIKDENQGVDPETIFRPLQLAS KTFSIPLEVTALDCIGKLISYSYFAFPSAEASSAQDAENALQQPPLIERAIETICDCF ENEATPIEIQQQIVKSLLAAVLNDKIVVHGAGLLKAVRQIYNIFIYSKSSQNQQVAQG SLTQMVATVFDRVRVRLDLKEARQRRSPHSRSDEDLSAGASIAGDHEQSGGDGEEGQK DFHSEAVSNITAPKEKQEKMTLQSFENTKNLDDAVVAENAPTMVTRARKDRKLPRSTS SVLSRPGQHDEQEDIDASAEDEEDDIYIKDAFLVFRSLCKLSQKILTHEQQQDLKSQN MRSKLLSLHLIHHLLNNHISVFISPLSSIRSGSDGETNPFMQVAKPHLCLSLSRNASS SVARVYEVCCEIFWLCLKHLRVMLKKELEVFLKEVYLSVLEKKSAPVFQKQHFMDVLE RLSADPRALVEIYLNYDCDRAALDNMYQGIIEHLARICSSPINVTPVQQVQYQEQHIR MPSAGSEWHQKGALLPGLSTASIAVANPPPAALPSEYILKQQSLECLIEILHSLDNWS KQSLTDGAGAGRYPGSRGSYEDSRESLDNGTEKVKQRKTALNEGIRQFNFKPKRGIKT LLAEGFIKSDSAEDIARFLIGNDRLDKAVLGDFLGEGDERNIAIMHAFVDAMDFARRR FVDALRQFLQSFRLPGEAQKIDRFMLKFAERYLTGNPNAFANADTAYVLAYSVIMLNT DQHSTKLKGRRMTVDDFIKNNRGINDGQDLPAEYLAGIFDEIAHNEIVLASEREHAAD LGIPISMPTGGLASRAGQVLASVGRDVQKEKYAQASEEMANKTEQLYRSLIRAQKRSV VREELSRFIPASSVKHVGPMFNVTWMSFLSGISGQIQVTQNIDIIKQCMEGLKLAIRI SCRFDLEIPREAFVTALAKFTNLGNLKEMMAKNLEALKVLLEIALSEGELLKGSWREI LTCVSMLDRLQLLSGGVDEDALPDVTRARIPLPSNIGEAGRSRKSMQSVRRPRPRSAN SHTSYRSEVAMETRSTDMVRGIDRIFTNTAKLSSEAILGFVRALSDVSWQEIQSSGDS DSPRTYSLQKLVEISYYNMTRVRIEWTKIWEVLGEHFNKVGCHTNTAVVFFALDSLRQ LSMRFMEIEELPGFKFQKDFLKPFEHVMANSNVVTVKDMVLRCLIQMIQARGENIRSG WKTMFGVFTVAAREKYEGIVTLAFDYTNQIYNTRFGVVITQGAFADLIVCLTEFAKNL KFQKKSLQAIETLKSTVPKMLQTPECPLSQRHDRGRNQTSNGITPGIKQPTPQSEEEQ FWYPVLIAYQDILMTGEDLEVRSRALTYLFETLIKYGGDFPRDFWDVLWRQVLYPIFV VLQSKSEMSKAPNHEELSVWLSTTMIQALRNMITLFTHYFDSLEHMLDRFLDLLTLCI CQENDTIARIGSNCLQQLILQNVTKFRPDHWARIVGAFVGLFDRTTAYELFSASATMS HARTPSIADPSPSNGLAITEPSSQPVTTPPTNGASPQLSSTEASLSSIPAHPTPPITT NSTIPPTPTVAPELEDYRPSQVDTQTQAPVVTVARRRFFNRIITNCVLQLLMIETVAE LFSNDSVYASIPSPELLRVMSLLRKSYHFAKKFNADKELRMQLWRQGFMKQPPNLLKQ ESGSANTYVSILLRMYHDEGEEKRESRRQTEDALIP EPUS_03365 MSAPTLVTRCPTRVLLKGAASSRIGRPAYTLRDVAFRQTLPALS ALSRYYASKSFPPHTVISMPALSPTMTAGNIGAWQKKVGDTLAPGDVLVEIETDKAQM DFEFQEEGILAKILKESGEKDVAVGNPIAVMVEEGTEISSFESFTLDDAGGEKEAPKE APKEEASQSSEPPASGASTAPPPAKEEPASQTQDSEPTGGRLQTSLDREPNASPSAKA LALEKGVPLGSIKGTGPGGRITRADVEKYKPSGGAAPSSPAAAGPSYEDIPASSMRKT IANRLKQSMNENPHYFVSSTISVSKLLKLREALNASADGRYKLSVNDFFIKACAVACK KVPTVNSSWREENGQTVIRQHNTVDVSVAVATPIGLMTPIVKNADSIGLSSISSQVRD LGKRARDGKLKPEEYQGGTFTISNMGMNAAVERFTAVINPPQAGILAVGTTRKVAVPL ETEEGPSVEWDDQIVVTASFDHKVVDGAVGGEWIKELKKVVENPLEMML EPUS_03366 MSLTSRLSNLFASDPSSHLIPVSQEADGSEHDAISDDRTDAPIA RRIKRMRTMEKAETDEDFELERPPYLHSMLAGGIGGTSGDLLMHSLDTVKTRQQGDPH FPPKYTSMSGSYLKIFRQEGLRRGLYGGVTAAMLGSFPGTVIFFGTYEYCKRHMLDRG INPSISYLTGGLVADFAASFVYVPSEVLKTRLQLQGRYNNPYFNSGYNYRTTWDAAKT IARIEGFGALYSGYKATIFRDVPFSALQFAFYEQEQRLARDWVGGRDIGIALEILTAT SAGGMAGVITCPLDVVKTRTQTQITSEDPSKTSQAAHFEKTVKAAQAVKKGQTRLLHS GPRSVPTEAPVLDTSSVFTGLRLIYKTEGLTGCFRGVGPRFVWTSVQSGTMLVLYQYL LKQMEGLQPSEGPIV EPUS_03367 MVTTAVVVTKPELHRNSSYSSAKEDLTTPALPSLKHGGSFNLTD AVDNSAAIANGAQASARASPAPNIPNGKATSPYSERGNPMTQGASQTVSSKDPKAAAQ AANDMKNVVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGESGLGKSTLVNTLFN TSLYPPKERKGPSLEIIPKTVSIQSISADIEENGVRLRLTVVDTPGFGDFVNNDDSWR PIVENIEQRFDAYLEAENKVNRMNIIDNRVHACVYFIQPTGHSLKPLDIEVMRRLHTK VNLIPVIAKADTMTDEEIINFKQRILTDIEHHSIQIFEGPRYELDDEETIAENQEIMS KVPFAVVGANYEVTNPEGRKVRGRRYPWGIIEVDNEEHCDFVKLRQMLIRTHMEELKE HTNNALYENYRSDKLIQMGVNQDPSVFKEVNPAVKQEEERTLHEQKLAKMETEMKMVF QQKVQEKESKLQQSEEELFARHREMKDQLERQRLELEEKKARIESGRPIEEKGKRKGF SLR EPUS_03368 MAPPPTSTLPLTERVKKLAQTLQFAWFLGHLTLLLSVIRYLFSY ITFNFYSRWAQTSYRLAFVAAAATYGIVVYKAYKARLNTGAKQQSALMLAADENVQYL LMALIWLYSRQIPLALLPFTVYSIFHVATYTRTNIIPTFQPPKSAPSGGTPSSPNSPK PSSSQSPLANSIGKFVKEYYDASMTLVALLEIGLWFRVLLSAFTFTKGSWVLLIIYSV FLRARYAQSQFVQGAFAQAIARVDAQVQNQSMPPAVRQGWETVKGLGRKGVEATDLRR YLGGSNQQGTKKPQ EPUS_03369 MATEYNMADYESDEDGEEFINLPPEQNLSSTALFLQSLLASHNI PHAITGGFSLRLRGSDRKARQIDFAVQPSGGMRQLKEVLRPCPRVRYPNICEGIMKIF VETGPGFDECAEYRRIEVDLKAPGIAGSPLDLSKSRVEITAKMHDGRNEKFTLLDLSH ALNGKLKVLYERRYERDFEDVAWFFANYPQEIRKFSGDLDQYGLGVFFDSLGVDERTS WSDIVAGLDVDWEGNVEHAKASAL EPUS_03370 MSPPPRDIYEHDVDFTELALQDPAFAKKLKPNKQLDFSDPESVL YDLSTLGTLFCVICPLLVNDVPLLKKVDFVSSRAIPSIQAVGALADLSAVFHGQTIDQ VTSPSGF EPUS_03371 MLQLGSEQVGINLPLQVKNLPPLSRFNYIIWLQDLLDSTSDDYR ESYDPRREVVGVDIGTGASAIYPLLGCRQRPNWRFIATEADDKSFDYARRNVARNGLE DRIQVLKVEDAGGKHAPLVPESVLERFERIDFLMTNPPFHSSQHSLLTSASAKSRPPN SVCTGSASEMITPGGEVGFVTRLIHQSLSVQQENRTVRTKVQWWSSMLGKLSSVGIVV ERLRKAGCRNWAVKEFVHPGGKTRRWGVAWSLGGYRPASAVARGVGGRAVRAASASAC ANSGAVGGKKKKGEEDGSHEEEVLEWGLLPFPAEFEFEVQHAAGGVQEVGRRVDPEIG KLDLRWQWKPALGIGLGIAENGDCWSRKARRRKEQEMKMKKLKLEEEDEEMRDPSEDD GDEDGDEEKEPELVFKIRLSRSSRRGRENEEKGKEMGEGGVVVMLRWLQGQDHVLFES FCGWLKRKIDLETR EPUS_03372 MDYRDTRSSSRAQLKSKGKKRSQNWYHYNDIKGYHGGGAKGLKA GRHNAVGVWRTDAAVDHRGLEDEKDISANVQKREMLSDTAPAAEQRFVEEYERETEAY LSRGCSVRLGDIELLERLDHGERFEGSSVCDSVEEWLGRMEEGLEMLELSSSSSSVGS TSDDGWAVVPEHGRGLNLGWRRT EPUS_03373 MKSPDHQWDCSQPASQRLSLPLGLFRWVSSAGSLPLKHPALALS PLQLMALPLTNALHLYRALLRECTYLPDSQARTYLRDHVIHSYRKYLPRNQQQRKELP LRRQVTLLHRGRKGLSVLRRANEGYLKPLQNVLSLAYGRKGRRRRKLMDELMQDDIPR DHEGGHAPSDPQKYSRDWLPSSAVMALLRSQSMNTEHLDRVVTGPTKLKPKPAIPEQN TWGHQMPEKRVKNLMRKWYAKQLDRLLPPLPEREFERLQDLSHGKIGTDDGPVPRRRR VVAPSAETPSMVNEMLLLGGPQKSHTFAAYVHGRPHRLTPRLLQRMWLNILHHVPMMT RNASKDRWEVKWTVKGRARPQVSEVASDYLEALFGIH EPUS_03374 MASTVQTPVYPHPQPSFATHENTSSMNDSFGQSALHSFDSSRSV ALTPSATPPPPRATPQHNLSFNVNSGSAMNGVVLKGGSFGQYGDVNGHPQSSASYYGQ DAEPQIYTAVYSNVPVYEMVVNGTVIMRRRDDSWLNATQILKVAGVDKGKRTKVLDKE VQSGEHEKVQGGYGKYQGTWINYQRGVEFCRQYGVEELLRPLLEYDLRTDGTTSTQNR LETPTKEQAMAAQRKRMYNGMDGRAPSQGSNGTYFKNMSTTAANAVNALSQTRFESPA RGMDGRRSVGPARPSHQFQNSQDSLPQGGSQQSMHSMPSQDSFSANNAGSFTYGTNYA DYPNGIEGQEPPRKRIRPSPQNSFMTTVDPGLDTSMLDGTTEPNDSFMSHQNQSFAPL PESVVGLPPLPTVGGPEEENKKQHLTALFYDLSRTDFSNEPAFLTLTTRELEMPLDDS GNTALHWAARLAHIPLIKQLIAKGFNVCRTNSGGETPLVAACQVRNNKDNLTFPELLE FLGSSIEVRDKRGRTLLHHIAVSSAMQGRADVGLYYLQSLLEYVVRPDSNTSSQDQSF DAYDYDGTRGRKSMDLIRFMSKIVDVRDKSGDTALNLAARTSTKIIIKQLLELGANTQ IANDGGLAPIDFGVVKEDEADAPQPQPPPPPPHLFANSSFQGASASSQTSFADTQSEI LTSVQKAFTEADVMLSSELQEKQDELNRKTRRLRDEGMALAKAKERLHELQAKSREEH ELEQKIANLKRSNAELKGQLSQSNGHLSNGMPEHVVVGEADKGLDFDGLLPLVEQLFP DDEENIDLNGPLSPEQKKFLSLLERAEVLSGRTAAYQQHNADLEARANKLRGMGQELE ERYRKIVSICTGVEADKVDDMMESLLQAVLSEQKEHVELGKVRDFLKLVRSGDE EPUS_03375 MHIQSPARLTLLIVLCSPALAVKDTFDALSLLSRGIHRLDEVVY RGADEMTVGHLVARMPQPPPVAPTQSAAANLTRSDPSSIDQAQIDATTSAACSTALGD IGSVSNDAGLLGCYNIPFLNTNTGVFEADLRLYQLMQPRGAFEGIRSTDISVQVSYPN AAFSTIPQSMRNAKREAELESRQAPGSMTELQTFLFVGQVSGGLTLTKLTDNDLMALL IPTIQLGADKGGDSKASTNLTSSSMIFFVSGIFQGPAATAAAEKAGEFTLPGTTLGIF PVGLIITSVWTLVFLSVVGYGTWERMQFREQFRQRKVRAMAAGKA EPUS_03376 MEKLYPLPRTGISFLEILKDPFSQKIFGYEAALEDRLLFTTGFA ARLAFLIRNPANHLSLLKLGYTSLEAFLQSNVTGPPLDFEPKDVVFPQNYQSSVADLR KQMFASLSVEGEAVYPLIPDIELFWVGKTIVSNDELCEGFNGHRARMRINFWHQKLLS GPSDSLRDQIYNDAEVLEGQLRSRLMFHGASAEYHFVEFLVEKAVIDIYYGNDVKART HLAKAAKLRGFDFALTGALGKRTKFQDRDISQLVVLAKSRAEEVEESSPRKGSRKDPP TPSAPADIAQPSPRQGSSETIPASQVNDQRRPGSPIVPSFKKPENIPLDDDTLLESIH FVPPKLLPEVISDNTSLLPSLAELDPAAQPLLSPFDSIILLQIASSISNTSPSDGLTR EETLPYATRVLEGGSSNWQVYTQALLVRSRIEGYRSRTAERGLLQLQALVDQVIVETK ATKSSNSAVGEAGLDEPGTQSPLPTLQERPQFRSQSSSTSEPTSFLPAARPSETASVA ERLKYIYQLHPPLRWELEAELAARWVSMGGLKTALEIYERLQMHAEVALCLAATNNEA KAIQVIRKLLFQPTSEEEGDDQNFTGAERDILPPDAPRLFCILGDLENSPHHYERAWT VSKGRYSRAQRSLGRYHVRKKNYPAAAEAYAKSLEVSRLNASTWFALGCVQLELEDFQ GAVESFTRTVQLEADDAEAWSNLAAALLRLPEAEAEAEAEAKTEETQKEDATLPSSAS DTTTNKDEPTSPAQTLSTKDTGRAPHPNKFRHDALHALRRAATLKHSSPRIWDNYLTV AASIPPPATPWNEIILAQKRLIELRGKTIGERAVDEKILSALVSSTRMTPRLCRCRGQ KGSKTTPPPPH EPUS_03377 MNKTCLKRKANVSSCIVFLSTFHQYRESSASKGFCATKSNTDIW ITIGIRAMTSLCTPPFLNLPPEIRLEIFSHVLHSPHPILLLSRKRNQPPNQAFSSTLE TLRILSNEPQHSREHEQETNARHTSLLRVCRQLYTEARPLFFTTNTFTIPLCGWLPWL RPPIIPRQDWNLIRHLKLTIYIQPSSKSIRYCGMSGPQWLDNELSALCNDLPSNLTSL RSLVVVVKPVCYLASPGGTVSAAWVEDCLKHCVSPLTRLKQLREVEMTLYAGLSEERQ RAAWERWKEVNYEAAKADLDGIKVSFKVPED EPUS_03378 MDSYALGQNKAALEEFCHRPVDRHMVRYLAKQASHVIRCEEPLE PSSSDMPPTPPSTPPTTQAEFPQPHLPSLEAFITSIVNRSSVQVPTLMTSLVYLDRLR SRLPPVAKGMRCTVHRIFLASLILAAKNLNDSSPKNKHWARYTSVKGYDGFGFSLAEV NLMERQLLYLLDFDLRVTEQDLFHHFEPFLAPIRLELELQCEEEGLLDLPVEEEYHHA YATEIKYTHSFDTRLAQNLRSRPARESSLPRRRAIGVYDSPHSLIDDHVDVRAGRYPT TSNSTTSLPVTTLGHKRRPSPYRTHASSYSRSISPPSERDIPALSRTHTDGTTYTASS SRSSSLAPPSSRGTPASLVSGIDDQVAPASGIVVVDGSFSPGMTHATTYGRALAAAQA AASGVVRPGMKGHQNSFQSESQQPTKKAKMSAGPGSGMGGVMARFFNTATGGYVAQRV CRGQQQQVPVMV EPUS_03379 MSYESDYFFRQVSVRSQLSRMPGPSDDYFARYAILASLVETLVD AFNWKMQLGIRRGEEAALDRSVNRATNFSKEAPSWTRNIGVIGKPPSLIDRDKEPHAN PEENFSKRNIEAGVGYLYTV EPUS_03380 MELFEALFNDRIRFSRKEWSILVENKLDGSTCEGRMMRCLAQVP DLMQRGRIALRTKSSVQMLIAEARHQYHILKAILIELHDRLNAVQQPSTDGCPQAAAR SMRLHAHYQRTYGLALAICMYFNCILNALDPSDTVLEMESTHFCRDSLKLADQASRYR PLGASFVMLCLVGAWCGSRDEATRATVESTLVDYGMDYPGAYTGILKVELEYTSHRLK LLET EPUS_03381 MAVRFNININIPPVTRFILILVLVLSFLHTLGRYRKLPGIENPG SGPTPLVPYLALVPVFVVYYPWTLLTTTFVERSIFSLLINGATILFGGRYLERAWGSK EFLKVVLVAAIVPNIIAVLTSIIWEFISGNPKRPWTPVSGGVTLQAAFLVAFKQLVPE HTVAIFKGLVKMRVKHFPAVFLLINTLSGLLLGTDTAAILAWVGLITAWTYLRFYKRQ PDLSGTTTGGQSSKGDASETFAFAAFFPDKVQPPIAFVCDQIYILLINMRICTPFSNE DIESGNQQAAARGEAGLPSLLNSGGRTGRGTGKREEAERRRALALQALDQRLSAASAN RPSTQPVSIAPTPMQGHEPFGKTEYVPDEPVET EPUS_03382 MEVGKLLSVPACVLTKDTGYGEAAVKLQRDWKLDPQSLPLAKHI KGGALVRLIKYGLRYYYTDQVWDRRACKKVPFQPSMLFFGPESARPVFQQEEDASGES ETGTTRQDLSPKSASARKHPRESGLNGAADQANQPAPKRSRKTAHDKDHGVEKQHPQQ NAEFVIDEKVSMEVDHKDGHANSPSSDSVPPAPEARSPSTSDLDPDTDMDMGMSNGVA QGFEVGNGVGLFESTDQERMNELLPPIPTLENGHSVGVQLHPTKSAESDLLVPESMVL HVADDAHVTDASWRPTDPTVLAASGHDFVGVWKIPNQPSPPGAVLPPSQSVIDRRYDQ IVSAMAWEPGGTMLAIAVFDNHTAGAIRIYDGQEAVLIDCLPAAQRLITTLRWQQVGS RLMGFAASTDESSLVLWDLSGSTPFPGAFPITVPEQIHDISWASHGNTSIVCAAGDGV IYQCRAVAELVIEQKWASTSSDDHTSWNLVKCSWWSEEAAIIIAASASPAMLWIPTKN VQARNIHSAPITCLDLRPGQIVHPDQDPYCEFATCSLDGSVKIWRYSDHSASIECLFK LSMTETAPILGLSYTPDGHAVAAASYDKVVLWRADKRSPALAKWKGNEANWGGAYLKR QRRVSQGETMSEDGAREETGHSLTWDAESRKLAFALGNQVAVIDFRQQEYRKESLHEL ERMA EPUS_03383 MDITTPTILPQSKPQFGSDLFESGLNTPLDYPVDETSERLRDLE QLTAPSDEFQLSDQAIKAVGGRLEEAGPILSPVDKTDAEELSLESDADTESDIQVDPE LVSHLTATVCSLRLRHQEQLHLQSLFTSKLEALAQKSLEQEAAISSLTAELRSVRESN AQLGRENALLAHENNELRVSVQDLEGEVVERKRAVEAMTGAVRGLEGWIESANNSPQS NSNGRLLRDKASHGQGETGSIRGKGRFRGRYYHDGDKSGGLGLDGTSDVDTAEIQEGV MAWVRGFKDVEDGLKEIQQRGGRASRAKQVNGRRPPSDLANDTADTFSEDFGEFVTGG EPUS_03384 MAIMWKEWSICLLSSILVWPRLARGDCRLACKSLLEFLPNNVFL PTSPQYASQQQYWSLQQGEVSPACRVAPSSASDVSQCPFTVKGGGHTPFAGGSNIQAG ITIDFEKMVSVSLSTDSKSALVGPGTRWLDVYQYLDPLNLTVIGGRALGVGVSGLTLG GGLSFFSARYGFACDNVDEFEIVLASGEITTTSPSHNADLFSALRAGNLWGGNKLYPI TLNITLLHAFTEYNANNPKDKDAHLILPFGYFRALGGWMAISFFDYAIPTPDPPIYDT FKRLPQLASTMRITNVSDLALELNVGEEGGKRELYRTFSIHNDAELAVQILDLCYEKF SPLQERLNLVSCAFQPLPVNYIREMQKNGGNALGLEPEDGPLVIINVGIEWLDWAEDE AVNKAIKEFVDDGVRLARKKRLDHPYIYMNYAGPDQDVQAGYGKKNLKRLQEVRDKYD PDGFCPEWKILQMRRVEYIFHCPFIHSTSGLSGLKYCCRYTQALWD EPUS_03385 MANVSQKTLHWLYNVLSKEYRDPNRAYSDLAQTLERFPTISPRT DVYTFENGSSALLVRLYGTLPVSFRNMTYRFPVEIWIPHTYPHEPPIAYITPTSEMLV RPGRHVSGEGRVYHPYLAHWATAWDRSNVVELLSILGDVFSKEPPVIWRQQVGSIPQQ SPRPPPLPPHPHGLSQTSHNSATQSTSTAQSPPPPPPPPPPKQQMGADINGVLTPTPL GRYDAPPPLPDSARSASTQTNPTLHASPKTSSSQFMPQRNSSLRQSMTPLQFQQQQNF SPPMSSQGVNNASFSTPPDGIPPQSVVSLTSPSETRLLYRQSSTSQSEQPPQNIPYSQ PQFQPAAPNFPSHPQQNSVVTTQHQRQPVHPRPPPQPKPPPVNLLDSPFDINLPVLSS ANIPAPPIPPNPEKDALLSALSQSITQALHQDMSQNAASLPLLQSQNEALNSSLRTLE SEITQLQALQGTLTGNITILQKSLREADQCISSAHARAQRGEIPKIDDMLTAPTVVGK QLYDVVCDERGIEAAIWALQAALTRGRIGVDVWARKTRELSREGFKKKVLREKIARGM GLEV EPUS_03386 MHFALPPRKTSQPPPYARASRKTSYFRQQQLRLVGYIVCGILTI YLLFHYVSFSDALVESAPPGTPPVVIVTVLDEQHMSDEYITNIRANRKDYAARQGYEN FFTSVANYTRYTEPSPSSWTLIPALRHALTKYPHSTHFFSLSPYALIMSPSLSLEEHV LAPAKLESVMRKDVPVVPPDSVIHTFSHLKGNKVDLVLTQDTENLGHGSFILRRGEWA KFFLDTWFNPLYRTYNFQKAEGHALEHIVQWHPTILAKMALVPQRLMNSYSVDVRPAQ GKDNDQLQTHNALFQDGDLLVHFYGCRAAPERDCEREMKPYYEDWQREVQRLDDPKIP RPRNAFILYRQHHQAAVVAKHPGLPNPEISKIIGEEWRALPTKTKNQWKALAEEEKLR HQQQYPDYRYQPRRFGRNGNPQTISTINSTGPSGNCLRCGGKTMNPPVTPNTPLFPNG GPSAGMIRSPSTVNTVAGQPSRRPHPLAHANLHRQVPYTVSSPPPPGSALARVRPFSE VFAGGVPPSMSEAEYRARFAKQPMSPDPKRRRFNGPGVMVPPRGADLTSPTAAYSGGP PYSPRRVSLPRPADGVMHPVHQRAVAQSKAQRSNYPPQQPRYASVDVRDPNLTLAPLR TPSSAAASAASTGSKSTSSGATAEAQIMSIPAVNKLRLLAKAAPPLPHPGVIPTAKSP LMTSHSETRGAILAIEGSEEDVRVVTDWLAEFLRNESEEFIVRAFLGPDISSVMAEAS GEDEADMVGTQQQRYLKTISEWHWVSKEVVKFVTTAPKAGIRTHNADSGAEERRHKDR NNQDDGMEMDAASSSSPTSAVSPRTITQTERLSLKSPITINDHALNTSPPPPPPPPPT AAAPTPPPPSPSPTHQQNTPPNQPQTQAQAQSQSQTFPIALLPRYQLSTVDTASLTMP ITDSYLPIDHWQWAAALWRGCVGADVTIVIQDDRGSGNYGSASSVGSGSNAAAGSVGS GNGSAAGLSGGGGGVEVRLGAGDVRAVIVRKGAVGGGGGGAANAGERRGVEPGAC EPUS_03387 MGLESITILLRPRGNPIRNLPEQLSVTSDAPTADLYNKIAQSSR LSIHQLRITRGSDGSVVANSTDISINSTGLRDQSTVYVKDLGPQIAWRTVFVVEYFGP LLIHPLVYLLRPYLYRTAPNQGSYLQELSCILICAHFLKRELETLFVHRFSASTMPAR NIFKNSFHYWVLAGLNIAAWIYAPNSTTAKEPNTLLLYGGLLAYTIGELGNLYAHLVL RGLRSSGGRERGIPHGLGFNIVTCPNYMFEVISWIGMYLVSGLSSSVLLFTIVSTAQM MSWAKKKERNYRKEFGDKYKRKRFTMLPGIW EPUS_03388 MAAPISSSIPSRADIESALAQISSALHASFRPLPSQTGDNTYLP DKTAKASVLSGVKHLSVRNMHTLKDMLLEGLEGGLVDDRTYIMERVIQLAAELPLESK AGIGLTNGFLTKLWNDLGHPPISGLGPDQQYRNADGSNNNLLYPRLGAAGSPYARTVR PETMQPIGLPDPGVVFDSVMARKSFKPHPNKISSVLFHLASIIIHDLFKTSHKDPSST NTSSYLDLAPLYGSNQEEQNLMRTFKDGKIKPDTFSEKRLHGFPPGVGVLVIMFNRFH NYTVEQLALINENGRFTKPIDSSKEDEWARYDDNLFQTGRLITCGLYVNIILKDYVRT ILNLNRTASSWSLDPRSKNGKSLLNGGAAEAVGNQVSAEFNLVYRWHSCLSQRDEKWS EDLFGQMFPGKDSAQVSTDDFIRVMGEWERKLPRDPIERPFAKLQRCSNGRYKDEDLA AIFVEGVDDCAGAFGANHVPTVLRAVEVLGIQQARSWNLASLNEFRRHFNLAPHKTFE EINPDPVVAEQLKRLYDHPNAVEIYPGVVVEEAKEPVKPGSGLCTTYTISRAILSDAV ALVRGDRFYTIDYTPKQLTNFGFSLVDYDLNVDFGCVFYKLILRALPRSFRQDSIYAH YPLVIPAENHDILSDLGQVGDYSFDKPASTPDLVIVSSYPTCRSILENNTDFKVVWGE AIEFLMHDAVAGKSYGSDYMLSGDCPRNARSRNLMESAMYPMNWEQDLSKFFEQITLK LLHRNAYKIANENQVDIVRDISNLAQVHFASIMFSLPLKTDENPRGLFAETELYLLIA VMFASVFYDVDPANSFPLKRAARSAAQKLGKLMEVKVRFIEKAGFLQNLLESFYRHNS LSDYGTRMIQRLLASGIPAKELAWTHILPTVGAIVANQSQLFCQCLDYYLSDEGSMLL PEINRLSKVNTVEADDLLLHYFMEGSRMRSTAGLSREATSSTEILDGNTRVQIEKGQR VLCSLVGASMDPAIFSNPHEVVLDRDLNLYIHYGIGPHQCLGYGLSKVAMTAMLKTIG QLDNLRRAPGPQGETKKVASPHGFTKYMTADQSSYVPFPATMKVRWDGELPPLAK EPUS_03389 MGKIKKAASPKHEATISPALLDFVQKAASVPLIELPSHLDSFPR RWDFPRGDLYHWIVPLNRFDEILENFIALYGLGAGPQTKKFGNTLLREENQDAEAEAR LRKLRFNEDGDRRLVESVLDFSRLLLEKCGNRSLYNSGERLDALLNTTSLSLLHSTLR LALCLAQRYHARHRSSHFHPASLSAHYGLNLDRIQSLAAPISQSLMPSRKSAPSSPVK NSKGREKHGQLQEAPNPNDLASLSRAPSPANGEAALQRSDTAYRGRDGWEDWARIQVL FQPDVSMETNGGAATRSTDLRDQTDQPAPGPHRRQSTNQGSKLNRVTLSDDSPTGASP STSTQANTNSLPPQKFEPSLSMLLSSPIEDILESGLSIVPRSSYYELLHKLRVAYALL GAVESRRELLAVRILAVSNLAYISSDSTFQQRFLTHDREQPKRQQFAYQLADLLHLGS REPENVPLHLQTSAINGLRALTKQKSAAPDVTAALNVSANHGILLFVVQKALQDVVVD SDISNNADGDEGRESLFSLLHAILLSSSPPSRSGEVFASPQLISSYVHLLTLRTRKAQ RIYTEVLHFFDAFFHHIKDGLAILSNNKAFEAVIDLLNHQAIDALNSAKKGTGFPAEF RTPSIDYHVPYTHQQTIRSILPFIHGVITQQGAQADRILRSLIDSQALLTAFRVVVEN GSLFGAHIWSEVVKVMSTFLNNEPTSYTIIAEAGLSRGFLESIVLRSLRGVDKLELPA VPQDNPPDPPTSESGASNNQTHVHDKLLKGDAASGDVSCIPKNSPQGILPAAEVIANT SQAFSAICLTAAGSYFFRSSGALEKFFEIFESPAHIKVMKDSSFLQILGNSFDELVRH HPTLKPAVMSAVIVMVARIRYLCKSKAWEIGAGAKLWSGSTEGNLKVAGGSDTLVKEV VSSFQKPDSPEDEPFYMQLPNGKRLLAATNITGTPPDQPGASDTDKEGLTVSDYLTPV TSFLVGLFENPSLCTAFMDAGGVGLVLDLATLQSLPFDISPSTYCSGVSHELAQVVHM MAETKPHLVLPPLLDRILTTSQNLEPFAATKASDSTCYVDPLVTVSDHPAEAAPGSLR EGGTTFAKNMVVLQLLVNILSEVFSSPMYHARNREQPAPFSQVNLADMYVDVCQRLGG LSAACYRESKALERRVPQPWKDATKPNEPAQQTEDEHSESHEPEDTSGSPRPGSVVRT SHGINGTEAPITSPGLDLKGNEQSAAFKNLKVIRRLLSQLPQSITHFFSQLGHGLIGR KRLDAYQKEVTVMVGNSIAGALIHQLNPPFAKNLTSTSPDSLSPDLCFPYFVEVLKSC SNVLFDLNTTPDHAHCLTVVALPFKAQGGMTVLKRLGEWFYQDLKSCQVSSDNVETMT ANRGLESITSILGHLTSAKCIVESPQSNAFKSSDRSKPHHFNPAQFLLELRLEALPFV RTIWDSPYADQASKVVLKKLIVILRHILSGDQEHEAYKSGEKSPMVTTHPPKRFVHNV EKLNTLETKGYEEGLAREALFRCNNQATAAEEYCKAYVQNPRLKRHPLPSTEVESPLA EAPRSSTPGENSARANQVSGSTNSVTTPTNALADVGTGQSLWDLLSNVSQTELMSPEL TSPPDAQRSSVQANVTDDEHENRQMQGIENDSIEIGPTLNENPPPLLRASSNKGKEPV SEAAAEQNSLVTIDMMDLQREQIRADLVERCLNIINSHYDVTWELSELLKSATKRLSE QGARDFRETACNLLVTSLVSFQSDIEADDLSEATRKKISAYAHFVALLIQDKHIYQEC LPHLQDSFDSLLRFVQIPSNETNNEASLPWIGQVLLITERMLSDDAEPPEISWNMPAN VDGPPPEEVVPAKKTIVTKEQKVLLFESLLEILPRVGKDKSLALSIARVLVILTRERQ IAVQLGEKRNLQRLFVMMKQLANLASDRIHSSVMLILRHVIEDDETLRQIMRSEIVAN FDTRSSRQIDTTTYVRNLYHLVLRSPEIFVQVSKEKLKLQRFDTNQRPQTLALKSTET VSDGPSGSTLQQEPSSAPSVPIDAASVTKDSVEAAVLDMITEDAKGRAADVKAPVVEH PDGVVHFLLSQLLIYKDVEDKDIATASIDRPVTSPTDMANEVEMGDVSSVSTPQPDAR TPEKVDKATFNGEDHPIYIYRCFLLQCLTELLHSYNRTKIEFINFSRKSDPLAMTPSK PRSGVLNYLLNSLIPVDSNEVEEPVEFKKRSVTSEWAMKLIIALCSKTGEQGLVMGRE RYTNYPRVEDNDSEPDLTFVRKFVLEHGLKAFKDANSSNEALQTRYARILCLANLFHQ LLSKPSGSEGVAGSNNTSHKTLAKMMFEKNFISILTSSLADIDLTFPGAKRVVKYILK PLNELTNTAVHLSLTSSTPVSSALGQSEEADISSASSVSEVDDDREETPDLFRNSALG MLEPNRQEESSSESEPEDEDDEMYEGEYADDMEFEEDMAPIGGNDGEVVSDEDVEDEN GPGGPIEGLSGDVPMDIELVMGDPHMDLDTDDGEDDEDDGNEDEDDEGDEDDGDEDDE DEGDYLVDVEDAAGEGELNADDENDSLADGEGEWQSDDDEHADDVDEDDSQDGLQEIL DQSSAAGENVNNGDVDLNEQGPLNNLLNILGEDAGVPRPAPAEIGEVLGEGEFEHVMQ DLQDEEDEDDNDDELNENEDDLTYQTFHEMLNDPDTPWPWEEGAVPPFQRMHHHPPPH HHHHFRAAPGQYSSFMSRHITGHGLPIPGIHRSHRVAPPTRPADDGTNPLLQQPGSSI PADAHQIPSPMDLVHGFGPGFMGYLPTGPGSGMGSGGMLDAIMEAMQRGEGSVEINDP SGRIDIRVDTTPGRFNDMLVRPSFPSTLSRNARQDPQRTVNFNPLSTVSRWQEEARLL FGMGYVEKTQRIVNVLLSVLVPPAMEEEKARLRKAEEDRKRAEEQQAERERQERIERE ESERKKREEEEKEAVARAQEAAQAASTTAGTGDQTPPAPLTDGAEPMQDVRNTETQDE NQASREAVSEPSTAAGPSQTPAQRVFTSIRGRQVDITNLSIDAEYLEELPEEIREEVI MQQYAEQRHQAEEQGQPPTDINREFLEALPEDIREELLREEAADRRRQEREAARRRAA ESGGAPRAEEMDADSFMATLDPALRRSILADQSDEVLSALGPEYAAEARAIMSRQMHQ FGARMPVGRDAREDRSGRDPVSSQRETRKQVVQMVDRAGVATLMRLMFMQQQGSTRSN LHTILHNVCQHRQTRAEVISLLLSILQDGSADVSAVEKSLAHLSLRAKTSSVQKAPQP LKRTMSTQTTQVNATEMTPLMVVQQCLAALASLTQYDAHVASVFLRELESSASYKSKG NRKGKAKETRSNKYAINALIGLLDRKLTTENSACMENLANLLATITQPLTWLLKKDKD NHDPSNVENKDGPETVASENADGAQQASTAAEESAHGALAENPSVEDQSVSATDTAMV DAPAASAVETSLHDASAQLSDNVVTGSVVVADPSKAADETKSKRPFDPPVIPEQNLQL IVGVLAARECNGKTFRDTVSTINNLSLIPGAKDVFGKELINQSQKLSQAILADLGDLL TKIVDASSGTDVQGIATAKFSPASADQAKLLRILTALDYLFDSKRPENSDEGTLQTQD VLTSLYESSTFGPLWIKLSECLSAIHKKEGMLSVATVLLPLIESLMVVCKNTSLKNAP PSKRVRERSVASPGPESGMEGVFFNFTTEHRKILNELVRQNSKLMNGSFSLLAKNPKV LEFDNKRTYFTRQIHSRSREARHPQPPLQLHVRRDQCFLDSFKALYFNSADEMKYGKL SIRFNGEEGVDAGGVTREWFQVLARGMFNPNYALFIPVASDRTTFHPNRLSGVNAEHL LFFKFIGRIIGKALYESRVLDCHFSRAVYKKILGKTVSIKDMETLDLDYYKSLVWMLE NDITDIITETFSIESDDFGESQIIDLIEDGRNIPVTEENKQEYVQLLVEYRLTGSVQE QLENFLKGFHDIIPAELVSIFTEQELELLISGLPDIDVDDWKANTEYHNYSASSPQIQ WFWRAVRSFDKEEQAKLLQFVTGTSKVPLNGFKELEGMNGFSRFNIHKDYGNKDRLPS SHTCFNQLDLPEYENYETLRQRLLTAMLQGSEYFGFA EPUS_03390 MAEFHQKICALNRVLLMVMTGLSHERPPKGKKPAAAPFPQSKAG SKKPAKNPLLERRPRNFGIGQDIQPKRNLSRMVKWPEYVRLQRQKKILNLRLKVPPAI AQFQNTLDRNTAAQTFKLLNKYRPESKAEKKERLHKEATAVEEGKKKEDVSKKPYAVK YGLNHVVGLVENKKAALVLIPNDVDPIELVVFLPALCRKMGVPYAIIKGKARLGTVVH KKTAAVLALTEVRSEDKSELSKLVSAIKDGYSDKYEESKRHWGGGIMGAKAVAKQEKK RKALESAIKI EPUS_03391 MAEAAQEDFSQLPLPDRFTHKNWKVRKEGYEAAAKEFKQTPDES DPVFKPFLQDSGLWKDAAGDSNVAAQQEGLTALCAFLKYAGPNAASRTRSTAIEPIRE KGLASTRPAAKAAAQEAILLYVENDKPDFVVEELLPALSHKQPKVIAATLSTITIMFH NFGCRILDPKPVLKTLPKVFGHADKNVRAEATNLTAELYRWLKEAIKVVFWNDLKPVQ QQDLEKLFEKIKEEPPPKQERLTRAQQAAAAVAGDNEDQNASPEGAEDVEAEDPVEVE TLDLAEPVDVFPKIAKDLHENLASSKWKERKDALDALLIVLNVPRIKDGPFHEIVGGL AKIILKDANVAVVGVAANCVEKLASGLRKGFAKYRSNVMSPMLERCKEKKQAIADALG TALDAVFASTSLSDCLEEMISFLTHKNPQVKLETVKFLTRCLRNTRDVPTKAEVKQTA DAAIKLLTESTEVMRSGGAEILGTLMKILGERAMGPYLDGLDDIRKAKIKEYFDAAVV KAKERPKPIAPPAKTAGTSALGKKVTAGGKKPAGVKKPAPINTAPAQEEECTPLKPQP TARSLAKPGLSRPGSGLSAPAGSKLNALKKPASGAASPQRRVISPPINTEDIETPIPS PARFAPGRGGLAGRPLNKPTPATSHAEPAPTPPPSSASLSAAEKAELETLRAENERLA SLNESLRSSNSKLYTEISELQNQNAQLIEDHTRDVLQIKAKETQLVRARGECDVLRSE IESAKKDIERQKREMSRLGRESLGRHQQRDTSAITPTSPLPPDEINNGGIYTDTNTNS SSTVNGARPGTSVLSRTGSAAKQHQQHEEKENAGDANTAPGLFNSRRKMSPTAASYGG ANGNSGATSPHRATVAAAALNGVTSSTATTTTAASASVNGSRDRSPAEPAENWRRAAE VTSQLKARIEQMKARQGLSRPQH EPUS_03392 MSQILTNRQAEELHKSIVAYLASVGLSNSAASLKEESNLGPQFD EATAKKYEGLLEKKWTSVVRLQKKIMDLEARNTALQHEIDTATPLSSNRKVDPATWLP RSPARHTLQGHRLPVTAVAFHPIYSSLASASEDTTIKIWDWELGELERTVKGHTKPVL DVDFGGPRGGTLLASCSSDLTIKLWDPSDEYKNIRTLPGHDHSISSLRFIPSGAAGSP LSGNLLVSASRDKTLRIWDVTTGYCLKTLKGHTDWVRAVTPSIDGRWLLTAGNDQVPR LWDASSGEAKTTFLGHEHVIECVAFAPGTSYHHLAAIAGYKKPPPASSSGEFLATGSR DKIIKIWDNRGTLVKNLIGHDNWIRGLVFHPTGKYLLSVSDDKTIRCWDLSQECKCVK TVDDSHGHFVSSIRWAPDVPVQAHTNGETNGLVNGLVNGNVKKKDDSIGKIRCVIATG SVDLNVRIFAG EPUS_03393 MKLNISYPANGSQKLIEVEDDRKLRVFMDKRMGNEVSADSLGDE WKGYIVRITGGNDKQGFPMKQGVMLPTRVKLLLSDGHSCYRPRRTGERRRKSVRGCIV GQDLSVLALSIVKQGEGDIPGLTDVVNPKRLGPKRATKIRRFFGLDKKDDVRKFVIRR EVQPKGEGKKPYTKAPKIQRLVTPQRIQHKRHRIALKRRRAEAQKDAATDLLAIPNQR LLLFCALVGALTTMVAYDSLHDEGTSIGGPTKQSAMATNSIKLLTGNSHPQLAKAVAD RLGIELTKIMVLQYSNQETSVTIGESVRDEDVFILQSTRPNDINDGLMELLIMINACK TASARRITAILPNFPYARQDKKDKSRAPITAKLMANMLQTAGCNHIITMDLHASQIQG FFNVPVDNLYAEPSAVKWIRENLIHVSEGGAAGTRGREDRNKENHLGRNDCVIVSPDA GGAKRATSMADRLDLPFALIHKERQRPNEVSRMTLVGDVKDRTAILVDDMADTCGTLA KAADTLVKHGAKDVVALVTHGILSGKAVQVLKESCLSKLVITNTVPVSEEKLDALRVP DGEKGCRVETIDISPVLAEACRRTHNGESVSFLFSHTVV EPUS_03394 MATGFDIAAGIAGVTSLCITLFHGCVKGISIISEARQMGQSVER LSLMLEWEQFRLLRWGERAGLCDNSPENTKLNWNLVHELLSHLHSDLTDAKRLKEKYN LDVFEEAVTEGGASAVPDSSSSKSFPGRKNSSLLGRSWAYASPGMRKSRAQIIQQKAS TFSKLKWSEFDQDKTRRLLADITYFNNALQELLDDAHQLVIKNGMAALLRDLISRSTD GSEVETIQAFLHEDAVADTAALEAVSQVKQTRLILGVDRRFDEGSSAQAERPKLTKVK YENLSKFQPVGEDGKREVAEYKTSSSKRTVHSYVLLEWKRVDKKTDQKIKRRIMELAL LMGTSSNNGFNSLKCLGFLERNMPGQDNRYAYVFEIVDLLNVPKQDVAPTPPTPPTPL SLRSVLKLPRKPSLTERIAMSLAVAETALQMHTAGWLHKGICADAILFINAEQTSWQK GTSLGPYVAGYEFSRNSLEETETVPFDVKQALYWHPAMRDFNQRSDNLFRKEYDLHSL GCVLLEISLWSSLEDILRSIGDQSQPSDPPSTTGKSESQINYEKRLDLLQRQTALKER TTAGAILDQVAFAGGNRLREALELCFFPQLVTHREPAGDEEISFDLVELSVEAQLSIV EILRSLCQNV EPUS_03395 MALNPVSFFRTFVAPSFLAKRIPADELDKALDKWCEPPLENDSR RQRILSATAFKNIRNLLSHYDDPIKQKEWALRPRIFTILRNIQRLDTMPEFIACKYMD DMLPFNEHELPSSLGHSRHAFVNLQACCLTEAAELEKGDKGKHVQFSRNGDLHFIQIA ELGSGGFGRVDKVWSRLSHRQFARKKFLRSWGVQQTQQRYGWFEKEIGALKKLSHRHL VKIKGTYTDLNCFAFLMEPVAEYNLEQYLGKIQLQHMGNLRNFFGCLANAVTYLHDVK VYHMDIKLLNILVKGNQVYLTDFGAAHDWSQKDRSTTWATTPRTVRYMPPEQAADPNA PKNYCIDIWSLGVVFLEMATVLRGAKLKDLYAYFTSHGSRHPYVYDNPVATNNWLEKL RSRDGPDYDNLPLMWVKDMLAREPRNRPYARQIRGQILAAHGFCGSCCALSEQSVGQS PHMAMEEETSDDESVAEFVDADETHLVIEEDDNPTLEPQRKTTIQSWIERTEAAGMQQ YLQASTIGELPFDVEDDDSGRGGQELSALEENQDPIPARLSNITFWADSSDKIGSQQN VLNAQNGELVFDVESDDSDSHKSDHSVLTVNDAQEREALTIQRQLDVIEEEGDISPAA AFSIAKLGSVEAIPEIEPTDNFRAHVQDSSSKEETSVVARQSEHGTSNVQLQAVCESA AEGHNDRLIDLARSIEHPQSDLVTEVVAKVAAGSIANTAPLTIKNLKQLESGDIRKKR SMRLKSRVVAKKYMQQVCVKETEAATSIMSRRTSAELKGLTLSVWASNSAPILENYVR KGNVAAVRALLKAGCNPGTKQNPKRGPLIAAVEGASLRHNKCADVLLRAGANVNMKTP RTGRTVLSLAIEHQYFHGYRGLIWTLLNWGADPNIKDRNGDYPLLQILYGGYSPLEEH RRQALALILDKSRYPTNVNVEPPGTLNMPLHLAVRRKDPWAVGMLLEKGAIHGARNGA GQTPFALAISTWSREMTKDHKEVSRLLLARGADPNETFGASQRPALHSAIKNGHTDLV ELLVRYSADPFKTNNRGQNAYMLCNTELRQGRLTLKLTDEIRSVLDLHNNPYWNSDAS GSISDSTELEPPE EPUS_03396 MSQAFATARTALAGLCSSCIYPQLKIIIPSRAFHAFHPWRPTTK ISDLSVKDAQLRKLPPCPPYPYGPRHTFKEADQGLYGGALVQSGNKISKGRNKGKTRR KWYPNVRLETIRSEALNKTMTIPITASCMRTIRKCGGLDQYLLGDKPARIKELGLFGW RLRWKVMSSAKMRVEFQRQRKKLGLPPNHSCFESFDEVWEKDQELKEEVREEQEKQWQ ELRERDNRFRQHVMSHWKDDYRKTKEPKSVVPPFDEAAFESL EPUS_03397 MVNLSEVKGTSRENRTAAHTHIKGLGLRSDGTAETAGNGFVGQT AAREACGVVVDLIRAKKMSGRAVLLAGGPGTGKTALALAVSQELGTKVPFCPIVGSEV YSTEVKKTEALMENFRRAIGLRVREMKEVYEGEVTELVPEEAENPLGGYGKTISHLIL TLKSAKGTKKLRLDPSIYEAVQKERVAQGDVIYIEANTGACKRVGRSDAYATEFDLEA EEYVPVPKGDVHKKKEIVQDVTLHDLDIANARPQGGQDVMSMMGQLMKPKKTEITDKL RGEINKVVSRYIDQGIAELVPGVLFIDEVHMLDMECFTYLNRALESPISPIVILASNR GTTLIRGTQDITAAHGVPSDLLARLLIIPTHPYNPSEIQTIIRLRAKTEGLTISESAL EKVAQHGVKVSLRYALQLLTPSSILAKVNGRQSIEPADVEECEDLFIDAKRSAQIVES ADGAFIA EPUS_03398 MANAMAMAQPYPVHGGMQQHPGLPHGHPMAQGQPHMGGQAQGMI PGMHGGPQVTQGGPMVSGMPPGAGIAAPGGPTQSAHAMAHLGPPPAMFQQHPGMPFQN PNMLQQQQILQQQRHRQQQQNAMLHQQQQQQQQQQVQHSGMMTGPNGHQMTPGQIAQM RAMPLQLQMMHQQQQQAQHPQHLQAQPGQPHPQSMPQQHVHNQQILAQQQAAVIQQRN ATVMQMQESQNATSQAQPSQGVPARPHSAAATQAPPQQPVPQQPPQPPPTPQSQPQTQ TPQQQQQQLPPQPGQPNQQQREQREQREQREQQQQQQQQQQQREQQQQQQQQQQQQQQ QQQQQQQQQQQHQQHHTQQQQAQQQVQQHAQQQQQQQQLVQQDAQARQQPQQQHQQRN APVNHMIQQPDIHKIMSGQVVLRLLSFIDRLSSPEPQQASKFEFWEEFVAQHFAPGGS LQHQLVNMTDGSDKRFRISYPALAYYYHSHFTSGIRTMTMQPQGAIERPLPMGCHAVL FQRCTFTHVFHNEHQVVSTGTLKVNFDANQKIEYLDIGTSKWVEYVPRLHLTPVESPD MKSPKLNKNMKKAAPRPSPPTSVAQPRSMVNKNGITDGVMQNLEIAEALTLMETLFQY SQNHENLTPAEALNNLVQDTARGAHNIAAGIPQGNFNNMAPNGVSGQRTPVMHGGEQF ASPALQNLGLPQQGSPHIGGPAHSPSPAHMHMAGPVAMVHQHSQQGSNLSGSQGASAN TSPNVPNKRRRASGIKLEDDGGEMNGSAANKVKPSPRIGGKRQKGTA EPUS_03399 MITIFLKRLGVRHSSKDDRKTKKKALLQHTFDDVHTTSMPEANS RKGILALDWATVPPGSNGVFYGDGVEFSGCLPDYSFSRSHHLTFADCHEALVASIDKA RRILFELKLQVSCLRNGRLVLDGSPRLLDEELVQAVIELGGALCGPKSVTALLHKLLS AHLRQHPGFKKESARLQASLLRLDKTFRTDIIGLLKSALVHKNTNPDYDRLRAVNEQI QASALAACQDWRDSILPICDEITKTPPWKQAEELAERMEGLKDRANRLGWLSWEDQAY AKLIGPELEIFDTPEGPDKAIAYLDDWCKKAETQQGPSNGTTS EPUS_03400 MASTTTAAPAWFPLKAPGTVKKLGDVTDGMGYSERLFHYAHASQ RNDVHFMEYRLLQRINIFRLQNELANLKAAFWTNLEADDGKMDQLKTTLHDYATALRD YAYLKDMSRLKDSEAKDRRLDLAQAFPELASLPGDPFNSRYCTLASDAGPSSDPLRDF LRTYLPRRCTYTKSEKDKHLEEFLARQPPESISPFVEKLSRFILAFIGGASLVVPMLV MSLPTANRTKSLITVSLAVTIFAALMSLGFRATYAETLVATATYAAVLVVFVGTSI EPUS_03401 MHPVVRTLVASAPRKPFRYTCRRLANRRFPQPSRKFHQTPRQPA SEGGSEKADGAVTSAEVRDDPEARRPEDTSQEKDSSTALSIPSPRDLAHYGSAARRSK RSKAHQEVKPSVRIPDWFIDNNVQPVEDIGRSPIVLVKWSSEKAEYVDSAENTHIYPG DAPGTEPLGEETSEATSEDVSQSPKTLYYVESFQWHELVSTSRGLLRLPTPFVADDVA SRKNHLTLHYAGEGGDYLLDELVQKLALEVRADTVVLDALDITDLACDPHNISLSDDA ADDRRLLSYDVYQKEEGHNNEVRREDEPANEFEEQAEQDDSLGGIRPAMPTVLLDVRG SALDLAGGDMPRGRRSERQSGSPGSVLSNLGSFFAGKSASEQQRATAAEQRLTPLIEA VIAGPLLKRAMRKDSTADIEPLEASPTSPAVQVDSPLILHIRDIKALQQTAFGRKFLN ALYAQIESRRRRGQKVMVVGTDTLPKGEQYSVDNIRSLQSQPPGNISRTMVITPVVPS KDAGLTLRQDKQKRTRAINLRHLWQMLSIKLPGLYAGSQLQQKWISLSEDFSIERFYA LELLGADRHLWTFDQVHRLATMIVGQLESDTAASVDDCVNQACTNLKGSDNAKFAWTD RQKASRVKSKPDIERTETQRLDKIRKKANRYEKKLIGGVIEPHKIATTFDQVHAPLDT IDALKTLTALSLIRPDAFKYGVLASDKIPGLLLYGPPGTGKTLLAKAVAKESGATVLE VSGAELNDMYVGEGEKNVKALFSLAKKLTPCVVFIDEADAVFSARGGGQRRVSHRELL NQFLREWDGMNNDAGSAFIMVATNRPFDLDDAVLRRLPRRLLVDLPSENDRLEILKIH LRGETLAEDVNLPELAAKTPFYSGSDLKNLSVAAALNCVQEENLQAQNHTGDDAFEHA EKRTLTAVHFDKALKDISASISEDMSSLKEIKKFDEQFGDKRGKKKKSPKWGFNTAAE ADKVLDTVKGPRDTGPKAERHGNRDLVDGFSNEPLLTFTLQSEYPADSLPKVPYLSIP QGSSVLVLSFAERGTFFVISQERLAQRSSERGVYYMVSPSQPSKPASNCFRELKSTSS AQTPSTSIFSLKIPRLVSTLEFYDTPSVASPSATR EPUS_03402 MIYSSIFCLFGIVFTNVVAEPLPIRRREENATTNRNTFASMTPS KTLAWVPCYGDSLAAELGPVSCARLIAPLDYLNTSFGDVQLAIIKLGASNHTQTTRTV FVNGGGPGGDPIDFITDRGALLRAQVGNDVDLVGIVPRGVGSTFPPITCDATDLASAQ RNRDITDSQPGYTDKSLNESYAVGESLELDCDLVTPSLVPYVGTVHVAKDMDYVLQIL GQDKLSYLYPGYSYGSILGATYAALFPDKIDRMALDGIVDFNSWYKPEKEPGFDIGDA DTALNNFFQFCYDAGPDKCAFWYSSPKEIRDRFFEGDQRFLENPLPIPGFGLLKIPLW RLGVYSALYQPARGFALLASVAAEIYNRAPGRGIKSYLEFVNNVSSPLESPLVDTTTG LKNSPNIVYTIFCSDSGGRAEGTGMSKLEAVFNKYQGVSQYFGGLSSQIEIICLSAGL PAKERFTEKFENIRTSNPILFVGNTADPTTPLRNAFSMSKAFPGSSVLTINGTGHLSY SATQDSECTAEWIVPYFTNGTLPPNATVCKGKQTPFELPTTQL EPUS_03403 MAPTPCALCTDSRAVIIRPKNHQKLCRACFISLFEREIHETITS SALFQPGERIAIGASGGKDSTVLASVLKTLNDRYNYGLKLLLLSVDEGIKGYRDDSLE TVKRNAVQYDMPLEIVGYDELYGWTMDQVVAQVGKKGNCTYCGVFRRQALDRGAAKLG IKHVVTGHNADDVAETVMMNLLRGDLPRLARATSILTASPASDIKRSKPLKYAYEKEI VLYAHHKQLDYFSTECIYSPEAFRGSARTLIKDLEKIRPSSILDIVRSGEDMARLVPP ELLSSSSCDGGIDGEEGATSGCGSTKGRSGHGEMVDMEKRLAENEAASTQETEVTLSS ANDRPRDAVQAKPKPKPFSIHDKRQKGRRKAAAQQMGKCERCGYLSSQTICKACLLLE GLNRNRPKTTIEIGVEDEDSSTTLMRQVASLEIPSAWTGSIEEQS EPUS_03404 MPVSTSLSSHFPLGLEHRTACLRTAAWFIVPVPVQSVQSIVPYP LITPPFSDPSLFPKPFPANTHPVLVTAGFLNDIRQFSLQIQSLLSASIVIPFTDRLKD GKTPFSYPVRNFIGGVNGRDVNAVVPTLVGTAQGTNIFVASFTPNDAPYGALASDPNE FVAQVRQVILPNPLSGPGIAPAAVDLNFVSASEPQYTERTFRALINQPSILTNTKCQR NMYYFNQTFSDPVLRTGTATLYGPARGGVLPAALDKRYEGQGGLSASSVTVGFNVEDC EVAAANIDPNA EPUS_03405 MANPLDTDAGSELFSNYEAELKLIQADLNQKLDTLPTLTSEERK SALRTASRALDEAKELLDQLALEKSNIPSSTRAKANARYRNAQSDVDELSRRLKSLAN DQSDRKALFGDRYTDEPGGDVQLEQRQQLLSGTERLGRSSQRLQESQRIALETESIGA GTLADLHQQRAVIENTHRNLQQSEGYVDRSVKTLRGMARRMATNRIITIAIITVLVLL IIGVIYSKFK EPUS_03406 MSLAPPDSDPELLDLTIRFSASLPDLLLSVPEPSISTTSALKQL IRTHLPADLSKRRIRLIYAGKSLADAASLSSSLRLPSSRTPSRPSTPLPDHATFSTSA KGKAPVRDPPSLSRIYIHCSIGDVPLSAQDLAEEARLATKHNLSTAKHIKSPTDSATP INDAATNTVPRPRGFDRLLNAGFTLPEIQSLRSQFLAIQAHTHTSAEMPSPTTLRELE DQWLDNSNEASAAAAGGGGFVDDEGQAGALDDMLWGAVMGFFWPLGCLSWLCREEGVW SRRRKVAVVIGVVVNAGFGGIRWLK EPUS_03407 MTVYTGSHSMLFQPLKIADGKITLKHRVVLAPLTRNRGKPLNPH GTTQNPNRLWYPDDLMVEYYRQRTTDGGLLISEGIPPSLESNGMPGVPGIFIEEQAFG WKKVVDAVHEKGGFIYCQLWNAGRATIPQMTGSPAICPSASVWDDPTEYYAYPPVGSS GRVRYADYPPITLSVSHINKTIQDYCNAAQRAMEIGFDGVELHAGNGYLPEQFLSSNI NKRTDDYGGTPEKRCKFVLELMDELAKVVGEENLSIRLSPFGLFNQNRSEQRMETWHF LCQRLKGAHPKLSYVSFIEPCYLRFPLYDTNLSSQDLTRFLPLHQRFEQTFTIPEKDA FLKSWGLAAVDLSTFRALFGSTPFFSAGGWNDTNSWGVIESGKYDAFLYGRYFISNPD LVERLRTGRELTAYDRSSFYGPFEDNSRGYTDYLTLEEEDAIRGT EPUS_03408 MILLFSNVPIVVPVGIALLLASIYKCLIYPAFLSPLSKIPNIHP VAAITSLWMLWIRFRNVENDTVFQAHKKHGPVIRLGPNEVSVNCVDDGIKTIYGKGFE KTPYYSFFANFNVSNMFSSLESRPHAIKKKRIANIYSKSYLQNSPDIAVITAALLMDR LLPLLSRYAKTGEAFDVMPIHQASAMDSATAYFFGLESATNFLLDENSRLHWLKVYLR SRPRDYMFYLQELPMLTRWLANIGFHLVPRSREKYNDMVDAWCLKMCDGAEKTLSCGC TTEIVPGNNPVVYRQLKTITSKEGLASDAKVTLNCFKSEGSTGPTAVPDLRNIRSPQQ LEVASELLDHIVAAHETFGTTLTYIFWELSRHPEMQQRLRCETLSLGQYFLFPQVSQN RIGELPDAKSIDEQPVLHAIVMETLRLHPAVPGGQPRHTPPEGYIKLGKHSDIPGGVR VGAYAWNLHKNPDVFPDPLGWHPERWMPREESRPWQGTDKKERWFWAFGSGARMCIGS NFAMQMMKYIVAATYVNFETSIVDDEGIEQADGFIAGPKSDKLILSIRPAHRSSLNSV AQ EPUS_03409 MLRNSALSISFAFTFLRRQGISIIAASQDRVPVPQYDLPQEVLK AGEGQIKPVIDTTGKQKPDRLPHTITIDLRIVEKVNAVSTTPRFDVNRGGAITAHKGY FSLDNQTWGDPVAFGTWFEDGQGQILVIAKMARE EPUS_03410 MAAVNLDNVQGDLFSRGFPKIKETYYFFSIVPGKEKDFSTALAA LGNSGKISSLKKVLDDWVKVDGAPKNEIVPVSNALIAFSKTGLDKIQTGLTGRGLDLD NLTTSDPAFAAGMARDGPENLNDPAPTSWDPLFNASPIHGILKVAGHCQEIVNSKLDE IKKILGYPNIIADIAAQSLPTSANSRVDGQVRPKDQGLNGREHFGFEDGVSQPLLKGI NEDAAFNKDTFMPRRGRSPHCGEWTLQNVPRGWWKAAFSSFASSSKTFRGLLSSPRNS KMELAQTLITVVQSAPIVLFPDQDATSDPVASNDFKFTNNNVCPLAAHIRKTNPRDDS VFTRNARIVRNGIPYGSEFSVDESGKRGLLFACYQSSIDNGFQFIQKIWINNPEFPEA PSTAGFDPFTAQPPNDGQLHITMFDPSEKKLDTGLGHFPKLVTMKGGEYFFVPSISAL TGTLGSA EPUS_03411 MKSFSTLIIKKHSALLEVKQEEQIPVDADHSIMCKFEAGSDDIF EAGSPGHRLGEYVAMTHRSSAVYRTWSACTAAYAMRSQTTILDY EPUS_03412 MSPMQAVVAAAPRPPPPPSGRAHHVRIESPISPRRRDHVPQPPS LTTSLSGLQLQGGGLFGAMSTPTTSLSSPFSQVNPSPYTPYTSSPGGALRGTSPMASR QSGGYTSAYNPQEWVPVVRSPQVGAASLSHVQQQGGHTRQQQQSDDSAAPPPYSPPRR QDPRSRAGSSLVISPAETASPVQSPYNTPVSATTGVPADVAYATQQARSRPASMIYSS GTGSNAWPSALPPPPPLINRAVRSSSSTAVGRTDATVTIPSVDSQGKSVASPVPARPL ASPQQDSESTPFFGAQVNTAETLLLPPSSRRAVSTSAIGLGVSSRTSSRSASKSPTRH TTWEPGMPLPGPPPGPPPSSSRSQSASGASDRFSRHLLTPANRPKTRQAPQTGTVLGP VPPTPAGWIDTMGLENDSATSSSRPSSSITFSPVEISNCATPSSSRSDTTSMFFPANT KRAPSAKGIRERRSESRSARDCQADEANAIGPSTNPWAEAKVDVTPADLTLPAGGSGL SRRRTITKSTPRTRRSSRSATMDEQVHGSTLPDLHVDSATGSSRNTPRPGSGRPQMSI DGSSPTPPFSPTDGLSSPRLVRNTAGSLPPRSLPTPPLQQARSPKSSLIPPSNLQIRP ISHILHMPNEVAGIPAPLSPRRPSSARSNTTRTIHLDHDSFVRDCLDRTKTFLEKEQY AESDEDRLQLFSEYILAESRVRRRRYAAVFPSFDPRQTRDRLFELNIHAPRRSSGLQE TTAASPIEPSSPHSRNECPEGRPEPTRPDQGRPDSMWWSGYQPALSPIVSMSMNDEQS SRGRAPSRWWESQTGSEVGGGTRRVERSKRESKYMGLPLHEVQLAMEGEDFHDPEPSQ IGYGENEYPPEKMGLHEIEPSTTPGMPPPLPSPCAALDVSRLVTLPPPYPRHYPAVQN QHPDLLSYRTLVRTVSDLTELTSRRTRYKASIEALSKDNQARIAENRRNFRTNIQAQI QEGSISYAEAAEAEAAMKSEEQAAEKSRVQAEFDSFQDVVLNPLHDLLNDRISKTTHH ITELQSQLLSDAQLHNPNATQSAGDERPELLEQLTQLKWLFEAREQLHREVFGLLTER NAKYQTIVTLPYQQSGNKDKIRETTVFFTRDTQDRTTAFEAAVLKRHESFLSVIEENV LRGVEAQLSAFWDIAPGLLAICLKIPERNPQQQQQDRHATPTMAAVDGVGGGGGQGWS GIQIPREEIQENPSYRQFPMQYLYSLLRHAERSAYQFIESQVNLLCLLHEVRTGVMVA RVRVAEVGQLAGRTDEDAAAALFEEQVKRQAQERREVEERALTADLKDRVATVEGQWT EALGSAIAGTRERVRAWLVEEGGWDKELLEDVE EPUS_03413 MPPVVMEANGLSPNIERWLQKLEGLTVTPLTRDYPEPSSDEAAR LKRPIEAVESLTATASLTYALRNLHALGTPFELLLTAYVILISRLTGDEDIAVGTNIE PDGQPFVLRVPVSPEETFIHLSSKIKETLQQDSASIEPLDKIRNYLKVPVLYRFAAFL NSRFLSLSIDKTTPVDLVLNVNLSSDGALGLQAQYNQRLFSSTRIATILSQLFQLLEN ASSKPNHGIGKIEFLTHEQRALLPDPTCDLGWSSFRGAIHDIFSANAETHPDRLCVIE TRSGASPERSFTYKHIHEASNILAHHLVQSGIRRGEVVMVYSHRGVDLVVAVMGILKA GATFSVLDPAYPPDRQNIYLEVSQPKALIIIEKATKEAGQLSEKVRSFVDANLVLRTE VAALALQDGGTLLGGARDGIDVFEGVLQLKTRSPGVVVGPDSTPTLSFTSGSEGKPKG VRGRHFSLAYYFDWMAETFNLSSDDRFTMLSGIAHDPIQRDMFTPLFLGAQLLVPSKE DIQNERLAEWMKAHGATVTHLTPAMGQILVGGATAQFDKLHHAFFVGDILIKRDCRSL QSLAPNVSIVNMYGTTETQRAVSYFEIPSFVSREGYLDGMKDVIPAGKGMYNVQLLVV NRHDRTKICAVGEVGEIYVRAGGLAEGYLGTPDLTEKKFVQNWFVNPEKWVEEDLAIV ASSTKLEPWREFYFGPRDRLYRSGDLGRYTPTGDVECSGRADDQVKIRGFRIELGEID THLSQHPLIRENVTLVRRDKFEEQTLVSYVVPNMKEWHRWLASQGRKDEADDGSMGDR IRRFQPLQKEIQKYLRGKLPVYAVPSVIVPMRSMPLNPNGKIDKPKLPFPDSPALTAG RRRRSSALTQLSETELTLAQVWAKLIPGLIVKTLKAEDSFFELGGTSMHAQQLPFFVR RQWRGVDISIARIYSDPKLKSMAAVIDQAASGEPFEEVKHVADPCDGTDVSQIVYAED AALLAQALPSNFPIVTAFDSDESPVVFLTGATGFLGAYILRDLLTRQNPAVRVIALVR AKTLEKAKQRIKITCQAYGVWSDEWSPRLECVAGSLGDSKFGLAPDVWETLTERIDVV IHNGARVHWIDSYASLKPSNVRGTIDALELCAAGKGKKFAFVSSTSVIDTDYYVLESQ RILSQGGEGVSEADDLSGSRTGLGTGYGQSKWVAEYLVREAGRRGLQGCIVRPGYVTG DSRSGVTNTDDFLIRMMKGCIQLASRPNINNTVNMVPVDHVARVVVACAFRPPTTPLG VAHVTGHPRLRFNQFLAALETYGYEVPLSDYIPWTGALENYVNSVASSSDEQLALMPL FTFVANDLPSNTRAPELDDTNAEKALYADQEWTGEDVSGGSGVSKNTIGIYLAYLVGI GFLPSPTKTPLKALPRNNITSVQKEALSSVGGRGSAI EPUS_03414 MAPRRFSTYLLLVGFFCVLLFLYSSSESVSIPSSGALPQKPSSF TGKVPKFNIPSFHFSFRTSSHKPPEQRNSTSGGSSWYSDWTWLNPFSSSITLDENRSV LPPLAPRPPVYTYYDSELQKDKNSIKVDQELLSTWRRAWWAHGFRPVVLGRAEARKNL LFERMQGMVLSESLDFELNRWLAWGHMGSGLLASWHCVPMAAGDDSLLSYLRRGQYPT LTRFEGLGTGLFAAEKTHINDAIQEILSSSKIKTAKTILEVLNLERFRTEQASAIAQY DSATITGKYPALAEKIVATPDGGRLALNKMINSHLHTTWQNVFSTGIAVLKPLPAHTT ALIAPSLHLANLLAQCPESLIPSSCPPNRPKCSPCVSSRVQVTTPPSFRNTSSLYSIG TVPHPYTMITLDNQTEAITVKHIRRYTTRDPWLLAVTKDILGSGRGGPSRVVSFKEVV ASEYGRSRSLWLTTEKLPAPSSESKDAPLPEEWLVELDWHFGFSIPRAPIPHGESIPP VPGPERWPKSKPGLPEEKKKSYDPEPPTDIQLAIEVELLDKARRVVNTKDTRLGQIRN VAEAWNMADTEAWRFARAYRARSVVERLKWEEEERGYGAEGRGKGRWWRM EPUS_03415 MAQQQRSGKPYSGVNPIPNIHKFVESLDKDKKERDRQIDEQNKL YEQQHHGSVKPHKSAPKPTGGKTVTDPTTGKQVVIEDVGKDFMKAVRDPQLTVPNANL GKETTVKTEPTQSNPEYKEKQDITAPPDPIAEGSTSDVPIHGEKTNILFHPTPSVSYE PMFASLEKRAGGLCMAILIAIIVVGKMFGGSLKGLIPLAACISSGVWLWMKEVVRSGR EVEWSSEQTRGETATANLLPESVEWMNTFLGVVWGLINPEMFAAVADTLEDVMQASVP AVIENVRVAEINQGNNPMRILSLRALPESKVQDLKTSIHDENKTKKDPQEAAADEEGG DYYNLEISFAYHAKPAGSSSSSKARNMHMELVFYLGIKGLFGVPLPIFVELQELVGTV RLRLMMTPEPPFAKNLTFTLMGVPHVQAGCVPMVSTGVNILNLPLISNFVNYAVAAAA SLYVAPKSMSLDLKAMLQGDDITKDTQAMGVMWIRIHRAIGLSKQDKRGSKHGGSDPY INLSFSKYGKPMYCTRVITDDLNPIWEETTALLVTPELIKADEQLSVELWDSDRHSAD DVVGKVELSMQKLIQHPGKMFPQVSKLAGVHAESEMPGELRWEVGYFGKPKWRPELRT DGKDRNLPSQLQDEKSLQDEKGKLDSPEADAVAHTPPDPLWPSGICSVIVHQIVNLEL ENIQGTRGSRKGREYEPAKPFGEATDEESKNLPTSYCTILYNDVLVYRTRAKAISSKP IFNAGTERFMRDWRSGIVTVTVRDQRYREHDPILGVVPLKLTDILQTSSQVTRWYPLD GGIGFGRIRISLLFRSIETRLPPNLLGWDVGTFEFLSERILGLKYSHITKLKLRTGGS TGVIPRTDCRKLDEGDGIYWDLARKDGKYNVRLPVKHRYRSPVVFEFHTAGHRGAVAY AQIWLQHLTDDEEIPIDIPIWTTKNSNRLTQNYVTEQNCHEVSGLEDLKEVGRLQFRA RFKPGTDESHRAFVTDNDSRETFETWEACLAEGVRDRTVPKEVPDRVQELHNESLTSG RDVLKAASEEEKKLWLSKSGTDWSGAFGHDPRAYMDNKGRKIAEPGADRPPHDPFNPS SDEDHEDGSDDSSSDLGITDATNAPSKKRSSMDTTRSGMTGYSSMDGSPTSRRESNKL NKDTEKRKHRGLMQWKPARNASFAKDQAKYGINKIKRRFSGSLGGREPGVETEIGS EPUS_03416 MQPHPNPAQRRRRAAQTPADPTIIARQIFAEARPYNAYPSCAAP LSSETLPLPGEAFTGCVGSIAPIQHFPYSIDALGRPIPPTTPRISSSSPNHSLFHYVR HENVPPSQLGPINPSPAVQLRTRRLLQNASTRENSQQDTGPKNPMSSIRDPQRTTSQG NEARSYSHCLEACNAQPPGNLGSPCSFSPPTRSAKSQAHTRLARNPAPTSRGPESQSD SASTNDREYLWLEHGQKDPTVRSLQARSPEDCNRRDAMRQKGGSCAPCRRSKKQCDDH DYCQSCIRKKFLSEAKMLALMESNTYIPGFEDVSLRDLDPSTLLNNRSPAEVARAAMT AAQVQAINHVNDWFKWVSRNIDPKPFYDAMFQGEVSLGITSGPDGKTESCFNLEYVHI SNHFEIATYPLDDKILEAAFLQHSSAQMPDTPRHDAPRSQCASRLSSAVSHTFAFLKS FADANLYAHINHIAAARATVSIVYANLYRLLLSKSDAFCSFVSRAFQHDFQYCARASR DNLLEDALRGLAQYYSVLTALAKLELQSSSEVAALFSKLEQRAKGLLEKGGIRRLFLD IYTKLRDSTHARGGVPQPEFEELLRDYVSDVPPIKSLSIALRVNSGNGDIAPVPTEAF RDTDPYNHNIHIKVRDLLKRSEESVMDPKQLYIDGIVENFDFLGHTLPDEPDISASWL GDLQELLSNRNPSVADTPSESMDPEHGSLRPSQDNDTLSVKSESTIQDHIQFDHPHLT DALVGGDQEDHDWQQVQSRKRRQRSDDSQNSQQSSGRGERKHIKLEAGRESPPPYQHN YFDVLTGEPATLG EPUS_03417 MEGAWEFGGEGYQFEGRCCGWEVVDAFWAAAAAAAAAAGAAGVE VDEVGRVVRAFLGRVQVRSLGVGAEEGGAVREGAVIME EPUS_03418 MSLLHPSESECTPLDSSSVSSYDGSASLPTSPEIMGQMLGELQE SCCPTSSAFSLDRPPEIKEPTTPLDLGTIRVYQCLELDVLELDSCLCVGGPHASTPFP GTKIDALIERGWIETDVVANQSHAGHYSVRILVNAENMGRFIRKSSLLECRRLLKHIL TYVDKSKSAWNGDWGPDTAFESYLVCPEQESLFYLFNTLPSPAPLAEKIVDVHARRAM EDIFDNSVAGLQTLLYSYQQRSAAMMIQRETSPKRSPDPRKPCFCGPTGQSFYYDKEE GILLLQPPLYEEPRGGILAETMGYGKTLICLAVISATKGHYSRMPEDRLGIPRKARLE VASLAEMAVAKIIDDAVPWKVEFHDLAMSGFHHDRCLDMLRSSFKEYTERLLTPQTPN RNGKRRTEKSVRLCSTNLIIVPPNLLVQWQQEIKKHTEAGALDVLVLDHTCKAIPHRD QLTDYDVVLITKGRFDQEYRDDDLNTGKRPRAGEQYQSPLTDLRWLRVILDEGVGFAS TAAVRTNAMAMLEKMYFDRRWVVSGTPSNSLRGVEVGLAANETISDKGAAHNDLQHTL QKRRRSDVLDRESRDLDKLRMIITRFLKVQPWANMPGSDHASWSRYLSPFDNKGRRRN VTGLRGLLESIIVRHTIEDIEIDLSLPPLHNQVVYLEPSFYDKLSINLFNTVLVSNAV TSERSDEDYMFHPKNRRQLDLLISNLRQSSFHWVGFKKHDVEEPVRISKKYLMENAQT ISATDRRLLMSAVEAGERAVNDPGWLAFSTLHEVGVIVDDFPEQAAETWALGQPSQPL LLGTVQARAAQKYVEEHVGDDDPMEGLPGAGLRAMQAARKRAVDEDRTAAKNRVAGSQ LIEEPKIKDQTKRKRITSLADSSASKRARLNNSSQPPSLPPDSPLRNTKIIGFSSAKL SYLVDQISLHQPTCKIIVFYDTNNVAFWLAEALELLSFSFLIYANTLPQTLRSQYLSL FNSNPAIRILLMDIRQASHGLHIAAANRVYIVNPIWQPNIEAQAIKRAHRIGQTKPVY VETLVLRGTLEDRMLKRRKEMSNVELRRAEKSLLDDGTMNRIIKEMGFLEFAKGEEEE GLEGRVARLRVPQKLFGRTNFDETMVSEQDSRSAGSITERAPNLEPQSQPATSKRVRI DG EPUS_03419 MSNRPAPPSMPAGSHEMQNYYSNQDPPRPTPYTAPSITPYLGLR ARLSQVWINRWTILLLLVLARSLIAVSGLKNDLDSARREALSACSSVESMGSAMASMP HYMAQGVNELTATGVERAVNGLMEMTTMSVTGVEEIVLFVINMMTSTYVCLITLAVGG SLHVALKVVEDAQDALNKAIDGIGEDMGKAVQGFEDTYKSFIDALDKVSLGFLNTADP PELDLSKQIDSLNNLKLPGDLSQGLDKLNNSIPTFAEVQNFTDNAIRLPFKEVKLLMK NAMGNYTFDRSVFPVPQREQLTFCSDNNSINNFFDDLLNLAHVARTIFLGVLLTAAIL ICIPMAWREIKRWRTMQERSQLVHSNAHDPMDVVYIVSRPYTSSTGIKVANRFKSPRR QILTRWVIAYATSTPALFVLSLGVAGLFSCACQAVMLRALEREVPNLASQVGAFADQV VTSLNNASEQWAIGTNKAIAATNEDINSNLFGWVNTTTGAVNDTLNVFVDGVSGVLND TFGGTVLYDPIKEVLNCLVLLKVAGIQKALTWVSENARIDFPLMANDTFSLGAVASIA SANDPKAESFLSEPGDAAKDKISSIVVRMTNRIEDGIRTEALISTVVLLIWVAILLIG IIRALTLWFGKDKTRAEGGVGDAYQSNRAGFQDIALDTYHPERPASGGLGPAPEYTRS NSTGEDAYSEHKLGFAGQRNYDSSLRKEATKGGHNRVSSHAEVYPDYKR EPUS_03420 MADQQNISNILAALAAQRPGGTPNQAQSQLPASGYPPTYANSTP PVASSPYSLPQPISSGSVDLSSIKPVSSGSVSIADAIAKARGFAAERGVSYDSNRVLS RNDDPRRRTGRSRSPSRSPPRAIRDTFRDNYNPYRDERRGGADRGYVRERSFSPRPSG SFSPSQGRSYGMPDNRSSMERGASDGDTERIPIEKSLVGLIIGRAGENLRRVEQTTGA RVQFKDGPESSATVRNCDISGSRSARASAKAEIYRIIDENGPGNRGAAQDRSRGQSKI GSIAPQKDGENGTQIMVPDRTVGLIIGRGGETIRDLQERSGCHVNIVGENKSINGLRP VNLIGSEQSQQRAKDLIMEIVDSDTKNAAAKQDTSRPVPRDDPYGSSSEKVNDSIMVP GDAVGMIIGKGGESIKEMQNTTGCKINVSQPAGRDIEREIGLVGTRHAIDMAKRAIMD KVDAVDSRNRSQGRDSRPDDSYSGGGYSRAQQQQSYSPSGAGPQQPAAPGAGAEDPYA AYGGYNNYVAMWYAAMAQQQQQQGTGEQAGPPGAR EPUS_03421 MEIPREGDENELTKLKALKNRKEKERRARRKREQKTGSTSDNAV ADPAEMGVQNRDQGVEERLDLVVQQHDIESGTASEGAQEATTLLPSVQAGEHPSISQA ANEQNRPAQPPAEPYMLATQPIQDAQMTLPSPPPAINPQTTPPITTTSGARRTSRPLI APAIPFNLQFSKKPSTTMQHENPDTQSSATEQESDSTVAQIAASTAELTISKAQATTE DTPETEVTDAGMESKNSPEGPPYETKSPISATHVAESSDSSAPVPAQAPAVITSHTTQ SSEAAAPAPAQAPAETTPHTTQSSEATTPTPTPTQAPAETTSRTTQGSEASTPAPAQA SAQQRLVKFDTYEWPCRNQECRKLTSPYDESTVICPRCGPYSLIRYCSKKCLFDDLLM HWGVECGSFTLAQKADPMTITRRQISIQPYIPSLDHIDRPERFRQFVRHSIDTTGDYF IFSDWADWRNAGFRMPWPAEQHASGSILAIVNFTQTGSSVPSRMLFTRLLRICFLVGG ARTDMAYFLFKMIIGRLTELGLATSDIKNCLVWQFKHEFAYPGGFADLLMDQQVVNWP LVAGQVECLSVKVNPDFDFYDTNEEMYINARRAPVSQKSLRYSFCEITENMMADF EPUS_03422 MRTDATKASKQGETLDAMDRASSLKRHDSRMIIHFDYDCFYASV FEAENPALKNQPLAVQQKQIIVTCNYEARRRGLYKLQLITEAKKVCPDVVIVLGEDLT RFRNASKELYSFLRKSIWSGQAERLGFDEVFLDCTDMVNYNIDILNHNDLSHSFFCLD QNDPTIGFQYDASEPFGPTYPPEAGAVSDQDLQLYQRLVLGSHLARYLRHELENEKGY TATVGISTNKILSKLVGNLHKPKSQTTLVPPYDASASSESHVTTFMDSHDIGRIPGIG FKLSQKIRARILGRQPAFDEGLVYGGTKEKVTVRDVRTFLGMGPEMLEEILSGPGSQR GIGGKIWGLIQGVDDVEVSQAKKVPSQISIEDSYTRLDTLDEVRKKLNLLSNSLIRRM HLDLMEDDDDAENTDHGISKRRWLAHPRTLRLTTRPRPAVNPDGTRSRSFNRVSRSLP MPTFAFSLTENVHALADRLVQDSLIPCFRKLHPERSGWNLSLVNVAVTNMAETAADSK DSKGRDISRMFRRQDDVLKEWRIVDEDVAPHLTDDVNDLLEGSVDEDVCSEATPPTSW ESDEEVQDNVDVCGRCGLSVPSFALLAHERFHQMPD EPUS_03423 MLALPLTPRSPGVLDFDCAPTRATPSPKVVVPSCERSPSPSIFT PMAMTATRSQPASQKRPKLSLQTSSLPITFGKSSTALKITASTHPVASPTVLNTFNNA YDLPHRSSPATASPTGAKLARPSSRLVSPFASSKEDRPYQISHGLKGILRNGPIPSGL RRSSLCPATESPRTARRAFFPAARKVTFRAILEEEIKTSTYTAKHSDLSSDEEDSDSD VQSELSLSSSDESDVEELAQVNTDTAGTQLRRKRKAGSDRQVQAAAIRDCIVDPAMIQ QQMRPKFEWRGKRRRRQWKWTLEPLKGVLPQADTGLQLKKPDPSPTKMPLPLSAGLDR DDTLLSPSNIALVPSATLVPAHGKPVPPSSTQSSTLLPHSATVPSSTSSAAGIYAEED KEDIAADPLDRKRHEDSAGDI EPUS_03424 MASSPRMEEKFAPAKRVAGQKQDVWSIVNEAAAASPVQPIGYNP PQFVLDAAKSALDRVECNQYSPTKGRPRLKKAIADAYSPFFGRKLDPESEVTITTGAN EGMLSAFMGFIEPGDEVIIFEPFFDQYLSNIEMAGGTIKYVPLHPPEDGGTRTSSAAN WSIDFTELEAAITPKTKMMVLNSPHNPVGKVFSKSELTRIGDLCLKHDILILSDEVYD RLYYVPFTRIGTLSPELAQITLTVGSAGKNFYCTGWRVGYPLQEAAAVGFEQADAHRF WDSSVREMEGKVARFCHVFDELRLPYSQPEGGYFVLANLAKVKLPDGYDFPEHVRHRP RDFRMAWFLIMELGVAAIPPSEFYTQAREKVAEDWMRFAVCKEDAVLETAKERLRGLR RYIEE EPUS_03425 MVSESTGQGPAVVAEANPAFCDQIRNIPWIDTASVEYEAKRKIY QRDNVATPHAIACPRNTEDVAALVRHSIEANIPITVRSGGHDLFGRSIVESALCIDMR AIDFVEIAQDKKSARIGGGIRFEKLAEELSKEGLVCPFGTVPSVGFVGWASLGGYGAL SGQYGLGVDQIIGAEVVNANAEIIQADEEMLKGIRGAGGNFGIVAGLRIKVYELKTLL AGLIVLDPSTKGVAETFADFLTGLQSLMASGQCPAAAGLDPAIVNHPQRGQTALVTFV WSSPDHSAGRAFLEKLLTLAPVAMQNVGETSMPAWLKTLEAFCPYGIFGGDRGISFRK LTPKMLAILRRHMENMPSDPATTIAIHPLSRTSPSATDPNLKRGSCFNPEARQEHFLL ELIGSTLEQSNSPKSQKWIKDAYNELKGSGDAMDGSYIALTHPEDMCLEKIYGAAWED LLALKRRLDPKGFFRNAVPRM EPUS_03426 MVACPICGQSVKQAQINAHIDSDCVKHVDVSGPVLNGSSQPETS ISGFFSTPLAKRIVSQENPRPETKLTPDEARSVPAKRSFVDNQHPFIDIGQNGNTEPE GALVEPTAKRSKTTNAFQKAAPLAERMRPRTLNDVYGQDLVGPSGVLRGLIEQDRVPS MILWGNAGTGKTTIARVIATMVDSRFVEINSTSSGVAECKKLFGEAKNELGLTGRKTI IFCDEIHRFSKSQQDVFLGPVESGQITLIGATTENPSFKIQDALLSRCRTFTLQKLTD GSICEILNRALQEEGPNYCPSALVDDELVKYLAAFADGDARTALNLLELAMELSKRKD MTQGDLKKSLTKTLVYDRAGDQHYDTISAFHKSIRGGDPDAALYYLARMLQSGEDALY IARRLVVVASEDIGLADNGMLSLATAAYTAAEKVGMPEARINLAHATVAMALSKKSTR AYRGLSNATAALREPGIAGLPIPVHLRNAPTRLMKELGYGKEYKYNPDYVDGKVAQEY LPEQLRGCHFLEEADLGNQYDPDISQH EPUS_03427 MVQVKFECELLILGAGWTSTFLIPLLRDEKVGYAATSTTGRSST IKFIFEPDASDSTAFKVLPEARTILVTFPLRGTGQSQKLVDFYHQTHTSRPHYIQLGY TGIWRGDGQTVWLDRHSPYDTTNERAMAEDELMQNGGCVLNLAGLWGGERSAQRFGRR AASTKEKLKGKGSLHLIHGRDVARAIYAVHRAWPGASRWILTDRFVHDWWALLAEWGS EGAVEGEEDATGEALVWVRELMEEEGVKALPRSVEKMGRAYDSTEFWNKFNLSPVRAR L EPUS_03428 MRLFRCLASLAASTLFFVGKIDAHSQSRNPLNYLSLIENPRIQT PSHRVHAFSSFDLTFDLHQSSQRIKLSLEPNHDILGEDSHVQYLDKDGNVRHSEPISR HEHKVFQGKAWLLGNDGHWENVGWSRIMIKRDGVHPLFEGAFSVIGDHHHIQLQSSYM RTKHALDPLLEDSDDEYMACFRDSDVGRQPQTELRRRGNDRSCIADKLPFNSDPNHPV FQTPVLKRDEGFWGSMPVTSLLGKRQIDSSIPGGGNSAGTNLRSTIGSVEGCPSTRRV ALVGVATDCSYTASFNSSESVRENVISQFNTASELYQSTFNITLGLRNLTVSDAECPG TPPAAAPWNIGCPSQTTIEERLNLFSVWRGQRGDNNAYWTLLSGPNCNTGAEVGLAWL GQLCNAGVTSGQDSTGANQTVTGANVVVRTSQEWQVIAHESGHTFGAVHDCDSSACAN SQVVNAQQCCPVSSSGCDADGAFIMDPSSSPNVGQFSPCTVGNICSALGRNSVKSDCL SDNRGVQTISGSQCGNGIVEDGEDCDCGGQDGCGGNNCCDPTTCRFRSGAVCDDSNEG CCRSCQFAAAGTVCRSSTGSCDPEETCSGDDGNCPADETAEDGTGCGNGLECASGQCT SRDQQCRTLMAAYSANNDTYACNSRTCAVSCASPEFGPNVCYSMQQNFLDGTPCSGGG RCDNGVCSGSSVGGEITSWIDDNRALVIGICSAIGGLILLGLLACLWRCCRRPAKTKT RKSRIIVPPHTGGWNGGYQTPYQPMNQGGWEGNSGWPQGPPRTTSVRYA EPUS_03429 MWTFKSQQRFQANAFAATWNNFLGRSTTKMEDLHLILGAMQDFR SAAIRDLPVKDRMKAILKGHAMLPVALLYAPGPRLQDDNPLHRWAPEFPQSNKLDCNL GYLKVFSDCLQIVGHGPAHTQSSESIWKPIRQLPNSNLLDKVCTAVVVLVSRFFGVGP AESPRQNLCLTMPKSEQSDRFTINIQTLSGYEQLWVEACPLEGVSRITVEDGMTCILF PNVYDLHGRASWYQAAGARFRVRQYNETSLHLIYDCPLQIYAYDRTKSRIRESQQDPS STFPLLLTNPVAASCRIFIDCDLSTWPSAHHFFESPEGPLCEQVTIHMYGLAYVSIQA IWPVSFILVMATASSLHIQRLMVFYCYLGKVTLTCVECLWWRGIWKATERQVWSEDFG SNSPSVHRHYDILNQNPSLLIGLRRALLMVVLMAIFLAVGFTRPAAKWARWAGVMLGM ELAQRLVLHVAWFKLPETALGRRVREQVQRRWLGLKEKLRRVREAHINQEMERVPYRE SWDVDLQNFFVFG EPUS_03430 MASTSQGHPAFPARSTYAASGKLPDRMGLNNPPPFGSSALSRRP DQQAQQYGQGPAGPQQQIQGGGGRGHQHQQHHQSGADKEPNPLNELSEEQREEINEAP THPHHPTSLESQLHTPRHALNPGSPIYDLAQFSLFDLDRDRHLDYHELRVALRALGFT LPKPELLSLLTTHGVPRSQLSNPPNPNNKPPQQQQQQRDPSIHPSHLLIPHPAFCTIA AQRILARDPREEIERAFDLFDAENKGYIDLEDLKRVARELGETGLEEEELRAMVEEFD VEEKGGVGREEFVGICLQG EPUS_03431 MSSILIVGATRGLGAQLVKRYASNPTNTVYGACRSSTPPSSPSG PNIHWIPSVDLTNPESTPLDTPSWRDQVTMYTTSAIAPSFLISSLVNSSIIPSDSNSK IILVSSESGSITLRHPQEGGGNFGHHGSKAASNMLGKLLSLDLAPKKIAVGVVHPSFM RTEMTKGVGFDKFWDDGGALPPDEAAEILAKWVDGTFGMDLTGQYWAPRGTRDIGTWD AVMGEKSGKEGPVQLPW EPUS_03432 MSEADESPAQRQARIRREKREAKIKAGGSERLEKITKLSGRTPE MMRNSSPSPSASPAPQSQTPPITSQPSDPSQIRAQEEFLRSMLRAQDPQQPGQATDQQ QQQMPEDPMMKLLSSLAGGENNMDPNNPTGLPFSPEDIQSATGMPSWATSLLMGGKGK VPPTVEERKIATIWNIVHVMFSILAGAYLLFVLGGATKKFGKEPPPPATAKNPFLAFA LGELLIHTSRVLTKDPASTQRGNGWLQILKDVARDGSIVLFMLGAANWWNGTAA EPUS_03433 MSEGDSNPPQPAVPEAHEVDTYHVPKAFFSKGTGKAHLKDLDHY HELYKHSIDDPHAFWAKLARELLTFERDFQTVHSGSLTNGDNAWFVEGRLNASYNCVD RHAFKNPNKAAIIYEADEPSEGRTITYGELLREVCRVAYVLQQMGVKKGDTVAIYLPM IPEAIIAFLAITRIGAIHSVVFAGFSSDSLKDRVIDARSKVVITADEGKRGGKLIGTK KIVDEALKKCPDVKHCLVYRRTGTEVPWTEGRDFWWHEEVEKWPNYIAPESMSSEDPL FLLYTSGSTGKPKGVMHTTAGYLVGAAATGKYVFDIHDDDVFFCGGDVGWITGHTYVV YAPLLLGVASVVFEGTPAYPNFSRYWEVIDKHNVSQFYVAPTALRLLKRAGDEHIKSE MNNLRVLGSVGEPIAAEVWKWYHEKVGKEEAHIVDTYWQTETGSHVITPLAGITSTKP GSASLPFFGIEPAIIDPVSGEELKGNDVEGVLAFKQPWPSMARTVWGAHKRYMDTYLN VYKGFYFTGDGAGRDHEGYYWIRGRVDDGVNVSGHRLSTAEIEAALIESPLVAEAAVV GVHDDLTGQAVNAFVALKDPSQDNDQTKKDLILQVRKSIGPFAAPKAVFVIPDLPKTR SGKIMRRILRKILSGEEESLGDTSTLSDPAVVDKIIERVHAVRRT EPUS_03434 MAAAETNDMDNAFDDHPSLSASLEDFEEHQTRSPLFDLPSQHSG FKSEPDDSDIDERSSNGAPWSPPGFRRHARGGSRGAGSWFRHDPYGTAQRFELRPSIS PSRSRQTSPEYEDARGGDEDLTIPANIPLPAGTDSPLKERSPEPRAAKDEFAPAFAEE EQKAVNDTNNYIRFAVRAEVQHREPFMALFNFLRERIDLMTKTKSSTMMTIILSLFSI SIIRMLFVPPIPPPVPDLVKLSTLAKSFEPLIFYSENGYTQITALQETSVAVWDLGES VRSANMTSAPLIVRSLDELSESLKTLGLELNRFFADVDSDVDSILLVMDWAKRELSGV ANPQGPAIPSLIFDNIHNLLNRGSLLENGGKPTLFGRLITEIFGSSSPQRTRTALTRT FHEFLNVLEESINSELTHSTALVVRESDAQERLENDLLSSLWTKLVGPNAAMLRKYDK NRQLLANVRARTVSNKHLIMEHHGRLQTLKVSLETLRRKLVSPLVRRNDSVSVGVDCV MVIEDQIRGLEGTYHYLRDVRERQKAKLMEMVYGAGSRRSGIVGVAGGGVGGGGIEAR EPUS_03435 MSGAAVDAAFVIILEEQSYREEEEMRKEAAAERLKRETDKTFVA GDVKKGAGLFKTRCEQCHTTEEGQNKIGPSLHGLFGRKTGQVEGFSYTDANKQKGIEW KEDTLFEYLENPKKYIPGTKMAFGGLKKEKDRNDLITWLRENTK EPUS_03436 MLTAKTTQATQTLPPDSSSAVLDDACGIGTVTAEVKKSFPDISV LAIDSSAGMLKAYNRKAKKHDFKNVTTRLLDGGNVSSDSITHAFACTFIDLAHNATAC IQELHRVMAPGGVLAMNTWADPYHPSIGTPWTKACQTVYPDYKAPMVTSPRWSTPEQI KENLVKAGFKDVQTKQEMTHWRWSSPEEMTTWFFDGGNPVEGRWHESLVEECGGKLED MREPFHQEVVKEYRNEGGHLLREELVNLTIARK EPUS_03437 MSPIQCHRPADRNAFEIAILCALSIESDAVEALFDDFWEEDEVQ YGKAPGDPNSYTLGRIGLHNVVLAYMPAMGKAASAGVAAYFRTSFPNVRLGLVVGVCG GVPYPPGFGEIFLGDVIISTGVIQFDLGRQYSNRVVRKDTLQDSLGRPNHEIRAFLNK LQGWRARSELRRHVIEYVAELCSKEGFDAPACPQTGEDKLYHANYRHKHQDAGICDIC AQCVKPEDPVCDVALESTCAKLGCDDLHLVPRKRASRRDPSIRFGLMASGDVVMKSGL NRDHLAAEEKVIGFEMEGAGVWETFPTVVIKGVCDYSDSHKSKKWQNYVALIAAACAK GFLRQWRGIDNPKVVLPLASSVGSTPTDSSPPTKTRNIVSDRALKNGSMFETEEEPGP EETSHKTRSPATPQAFHPSISRKVERMILQEISFRGMFDREQSIKENYPQTFKWIFDD SLSKDKGWHGFTSWLCSGSGCYWCSGKPASGKSTLMKYLMQQNETKISLQKWAGRDKL HFAGFFFWHLGTGLQKSQTGLLRSLLYSVLDARREFIGLIFSEYFNELAEYETFVIKK QQAREARTRFNEPEPHFPSKLSDQEYRIAFRKLVDNMPEDYKICFFIDGIDEYDGDHY EISELLRSACGPRVKMLVSSRPVPACAQVFKGSPSLKLQDLTRPDIKLYIDGQLRKHS RMQYLLEAESARANKLITEILDRAAGVFLWVFLVVNNLMHGLNNFDTINDLEKRLDYF PTELDNLYQHMMEQMEPLYRRKASEYLQIVLHSLDTGTELTLLKMSFAEDEDPTAVLS QGWEPPNSQHLQLRKEATGARITSRCCGLLELSRDDISHPILGPGPYVNFLHKSVADY LRQPGVWSYITGLTSTSPFAASIALLSSTLQLAKIYECNIISNEICRSETIRVIVRQA EHAESAGIDVMPYLEELTRYLSSFDRDHDISTCLPSPLPNRSWDSSSGEWSVLLIPVW YGFVSCVTKILAQRPFAWVDAEYPDYLGAVSSRQRLYWCARFGRLHAMCKTIEALLHY GANPNTQISDTTAWGMLLVEIVECKSFTTANKQEDWTLLEVYFQLIVLFLKAGADPHL IIDSPVCKKDKDQASKTTITIIKEWSVPAWIQDVETSFLSNPTIPQWTKDKVTASAAE ANSILKDLSILPWRKISTPISTSFSKVMSSESQLMTSRKRTQSIFSRLTRNLTPKDRH KPSSEITLEPKSLKNAAEDSGDWLGSPPLSNARRVTLPWAQEHRAWQLGLM EPUS_03438 MQRSNPPLAPTEARCRRRTRAGERLWVENMRLVEFLRDYVKKRL EDGDFVDEQGGQSAEEQLREHASKQNDDVEMEEGDEGKGGEKDGKVLYPNLKGMDRTY AVESE EPUS_09246 MSPRAGLSLLYSQFFVKLPIPIHSFSGQTIIVTGSNTGLGREAA NHIVRLGVSKVILAVRKIRKGEDAKRYIEGQQAGQAL EPUS_09247 MGNVVEQYQLKTCILLGNLSSHFGLLGNKTFDYAVVGGGTAGLA IATRLAEDSSKLVAFIEAGRFYEIGNGNLSQIPVYAPAFSGKSPFDIAPLVDWAFLTT PQTGFDNQPTHYTRGKCLGGSSARNYLTYQRLSAQSLQLWADTVDEQSYTFNNFVPYF EKSLTFTPPVASLRAANATPEHFPLGHKLHSSSWASNPLKVSQSGNILGSSYQLLKID GQTTLRDSSETSFLRKNGLRRPNLIVYQSTLAKRIIFDNAKTAVDVEVDIGNLGGITF ILSATTEVIISAGAFQSPQLLMVSGVGPAATLRQNNIPVIADVPGVGQNMWDHILGGL SYRVNVLTTSELGSPAFSAQAADQFHASPPRGMLTNSGADLLAFEKIPQQLRSNFTPS ALADLAKFPADWPELEYIPTAARGPHRRLQLRHHRHRAGRALLPRQRRRSRRLQARPR ILRSLSHEARPHRRGILPRRERASVTSDRAILEFIRKSFSTVFHASCTCKMGNSSDAS AVLDARARVRGVKNLRVVDASSFPILPPGHPVATVYALAEKIAEDIKRGGA EPUS_09248 MPSTFSQAFPPAPTFTEKNVGNLEGKVFIVTGAASGVGYELAKL LYGQNGHVYVAARSSEAKVAWLLCSSTWRTFPPSRRPPMNFLQKEDRLDVLVHNAGVM TPPADSKDKLGHDLEMATNCLGPFLLNHLLEPILIRTAQAQSTPDHVRIVWLSSMITA SVPPGGIQFDEKSGSPKVLKNAMQNYMQSKVGNLFLASEAAKRLGRQGVISMSVNPGL MKTELQRHSPKMQSVIMGILFKPPLFGAYSELFAALSPNITAKHNGGFIIPWGRFGDI PSTSRKGSSRSRRAVPGLRKSSGAGARRRQNVISEVWCMRAAG EPUS_09249 MSSTSRIRRWWTEEEDQILRREADFQLSRGSLNDWNRIAAKLPG RTNKDCRKRWSKIPGHINKVGHTLPRAWGHVMQTVSTSPPAWEGRELALTIDVECAKR WHHSLDPSLDHSEWVPEDDARLLAAVASCGRVWKTIGKKEFPGRSATELKNRYVIIDR KRQQSDDPSAPRTASKDSTGSATAHAEVDMEDSESKNSLSEDDVAEVPDLDFYSDILS HMASSRTTPGSNLTSHTTSSLTTPGSYVPSHSVSPHVISQDFSFTENEFAAGLPTPQN SNEYFSGTPGAFFSQNDTSLSLDNPTLDLGTLWNTTYFPLPTQQKGDDAMTGVEVGKE NLRNKLILEDVQPQMVTSIIKMLFESKSVVKMKIPTARVPRAVVDTPSALPSERMAGP GRGNAPSITDSKEEDSKEEDIKKKASKKEAIKEEANKKEASKKEANKKEDSKEESREK EASKEEDNKEENSEKEDN EPUS_08880 MVFLLHLKAYAYTNRTTRHEKAEDAEDIKFILTCLIRKHQRASR EQRAWAMPDRFWSKFACDYPDQEANLYAVGLLRGQAPSSGVVSTTSQVLKGKDVDEAF HFSAEASATQEYEDFAASILGP EPUS_08881 MARAMANSRQPAEASQTLAPFEAASQSKYSSPPHRDSTRIANRQ TDLLSKAIADPDAINGSGQAPDLRDSKGLNTTPIVWMCIIVLAVILLVIFCYLGYKRQ NRRDELAGAPRNDEEQRLPQVGIVG EPUS_08882 MSKLSDYCLLSFDVYGTLIDWESGILAALQPILNNNGRSFSRKE LLEVFHKLERQQQDRTPDMPYSQLLSTVHPQFAAALELDRPTAEQSKEFGDSVGKWPA FPHTIDALKRLSKHYKLLVLSNVDRVSFNATNAGPLEGTHFDAIITAQDVGSYKPHVH NFEYMLEHIESKFGVGKDKVLQTAQSQIHDHHPASAMGIKSVWIVRPGATMGNATEQI YDWKFDTLGDMADTLEQETA EPUS_08883 MSDTHNRKPFDSADAQHAFRAPLPDADVLLHAGDLTGSGKRHEH QAQVEMFMEHPAELKVVIPGNHDITLDEPYYNSHPWKHGTPQDLVAIREMYSGPKARQ AGIVLMKEGIQSFQLKNGAKFTLYASAYQPEFCDWAFAYKRTEDRFNPPSAVERLLGQ GPANPIPSHPHIDIMVTHGPPFGIMDSVVGFGARMNASAGCQHLLRAVKRCKPRIHAF GHIHEGWGAKRMKWASDERADGEDQLIPIVVDRGEILERRGAFIDISSSGSSQLQWGQ ETLFVNASIMDVRYHPRNAPWVVDLELPRG EPUS_08884 MEIDNAFAKVFQAFMAIPNAKSQFSLDKPIPPGVLLKYPTVAKV IFSNEPGCAVNYRAIVEKIKVLEPHFKVTSCGPPLMEYFAVLRKKRNKWAVAFANYQS HMILTFLVEQKLYGCPLNASQRAAFPDGPRAVLSESLAAAWQELSDIKKSREFVQNVR ILEECVKQHKIYLQRKAAAQKQRQALVKKKQAEARKAKKAALASSAVSHQQRPSAVQS TFNPDLPDFAQFISSFTSPTPPPVAASSNKKPQKVTTNISQATKTANSINATVENKSA KRNGARAAAAEAQPITMAATSTNMTTQPPANSMAQTSLGVIAPTSANSAAPNNMMMMG PTPAVRIDKPTSTRITRLTRNPGTTEEWMMEEPLFPYFVSIYGYPPPNCFVGPLPGSH GEHKVYIEHQPKYSPEELEKYKQMGTKPPPARYVTLHEKKVTHQTPVTSQMPNTTHSN AIINMNDPTACTVTAINHDGSDKEVEPPGLGHASSTSNAASAPEEVTEQSSTEPGINN EADVELEKAIRAEIGSANPDSAASTNSLPSTMPGSIEQAQATVEQNTSTALEVSQDVA SNETGASLSACPPASNSPTLTSSNSTERTLAEADPSGFMSFEEFTERFNNGEFQDELP AYDPTLDITFDATLNPTLDHTLNPTIDPTFNPTLNPTLGPTFDPTLNSTLEPCKQSQD LGMDMGWQGWDGEIALPPLGSTPDVDGFEENQYFNELFGRGAYDN EPUS_08885 MLEAVYATTPDPTEKTPIPPPDWEVLISQIADDIIAERSPKQIL VVRAKLYDLLTHCIPPTMVIKTLCWKLVERVDEELKPEMVRWAAFYEHRIKLGSKVIF HLEAYVAKVMRVYEMFYAGMGLDLD EPUS_08886 MALLVDKLRPRSLDALTYHQDLSSRLEALASSPDFPHTLFYGPS GAGKKTRILALLRSIYGPGVEKIKIDARVFATSSNRKLEFNIVSSVYHLEITPSDVGN YDRVVIQELLKEVAQTQQVDLNARQRFKVVVINEADSLTRDAQAALRRTMEKYSANVR LILLANSTAGIIAPIRSRTLLIAPSSTTPPRRKNGNTSPP EPUS_08887 MVDHGPVLRDSERGMKQLDDPSLPATNGHHLPANDPDSPMNWPM VRRLYVSLVSWLFTAVVGFGLTSYTVAIPEIMVEFNISMPVVTLGFSLYIFGIFFASI HTPHWSERFGRKPVYLVSLFLCMLFTLGASRSRTWSALAATRFFAGLCGGPCLVLIEG TFADVWSVCATNTYYSFLAAAANVGAGLGPIVLGFVVPVTSWRWTQYVSLMAMFAAFL FGVGMPETYPRRIVRTRAKRAGRPDNLPKAESGVTLAEMAKVTIIDPLIMLVSEPIVI MSSLLLGANFGFLFQWFITVPVALGSAYSFTIQSVGLAFTSALAGTVLALTMSVLLDM LFRPRGNNKKMGMLPNIEYRLYPAMIGAPLMMAALFWVGNTAKPTVNYIVPIVGTTVY VWGSMSALIGIIAYLFDAYPPKGTLAALTAVACFRIALAGVIPLVVIQSFMRATPMWT LGAFGFAVLALSPVPFVMFFFGQSMRKRSRYNAGLMDQMMQTQSPEMETHTTAQQDSV SPHE EPUS_08888 MSDTEEPSQQPTPSKTPKRPQAPERTDSDQSVDDPGYQSGRSRS QSRRRRRQNQRQRAQQQQQQQQQLQRQDGGSGRGAQAQSMAKIDEEQGNEAESQAQNT MQMFERIGPDSTSMDGPVTYARAMRGEIPMRPGNPNQALGTANQSVDAKSGGKNIMDE EGLKLRLELNLDIEIELKASIHGDLTLALLA EPUS_08889 MSEQESSTKESEINAGANAAASGSAAASGGIGASASKKETQQKK TPKKLGPKPGAKQEDAPKQELTTVTVVRNVKNPKKKNLNRKWTRRSRDHSRGDRVEDV VGAETAAQKACHRATPNRRKVVDETAIAIVGKDSNSSSSGGGLPAVDEAGETLDGVTD TVSGVTDGAQDLVKNTAGDAVGGATGKAGEAVGGLLGGKKGGQEEKGGEEEGTNEQLR LRLDLNLDIEIQLKAKIHGDLTLGLL EPUS_08890 MEPPPAQSDDETAIRTRITTHMNTSHRDSLSLFLRHYCNVPAHS TTPDSTTLATLKQDSLILTSQGKRYHIPFQPPLSSLTSSAATRQRLKEMHNECLRGLD LSDVRITTYRPPDTLIQRINFLTVVLTMLSFSRRANFLPGSLFYETSGLSFVPSFAWF CSTIQPWLITAMLGIHVSEVVWMARTRLRRHGVERWSRLWWEWVGTCYIEGVGSFQRI DGMVRDKEEEMRKREE EPUS_08891 MDVTSPYTSEPDMLRAAYSQASGMAAFKLDEGFSEDPRGQDDTD LAATTENTSSFEEWVMAQSEDTRADIAYEVLRTLRTAKIAAVVDRLTPLLHMDPVGKL PPEITSQIFSYLDASTLLVASLSSQAWRARILDPRLWRRLYSDQGWGLNSTAVRAFEE RNARVARQDFHKPSSTFRASETDHGQPLQKKRATTVSVDQQTKETISGDVSQWREQHG VIEADSDVPTRTLPAEFDHEMQDVSPTDSSHPASPPRRNKRGSQETGDEMAMSPIRRQ DSIVQPLQTLNPPVKPPLSLRDLSGHERINWAFLYKQRRRLEDNWNKGRFTNFQLPHP AYPHEAHRECVYTIQFFGKWLVSGSRDKTLRVWDLETRRLRGAPLTGHTQSVLCLQFD PTEKEDVIISGSSDSNIIVWRFSTGQKVKEIQQAHRDPVLNLRFDHRYLVTCSKDKLI KVWNRYELSPLDKDYPKVSPASTAKMPTYIIDTSSMSPQQLENKLANRQIRILQPYSL LMSLDGHNAAVNAIQIDQDQIVSASGDRLIKVWSVIDGRLINTLPGHQKGIACVQFDS KRIVSGSSDNTVRIYDHIKKCEVAVLRGHTNLVRTVQAGFGDLPHSEKAMANQARLAE AEYRRAVAAGEIVHEPIGAGRSARDQQASVKTPAQYLTAFGAALPPGGGGSQWGRIVS GSYDETIIIWKKDADGRWIQGQTLRQEAAIRAGAAADLRAYNEGQIDAGGAPAPQAAN SSVSHAASLPAQPSAAQIVHHTMQSSTIGLQSISDHITNLMGQSLSTMQSLARTNLGG SQFSSMAPGAQQALAQLNAHQQNIQNHFSNLSQAGASHTVQAGPSVSTSTAQSNSQHA ANIPAAGVNIGQNPNIGQPSVALAHNIIPPIPPIPSIPSIPSIPSIPSIPSIPPQALV QPHVATHHHHHHHAPPANQQPQPPQQPASRVFKLQFDARRIVCCSQDPRIVGWDFAAG DTDIMEASQFFLGP EPUS_08892 MNPSPVGENLPMLDRNNIINQRDGESLYQICLKLRKRLSEVPNF RPYLEQMATEEAEGADPVSSLWRCFRNGLPLLTIYNASQPEDGDLTVDPSNSDLRMGK QAAYRFNVACKTQMSIPASDIFSLNDLYGDNTTGFVKVTKQVNRVLDILSLSGKLYPS SESTTASDEPNDVQANGAPPKQLTRRQHILKELVETERQYVHHLLNLQLLKKELEECG ALTGDSIHSIFLNLNNLLDFAQRFLIRIEQHNELPEEKQNWGDLFKHYKEPFRQYEPF IANQLRCEKTCQKEWDKMKKIGRSPLMDQMLADPTILSGFLLKPFQRLTKYPLLLKDL SSQAEDERLRADLSDAVEIIQDVLQEANAAIDKETRQNALVDLDERVEEWKSLNLKTF GELMLFGTFTVLKGDGGSKDQEKEYHIYLFERILLCCKDINLNKQKSKYMGSKEKLSV TAKGQPRLQLKGRIFLANVTHILTASGPGNYTIQIFWRGDPGVEHFIIKFKNEDTMLR WNREIETQRAVCAENGRATRANGTSETQFTSLRGIHMENPHQEYELDEDDYNRAMQPA YFGHENGNYSEFSMSRNASSLSLRSSSTTNTSGGMHHTHPSTSTRPARFPMPDPSSLP PLNTSFQNSPSERAGTSYFSPIDREAATPMTASARSSSQSAFAGYDRYGTPSTGWSNG EEPTNRNTAPAMSRANRGANPYQVNGRDPRLRPSLPPVSTGPPTAQQLANGINRMRSA SSPDFMNPQRRLPNGHVVPNGSDVPTMPAIPAHVAGRVAPVNRSMSNSPTGMPPRANT PSANGHQYGLPPGPRPQMTNHYTYDSSYNSKADPRLVISNLTPGSSISTDRDRNLSPP LSTPSSDGEPFMPSQLKAKVCFDSNYITLVIASNIQFRSLTDRIDAKLARFTQASIGG GSVRLRYKDEDGDYIWIDSDEAVNDAFLDWRETHADKIATGSVGEILLYCSSLSGEPL APSG EPUS_08893 MQTHERQSLTFGIVAKSHLIALTAERDGLPARHVSSNVLAEGCA KQFHDGTSKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKK KKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKK KKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKK KKKKKKKKKKKKKRINSGVPKQLGTCLAASLHGLFASARIC EPUS_08894 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTSLGIKGAASTL LLPFAGLNPPTATNGIVLATEKKSSSPLIDPPSLSKVSLITPNIGMVYSGMGPDYRIL VDKSRKVSHTGYKRIYNEYPPTRILVQDVARVMQEATQSGGVRPYGVSLLIAGWDDGI EPETEEAKVGETDAEKRKASSKTGGILKGGPSLYQVDPSGSYFPWKATAIGKSATSAK TFLEKRYTDGLELEDAVHIALLTLKETIEGEMNGETVEIGIVGPPANHLLGYEGVEGA QGPRFRKLSKEEIEDYLTNL EPUS_08895 MRAIRPLLFRIFYSTSFTVVFLLVLTLVALTPADAVYQARQQRR QWDIGAIAGTYILTALLAILIYASRLYTNRSIVQGIPKTYIPIEKEDLPGKLTREEIV EGLERSAIIAYKARPRFDRVEDDSPMANARISAITRLDTKKNEIQQIWGHIAHPGWSS PASPDLPNLHYDTVIAELPNLIEAKAVSLAPIEPHSTPHPVEIPLPDERVVEILQRPL NMGLREYIAYLDSLGLISPPDLGASFLTFYERARFSTKPLTEFEFRSLMSIFASILRG MTKLDRDLLAELQEPDQNDTPTWQTPGRWSPKSASTFSVDGTGSIRHHHPHPPRRVSE DSVPSLPTEDDEDDYDKEDNDTLSLRTAPFSQPHADNGLSKQPPRTRLGITSPNIATA PANLSLRQTRSNTSAVSRSSMRSAGSVIRLVEARTALDLPYRIEIPQVLDSSPEQR EPUS_08896 MASTTEETPPQWTYPTRQCRLCFEECEATVELPPPGSASNNARI KYYSADDPSLGQLISPCKCTGSARYIHEGCLEAWRKNAAQRKHFWECNICHYRYQFSR LGWARLLENPAAHFAITATVALVLVFIFGYIADPIINLYIDPYSATSTSRLWTPIKVS DVGEESSWLQHFYKGLASLGVLSFARVIFMANPWHWYNLRNSGLAHGTTRPGATGQER VANISWVVLVIGVMTFLYTVFKAMNSLTRWFLEKAAFHIKEVPHDDDDDIKPPIPQTK PTTVNSGTGTLPDESEDSVAMEDAHQHRPPNEDRSAATSTSASTLPAKTTATYRAASA IPGAFHPPGWSFANLDE EPUS_08897 MPERSSIGCTNDDLALTDRDLFSLYLRYPEMEQLDVNLEGGDYA EPLLASITASSPDSSPQSAQLDSSPPSNSTYYESPASSPLGPSDSIPFPDLAIAANDG EVEELSVHFDLTRRSHEIPERAAELFTEIKSAILSMGSILFTTTGEVSTEPENLWHIN SIADWLISVLSRAGIAVDMEIDDIQTILQLAPFTIRPALKDLWTNYKQMAELIIDCTT MPSQLELDLWDQLCHIYDACVYRPFLAIPRSQGYNEALLEKLDMLYNTIMTHATRYKK WMVAMKRVGNDFTKPTMRRILQSPEVMKGILEFEGQLEVAAQSVVQLEIATREVLATL TAKDRREQQQSSKHQPSALHRGFASIS EPUS_08898 MKSVIQRTRAFLTPSTSSTNLSKSSSAQPSPPSTPASSLPSSPY IFPPVSSDSTDDPNCLHDCSTCTIHYPSKWSINESDALYGHIRGWATHLLVATGRTDW VRDIADEKGSVMRAVGKHGTDLENGRCMVSACNMPVPDYDCHGRRDGEIIGNRLKNEQ GQTEVLVLPKWEIVEDVRPSGVAEFMEGYVARQASSMSPISVDPSSKPTKDGEEHDRT AQNPSPQPPSIPSLSPTAAGSLSFPSQVQQYRTTNFKTRPCPHAYLILLGSQKPRDAR CGQSAPLLRREFERHLRPLGLYRDIHDERPGGVGIYFISHVGGHKYSANVMVYRRSGS ELEEKDDNSMTAGEEMDEETSLARNRTDRAVIEKNAASTGEAMQCIWLARVRPEDCEA IIKYTVLQGKVVKPERQLRGGFDRTKGLTSW EPUS_08899 MKGIMNVHTRLTPPKREEEYELQGLAASQSSLIHGGASEETKDT GLGDPLRIFWGRTLCCILVPPLLTIYYAITWHYWLQSYDYDSPVNHGTKGARWVYYSW FILSAVGINLSKYGLAGVEAGMLMNRRWAARNAMQLIMHCDKTWSGPSGWMKIAMTTI KGNFKIRPSPTWFVLAIVSLLPFIALPLSGLTMELNDGFRPGIAGVDRAELSGQNQNT FADQAMDVIDRAFNRWRYSSDLQLSGRSAFYVPEVNAALQNKTWLKNIPNNWPDDDQS TVFLAPQSDRPVSGPVWGLQASYDCTVISRVEQFQLLSQRSADRSKPRCPAIDFASYD GQPQYYGLPKLCDHDVYTIGQRSGPAENSLDMVSLANVGFLDGLMEMALKYENKEDDQ FSDTTPVLLEMAVWQKPVKLRIPCEILEKEVFNDLSVIVEGMQKPYLDHSTYEPEISP DSSPVLLDAIGVQCNSSLILGTATLDGLNGTYVSFAREEPVSSATATSVPLATAVPEI LRSSTAAALDLREDFSFNALNELVDPPSINNNTFSPPSTNPIPEIASNASWLPNLFKS VDTYNLVPIPCNSEGDPLHLNSSFGSQQLKVITSAQLKKSIIRAHQAYAIELSGPRGG LWYGNLTNAVPTTIIVPGQLSPIAIISLLALWAVSCSLLGIIFSTGRRWADTLDGFSM FRFGADNPEFAAGGNCVKDYDQCLTLLRIPGLVGDSQPGISQGHITLVKDVMARQNKK YRGPSSRLDRDDRGLVRRTGRNDRLGSAARERAL EPUS_08900 MPPAVVVNITVHANRVDHSVDITGVPYEAGLRQSLISVDNARAT HGRIEPTEAVLSLKDAAGRTYTSSSYITLIWWFWGETISNREDFYITEDLPTGCNAML RRTSDDGKPQKKALPLFTKPQTNDSTRPLPPNIGFFNLRLSGVNTELLTEAFEVAAPQ QRGAHSRLKL EPUS_09228 MPDPAQRRAQARSRLQNQLRQRKTKDGKPPTLEQLEQMCEEDVK RLVAHINFVTEIGISDMTAPLWTHLLCWLEEGVQRIEVAGGPYKRADFQHASRLHSSQ ADKWQHIEEAKCVLANLHVLVPQLPPGHRLLNPGRLGLRPTSEVTQYEVAHANDPDDP VGTRGFQSAVRDFERLGIPLPPQIALFILSIDAPLQSRDCEKALDVMERSLIGFKVDQ QQSATTFGQEKATKATVKRLEKWIDQTKSRFASRKKPDNPGSTSRRRRDGEIST EPUS_09229 MSSDLKPNNVVVDHDVDDKGFVQISGVKIADEDNIVFLDGKRDF NPKGSARPLLIGNEFWRSPEQQVGFGIGQATDVWSFGVVCIYVVFRLMIFGIYPEHRT PDVDLRWQVLERMFRYFGPSPPAFFDHIGLDSLDKVEQEVLLRLNHFEQHNKREPFWD WATTGDPSMDEETFSFLRKMLSLDPGRRATMDQVLDDPWWNNYGR EPUS_09230 MINHASRFALNTLRPVLKRGRHWKRRPLALRRYASVTNHHNLPK AGEVINGFTLERIQKVPELHLTALQLQHNKTGAQYLHVAREDKNNVFAINFKTNPNDD TGLPHILEHVTLCGSENYPVRDPFFKMVPRSLANFMNAFTSADYTSYPFSTTNKQDYR NLSSVYLDSTLRPLLKKSDFLQEGWRLGPENPRAASSHDDIVFKGVVYNEMKGQMSDA SYLYYVRFRDHLFPAIHNSGGDPDKMTELTYEELVEFSRRHYHPSNARFFSYGDLDLS EQLKLVDFTLQGFEKREVDQEVRTPRDLSGGPISVTIPGPLDPMQASGRQSKSSVSWL ICETSDILETFSFSILSSLLMSGYGSPLYQGLIQSGMGSNFSPNTGFDSSGRIGTYSL GLDGMTKEDVPRLKHTIHQILHQHASEAFAAHKIEGYMHQLEIALKHKTASFGMGLMD KVLPSWFNGVDPMESLKWNEVVNAFKEKLKQPDYLIGLAHKYLGNDNCLVFTMEPSES FNRDTELREAARRESILSRLEHEAQSSDHALQQLQKQELDLLNEQENMKDANLDRLPS LHVQDISREMVRKPVYTQDLAGSQSIWRTTETNGITYIQAKHELNELPDEFRLLLPLF TESLIRLGTKDRSVGDIEADILRKTGGITISPYIRPDPWNMEKYAEGLTFSSYALDKN VPAMLDLLRTLVCDIDFSSGQAVAAIQELLESKVSGALDSVAEAGHHFAITNASAALS PRGLMQEQLSGLTQIEACAQLLREARARPESLLVVIGKLKTIQRLAISNSSNLSFRVV CESEVATENARLLNAFKSSLPDQQGVGQSPEAVPGSSYSRRTFFDLPFQVSYTGTCLQ TVPYASPSKAALTVLGQLLTHNYMHPSIREKGGAYGASASASPVGGLFSMSSYRDPNP RNTLQIIQDAGKFALERDWTARELEEGKLGVFQQIDAPTSVRNDGSKEFMYSITEDMD QKMRERLLDVTRDDIQKAAQQYLVDISADQQAVCILGEKKDWVGNDWDVKHLRMTEG EPUS_09231 MSAATVAPSSLTNGHAGTQDPMLPPAPAPVSQKKGKGKKEKIGA DETSKLLAAKISQLEQDAAGEKDQEAEIEREVKKATRDLNQLLNNIESPLTRLDTVQK KYTELLADMKKLDRDYSKSKKRADQLQKDQDKSKSEYNKTATMKDKLEKLCRELTKEN KKVKDENKRLDDTEKKARGLVNERLGTLLFDVQDMMNAKGGSQSENLNTELDELFKIR CKVLADQMDLRELHFKSMLRHKDAEIANLAAKHEVERKRADAEATRCRTLTAQVSTFS HTESELRSQLNIYVEKFKQVEDTLNNSNELFLTFRKEMEEMSKKTKRLEKENLTLTRK HDQTNRNILEMAEERTRDKDELEKLHKKETQMRSIIQSMREQGRGISHDVPPDVDDEA TESDLEDGYEDDEEEDEEGSFEGDEELAAEFSKPVFGPVPPPTLSEARPNGSKINVNG IKH EPUS_09232 MPPPPPLHTPLCELLGIKYPILLAGMARTSGGPLAAAVSNAGGM GCIGGLGYTPAQLQEIIDELKSNLSDSSLPFGVDLALPQVGGSARKTNHDYTHGQLNE LIEVTIKSGAKLFISAVGVPPARTIKRLHEAGILIMNMVGAPRHAEKALDAGVDIVCA QGGEGGGHTGDIANSILIPTVVDVARKYNSPLTGRPAMVVAAGGINDGRSLASSLMQG AVGVWVGTRFVAAEESGAPRLHKESVVDADFSDTIRTLVVSGRPLRVRMNDYIKGWEE QPEKIKELTDRGVVPMMKDMEDGKDVDIPFLMGQVAAIIKDIKPARVIVEDMVREAVA MIKLGQTYIAPGARSML EPUS_09233 MAEVEDLLTKWNEDNKYQMLERLPSTYNPLYSFVLPKGENKHYM QQFSDIYFLRLAKLKPAVEAAAADAWEDFEIAGEHAQRVERVLDVRQGQLCWVAGTIY MDLPLKPNILDELSKDHWVAGPPPRRSYYSTAEDIQVMLEDESGRLRLTGAMLRTNSL VTGVIVAVLGTENADGEFEVLDLHVADLPRQPQRWERDEGQSALPGKMEVDHESQPKK IVLVSGLGISGTEADTVSLSLLSEYLLGESLGLGDQLPATTLCRLIIAGNSISSDVII APPPDAMDTGTRKATQKKYGYDSTAYNPTPTAHLDQFLSELLPSIPITIMPGEHDPAN TSMPQQPIHQAMFPHSRAYASQHLNHEEDSEPGWLDSVTNPWDGDVEGWRLMGNSGQP VDDILKYIDHGGPDGTGADGRLEVMESILRWRCGAPTAPDTLWCYPFQEKDQFVIEQC PHVFFVGNQPRFDTAVIEGPAGQQVRIVAIPKFHETGQIVLLDSETLEVELVKIDVYD ELDAEGT EPUS_09234 MLINEYTAISTSKVLLVPYTEHHVPQYHEWMQDRAIQEATASEP LTITQEYAMQESWRKDSDKLTFIICQPLPNQADQKLLSHLEPKTHDNADRMIGDVNLF LSLSSEVDTNREHHHANEYSVQPPSNLSGDEYQSATTTIEVTGELELMVAVHTCQRKG YGRAALLTFIRYVLMHQSEIIAEFLQANSPSKLPSTHNRDRIHKTARIPTTTRTGSSA ELSLTAKISTTNTASLSLFQSLGFIKTAEKPNYFGEWELRFPAAIRGDGPNSQTEWER KLERWGVTAWREIRYEC EPUS_09235 MAQGGILPIKFTELLQLTNADIAPASIGFNSCTLESDHYVCVRQ KINEDDKPQVIIINLKNNNEIIKRPINADSAIMHWTKQVIALKAQSRTIQIFDLGAKQ KLKSALMNEDVVFWKWYSETSLGLVTDTSVYHWNVFDPTQASPVKVFERNPQLAGCQI INYRVNDDEKWMVVVGISQKEGRVAGTMQLYSRDRGISQNIEGHAAAFGTLRVDGQPE EYKLFSFAVRTASGAKLHIVEIDANQANARFPKKAVEVYFPAEATNDFPVAMQISKKY SIIYMITKYGFIHLYDLESGTCIFMNRISSETIFITAPDSESAGVVGVNRKGQVLAVS VDENTIIHYLLQNPANTGLAVKLASRAGLPGADDLYRQQYNQLVVSGNWAEAAKVAAN SPRGFLRTQETINTFKNAGQGAGQMSVILQYFGMLLDKGGLNRYESVELVRPVLQQNR KHLLEKWMKEEKLECSEELGDIVRLHDINLALAIYMKANVPAKVVAALTETGQFEQIL PYARQVGYRPDFTQLLQNLTRSNPEKGAEFATQLANEEGGPLVDIDRVVDIFMAQNMV QQATAFLLDALKDNKPEQGHLQTKLLEMNLMHAPQVADAILGNDMFSYYDRARIAALC ENAQLYQRALENTDDPIVIKRNIVKTDKLSPEWLINYFGRMSLEQSLDCMDEMLKVNI RQNLQSVVQIATKYSDLLGPNRLIDLFEKHRTAEGLYYYLGSIVNLSEDPDVHFKYIE AATAMNQFTEVERLCRDSNYYNPEKVKNFLIEARLTEQLPLIIVCDRFNFVKDLVNYL YRNQHYKSIEVYVQRVNPSRTPAVVGALLALDCDENIIKQLLSSIDASAVPIDELVAE VENHNRLKILLPFLEATLAAGNQQQAVYNALAKIYIDSNHDPEKFLKENDLYDTLTVG KYCEKRDPNLAYIAYRKGQNDIELINITNENSMYRAQARYLLERADLEIWAFVLNDNN THRRALIDQVIATAVPESNEPQKVSVAVKAFLDADLPAELIELLEKIILEPSPFSDNG SLQNLLMLTAAKADKGRLMDYIQRLSEFNADEIATMCIDQGLFEEAFEIYKKVDNHVA ATNVLVDNVVSIDRAQDYAERVELPEVWSKVAKAQLDGLRVSDAIESYIKAQDPSNYN EVIETATHAGKDEDLIKYLKMARKTQREPAIDTALVFCYARLDQLPELEDFLRSTNVA NVEASGDKAYEEGLFEAAKIFYTSISNWAKLATTLVHLDDYQAAVECARRANSVKVWK QVNEACVAKKEFRLAQICGLNLIVHAEELQDLVRQYEKEGYFEELISLLEAGLGLERA HMGMFTELGLALSKYHPERTMEHLKLFWGRINIPKMIRGVEDAHLWPELVFLYCHYDE WDNAALAMMERAADAWEHHSFKDIIVKVANLEIYYRALNHYLQEQPLLLTDLLQALTP RIDVNRVVRMFEKSDNIPIIKPFLLNVQGQNKRAVNNAINDLLIEEEDHKTLKDSVEN YDNYDPVELAQRLEKHELVFFRQIAAQIYRKNKRWEKSIALSKQDKLFKDAIETSAIS GKREVVEDLLRYFVDIGSRECYVGMLYACYDLIPLHTVMEISWRHGLNDFTMPFMISY MSQQASTIEKLKKDNEERKAREASQQKEEDQTPILGGSRLMLTQGPVASAPSSASFGQ PNGITPQPTGFPRAY EPUS_09236 MAATKTAILSVYDKAGLLDLAKGLTKNNVRLLASGGTARLIREA GFNVEDVSAITHAPEMLSGRVKTLHPAVHAGILARDLASDEKDLADQNINKVDYVICN LYPFKQKIAQINVTIPEAVEEIDIGGVTLLRAAAKNHTRVTILSDPMDYPDFLQELDR GEITEASRNLYALKAFEHTADYDAAISDFFRKKYAGDGKQHLSLRYGENPHQKPASAF MRGEDLPFKVLCGSPGYINLLDSLNAWPLVKELRKALNFPAAASFKHVSPAGAAIGVP LSEVERKVYMVDDIDGLSESGLAQAYARARGADRMSSFGDLIALSDKVDVPTAKIISR EVSDGVIAPDYDSEALEILMKKKGGKYLILQMNETYKPPSQETRTVYGVQLSQARNDV EIYPKETFASVVRPKDSRSLPDPALRDLTVATITAKFIQSNSVALSLNGQVIGLGAGQ QSRIHCTRLAGDKADNWWMRFHERALNLKWKKGTKRPDKSNAIDMLCSGQVPRTSGIE KEAFENNFEEVPQPFTEQEREAWLSKLSEVALSSDAFFPFIDNVFRAARSGVKYIAAP SGSQNDQAVFDTADKLGITFVEQSTRLFHH EPUS_06359 MPRLEDAEIFTHLWWDPSDDREVEEYGLPMRKGHRWGVKFIAGR GSKKQEDGDAAAAAPTPPVVQWQVGDWRPSEEVMSLFESLGRQEWLDLEWDRYRSTAG HDLLGNSESTPI EPUS_06360 MPLRETDPDFESELFEKVKAALNAKFGVSEYDLPLPLLLVDEYA EKPLPCHEPAEETIAISAHISVRIRAFYEQIAAAYNGMEDPPASIGIKLEIQPQNFDP AEPACHHRRYHSRRLQLHDPETLPDLPFVSSLAIRSRSYGSDAENATDIRPLSPLVPL QCLVHLPAVQEWNAPWLWERPMPACMPSRVMREHYTWPWEGPLRDARHEFGAAIMDQE KHLCGKGIPASLTRAALHFWPFFSCPRHDQSVARPNLIHPADKDPVSVGLCKLGAQLS LFDVRAVVTSDLFPSPEASIDQQWSQMRRFRLEFHSLRPDGRWYFVGPGGEDPHDSEQ GGYKISDTEHYPRETDTEEDMDLDAEYGDNPDDEYDHDLDMFRTEPCRERIEPLLAAF AKSLTRDNMPRLEDAEIFTHLWWDPSDDREVEEYGLPMRKGHRWGVKFIAGRGSKKQE DGDAAAAAPTPPVVQWQVGDWRPSEEVMSLFESLGRQEWLDLEWDRYRSTAGHDLLGN SESTPI EPUS_06361 MVALWRCVLGLGALLSLSLSPSPVSAVKMLYDDSLPEDLDAACS AALMADIACDRLVPALRHDFYYPPATLTRMCTAGCASALQSWESSVRSACGNDIVIPA EDDLDASPIVIPASRRYIYSFTCLKENDVFCGPVAALTAFFTNPGVSVFNYINELPEG AVKPADCDPCLAARLRLRSGSPYFDGPVVASESIYQTLTSSCGITGKPATTSTIDYFT SQPEPTESVCTGTMYQIQGGDDCYSISKAQSVGTAWMLSDNHLGAYCYEFPTSGSLCI TNTCKTVTVAVNTTCKAIATAANITEPQLLAWNPVINPVCSNLDMMNGTTLCIEPPGP KLPPAQTTDVPPVTPTTAAPIPSNTAIGSDKPCGRWYEVEAGDYCNLVTLKFAISLDD FMFLNTGINSNCTNLFAKESYCIKAVGDINNYPGRPGDVSVTIDPNEFFTGVPFTMLP NATMTLDPRPTQLPLATGVRDDCSFYFKGDEYQYSPDVFGYWNSNCEIAASNYNVDFD SFVAWNSLTTNVMDPACVFQVGLRYCGSWGLPPIQTTTEEPEPTGTGTGPQPPAATHE GQPEDCDGWHVVSSSDSCQSVADNAGISLATFLEWNPAVSDDCTENFWLGQAYCTHRE GQGISITASQSASTTASTTTKPTAPAPTHTGQPADCNKWDVVVSGDSCGSMATDNGIT VDQFYAWNPAVSQDCVTNFWLGQAYCVGRSSVGGTPTTTSSQPTTAKPTAPAPTHTGQ PAECNKWDVVESGDGCASIASDNGITLDQFYSWNPAVSRDCVTNFWLGQAYCVGVSS EPUS_06362 MGPWSQLLWSCVLLSTFITPSLGAVITARPGSASVAVVPELPGA CRAVILPFDYSAKNRRDHELPVCSADGPGLVAARDNSGVVDADGREIVPASLETGVSL VGRTELSSLFGRQVVGGDDYTCGPDRPCKNKACCPKETGQCNYGEEACGTSGISPNEV CWSNCDAKAECGKNAAVPGQECLLNVCCGKWGFCGMTDDFCDKEDHGATGGCQSNCDQ PGPKDKASEQLNRVIGYYEAWRHDSKCQDMGLDDIPVNSLTHLYFSFAFIMPDFQIVG MDNLPDKLFTDFTNLKKKNPALKMVIAIGGWTHNDPGPLQKVFSNMVSTKANRSKFIG NLMSFLRMYAFDGVDFDWEYPGADDRGGVPEDGENFTQFLKELDEENKKQPVKYIVSY TAPTSFWYLRHFDLKSIDYTDFVNVMSYDLHGVWDRDNPIGSHIYGHTNLTEMSLAFD LFWRNDVPAKKLNMGLGFYGRAFQLADPSCNKPGCLFKGGATKGACSGESGILSYREI QQVIKVNKIKPVHDKEAGVKYITWNTDQWVSFDDKETFKQKKDLAAKLGLGGYLIWAI DQDDAEMSALAAVLDPKPLGDFKSIDKGDENWTGTNEMCYVTSCGVEDCKPGEIKITD QKCGKNKKSTLCCPLSGAPDPKSCTWRGGATRWCNGYCKDDEVMTHMSKYGGGHDCWD GQMAHCCESSLGEKNICKWRGVGEKCHSGELPLTFSGTVLDILDDVAEIILRVVGRAH PLAALTGLVLLEVLDEMDIDTQKLYCCPEKEIAKWKNCAWYGKPGNCFDGHCPDMKTV QITDSYFGGSDNCGIHLSRVRTFCCESDGEPLFLPVDLKNLFEHPPEGGSDTDFTLET DKTSDGGDDDPNDAAFPFVVLVSPEALQISLDKRDGSHWDVFDCNDSVSEGEHTVRMV CNDHTPDSNCHKIGLGHGVPGTILQMPKGCGPGKYAVAKSMAPAPGSDHTKLLPRHLS HLAGLEPVVYDLTFDYDFHRVPRDLGNTQMRIDYSNQDDYWNNIVAGSVSRKRDVHGR KRFAKRTLEDVGGNPVRWLEEEFRDDFHFDKIASRDLHERWFGKSILEWLAALVKPEI KREFTHKYDDSVTAKLFDESWDCPGSVEGVNYDGHLLGQAVLDIEVESSFGFTLIVES LTPPLNLDQSYLTFYNKGKVTGVVTLEALARVTYEKKKVILNLPFPGASFKIPGIATI GPQLTVEGSIDASLGMAGLIETKLEIAKWEVRQVMPDTNSYKPELIDNSKPSLDRTGD FSGIQKPEFYAGVTASGDVTFKLSAAAEFGVRFVDKWEIDPAAAAVVGEVSLTTKFAA GISTTGTCPFTYGLDVGARLFARATAPKMFGWAGGEVDLTDKWEKTIIKGGTCPDLGP IPSRRSRRGLDHLLIEGRAEDKDVTRNMSRLGAAGPGAYRALDVESMHSYGASLRTRH ISGGHMSSLVKRGGVYGPAFSLPAGEFFCPSRDGEEGITCEQAYDALETSNEGGAWRD ATKHKREKLTPTSTIDENAIAAHFHAHQQRSDGHGDHAGGEILHMFDKRAKPKLVKAC NRNCDISNDFPPGGQLNGDNWGWVNPDDCGNFDFGSPLTARAANVEYHTEHVLEAQMI DLFFKHLDKKKSKLPDPKPNAAQGATVSFCDYVDELWDVLPFVWPGQDTTGGVGKAWN PIMHIAAQYPTKTFKRSEFVALESAINTPSKTRPWASANPWDFDSWTKDLSNYAKAKV ILQKMRSTMGSRIYQSHPTIRTTMKTQTERIGKVLDALDSTLLPANQRAGYQQWSKQN LESEWLRYMKGQYTTMQSKTNGLVNNFLPKMNAAWVTQAQKDKWKDAAADTQAVLDEK KKHRDFIKSIEDFETKWNGLPAWTNPL EPUS_06363 MSKDLQKTLNGHQLGATITVCNYSNIPEKSRSSSCFPSDAPHVT IIRPIKGLEPSLYECLAATFHQDYPSQKLTIHFCIASRGDPAFPILERLLCDFPAFDA RILVEDGDPALLDQSAATACIGPNPKIRNMSRGYREAKGDIVWIIDCNVWVASGTCGR MVDKLCGFSRNGSTRSYKFVHQLPICVDVDSELSGRETNGFENEDFGMPHSRRDSKMC RSWLSIGGGRLEELFLSSSHAKFYTAINTVAVAPCIVGKSNMFRRSHLNYLTSPRHIS SKTPMSSSPGNGEGIDFFSHNICEDHLIGDLLWRSTIPSDPNLGNHALLFGDLAIQPI ANMSLAAYIARRVRWLRVRKFTVTLATLVEPGTESFLCSAYGAFAATSLDWFTHNVGI PQTWTAFATLWLISISLWALVDWSVYLLLHSGKTIQFSNNSNDVPFFARPLQTLSRRP FIEWLAAWLARETLALPIWTWAFWGGVTVVWRDRRFRVGMDMRVHEISDGDEDERRSK IE EPUS_06364 MSELFRFTLTRPSELVPDHIIVGPALEGKTLAEIYKELGDGSDC VDFNQVRSELKFGDKIGLLDELLPSQSPSGDVVKDSVSTVFEGQDLEEILGQTWRIDE DHLDTALIRLYLLGRDRHGAGPSITRYRQLYDLVRQELLSRQSTHPQPVFCLKHLLFP FSMPITPHSAGEQKFHQKSTGQSETRDLLEDYTEILNVLTSDRLHAYLNIEDDERHPL RLRQSWTRSLTPSAKGRLSKDFPREDISDFQALHQTAVRFASKEERVLRGSSPQRTVH TLGSIGLGTTGKRELPWRVGKAEQRDVLPWVPRPVPSSIRPSGVGDLLVVRTHILRYE GGEIVNIQNVIKNERFLREIKNLDRTGTAEMTPKTKETEEERESSSTERYSLNNEASN VIKEDSAFKAGLPVSRKYGPITEVKADTSVSESGSSDEAMKVATQFAKNITSRAASKV VQKSFRSQVQELQENFCHEFDNTGDDASNISGIYQWVNKVNQSQIYNYGTRLLFDIVV PEPAAFLVDALAASREIAAKPPEFTASALDINEANYSSLAADYWASGHDVEIPPGYVA YSATANLNLAFSFERDIENIPVSISIGEMTPGEMKFVIKGEETMWVQQTHAVFSLPFP KDTVGIAVSLWSSLGFSGAVRINCIRSADGFRQWQQETYDSLLKAYIKRVTTYERAVA EAAVASPGTLPGSKPDGAKRLIRNELKKACIAMLTSQNFTVFDGLQTDTAHGVVEINL RKAVAQGRYIQFFEQAFEWDQLQYVLYPYYWARKSTWKERLLQTSTDPDFADFVQAGA ARVQFGTTLNFGNDVLYFLQTGHIWQGGPVPTLANKDYLPFINELARAQEQTGIEVAK GEPWETSVPTGLVRLRDEDAQWTWEVNGPKWEKDPLSGYWKEVH EPUS_06365 MSACSTESSPEAQDSERNTAPATRDSPHASHEGSDTTSRQKSLT GDAEAHSEPPLPAEEPPPLPQEVPPAQLQDDGWDPVWDDAAQTFYFYNRFTKISQWEN PRLPAVAEPAPPGVGNYDRIALPPATIATDSPPPTTSKPAAGGYDPAIHGDYDPTADY AQPRPEPADPNSNHIHTADLAPPGTTALNPSDPYAATGTFNRFTGKWQSATLQPENFN DDNKSKRQMNAYFDVDAAANSHDGKSLKAERSGKKLTKKELKAFKDKRREKKEERRRA WLRD EPUS_06366 MSSPILSESHPPSIYDLSPPHGAITESDHGGYVVIAGWIMMCFF SLSVLTRLMTRFIPVRVYGSDDIIIAIAMVIGIAQTAAIHVSASNGLGRHIHTLSYDS YEIFAKAYYASDLLFLVTIYLAKVSLVVFIMRLTPSHNILYFCYGFITILTMWMLASV FSLAFQCSLPQPWDSMQLHLATCEVNIAGLYYSIGAVDILSDIVIIVTPTIIVWNVQI SRAQRFTVIGVFGSRLAVCTCSALLLASIPEFIKSSDRSWEAVTPQIWRQVVQCLSLI TACIPCLRPFLASLESGFIDSSMRGVIGKTYGGGSGHDTGDRKGPSSFAMTSFATRGR RATTANGTLGKNSEIETNTLIDLERNGNSKRSLLSPKSAATEVHEPSGAPKRNGTLRF GHQTCTCSQVPSTTTITSNSRRDSKNLDTFQGRRAGKVESTEVPPSRNSGGLDDYMQP VRMGDGRIRETREVLVSIEHSGSHLVDGFRCAHGPGACSNQAIEAQFMQEHSTSCSSY PKVEAAFPTPAQSTPRTSCFR EPUS_06367 MATWEPLAALLQGGLNNGGPVSLIYGFVLCFCGTLATAASLGEL ASMAPTSGGQYHWVSLLATGKWSVRVSWITGWVSVLGWIAATATPAFLGATLLQGLFV LNDSSYVYQQFHGTLLYFAVILLAILVNVFLIRFLPYLETLILILHIGLFFALLVPLV YLAPQHSAVFVFTDFESRVGWNSRGIAWCVGLLTTAFPFTGYDGACHMSEEIEHAEKV VPRALVTSVVLNGLLGFGFVIALLFSMGDLENVLASPTGYPLIAIFHEATQSTRATNA AICGIVASAIASVLGLMASASRTTWAFSRDRGLPFSKQLSHVNKRRAIPLNSILATTS SLLLLGLINLWNTNAFLAIAGVATVALYFTYLMPIALLLMRRFRGDEIKFGPWKLGRW GLAINLFSVLYTIFTSIFMFFPAVIPVTPGNFNWTSVVFIGTLAISGVSWLVFGMKSF TGPVRETVS EPUS_06368 METAGTSESQMPEHNTTTAIKELDDGVIFNNAPPRTLHTRDIVA AGFNICNSWGGVAATLFLGIIAGGPVTIIYGIIVSTVMVGCCVLSMAELAARYATAGG QYHWTWLLAPEKAKRGMVGVRFGLVISMVPLTERELQSYTVGIINIFAWMATSAAVCA ILPSIILGLVSYWNPAYMSQRWQAFLIYQASNIAVLIYNIGILRRAGWTHDIGMALSL LMMLTYFITCLARASPKLSSSFVWTVFVNEATGWSNGIVFLTGLVNPNFGFVGIDGAI HLAEDAKNAATAVPWALVATLVIGFITVFPFVVAMFYCISDAKSVLASPVPIFEIWRQ AVRSDSGATTMTALFVLTGYFSLNASQQTASRLTWSFARDRGLVFSGAIGAIHPALGV PVWALIANAFVVFIMGCVHLGSTSAFNAIVATAVILMHVTFAITAGLKMLRRRAQHFL PEKKSGWSWNFGKAGWLFDSVTVVWGFITLIFYCFPTSNPTTGSSANYAAAVLAVMTL FAVINWFSYAKKHYDGPRVNLERFGDTKGPQ EPUS_06369 MSEKSVTHTPVSKKRSHDEAEGLVPFHTRTQSPEETQLPTPTSP AASQLSPRPGRQLSPAISVESSALSDAKTMTLSAAQATPPPVTSSGAAKKQKLTFAEK ELERVKKQSEKEEKEKKKADELQRKEQEKLLRDQEKRRKEEEKEVMRKKREVEKAEKQ KARDEEKQAKEEAKRKKEEEKKKKERSQLRLGCFFQKPVAGEVTEESSHASSRRSSVI SLGGLDDHDNEVPAKGSPQKRVDAKHGFLPFFVPQNVKLAPANRFARDGNLSHMAISR LDTWMVQGNSIHAEDLTSKFKSRKRKRCQMPPCTMKEIVESIQGTSTSPIDLTGEPAF PQLSRVAYKILSFREDVRPPYEGTYTRAVSPTSAVKLSRRPFSRQLPNTNYDYDSEAE WEPPNEDDEDLGSGDDESDIGEDGEEDMDGFLDDEDDLGRRRQVMGEMIPVNSGLCWT NTTNEGGPLEEYRIQMLSDHHLFPIDPYSTAYWPRAHQVSRQPAMQPPRLPLSSLNPN SSPAITSPWKAEEEEKSDERCQNSTGLTQATETKAGKPLKLAPDEVLPDFKQAVRGSD LTKVGLIEILKKQFPKLSKDTIKDTLGHVASREGAHTDKKWVLKD EPUS_06370 MRSLEIIWDFRDHRSHAFPELEAGACILDDVGRCHYIFQRASSP IRIVEAVKQFSRFELPQKKEPGDVLSLFVAPFPPRKTIFPDLAIENIPSGCKRSKIKI CVARNGFSFGTEVKLGPSRLGAESGDEYDGTLNVGCVGHGRCRANIYGARRIGHNDRK VVTPFNSKLLSVQQRLSKSSQSVRTGGLPRAHIALLNMHDQLEEK EPUS_06371 MPTTSEDPPPVLHQPGDLNKRPKGILKNPSFSCSNETPASPIRD VSVDLSTIPTVTEATEDQKELTLQNTLQNAGHRRSSSAARRASATRRHSHPNSLPGTS EEGESMRLKWDEANLYLAEQQKTSTMKITEPKTPYEYARDLPDEDEEEDVAIDPRYVD VDELDKQKKSKEGGRESDIPGLELGDPEELGNTQAEDENSRIVRGGSQSREGSTGSRE KHVEVAAEEETAVGMPTREELEKHKKFEEHRKKHYEMRDIKGLLGHPVDVDAMDEDED EKPRQMPDLPSRGINGVR EPUS_06372 MRPSSVASQIEISPQHPQDPTPTTPTLLNADELYPLPHAYGSED TKSPEITFDAFATELHLHRLQESRIDILKQQQRTLQRALALSARLSRTLDQVQAGLVE TLKNGDRTGFANAYHTTVDLKDACSNFWNRGIRSIDPHGEDRTLSSTQEPDSLSFMDK LPAGSRADVLDFVHLLRTNSSFLVDRIKRLNPSQVSALASSPKMHVPRDSIFSSLARG TSQASQQKRNAAFSNSLKDTAWSLERTTPVSALLFNVYSAFANPGSNDYVIRLDTWSS TCADLYSHSDQAYHYLLNEVLNGFASLHEWRAKPRIELFLMNLLQTGAFLLEPVEDNV SSNDFRFPVSDPLRTEEAEEFFDTAVRELFAILADGDGGLPFGALHFGSAILGKLTRP EHQSSFRGFLFYSWYFCEFLFSALTMPETKGMLLHYHVSKSARDNILKEIAIRAQSRV AEFLDPMLNPTPLLPTMRSQLEQMVSCLIGITIECPNHIPKNCGVSNPCSEPVVLYPG CLTLSISDVLLLIDMLVPQPPPNPTTWDPFLHSSATAFAAQYRQGLPPLSKIFPSEQP NAPGLIQNSLTINHSSATALVRKFDQVRRDLHAVMERSAVYPLPHPSQDLWTVLELDK NGYPAVCRLPGQSHDCGSASKVFKSSSEIERYPQLASRKLDDVQRASLRLIDEHDTPL STPRFNSGTDKASQIKESLERLFAVELEFAEANADSRNVRYWWTALRTLRTQYPLSVL TENDTRVLRPILTMSRQNHYKVQQETQIVETSLRHLEDASEKMSAITSDVLGRLDRLR DKMWYLADVTNSSIYEVTRNVAQALRNMAAPGSTLNQSSAASIRGRKKPRSLAESILQ EPEAQTISIMKAPLEQGGPKKLADEQVDMTRKWFQRSGVDNFCRGEERIHRFCMEIRM AASRLVGESMLESPVLWSSELYFRQRTFVDGLVTRSMPASSVTRPSSILSEEGHTSYT QTHHSLRGIETASRPQPFDTQSSPGRKSSFHSLGSDRWRTIRDLHGGAGDVLSIADSP SRAVSATTADSINSFWSPLPTQPQSAASVSSLPSRPPSFVHDSSSPRLVDQISPRKVK FFEELRQRVISLLLSDLGSPVWSCGSETDTWLADMLRQDPVRWQLRKRKGIERLLGSE NGTNSRYTQGVGLTKSTLKSRRSMSAGPFLAGIQHSHQELPVSNDDVGAEPHNPQPVL APLQRFSYPNAYEDLMKRFSRQADPMLKLDILHDLKSLVVSSIRERQGNIHPPGSASS TETHATWKFAESAPSSRRSSLAEAILSGPASASRHRLDDAKCVEAQVSQPVDQSIGER EVVNEIKLVLMTMRPKTLFRDLQFITAFIPPEILNKTPGGRAFLHVGLAALAFKDDVC RSLVAVADKIMVNDNVKRKSPPPGSTEYSPRDAAQMWILAAKEGNATAQRELAILYLS NPELLPSVSLPLTAPRDIFKIDMKYWQADGSSRRSSQSMCLALHWMQLAASNGDAIAK KRLKEREAEDSVR EPUS_06373 MVDYQRGGIPASLAPAHRKPLPAAPQIPINQYQTDQQIQHASPQ TYPLRRSPNHSPQYSNTQQVVSSSHSHTRTISSIFPNTASTPSPPHSSNPNRRFSSQT SSTNGFSSTQPNPTMTPTQYQHHIHRSGTNNSTNSNAPRRSTSSRSSTLLAPTSYVAL MRKQKATVWCDRSQTLDARTAAAQKAAKHRAALEVHGATSGRTSTIASGKVRSAKAGA YVPANLSGVSVPVRLSANEMLGDEEETRSIGGDAASATARIHNRSGSGRSSVHSGKYP SGYPRPIQASGAAGRFSTSSTPPSGNEGAGSPEANIPEVSEGPYTNHHHRQQPEKDYF TTSISGGSGTKSVGTPSSGDTGASGEEDRFGEAGELRGPSSQSAVAIAAEQAKKAEEL RRRGSVDERTMTMGGKGRLFVANPD EPUS_06374 MELSWNGLLKQHPPPVIEFWGTLLIQLVFFWLPSLFYLALDHVV PNLSQRHKLQPRTKQPTPAELKDCLKVVFRNQLFSALIHISLLSLGGLADGKPTYRFD ASLPPLDQVILDAFACVFLREVLFYYAHRILHLPSVYPKIHKVHHRFTAPVALAAQYA HPIEHFFANSLPVSIPPMILHCHVVSFWVFLAVELLETTTAHSGYDFLSGIAKMHDEH HEKFLIKFGTIGLLDWIHGTDGRARQEKVA EPUS_06375 MGIPTEVVGSLPRPTYLQQAYADYDAGKIQQDDLFKAQDKAVED SLSQMSQTGETLITDGGQRASSFATCPITNTLSGTGLAEGLAADGQYFVRPRFQKLVL HLTTRRQSSTTPPSSSTAKARQRIIQVCNQYRCKPAPLTYKFRYKTYAYDNFKKSHPY AKGVPMKQAVIAPSMLYLLCPLNGTCEKDIRGCFEAGAKRVSIDFTEATQAFVTGVGS NTPRVPATALDPILDAIAKTRRDGGQVYKCHKVWLALVMGISFALEAATIATFPLRWN TRLPDFLGYASSWTMENWHCEREELAESSALDALERARRMGDNRFRTANGREGMEVRH LALVPVGGMRDRRGREKRKRNSEGPTGLKRETI EPUS_06376 MAGHDINYLAVSGILSLLGGPAVQPPQPPGNILADFAGGGLVAF TGILLALLRRGVSGTGQVVEANMVDGVSFLGTFARLAMKTRMWDDERGRNLLDGGAPF YRCYETKDEGRFVAVGALEPQFFEQLLQGMGLTEREVLPLDGLERGRNDKRNWPFMKD VLEKRFKQKTRREWEEIFDGKDACVTPVLEMKELEEQGYEQRAMVGLSESPGREPKHQ WVPEPLRPGAGGEEMLGQWVGWKRGRDYAVDGGALVKLERSRL EPUS_06377 MHGPKSVLCTQVLPLECAQCLSPPPLLHPSSSSTSLATQIHESG QSGQLPRPPSLHKTDAGISLPTNFSGASTTVDSAPESPTIERHELFMPSDKLTDQAKR FKFSGAQGDTCASCSLVVPEDITRKLPDGAPGSLKADGKSKNGAPVLRSREVVCLGSG DHSAPNEEPRLSSSHNSSEGSDGASSLRSCGSLFSTCHDHLLTYLTSRDLDDPDRFSA LRASVIRTLSRELLPAGMSDGPFCFGDSTNGYTIAYVFRLPDPKARGRRRLYAFVALA GNDASRAFRACPLVWEAFSKMAQSIEAAAVESQEAQRKKDEEEQEAGRARNYTPVSSF LVQRAVDPDGNPRKAGQIAARSLADIVGNDKIFSEIHSYFVSLLHHLGALYGGLPLSE TNAVCQTTVQEDGLDLRARPQSVHTAGFKTKDVEKLRDQDATPRPNSGDATTTKISPS VTQCAPIPIDQPAQRRVVV EPUS_06378 MEQEPALSTSMGTDGGRSAFATVRGKIYAPESPSASSLGSSEDV LGYNGNSFLAVSDNSGTLPIAPKSVVELGSDSVSVRLEKGENVVVVGLYSLWVKHGEV SLLGASLQASATLHHVSVPVMHSIPSITAISALAEVVISTDDNGIRYLGDVARHFSAI WEPTFLGTMMSFHVLGYHSKNIKDVSKELRGLTLEHWLPPIRQILRGGRNTIPRVLVT GAKGTGKSTLCRVFINTLLTMSIPGSTVPTKAFPDGVLFLDIDPGQPELSAPGIIYLA HVHVPLLGPSFTNLVIPDSTENIMLRMHYLGAYTPRESPSHYQDCVSDLLRLYRGYPN FPLIINTCGWNTGAGKHVLLSTVREIVLTDIIYIGDTRNATLQELMESDAGGEHKALT PLLAEANRTPLKSGKDFREMQLQAYLHALGVVNGRVLFDQIPLTTIRDGLPANAGVSY KLSMIVMLDQSVKPEYLVTAVDGSVAAIVVIKHGSPLYGLAAGAHTPNGQVPYLVYGN KVANPLDPETTECIGLGYVTAPISEHRQLRIKSPVSAAHITSQAEKGYKIALVLAQQQ GLWANLESIQACEKRPYRLQSRRRETTIGGEGECAESLPASTEALAEPGREGFFDELE KAGKHKV EPUS_06379 MPRKKDSGKPLVLSEEYVIDSDSDGLAQPESVNSKNSSNEIGSK PSQKKQKSQGTPSSKSTVPAQRSGSESPANDGKNGVETSSSSSKAGSIETESDREGAA PAKQKLQKKRAASLPNQTPVAIPAKLFRPPNGFEELPPNALNSTTEGFEAVSGVLTGK QIWHITAPTSIPLNSIEDFDVDVVRSGRPILTYDSRQYGLAFGDKDSQHLLLPGKVGG SSYKRSRVHVSKSYHLREIPNQSQSTSSKAVQSTEDSVFFAKEQPLARPPREQPKMLR MRYKPFGTDDSPSTSTSIRENFGVKEGSSNPTLQPSSSLLESTSKRKKKHKRLHPREE DGIIDDRMQVDESPAESSVVQETPSKAEVLARKRLRDTNDVSHHRATPKEEKRKKKRA HEEPSP EPUS_06380 MGSMAMPAYALPKSHQDLMEKSLVETDSEVAEIMKREIQRQRES IVLIASENFTSRAVFDALGSPMSNKYSEGYPGARYYGGNQHIDTIELTCQARALKAFS LDSEQWGVNVQISAVSTYFETFPYRVNLETGIIDYDQLEQNALMYRPKCLVAGTSAYC RLIDYARMRKIADLVGAYLIVDMAHISGLIAAGVIPSPFEHADVVTTTTHKSLRGPRG AMIFFRKGVRSTDAKTGKQLMYDLEGPINFSVFPGHQGGPHNHTITALAVALKQATTP EFKQYQQQVIDNAKALEHELKRLGHKLVADGTDSHMVLLDLRNKSLDGARVEAVLEQV NIACNKNSIPGDKSALTPCGIRIGAPAMTTRGFGVKDFERVAYYIDQCISLCKQVQGS LPKEANKLKDFKAKVADDSVPEILSLRKEIAEWAGSFPLPV EPUS_06381 MPTTPKPALTPSTPSTSTVPFPPPQTFDILPQIYDLVTRLPHAS LVTQTTTSTSTPHPSTTDPLDPKDLPQAAVPIKLKIQKAKAAVSTLPDVERSIEEQED EIQELQKRIGRLRSVLGELGRRASEGKREGDVKGEAGLMEGME EPUS_06382 MVKVDQKAVLVVIDGWGIPSETSPKNGDAIAAAETPIMDSFAAE GSKTAQGYTELEASSLAVGLPEGLMGNSEVGHLNLGAGRVVWQDVVRIDQTLKKGELN KIKTIQESFNRAKNGSGRLHLLGLISDGGVHSHINHLFGLLQVAKEVGVPKVIIHFFG DGRDTDPKSGAGYMQQLLDKCKEMGIGEIGTVVGRYYIMDRDKRWDRVEIGMKGVVLG EGEESSDPVKTIKERYAKNENDEFLKPIIVGGKENRVQDDDTLFFFNYRSDRVREVTQ LLGDIDRSPKPGFPYPKNIHITTMTQYKTDYPFPIAFPPQRMDDVLAEWLGKQDIKQC HVAETEKYAHVTFFFNGGVEKQFKGEDRELIPSPKVATYDLDPKMSAAGVADRLCERI GDGKYGFLMNNFAPPDMVGHTGVYEAAIKGCEATDKAIGQVYEKCKKEGYVLFVTADH GNAEEMLNEQGTPKTSHTTNKVPFVMANAPEGWSLKKEDGVLGDVAPTVLAVMGVEQP EDMTGTSLLIK EPUS_06383 MIRFPLPYQVGEAFRPGNADEKLRCEAGAYVWLQENCPALRIPF LYGFGLSTGQRFTALENLPLASRCLGYLLRRLPKWLGYSWLSRYVRHQGPDEVLGGLR TGYLLLDNLIFRIDDDGSLTLQNRPLSLEIQDLENEEIPVDMPRDFTYSTVDSYVADI LAFHDGRLRHQPNAIMDDEDGLYQMAALAIMKSLSSQFFRLDLRRGPLVFCLADLHQS NIFVDDNWNIKCLVDLEWACSRPIEMVHPPYWLTSQSVDDINLDQYTELHKEFMDAFQ EEERTLDLKDSPP EPUS_06384 MAENQQKLQSLSNEYQQLQDDLQTTIAARQKLESQQEENRAVQK EFKTLSNDSNIYKLVGPVLLKQDRDDAKRTVDGRLEFIGKEIKRVEATIKELQEKGEK MRGELAALQQKVQMEQQSGAGKGA EPUS_06385 MSNTDFLGRAIESVKKAIELDNAGTYEQAYQQYYTALELFMLAL KWEKNAKSKEMIRAKTGEYMERAEKLKQHIQANDPNAKRKPAAMGANGKASNGASKAD GNGEEEDSDQKKLRGQLTGAILTDKPNIKWEDVAGLDGAKEALKEAVILPIKFPHLFQ GKRQPWKGILLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESERLVKALF NMARENKPAIIFIDEVDALCGPRGEGESEASRRIKTELLVQMDGVGKDTKGVLILGAT NIPWQLDAAIRRRFQRRVHISLPDTPARMRMFEIAVGDTNCELTQKDYKALAELSEGY SGSDISIAVQDALMQPVRKIQMATHYKKVMVGDEEKLTPCSPGDKGAMEMTWVDVDPD KLLEPPLVLKDFVKAVKGARPTVSSQDLKRNAEWTAEFGSEGN EPUS_06386 MGECPAHSSLKEANIGGGGTRNRDWWPNGLKLNILRQHTAVTNP MNKDFDYAAAFQSLDYNALKKDLHALMTDSQEWWPADFGHYGGLFIRMAWHSAGTYRV FDGRGGGGQGQQRFAPLNSWPDNVSLDKARRLVWPIKQKYGDKISWADLLLLTGNVAL ESMGFKTFGFAGGRADTWEADESVYWGGETTWLGNDVRYSGGKEGVAGHGVVDSDEHK KSHSDIHSRDLEKPLAAAHMGLIYVNPEGPDGKPDPVASARDIRTTFGRMAMNDEETV ALIAGGHAFGKTHGAASSDHVGNEPEAAAIEQQGFGWSNSYGSGKGPDTITSGLEVIW SKTPTKWSNSYLEYIFKYEWELTKSPGGANQWVAKNAEAFIPDAYDANKRHPPRMLTT DLALRFDPEYEKISRRFLENPDQFADAFARAWFKLLHRDMGPRARWLGPELPAEELIW EDPIPAVNHPLIDDEDIAALKKEILASGVEATKLVSTAWASASTFRGSDKRGGANGAR IRLVPQKNWEVNNPSQLSEVLSALEGVQKNFNESQTGGKKVSLADLIVLAGCAAVEKA AGIPVPFTPGRMDASQDQTDEQSFEHLEPVADGFRNYGKSTSRVRTEQFLVDRAHLLT LSAPEMTVLVGGLRALNANYDGSAHGVLTKRPGQLTTDFFVNLLDTGTAWKASGTDDE VYEGVDHKTGEKKWTATRVDLVFGSHAELRAIAEVYGSADGQKRFVKDFVAAWDKVMN LDRFDLSSSAKPGKARL EPUS_06387 MVSYNDQLYLFGGTNGMTWYNDVWTYEPMFNTWTQPGCVGYIPC PREGHSAALVNDTMYIFGGRTEEGTTLGDLAAFRITSQRWYKFQLTGPAPSPRSGHRM TVFRQKIFLLAGKPSSGPGDVRELSLAYVLDTTKLRYPNDSAGGEASIGVPTDSGYTS MGRPEKTDAQEEVGDDTKTISTDNSELTLLPDVKDNLVSAFASELCQSLRSALNDQQD AVRTVAQNLPELLKDYAIQLRYGAVPGLQKDAAVFVRHYRQYVTSTARLFSHANLAFP SISLYYLTALRHIARCFHESVAPTWREPDDVSRRSRTSDELTMKEKVNFWNTEGSGTP DLPVEMPKDSILFESEVEEKENPNIPFLPEARMFLIQSKAYEWLLGRIQTGLILTTRK GTAIDVIRKTIFAGLHSHRNYGKRSPSAHKVVFEVFWSPLMFLKEQQYQDGANQAIGE VITVTGSAIDAQAMTCAQYIHQTWPSTGDEVLRALQAAVVETLLHPHKYQLSDDTGLE ISLHGSTVLVEATGVERILAEIGEQLAWLGAACRASPKDGMAYSTAQITLLESSNLLF RLDFLIDDLPLGEHDRNRMEAAGTRYSGILSLPMDILFLLETTERKFDGSLVIKGFST MFVPTERTGNSVLWHFLYEENQGRISYLSAKRNRSNRTLIDTVDFLSSNSTRNFLGWA SSVVMRTGSPDVRYEDIEWTGPNFSSPGCALEKVSISAGRFITGGATFAKGNKDIPIC LSRAGPYLQEVHFARNINVVLYDVRDRRGWLVDGASALLHIVRTQLSSSPYCSSPLFK LEDFRHADSKNGADAALIALTDVRNMEIVIFEDVEIWSELTAKGSAKEEETKRKTKKW CFQDLVRQTWDIVEQIHDHQTRMLASLGIGLRFTDRDKLEGFGFMDIVSGQNPLRPRV SILKPSGRGWVDFTRSIGAINLLGRGFGELIKPAQDCDHLCRAWKSVPKGKDYLVVCT RNLREICMKNGDTDSNPLELAQGIYWYKADKLFEPSPSKRAVRTYTRANT EPUS_06388 MEPLTALGLAANLVQLVDFASKLVTRARETYKSVDGALVENSEL QAVTENLQTLTSRLHVSSKGPVNRFSSAEKQLHDLCSGCRDATAQLLSALGRLKTKGN HNRWGSFRQALESVWKESEIQSLAIRLERFRRQIDTTLLVLLREQVDQAVLSSDLRTK RVSEQLLGFIDATKTWQADLVETLHQNNWHSNSQEDTALFSSKLSSAVQQQSEDLSRL RIRELLRFPSISDRYESIDEAHKRTFEWVFDEVPETSGRQSQVSMVRHQVWDNFVQWL HDTESLYWLFGKPGSGKSTLMKYLYDDPRTSQHLKDWASDLHLVKAAFFSWNSGTQMQ MSQMGFLQTLLYKATKNRPALVSRIFPRRWRSYLLFGGDLHPWTWSELASAMKILTSG SDIRFAFFVDGLDEFDGDGSQLVNLLIDITTSPNVKICAASRPWLVFEEAFSSHPRLR LEDLTAPDVQLYVSEKLQGNAMFLQLQKQGPQLAQDLILEVTGKACGVFLWVRLVVQS LLEGLRDGDTISDLQARLLALPSDLQELFSKILDRLNPHYFAQASRIFQIVRESSEPL TILDLSFAEDGFELALQAEVAPLKPDELTYRVETMRRRLISRCKGLLEVPSVEVEGPA ATVQYLHRTVKDFLTSSEIWRSILSGSASSFDPTSALYASCIMRIKTMDPHVDSLFGF LEVIAACIKHVQSIQRKNNSEQVTQVAILGLDELDWAAGQLLSGKCEVSKTNWLLKAA YANQYPIRQNSISDLDFYWGNTKYKLEDLLREGGRANDDSRSFLDYSYKHGLDFYVRH MLEKGSTNLDSFQGASLLILAAYFGNSDGPDCKMVRLLLRHGVDPNELGPGGLESPWQ KLLHQPSFIESIDIGKWLEMVSLYLEHGADPCVQTSKGSARYIVKKTCASSSPELSVK MDEVIRKLKSGQKRKTDSHVQLYGLLKLFRRNKHKK EPUS_06389 MGITRGTLEGAARPHFNPNTLSHRELLKPGPKVQKLRIRFSPYQ ELARVSLKRKRTANSSPAYPSLYSTQEGDQLSAQTEETQPTKKLKVIQHHFSKGEPVE EQFNTFQENFVNEETIAVDDGMPNGKDKGGLRANSTHQTYQARSGRRKPARRLYREKE CTYPYESNGGEQLSGRVLRPRTKPKYYPDDEASDCTSDEHTAATVNTVDNQASNESEG VYSHQLYTGLACEYSGCHAKADSLNVASVSVPGDSENKNVGVDTVCRNWSLGCDYPTG FEITNSDQQASMNHQVTVDQARAAFEWQQTPLMNIREMITGICQDIDNLAEGPEIAGC EVVNDTGPAIHRGGQGEAFAEICAAPTSTSPRVSHIANGQVWESQATRKERARQELEA ARPSACPTTTAATTGTSSNAIDPSLSAYNNDNNNNSFHLDGAASSTPNFTPTANTFPV PIGPNATTATNPTPNRFQNQDTHCLICLLPVTPSDILVSDLRCVACPRTFHQSCLTYI PPRINQNWRCSLCYREAWHGYGHLARELETRDPGLVERYRRRLLLANTYGGAVSMNAG LGQGGGRDEENVRFWFDVDMDGGAESDQAVLVRMGLVDMRMLRVLSDGDTSARVRSLE ETERWGIALGMALQEEEGKVQELRGELERLRNVVEQKDREIEELEGGL EPUS_06390 MPPTEEDLAWFRSTFHPVPKPRLPEDCVEYYLFVFNSNIDTGND SEIRLRLREVQKFAAGLQEEWLKNYIWQRQGFGLEMVSEDGVTLLRGRTEYGDSVEDE WVIVWLLRRLTRKFEDLWVKVNDSDGEFLLIEAAGTLPEWLEPEVAENRVWIHRGNLA IIKPQKIKSMRQNDENISLQEARRIVLEDPKRLLKSTSIEEEAFYRLRNYPQQIAENM HNALVTIPRKIAFLLHQKPAYISSAIEAFYLRDPIALKPLQAQGTSSLTFQPEDLVTV SVRFPRVGFAQLRSQDFQVPATWRTSLPPKSDAKGYSQAELGMKLSCGFEMLLSDPQN QDRSAVREMKMLLEDLGTGDEKLPTSPDIAQWPRQEDDEKWLDISFEDLEGELGGKDK AQGKKRGDFGDKAAQENLQRIVAQFEQFLNDDTAGPDGAGLFDEDSDNTDEVDSDNEM ENDGEDKEASFDEERFAKMMREMMGMPTDMEVGGSGSTPERSIPAGGSGRIQELDSDD EDDNGDIQLVMQRIEAELNESGALNLDPTPRKIGATKRAMRSKDSTKSKQLELSDESD DADEENDVDVNLARNLLESLKSQGGTSGPGGNLIGLMGLKTPREEQDEQE EPUS_06391 MPHPDYIDTPQTAADKSSLTNGLDGLDDLSPEKSFASPSKGRDL IQGIRNGRGLSLKTPRAGARDPLRLLPNGAGKKSEFTPLMMSVTKKNHMRRASGRKTG AQTPSFMRDNSINNGPTPGLPRMGDDSRIYEERTSSSAGEASNETPLPQAVTSSSAQS TPLAQLPGRNGGGGVVGDGNMMTLREQENIIDKIEKENFGLKMKIHFLEQAMSQRGTE FNKAALRENTDLKVNRITMQRELHKFKKNIAQAERDAEVYRLQLEEYRERMKRKQADE SLRVETAQLQSELATKETEITKLQNELRSAQQGNEEAKKLRCEMGDLEAELREKDRMI EEREDEIESLKDNAGKESNAAAETEEELETAKRQIEELQEDLDRAAEEAKHAREERED AVQEKKQAEDNLEELRDEMMNKSFTTKGLSRQLEEKTARLEDQLDELQEKHSILQQEL NEKTRNERVLQERLRGAEKEGAGKDKLRDQLHLAHQEKDNLKRDLGTMSTKLQNSINN FQSKSEEKDLLQTRHDALTLESANLQRDLSRSQRSVEELEQALDEERQHAAQNDHHLR SQHKTEIDLLTEQVDSLHREINAKESQLASDHEDWEAQRRVLEAASQRAEEKANGLQR TVNKLQEVEGTLSGREMKLQEALESEKQRHRQEEQVLHRQIQELNDDIAAKRMASDEQ RTELNNAKEELRISIREQEALKEKVEQLEEEVEVLQANLEEEAEYAEEQRTKSLESAD SQLQKLKKEKQGLQDQLANINIELHELKKTAKDVRAERDDLEAKLIKADKPADDTFNI DQEKRELRRSKQKLENDLERLRLERDNLQDANVALEQEINAEIDRANAEENRLSLELD QLRNKQLSTSENRDRELTSAKNKVQRLEKRVAELEELLDSQTKNMPPSGIEDASLLQH DLAEARKKESESLQRESVLKSTTRDLRSQVANLERELHDLQIAKLTTSTKSPSPYSSP SLQKDLAKARKDLLDAQTTLSDLRTKNRELERQASKISVHESERADLHALLKSSTLEA EALSLKLSDRDTRISDLKAQLRRVRNERERAKARAEAAGRELDAPQERHESVLDKVQQ AQHGQGGGSATTREKELRGLMKEVVWLRARCRREEGFRRDLAWSKGFMEMGEGIREAC NQADLRMIAQMGVKPKREDYESRLAVRQRLRAAVFVVVAANRMKRLEREWRGVRKLGE GLKRMRGEVEGKKAKRTSK EPUS_06392 MQNLFITAPGIGFLTALQFLWTLLVMALVGNIIADATSGNPSII NYDMFVAVFAMLSIIFLLASAFTGVMSGTPIPLALDILNTLFFFCGAVAMSAQLGVHS CSNEGYVNSNGITNGSDNRPKRCRESQATTAFMWFAFITFAASCFFSAMNARAGGVNL RGHGIRKGGPSMSQV EPUS_06393 MASASSGSTDFRASPVPSTASSTVSLNSASTPPTTPASDKPADE GSKLRMFLSILRKFIGVSDIAAVRFSLPAQLLEPTPNLEYWHYLDRPETFASIGKSDD EVGRMLEVLRFWFTKDLKYVKGKPCKPYNSALGEFFRCHWDIIDDAPPLATSPTTSQS APNKPEDSVSQTPARSSPVRVSYLTEQTSHHPPVSAFFVDCPARGISARGYDQLSAKF TGTAIRVTPGAHNLGIFITLAQRGNEEYQLTHPAAQLGGLLRGALAVSVSDVCFVTCP KTRIKVILHYLEEGWLGKSQNRVQGVMFRYDPDNDKYTRIKDVPQKDILAHIDGCWQE RVYYSIPSTPAVKNAKDTDASNIKHLLVDLTPLLPVPKTVPPEEDQLANESRRFWKDV TDAILNKQYGEATRLKQALEQQQRDKAEERKARNEEWKPRFLLFCSVVSYKSLFVVGG VMASNKKEPMQYRYTTVTGYFLQDENSTNPDTFDFATQNFGLINREYDTDASVDSRSV SQWQRFGDKLQALNKEASKGTRYILVYMARHGEGWHNRAERKYGTKAWDCYWSTLEGD GDIFWADAHITDQGASQALAVHALWKSKLAEQSILAPERFFVSPLDRCLRTAQLTWSG IDLPSGRPFNHEVKELLREAIGIHTCDRRSNKTYIQSTYPFKVEPGFAEQDPLWVPDL RESNPYLDARLKQLLDDILSHNSDTVFSLTSHGGAVGAMLRVVGHREFPLKTGAIMPV LVKAELLHGREPESPKEPWKPKPDC EPUS_06394 MRFRVRGPTGQSIITLNDDATVGDLSRAITKETSLSAFEIKIGY PPKLLDLDNLSIAKPLSDQGVKLNGEQLLVTSRETTQTNSSNHDPRQVDSASTDRQSK SHPQAGSTKQIGAMKNAAGSSSSNFSFGDLGSASSAVKDTKSSTSTASKMAPAANLSL SRKSNANTLNDPPEIVLPDQGGTLVLRIMPDDNSCLFRAIASAIMPGLDTMNELRSVV AQTIQANSAVYSKAVLDNKDPDDYCRWIQTEDAWGGQIELNIISNHFDIEICSIDVQT LRIDRYNEGRPKRCIVVYSGIHYDTIALSPFDAPPEFDQKTFDTTNEVILGSAVELCQ MLKGKGYYTDTAAFKIRCKQCGAICVGEMGATEHASKTGHYSFDEGP EPUS_06395 MLLRLLTRTTQHLPRARFPHPIIPTPTRAPPREGQALRERTLHT TLSLLTSPPNPPPMAAEPSTXXXXXXXXXXXVLAEIHPAIQTQCRTRRDCGHEWRMRP SLRRDDRVPAVREEDGVGATQIGECGVEGGDQGDPCVDAQVFYAGAVEGGEAQTESGL GNG EPUS_06396 MSSTHLNEPGGGLRDVAKSSVSPSEGAVSGRNTVLSNKLTGVLS QSYADPEIRESLSILDARGVVNDASARRRLRLDAQKEVIECNGAIVQDFGVVVEQLER VGTMIADLNKTCEEMRTQIHKAQQESGSMLEEASTLTAQKQAVETKQQSLNAFNNHFI VSEDDLTTLTNSTKPVDDRFFQIMNRVKQVRKDCEVLLGNENQTLGLELMEQTSRNLN AAFKKLNTWIQREFQMLDLEDPHISATIRRALRVLAERPTLFQNCLDSFAEAREHALS DAFHIALTQSATTVVTAARPANPIEMQTHDLLRYVGDMLAWVHSTTVSEREALEGLFI SDGDEIAKEIQAGRDNEPWSRNNIGEDETGDSASVFDGRKALNELVNRDLEGVSRVLK QRIEIAVRSNDDPLLVYKALNLFRFYQDIFIKLVGAPSILGNTIAELQTSTFSHFERL LQDETSTLANETIPEDLSSPPFLLRELDQLNSFLKANPDISGPEISQLLSAALVPFLN QCSEMATVVTDPTAQNVFQLNYLSAVNTALQPSLPPNHSFLEAARRKVGDLREELIEM QHSFLLRKSGVEPLLAAIRETKAGAPTRIDLASLPVFNAEALSSRAAQLDDFLPSAWM DLLENMKRLTDKSLAKDVAHEAAERFCADFGAVEEAVLRVDELMLDGKRGGEGKTGGE WDGEQEEETLLREVYPRTTAEIRVLLS EPUS_06397 MQHAGQTPMVDHQHESIHNTVAGDGKQKNNGTGTGNGNRKYNSA RGSPNQRKNITSRFDYENGAPPKPGMSPPGIVTLDPSNASPISPHVRAVSDGHDPVAS SMLEIQGAGRRSVQFTRDTIDNEQEGGDTENSDGRGSAQPGNTLYSRLKSFATSPSFI YPRTPNGGSNAGDGKAIANNLSSPRADRNEAYFPMTLEEDASEADADAEESGAERQPD SPSVRKRRRKKPVLHEGGIKTAPATPGTPTGPALISSHSLASTDERRPVPPQRRATTN DIGEGYHAMSEDEGRNRLSTNSPSRMRNALRGLSHGQGQRKNHGTPEAKRPTAMKRLT GLVGYAEAADSPSRRHRMKGERGTSLSAQKWRQIKQQLKLLGPRKGRDRTIDHEKSAE LLAELIAGSPAALMVASMFQRDEHGSKRVPVLLEQLKVKIVDSEYDSKPRETDGLVQG DRHMVFRIELEYGSGLNRMKWTIRRSLRDFANLHLKYKLHFSTQKYVRLKAPDDKGMP RFPKSAFPYLKQVRGLEDEIEDEDEEGGAETDGGATGAERPAPKHQRRSSFALSRRRS SANRQQEDQSLSGALGSAGGLASVVNHITGRRETFHERQRKKLEVYLQKMIKFMLFRA DSNRLCKFLEVSALGLRLAAEGSFHGKEGFLVIRSGKGLDFRKALTPANLRGRHTPKW FLVRHSYVVCVDSPEEMHIYDVFLFDEDFKIHPKTSLLRDQKAKAKPKQIAQAAKESA SIPQHHRLKLYNSERKMKLLARNERQLQQFEDSIQMMVEASPWTKPNRFGSFAPVRPK CFAQWLVDGRDHMWVVSRAINQAKDVIYIHDWWLSPELYMRRPPAISQKWRLDRLLKR KAEQGVKIFVIIYRNVESAIPIDSQYTKFSLLDLHPNVFVQRSPNQFRQNTFFWAHHE KLCIVDHTLAFIGGIDLCFGRWDTPQHTVTDDKSTGFEASELPKDADHCQLWPGKDYS NPRVQDFFALSKPYEEMYDRSVIPRMPWHDISMQVVGQPARDLTRHFVQRWNYVLRQR KPTRPTPFLLPPPDFNPADLEALGLDGTCEVQILRSCGPWSIGTPDKTEHSIMTAYAK MIEESEHFVYIENQFFISSCVTDVTTIKNTIGDALVERVVRAAKNEEAWRAMIVIPLM PGFQNTVDSEGGTSIRLIMQYQFRSICRGESSIFGRLRAQGIEPEDYIQFYGLRAWGK IGPRQRLVTEQLYIHAKCMIVDDRVAIIGSANINERSMLGNRDSECASIVRDTDMLWS KMNGQPYMVGRFPHTLRVRLMREHLGLDVDKIMEDAQIVEEEFGNPEDEKPPMSAHSN NSDQNLPMLPSSTNLAVQSQTVPRDTAPEETLARAEGLASFNHDIDWEQADNPNLKSN RKLTEDSRVTGNAEHRKDVEGKGPDNMEIIEHLGYGVGRDTAVIKESKEVLVAEVATE GKNPLQRPLKPGEMPRRPSILEAYELGNPPLPPRPGNIRSSTTQLGLPLLSQLPPLPD TDDTDIGGPAMHRSFSKGSRERRHPLVNELKLPMVDKDCMEDPVLDAFSLDTWHAIAE NNAKIFRSIFRCMPDNEVRGWKEYKEYVAYGERFEEMQGETGSKSSIRSQPYSSHKSG PPGAGLSEKKALLTQGTKHLHSSEGQKAASVLKGKSKNAAHDEKGDVVQRDHEQLRAW AVEANKAQAERQHRNLSKEETSSTQDSAPTTVPESQPSSPTLGDEREPLPDLTISPQS NEKPALQFVGYSEAINMNMNTQTTPRRRRRTTTRSGRREFAATDDIPSKAEAEELLNL VQGHLVVWPYDWLAEVEKGGNWLYPFDQISPLEIYV EPUS_06398 MSSSHDSLSTAEILGYSANSIGYLFQFCNTVQSARINYFFCRYD DETSLRATTILSSLIRQCLDVENLPTTVESRLAEYLKDPPLNALQLESLLQDVIALSE VHFVVIDGVDECRANERKTLFKVLHRLLERSGSTLKLLLISRDSISTEVKMLHRHFHH VQMSRPEASSDIEAFIKDEIQERVASKELVVGNSKLLKDIQDALVRGAQGMFLWVVFM IQDLCSQSCDEDIRRTIDNLPEDLPKLYHCIVSRIVDSKHEKTVKKILRMLASVQRPL SIGELQEALSVEPYSSYLKPGRRTNDIWRDISWCENLVYVDEGDDTVHFAHYTIKIFL LDQSLGSHHSDFHFHPDKANYELGEICVTYLNSSDFKRQLDRITKAQASFSPELALEA SLSAELGSKLGAPLSKLGRLQCLRKTTNFDLRKQIPKSAETSIEHPFLDYASKYWLQH CTDFTTEDIRAWNLWKAILLNVDSLGHTSWTGVEWNQRAKIVLDFILSYDHKALLTCI EKSNEPFHKQTTLELFISAAGRGKVKVLEYLLETDPKVAVESLRGRTALHAAAEGGHI EIVERLLATEADINKGHGQNALEAAAGGGHIEVVERLLAAEADVDVLSGRTALQAAAH GGHIKVVERLLTAKADVNAPAAAADDRTALQSAAHGGHIKVVKRLLAAEADVNAAAAS VDGRTALQAAAEGGHSQVKKLLKDHRRVI EPUS_06399 MASTATSDELEDALQHFQKTLTAEQKNQLKAINAIPDADAVVSF TTELDNRNAERRSRGVATRLHRFLESIQQFSTIVDTFVSSKPSVAALVWGSIKFALLA AANFSSYFDKISALLMRIGKHCPRFTEYQLLYGTSVGLRTALCSFYATIVAFCRHAVV VFQRSGFLHLAKSFLNSFEADFGPFESQIDSKSKDVKEEIRLASIKAAYQDQQLQVIE RKEQSISRKMLRLFSSKRWILLLKKAGSGD EPUS_06400 MQPPTTWNHIFCSSGQNEDVLSVASTDYSQPSTEPEEHIPATDP LEIAHLWSSVLEAVGASEEKIFSTESSVPDDQIINYPLNEPATFGQYSNLMGKNAQQF GHSLPTMSSSQRAVHWGKLDQTETKPEPNARAPSRVRGRAPNAVTTPASDAQEDMPCN IGKDELATTSAQDHFHSMLGHGSAAGTNNPKSSTQHDKDSAFYERVSRDYNLLMAYRY SSYPLSSLIHDRFFSLDPPYAAPNYGPPSAVKVHNFGINVEHIQWKTSPDSLSECTTS PQPFSCTFWLVVEALEQDVREYLTNYTCVIGDNLVFPYLTIDFRKDDERLQGARRRAA CNAKQALYNRYCLYMETSKECPEAAGALNNALHCHFTIIFDDMNCECWQITPDHDNEW TGKGCTMKRIFSASLLGPSGLKRLHEWINEIHHWATTKYGPACAKEIRTRLQARNAKK TGTVAQ EPUS_08112 MAALDVLTVQPESKHAKRNDAIKVVQQALGDAELATLSLEDLEN PPEPSKASPTPTGPSYTCVTELPDPSSVASILVGFFDHTPRWKLNSVINFATYAHGYP TPADAVYAAKKLIEAAEEWNSYKIGVTFKWVPKLEDAAFVLEYGGPKGNVLASAFFPN NKPLNTMHVYSFGFDKTDQGGFTNFGIMKNVFLHELGHVLGLRHEFALTEGGAVRFGS KNPDSVMSHKFPPEVQPSDIEGTKAFLTLPPVRDFVPDN EPUS_08113 MLDRWVILPPLLALAYFILSRCHWRYQLYHFSKLNDCQDAPHEG SWLDCHTGVFKAITLGRNFRRKTSLDYTNQLFEKYGNTYSTKILGQNVLFTCDHANID HILSAAFADYDSSSTRAHLFEAPAPRGIFAVDGQRWKDTRKLYRKQFSNNRLICDFDD LERHVQNFIKKVPDNGQPFDIHALFYNLGLDTTFAFTVGKPVNALSSDQTPEEKQIME DLECVKETITRDAFIGPLRHFYDRGAFLRASQRLRNFSEASAIQAVYDAQKERNSDLK LSSGQQQPYTFVRGLSKEIDDVPLIVDQSVSALLAGVDTIAGLLSTTFFLLARNARVM QKLRASIIDNVGHERPTYDQLKQLTYMQQVFNEVLRLFPPIPFNHRTANKNTFLPRGG GLDGSSKIFVEKGVQVVFSTWASHRMSDAFGEDCDAFAPERWEKLRAHPLGFAPFSRG PRVCPGREYYLSSIYLVLASARTSFSKGVFAN EPUS_08114 MVLPSQLGFLATLAALLSCSPAATLHVPTIYTRALDGPEALSGS YDYVIIGGGTAGLTVGDRLSEDGKTTVLVIENGELADSDEINNVRDRVSWNAEPWWLY NITSAPNTELENRESAVIIASVTGGGSALNGMQCVRGTTDDYDRVCISNHHPKKLQTK CPASSTMNLIGAPPLDYTQDGRRSNGRELVRRTSVAAFGDNANSLFAEYQFEVFTKLP GVGVPEDSGAGQTGVYWYPTYKIVVDAEGSLNRSYSRTGHWDGLNRANYHLITESKVT KIELDGNKATGVVFRPSQADGGDDEFTTVKANKEIILSAGTIHSPQLLQLSGIRPRKL LESARIETKIELPVRNYSTRPNRRDDFDDPDFITWADELWAANRTGPWSRALWNTGAW LGLPVVAPEAYESIAAKVDAQNAADYLPTGSDPTVIAGYQARLVSLAEAIRRSNNVFY SHYFSGDEPVNYFTYEHPLSLGTVNINTSAPESEPIVDYRTYSNPVDFDIMLELARFH RRINVESPLLAQFDPVETSPGLNVTAREDWVAYMRRNTEPTAMHPTGTCAMMPLELGG VVDEQLRVYGVEGLRVVDASVMSVIVGANLAGTVFAIAEKGADLIKNGAEQCR EPUS_08115 MNQQQQQQRRQQQPLRRQQQHQQQQQTLPLLRPPPLPPPQEPGQ QQQPRQQRQQTPPPLRPPPQEPRQPRQPPPPQEPLQPLQPLQQTQQHVDQEQHEEQRV RREAKRQEALLVEQRIQQQWLQWRASNKTQKELALLLHFDQIERVRNLWSIYHRDRKR VSPPENADLYQDLDQAICRIVTATATPDEAEIFVFYVNWLPALALFRSYYQFQTYHDQ GKYFEANRLATAYSLAFDLDPLPAYFLREEDRAAGGPGEVVDEKVGAEGGHGPDLEWR REVDEEVAAEEGRGLDQEVDEGVDLDGNEDGHLDGDKDDLGFRRYEFHMVIEKDACH EPUS_08116 MIASPNSFEVHGKVGHKDRERLARAVTPDLAYAIILCLTSHVCS IQNPRLHATVDTYCLELLRSTTSGALAALPRSRSRSRFAQVTLKKHNHGKLRKYLSTI PINLIEAFLTSTRLVEHAIDPPSTNPSERPRRPDLSTFFSTLSQITPDTSVPRTRQHA VPVPNEVSAAFRNLADAFGVMRRDSGDTDSELLDSLIESLMSSAERPPREVEGVDEEY IAGLERVSIKKVRKEDDCPICGNPFSDDPHPLLVRLPCHSSHIFDLECVRPWLRLRGT CPLDRVDLGKRERERKKKHLEDIKKNAKPEDEEEEWDGLYG EPUS_08117 MEADWDEITRIVVPPGPHMLPTPASTIAFDDTQELLWIGNDHGR VTAFYGPELQRYVSVKAHISEGPVRQFLFHERGVLSIAANSVHLVSRKGLTQWHLNHP SMIDLRCMSFTSNPGQILLAGCQSAMLVVDIDKGQVVSQLPSEASYTMMKRSRYICAA TEAGAVNVLDTTDFTILKSWKAHGTAINDMDVRGDFLVTCGFSVRHLGASIVDPLANV YDLKSFSSLPPLPFHAGAAYVRLHPKLQTTSFVASQTGQMQVVDLMNPNSVDLRQANV QYMLGIEVSPSGDALAIIDAEASIHLWGSRSKVQFSKRRQETEFGEPQADRAPFVDWK EMPLNTIGMPYYHERLLSAWPSHMVFDVGAPPPQIDPNLVLQPAEMGFYAPNSKQSLR YQVEDTRASQLGSSMAAPKFLSEKAKAIPNNIARRMSDAAEALAGATLTSPTDEDPII KYSNVEIKYSRFGVDDFDFRYYNKTSFSGLETHIANSFINAILQLYRFVPVVRNLALH HAATSCIAENCLLCELGFLTDMLEKAAGQNCQATNLLRAFSASREAASLGLLEESTLA SGVSLSSTIQSVNRFLLKQFAQDFRNLVGSSDDVDAVVATGALESIGCMYCGNEITRP GTSYVNELVYPPHPPSDPKNVLRNPIFKFSAILKASIERESKNRGWCNKCRRYQQLSI HKSIQHLPFVMMINAALTNPAMWSLWEMPGWLPTEVGVLVQNRNVYCFENADLGLHIR NKTPNLVIYELVGFVAEIDVADHQRPHLVSFINVDVSSNEQNAVQKPRSANWHLFNDF LVTPVTDKEALLFNQGFKLPCVLSYQIKSAHGAIDQSWKENLDSVLLFHQYSINGGRP AADCHILGPEEKPTQGTPIALDTEFVDLEKAEIDVKADGTQETIRPAKSGLARVSVLR GAGEAEGVPFIDDYITIRDATIVDYKTQYSGIRPGDLDPRTSSHNLVPLKVAYKKLWL LLNLGCVFVGHGLASDFRKINIQVPKSQTVDTQYLYLVPGKNRRFSLRYLAWAVFKEF IQEESADESTIDGHDSIEDARMALRLWRKFQEYQDAGIVEQMVEEIYKKGFRYQWRPP ARDGALTGGNSAVASGRNTPEVGAISAPGTPARGFRLSAASATAFAPRSDASRSEGFG GSPLR EPUS_08118 MNSNLGILFLLMLFTGLPLHFLLALPFALATPVPAPPVPLDWPD QKPTHTHKPLLPPPPPRNLEDHRFPPLDCGGATHKHGFAAGCVRRERDVYMVEGKRDE TVVRVPEGGLGRPTVGGRLDLSVDPNRHPTSQLLKEESKKNK EPUS_08119 MLSEKANCPPCEAKGNTASTLLRCQGCHVTHYCGRDHQVADRES HKRVCNAIKKAQQKLDHEETALRNQPPDMFKPARIFEEHAGHFWGIMGTRNYMRARYG LIEALLQVKTYAAVKAAHDHAMDCLRLCRSDNMGVRDMLPALKLRLGQDQECYDFIKW YATTGSDGKYDWGDLDNPFLDLKGEDVFETLPANTTHRYGDLPHTVALTLLKLRLKLD LRDLQRSSIIGTQVPQEIMDNIREQAVGKIVSRRKDIMRATDLTLLSRELDEQIQALI RGVEAQNSHFWHGLLRPENDLTTRFEAYSPGSVQEMQRALQLNYDSWIETPRALDLMR EFLRRKV EPUS_08120 MSVAAIHFGSIVSVGDVDDGMTFQDIETFAREDKNGKSGSDSET FNQWLNMVLDSPTWDDIAKQYGAENVLDAFCRTLIGNRNNRMMKPPEDVADEMHDESD ELRLTVTTPRSETIENQNKIPWAMQNLGIQDLGIQDDTGPGNTEPGANESDMTTSTSS EDNAFSPPEMLSMTLDGFRSCIQVSWGKRFAILDSGHMGIVPQHALVGDMVAIVLGCT MPLVLRLTNVMSAKFLGESYTHGVMAGDLMGGHVVETWILEYETGTTGTERYAESKDK ITGRRDNFQAQWRIPHPLGSLPPSSDILRDAQAMGDSDDSRFTLVAEVSVGVHCYGSA VNRIHRRTLNDALLRFITISGVRFCRIAARINSMRGWFAGMQLSTSFD EPUS_08121 MKSNVRSRETATTQVDATLETCEMDLYDSNVPKKHRGTDADRRD MQIHGRKQELHRIFGFASMMGFGSTLICTWELILAAAVSSSLLNGGTAGLVWGFFIAA VAFLFIYASLAEMTSMSPVSCGQYHWVSEFSPRRYQKYLSYLTGWLCTLGWQTALSSG AFIVGTILQGLITLHVSTYEPQPWHGTLMTMAVGCGVVVFNTCFAKKLPFVEGLLLML HVVGLFAIIIPLWVLAPRNNTKAVFTEFTNNGGWSTNGVSFMIGLLPLVLSVLGFDSS VHMAEEVENAAITLPRAIMWSTFLNSVLGLVVVITICYTWGDMDEIRSTRLGFPFLQV FYNTTRSRTGTTIMAMIIVLTILASVLACNATASRQLWSFARDHGVPFSPFFAQVSRR WGIPANAVLTSLVILCLLSLINLGSLTALNAMFALTTGSLLASYILSIGCVVYKRLRG DALPPREWTLGRYGLPINIVSLHMRDPKQLRTLWIDAVCINQDDLNERGKQVLRMRDI YAVAVAVEVWLGKTDDDDDVAAMDLVGRLGQMVNDPEQALAQGFDAKYQEVFLEELEQ CTPEVVQELSRARQEMAKVSWFIVDAIISDAFPDERLDSFTQVIRMAQCRRINLTHPP FVLQELLNQHRDCEATDPRDKVFGLLGLSGDVDDTGIEPDHTSSPQNIYADLFRKHVI ATGSLDMICANRYPKNYDDLAS EPUS_08122 MNPFFLLLLCAAIIHAQPGSPTAPLEVLRDLTSEKALKGYSTAC DKACAVIADSLPSALYYAKTGNFQFWDTKQSDLTPVCRVEPSSSEEVSFVLKTLIDTK CHFAVKSGGHDRTPGSSNADGGVTIDLVRMNEVQVAKDRKSVKIGAGLRWGDLYIALE KEGLMVVGGRVAGVGVGGLTLGGGLSFFVNRHGCACDNVLSYEIVLPDASIANVTHSS NPDLYRSLRGAGASNFGIVTYFTMESFVPPNPAGIWGGQKVFPWEKLSQYLQLNYNFT TNSMELDPDVAAWNSFAYIQAYDSWFGGAQMRHITHTNASTWPEAFQPYQELEGVPQA ISIDIKPVSNITLEIDELVPSGYRNIYGTFTYRPSVELEAKVLNIFREEASPVKNMTG FVPAVTIQPISYAAIEKMKKRGGNALGLADNANEGPLMIINTSWVWKDASDDERSYAA YHRFMEKAEATAKEMGVWHPYKYINYAETTQDVWSGVGGDNLRELRRMQRIVDPEGVF TKGGLASGYFKLNELPEGGKRTKEECGGVGDRWETDL EPUS_08123 MARSRGSASRFEWTTILYLLVVLVAPLALLGTVRADEQTTLKDE TNYGTVIGIDLGTTYSCVGVMHNGKVEIIVNDQGNRITPSYVAFTDEERLVGDAAKNQ YAANPQRTIFDIKRMIGRKFADKDVTNDAKHFPFKVVEKDGKPMVNVEVSGTKKNFTP EEISAMILGKMKEVAESYLGKTVTHAVVTVPAYFNDNQRQATKDAGTIAGLNVLRVVN EPTAAALAYGLDKKGGERRIIVYDLGGGTFDVSLLSIEDGVFEVQATAGDTHLGGEDF DQRVISYLAKQYNKKNNVDITKDLKTMGKLKREVEKAKRTLSSQMSTRIEIEAFHEGK DFSETLTRAKFEELNMDLFKKTLKPVEQVLKDAKAKKSDIDDIVLVGGSTRIPKVQSM IEEYFGKKASKGINPDEAVAYGAAVQAGVLSGEAATDEIVLMDVNPLTLGIETTGGVM TRLIPRNTIVPTRKSQIFSTAADNQPVVLIQVFEGERSMTKDNNLLGKFELTGIPPAP RGVPQIEVSFELDANGILKVSAGDKGTGKSESITITNDKGRLSQEEIERMVQEAEQYA DEDKAAREKIEARNGLENYAFSLKNQVNDAEGLGGKIDDEDKETILEAVKEANDWLEE NAATATSEDFEEQKEKLSNVAYPITSKLYSGGAGGMPDYGDDEPSGHDEL EPUS_08124 MSKHRIKSVALEDDYADDYYDDDDQSGYVEDVGMTAEDQERLRV GTVQVRNTLGQGFASITDKEIQDALWHYYYDISKSVSYLKNKHTPSQSKQEKTRPAKA GSQLAAQVHHQAVKEPNIHHLLPSTAKDFFWDSPWLNIPLHRQAEIRVEPVFPRLGLL GGSSTGEGKMSKIAALAAKRRLKEKENEKQRVADTHTSGLPEDTASGLSKLRIATSHT LYPHKEHPLSSRHDLQSTSRIDQTQEPPPKGDALPPSREQGKDKRTEDSHQPNGSQSG ANVADMRANPSMFARTLMISCNTAWPLSSRPPTLLPEPVISSFDFLKPSPDDIVLKAQ NFKGSKTSTSSKQQKPAKAKESIINSMENLSVVEPETIKSKNLDVLAEYKKVERKNAA NFVVIGHVDSGKSTLMGRLLFDLKAIDERTMEQYKEEAEKMGRGSFAFAWVLDQGTEE RARGVTIDIASHKFQTERTSFTILDAPGHRDFVPSMIGGASQADFAVLVIDASPSEFE AGLRGQTKEHALLVRSMGVARIVVAVNKMDRTDWSKERFQDIQQQMLAFLTTAGFKSE NISFVPCSGLQGDNILTRSRAPQAAWYNGPTLVEELDTSEPVNHALEKPLRMTLDDAF YDSVQNPFSVSGRIEAGSLQVGDQIVVMPSGIKTFIRSIRVDDEPSDWAVAGQNVILS LSITEADFDQINIGNMLCNPAFPVENVSSFTAKVLAFDHLMPMPLDVHKGRLHIPGRI SRLAAVLNKIDDSVVKKKPQVVHPGSVARIVVELDEPAPIEAGRIILRANGETVAAGH LE EPUS_08125 MSSTTTVPPTPHGVPSQGGTSQTHQTHQTSGESHEVLSDIISRP QIRSSPSKPRSLSDVPKPSLSPSPAFREPAQAVKDDNAFISPSTPKRPNFPVRGLSLQ MPPRDVISPTSSFATRVPLSPKLDSSNTYGAPAPMLPRRSRGLDFARACTNLHHSTLA ESSPDSSPTISGRGLQIPQRKSLGSNSVLDSPSNMTSGMWSTISHSERTMPSSSVSSI NMLDSDKSSTASSDDEPMDRDMEDPMLTTPHVSRLSNNFISGAVNSPGADWMSHQFSP AAASLMSFQRARMRKGRSTHSSSSGNSSKPSPAPLSPPLMKSIEHPNGNFFQPGLTRQ QVQSRRESLSLGTGDLHLSSDSGEEDAKTSDGKDAGGNTTSASSTQSPRGVIRRPVTR RSNLLPKTKTFARIRAALLEEAAPVENEAKREADVIHQVRESDPTVNSPTRQSTFHQD GLETALDDDMAANDAPSITDSLTNTFSQHAEKHSDGLRFWNSFDLRHRTPPPSLLPGK SSSAMSDDVSMDSTMTSQGTNSGIGIFTGQLQCDSRSRSRSSTPLASIAPTAGEAARK ANNRKRGRDDDLDPSSFKRRAVSPGMSVQSSPVLQQSPGFNGDKAWGQMPPPKTGTER SNSGSNGGSGGGGGPNKRVGLQGMVETNDGLMNMSIE EPUS_08126 MSSTTEIASSFIQDAPPGELQDVVKDIQSLTSDTDPFLLSRLKP AFQKYNEEQLATAELPARTEPVRSIFSPSSCGHYTDMSSAKVIISKYNRLPDGRYFDS ESNTSFDFDHVTQKASNPQPYTHPSPHRDLIASLQMCISNYAHEHYASPATGVYPTTA PSDSDSDPDPDSNSSTLALLLVSNRYSPSNFWSGRWRSTYVFSPRTHDHHGALLRRRQ RQPDHAQARARGPLRTTGILLLRPGHPPRRKRRIRSR EPUS_08127 MASYASPPPYNASNAGVLSSRPRGSWVDPRTYVKYDRPKGYQHL KDISITAVPDVDRDAPLNLQLEKAEGYISSSRRALEFDRLDLAYKDYLKGSELAINSI PGHREYGFYCNAKKGWENRHKVLCRTIQAMGGKIEDVRHIIQEDNARSGAQPQHADQR SASQANGLQMPSPPRNDPGQPFGTSPRSSLSNGRPAIRPKPADLSDRFAALRMPSYDS PDSRSRPQSYSPQSASSNGSFRPFGPRDMPVVATGPNIPPKVPITSPESLPRPPSPTY SPTTARAVSIHSNSSRSSIDPAQANGERRTTYYNQPNPVPQSLQRARGEESPYRPRTP NGVHSAIIAKSSSSEIPHERTISAERLKDYIRKYNVLIIDVREREIFDQGHIFTKSIM CIEPVSLREGVSAEELEDRLVVSPDSEQALFEKRNEFDVIVYMDQATSSTKFLSGPPT GSTTPALRALYDTLYEFNDYKPLKDGRPPALLLGGLDAWVDLMGPQSLQASHTAALMG STKLRRGAAGHLRPGTRRVGSVNSRIEVRRRRLQKYQPLNADEEQAWRQKAQAEQVDT AYYAQDGSEDGTEDLETVIEEPGSPFVHDYETFLRKFPEVNGIQESMTRPTRLPPQPP PPTTRFEQPLSRPEIPSRPPPAVPRPSYSGQADLQTSQAPLARQASATRPPLYASYSA LRRTKLPRTGLTNFGVTCYMNATIQCLSATIQLSSFFLEDDRYKQYRQKNWKGSSGIM PELYTNLIRSLWNQDVEVIRPTTFRNFCGRMNREWGIDRQQDAKEFFDFLVDCLHEDL NVNWARTPLKPLTFAEEMQRERTPIAQASTYEWSRFQHRDFSYISSLFAGQHASRLRC TTCRNTSTTYEAFYSISVEIPRSGQGNLSDCLQSYCQEERLSGDEVWKCPHCKCEREA TKQIILTRLPKFLVIHFKRFSASKTESARKIHTPIDFPLYGLSMDRYVIPRPLPAPHQ ASSNGHYPNEQATQQDPATTPPFTYDAYGVLRHIGSSGSGGHYVALVKDPGGNCWRKF DDERTTDFDPLRLKGADRLSSGEAYIVFYQRSVAR EPUS_08128 MKEGIEEQRALYTRGQAARGRVPRSGGTKPEEAAHWHLDYELSY NAAILASLQKLEDFLLGLRRTPLPSSNSETSRSISVAPSCTSPHRLHGKESSRKDSEW LEDVGIRTNSLISGLSDRITFRVGTIQQILAITSSPPGSQTRSNCNATNCVWLPTQDE ALRLLENYCNNIEHLQHILHAPSVRMLVNDVYAHIIENKPVQSGHVALLLSIFASTSY YWNIRDQISLLFPSSEESNQAALMWGKTAFDVLDNSRRTTSGAIEDVQATIVMYFLVL SLEGSSARCRSLMNTALVIARDFSLHRIDAPKTEELKMAEGEVGFQ EPUS_08129 MTNSPEVLWQSAYFSLVPLAISVMTQPCGDMLLLPSHNRIYLRV SPIVCALDSLAILIRFCVYISSGIGIRNSARKIEKKRFKVKFGLALRDADPDREEISR SLENLTFLRWILFAIGTLPTTIRLLAMGGVPWTKAFGIMFLVSFFVVEIVVVFIGKDL GRSSIPRSPQYKDDDPGAEKREEHLKKIDHLGAVFGLLLHMGLLTWAWIMICRRANGY LMRDVILLIKVITSILVLAKCSRMFRAKILLMSEDTEYSNPQRWVDGTFTVDEEEYLD SSIYSWTMFLVNIVTPLVWYRIYGYVRRFVDHPSRLASQHALARAYQANGQVKEAVSL LKEVVEIREQILAEDHPDRLVSQEVLATIYWDLGHHNNAVHMMKHVAGIRSQVLDEQH PGWKNSEAWLEYFKDELRELEPT EPUS_08130 MAKAAQGSASLDPREIENEATSRQPEFTPRSTMLILGASVSLFC TLGFMNAFGVFLAYYHQHSLSHRSVFDISRIGSLGIFVLTLGAPVAGVLVDKTGPLIL LAGGSTGLLCGIFATSLCTEYYQFFLAQGLLLGFSMSFLFTPALATVSRYFVRHRSLA LGISVSGSSIGGIIWLIMLDRLLNNNGVSFGWTMRIVGFTMLPLLFIACLTVRSPSRT SQLDDDIAGGLHGSKGHQTDLSIAKSFTFLLMCAGMAIASLGVFAPLFYITSSQPAWA IMSLQTACAAQLSTPATRGTALGLVILAISLTDLFATPMSGELITSG EPUS_08131 MASWFTSVSPLDEQIEKATLSSLEDIATSLEISDLIRSKTVQPK DAMRSLKKRIGNKNPNIQLSTLKLTDTCVKNGGSHFLAEIASREFMDNLVSLLKAYGG AAVNEDVKQKILELIQTWASATQNRYDLSYVNETYRTLLREGFRFPPKVELSSSMIDS SAPPEWTDSDVCMRCRTPFTFTNRKHHCRNCGNVFDQQCSSKTIPLPHLGIMQPVRVD DGCHAKLTSRHSGSFGGERRVSFAPKPSTMQPRSARIEGTSFDEDLRRALEMSLEDAK GGAPGSGYVPQSHLKKTTPSTRTNGIQNEEDDDPDLKAALALSLKEAEEQAKKHAASM KRSTESGLDSQSRQPFVMPKNDYELSIVEAENINLFSTLVDRLQHQPPGTILREPQIQ ELYESIGKLRPKLARTLGETMSKNDTLLDLHAKLSTVVRYYDRMLEERMSNTYSQRTN SYSGYGVPSPQISAPQSNMYPSIASQAGPPANHTGAENYYFSNAPVDSYAPPANAHQT YQQAYTTHDIPTSQYAAQQQQQAPPPQSQPSYPPAEQQPWHSQPQPSSPQVYSQPPPT STQQLPSQQYQPQQMPQPQPEHQQQPSHPTQQPQSPYQQQQPQSPYSQQQPQSPYPQQ QPSQPQNHQQQYDPSQQQQQPQPPQPQQQPPPRSQPQSNVPYPAFTTYTQDQFPAAPQ HQPMPTMQQQVEQPRPVAVEESLIEL EPUS_08132 MDLPSLPASMQDFLPYLASQSKVAEALEPYKAYEGKLRETFAQH RDSPVLNDRHINTVPLFAGHEQTIKVRARDLDHETHEERDKYLLPLSAGDRKLNGSSA MVGSIKEFRSNFNLFSESSLVDLDWNNIVAADLFIYGLNEEQAIEKIKQVETKIRDSI LSETTTIRTKHAITIVSQYPTRHVQVVLRLYKSVSEILTGFDVDCSCVAYDGSQVWAA PRAVAAYVTQTNTVDLSRRSPSYENRLSKYSHRGFEVYWPLLDRSKIDPTIFERSFSR VMGLARLLVFEKLPGPNDRETYLAKRREERGRPPLPWNARYRKQLPGNVKDSQPDDVA EWVEEDDISNYHTFTIPYGPKYNARKIEKLLFTKDLLLNAEWNKPKDRETNLHRHPAF FGSVNDVIHDCCGFCPEPLTDEDLAAAEEESKVFISGDISFLKDDPGRQEIGSFHPIT DDDWTEMAYVGNTERLCQAIVDRDLEHVEDWCKQEGADVNRRDHTGRTPLHLATMSST PEIVQCLIDHGARLVARVVDGFTSLHIASRRGNTAMVKAILDKSEANEEEEARKEDLK KEARRADREAKGNNRGLTSEDIEMIERNDDRENEDLIEDSDSADSDRMTEGSFVKVPG PNEDSATPDSDNENEPDVYDVNVLAWDYPVSPLHLAILGGHVEVIELLVSTFGADVLL PVKLVNEHNKSPRAAILALVLALQLPLLEACKTTEKLLARGASSTQADLHGFSALEYV VNSGNTEILDILLKFDEPAAKRAVNHLTISGWQHYAEVSSPLLTAIRGRHVTMVDKLL ELGAEHSIHREVFVRAYHRTFEHASQDPEDMKKAYEATIEQPIITAIKCDLPKVAQKL LELGADVNTLPKGAYNMVHNPSTTGYEETKSLLDLVQDQAKQLKEYKGDKNEELDPPA ALEQDEFYLQGLQKGTYRYWLAVHDLAQARSLHGLQRKWYQESLEETGDPQEGTREKM VAIAEALSEYEAVEKALLARGARTFRELYPELQENQPPHSWGYYNHKEASRKKEPYMT THKFLVPDLTKVKMDGYFQLFEAAWKGDIPTLKSLTLGAWGAHYEPLQIAVKDLQGFS PLSIAVLRGHSELVKVVLEISAVQYQPNEKKDQYRYTIRPYDEDESEMDRDDDSEDVN VVSELVDNDYTVNDVTALADRIRSKVSPLQLLTWHSEIWRALENSRNDKAAKKTLMPG PSNRSPYLYNTSKTSWPYFHALWDMESNRCRWSLIRYTIAKNDMAMLKFLTEVGNGLA RSKADDESLKVFTCSKSDFDFAVRLGRTEMIGHFLAATGACLPLQKLVDTSGVVVQEK PKYYQGLSVYGKKRKDWADQGRGVTRAPVEEDVPPLLSVIFEGNLESTEYFLGDAPLR RYKEFAAANKDDKRIRVLDQAEGGIDQVLSKWLTDRNNIAIHMAVMAKPRKDGSNPTL EFLIRNMPEAIDAKSSTGITPLQLAFQLNRLYAAKTLIAAGANQATRNRMGENLLHTI LYANSSDPKVLRAILGLLEQSLIPTMLLERCASTRVGGLTPFAFWIHNSAVGNTDAEV VQVLLEYSKGADLEIMDGAGDYPLHYLVRGDRSKLIEAIISYNPSLLYKENAMGMTVL DIVDNAYLRDRMDHPPTIERHRNATSVVDPSPALLLPKNRNKVSESNPVKNWRIVHEA ACLRPGRRQLVSLFDANEVAKRLAAQQREKSDRPANAGGRYKGYGEEKKGGYEFKQWI GRAQGFRKWDLERFSNEFIENKGKEEVVGNGASDSRKMSFTREESDEEVWSDAY EPUS_08133 MSYRSTTKHGRQASAWLQQPGFIGSIGRFWQRSYAPDYLGYVLL VTAYTLMGLFIEPFHRMFYLDDRSKSYPHALVERVPPVWLFVYGGGIPLVVILVWTLV ARSGFHQAHVSILGLLISLALTTFLTDVVKNSVGRPRPDLIARCKPKEGTPNNTLLTI DVCTEANSHMLQDGWRSFPSGHSSWAFSGLGYLAIFLAGQMHIFRPRTDLARVLIALA PLVGAALIAISRLEDYRHDVFDVSCGSLLGMLVAYFSYRRYYPSVRLANCDQPYPSRY EFAVQANQNRSKPDEEQGLRSGDRASVDDSTDATESYPLNDLRSGP EPUS_08134 MANQQQQIPNAPFPAPPPFWKHFTTENLSRLKALKESNIQPEDI PLELSYLEPPTPPSENYTIFGEEQTLSTTLPPLSQLGIPQLYPSTPTNQNHHAASLLQ ILRSLQLNFQELSVILSQNPTLVGPKLEDIKNLFQNAHWLINMYRPHQARESLIAMME EQVEEGRREMQECERVKAKAEKQLEEIEREGHEVERRDGDGGNGKKDDDVRNGTSTAG ATGADERAKYLWRMMTDIDIEAD EPUS_08135 MASYDSWASPLGSRYASKPNVCTPSEHARPWESTNCYFEGKEML TLFSARTRASTWRLLWIWLAESQRELGLDISEEAIAQMKAHATMTDEDFEIAAAEEKQ RRHDVMAHVHAFGLAAPAAAATIHLGATSCYCTDNADLLFIRDGLSLLLPKLAICIQK LSEFAEEYKSLPCLGYTHGQPAQLTTVGKRACLWIQDLLMDLRNISRAKSDLRFRGVK GTTGSQASFLQIFNNDHDKVEKLDELVTRKAGFGHAPAYIISSQTYSRKIDLDISNAL ASFGATCQRIAGDIRHLAMFKELEEPFEKQQVGSSAMAYKRNPMRSERICSLGRKLAS LNSTTSNTYAAQWFERTLDDSAVRRIDLPEMFLCADALMILLDNVSSGLVVYPAVIQR RINDELPFMATENFIMRIVAKGGSRQEAHEHIRILSHEAAAVVKQEGKSNDLIERIRE DEYFRPIWDELEDLMDARTFVGRAPEQVNKFLRTEVKEALKPYAEAVAEVKATELTV EPUS_08136 MASAHGTEPSEIPDLEQQPVTHCGKSSPSSNVSRDLPPHAEKPS PSVFQLCWEGEGKPSVIQAYCQRAIDQGDTECIWLCIRRGKRTIAKDIQFKNNSDFQP HPAGFRKLCGWWKRHSLFSAVGCKEVQIRFLDYNEKRNEVEVVLMNFDYNNKRGEFDR LLGKMLNDDIARGECGVNVTGENPPECDKHRCANSVMDYLGDQYCMVQHKRALENQRN ETMWLSPMLDYFWRHGVGRKGIEFFRETGFITSYRELKEDSYNDAATPYGKTVNAFMI VEGWRIDYLLWLIAISLVVSIGVVCGVAVVSHSLDDGLTAGTYALGFFTIPLAAMTLL SAVL EPUS_08137 MDIAYDHITEETFASDRAPTPTSQKAGAPSSKPNLNTELQETFR AFSNSPWGAKLGGLWGNVRKQGEQYYEGARREVEGASGEALKGFSDLKETIVKRTRSL SLDEDRAEGSQSRSGEEDSTPTATDTEESEPHKKRTESEVIRENESLISRFRSEAAKR LKDIEKAEDAADEALLRFGTNIRNFLRDAVAIAPPSEEIGEARNSSSVLFESKDSSGK RVIHTTRFDAQLHVIHSTLDSFAKDPISGEWPKFKDEFKIDEKTEDISSDLSKYPELR RAMEQLVPEKVDYKDFWCRYYFLRLVIQTEEQKRKDLLKGASSQNEEDEEVTWDADSD DEKDDGPSRPSTSHKIILSSNDDTGSSTAATPTKPAIEGRNSHDQHSQPDSDASYDLV SGATSRTPGSPKEEVKDKVKAVKRDEESDEEDWE EPUS_03792 MKSGCVKRAIVSAGGPKISCEFQGYDIRVRVLPAKKRSLATDAP PPVKREMIKRQMVTATPELLSRASYPTYTYTTTPPTLESTVKSTYTTQIVLNSFTGWP TEPTSTPNPSTDGRCGPDFGGTTCEGTSYSDCCSIYGWCGSESSSCGHLICDPEHDTC DPVPEEPPVSQNGACGPPSFIGATFAGSTFGDG EPUS_03793 MNAKEFRAKAESGEVLIDSHDKMLRIAYLYSNHPDRGYGLWEDD GAFGVVEELHTRGWSFGQGDLRFNRTLDIFYLAQIATCTWRSTNHFSDDFEIPSADDF DSFYTQHSQLLNQDAWRQFYSPPFIAQATSARLYRMPDLLDLPDSSDPIAQPRFHRGV GHFTKLPRWAYNVARTRSRQSTLSVAEMTQIAISTLKQTISRLREGGFLSVQTYSETQ ARFWLEYMKVDQPSPLPTRRHGTLTDLELWDSVKGQTTLLEPDLDGTRKGEVQWAGGP DGGIEPQTEYRGFEPEVGSEEEIGFLAAVAAKETEGVVDMGYLDYTIRSHILLGVMRA AFESAEREQHLDDLKRGIAAAGRIEDETKAEQWIQKALTLIEPYVQKGDTQWPITVED RSELLRLILLENGQLFARWKLSPISKEFNFELKARC EPUS_03794 METKRSQTTPSGAWSLSKTPSNGATVMLNSFVHKLEVKDLRYDI CWAYGDLLKEVPKRLGTNAALDTSVWALTTILSDLSTGQKSVHALTRYGCALRALRRC LNDPLMAQSSKQDLSPGHGSGIVQILATAPYRNSRDDFERLLLITIAGPVIFESLYDP TVRLSPWIKKFIGDLEKPVAEDNSADASAKGQGRPQAVRIMALVVRLPDFIRYNPELQ DEIMTNYKNVQALSRKLHEEMVXXXXXXXXXXXXXXXXXXXIRVPPLRLHAFYQRAYA LFLTFEIILNGILHAYNPHDVVLEKESSHLSQGIINLSLEGSLWKPIGAGWIPLCLIG AWAATINVARRAQIEKAWCECWADVSGLPLAVQADQLNTAFNQLRIAASRANFVLSYN V EPUS_03795 MEFSPEIPQPIRTSAETRTLQVDFSWKKLGALISEYNNPQSDPI YIVDLKRLKAPHLIFKSASDDSAIGTGTLHAISIDADYEVHAQKGSLKARKRFRTEYT YLSRAFSDNDSPVTMTWTGSCGLRTWDFVCVDEQQLPVAKFTAYLWAMKQMGKIEFLG PHADSDAVRDEIVVTGLTLLYCMLLRTNSILSFFGAVFSRPGHDKGVRPESQQFLGDN DRANAQANLIGRSSETQDIG EPUS_03796 MAPGTASAPTVESKSAKKKRGKSEARPTSSSGTATPAVDENSHT LTSDPATNGVDGAQDSPYLKELNKSIRNIAKKLTASSKTESIVAENPGASLDDLVATK KINADQKAQILKRPALQADLARLQDQVIQYRKFGKDYEDRFAKEKSALEESHAEALAK AKLEAADEATTTLLNKFDEDLLVLSQFLHAAAAKRQDDELDPVEKAAFEGVLLLVYQG NNAALAAFKDIAAGSDKKVSNTEGDQLDFTYAQVKQTSINDAPSAVMDEPATASPVED TAAPDAVADVTTDPTIANAGMTELQDTMTYQTTDEPAVADTMVPPEQSSIAANAANAV AERSWDPQASMTSDSPSGEGWVEVPRDPAETETGVAATPAAMHNSTSWAEEVNADAAA DEKPTKENDGFEQVIHHQNRGRGRGHWGEFRGRGRGGDQRGRGRGDRDRGDGDRRGGG RGRGRGGEGGFRGGNRGGRGRDGSGPKPDDNGGGL EPUS_03797 MSGNIKTKTRNRSYPRSTVKKIIKGHSEKKVGRNVDALVRTGHR LKVAQSLRSPQQVYVDYVLFIQELMRNASRKARASGEKRIAARDIRKVTMSSLRKFKG EPUS_03798 MLFPLILASLAFSAIAFAQTPNGVEPSVQTPLTVLYPRNITVSP PGVLLQRADTQVAPTLTAPTGTPTDRTYLVIMMDLDVPRNGGTTLLHWLQTDFTLSLS PPPGTPHRYVFWMFAQPDEFAVPESFSNVNPPASNTDRIGFNLTDFVAAAGLDAPLAG NYFTVVNSSATASSTGSASATSSPAQYTGSASTSMSALGMSFGFMVLFAAAAAGFA EPUS_03799 MSRRMSGKRSSREMNGRENTRIRRSLTTDDDASCTQAAVITGVL AQGGVMFVQPILHGNVLSSLLALMSSDSSSLSLIVAILKTLITIADRLPPANPNDWLP DQQLANLLYSQEHIGSLARIIGQNSPDPDVQQSILLAVMLIVKTCSTNKQKDALVEAD VLDALANRLSSFIVAQGFVLPGAEGRLHESGSLAFLPPPAPPRARLAPFLRLTAVIID GSRARCEHLVTSPALLTVFPKPPPEFAPADVKKAPWGAATYLSGTAVPRHVQSNPIDA LLPSVSTPSAKLAAEQANFPPLSSIAAISKRDTSFLSAALAFGSSDNEDTSSEDDESP AVAWLLYLVRNETGMTRLTAAKLLVTLFRQGLVRKSRIGMFSMLLVPLLVRMLDKDYD VEEISDKAELDVLPTKLLVREEAPELLAMLVMDSRLLQRAAVDSDAIKKLSQLLKESF DPIPPQRRAAWCPEKNPRFSRGRSDKALGDTGPSPMARHVLRHREAVLKALASLAPFD DEYRKSMCDLGVVPYIIDSLKPYTSNPLLTDNSPVGPNVVTGNPAATLLAACAAARAL TRSVSVLRTSLIDAGVAPPLFHLLNNQDSEVQIAATKVVCNLALDFSPMKEAIVSGNI VKTLCQHAHSANPMLRLDSLWALKHLVYNSSNDLKLNIFQELKPAWIKHLMASDPEDV TPGTVLGMGITHGFGEDVIPRLEDGSVDTVMAESPGLQTWNRHTAERRAQALSEDDYS RHTVKDDIMIQTQVLELVVNLICGDGADEVVDHLFKEFNQKELFTILAQRLKPRSGNR PSTSTSTSTSTSTIPNHSKRDTLKAPSPPTEVIKAVLAIIIHIAAATPRYRSVIISQT EIMKLILSTFGHASREVRRHAVWIIINLMYHDDSRDSQDKLECRRRAEELERLGFVEK VMGLKEDVDVDVKERTKTALSLFAELLHG EPUS_03800 MITSTSSPTLHSSSSSSPSHAFSPRLQYENSYRSRLVPPATGRP RSTPQYPRAPSDPRISSAKSSPTTQRSETPAAVTSQSQARDTAHLHAVQMVDASTQYS PREEPIFSQSVSASGVARLRLESNNQPAAYAPSPFVPESPKAPAIAPRTLGQPRAVIH TNNATPTSTLNATAKRSTADVSAVRTSPSLRAPSISNITGPDNEQSAKRLRPPNAAVK LMPMQYEHCDPKDLAVLISSMLMELIRYNDTIPLRDGALTRFHSRAPPTISVLDYLQR LTTHATLSPPILLSMVFYIDRLCALYPAFTISSLTVHRFLITSATVASKGLSDSFWTN KTYARVGGVKLKELALLELEFLWRVEWRIVPRPEVLVDYYRSLVDRSEGYEIDITGKL EDSKASAVAEGSISAAAAAAAAAAQSQEVQQNPT EPUS_03801 MAHEQSLSAEESISDDAIFIDSTGSEEEGEYVVEAILAERVAED SSPRYLVKWEGYGEERCGIGISYYPSSCTWEPADHFLNCETLQDWSKNRDAGAELSDD LIEKIITKINTYHDAKAVRKAQRQHKRRRLAARPVRLSSESSTASVPAAASHKKQGTE NQGPLALTPGTRSLESAKRRRLLVTNQDLIPLKAKGDRADRSVQATTTQPPVFHGFSI GSAKRSGYSARRTGNQRASNTTNFRNLRHMNNARKLARRERSPDVTKIKLRSLAEWAE SVPEDTNVQLPPAPASSIYHNESILHPSVNSGHSTIRTLSDSHVSVRPTSDLLPTTNP THSSNTATSLELTSRKDMRAHNRFDPFEGQEKKLRTLANGRFFYFPGEILLDIQFGNG HIGDVRISGLPPWAFGPIIKLKQGNKLTLEIGSNDVVTLAQWAELCTGHSNHFQRTGV IIPFQDTADQVIKMEDYLHQYTLAALWYHPTEDITLVFYSPRSEGWMFLERMGGLPFD DKIRVLTRNRMPPTKMLPVARNTAAGNPASATVGALQRPLQATPLANPTPNDAADDVT ASDGLTECKSILSPFPFGNNCVSTTAHSSDPGLRSENKLPTPERRSHLNAQPSLKGTH TIEDATGCSVLLPSGKGNPREPESLTTEAYPGTFRLPLQAGQAIGEAFQNAFRISYKH LTAVPPSKHIDRNPAKARFFLVYPSAAQAELDSLQKFLRSYTFHTNICTSMDERGWDA FRNIFNGDHDIGVILFHDDCTHYHALPRLASLLRSASFNVFRISLARPLRCCDDMSHI ERLFPSGMAILLTESALVSDGPAILKWYRHKKRGSTWKLVLPPNVKNWLRRKALQADV EGRERFIEMLQLVTALAPGFSALRHHSKSDGGSSSEAESLDSLSDSSEGPRTLLSPSY IPPYDRPPTPTATWSDETWKNHVLIEYFAGWAVAHASAHRKFVAISTISDKTYSKWGH IEVLTPEKFMHREGIRQEKQVSKLQP EPUS_03802 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDAKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYDNVTR WLKELRDHADANIVIMLVGNKSDLRHLRAVPTEEAKQFASENNLSFIETSALDASNVE LAFQNILTGKSSDYNKQAELTSTTEIYRIVSSKTFETGDSAQNPIAQGTKLDIGNSPS PDTKTNKCC EPUS_03803 MGCLGCCDGKPQPQDPASAPPAKQRHLRSWAASVGEVASSGRRK LATKAVEPPHTHTHSDSNSSTRRSSTRDQAGGPPAGSTSAATVRRPAVIVSPFSDPAV QSSSESTLNCQISPRRGSPVSAFAEASNQSAAGVGGSRKRVDQRQQAGPSHANVGPER QATQERQPAHIPFRAHRVVPVVGNATPSSASLDVSSGGIRTAGRPLAAQPRPPSSRPL GISQPVSLCSTRPSNSSGADAQSSRRPVRTPGEPLTAATHALGRPGFGSGSWKSASEA LGAPAGHTTNRSQRQDIAVRTPTRAPQDPEAAADPSRMHARMQDAATGYSTGRAKELQ AAVQASKCSSGSVGPRIIKLGIETEFFLAAKKLEDFDTSVEGFAQRLAANHNQAVRRP HPQMRPTMRPPYEQGDYARWFLAPDGTVSIDNRRSPWGVELVSPILRAFPGSTWRKSV ELTWECLADRYDIDTNHRCGTHIHISLDPFFNMRELVQIAQAVLHFEPAIEALVPKDR RGNEFCKSNWLGSRDLGAANISRPDSIARIGQIQDPNELIRCMGNGEDRGYSWNFVSL LDKKKRTLEFRKPPGSTTVEQTLSWAEFVLSFIQAAIKCGSAEQLQIVPAHIGGLQWF LKQSNVPGVNEHHRLARLFAGRDPMATLQPKTSYEAVSLQLNQLRAAKKMLMEKADAD HRRVLDHAKKAQIP EPUS_03804 MKFGKTLLVSTYPPWQDNYIDYTKLKKLLREDEASPQREWTEQD EEDFVHELINVQLDKVHQFHVDTYKSLRDRTSECEVKLEPIAAATESEEATADQKAVV QEVMKDLDGISKDVEQLRKFSRINFTGFLKAAKKHDRKRGRHYRVQPILQVRLSQVSF HSEDYSPLLQRLSAMYTFCHQILQTDQRRESGAAPRPRDTYTAHKFWVHSDNLLEVKT FILRRLPILIYNPQSAKVVDSSQKDPTITSIYFDNTSFKLYAQKVDKAPEASSLRLRW TGQLKEKPMIQLEKKTVGESVESKEIRIPIKEKYITPFLKGEYKMEKQIQKLEERRGP NSEEIETLKKNVEEIRNFVKDNELEPMLRASYTRTAFQIPGDDRIRISLDTELALIRE DALDAQRPCRDPAEWHRMDIDANELEYPFSTIKKGEITRFPHALLEIKVKDSKATRNN AWLSDLMSSHLVKEAPHFSKFVHGVAQLFEDHVNSFPFWLSDLDTDIRRDPEIAFKEE QQKQTQKAEDEFAVGSFLPGSKLSSSPNFKTKVGSPQGFSSGTMQSSMAHLSQSHRSR RSQLEPTAEEHDSDDDGVEAATSQIEVTDSSARGLRALFPAFSNSRYARRHRQGAEWE NAALPPGVKDPGRWIKDSGPVKVEAKVWLANQRTFVKWQHISVLLASLSLGLYNAAGV DNNTARALALVYTAFAAFAGIWGWGIYVWRSRLIMQRSGKDFDNMIGPVIVSVGLAVA LCLNFGFKYAARASTL EPUS_03805 MSVSAGIIKSLAECLGSIQQNPYPHVPNPPDCQKRASVALVLRV RPDYADSVTIASRHSSTEGNHGLPPKTLADFFAQAWVQKGDAEILFIKRAGRVGDRWS GHTALPGGKRDPPDVDDRAAAIRETKEEVGLDLSRSDCLYAGNLPERVVTTTWGKKGL MVLCPFMFILTSPTSPSLLLQPAEVAATHWVPLRALLSSSLRTRELVDVSSRFGKRDG PVLRYMLRFMLGKMMFSAVRLIPSESVFASSISGFIPDPQKNVTIFSGLARGSFGVPS STTPSGPLLLWGLTLGIVADLLEMLPPHNAIELWQYPTFTSPDLRLLVYLFTYKLRQA NARHLSDGTWPSQTAVDASTAAVAVSEAEPHQAKPNNIGVGGLGFSSRPSHTLSLMLS GYYERVNVAIAVFLVMRIAAAGGLGYYAFRTWKLWKR EPUS_03806 MPARGGKGTTWTPELERHMLILAITAADLKPSTATWNLVAQAMG NGITASAVSQKFSKLKKATETENGVTPASTTSPAVAPSVIPKQQTKKNASAATGRKRK AVGTTEDNDKPAKKKAVRDKKDSDNDEEQVEDAELDAAIDENEDQADGIKVEEEAEEE VSGSPA EPUS_03807 MKRSRLWADDSELDLQLFKVAAVQTLPNGRIEWPKVARFVADGQ FTQASCRSRFYYCVNQGGAFCREIEAVQTAVRAAPGAVQPRHQDQWGKSGREIAKEVE RQEDESDNTSNSTSDSEAEERTQVSAPAGKKSKWSKKQKNDNIETRKEKKKRFRKQNK VDGGAASSPASSALCSGALPPLPAKQPTVDGRDSRMSNIAEIAQTEILKAIGNALLQK VGQ EPUS_03808 MVTIFRLSVHKLRCVRNEWKSLMKEEAAEPSSTVPAPLPGNSIS STTIKQPDDDTFDDHDEEIEALLSDPKHESPRSSCKAKTPAPVEQSPKKRKGKKVAAN TSKTLKTRTEEQSDDNEENDEAVSSRKKRRKTDNDDAKPEREDTNSNSFRKTALKRDD GHTLVKPYIDSYRPSYEDNRIRYESNGSVIRPNPRHNTQYFQNARQGPKIPEVLDTRQ QPESGARTPYHGGRETHPPRTPGRQNIELSPPQHNPQGPKNPQNQYNHSKQAGGARCR AWSPANRSSQNSGSKTPGWNQASRAYEDAGSNTPGQSQNHRPTSAPRGRTPGYFVDNE SSHSWKHGKSHFNSQQRRNENNQNPNNQRQPSSKPTFTGGTHHRFKDEQDTALFVSP EPUS_03809 MSTASPSKEITPSDAAYLVQYLQHGSSSVVLNVPAIAAAMNIKP HNVTCRISNFRKKYGLNIACTSAGSAAAAAAGPTGKPGKPDKRGTGGGGVLTGRVAKA KTGTGAGAGASRAGKGKASKGKKKAVREKEILEHLMHGDDGDGDGEENGAESEADTDE EAGNVEVDLGKKTKAKAKDVHVEMDSDGTGDGAEERIVKEEQY EPUS_03810 MIGLSYFGRMPTTTKLMPLPRRQYFFTANGYRPRRQARTGKAWG RRCATLEAGENKAGHINAGPNEGIFFFDNIFPLRLQWLMRIPFLNVDRSIPALLKRVN NPNLAAADPMTVINRALPDSLPMQVTDVLPRVKEGGAFVKFSHDGTVSKGELETTLKK YLKEHPINPWFNPMRRVRAFLVQGRPWIEDLYRIPSARLRVEFLASSPEASAAELTQE TIFSLFRRYGKIADIKAQPSDSKVLPRYAVVDYTRVRFGVMAKNCMHGFKILPEAGGG PSGTLLKISFEQKAKTHWIRDWLFNHPRIVIPAVAALVAALTVAIFDPIRTFFIKTHI TQAFHLEGNMVGQWVRNQVNRANDIFRFGRRKQDDDSLEVLFEDRQGAINQLRTWLIE TADTFIVIQGPRGSGKRELVLDQALEDRKYKLVIDCKPIQEARGDSATISTAAAQVGY KPVFSWLNSISSLIDLAAQGTIGTKTGFSETLDTQLAKIWGNTATALKQIALSGRKKT DKDADLGDDEYLEAHPERRPVVVIDNFLHKANESHLVYDKIAEWAAALTTTNIAHVIF LTNDVSFSKSLSKALPDRVFRQIILSDCSPETAKRFVIRHLERDAEDGAGDQPLLEAQ AQSHLDELDETINILGGRLTDLEFLARRIKTGESPKKAVQEIIEQSASEILKMFILDG DAHTRKWTPQQAWILISQLADEETLRYNEILLSEIYKDGGESTLQALESAELITIESS NGRPHAIKPGRPVYQAAFKYLTQDQVLRSRMDLAVYTQLVGIETKNIEKYENELKLLQ EANARPSFMSRVQWLLAKADASQSKIEKYEQESAKLKKTLMALY EPUS_03811 MLVNLFLLIVAAKEALSCARHDNSQRHPHLGKRQTTTNPGRAVT DWRYEASFNWGLLNPNYALCQQGTQQSPIALGLNQGISQAHQPYFEGYTGNVTGNYFN WGYGPAFTLSHPEDEYTGLPSMTFDNETLYLRGWHLHAPADHSVGGDRSKAELHMVHV NEEGEEGAVLAIRLDPGASPSPFFAQLPPMIGFNDTTQIMGVDINPRLALGGVNEFNE FWTYQGSLTSPPCKEGIRWFIARSILFTSVDQMKEILGASTYSARAEQEVWLHQINV EPUS_03812 MPPPTKQVTRDSLLPSMRLAPDASPQIYRLTPTTVAKTGDLVSL SEAAVMRLVRSKTSIPVPQVLDAYIRTEDGSGHGCIIMEYVEGETLDKAWEACTPTQK DGIVKQLKSRLATDGPRTYAGLARSLRERRQNTWIDMVCRFIDALPHNHQIVLTHNDL APRNILVREGRVVALLDWELAGFYPEHWEYVKTLFWPEWNSSWIKDGIVDRILDPYTL ELACLLHARDIIW EPUS_03813 MAFDPKLPIEVYLALCVQRDENAPHWMLLLRSEGSMASTWYHST GGPTQRTDYKVSIEAGKRFNSRGIASTEKLGTITPADVNKVKAAAQRIVPQQCQTYVV SVVAELENKGLLLPGNAARLNQNVRMGKAASDYRAKNPVPPPAIQGAAGPSTPPRGES PAPQQLGRSRTPSPAPRKTPSPPPKKTSSPLKK EPUS_03814 MANQSPSDRSKKTASSRYPEVLVKGPASGVHQQSFIILHGRGSD ALTFGAVLLETPIPGYGNLASAFPNAKFIFPTASKRRARIYKRSLINQWFDNWSLATP EQYEELQNDGLRETSKYIHGLVKAEVEKVGASNVVLGGLSQGCAASLVSLLLWEGETL AAGVGMCGWLPYRKKMEAVLRNKDIGASSEDEDEDEGDDIFQRAGDDQNEPGEAAQGD KEQESNELNDLTAAATIKTDTSPVIKAVEFLRDEIEFPRTTHAAAPADLKLQNIPLFL GHGVLDEKVSIDLGRSAAGFLEAMGVDVQWKEYASLGHWYSEDMLRDMVLFLEARTEW KVHR EPUS_03815 MSGTGDLQALLANFKSRSSPATTTNGQPTQPSSQPSFDPNTFLQ QQASPALASPAITAPSTLVGPHMASPHPTGGAVGGSDKTQSLLSLLKFSQPSDASSAP PPQQQQQQPVPIAQSTSESHTMRQETAHGKAVKASDLMSSFFSPSSTPGIRGGGNTFS NPPFANNTVENTNASPAGNTAQESSQDALLKLLKRSASSSTEARKGPDAAFSFDGSPN TNFASMKKQQPAKVAQADSPARKASPIRMFGSSESREATPFEPPSTGATKENKPIFTY TNPFELLQASRNATPLPSVSPAGNPNLSGISNSPQESGDQISMEATPKQKAMRRKLTP KGPLRSSSSIEPVNGMDSAAAKLDRIADQASKEIEKALEEVQIKHEDLDTEAEIDVMA DKLEETAINAAVEVKKELDKEENKDVLEESMSKPVAEAVKDLIDDAAAEAAPPDGWET SDGPAEASLRDVPVYNFPLKPFVSITIVDLPPSEVGLREDGVMEISRFKKEFDQLDRT LASATSKYITYAFVKNGGIRVIRQDDGSDRHIFKHSGDRIFHVTLCTTAMTAPPTDQQ AVLGVGLSGAVYYATISKEGNDFFENDSLDTESLLFPPYPAGNDNTSGGSLKTRVRRS SRHPEFFAIGRGKSIYIVWPATAISTKYGVDGSNRTVDIEKLYKDRPLKITTGKAGKD FIFSEDDTLIASLDKAGRLKFWDIRKLVDEENATAYKVQAEDVNMPILSFATASPAEK TWPTSVLFVDKVRPYAKGTALRYILVGLKQNHTLQLWDIGLGKVVQELNFPHNTETDG ICSIAYHPNSGIVVVGHPTRNSVFFLHLSAPRYTLQPMSQATYLERIAIRDPDLPKPE ATAVMSGMREMSFASKGHLRSIDLLPVHKPTDVPKDPVDSQTLFELYAVHSRGVTCLT IKKEDLGWGPDSKVLHPVDNAVETGLIRVDKLRNIGPVVEPDLNGAPEPSQPFKASKK RPAKATSDPAPEPTEQFPPAPSTTAEAGLPNGLNGSEGNTQSGAIASERDKKKKKKSG ANTLLAPHSSKDPGPSKSPSPSFSPSKTSVPTTDLHNQIPIPHPNDTMASGQPSGTSQ MPTGSDGNKEIVGADVPGNWLDKEIEKLESSITTEFKQQLDRLYRRLDDDRHVQDAAG TTRQEAVLRLVSSTLSTNVENSLNRIIGQHMQQAVLPAISNVTASAIHNQVGEVLARA LHGLIPQEIGTQLPVAISAAMQNPSHVRSLTDNLSKRLAPTMEAHFAELMHTTITPTF VKLAASAAEKAAGEIESRITAKLVQYEIDRQNDAVKIDTLQGSMQAMLEMMAHLSEGQ IAFQDKILKDRSTLAPFGDAGSRPSSSTATAFRSTPIPTRQNLAAQPSPIAPPPRRKT AEELEMEEIANLMNEGNYEAGSVRWMQSNQAVELFDKLFVNYTPEYLRTQVSPLVAFS VGIAVANSFETNLKARLDWIHTSLDTIDTRFWYYLQDPEIKNLSTHGPALLTSLIAKL DQLYNAHAHAGRGRSDAVMQSIPRIITKAHEVITQFQQSDGAN EPUS_03816 MYCLRSYIPLLLLPLPLSLSPLHLTLLLLTTYFLNRPCIYCSFL LLILFASSCHWSGRCFVDFSSSEGGYGYAEWFTPRLYTIRSKESGLSSGSTNISGNGD GMSDPGVADFLNTTINHTISALAGAAFEEARKRLAPGSVLSSSNGDGSGGLSESAGIG LGWLRSLLGRSEWTLPCVDIKVRL EPUS_03817 MGSTQFGNFRDFCRDSTLPVCNLFVGNNQPPGTEYGGCRLTGIS LSGDRYLANLGSILVAFIAILVTIYLLIRSNKKAAAVGRREMQVFLLGYIIIEICEIF TVGGFPLNHSVRKGFSAVHVAAIAATCWVLLLNALVGFQLLDDGTPVSLALLVGSASA IAIGTGYIALDVGFSYTGFFDSTYGPPNRAIGLYVLYQLFPLVCLVVFFICETILVLR VLGEKKPMRDLVIAALLFAIGQIFQYVISVHLCNSTNGRINGAFFETLFTLLSVVAIW KFWSEITEDDWPMPISGSTYT EPUS_03818 MAIFASASGDSGLLARTFGNPKMTTSPVTFILTIVVLTCLITRI RTGFQNKRQSVSNPEPKEIAVLPYWVPWFGHAIPFVTRFQNFLADASKSTKDGVFHLI LAGTVHNIAIPPSVVKQILLQKSTILSTNDFSIRLMENFFGNQGSIRTADPAGVFGTA HQALFKLTREPFVSNAAASTVRVVQERIYNLVSFSHSWVDQSIWERVANITIDPSGTS AVASFFPLIRYFVGDFACAILTGQDFMTNNPNVLHDIFTLDASFNKLLAGLPWWFPGI APAYAARRRLIQAVREHQEALYAVWEDRDPGSGWSDMSDVSNVMVERAKAWRSICAEP DLSSTSDLAIVWAMNVNANPIIFWALWHIYQDPTLCCEIRAEIAPHAILSSIHSDLPV KEPPKLTINLDALIHKCPLLKATYFETLRLEAQSTSYKAVLESFTVTESAEDAALDGK SQPQTYKFKKGSHICIPHGVHQMDDRYWKDPKRFNPRRFFVSVDKRTDKKDESDDDEE EGLSAEKTAVDVGTMKVFGGGPSMCKGRNFAEREVLTCVAAVLASWDVEPADGTGRWT DPGRVWGSGTFVPRRDVRVRMKRREV EPUS_03819 MGQRRRHQGTEISSLRQVQQTLASTRISQSSGTVVSAGGDQHAL KSGPPRPDHAAEATRREGSLRAPESGSSRGARLQAAPACNEGDSPGHGSASTLEHAVY SWPRRTRAVQQPSQSGFPQQETPISATIGQTASSSRDAQHNFTSERNEQPHIASQEIR AGPSLRNPPSEAPAAETRSLILGTRPIPRQQNPSSAVDEPSSPFDFQILVEAQFLIAP KAEEHYRPAVEDFVGLLAENHNRLVGSPHPAMVKKMQRSRIPSGKAENWHVYMGSVQP PTISVPQKITLTSPLFHTSDPALWQKHVAMTWTYLTEHYQIREHDQCYNKVYINLDRS SWEFADVKKIAQAITHFEPALDLLLPDGLSRSNSWLNNLPDKCFMEEAERSRSHAIAA IQDARRPWQMVRPMQGRGHVYCFLCYYNSWSVVWKMVMEPIHFCKPEATSVAAEAASW ASFARLFIQAALACRSPQELQDIPPNHEGLRHFMLGKRPPLGSTVLGTHKSRRGRG EPUS_03820 MPDKPPCDPRPAQPRGKTLLQYSPNGRKLIVAGLANFVRTYNTG DEGNVVIVDNVPDETNAVIAGDDYFIAGNEDGLVSQFNIQQKRLDKLLVRSSLPIRAL AISPDEKWVAVSSDEVIIKVVDRHDIERITILREHSKIIKHLSYDPSGQYLAASCIDG IIYIYSMVGEEPTLFRTVDGIIRRLEVEDEASSECVWHPDGQAFACATATRDITTVSV ADGAQQRSFLGGHMTDVLSLAWSANGALLASTSRDGGLVLWETKTQSIIRKFDYENTM NVTWHPQAENYLNWTTSWGEVYICPDFLKDEAHIRLLKGPRARSPFFHDPLDDTSAAI NGRKPLVNGRPKPLRAGTPDSLDILLGEGEEEDWIEDDDGAGYTNGNGKRTNGHLGAL NGHTGKRGRQDYWSPQIHEPFQPGATPWRGNRKYLCLNLTGIVWTVDQDTHHTVTVEF YDREMHRDFHFTDPYLYDKSCLNEKGTLFSCPSSDDHPAMVFYRPHETWTTRADWRTQ LPNGEEATAIALSKNFVSVLTTKGYVRVYTLFGTPYRIWRQKSGPAVTCAAFDDYVLT IGNGPVGSDGCTQLVYSIEDVRQEYLCQNEDVVALPEAGTLKNIFFSDDGDPCIYDSS GTLLILAHWRTPSQAKWVPLLDTTQLDRLASGRKEESYWPVAVAQGKFHCIILKGGDQ HPYFPRPLLSEFDFKIPVSPLPPPKKPSEGEVEEEDGTGESNAQSSARLEESFVRTSL LHSLLDDSSSVHSRLSHTQKTELTRRELEIDKILLQLLAVECREGEERGMRALEIVRL MRDRGGKMIEAAGKVAGRWGRNVLEEKIRELGERRLAGLEDGVVEEEDGDEL EPUS_03821 MSRASSEDQLNFLLSCVKHACNGKVDFVEVAQECGVVSKGAAAK RYERLLKGKGISPNGGPDPKPAPRRTSKVTKSKSKNDTPKPRSKQRKLDKITEKKQEE AVSTAKLMPEPLKDFNMEERDFAPENGPSLKRNTSIPISQDSHFELTQTDESTFDFDE FCSAEMFAHCASETREPSQEDLLVPRSFPYMLPAASAPVQGTPREESKMERVPERETV VIAD EPUS_03822 MPGNKKDGSKRTLVRWSDDLDKQLVLCMQYACNEAGLRIPWENV AKLMGDKFSDGAIVQHLSKLRQKMIDNNLPVPPPLKRGTTVVPSKIYATSGGARRKSM PETPTTSSTVVTTPKAKPSVAKKRSRRSGMDSDSDSQPENMDIADTSDDEYGASGRKK KKQQRQPKPKQAPKKTSQESKEDENETAEEDQEDTLMNSPPVPGGTIKESIETPGPAT RTRGVRPDYSKLEQVSDDEESSGGDNVNDDKEGPKDEAENGVNEAVVENEAENLKRKS DGEVSPRSRVPASPATPSHVMNMPSYHNTPQSGGSVGIHTPYYGVAMQQYQSPQHNYA GMPISNYLPPSRMTSFSTNRNDSVSSLTSSMRPGLSTQSSFSHAPTASQGPFANQADI YHHVGVDFSNIGGDIEHDMRQVGAMLPSDEDDEEFSHLFTQHGRT EPUS_03823 MQRALSSVTRASTAPTSFSKLRTGRNLQQLRFAHKELKFGVEGR AALLKGVDTLAKAVSTTLGPKGRNVLIESSYGSPKITKDGVTVAKAISLQDKFENLGA RLLQDVASKTNEVAGDGTTTATVLARAIFSETVKNVAAGCNPMDLRRGIQAAVDAVVT FLRANKRDITTGEEIAQVATISANGDTHVGKLISNAMEKVGKEGVITVKEGKTLEDEL DVTEGMRFDRGFTSPYFITDTKAQKIEFEKPLILLSEKKISAVQDIIPALEASTQLRR PLVIIAEDIDGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDIGILTNATVFTD ELEIKLEKATPDMLGSTGSITITKEDTIILNGEGSKDAIAQRCEQIRGVMSDPSTSEY EKEKLQERLAKLSGGVAVIKVGGASEIEVSEKKDRVVDALNATRAAVEEGILPGGGTA LLKAAANGLTQVKPSNFDQQLGVSIIKSAITRPAKTIVENAGMEGSVIVGKLMDDFGA DFNKGYDSSKSEYVDMLASGIVDPFKVVRTALVDASGVASLLGTTEVAVVEAPEEKSP AMPGGGMGGGMGGMGGGMF EPUS_03825 MPVSGLTVHPDCIKAFNDLKLGNNGIKYIIYKISDDQKEIVVDE ISKETDYNVFREKLQNAQEKNGKSRPSYAVYDVAFDLAGGEGHRTKITFITYIDQDNT SVKARMTYASSRETLKNSLSGISMTWQASEPGELEWEPLLKEASKGKASA EPUS_03826 MLLRSLPRVGQQTSSSSAYLSAPRRTANITRPFHVTARSAAAVF ESSQQRQYAATPSSTNNQSRAAHAIANPTLAGIEKRWEAMPPQDQAALWMQLRDRMKV DWHEMTIQEKKAAWWIAFGPHGPRAETPPGEWGRVFLYTGIGVAVSIVIFVIGHSFSR PPPRTMTKEWQEATNEYLKKERSNPIYGISSEGYSGKGYVQSKAERKA EPUS_03827 MFKSGLARTLGRSAFARPAINSRRAFEPLRKHTLPALGARLATT DAAKNGKIHQVIGAVVDGTEIPHIVQSGYNELLEAPTMLGLVILETNSALAVKFDTEQ LPEILNALETDNGGNKLILEVSQHLGENVVRCIAMDGTEGLVRGHKAVDTGAPIKIPV GPGTLGRIMNVTGDPIDERGPIKASKMNPIHAEAPSFVEQSTSAEVLVTGIKVVDLLA PYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGYSVFTGVGERTREGNDLYHEMQE TSVIQLDGESKVALVFGQMNEPPGARARVALTGLTVAEYFRDEEGQDVLLFIDNIFRF TQAGSEVSALLGRIPSAVGYQPTLAVDMGLMQERITTTQKGSITSVQAVYVPADDLTD PAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSRMLDPRVVGQEHYDTATRVQQM LQEYKSLQDIIAILGMDELSEADKLTVERARKLQRFLSQPFTVAQVFTGIEGKLVDLK ETIKSFQKIMNGEGDDLPEGAFYMVGDFDSARAKGEKILAELDKA EPUS_03828 MASVATINIPQHVRLSYIALARRSFSSTSAQHSSSQFHRSDFAN QPFTGAYETGLPTSGPLGGASIHGAPRLTPKMLKQHLDQFVVGQDRAKKILSVAVYNH YQRIQEIQRQEEEAEELIAQRERRERHPVEDEFPGQQPTIRLGGPPTREFEGRMHSPP LLDMTPLTIEKSNVMLLGPSGVGKTLMAKTLARVLSVPFSMSDCTPFTQAGYIGEDAE VCVHRLLAAANYDVSRAERGIICLDEIDKIATAKVSHGKDVSGEGVQQALLKIIEGTT IQIQAKPERNAPRAGGQSSTYLTHSTLAGSGYTSPSNTSSTQPSKGEVYNVRTDNILF IFSGAFIGLQKVVMDRLSKGSIGFGAPVRSSSSLFYSPSSSSSSKPNSHNEPIPILPG SEEEALYKKHLPFFTPTQPPQDQDSSSDPTLPVPEPTYFNPLDLLTPTDLQTYGLIPE LIGRIPTTAALSSLTHSLLLRILTEPRSSLTAQYSTLFSLSSIELRFTTPALHVIASN ALVMGTGARALRTEMEAILGDAMFEAPGSSVKYVLVTEKVARREEGAVYFGRGQGGRV RRGLGGEGEIEAGGEEERKEERWRWEWGYR EPUS_03829 MRLLQIDENSEFSLTDDLINNIPPYAILSHTWGEDHEEVSFIDL TRGPRRTKAGYKKLRFCAKQAARDSLQYFWVDTCCINKENNTELSEAITSMYRWYSRA AKCYVYLSDVSIVDDNLVSPSLQPWESAFRNSRWFTRGWTLQELLAPPLVEFFCSKGT RLGDKRSLEQQIHEITRIPAKMRQTKRGEDKAYSLLGLFDVSMPLIYGEGDEKAFRRL QKEIRGRTASSLDRLPYAIEAPFNSFTRQHEPACLDNTRVDLLNDIYVWADGRDERCI FWLNGMAGTGKSTIARTVARRYYEQQRFAASFFFSKGGGDIGHARLLITSIAMQITQN IPASRRYICEAIAERNDIVTQSLRDQWQQLVLRPLSKLGEKGNQSAYVLVIDALDECD NENNIRIIIHLLAEARSLKTTRLRIFLTSRPEIPIRNGFCQMADVEHRDFLLHDISPS IVDHDLSIFFEYNLKLIGQEQSLDACWPGEEIIKRLIQTARGLFIWAATACRFIHEGK RFAARRLDTILSGINSASIAPEKHLDEIYTTVLQQSIIVEFTKEEKEETLNELHSVID IPKDRFQPLRLHHPSFRDYLLSKSRCQDPNFWVNEKQAHQVLAENCLRLMSTSLKQDI CGLNAPGKLVAEVARSRIDRHLPPEVQYSCLYWMEHIQKSSAQLCDNDQVHHFLQKHL LHWLEALGWIGKISEGIYAIIALESFVASDNCPNLSNFIYDAKRFVLSNRSSIEQAPI QTYCSALIFAPTTSMVRKQFADCIPKWIKTLPRVEESWNIILQTLEGHSGSVGTIAFS PDGKILASGSSDETVQLWDARSGAALQKLSHLGSVSAVAFSPNGRTLASGSKDTTIKL WDPASGVVLQTLEGHLESVLTVAFLPPDGETLVSGSRDGTVKLWDAGSGVLLQTLSYP DRVSAMAFSLDGKMLAFGLEEHNRVQLWDIRSGTTLTLSHSWRVSVIAFSPDSKTLAS VSLDGIKLWDVRSGVALQMLESYSEDGLTIAFSPDGKTLASGWEDRTIELWDTESGVK LQTLQGYSNAVSALAFSPDGKILALGSWDKTVKLWDAKFNAALQTLEGHSEEVNAIAF SPDGKMLASGSTDCAIKLWDTNSGAVLQTLGGPESAVLAVAFSPDGKTLASGLGGHTE FKLWDIKSGAELQTLKGHSSIVSAVTFSPDSKILASGSWDTTVKLWDAKLGVTLQTLE GHLEQINAIAFSSDGKTLALGSEDGIIELWDATSGAVLQTFDIDYTASFISFNSTSLL TDRALLPSQILSSVFAKDEWLSLCTDRILWLPTDRRATCVTVFGNVVGLGHKFGRVTF MAFDV EPUS_03830 MSLNQESENSVFADPASDKVAPDGTGVVQLDPWLSPFKDALKHR FSKAQGWIKTIDKTEGGLEKFSRGFEKYGFTFADNGDITYREWAPNAEKAFLIGDFNN WDRDATPMQRDDFGVWSVTLPAKDGVPVIPHNSKVKISMVIPGGERIERVPAWITRVM QNLEVSPVYDAVLWNPPKNERYVFKHPRPKKPASVRIYEAHVGISSPDLKIASYKDFT KNMLPRIQNLGYNVIQLMAIMEHAYYASFGYQINSFFAASSRYGTPDDLKELIDTAHS MGITVLLDVVHSHASKNTLDGLNMFDGSDHLYFHEGAKGRHELWDSRLFNYSHHEVLR FLLSNLRFWMDEYQFDGFRFDGVTSMLYTHHGIGTGFSGGYHEYFGPGVDEDGVVYLM LANEMLHNLYPECITIAEDVSGMPALCLALSLGGIGFDYRLAMAIPDMYIKWLKEKSD AEWDMGALAFTLTNRRHGEKTIAYAESHDQALVGDKSLMMWLCDKEMYTHMSTTTEFT PVIERGLSLHKLIRLVTHGLGGEGYLNFEGNEFGHPEWLDFPRAGNDNSYWYARRQFN LPDDDLLRYKFLNEFDRKMQWTEEKYGWLHSPQAYISLKNETDKVLVFERAGLLWIFN FHPTNSYTDYRVGVEQPGVYRIVIDTDAKEFGGFERNAHETRFFTTDLPWNGRKNFTQ VYLPTRTALVLALENTL EPUS_03831 MPCWEEEEEEEEEEEEEEEEEEEEEEEEEEEMHQKLPRNDPISG RLSRHYPREDGMTDTRSNNHFNPRILDNVKRPMTRWQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQRNSNSNSNSSRIETLCASYHGKSVYIQHCYSTESRRPSVR PSVRPSVRHVMLCVYASITVVTSKPVSP EPUS_03832 MASVRLDPTRRKSVLRVLFISLLLDLISFTFILPLFPSLLSFYR SLDTRPTSLLNRIIHYLNAYKRSFSIPINSKYDIVLLGGALGSLFSLLQAIASPIIGR ASDKYGRRTALLWSMVGNIASVALWCAATDFRTFLLSRVVGGLSEGNVQLATAIAADV SDESQRGSTMALVGACFSIAFTFGPALGAALANITLVAANPFATAASFSLLLILVETI YLYLYLPETRPPKTASTISDTKQNGSTAKHPIPPQSQGRQTNNPILLNLTHFLFLLPF SGLEFSLPFLLTTTLYPDHPSPSKLNGRLLGFIGLIASILQGSIVRRLPPVFIVRIGI CSCAAAFFLLARTTSSTGLYSAGALLAVTSATVVTGLNSLGSFEAAEADRGKTLGELR SWGQFGRALGPVVFCSLFWWAGRHVAYTMGGCCMLVVAVLAFGLLKAPPSSPSTKGQV KKKAEKAL EPUS_03833 MGWWWSSGTSKGPPSAPAALSNAVNDDLRSSPPSAPQLEATQKP QPSKVLTRDEESEQELANWLRELDSESTQNTSSKQSSNAIKRNPDISPDSLYPREISC RSAFDYAFFCQTFGGQFVNVYRYGTFRSCSNHWQDFWLCMRTRQWEKEDREKAIADHY RKKAIKYKQGPSSEDVWEMRTEPVKDAFQGDLEALEREVAEWRRQNPGAKEPWEQ EPUS_03834 MPLRGKKLHVLEVGSFEGASTTWILDNLMDHAESTMTVIDTFEG GMEHQAAENAQKYNMSTLESRFRANVAKCEHVNKLRVMKARSDNALLALRQESAHFDF VYVDASHVAIDVLHDAVVCWRMLNVHGVMVFDDFRWKGYMEDCYNPHVAILGFLRCAA PEIETRETESQMWVTKVPNRIPATPNPDPALYYWEKDKNSALE EPUS_03835 MVFAMRLMESFSQPERFIWHDGVFTVIIPNGTRTAYHPRASVSY LKTLLIPQLRLTRAGNISSHQPPPPPPHPYDFYLAQLIHYGLDFHFETESAQRALEIE IRLGRLHVPQGLVRLEKELRKVHERKQERDRQHTVRLATQQQLGSTADTAITVDTSED APGDKPILRTTHGRSVKRKKAQQDVILTSDESEARSSTEQSSNGVAEDSGNESDTIAV APDRHRGTSSGSSNSFSPEDVAAVKRSDSICSGRQSISDESSEDADGEDTSSGDDPFL EKQDYKRIKIEKADEKDDAILRSTREPGPFVVRRQLPPTQVKIRRIASQPHLNAQPFH SALKDTVGSQSTNKTIFASVSKMPPRKPFVSPDKRPSWTIPVRSPSSSQHNTPKSVTF SQFQRETPTKVSEVEKDTLSSAMKTPQRTSHRRTPSPSSDYSHTTPLRSILKKSVAHS SELLIEDGGNTEIAVQIPRNGKASPSRPRKRKRRSEANRGFHLELDGAEDPPDIPDDS YTEKPYITSDLVGGKPISSFRNGTMTQALQASSQHNASTVKSTGGWLEAKSKSYLQEQ TEKGTKRDGIAKSAPVTDMCRDRKLDKPTPGNSKVKLKVTGGAENPRKGRDTFARGNE NGYRDGKQLGLMGRSGSRGGLVRAGGVC EPUS_03836 MAKEVGTKRFLAVKVFDRPASPAEDMRRRQAIKHELKILDAVRN GPHIIVTGTARYLDDLSRSVELPMQFYPEHLASLLERTKTERGLRDAFFIVPLYYYTV LAQMLSALVFLHSQDVNIIHRDIKPENILIEHPNGNPTDTPNHFVLGHFGCASRASPP PSGLTDSLQFIAPEMYYGQKQTAAVDIWSLGMVCVEMAGWLPGTAGATTVEAMKRVNW CNCMQELGKEIQNYRPEIEEMLRVDVLKRTTATQLLTKINSSMHIVSQKASARLARLM IKKNMGPGVPEYDLDTLVDVYLERHDLVDEDEPANTTSEVSSRTQRAVITNKPRSTPP QLPPCLQLRGGARPSRGQPLTSAPATRPLTRSRRMMGPLRSAVLPPSLPSRRIAAPTN SDAISLPPLSQGVADSSAVAPIRKDSETEGGSATTVAPPQRSRSQEEGKASEVIATPK RTLRKGAAKKSSVSRRPAQTYPDDGPSTTAKTSCESQATIEPADATLKSRKIQSQQAK EPPRPNAAQISSPAQEAERLPKSVATQESSTPERERGAAKASVSTGPQGTTTPSPSAS SINLEVENPKNQRQATRQRRYSTAPQASSAAQLQSNTLRAAPQTNDTSKSTNSPRSTS SSAATSATHSKGQSSSGPRNPSQRLSADAPSFFPPGRSGYDRDQALHVNGSQPSRRSD DQCQETGVGAGLVAHQASGQATQGGVQSGRHGVMLSAHQGPQRSPALDVAGTSPPMAP LNRAIRSSPSLGQPAMPLPTQSIGPELQTQPNHTQASPHRPHRPPPLLHSATQAFPFW TPAGVYTDISPTLVGAPARSFLVYAQLGECTPPAYVPQVQQSYVGPPFQSSPSQYYFY SQPNGRGAPPYSEVPSSQAPRHEAGQGQGNVDQGGQFPSGLAGVQGQSTGAQARPSHP LDMQPQNRGAGEPFTSRHFETRSQQERVPEAVSSPRLPALPVQRDSAPGQLPSPPNTE AHTQQDRSPQKLPSALDSVAHSQSNKDEDEGEWEEPRHVRRRRSRKAIKGSA EPUS_03837 MASTPPELPTRFPCWCRAVYSWGGETDKDLGFIEGDLIECLNAG DGSWWVGRLRRDRRMMGSFPSNFVIVLDESFQPASRASSPMPESSPQKSAAANQNRAA SPQPPKQKPSACRKPFQGYKKATSPGIQAQNNSVPSLTQEQEERYDPRNPPSTVLWAQ GSHSRGPSRSPSPMPLADLSSSPPPPPPPHRVAIASHRGRAPSPLPQHTYRKPQDFAR TPSPDIASLNGHTPPMVRDAMDDVMSSLDDMGMTRQAPQPQAPFNPWSPEAFHDLHQP RSARPNARPVTSLGLGPAGSDFSERYQNSSRHNSPERDGEGPPQLSNYVQRMESRLRQ MQDEKMGSSTEEFQYQESSQPPAVPAKDLPWSSHSVSSKGHQRPSMKNRKSAYELDRT YTTKSSTTNSSSGVRSNATNTSSTTSMTSQSVFSAGGISATSAGSLARRNKLGSLNRR PVTSNGTRGHELRPQTPLTGISYHSSHNSRHGAKSAVGWENPSTFGTFGGGAGGLGGL TTPKVKKTGFFKKLIDGSKTVAASARSSIAVSQAASGPPSPPKRRLNNGITGIAGGIA ATNHAQDAAKEMGLGGGNIGWVNVRRDVNRSNTPGPMELQERADRCQMYDHPVIYPID ELYETAEGDEGADGHPVMDAFQMSNPMFTGVDKAARFITSLPPMITPASLAQGYVCRP HRSDVQRLRAIFTWASERIAWDEDFDGEIDARRVIQSKRGCSHEVAVLVYEMCSAIGI HAEVIRGYLKTPGEDLDLDASTHRPNHYWNCVIVDNEWRMLDCSLASPTNPKRSLYSS VSPSIAEPFYFLTLPSQLCYTHVPTNPMHQHIVPPIPPDTLLSLPCALPAYFRQSLHL NGYNTSLIRLENLELATLTLTVPPDIEVVAEIETKSYLHDSDGDLYENGDATVRKRAL AQASWYSSSAPANSSAAALLSKRYTIKAVLPPSEPQGMLKIHAGKRGLMHSSKDIPHP LALAVPIYHTGDNPQYEFLVRHPTPHAMRQDLYVQQPQCRVLGWGETFVFAVRQHGAS VVSAATGDEAGGLGLARPPSPNPLMRPGSAMSMLSASVAGGSEQGSSIVNAGAAGGVR VKDKPAKLAVQSPGGKILRLSRKPDLTSRGGVGGAEEVQGSVWETVVKVQEKGVWRGL VLADRSARWCVWGEWECV EPUS_03838 MAPTRSPQMMKVTAGCSEERESKRHETEYVSSVCQSHIPDREIA GLYLLMAEIALYEPLPPPPILIVTNDIDTPSLIETRLARAVRIGSDATLDEINRIAVD DEEDTNSASKSVHVHHELIHPLWYSKRAKNLHFKKPDWLHPFLNRKMYLRDRFYKHL EPUS_03839 MIEISTLPSLTATWHNTTYPTISPRQPHLSVSGKAIVITGGGRG IGARTAHSFASAGASFITITGRTLPTLESTKAALTSEFPNTTILTAVGDVTSAPAMDA AFSALSAANGGKGVDICIHNAGYLPDAKSVVESEIEDWWSGFETNVLGSFIVARAFLK HKNASSTADVPVLVGMGSAVATLSPPPKESSGYSVSKMAQQRFFEALAEEEKGVRVMQ VHPGVIETDMGQKGAAQGTKLPVDDTDFMVWAVSPEAAFLQGRLIWCNWDVEELKAKK EKIVAEPTLLALGRPAPSIHYHSRATYGAIDVHAAGATRATSAPALLTFRQHVTVVEA YFGADGTAAAFFDAGTAPDASEFAIVCAAAWVRTCSDEGKKEEEREGTHRPTVIEMSR RLFVAAGTRMTRQKTRRISVILGLEEKQMGILVPLVQTLAMIVGERDEGKVVLVPRQW LIVL EPUS_03840 MALLVSPLISSTLANYTLSQDYTGRAFFDGFDFFTDADPTNGHV KYIDKENANNSGIAGLMSSSTINNAVYLGMDAVNEAPQGRRSIRVQSQKAFNRGLFIA DIAHMPGGICSTWPAFWLVGPNWPTSGEIDILEGVNDQTSNSFTLHTGPGVVVSNNAS FTGQLVTPNCDVNAPGQAKNAGCTISTNDTATYGKGFNENGGGVYATEWTSSAINIWF FPRTAIPADIVQGNPRPCESWGPPRAVFSGDFKVDDHFKDLNIVFDTTFCGDWAGKVW AQSSCASQAPTCEEFVTKNKSVFREAYWAVNSLKVFEKMGDAPKLRTRSGGAVLPPLP EPUS_03841 MTSLLLALPQSLRLSRASRCSITELSPAISDQASRFIDGVISLI WPYSSSTHSTAVLLADPDFRIRRAKGQTKVTFHGEVAVAVANSHVGIGDAVHLSLEGA QWAQSSEDVSVVGKRADWDLEYVGQVFMEIQRGLIPLAVVDVSPLPESLDGPLREIDG NGGVKTRAPRTSRTQELWPSPAFAKPNVHLTDPSVQVKVSSAIEEDGYIPGSGRKRTR FSLDSGSWRYLERSSSPEDGNQTPDHADPPFNQEQVVDTTCIAWDTDNKHRDIDAVDS RVSQQPCSLTPRHHAVTNHADKAPEALMPPPTLDLEQDQIINGPSNTKSPVKSESILT PQVERHLGAEHSPTPPSTSTPILRPLASPGLPLISPLVGRHGGVTGYFPPVSNVQSEL HSSAEMFSQEALKGNQSSVTLPPPSSPTFPYQIEKSFSDDAMIISDPHPAGQTVDLIS LGDETQEWQRPEAGAGLHAAASEAKYDADDIRQPLISLEGFELVDDSPIEPQPVDRTS FRSVPDKASTSTAEVTSQKSIIQNIVSSTTLEQSVPRSPHKVGGDSNQAVIQSDNRVV NNSPTKQPSKLSTDQLNTTSPSHVEQKIGEIKQNHIGHEDNPYNGNKQQDIEQNHTIS VPLPSPLRLLDWTEALEVSAHDVEDDSLRISAPPFPFKQGWDTRAKKESKARQRVQKL QTAGLYTALRESYDGAGEGDRSSSAEPSDVVSSLDSRERSLSYDENGNLNQREVAAPD EISEPESSDSALTDGDESILEAEGNHSEDIQIVELESGKDHQLVVPELEMQPGQGTEQ ENFSQSVDSDAPMIDDEDGYQSPTPMEVMDLAPRLPEMPRSRSPSITPEEDTSPFTAS STPLPQFPSDLHIEEHKAAQLKVEQLATPDNTQDEPQNAQFRGLRNKLHKEKPLTELL SSPQNTQDLQEKEPLGANEEREKTSTGPSAGPPRPHLGESETDANLTTSFSEETRRRR SSRLSGKMPLLGKDPSEIVSPYFTPKRAIQDGQQDQDPSSPLRQPISPSSKPRDNVVV LIPPPTEKRSEPNIQQWSVTPTPLTSTNSSLKRDPADGKGFTTPHSYYPSLSSVPNHF HDLLDILAVASSVSKQPQRAKSGPKDYYVSMRLADSSCGAGDTLSVQLLRPYRNALPT CRRGDILLLRNMKVQTCPAQGTRRKSQESRELDSMMLLSTNSSAWAVFKFPSADSVSA VEDRSNSEDGSARSPDKRVTPVKMDVQVSGPPVEFGAEERAFARGLNQWWIEEGEAGF PDIPSKPGQKMKRKGKEKLNELEIPEETLHEHELRDGMAYGDLISPRPLHEHFHRNEN GHVEDHESSLHEHELRDGVAYGDTISKIPVHEHHQHEHHVDHTYSRGSNAPRCGETDS KAEDESSLHEHELRDGTAYGDTLSSKPIHRHFHVHDDHQDGQESSTSQLVQDGHNASL TSPASGEADRSHSLRNGISYGDTNSKGQNTLISTPTKPRTRSKRGVAIEAPIEQSESE SEAESEDASEDASEDESEDESEDESEDEMSLRISLRAQEMLSVCTMSAVAGQRRPPRL QLRAQARTS EPUS_03842 MPSTRPVSETSKTVVVRENPAENLAEEWTEQIQAPPQQPSGRKS RSGSVHHNSTRPERADGKVELQDADCYDKLGFRYSTVKKWTILSVIFAVQTSMNFNAS IYANAVGGISNTFGVSKRAARLGQAVMLIAYAFGCELWAPWSEELGRWPVLQLSLFLV NIWQLPCALAPNLGTMIVGRALVGLSSAGGSVTLGMVADMWEPDDQQYAVAFIVFSSV GGSALGPIFGGFIQTYLHYNWVFWIQLIFGVVVQIMHFLMVPETRSSILVDREAKRRR KSGEDPNAYGPNELKEQRISPKEVLTIWIRPFEMFVREPIVLCLSLLSGFSDALIFTF LESFTPVFKQWNFTVITTGLAFCSIVLGYVIAYISFFPFIHRDSQIRRRDPDALQPER RLYWLLFLAPCLAVGLFGFAWTSLGPPHVHWIAPLSFATLIGIANYAIYQATIDYMIA SYGPYSASATGGNGFARDLLAGIAAFYATPMYENIGHRYKLEWPTTILAFLAILVTIP IYVFYWKGPEIRKRSKFAQVLASDRKARGASKANTEGGAARRPAEHDV EPUS_03843 MPPKDYTPWKLPSAAEEIDRRAAKGTLRSSRTSSASASSSSSSG AHPSSSNSSSAGSTSSASPLPSAGRQKPPSRKSGNKSVPSGRPASQSALLSSSTAQPS SGSVARPSRSTYTSKQSVPAAAENAGSITSQSVDPRPQAEKIAETKKLESDKEAICDE LDKLEIQIGKEIKKRDDMIARDVKKFNAN EPUS_03844 MSHKFARRTYGRSRAVPINVPSATTDRKNRTLSEPAATQAVPLP PPPTLPPPSRSSSWTSTSVSTSSSSSSSTTSLPHRASVPASSLSSSQATSGLLPSTIS RPPPGRYSLRSESGPASSAETSLSTSDSVIDLYSGYGGFFCCSFEVTGDEFAYFTQAR KRGLPLPRGQTYEDRVEELVQTARFQQVEMDFAEGKIQEEERKMESMAVSTKGGRGSG SGSGSGSGGRRGISGKAGRVSGLARDSDAAASGSASSSHSSGARPGRR EPUS_03845 MRCQKGNRLCPGYPSNVQFQDETAKLHEQFGRTRQTRCGNQKCD HVTDLGDRKLEHLHGASSLLISKPSLVCDSPKSPNDQARVAGGNQLKGHDEELRLGWT EDTALPRRPQLQSYDGMLVRNIYAPDLQQRQIVSMFNSFMLPANQNIPAFCRRKPHWL EELASIQGKDSLLDTALRAVSLVYLSLSQNTPHLLLESRKLYGRSLRLLSRALCDPCR GWSSEALSAAVLLSLYEVFSPNNNTRTGYDSWTKHAGGAGALMQLRGPKAHRHGLNKS VFIEYRMALVIQAFMDGRPCFLDNPEWRKLGRYIQEEAQKAVTDRHGREILAIREDFF MELVRLPGVVSDARNIAKLTRVPGRNRKSVMNDIVTRAKIHRANMKASMMRLDLALEN IRQAVREYTNVPQDPIFPISYAYPNVIIASHYVAYWNALMRINTVLIYFEEDAFARQL YARENIEAAQNVCRSVDYMLTCSFRGPCHVSFALSTGMFCLESAKEKEWVVRKLSNIG EKLGMAKRLAHSHSGDGLFGALRKVTDELKQIKEGAG EPUS_03846 MGCGTSKSPHAPQRQKREAARSSRLFSTAAEQRAAGRPTPSTVQ SPAEVRSTRRARLASPELVERQASSNTPRTASLIVEHGSSSRSSPAAVPSPAEARTTG RSRLAGSEPPAQRASSEPQRSASLLVQQGSSNLLPVPNIHAIAKRGSSPLSSTSSPTV PEAELEPRNLFATSERKGTEKPQAPGSAMAQEVDLRPRLP EPUS_03847 MAIDPVSIAGLSLAALDQLLKLGERTYELIQDSRAFDDDTRKLS RKIADENIRTRLLRGLLFSETPIYGNKTLFEQFEPDIQKQIQLLLGPLKGILQEGCDL LERRYGVTEQNFGLASQTSNLSLASTLTPNSHVPSPRSKSPSIPDFFRWSFQDKKRVK AIVDEVGDQNNRVHEKIKLWCLASQLGVNVQHLQRLQRDEISRQLGFDVDATLRLTQW DALNVQSGLELTDPSWNQYLKPITPVPNQGKFATLTKDGIAYVHEGHHYETEARCPSN VLDGRTRKKVDDLAKLLHQPKEQVFRIPRCVGWKFMPMQKSIGFVFEMPSTPDAQPTS LLRLLSSSESKPELGDKFRLALNLARCIAQLHMVKWVHESFRSENILFFPSANSEVEF TEPWVMGFNFSRPESFFSAGPANFLPAENIYRHPERQGEPEKMFSKIHDIYALGVVLL EIGLWEPAIKLEKNMFAHARDGYAIQSQLIKHAQKRLESRVGRKYRDAVVKCLTGSFD VRDDNKEDLKLQQAFRTQVVDVFEMAANFV EPUS_03848 MAALTIQSIAETLDSNLSWNTKLSRTRVSTVDGLACTESSDAAV QTLEGVLRMVKRLKLRQCQFSDIEELEPKVGEGETFVVDRCKLNGKVVAVKHIKLGDY NVDQRAFHWRLQSVVREISIMHHQPLAQHPHILQLLGYGWKLEGSSLLPYIVVEYGIG GDLRSYLQSHPQSSLKSKITHCGDVACGLMALHQCGIVHGDLKLNNVIIFESWDRPSG TIAKLCDFGHSILLAVENPSQLRYVGTTLYNAPEVAKQRHTPIDWENLHKCDIWSYGL LVWEILANGEIYFKRKWRHDPNFARTTREALSGTTLGDSRCPTTREEAQEESIQPEEE GVMGTFDSKHLRNLATQFVNTMQLPIFEKGCLGPLFKLTLQEDPALRLSDLNRLPIVS VWNKSGASSLQHKLAMHVGTSEFTFEMFQGRDIPWEHQVSMLSDFERVASLRQGGEQS TAAAFHVALCYILGFGTKVEYSTATQYLRKAEEQAHPLAQLFSPKLLSRISNLPNPGL VDYTSIVHKGFRAKRFFSRNTKISLTTGPAKSTDCELSGLELDTDASQPRSFANFQDF LAWQLAFIRLKGDLTQWQVTIGTGPKMNFLECAIAFADTALLSHLLSNTDSDWDRIGA WGETPLVQATRRGSAKMVLRLLNASHDPARCDESGASVYHWLFSLTADSEEANVDSVL TTLFLHPHAAEAIERACTTPYVLHAQWPLQLLGTPLAFAVSSGSLKTVEALLQHGVDP RAPVYAAAEDGVRSKWTALHLATKYHFPQILTALVQKADEIVRSSHNPLKELGISMAR NPFRNPEWKGREIPEALLAEALLEFPDLQLPCVLGHITTLERYGIHGGDYRRSIVDVL DILPSRCLEWRCSDGQTALMQAIDNNDYDLASVLLDHSPHLASAAFRDPTEKGAHTYP FHFACQIASRCDSEETTDIASRILQLHPEAVRDKDSRLRTALHMSVTGSSSRVTKFLL FHEAPKDAEDIDGASPLHYARSLANVVALLDHGAMIDYTNKKGLAAIHLAASIGADDI VAELVKRGAKLDLSNNDLGSPLHCAVIKKSKACVYSLVQGNAPINARDRDNNTPLILA TQSGRNDIVQILLEHGADVSLQNNSRVTPLRAAVLTKSPALVEKLLNHNVYHLAQETS SQTILHLAAAQADAATIRVLLDKLLHTGVLSINAVNGTLQTPLHVAALAARVGIAQVL LEYKPKIDTLDVDGATPLLAACRSSKQRVIDANGNRTEFVDLLVEKGADLRVYDYKEQ GAWVLAREYQDFNLMAYILDKLSQMDCLHDHVTYKDPVDRELIEWAIQQDEWDFVTTC LGTGAIGSKFLPQSMAKESRTNIDRLYAYAKRGDKDMVQWVLEASRNGSLSNSTVHTR IRYTGMRNMYRYTETRNLYLEFHESLAPSGSTILFVPPSSAPHLAKDLESPRVSNFLD GMLRKRSSTPKPSLEIGECSSNPSDLLLTPTSTMDSSSHSPSRLRTPSFGSSLENLLQ RVTPGEVNRSSLDLGRFWP EPUS_03849 MAESRPAPGVQRQSPAASADPRSNLTRNISAASLDAAVVPDLAN PLLRVPSQAQRDRQAVREAHSSPRRGGDAHSGNSGPRPGEQSGPQASGGARSQSGRGA QPQSGEVTASQRAKDPYRHSLLVDRLKSKGHIAQGKQLLSRADSLVRKEPKTASDMDM ANELRKRGDYLCKEGTDSALRLEQEFLSSYSPTMKDFYTPAQVTYCTAIRDVLRGEAD AEKRLERFRARLRTLSSGSTVESDANLRCEYVQVIDQELRLIAGTPQKPTTGNSARRT NPGSVVEDAAAGVNGPSQGAGRATAVNQSGSPSKKRDAPDTSERPATDAIRRNTSQGL PPGRPNDDASRMNTTLGIPVGAATRLTAHARGAGVSGAGAGPAGSTGPDPFANHPGSR PSNSGRPIASHSLEPRPADAHPAGTHATGSGPPGTGSIPGHAAPPTGSGNSGSERECR AGTTGAGPSESSRSDSSTGATGPARLSHSGGSFGTPGSAPGSVRFSNSDSDSIVAATG SPRGMDQSSSHGGGSGRHQKAHHPMQKAYAV EPUS_03850 MTAAESTSERRYHHTSSAYVLPNDAIEQNRLDAQAVAIVEMTGG VPCLAPIRSMTNVTKAVDVGCGTGVATLQIAGIFPSAKVYGLDISLIPEAVRKVAPAN IVWAVGNVLDVDHDKPGDDVMSREIFTSGGLDYIFGRAEQSGLSTRAGTDAAPIMKDA GLEIISVQTFEFPFVPSRKTPNSQTMSEYVQAKLVPNYLELLRKMLGPQGITGDELER LTMGSFRDITSEEGLHQKYTVTIARKP EPUS_03851 MLPAVGSELITKARLKAKMWDRSDIVTIGSSFILRLSAYIFLRW IPGHHFPPLILTAFAIYIASIVLNHEYIFVALRKSVPRVVVDAHRKHDELVHGTMGTE LETPAVYEEPKTSTIRSILLSGLPHPRLKAWSYATIAINALLTLFALDLVFRAPTLHS AENLRFSRVGFVDTTSAKVLLREPDSKQLPIYAYYKPEDSSFWITADKIYYLDEETDY TYPVTFTGLQSATTYDYALSNDLTGNFTTAPAPGTSAATSLTFVTSSCIKANFPYNPL NHALEIPGFKHLSDILASIPSPAQFMLFLGDFIYVDVPLRLSSTVSHYRAEYRRVYAS PSWALPHLKLLPWLHTLDDHEIANDWSNGNTTPPYPSASDPFYHYHVSVNPPTPPYLL PANPNITYFQFTNGPASFFMLDTRTYRTTPDPLDPLNPNTTILGATQLQTLLTYLRTS EPSHIHWKILTTSVPFTKNWRFGTLDTWAGFPAERATVLSAMHYAERKLGVRVVILSG DRHEFGAIRFPHPQPYLLPPPPSLAPGALLSSRSRTVPPNLRSTSQSGPHEFSVGPLS MFYLPFRTFKQVDDEDVTIKYMPDGNSKVGVVHVEGVEGDRTKSLMRYSLYVDAELKW EYVLTSPAEEFWESSRGGGAGALGSGVQELLVGSGESLWL EPUS_03852 MVLSDLGRRINAAVTDLTRSQNLDEKAFESMLKELCNALVSADV NIRLVQQLRKSIKAKVDFKNLPPTTNANSKKRLIQQAVFDHLVDLVDPHAEPYKPKKG RSNVIMFVGLQGAGKTTTCTKLARHYAQRGFKACLVCADTFRAGAYDQLKQNATKAKI PYYGSLTQTDPSIVAAEGVARFKKEKFEIIIVDTSGRHRQEADLFAEMEQVQSAIKPD QTIMVLDGTIGQAAESQSSAFKSTANFGAIIITKTDGGAAGGGAISAVAATHTPIIFL GTGEHMLDLERFAPKPFISKLLGYGDMSGLIEHVQAVTKDNASAKETYKHISEGIFTL RDLKSQITNVMKMGPLSKVAGMIPGMSNMMAGMDDEDGSTKMKRMIYIFDSMTEKELD SDGKMLIQQPSRMTRIAHGSGTSVREVKDLLTQAKMMAGFAKNMGGQKKNMQRAEAMM KGGNKQQQMAAMQKRMASMGGQAPGAGGRGGMPDMGKMMQMLQGMGGGAGAAGSSAGG MPDLAGMDMNALMQQMGGMMGTGRGRGR EPUS_03853 MDTKIKARLLIISDTHGMDFSPADKPLQQADVAIHCGDLTDGSK LEEFRTAVQLLKDINAPFKLAIAGNHDFTMDIPTYEKKVVEAVPPVDPELVVKEYGAV GEARRLFEEAKHAGIAFLNEGTHYFTLENGALLTVYASPYTPALGTWGFQYHPERGHD FSVGRGVDIVITHGPPKGIMDYTYGRERAGCPYLFAAVARARPRVHCFGHIHEGWGAR LVTWRDVYGGQPTHFTAIDNERSLVVEKLAHLEPSRFDTQADAEQRLKKLERYSQDRC CATSHCLADENPLEHGKQTLFVNAAISGSGYLVQRPWLVDVELPRAC EPUS_03854 MAPLPSQKRKIEDAMRGRTERPKKRVRKQKEYHSSSEDADEASG DEFAPIDLVESDDNARKESVPTDKQGNSTDTSITGGSSDSEDDASSLSSDEEGDEAVR PGEEKRPTSKRNDPNAFSTSISKILSTKLSQSARKDPVLSRSREAADISNAIANEKLE RRAKAKICADRQEELERGRVKDVLGLQSGMAGEVGEEEKKLRKIAQRGVVKLFNAVRA AQVKAEEAAREARKNGTVGYANREEKINEMSKQGFLALINGDSKTKPIDESR EPUS_03855 MATSREGPNPLRPYYVPPIVGTASEPLPNSSSSIPKSTAGGTSS SSFSFGDLDYSDYLPDSSPSISASVKELLDKAVWKYASVLMAQPFEVAKLILQVHTAQ EEQAVGPESEKERPFEYHDDEFVDSSDEEPNFFTSNTPPEQDSSFSPARGRTRRPQKR LNGHKHQYSPAPSKSSLSHRLSIKDPASLVDALSSLSSNSGALSLWRATNTTFVYTIL YRTFEAFFRSLLAAILGVPEGDIFTLSSAGAMPSTAILTSTAPLASVMIATTSSTLSS ILLSPIDAARTRLILTPASLSPRSLLSTIKTLPSPSYLILPHLLPITFFTSTTSALIS SSTPLILKNYLNIDPVLNPNSWSIATFALSAIELVVRWPFETVLRRAQIATWTAPPGA PPVPSSSRKAIETIVPVPQIYRGIIPTMWSIVKEEGNPVPKTNKAVAVMERSARRPRK GQGIEGLYRGWRVGMWGLLGVWGASYIGGMQAGAEPVAEGIPVHGKKF EPUS_03856 MAQASPIAISPPLLAPAGSAQSSQTAITPKSSGDPLSAIPATSG VTSPLTSLTSKEWVVPPRPKPGRKPATDAPPTKRKAQNRAAQKAFRERRAARVGELEE ELKKIEMEDEEEKNALRARIDKLDKEVEEYRTSLDFWMQRCRGLEADLAIESAAKEEA LQRSKEATRATVARSPNDKTQGELPQDGDEVTGCGNCSSISNCRCIQEVINMQSLDPN AHHADVTSNKRPHSPPHNHSNSKRIKAEPLDDLETDFTNSFSMQQSGNTRESRTSPSS PSASVDPCGFCTDGTPCICAQMQAEAEANNINSHSNDQQRHTFDQNHTTSQSLELGSE QQSSSSLFPRISQLSHITPPPSDTDVSIPNPSGWQQYSSSSSDPCINGPGTCLQCRSD PNSTLFCKSLAKSREQKGKIVSIPSHQQQNGCCSANATNGNACCQATGPRHQADASKR STAPTRRTRSCNQNTNSEEDGKEEEENVSQLPTITLSCADAYTTLSRHPGYTKATGEM ARWLPRLHATPAIPADHIGSSSEWQAGSNGSAAGVDVSVPGNGGGGIMVEGRPALEID AANVMAVLREFDRRFT EPUS_03857 MAAFVATGSLLIDHDPGTVRLTYHITFQEGRFALIHIPLEYYPF FLQPIQRLLFGEDHDEDAFKIPWPYRHNFLNVSITPIECSIVCSRDLATRFFRPLVER FADLLGGGDQSDHVQISPEDFAVIQVDGQGLDAGQRVLELTSPLALAGISIFFQTTYF SDYILVPHKSIHTVIQTLEQRGFTFSPSAAAYVSHLSPSSPITPRHSHHSSTGNPFDF PGSSSAPSTPPASNIDELRKQTFSKLKRAGIVPDVDRSIRLLSCAARKETNKVLIAQL RDDLLQVLLATCPGTNTHHKNDNQAPKFSTRFLSLTLTSEEPISLLLESSLLSNPNLA LASTLLFSRSSTSYRSDDEGSPGAGKNVLIPITLDLRDLPLEATGIICGVAGCLAQVT THSDHYKDGRIRIDDDGKNLSPKTVNTESFMKQIEVRPGSAGEAEAQDNAVEISFLST ARAGTVIVRERELERAIRALEFGAEMVSPPRVGNTEI EPUS_03858 MGVPKFFRWMSERYPAISQLIADNRIPEFDCLYLDMNQIIHNCT HKDSDSPTFRMTEDKMFIAIFNYIEHLFGKIKPQKLFFMAIDGVAPRAKMNQQRARRF RTALDAEQAREKAIKQGVEMPKEDAFDSNCITPGTEFMAKLTQQLRYFIAKKVSEDVD WQGVEVVLSGHEVPGEGEHKITEYIRQAKAQPDYDPNVRHCLYGLDADLIMLGLLSHD PHFCLLREEVTFGRQASKKSKELEHQNFYLMHLCVVREYLELEFQELKEDGVLEFPYD MERIIDDFILMAFFVGNDFLPNLPNLHINEGALALMFKKYKEVLPRLGGYINEHGVIN LERLGVLLDELSDVEHRFFEAEYQDEKWIRSKKLESNSDIEPKAGKPVKSITPAQKEI LKQVKTYVMAPANDDHDTRKPLDLSPTLPARDRKFVQQLTLDLNLRWKTAENASGDRF VRVEFPQDRKDDEDSDDEEGHLALMRVLKQYDRARVEESTAEQAQEAMQKKYDQKFVQ WKDKYYREKFEWGLENEEGLRELTENYVQGLQWVLFYYYRGVASWPWFYRYHYSPMIS DVKKGLGADMNFQLGQPFRPYQQLMGVLPDRSKKIVPTAYHELMTSPDSPIIDFYPRD FELDMNGKKMEWEAVVKIPFIDEKRLLSAMATKDHLLTADEKQRNGFGISLKFTYSPD LDFTYPSPLVGVFPDLTHCHCVENVFELPTMDGLEPYVGLVEGVKLGEAALAGFPSLK ELPHTGSLGFHAVNVFQQDSRNESMIVSVLDTERRTKAETAKLLLNRRVHVGYPFIQE AKVVKVSDELFDYYMPENTRGQVHASNHTPAEIAEFHKKAERIENYYSKRLGMLTGEV ESLVHVEMLKGLRKLDDGSTVKDFALLPGVETIHASQLVVESVISEDQRFLEKAAVSV EEEFPDGTNAFFLGEYAYGRPLTVTGHQAGKINCLLSVTKAKKDEFGRQIAKAAERLS PYTPSYAVAKALQLNALALSKITSSFSVRVDGDQVNLGLNLKFEAKKLKVLGYSRRGS TGWEFSRPAIDLIQQYMIKFPDFIAAIHSNPQGDRLDATAFYPGDPQEAKAKIKEIQS WLKEIESKSFERVPLEAEQLDSDVVKQIELAADEAVRTEPPQTLNTMNGLPRSALLKP SDAAHRLGGQKFRLGDRVIYAADSGKVPIATKGTVVGLTQTTRETWLDVVFDVSFMSG TTLGDRCSPFRGSTVPTWSVLNLSEWQLLAMSKAGATRQTSTHSSPLTIPGYGAPGIN GRGELNEAQAPPALRGGWRAAVAGQSWRNSGRANGSIPRGRGSSSTIRNHSDGDLPIR SHSQPSINGSRGAGVNGYSSRARGGNTTWSGYPPIDRGSEQDSVVRNNPHFRPQQHHS VAPPASLDTTTRGRGRGRGSFWGGRERRGESRGESRGGRGGADRG EPUS_03859 MADRDDDMSDLQDKEAEETEQKIINEEYKTWKKNAPFLYDLILS TALDWPTLTTQWLPDKQSLPDKNYSTHRLLIGTHTSNGAPNLLQIANVQLPNRVTPDV KDYDEETGEIGGYGGGPSRRETIEVKFNIIQKIDHPGEVNKARYQPQNPNIIATMCTD GRVMIWDKSKHTSIPTGQIMPQIELHGHEREGYGLSWSPHRNGHLATAAEDKTVRLWD ITQFSPTNRLLKPARTYTHHSSVVNDVQYHPLHPSLIGSVSDDITLQILDTRQEDHTR SAVSTPADQHSDAINAIAFSPAAESVVATGSADHTIGIWDLRNLKYKLHSLAGHNESV TTLEWHPFETSILGSSSYDRRVIFWDLSRVGEEQAPEDSEDGPPELLFMHGGHTNRIS DFSWNKNDPWVMCSAAEDNLIQVWRVANAIVASEDDEDVAIDELET EPUS_03860 MPITRQKAASEAAFAYSPLDPALTSGFRIVTLLPGDRYSPLSCT LSQEDWRDPVGPYEAVSYFWGDPRRTKDLYINGKHFLVTSNLGSALRHLRQDGRGQHR RLWVDAVCINQADNQERSQQVRRMSNVYNNAKQVIVWLGDANSESNKALTFINTTLRP CFESVGFSCTDEQANVVSRFWEEWDEGKDEECWGAIDHLITQKHARNWASVAQLLCRP WWSRAWTVQELLSAQRATVLCGGMSLPWPLLDMTIQMMLRNTKIEELYAKKKLDIFHN AVEDAYGFAYERSHRILDETDALDFVMLMQVTRYRDCQDPRDKVFSVLSLLSVDFQAS FYPDYLQPVPKVYASAVRSYVQHSGNLHTLSSCCLSMHASVPGLPSWVPDWGLPFEMS YIGGYSAKDTDYNFRASGDLSALASFSEDLQFLTVSGWNIDTVNNNRLQRDAEDFEYS YDKESGYEPWCSWDIHKIVAELEKSGKAVLTRKRESLLKAVFYALIVDRDPIRGKRRQ NLRLIKQHGKMWPDCLDDYLAHVRLWTQERSLVSSTGGYIGLAPSCTLPGDKICVLYG FHAPVILRPGTDGSYTFVGDAYVHALMDGEVVASANKTKFKEEQFVIR EPUS_03861 MFFYKLAMTALLANYVTALPQRGRTPGQKPSTPKSDYYISLGPR PYYLVNDMTPSPLKDRLESCENGPFSITDFSIGHRGGGTLQIPEATVESTTAGARMGA GVLECDVAFTRDRGLVCRHSLCDLHTTTNILLKPELAAKCSVPFTPANGTRPANALCC TSDITMAEYMTLCGKMDSFNASARNPQDYQFGTPNWRTELYNQCGSLMSLDSFITLVD SLPGHRSFTPELKTPPSTVPMPFNGYTQEQYASDMIEAFIRRGIDPNRVWAQSFNPPD VFLWINKYPQFGKHSVYLDEAGETPANFTAAVARLPSLAAQGVNIVAPPMQYLLTVGG PNNNTIVPSAYAIGARAAGLDIITWTIERSPPLARVAATRDYYYSTIASVIRRDGQLY EVLDVLARQVGIKGIFSDWSATVTYYANCFGLEGPVAADYKP EPUS_03862 MDSTFSYSQPQPLLITLSIVAASFFFAVWFPHFRSSHREDPAGY CVRPPEAVQSPYQAKSSDGCTSAYDDKRIHPRCPADGRSLGPSIAPSNAQDIDAAIER ANTAQPHWSTTTFCQRRRLLKTLLQYVLDHQEEIVTACCLDSGKTKVDACFGEILVTV EKLQWTIKHGERALKPSRRAVTNLLMRYKRNTVVYEPLGVVAACVSWNYPFHNFISPV IAALFSGNAIVVKPSEQTAWSSVYFLEIIRGALSACGHSPDLVQSVICWPVTADHLTS HRGLSHITFIGSRSIAHKVCTSAAKALTPVTVELGGKDPAIILDDSTTIRKLGQVASI IMRGTFQSAGQNCIGIERVIALPCIYDKLIDIVLPKIKAMKIGYPLVHGDQPAKGTTK CKPNTTSDIGAMISSASFSRLESLISQAVASGAILHCGGSRHQHPSYPDGSYFTPTLL SAVDPAMPIAQEELFAPIFLLMRAESVDHAIQIANSTPYALGASVFGHNRTDTDRCVR EIKAGMVAVNDFGAFYATSLPFGGTKGSGYGRFGGEEGLRSLCNVKAVCEDAVWARWL GVSTQIPDLLQYPIRDGVAVWKMCEGIVYLGYGIGLKARLRGLVQLVRAQILSWPAKT ERVARKVDPKVIR EPUS_03863 MAAQGLAATNLRLDFHRHGSTTKILSFCDLVPSVGLVTTEPDEH SRLLDHTQSSEQVSTAHRERRSWVHVSANTFRVIWTTGGSNPLNVLLVFVPFGIVAGA FAVNPPTVFLLNFLAMIPLASILSFATEELSDKLGNTLGGLVNASFGNAVEMIVSIIA LKNNEIQLVQASMLGSILSNLLLVLGCCFLAGGIKHHEQFFNATVASTMSSLLAVASA SLIIPATIYDTLTLKPLDRQDTLLTISRGTAVVLLILYIIYLIFQLKTHSNLFDEENQ PEANDQENAHTLSPWAAGMLIIIVTVTVAICAHYLIKVIDPFVETAHISKTFTGLILI PIIGNAAEHTTAVVVAWKNKMGLAIAVAMGSCLQIALFVTPGLVVLGWIMDRPLSLHF GMFETVAFAVSVFVVVLLIQDGKSNYLEGVLCLALYGIIALAFALLPDHPAGVGGGKV LDNAAV EPUS_03864 MQTTTELQLPSSSSFDYESDAVAAMTEYSRIMHSHTMKQMENAR RASRRRDAESGAVSANAKLRKGESASSDSSRGSL EPUS_03865 MAAHQLTIERAKFSACLLKPDIPTVNRDQVAVCLERLDKAVSRC SPSNIQSCIAWLLSNIVSSSPRVVGLGKYLVALANAEDTSRNEDGYDKKTKTSSSTCR KRLHILYLLNDLLHHTKYHTSNSSSFPSFVEPLQPFITELVQLASRQDRPKIRTRIDS LLQVWEEDAYFSKGYVDKLKESAVPNATGTAGEAFVDRVTSTSQIRDEKDQAYLMPAS HGDSSTPYYDLPAGNLMPHIMPNRSIPIRMDDVRALQFAAGPADDNLVVALKHFMSAV EKLDNPVMDVHDGEGNTEDVDELGQPLLRDEAGEFITGHTYYGWSRAFCEKMRGRSVK KVARSGRERSYSSSRSRSRNRRKRRRYSHSTSSTSASYSRSRSRNRSNYNNFGNGRQE NSSSERGHHDNRPSSQPRIYNSTFQPAMSQSDTQPPEKNGPFHEMSTQGFQHPAYPLP EGIPLPPSLSAPHKRFSQHVFPPPPLGLGNLPIPPPVNYSGPWPPPPPPPPSNMVFKT SSSNEPYYPSQGFSRPPSYQGEPSHGSGSDHTQWPR EPUS_03866 MDSARHRVSGCSRHDEEPKRNTTTANHAIIRLGWGVLCVAGGGA YYFAKRSINADRASRHEADMKRKARFQNLEPVAPVDNSLRTKRKKSPTNGEGQDHVGS PSAEASEDAAPVGHAPETEQQQIRERSKYEAAEPYRSRKGDRFS EPUS_03867 MPPKRKASDIGSARASKISTPNPATPVSLRSEDNDEYLSGSNNQ SDQDYVSGSEQEHINRFKKKTAFSSYSSKAKTNRARPSIFGSKDFSYLSLKPDHDNRP LWIDPSVSAGSKKGPKITLESFSPLAAQAEDFLITVAEPQSRPIYLHEYRLTEHSLYA ALSVGLSGQDIIRTLEKLSKTPLPTTVSDFINRHTKSYGKVRLVLRDNRFFVESEEPT IIQMLLKDPVIESCRKEGAEVVQGTLANKAVVISGTSDARGARQTADQPGQNTEAPKE GRETKEDEIMKALRDEDDEEDPSKQTHSFEIGPNTREKVAERCLDIGYPALSEYDYQN DRVNPDLKIDLKPHTQIRSYQEKALSKMFGNGRAKSGIIVLPCGAGKTLVGITAGCTI RKGIIVLCTSAMSVFQWSNEFKKWSDVNSGDVAVFTSGEKEKFRGQTGVIVSTYSMIS QTRQRAHDSEEMMKWITSREWGLMILDEVHVVPAAIFRKVAENVRAHCKLGLTATLLR EDDKITDLNYIIGPKLYEANWMELADQGHIAKVQCAEVWCPMTIEFYQEYQSLSSRKQ ALLYIMNPTKFQVCQFLIDYHERRGDKIIVFSDNVFALKQYAEKLKKAYIFGGTGQQE RIRILENFQHNDAVNTIFLSKIGDTSLDLPEATCLIQISSHYGSRRQEAQRLGRILRA KRRNDEGFNAFFYSLVSKDTSEMGYSAKRQAFLVDQGYAFKVITQLSGMESLPGLAFK TLEERLELLNHVLLQQETNADIANIEQIDEDLFSGRSGALNSHGKKKALVRRQAGSLA DLAGGGQMAYKEQNVSRNKQLKKDRGPQSEYFKKEARARERRRKARQKEQADAAGVD EPUS_03868 MTSHEESTGPPAYDSDKAALERAGKKEVLKREWGFFAMLMFGSA TLSTWEATSAVFVSAYTNGGPASVVYGFIVAVIGTLAIGASFAEMASISPIAGAQYHW TAEHSPPKLRAVLSWAQGWITVLAWQGAITSVCFLCASMTQGLIIFNDPTYVPERWHT TLLMIALGGLAVMGCTVGKALLPLWESLAGSLHVILFFIITVAILITSDKADNRAVWA TFVNAGGWPSDGISFCLGFLTPAFALAGIDAIVHMAEETKHAAINIPRAIIGSIIING VCGFAFIVAILYSIVDVEAVLTNGIATGYPIIEVFHQATRNSSAAVAMMCGPILIFSM AVFGSTASAGRLTWAFARDRGLPMSRFLSVVTNWNKAPTRALLFNYIVLCLLSLINIG STVALNAMVSLATVALYASYGLPILLFALRRHSKTRSICFGPWRMPEYLGIISNWVAI VFCAFLVIFLPFPPMLPVTALNMNWASVVFVAVMGFAIVSWFVRGKGRFVGPIIEVGI RDGSDGEVDDGEHEHGSVTKYD EPUS_03869 MASNRRGDPGHRSPPPSPSPPQSLAASEHSGRSNKPVCQVCGRQ SCQLTTHRDYARRAAVPPTRPSSLSKPSPKEQEPNFPIEMKANMRQLYHHFFTVEIPR REVIPHPTGVSRNRAMSQIYSSLAEKCPSLCYSIVAFAASRIASSQGAVDDRALKHYS QGVRSLADAVNGPAAESVTLAALTLLQHDLIFFHARAIDTHIEGIYTMINKQGGLAYL DGTIGQIALTCDYQASMLLDRVPNYRHPSLPDARPLNAPDVTNGQSFPTCSIWPLVDP RLREVIQDVCLLIELLERSRHYPTTMGDYQFFGYKRNVIENGLGFMYAEFNGSATINE CLCLAVILLQSMTIGGIDTIHGVFDHLIPKLKVAVGDIHLKMGNLWATETQMAIWIMF IGTAIPDVYKRFRIEFLDKVSRMLEATYGKELPNSKARVRAGLSKYIWCDLMLSKRFN KAWKELMSFSPQQGADTADSDSGNDDGSSQATAGEAGSIVAGSAMPSGANLPRDEPQA GGKGKGRERRPT EPUS_03870 MAASPEPPSGPNQTSSSPHAASPTIDTENPFIAFRRFADAQLSS LLQSVVDLPSLFAEPIAGEQWSSIDDFRAKRREARIQTRQQWIAETERDLHATLSGDN SISRRREEEMQKWGASQKSAKVDQPTEEQKRNRKDTRKRHESMGWDGKQRTESNTWPS KEGKKDREERFTVSTSEGEVNLKRVVDSWDEGQGFWMAKDDPRLRSNTITGQDPFSDP DQAVSWLLTDSYSPLYLDRSMPYRLHPSFYKSDAGDSYFRGDYQPGDLYPRFASNSVT RHDPRLAEKVDWRAAFHDLLDIHHKGSSSSPTHISNRVGSFSSAFSPGSWISYLIGTG SLGSQWQRLSVPDSNFHPYRFCYDNSPEAWAVLPFQGRFYVRDKMGNSPLAPFGEYEP KNDALAVISEAPNCTTGEAFPRDQLTNGSIFASKNVGQREAPYYLDAQKQMRKIGETM DDNEWRSYRPAFTKAAISLIRNPDTEQSTLRAVDRVLRMIENSELEDEVESILAKTFS GIDFRSQKIFKEWFNEADDLLRSDYFEAWKAAKESVDSEHPDVYGVKTAEPKKTSKSP SVQSSNSSSPPVSKSLCDSNFSPSFSSSSSSSHNYESISNQSPTSIVSTLSTIETRRL PDGRTQIRRVLKKRFADGKEESSESTQIQSGRPSCGVGRKSVPKKPEAEVTGKSEPSV VPTREKNWLRGWFWTR EPUS_03871 MAVNNDTSFSSNSASASLTGEEIAEHEKALEELSKLERQFARVE LDQQRRKEHALRPLYAKRQQIISRIPDFWSTVFLCPPPQITQYIQPSDIDLLTHITSF RVERPEISESGTNGDPRSLRITFEFGLNDIIEDKKLVKELRYRHAKKGSREGLVSDPV PIKWKSRKKDLTRGLGPCAVSLFEAEKAVRLQINGEEVDAVSREGLWQNERVLQGLRT MEELGEERLGFFAWFYYRGVDLSAPHAGADGQEAEEDDAESDDGLRDVEIYPDGYDLA NFLAEHLWEDAVDIYVEALKPPESDLDDETRDTLDDEISNSTKTSLPSSKEVGLSLAA RTDGETTRNGMPNETAEGARPGKKRRRS EPUS_03872 MAYTDQDDPFLQVQADVQSTLQQTRPLFSSYLRIRTLATSATSP ELLQSRSELLSTLSTLSADLADLIDAVNAVEHDPYRFGLDVVEVGRRREFVRDVGGEV ESMRRELEDVVEHRGDGAVGAVGLANGSVGRGRSGNELPDPNSFAVSGGGGDDDGGAE DAYGEFEHQQQIEMMHEQDEQLDGVFRTVGNLRIQAEDMGRELEEQDVLLDEVDTLAD RVGGKLQIGVKKVGHIIKKNEDTVSSCCIAVLIFVLILLLILVIVL EPUS_03873 MASELSESSSSPDILTPAVSVDEQRDHVATTAHGLGDGVTAVQD DMALGMELMIKKMQIQSGVESTENAQPSRETIVGVIGENLQSEICTISVGEEKVNFNA HLAILKQSPVLATLLKHKQKPNKPLRIVFPNQSPPIIRGILQYLYGQELSQRTSDTAQ QVEELCETYTIAGDLKLDILQGVIIDSLDSNTEPDMSKDFLCAAEKVYKKCAARWPFR EFFKRKIKEEIRKEGLDDSSHLYYAFEDLVAAGGELAIDIAKVLMEVCTERIEICPDK LPNARAQYAESELALMYTTNDVLRAKIAAADVRSKDIEKAFEAAQKNAASNVEVAGNI ARAAQHQRESAETALENERARADSAEARLHELSERALAAEQRLESLKKASSGQQDMSN IRDQAKNQEAQATKTTGPRTSRWRSLQDVWGQMEDEGVVAIASKSQSSPFPGCLAFEQ GDLITNIGIWDNQWVGSCHGNRGYFQLDCVENPEMLEGRFRW EPUS_03874 MDHTSGTSSAVTSCDNHLEKHWESTWLGNERWQQIKTLREDKEK AGKAPLLIRNSPRPTPKKPTPENPEMRKQALRIYESGKDAPKGKPWFPISRDYLSAPQ MVAAPIVPTGFGASLAEYIFGPESGSQFNTADNCLVIHCSVEDAFLGGKSVLLPADLT GFPLKIWKVQITNLSTKNADLGRRTLGVVDGEVLAFKTGNRPAARFLYFYYGITLSRN KRDRQPGRRSSASSCLPESRSRRQRPGPSYATPCFSHWER EPUS_03875 MASSPSNMRQILCWRSLFRDFQNHKPQRRSLVTIQRGPVQKLDL PPDLPKQYWSQLPARLRPDQGKREIIIHKPIPSRAQTCKDPVSEVSSSQMALLDPTGA RKRLFDYKNPEGVKVGDILHTTFKTGEPFSGVVMSIKSRGVDTSVLLRNHLTRIGTEM QVKVFSPLVQSMEVAQKALKRKRRARLYYLRKPKHDIGSVDKIVAQYLRQRALLMGGK AKGVGAGRLRPSKKK EPUS_03876 MAQNGLGRVFLCRSCGREALRPLPLVRSFSSTPQHSKTIPRFSA SSTPELDDLFMTFRTKIFTPGALSKPHRDLIYRPTRHKLLLNDPGVTVTMSDEEELRL LPMNIRERPNKKKSLQRLVELLQDERDWQNLPAFLEGMLLADEKLPDGYMERFVRKAN EQGRTGLIIRCAEMVRKTGVTLADPAVTTELMLGLHIRAAKAGFKGEDMDKAVRQAQE VLLLLGKPEHCGGRVWRIGQKDMRKDLTVLAIMLELQAAQADRPTTNIAFSRLDTTAS KILALFPKEDLTINEDPSQARIQLERLLPLWAGMKLALKKNRLQAPTLRAQMRKDLDA LTKFVEEAREKVNAASQGRPRRCLHMYDDLKDL EPUS_03877 MAIGDTLLARADNTLNGIFAGWSLSTTVIASLLFVFLAYPWLTW KDPDTHPFLLARQATASPIRHPGESAVYRSIEVPYGYPLRSGLNVKDPGAPKWSSGRN GDLRDIWIQAVRGPLKDDGSAAGPKGKLLTVLGREKIMERSLESVTLEINIVGRYIQQ IEGKYVAICLSNSVELLASIFACAFYGLQPLLIPYGSSTEEFTQRLRKVQPDILIAEA GTLELEPVLSSCKNLSQVIWVTKAGNKHMDFADTPDDVPGKVNISTWHDLIEENKSPA SSELPSTEKGSSSPSNIVAAVAALINSLPRNQKISSDDVVLPISPLTSSYTLMLAFAS LFSHATIALNSVAGETVDFALAASAINPTIMVASSQSTLRYHDRMMKTQLGPLAKVSH YWQKKTLLNGNMPKTPSFAPPGQHNFLSKLRLLFVYHRAEDQNSPRLSSTALRDLKIL LGARTCYALTTSGVAGAIAQTNMLDYRHTSEEGGSHFGPPLSCVEVLLTKDGEEGGDD EEEMLGGGEPQGKLVVRGPAICGGERKLDLVGKIGADHTLSLL EPUS_03878 MANLIRLDLASKDIVFPGANPNVIIRYIHGPRGQIEIIDSSGWG IGDLKRFAPKVELKLQGISGIRSYELTVAQLSASPSLFATALEGSDNPFQAISTVDLQ GLGVTGNTDLEIYALETAVHYMETGRLNTAYYKREFSAPTKSASGHNNFDFQIRCILT AQKLEASRLVEVLILEMSREWLRFPFRTKHFVLATRVNGALDEFLIDWIIQIEYEKDG KVDKAKQYSLVQGYEEASKVLDATEAEIQNDARKAGLVNAKEVTEVVEANNKDTKDGS EDATTVVAEASITDMEGVSNGRTVFQPSASNAATPLPDNAEVVNGLDTTAATETTNED IVEGFHEQQCHRHSKPCCYYGDYYIMQRNCTETATAPPKCILRRSTRKREARAVFNED PAVPKRLSKVAKTDDQGTTPRPSTEEIITGGNGPAPAPKTSALEVSAPKAPTRKINTK LTKGTNTK EPUS_07822 MSSKRIESWEITRYWEIFASLVSPSNPASTTPHHRLTNTQAATV LRNSHLRDDQLEQVWDLSDVDGDGELDFEEFCVAMRLIFDLVNGELHEVPAELPGWLV PESKGHLVAASRALKQEGRGGGTGFERVVDDDAEEDRGLRDGFEWYMKPADKRKYEEI YSANKDRRGEISFESLHSLYASLDVPDTDVRSAWNLINPSAHQTVGKDACLAFLHILN NRHEGFRIPRTVPPSLRASFENRGIDYQVDSVRNEDRANKYDDTTTGRKAKFGDAYLS RIGGGSGAGSGYQPKGTDFSDVVEDEDLERVRLLRELRELEQQHDAAVAAAERKRKQR QEGGGQRNVSGGTNWTLVKREAQQMLEYKQRVYVELNSEKSGKSGEEMKRLREDLALV QEQVEGLEAHLRRREAELQNVKREIDDVKAGR EPUS_07823 MAEKNVSGPPVPTARSPKPVSEALLNEKVREASLPSRHTNQRHA VSSLLPSFNELQKSHSALFTILTRSDRILGHRNETAKH EPUS_07824 MSSSTEQSAHRALLSLERSTAPPSELLDEAARILGVNFENIKGE LFSEPVDCAARNSILFAAREEWALRWLFKKTKPGASTKSLESKPDSSANNNPRKWLLF FYLVQKVPVKKIAKTLRDQDAVQQVQDVLEHLVDSPKPSTPTTASPRVQSPTSRKSDD DDYALPQAGTRKRKRPPGLEESVGEDSTLQIVLSVLRALKICLDNASATEGEDAVAQQ HMRIALSLSPERAGIILEYILLLVHDVLSTATPSFDSHLLHHFLAALRLWDLRRGPDL GHTNEECNQIFTARCLLPGLSLLKSLRNMEVRDHHKDIETWLEGHIVQHTVEPTRKVF FDELTVNWKANEDPISPEHIQPVIGQLQNLLFPSTILEAQSDAAKCHPISLPSTAPIL LELAVRSCPKSTVRKAQHEQPWLEALLVCLSYAGGRSLVDNVKVVQTTEEAGPEPCSH TDFHSTPKSLVCLKGLLSTAIQWKVSLSLSLLGAFAQQFARLQEHESADWAVVEKIMQ LDVNVFLPNSGMTSAKMLLDSLIDALNFHSSYRYYPSESALDSHTFVRIVSLLMEGFA SARDLGTFVNIWMDRLAEAETARDVNQKNGQSVKPYSLWEDEDVMAEFSKVAKRYVTP TFIEAHLEATVQPFRDKTYAGKHYGLVFVLDILLTTHKEEFEAATDTLKVLCLELRDA VQSLKGFGRMIGFLWRLLRHSLPFVGTELPFLNFLDVVMDKEQSIVKTALQNADEKSV TYLTSISHFNEVERFHCFIEAVALHRSHVSAQLVLEIRSLTYHVERLEHVAITNENTP LLWDGRITSLECKHDLLLTAFLCILIQRSRVLCLDTETTISLLKGLALHVAGDVRGDQ SPQSGFAVLLEGILLDEALVSTPGFVKKCIKTIGFTGDCGGNFRKMAIIQALPREAMS KAQRKQIAEWQREMGAASKKKTVDVTVLERDTAKSHISPQGTSNAETSYGDLQRSCRN AIEEAASKCSEDILGLCESLRKESSSRTNLLLVGSMLHKGGPISPYTTALAVDLSTLL PTTTSLETFCLTADCLKLILDKHHPAVNQWTIDSLLAHIAIITSSQGPILPNTAAPII FERLCRLLGVVLGRYRIRLGGRYHLLLPALYGLLHCLFAADPTSLATPSQL EPUS_07825 MADKYVNLSSPERVDAAVKLLAENPSLSLRKAATICNVHSSSVS RRRRGLTRPKEQANQEQQLLTPAEEATLIKYTLKYNDWGLPLQFKHLRQFTLDILY EPUS_07826 MAEVAEFVAQKRVRLQAEASSLRLVENMLQEGLSVEQIEGRLAN TKADEEIPGHVEGSSSLQPRGRLDVTPQKLVQRPPLAQDQGQRRIASIGIFNPIFGDL ANVPALEIPMSQRTATTGLADEPSGSITDIGEAYARLRLLQESLSHYNNPNWWNKRNQ FLRSGEDVIVEPMQTRSPKTLATVIGRQWEEYKNGMSTILRHFLVQLRSIAFQLHRAL EDDIRSTDDPAHQILQGARPMYAQLVQSPETSRWVVEDCGKAANALHATAQTRSGEHK DLWLQFKSAGIDMLPPALRPPENVLKLLYIPLPRLTTPAPGFPDLERQHPWGKYGDDN APAHDFDKRNSSPTEPKSGGMPDEAEEDAYSYSSSSANFEPDVRPRRFEFDSARPREG TSMLIRMMKSTASSFDEPTELNASDVRDWVILPGNISVGTGLHRWAHCSCGC EPUS_07827 MDPISISSRDQTKSTAIIFPQFQSLHSQTEASLYWGLEMIGYHA SRLQNLSQTCNQSSTFFGLTREQKAGEDTVYFNTFLTPEQIPSRIDPGNSSKDSDNNT FLLGGYRDVQSLESWSLTSGIDLLAQYKLGWENSWSGFVPLSNTSEPLESYLLLMDGL VKSLYATIQAHLGQPVLSNIVADPQRLIEFVNASMQFDPLTRNALDHDVAKVLHMLFG LPPVTRDAILRNPEAFYQLFKTGVPVVKPAVLNAQYLCQVPKIKNAGSLIVSIIVADL VFLQVLWKILNWTIVRMVEWRYPQANYCAGCKETASMTVVGNDEDGMTKISFMTTRNS ENVG EPUS_07828 MASKEKVKVWARKLAVESEPGLTNAQLMLTNYDLKPVEPERRQW GPWNFVGFWVADSFNINTWMISSSMIANGLSWWQSWLCVWIGYSIAACFICLTGRIGA TYHISFPVVARSSFGIWGSLWPVFNRAAMACIWYGVQAWIGGQCVTLMIRSIWTAYAE LGQDGPRNSMPVSSGTNTMDFLSFFLFWAGSLPAIWFPVHKIRHLFTVKAYFVPAAAI VYFGWAIGRADGIGPIVHQPAEARGSALAWAFVSGVMSSIANFATLIVNDPDFSRFAI KPRDQLWSQLFTIPCGFAFTSFIGIIVSSSSKVIFPDQDYIWNPLTLLGMFLENASSA ERFAVFIIAAAFTLAQLGTNIAANSISAGTDMTALLPRFLNIRRGGYICAAVGLAMCP WNLLKDSNSFTTYLSAYSVFLSSIAGVIISDYYFVRKGYLELKELYSASPSSPYFYWF GWSWRGYAAYIAGILPNIVGFVGAIGKPIPIGAAYVYRLNFFAGFIVASLVYWLLCKY FPIPAVSDRWFEQGEAADRAFSVAYTDGQGYDEERSSGSDHGRSGKVKEAELYAVNQK STVHEETTVGKAY EPUS_09419 MALVNENQTHAAAFVLTLAAVYEEEKALRLEKEAEHREVLQEKE TWEERYQDLDGGTSIEIEALKNRLRDKEEIIQNLRDKVTAIPKPQVRVESPSGTSTEQ ESLPQRQASLEATPSPSTVLGTKTEKLPHPEVFEDGSPQKFRSWLSAMKMKFMVNAAL FPTELSKVAYVQSRTGGDAQELLGSYFEDFKRAQISDIFADLSTRFDSPFRQETARRE YHYLRQRQQDLAAFLGDFRQLSREAEVREEDQIVDLRDKIRDDLKQVIILRRYASIQE MITDLTYANINSRRIASNKPAASANTPVKPLVPSTC EPUS_09420 MLSEEVKDDLKISVVQASLEEEDLPLWKRVEKENRVDETFNAIR IEKRVENPKKKIFGYNIQNCEDKEGVLWYREKLAVLAAIVTDVIREIHESKETRHSGR TKTRKAIANSRYYISNGYTLVGRFLRNCHVCRRTKPVHQLPAGLLQPLPIPERPWQDI SMDFVTGLPTSEGYNAILVVVDQLSKERYYIPCTAVEEGTTSEETAKMLYKNV EPUS_05338 MQASLACDPSLRQVMTEDFWGSMGGGNQTIQDQFCDTRCGNDLE TYRSTVKQACAGDPQPLPGYPATYWGDSASAAWNQICLKDSQTGAYCVDVLTTFFSGS TTDSDGTDLPTDQLCSNCVVSLFRAIQSTPYSNYDDTLAAVWTSIQTTCGLSFPVDVP LLETNVTTPGGFASPGSGRSRLCLSGNTYNVVPGDNCQVIAEKSNVATGTLIAVNSLY RDCSNLLRGATICLPPSCTTYKVQAGDTCDGVARQALVTFQQLVAWNPALDNYCTNLR SGENICVSPPGGHQSLTTIPGATVTQTAVYGTTTVARPVPVASGTTRSCSKYYQVQSG DYCQLIALNNAITLGLFIAINPEVDSSCTNLLTGFYYCVQPTENWNQTATSTVVNPPA STPPGTTASCYEYYTVQSGDYCDKITNMYSITIGQLQFWNPDLKADCSNLLLGVAYCV NGAEQPPANIVTPFENDPVARVKRTATGATAAMMPKMTGAVMEGGVPYGWPGLKARGF QDSAVNVVAAVAPDEHHHDNINDLPGDNEENHHYRHQHHHNHHHHHGHGNH EPUS_05339 MPRVIVNLDSYKEEILHLLSNQKSFADIRRYLHTTYELTVGNNT LKRRLKAWNIVVRTSTVDNSDLRNRISTLFFCGLTDPQILRTLELEEYSIGLSGLVRI RRDIGLKRRLRTEEEREESAELALEKLTDELQKGVIEGYGRGLLYAHFQQLEVHIARD RLFTIYRTLVPDAIERRTRDMQRHRGEYIVPGPNHVWSLDGYLKLAPYGVEVYAAIDA YSRYIIWIYVGISARTAVSVLRQYLDTVELLGQHPYFVRSDHGGETVLLASAHHQLQR ATESDLQFQDCYLYGTSTTNQRIESWWNQLTKGLLFRWRNYFGVLQNEGLFKSNVLAD QIALLAVYIPLLRTEMQSFVRTWNTHRIRKQPNRPNAVAGKPYVLYHHPPEDIKNYGI RVHAQTLETLQKDVQDWGELFYLPYEEILN EPUS_05340 MEKQTDFHSYEKFTVPLKNAAIESLQDFIDNHLLRQSLRWKNLL KKEDDRIYLAVTATVREGPTNLPMSANEIRTIKELIDQYFPNKDRMMHVILDRKDSRV TTYEDDNALPNFPSLQTVKKESYDKSKSSIKQEHIKQERKPIKLKFKRPPSDSYETPT STRVKDLSPVRAEKDSPLRFSCSPLSSPDSTISVFIRTDKLISGEESSYRGQNRTGNE IEDGDIDDGIKDTPLACRTRGKTAGAGGKGKGKARA EPUS_05341 MAEAVGFVLAVVPMIISACEHYGEAARGIQRYRHANKGAEDLIM MLEIQEAIFRSANIRLLASCVGRDEAHLMLGDFNHPGWKESGLIADYRARLGESQHAF THSIKLINEHLANLRLTIDGFDKHSDVNEQDAHAHHRKLSFKKRIRLAFSQSGIEQSL ESLMKKTQNFSTLIAQTEPSQSRTSETKITLSTRREIAKFARIKDAASNLYQTLGYAC TKHTSHQAQLSLEPSCKVQESTRTDPLQVRFTMAFSHLTLQPQATASDLSKPSAWLTI ESSVSGMIQSEADNVTLQHMQVSAKRTRDSEDDESLNASTAQATSLQKKAVRFQCAQA VAVPVVPAEEPILPNLCTNENFCLQLRNFINQASPSTKAVGYLGHQGSQRHLIYVDAK SQRVTQGKGTSLLRSLRQLLQSTNNAGPSIPILLHYERLRLAHLLSIAVLQFRSTPWL ANSWNSNEILVSTHESSSTTTDDTTITNFHEPYVSACIRNPYDAPARSNTLRSRTLIR NQLLFRLGVMLLEIAFQKPLDEMKETSDTDGGDDSNADFWAADRLQHQVSACLAPRYA EVVRKCIHCDFGKDFDLTATKLQEAFYQDVVCELKRLEDLFRAASMVG EPUS_05342 MKHFGRKRWTGNGLQENNTLSIDLRYSWTNDSMAFNVITKNAPV LNHLALRANDNGTSFYQWGWEQSSRLNTSVTPVAENRLWRFDADGQGAGSWTAYSIPS GVSRISNALYASGNGSGYLFGGYISSWTDPRNEDIGDMPSSRALVPYNMATGAWASDM SCMERSCYRLNGHLDFIPTFGSNGVLVALGGCGANSSFFGTCRNSPPSNFSTIDIYDL SQKQWYTQTASNGPGQLPDPREGFCAVGLLGDNGTYENFMFGGEVHSADSTEAFVWHK ADYTPTRARTKNSCNIVGKRQMLEVGGVKPSGNIWLPADPWTQGLNVFDLTEMRWVGG YDVEAEDYITPAVVESWYDDHGMYPDWDSFEIQDIFTVNAQSTPPSNTSTQPSATGST TANDEPPGINTGAVAGSVVGGVVCLFLIAGLSFFLMRRRQRRSRVSNSLRPLPELHAD DARAKELPAYEQPSHLHPLQEVDGGYDGVEAPSSHSPLIELSGR EPUS_05343 METVQMITQPPPAVVRPDMTTVSVFDHIKEQLAAIPPLPSDHPQ AVAPESSDTTATDPSQLSCRTQSANGSTQTPATSILLTSKLFFLSKVHVAPSLPVQDE LELLWDKSIRARLRACLVHEITRGTCVQELMMAGRRADHLKPTIVVTCGDMKTRKRVQ KVFKRQEWLQKLLKASDMLFVAAVEPTHLSSLNTSQRAAIGIGAGAAFSGFALLILTW IYRRWLHRKYVYHVEQEQMVYFPSIPGEDAVPHLSRHAVIGMPSDQDATIQLPSDPLA VIFPAQPLFLPSPPPTPPTAKMPTPTTSMQGSVTRLASEKNAAAHGLMNFTLDINQSF DTLCGHQLTISKSANGGSLQCTLGGVVVVDGEIYGMTVGHVFPLDHAYPASNLTSIIT SETSQHEELEDSDCAIDTEEAFWFGLESGDDMSQQGISNPEHINNTPMQHMEEAAADN ADETRLVAASGPDQLFSGQHDILNVFNKAVDQSDWALLHLPRTSSTFTNLKDPRNPWL KSVILGTVDHFPQDDVSVLFRDRSFSGVLRKSMSTILCEGRAYDVRLVVLNHILPRGS SGSWVVAGNRLCGHIIAVRENVPWAYMMPIGPIFEDIKRATGAREVGLFSPLSAQQEQ SRSEPGFPVPISTSPV EPUS_05344 MSASDIELASPLAPKDLIVKIVASNAIHGSEDRAYARYCAEELS LLHKGVAQETWQSQAMAVKTYTDLNTVMRLIQQSPHLSRPEIRRELSSTFATATVASL NSSINLALRLWLMINFQDIRFETLRHQATCIEWNESSTLHARVAELFPKARWDVSAAT SRLGPYFTAVFLTQWDLEDFPVQIPPQSSTNQRSGERQVNVLRETLLSLDLLFPIRDH ATSQLLEDHRQSFHESGPFLAQSSTLTLGDFDYWRDRLLELREVLDAPPVSWQQLYRD RRNPQQFWTFWIALFILALTLLSSIASLVQAWASLKALKMAQHLSA EPUS_05345 MTGTGKSTIAYTVAQWLTEQRAFGVMDLGAFGPASFLKAAKEVE VHRLDVLEVIRSNPDICSKALGEEFRMLISPLSQQIVTAPPHPIHIIVVDALDACTTK DINKVPQL EPUS_05346 MESFHAPPQAKVPPKSDLSSEQPKPVSASAGSFKDVVEDGLALD LTDMDPDTLFTDIDPNRLKYKDYDDTSIAVDRDIGDYNYQDANPVEWIRAKATKYLGQ DKQRQTYNNELQDLLLDMIKGINNNHEQSRAVDQAHVERLQANCKKICMKHQTDLHIL HQCLLEAHRHDLSSGSCKLLEERYKAYEEQLRLYHARLQLVLDKGAGLWALPEILQKI YKQHEKILDELHGRLLSTYEGKAGWNFFDMLERASQEKDLRVLHSSLLNLHQQVAKRD MLLHDSSYMQTSSVVDGIKAERPTLASDPGPIQEYLPSQASGLDSEFAINERRPSIDV PEPNLRQDPPSLSFSLLPDLGSLSPIKLDIEEMNSGMTGIRHTLPPITSNGGSLGSRW VSQDSNHKLNIRSGVNSHHASPDSEQLAGIGKVFDKLITPLCPVSSDGDVSMAEAPDE DSKSNTTEAQSTSSHESVEPYEQDTPEKPPAFGAAHVPEPTPGETTESGGPVQLMILG DNFVHSSRWRRATFLQRGPSSIDEMSPLSKFFWGIYTGYSDHDAFRGIITQSIRSRLS RAVECISKFSSWTSVTCRTNLPFLEPPLGNGKRCGRQLYDDFKEARPGAAEDLAKLLD HPQAAKRRDDFIITATRLVEMLDYLGICPAGMVSKKSARLELALTFYTLAFYALASHV LASNVLTLHVLASPALTFFALASFALAFYALTFLALAFYVMAHYALASLALASFVPAF LLSASLVPASLVPVYLVLASLALIFLALIFFALASERSPSSAKLLGKSSAPLATNTIA AASEHQHARGSPPMLQPGPDQEKLDLQKDDPNVSTQASNHESLFLLTCITISRFATSL LQLDLKQPLPILSDRQLLELLRTHYHRLRRNWRRRLLSFQTLTSIEFVQFELHRKSIV DIRKRDDIPPEDRRDEYQYRPIPAKVIPPVGRNYLMHIYHHPEDADSETVCLSRFPKR VRDRLELAGTDVPVGWGIEFVEGVHWNKVWFFGFAIVLVSLIMGVVWSSLKRDVQGGF GIAGFMMAFLTFMVGVVQAANA EPUS_05347 MPKDTFSAADDLLDEVDEAGLYILCRRKKGDRQQWMLALIMEGE RFGIFYYYEPKSDGNGEIKVAPDQVWNDENLVSKHFLKSLTYPHLVGHKGFHNSAMSV RASGNDKSYIVRVLLILEQRFLVPDRSYSDWNEIWRYPPSSDGSDSGLESFTTRESEL LARYPANNPATKARQSPASRKSRSPTPAAQAGPSSDLALKPSRASTPATPARQSAAPV RDRPGIDTAEAGPSCVPALDPCSRAAKKARSTLQNGSPKTTAPSTVQSGPLEVRPRST VRTDPVSRRTRFGFQSPIPDARFQHLVRGDTSSSSGQSPSSSSGGPESDLATIGFD EPUS_05348 MNLSKDFGEWWQISSPNHQDFPERFDIRPATVQPPFILTSRLSP LTEPTATVSSQLPPGFDDLYEYYDPDTEPRSQPQREVHTPVSQRRPPSASSGSLRSLR RTPRLQERNTSQRSVRVSGRLLTEGGVLGPADRREKPTRPSFTKADLPFTQGQPIIPQ IFRRIVSRESLWPPPNTRISRQSHEAILFALEAIRIGDGKNYKELSADLEEESARMSD LFAGDQPGGPSGNGRAQNGASRAARGAPVPTGGVRTPTEVMRARRERDARRKAEEEAA RLRNLEQEELRRAQEAEAAAAVPGEAPVRRRSTRRSGVEVPDGSRRSSGGQFSSRRQE NILPVASVTSRPRTSTLDQGQPRPVSQQPRVSSRYERQPSDEPTAGPSRTNRVDLPSM TDESSANPAIPSQPATHAPTQPSTDPQTGRTTFPNAFERWETLSSHWEGLTSYWIRRL QENSNELNREPLNQQMSRQIVDLSAAGSNLFLAVFELQRLRASSDRKFQRWFFETRSE LERYQAQQAELERLLRVEREERARVVSSTGSAEADKLKAEELVKEMRRELQISKEEAR RAWEELGRREQEERDRTVSLRSGEPTLVGGVQVVPMTQGVPSRQTTAAPGRPQTRDGP YAGGPSAAMMGGQAPTSVSRTTLESPDEEERQFSYQPPPAASPTDTDPFAEEAARAAT RQPTTRTRESVRSPELQFYQRPTQPITSPAALAALRATQPQTYPTIPISEARGGYSSA HQATTATSNGGGNSRFYPQAVNSAALQPPFSAAAAAAPSITAPPRPGTHNTDLSSHDE PSYIASTTSGAGSSIGDEEYEIDADGNYRLDAEGQRIPWRDPSDPTNRPRYGPVSEEG SEDEYDVQREIEREREYARRYGAGALRQTQVPQQQQQQQPQYSTSATLSGPVAGATLP QPTATRPTVSSPSYTQPLQTLREAPELSPTSAAAAAAAAAAAAAADYSGQGYSPTSNG GGGGSGGWESSYTPRHRHPTRLSDIIEQEDERSRRTSPNPSRASYMGSEPGSAGFGVQ QQGSGSGGASAREARERFGGGLSGR EPUS_05349 MAIGPLRNTGYPDLDSNKITVRSSALAEPIEIHYEDVPPLHPPR DPTYWKISHQVSLDGAPGMFKTSTMCSSATVYQYERAIYPDRRVSVLDPSFGCYLSPQ IEHSLSQAAALNHGSTVALRDTYCSSNSSNSAGSAVSQRQSLLPPLPQKSLSSSVRPV DGQSYNTPRQRQLLATPLSELVGRMPASSAPSKHSITPLELEQLKQSSLPPKLRNQSR APSHTLGVTLGHHHPYGPSSSSVATSIASTSHSAMSLLSKSETLSHQPTAYSSFPVPP RNGSMMQRREKLPKAIDIAQPEPLSPALHGCSISSPLKTKSSSPSGVDFDLGLPSHAF LTRRQSPAPPTTDASVSTPQLTSTYTASTGTPTSASSIQPLLSPFHEQFVETSAFDSD TDDERTSSHARTLYKKVSRPLIKPSSRTRAETLPAVNAPFASRGALLQKRISKQDIKT PGLRFSSTSESGAGSDMASVRISDPSVTSPVAARSIDAPSPPVTLPKKTSCTGSPTSR SRRMSNTSKASKKSSTSCKTTSSLVGDKENRGRRKTSSGGRVRSWFSRVFTKRNTL EPUS_05350 MDFFRHHPLENANTQLGILTLKPAQSLHAPLICSIETHNFGDHL EYEALSYVWGSTLQTSTIMLNEKKFSVTRTLEGALRYLRKSSNGRRLWIDTISINQND FKEKNAQVLRIRDIFAGALEVLIWLGEPDMETEGALRYITTHYTANEDHDWPDRWDPE FIQNLPCLQKLFSNPWWSRMWTLQEIVVANFDPIFLCGNVKCYWSVLHSCAMHLFMLT THTYIPFNVDMEPLIDLGFIANRWKDRGDRNEGQKTGVAMNIENLLITSAARGATDPR DHIYAILGLLEASEHSITTDYDAQIKEIY EPUS_05351 MVRGFLFSAPTGSDLSPPFHPTGSDLGAPFSIKYHATRATLAIL GSEIGKISSLHKASSKTIGNVPKQATTAMVRGAAIAIGPEKLAEEAAVNTLDLLDDYS IIVLWFLLVLAARLDFRKAYKLVQAGAVWKVLSGGENIEEAMQELKNPQGESLVDYFT SMSLQMLASQRLRDEDGKGAGEMSDLGCHSHIDEPPKGIAEDREDERYSGPFSGALYK EFFYHCLGIASTAVGTTFFTTDTGYIGRAKHAIELGDALCVLQGSNYPVVLRPCEDGT YRLITWTYTHDVFEDSETAFDLTGCEKRWFELS EPUS_05352 MHFKTLCSALIVLFPSVLVLGLPTELGVQQSADIIVHDGNESVP CSQIGCWDGFATLSNATGYCICPRWKKQDLTTPCGFLKCPNTTKLYYDSVFNRCLCEP KYGLPWLIHQATDRIAEHNARVYSVQPYFLDDVISFHVQLAGAPDGFNLNASTTIAAS SIGTDPAPNLVLMPTNMKTRVSTAAEETALIVAVTFEPGDSITYHVQISNGTVFKLHG DKYVHDIDLIDPSAPPSLSILTSQSLSYVKRDAWFQDAGADPSIRPAPLTTTKRGIAG LSSSITLSEETCRSITCSNDGSERPYFNPYLKTCYCKKLDPPNNSFDSFLKRSVSDQS GVLRKPTPEACRRMANTCQGKTEPYLDETTGQCYCVVYRTGIKEPVIVSNTNYWPRAE HVVSQEEESGNSGSLDEAPTCAESHKMDPCPTQLGCHVGYCPDRICGTCILPLNLTAA HAPGAKLRFLPGHSDNYSSTMVTARELVDSLDRRYKHNWGEDVKYLNQNNLVTEKNVR LLENRYLNARNAYREKFNEILAANRRKPQADDKWILDILKGRLDNMEWVRGAIHNLWT AVGAQNAARRRH EPUS_05353 MALFTFDALSSPLTYFYDRYECWDCKIGHCELQPQLVHTPSQRS LAASDHSSFSDYASEASSVEQRNRSVQYETPPSRAHSPIATKTADSEMTLPSRPVTAY PAPLNVRRSQAPQTRIRISDLYRDIHNISPPTPGVDETPYIRFAIEQLTRDEELMGRA RQGSDSSLDYPGERGISDEGLGYNAALTPQKPAARRPRRPRMPIEEVSRQVVDDIYIT ADPPEHPQFPQLGYLPPLLRLPLLLLFICVCLLMIAALIFCNVYCLQNNGLYDYDGTG TARYFIFQFMPQLLGIIVIFWIFVLQAAVYRIIPFASMATRRSQDRVLQDMSIHPANF LLPDLRHFQSGEPVVGMCLLVFWISYITVPLLSSMFQTGLFEINGLQRWRWTSVQVVV WILVALYALLIAATVLIVLRFRRRESALMWDPVSLADLIMLFQKSNIVTDLDGSEISE SIRAHIPPRPLRLGYWTTSRTAEIFHTIGEENAPLRRLSRGQNASNGKHMEGYDSPNI DIEQQRYSHAESFNRNIHSPFFRYRWTPRFLRDSAVVAWIVAALTLYLAFLIVSFVNS AVERGFPPGGVRTVTNPHGFSPSNFLFSFLPSLLGMVLLLAWQPIDTYFRAIQPFANL SSSLDGASAKDSLLLSYPACYPLQTTFVALQNHDYKVSWISLVSVLSATIPVLAGGIF TAQFFNQSEVRIAATMPAYYALCVFLGVYAFSFLVVWSKRKRYLPHAISTLADYLSFL YVSPLLADPVFVGVRSKEELRERLVGRGGAGKKRRRIEVRGEAGEERFVFGVYVGRDG REHLGIDRLHRGVIEPRTGEAGRGGRI EPUS_05354 MQQAGCAQKMIDEDAFRSSVLRSPPDVTEDELDHRLGLEAHVLG LQYRLPGAGLLTSSTSAMTVASDPEEPGSAISQSTGPTSCSSSDRRHTFQPSSGHPPP VLSTRSITPSLYSITEKKATGLRNGIRIMSVFRKRRSATSRVVDTPTSNEHGVRGTAA FDDYSVKGNSESPVSATSEQSSWSTPIPPIANIRTGDSSLEDSEAIERTTQCAAVQDL QSRQHDERDRFLHYQRECLVSLRAEHEKSRKGRIEFKETILKETKIKNEKSITDLEFR QLEAEMKLMEDLEAEKRACVIRLKHMEAYCHSPTTTSSPIPPPRMAPASSPSNRSSIE DLATPPSPHQEPQAQPQPQPPLQPQAPSPPYTRTVTEKDIHNLAQQYRERDTIESLHR AKIEVLRGRQEKQYADFIAKKAREMEDLEAEHAGAVAAAEAEFHDQEEALKMAFAEKK ERLERRWRLETLIEVARQERNTGLSFATPPEIVVQPDPVGS EPUS_05355 MLPRNSLLARDADPATQYFSSNPAPKSLQSHTTLAREFINYHHG VSPDRRLVLVTSGGTTVPLENQTVRFIDNFSAGTRGATSAEYFLQEGYAVIFFHRQFS LLPYSRHYSHSTNCFLDFMDEDGLEGKPGSVVVRKEYQEKMNYVLQQYHSAKKNRMLL LLPFTTVTEYLFELRSLATLMQPLGNRAMFYLAAAVSDFFIPRDKMEEHKIQSSEQSN GNITDQPTAGKQLVINLDPVPKFLSTLVSAWAPSGSMIVSFKLETDPDLLLSKAQQSL HRYHHDLVIGNLLATRKWEVVFISKEGEKWIRVPKARRSKSFSGLENLVGKIEGKAED TPVDLDGGQVKERLEIESLIVPELVALHTERIEKTVEPR EPUS_05356 MLTPKNRIFAEGQFVLPDYEATNDIIDLDTRRWYKVSGPPALED QDLARDAALKILDLLDPAVVTFVVSENGKLIATGLDPRDDPTPAIIYPRCPVELSPND TLTRTQLVEVDRLGREVDLVSYESGENMVLGVFKYAIRPSNVQRVWKELHILKALAAH PSVIPVDRIILDEVESRVVGFTTRFEPGGNLDVNKTIPFRFRWLEQLTSFVDYLNLRC GIMHADLQARNLLVNAAGDLKVFDFNISSKINPVDLDYVAFKKGSMHDVHGVIFTLYE LLTFDEQYRDFLTRHEDVSLVESLAHWPIKRPIEPGTDVAACRRFLERWVEDRRTKRT IQNHSDATEPLDWPPLPIPEPEDRGPFQDQDSRWWQKPSYQTRYSALREGKYVVPWER PPQSDVDARRAERHQEVVAGSARSELKE EPUS_05357 MPKSISPRGWFRKRLDENVDKAKSLPNRTGLDITTSSQSLPKQE DDGAVQDTHLKVPDLTLQDELGQDKCGLFLLSDEVGQQTPKYNVDIVAVHGLGGDAYK TWTHDNGKIWLRDFLPTDIPEARVFTYGYNSTLLFSRETGRVRDYARALLEDIRSERT LADEKARPLIFVCHSMGGIIVKQALIIANNESTNYPGFKSSVSAILFLGTPHRGSPSA EYADIHFKIANVIITGSQMSRLSGPLRKELVHSLRTNAKDLLHIAEDFRVHTGTMKIA SFIEQQNMRGLNERIVDDISGVTGASTERVVPMPGCDHRAICRYGERNSNYKKLLAAV KDGISSSQRIAPSLDGVVSFPD EPUS_05358 MTTRASSSHGRLSVCMSSRDYPHINVDKCSEVRTDISNGQDIIR FVESELNPLFREEDEFKKEIIRKASGVFLWVVLVVNRLVDEKDKGQDKKTLQAVLKSL PIDLHDLFARCFENMDDPCATRTLMLWVLFAERSLAPAELECALNFSNEASHDSFPLR QGSVDDCGSYEQLRKRIKHLSKGLVEFQNVSIGTWDKFTFKVIAQPRVQLIHESARDF LLQYNGLRMIDNTLGTSPIPRSHDFLARACMRYLTMKEFQWFRENSHFDCERLISQRV IIKNELNNLRETYPLCEYAVQFMFKHASKAEKGGIFPVHLNHSFGGNQGQVFLTFMHI NDIMNHISFDEIQGRETALMHVVAEHGILSCLVDLLNEGVPVNLNGGSFSNVLIAASW TGHPKMVQILLDRGADTNALNKLYGSALQAAAAQGHMDVIHILLKCGADINASGRNHG DALQAAAARGHRDIVKMLLDCGADVSASSGGGHNGNALTAAASEGHMDMVQTLLDRGA DVSASSGGGHNGNALTAAASEGHMDVVQMLLDRGANVNALGAGGLDENALQAATSSGR TNIVQMLLDYGADINAEGGLCGSALQGAAYHGYSEIVRILLDRGPDITVQGGYYGTAL EQAASEGYQDVVKMLEDHITKVNAESRVGLRRVGGVDGR EPUS_05359 MAPQYSGDDFKVSNLYDVKDKVALVTGGGSGIGLMIVQALAING AKVYITGRTEEKLEKVAELYGKDIDGQIIPISADVGKKSEVARLVNEIESKEKCLCIL VNNAGISKASHTVSESKDADEMKKNMFDDESNTYEEWTETYQTNVAATYFLTTACLPL LQRSTETHKGYSACVINITSISGLVRVSQHHPAYNASKAAQIHLSNMLGAEIVDAGLK IRVNNIAPGVYPSEMTTQESGEDQKSHIPKEQFEGKVPANRPGSERDMASAVIFVATN QYLNGVTLHVDGGYVLKAGRV EPUS_05360 MAATIHDMIRLKRTNKNTLESLALPERMDNRARIAFGGCALSMT VSAAFQTVESPLRGRMALYSVLGHFLGPTVIDRAVFLRVTALRDTRTFATRQVIAFQK QDDGKERACISAQLDFIVSPTDDPSIHSFLQYSVSPPQTVNPEALPSYSEALRDRVID GGLDPNVEKAYTTNFRLFETLFEYRVPRDAPLSENVMGIDKTRKTSQDHLPLTSKRHL DYFRIRQNTSSTFSPPDPDALPISSTCLHFAALAFAMDGAIAFIPLSLSHNFLPDVAA CSSLDFALRFHTDVMDAGKWHLREIRTVVGDYGRTYNEARLWNEEGRLVATMNQQDIL RPHSGPDERRRGEAKL EPUS_05361 MTSTTLPILPTYPPTIQTDRLLLRPFSPTDVTQYHVLRQQPEVM IWTSAIHCDETISQTQEWMARHQTSPDLRTFSFSIEELSNPGQVIGSAGCSVVPGKQP EVGYMFRKEMWGRGYATEAVKAVLNAYWNLERGEVEVESHLEKEGIVVEKEHCDQCKD SVDYTSYAAGFQVEKLSAVTVSANVGSRRVLEKLGFVMVKEFKDWDTGGRECIEYILQ RPRH EPUS_05362 MANFDADEHKRHLAFIDTIPNFGQPKNDAESQEIRTAPLFTTKV RAAIKNREVGPERLGEPADIFPQYGLLGLRQGTYNREGHRTATINTEENMVYANMNAP WSAFICGSQGGGKSHTLSCLLENCLLSPSPVGVLPNPLAGLVFHYDKFTSAATTQLCE AAYLCSSGVPVRVLVSPSNYHNMSRLYSNLPGLPPHGRRPKVAPLYLQEQQLNISNML TLMAVSDGSKSAPLYLEVLFQILRDMAIERQGRPGVDYREFKARLDDAAFTRDQNGPL KLRLQLLESFLDPKANRDHALSSNPSSNIWNFEPGSLTIVDLSDPFSRQGGRIVALDE AHKFLTQSGEALNFTEDLVSIIRQQRHLATRVLIATQEPTLSPALIDLCNVTVVHRFL SPAWFETLKKHLAGARSTGSNDSSSVSDIFRTIVGLQTGEALLFSPTALLDIGVQDLT RPFARRPLEKLTDSYIKLRIRKRVTTDGGKSIMASDAMPAPMLHPKSDSGDSTNNSSQ NSDSEVEVVSLPSSRFGRVADPKSRDRPKGHVQSQQSAPQPLKHLPQPGQAQSQQSKH NPQAIQPQPKHTTQPVHSQPQQLNLSSKHNSLPAQPQQPKHNSLPAQPQPQSQQPKHQ SQPTQPQSQQSPIPQSQSSKKVKKVLQQATPPAQSQPQQGPQQFTKKQKKALKQMAAA SG EPUS_05363 MSYLEKDVSGPVPVDDGQRAENAPIALPSDSGLHVHHGSDHDAR QARGASALISPLYTVQPETSFPVVTDAPSGPLPKRAQRICGLRRRTFWILVILSSVVI VGAAVGGGVGGTMARRYADQIRTPSLITTPGIIITNTSSSTTSSIPTSSVQSSNTSIP PTPLASSSTNPRMEFSMQIWEEPAFGGRSQIFYAPGSYQTAFLARSYMWRPGQFDLDT MQVCSMAYCFGSNQLGWRGSTETEQPGFPQNASWGADNIVIACADSFLAPPCPGPLAL SSFYTVPVIETATGDSAVPSSTTTSIL EPUS_05364 MSGIEFIVATVLALVPIALETYDRSGRVFEVFSVSKQYPREVLA LEVKLSAQRTIFRNNTINLLTAITKDRVRVQEVMNNPSSQSARMGLVMATVYQHQVDA LDESFVACRQVAEQIHNTLQLLCHQSDAFRAEVGDKQEVRLRVNSLQMAGLIKQQKMS TSEWLKHIRTRFKLGLNMPQIKGAIEELRDSNRDFGLITEQITKALQEILNEHKGDAA LTHKSARSLNILQRYHRVRYASKALYSTLQVRWMCTSHRSHSFDVRILDCDAAKGKNS LAEYVTCELAITHDGSAFTSGGPLRLEIEQACESDDEDTSPKKAVKDNRTVQQLTTVL ETNAERFKLVKPTPSTTTVRKLFKGFRKDKQQQPGPSTSGPQLPNPPKSLTELPVDKT TSKFAKSSSFSDLSLVDDFCKKFHKVSTDCLDRSLLGSWKGPHAQWFCTPPAPQTALG TSQSISDIVHWIAEEPVLRSLPRPLLVELAGSMAEGIMQFYSTPWLVPTNLGQTLRYF NPGESSTAAIQLKGPYFMAQLECKRAKGKMPVRPTATGPLPAGESAPQNREKRTIDFT EARNKLLFNFGILLLEIGYGRPWHDLKQSVAKTPPTRTAVEGKKKMLSDYQAAEKLAQ LLVNQMGLTYPKIIKKCLGCDFGLGETDLDNEDLQRRFLEDVVSGLQRLRDNMREMNF APPG EPUS_05365 MSVPFLSRQDVEIWVPEPASFKGGAGFVAKLFTTIDRAMAALAR TPSMTKDAAYQWCRNEYERFFLWGQGLSLVDGHLDEVLPHCRELRFRVLSLLLRLGTG VLQGLSRDQDRSSQALNEQCDDLRTILDLTGTMLQELEPDQSVRPYTRSESDASEYEA ADILEEISTYIDCLLDLSPSLDNPALDIMEEGFDEPPTQAQESFNVRSEEALLYCRRI RDRFEVLPKYLVERLAEANVLRAAILRERRSQPTKHETPIDDDISENLFSTTDHRVTE TTKSTAPPSSVFSSVMEPKASFSRHVPELGIDDNVSEATFASFSTTASAISLGRPRVP PMPDTQEEGFNCPICFSRVTDVKTRKKWKQHVFDDLKPYVCIIEGCKDSATLYNHSGL WAQHVASHPLSASISSECSFCSAIYQNGGLAYYKHVLAHLREVSLSVLPRPADDEDGF NTEDSDLPSSAPKSERIYPATSADKEAEPQEHPAVGETESQQYQRQPPLSPISSVTSL DQSPTRAAHYRLDSPPETEGAGTEAEPKRNTDSSATGEKDAPSPEMTKSDPGAALAAL ESARNPYEVDLDSIIDRLLAVRGSRPGKKVQLLETEIRYLCNKAREIFITQPMLLELA APITVHGDIHGQYYDLLRSFEFTGFPPEANHLFLGNYVDHGKQSLEVICLILAYKIKY PEHFFALRGNHECAAINRVHGFYDECRTRYNIKLWKIFNDVFSYMPITAIIDEKILCM HGGLSPALESLEQVRCIMRPTDIPDCGLLCDLLWSDPDQDISGWGENDRGLSFTFGPD VVSRFLQKHDMDLIVRGHQVVQDGYEFFAQRQLVTIWGAPNYMGEYDNAAALMSIDES LMCSFQDTAALNQTVESIANKEGRLDGLIAAAGSQQETPALEYTAEDANRMFPISLTG VLMTAQVVARQIIRLDSQSPPQSQSQPIQTRKKKAERRLHRPDCFHVRHRGKPRPRLL GLQRVQSQHHAADPQLGLGMGPALHPCQLDLPRIYPDGDGAGAV EPUS_05366 MASAALAVPASQVKQGAQILPTAKLPVVADLSDADSINPNIVVQ QWLDRFHDALKSQNLKAGLAKVFLKEEAYWRDQLCLSWDFHTFKNIDTISNFLSTQST KPRLSSLSLAIDASNPTRKPTVTPIDIYSQVKCISSFLAVKNDVGRGHGVVRLCKDAT DGHWKAFTLFTTLSDLKGHEEAVGHRRVDGVKHGQITGRKNWKERREAELEYEGRREP AVLIIGAGQGGLTVAARLKLLGVDTLIIDKNKRIGDNWRKRYHQLVLHDPVWYDHLPY MPFPAFWPKFVPKDKLGDWFETYAATLELNAWLSTTAKSFKYDDRERRWTVELERTKD GRKMHRTLHPRFIVQATGASGEKSIPSSITGMETFKGSVLCHSSEFTGARPNSKGRRA VIVGSCNSGHDIAQDFVENGYDVTLVQRSSTCVVTSEAAMEFYLGALYSEDGPATEDS DLIFASLPTPLLKRIHIDLTAEIGKKDARLRAGLKKAGFALDSGPDGAGLFLKYLSRG GGYYIDVGASKLIADGRIKVKQGVAVRAVEGNGLRMADGTLVQADEIVFATGYGNMRE TCARVLGKEVARRVKEDIWGFDKEGELRTIWRPSGHPGLWFVGGNLALCRFYSRLVAL QIKAHLEGIVKEGRVSVEGKPAGMAQR EPUS_05367 MADDSLRSHSSPYPEDIEKPTPQKPWQEGLYALRRQLAPAREAT IRAKEAAQHAEEAKRCALAQLRQADQAQSSRPGRRTGARPRHVGGAGPSSIIAADPPS INPRLQAPEDAAAQEQRLRPDTERRLRESGLWRSERPERSAAIIPCDSRSSADPRLQA PEDAAAREQRLRPETERRLREAGLWRSERPERSAAIIPCDSRSSADPRAPSIGLKRTT TESPQIAGLRPKRAHGLSNGASPGRQTSLQAATHDGESPGLPRGASPQGDRADRSTRK VSPPQASSETRSGQARQRSPQRSGEAAWLGFVQRGEKGRKQGEARQTSDEAGSPAPYI VRGDPYFDILEEAIQSLKRRRNQIKTQYSDTGGVREKKHGEIDERIQQLTDELKRVNK ARPVETEAEFIARTGSQPEYHGYIGAVLNRTEDPAKRHQILKKDLSNLVSKWDDRVRD ECLIEQYRQILMELSSRIQATELHPDSAERRVDTLPRMNTNAAAAMQSPPTPNLSAAA AAAAAGGSAPSDAAPSQQAQNGGSMSTSPWSGRGRVGRPPGRGRGRVGRPPGSGRGRG RGNLRPTSNVSVPSCTEPGAATAPLPANPLQGVAPSFVAATAPLSANPLPGVAPTSAA PSVVAARDVAIDRNLDPNNALGGSAPDTSATPI EPUS_05368 MPPIPRGQLVRRSSSSNSRYAIIFAVLGSVILVGGVLWGYLLPR WRRKHQRPVRTRYNSIEGRRNQQAQPDHDLELPVIFPPHPAVVVPLNKRRQPKDPGRV PCSSSIPVYDPRTKSPFPNTPGAGCSRPLTADISLKYKSVVPVTKPTSLHAVRLRRSQ SHNTPIAVYGSAFPSSRSTSVRHANTKYVHNNSTNNGGCSFLIARSAGAPPARSKTAS KVPEGSRHHTEDRRAPTTFPEDTQSAITASRYQHLFERDRLRVCGCNVAAGIKDRIIK EQMSRHRQGPLCTPFRSGFDCHDIFETPSSRGTTNTTHFDSSTHCIHVNSTPPTGPNS SIIQPQQHGISPYPDISKLVPLRKFSHQIATTKARRTPSADVVLEGSSVSSGEAHESN HAFMRGHRPVSAPPSSSKRIARIDGHLPDHPSLPELDFVTDIHLLGKVSANSQSSSAG SLNLFPRPLTFRRTVTNPPPLVSPTPVGRGKQDAAFSKDCLRCEHVEERPITGEKSPK IKRRSSVSSVFCLPGSQDALSATKANYAFENIEGNPFTNRLGLARLGSNKRRSSNASL STLKIPRSFRPSISRGGSSIYSRDIKDTSVPQRPGLANESPDSLQSLPRPGLKLATSY DETPIRDFGQTSQDLEAKHDVSTCRSRESQSKKDGYIPHTPTPPARSPLREAPQVGTA SSETFGRKMSIPRINIARSSDDVFGAAPVMAEGRNDRAVVVEDGRVLKRVRAVESKLS QEDLARYGGKTAPGGVGWI EPUS_05369 MNDPGLHQPIAEGAKQVENHYDESDSENMDEGEGAKSFLVPARW WYASTAFPLLAGTFGPMANTFSVCALVQYWRTEIPPGGTEAHGKDIPDPKWLLAVNAV SLILAVTANISLLLNMARRVSFSTAQPVTILGFWTASILLIALIAVASHHFRAPGVEN QALTQAYYYAIFAAGLYQLISYLMCITVWGAYQGHYSREFKLTMPQRTLMLQTISFMA YLLVGAAIYSHVEGWTFLDAIYWADFTCLTVGIGDYAPSTHLGRSLLFPYAIGGIVSL GLVIGSIRSMVLDRGKEKLHARMTEKTRKSVLKRISDMDNKFTGSRLYGFRHKTITKV ALDPTSSRISEIERRRAEFEAMRAVQDLAAAKRKWISLGMSGGVFVLLWVIGAVVFRE AEKNQGWTYFGSLYFAYTSLLTIGYGDFSPQSNSGKPFFVFWSLLAVPALTILISDMG DTVVKIIKDFTLYLGEITVLPSDQGGLSDRLKYGVYKITGVQIGNKVSVKSDEDIEEK PPMMRNIPRQDEHHGPKQQVVGGTRHVADFGKEEKQDEQAARDRGDKVAEDEHHYRHM LLSEMRKMYSYVNQEPPKKFTYEEWAHYLRLLGEDEHDQRYHRRAPIKDKNRMEVAIL DRSDPLSIDNVDDQGSGSQDDVSENQFAKDKDDIKEWSWLGNRSPLMGDKDEAEWILE RLFAVLERELRKQMGQPDGKSSLPRQHPCFHNSQSPASDTNQDQ EPUS_05370 MPSNDAVYVNGFENRTGRADNHITVRGSSFLFAIAAVMGAAALG CIGWSRTKPRQQRIFFYLCALINFFAAIAYFTMGSNLGWAAIPVEFRRSNPRVGGATR QIFYARYIDWFLTTPLLLLDLLLTCRLPWPTILYTICMNEIMIVTGLVGTLVATRYKW GFFAFGCAAFLVVVYIIVAEGRQYAKALGADIHRTYIICGVWTIGLWFLYPIAWGLGE GGNVISSDSEAVFYGVLDFLAKPVFSFLLLWGHRHTDIARLGLHVRGAGETPLGENLH GAQHEKGHHNGVNNDGTATGVHDGTTGPQITPAV EPUS_05371 MARQKSAIVVGAGAGGIATAARLAKAGFKVTVLEKNDFTGGRCS LIHHNGYRFDQGPSLLLLPRLFQEAFYDLGTSLEAEGVELLKCEPNYNLWFGDGESFE LSTDTSRMKKEIEKWEGIDGFERYLGFLQESHRHYEQSIIHVLRKNFTSLLHLARPTF LRYIFTLHPFESIYYRASQYFYTERLRRVFTFGSMYMGMSPFDAPGTYSLLQYTELAE GIWYPRGGFHVVLDALVKVGKRMGVEYRLSTGVSQVNLSSDGKRAEGVTLSSGEQLSA DIVVVNADLVYAYNHLLPSSSKAKSLSKKDASCSSISFYWAINKMVPELTCHNIFLAD DYKESFDDIFKRQLIPQEPSFYVNVPSRVDPSAAPEGKDTVVVLVPVGHLLENRASAG LNPQSQQDWNAMVNKARETVLTTIETRTGAKMRHSILHEEINTPGTWKEKFNLDKGAI LGLSHSFFNVLSFRPNTKHAKYNDLYFVGASTHPGTGVPICLAGSKITTEQILDKLRM AVPWTRNGWQEPRGKSEKVQVKDIDRIISGPSTTSLLLVAVLVTLMAYWIMVK EPUS_05372 MGLDYAWIHLIFTIPPAVILTIILRPLVTKIDLYKIVFLVSVAV IYTIPWDSYLIHTKVWTYPPHGILGPTLCEIPLEELFFFVIQTYMTSLLYILVNKPTV YLTYLFDDTDPRKSHVQYFRWRKRLGQVFFACFTLSSYYFSTLPSSKGTYLALICVWA GPVVFALWSLAYQPLLTLPRSKTWLPIILPTAYLWIVDTIALLRGTWTIESGTKVGLQ MWPGLEVEEALFFLVTNTLVVFGLTAFENALAVVEAFPDKVPVVPTFPSPSLLMQALF LSPAEYDTSRINGIREALKNLSAKSRSFYLASSIFSGRLRLDLILLYAFCRVGDDLVD NAGSAEEAERWISHLTVFLETSYSADHSKRKLDEALAPFPPASRAILALLPTQALPSQ PLFSLLEGFTSDVDFSRLKGKVPNKEAARFPIKTASDLEIYASRVASTVAELCLHLVY HHEPGSNTKQNSFSSMSIRDRCLKNGAKMGLALQYTNIARDVYVDARDGRCYLPTDWL REQGTTPEQVIETHGRADGVAETRKRLLDNAFAIYKESRGAIEDLPQYARAGIRVAVE SYMEIGRVLVEKMAAGGPLEELSGSGRRNRASVPKPRRLLVGWGALTGRRSGAIPEKQ VKTG EPUS_05373 MTPVESRKRKREAPHPYLSGNFAPILKTQPLTRCSYTGVIPQEL CGGEYVRNGGNPVVNEDLGRDAHWFDGDGMLAGVAFQRTEKGVQPHFVNQFILTDLYL SSVSSSIRTPILPSIATLVNPLTTLFHIILRVLRAHFLVFLSRLPGSPQAIKKISVAN TGVLFHDGRALATCESGPPMRVALPGLETVGWYNGKRAEGDRGGEDGAGFGGSGLFSF LKEWTTAHPRVDPNTGELILFHSTYLPPYVHYSCLPATYPPAIPSTPLNVPTRLMNAP VPGVSSAKMMHDFGVSFDHTVILDLPLSLDPLNLAKNKPVVAYDPTGRSRFGVFPRYN PHQIRWFETAACCIFHTANTWDDKVVDRSTGVMKTAAVNMLACRLTSASVVFSTGNIA APQPLRTTVSQEEEQCRLYYYQFDMDQHESQNKIRYQFALSAVSFEFPSMRDDMSMSA AKYIYGTSLSYGSFDAALGRTAKIDCLVKIHAEVLIARGKARTDLEPVSGCVDTRSVE DVLSSTLEDDPIQIFQMPQGYYCQESRFVAKAQAQDEDDGYLLTYVFDESQLDDRGEC LPNAKSELWIIDAKDMTTVVGKVMLPQRVPYGLHGNWFSEDQVLGQRPIETIRQLPSA KPSSVVQAGPDIWARCRKFIESSLG EPUS_05374 MSKTVLLSSIYINPATQKAPFTTVSLPTTSFPRFLPLLPTPCKN DSTGQSPQPHRPLIFCATGAAMIFYFQYEKARLERQRIVEMSKGYGKPKVGGPFTLKD TNGNEFTEKDLLGKYTLIYFGFSHCPDICPDELDKMAEAIDIIQARAPNVLRSIFVSC DPARDTPSVLKSYLSEFHPSLIGLSGTWEQTKHICKQYRVYFSTPPDVKPNEEDYLVD HSIYFYVMDPEGDFVECIGRQDTPESAAGIVLQHVRDWKREGKKIDETPLPYLSQVQG VVGTPA EPUS_05375 MDAVKQVLTARVSRLSALKAANIGTPRFIAQLESTIKIYGEIMK VEPANIRETTQHIQALMDALDDYWAKMFPSFDSAAVLYTRMSMQRQFVTSKLLEDYLD IPYVVSPHLVWLARSQGDPTDREQAAQFLGIKLDDTEKFYHGNVTSTLHEMLLDPDHV AVKLRAAAARNGQPASDIQPLIDRCEWASLASALVKDRILVDKLIAKSDLYDTRKRLH RSIDRVQEKYFDTLISPERFVISSRAGALSAKVAKQASIPPSMEAPPPYSDLAETETT NQKE EPUS_05376 METCPVQTCPVQPSPIQAFPVTTRLFVAVSVLTCMNFSGAVAWW LVRGPRFSKLADEIVDGRATPQGVQNFQDDVRDSRVAAISVRAVIIVLKLGGHAREAK ALNEQLRIAGRGRPMAMDPESRSVTSQVVEGVQASLPQTETPMVGENVVLGRDILLQE RRLAQSPRPSTSSERPPAASQRPSASTAALATSRPNTPTSSPRPSTSIIRPSTPNPRG TISTSSPSTQPQQPSTPTQRPSIPGSHPPGPTPRSSTSTKTSWETGRTHWSAASASPE NPLSNTFDHSSPYGAAVNNLRNARNASS EPUS_05377 MTTPLRRITGYEKIALTMSKHDDLSIFRRFDILNAQNLLYLQAE LHILEWEFQEQLAKDLTSTEGERKYYAFDWKTLDRVYQENQAILLYAETKQLQKPQRS RWSVLENILYRYIEPSDRLLGLEQNIWQEDRLRDDFVSLHPHPPQDLITRWLLRYLHK PYHRLIGKRNKTPDDPDRRASSPYEYDSNHLQYPVFVLTMVLSSLLPVVAIVILINVQ SMGGRLGTLACFTAGFSLLLSLIIPDRLTKRVEIFAATAA EPUS_05378 MSEEFVRGCLGVQPRGYNGGPISTVAGPYLPSETIDITPLRQDH SKISIESVDKLIYEDLGQEYRVRGVIKAEIRVPKPGHPYAFIATERQPFHLAPPGSYG DALIGQARPPKPNENRFIYWTFQEIQELRKLSETALLNLLQEEQNHYQESMNRARQHG YPQPSSASYQAVLTVARERGLVP EPUS_05379 MASSRPSGAFEGSPPPEMIEDNPSRDPISISDHPFRAILSGPLD RDRSGRRQYVSFNSDYTSPNLLNLDTRLPPHQETTTQYQPVEGQSLVQTWDFPSHSPY HHPLVNLPRFSTVTQPQSPQDWLLLPPVLAYDHITARSIHEQNTPAYDSHRYIAPSYT LADFNGTGYGMLGHSAPDYGAVASFGLSGHRITGSTSAEWRSPPSRPPPYQNSQHSSP RRPTQNTGFLLQSTAPFNFNVAQPDSPRQQESAHDGGPAVTYVFDSNPAGAGSPWSFS TTGTYHHDPRHSRRGQFN EPUS_05380 MGQGPSKPGPPTTQMQVLGLGVSRTGTASFSGALEILLQGPSYH GGANLLAGSDIHMLRWNEILRISYKMRHNPASVSTAERVYQKFLIVKQLEGFVAVADA PCNMYAEILMDLYPDAKIIVTTRDEENGGRALPRLFKNLIRRPTLTCSFSGFLVYDTG QSMLTLTAMAVMVNFIIPTMN EPUS_05381 MASHENTEPFPSLPQNITPGASTPQPAHTKAESAPLKRTNSNGS GPPRRRRTTSLTESFLSSNPPYGMWQATAEVASKIPTLGEIRKGSFCADGWTEEGQME ERGHTPHQIQRRKASRENSFSASRHRRSTTSPLSSKVDERGDFFATTDSEFLDEANRV PSTIPETSRADHAVDNKPGDTAITTESPRSSSTSDQRLADSTPPIGPDETGTYPNGYR FPPKHTWWQSTVIGLKAFWKFFLTPFGFLVTIYSLNVVAWGAMIFFVLLQAAPAMCHP SCSDPNSARQIWIEIDSQILNALFCVTGFGLIPWRFRDFYYLIQWRVFKKYDYFRRLA GHNRGWFRLPGSENLDPLLGPPPVYTKKNPKKDDSPPEWSDEEIAELEKNPAVPLPAT AMPPAPLTGVRAPPTKPIMIDVVVWMYVLNTVFQACLAGAMWGLNRFTRPSWVTGFLI TIGCIVAIIAGIVVFREGKKIKKVEGIPVEEREVENDIEKGQEALGLGNDKKVNEKSD DDQRPNGIGRQNGADGDAGRPALTRKTTEKTKGKHWYERH EPUS_05382 MVKDFLNSDGSDSEDGGVQLQNSTEFKVNEEYARKFTHNKKREE LRRLEDKLTNKQLKRKRPDEDDGSAVSSNEDSTSDSEEEDDAGELATEALDSEILATL SAIRSKDPRVYDKNTTFYTQIEEKSSEQGDQTEAKKEKPMFLRDYHRNNLLNDAKDQS TEIRHTTLSYNQEQEALKRSIVGEIHAATAEDSADSSEDDMKVDHADDGFLVKKPRNM EKAEQPLLDVEDAEKDPDTFLSNFMAARAWVPTERAQFQPFESDDDEEDRRAEEFEEA YNFRFEDPAKSNEKLVSHARDIAARYSVRREEKNSRKKQREAEGEKKEAAKRERAQEK ARLRKLKIDEAEEKLRKIKKAAGLKGGAGLSSEDWARFMDDDWNDAKWEEEMQKRFGE AYYAQEDVGSDESPHIPRKRGRKPKKPKWEDDIEINDLVPDFEDGNPTFELSDEAPEE DLEQPNGINGINSRSESTAEQYSNRQDKKKEARKERRIIEQLVDDRLELDTALAGQQK DAAGFRYRETSPVSFGLSARDILMADDSQLNEYAGLKKLAAFRDPSKKRRDQKRLGKK ARLRQWRRDTFGNEEGPILSTTSATNGDDKTMDTKERHVDVDGDIRTGATKKKRRRSK KNKGAQGVEV EPUS_05383 MSPTQQASLAQVGKLINLIPVGLKEAALDSPTFRATTIYFSEQV DLIEKWAEEYLKSTNKLISESATLENVINSFIAHALLPNSITEAVLDHDYSILAMRKY GEGAKDFWMSTFAVVKRLAASVVDPIRLFLQNDLRAFKDVRRNLELCQKTFDALQTKY ASHAKTKEPSSLREDAFQLHEARKAYLKASMDYCVAAPQLRTTIDKLVIRIFSDQWRE MRASRENSGATFARGANEMERVTGWVREMENSDKTFKRELQAARKELEETAEAVTRPS RELDDYAVSTVPYLGHAPSASHGNVPKSPKKDPVKKSEKQGWLYLRTFSGKPVRTVWV RRWAFVKNGIFGWLLQSARAGGVEESERIGVLLCNVRPALQEERRFCFEVKTKNNAIM LQADTQAELTEWISVFENAKSKALDDPGSTDSFVGKAGQPDPAFTISPPSVPEFGTLV LDSVNPGSRDEESNTLERTGTMLSSSQEGGSEITRKGTGLGIEGGGLRDHAARAMSKV DLPRRSAATGTPGSPALGGIASLIAASHGSMPVGPGAPVKPAETEKPKSSFTLASRDM PPNTLAPSTLINPPAPTQLSKQAVIVSGERGISSLADKSGGMPSGLLANMWGSWNWGY VNRLERGEVKLPSETRPLEQPSPLMLPSGSPPEEPIQSLAKESLVPFSASATDLTLAD GRSKNPPQSPGKHNTISMDLESAKALRSAIAPQEYPTYYPLQLKTQDAQFRLLFPSVS KDEKLVLVFRATWNPNDQQEFPGRAYVTTHEIYFYSHHLGLVLASGVSLSTIEEVTAA PGRDCDFLFLHLRDVKDDGPTRITIKTFLEPLKLLQRRLNYLVRNSVAEEPSDLENVI KTLVKMENQRRKRSTSSESWEDVSISTPMDDGTSGGAARSRQNLSDLKAPVRVDRSLE KQLGRYGEAKEAPKFKLPAQPVMYTPAGNLHKAVEKNFDVSPKALFHVLFGDKSAVWQ LLQHERRAKNLRQGPWVSVGEGHLRRDFDFEIPISDALGRTRDMAVRDYQVVDVLSDH LCYVVTDKRTAWHLPYRRNFKIVSKIVITHLAKSKSKLAIFTKVEWVRRPWMLKRIID NQAMDDLELDALDLTDLATDQVRRLGPYSRTKKAIQIFGHVGQSSSVTQLQLEKMPIN IEMRRRLPVQYPLLALLLHTTTAALENTFISLLEIVLDTLRWFWKTGSANSVILSLLV ISALANGFYSTRDSWQWWHERSATRFMARMGVQADTVMSKAVFVRDLDAIAIPTNLTS TVSTAPEGAANSIGGERESPCYATFQFAHQLDDGLDTAPRLRSPPPLTRQNEIKYRIH HTRRKLAAYRHDLLVAMRVVNAVEREVLSSEWEGWVRAEARRCSWIGALLEESGNGTV GQEEEEGEAGSLMLDGKERDEVQRWYDTYCESCRRDWDGLRAAR EPUS_05384 MNRPSRAAYEEKAYRDVWDGGPYTSFDMLSYLIGKIQGPSEEEK MQKFLLNPGILQTISEKELNRDWKEGVLDPLFAGRTGLCTSFAIKVVNVLMKQASNYD FVFFDLGTHRLAECQNSKTVIDSSVRSPGILLSVTRNKWEIRPRSQRGGKLPVRSHIA ENQDAINPMQAGDALIRCLKELIRLSQTPDWSFLCFFRGHTIPDDIPTFDGMIRWLPW KKAIVLSWRTPGETRETLIRFGGGTEETNQTCLNEFETFLRQGRRGFDRSDQFECVAE WHYKLWEVAINEGVWGLPVKPEKVRRSRPRLPPSRTTTGLATIHVSNIRDARTMHQNM QSALITLKRQQQTRLLGKIPHNLSRPSPMKNRAVPNYLSTINNPGSRARVLQSFSEHV KCK EPUS_05385 MADPLSTAGSITGIVSLGLIVCQGLISYYSRWASQHEEVNHIIR KLQDFQNILKVLQGPLERLPAEHNSARMQVENSARSCTDSIQELKGFLEKCKSITTPS TLREKFRDLRRKGFFPFRKESLDQLRDCLDDVYRNLILAFQVLQIDILTVIMAASSSV QSSHDARITALSTQLGALMQKPSLFRTACDGASQLRLIDDQVNSVSVRPTVPTRFPAR RHIYRLQCKCSSLRNKREVYYRPTQNMRMRLGASSHSRNCPLFWTARDRNILDLRLVF YSMVLSKTVQAAFSLTRGAGGCSISPKLIFRATVSKDSGAFSVLRNMGPKVSPADTDK VLREITTLFMQGRASPTDLDTNGQNLLHSLCFPPSTAPDPENFVLEAYVKLFEGLLNL GVPLDDLAYGYLTPLDIFMGGLGQKSGSYMVELARTFQELGAEFSHNHLQGPIFHGFS EYAKYCQELDQFAEVLRLQPLSSAVLQRSEDKVREILTADPSSVLEWTTGGFNVLHLS ADWPAGLSLILQCDNGKALVNIADQQGKLPIYRACEAGCVAAMKILLSAGSAIIDPAA MALNQSLDFPTADALAKLPENTIRDVVIPAFVNGRKRLQNLASTSLPKEIYDDLQIPK DRVLDQQAFATIQALTNYGVRVPPALAWVPCTVYHLRPLTLQLCDDLYEAGFRDVDGL DSLDRTPLLLLDELEELVEEFEAAYERLGQPLSEFMDGYWKIRMAKVLDRSRPVTEED IQRIKELGVVIDDSVYANISRAGSST EPUS_05386 MPKKQSTSSKKNSKQASRAASGRPSGGASGAASGAASGVISGGA SGGPVRAASGAASGATSRMGIDLSKYGPGLGSLGGIPPADPNYEVMDDEGLIRWKFKP IVREPQRATRLRDVISSFEQCRGHFEKSHAYHCLETSVWLRFREAHISLTNYVCFGTG SPTAGGRKGHSMSQLAVFVAIVHLLHSQQDKTTPPRMFAQEPLYNSVDERLLEHLHIT VVEHPDAFRLVDSRAFAFGAIPPSFVLRGLLVRSPAILMVDNPLVIYVEESGQTERAD LYAYFPTDFPNHKFSEEVIEQRQYQHEVRAAQIITCFFQDKEQLRMPEYLPAFDNPIK HNAREVHPLYIYWKSEEEGTADYYWVDDTSKF EPUS_05387 MAEIELEPLVDQRTVSEAGLSARSSGVQSAPISDLEGHDDQTSA HGRADRTGATSERAEKTGKACDSIKPRSSNFAWTTELVSYSIALAALLVIVVIVRARN EQPIPNWPISINFLVSVFTSILKAAMLMPVAEGISELKWVWFANPRLLSDMDEFDLAS RGPRGSFRFIFRLPQNKLACLGAMITLLSLGIDPFTQLVVQTYECLTIGPGLATINRT NNYTAHGPGYLTDWRVDAPMGLAILEGVLNPPANATASLLFDCSSGNCTFPRTYSSLA ICSSVKNISERVVPSGNNWKLPSGQNLTYHGTVFSSAETKITEFTEDTPLFEFEALMH GAGGVLAFTASLYPCIQIYGNANDNITISANQIQQTVISTMKLPRINMAWPYYSLAGA VASFEGIDCSSSGSSLGPKTVAARRLKSGKDYVIQLEHSGYISNVSDEHIAASQALVW YDPACTWTFGIFSSIAIRSFLGGIFGEAGNPNKVAGLWDTANGDLWLELLYLDGKSNL TYVEKYIERLADSMTAGIRRNGDATNSAHLVGEQQIPRTCIRFRAVYLAWTAFLLTST AAFFSATWWRSRIASKQAGRGSWKSSSLALLWCGLEEKVKNQRGTLDYVNEEMKPHSE LLEMCLTREVNAASSTETVQGQDSTADGSPLELDQVDSGTESTTDEETDSRSLRRGRW ILQKERELPARKQELFVRSWASRLSNYIPI EPUS_05388 MVTTSIQDRTNEFRTVLIQAQKRQNTSKVGSQRASLLSDVQRRE ANGSAGSERRARSEFARNAAQVGRGITATMGKLERLAQLAKRKTLFDDRPVEISELTY IIKQDLASLNSQISSLQSLNQSLHPNALQPKSADQEGQHNKNVVVMLQGKVADVAASF KDVLEVRTKNIQASRSRTENFVSSVSAKTATGMDAQRSESPLYQSTGTNRQRTPQAAH SSSDLLSLEPSSSSTLMRHGQQSDSQLLMMEEAQPTNTYIQQRGEAIEAIERTINELG GIFGQLASMVSEQSEMIQRIDANTEDVVDNVQGAQRELLKYWSRVSGNRWLVAKMFGV LMSNCLPGEGAAKDSS EPUS_05389 MLPEQVDHSPTRSSKSNNNHASSFHKLAVQAKKKAHSITTAPLR ELNSQKYLNSLHGILVLESILWILFHTFIPGLTTTDIPCPNYQQILRKIFEVLFWEYS FIASFFLILSARVICIPFLADSSSTNFARSLIRRPIEIGLPLFVAAAVAFLIFNQTGT DTITEFATNYSNPLVQPVYLPPTGLALVNSIYNTLWMVRDFSTQAANRAWPSMTLWSP SLIYSQSYTVYIAMAILPFTRPRWHVQGLGFFILGAWWLNSWGWYSATGLLLADISIH PILKADFQYGFNMTEKFRVRNGFIGGFLAAAGLAMKYVWVAARPDLRNAELKSHPIFY FSDKVSFETFDKSAPYPRNDNYLLIVGILLLVETLEPVKSFLAGNRTLLFFGKRSFSF FTAQSIFVYTIGMKLALHLRTARDLSISSTNAITIFTLIPCILLGAELFYRLVDVPTA FRGRTTRGFWTWILDLHLQSQQHLQSSQTPPSKVQPIGWPRIRSPRTYTACQRENPSL IHGRTYGVTEMRLKNSIRGPSPLRDALRGRYNLLGTSRSWSAAQSDLAQRFLQRESTC GLPCVKIAENSFRLTGKEKLLGPEHTSTLTIVNNLGNIYLKQGKLAEAEQMYQRALAG KEKMLGPSILSR EPUS_05391 MSFLKHLPLPRWHNSTPGDDNGYGMSRVAAIKRSFSALDRLMSR FSNWLIDWTPVTLVVTYYIVSTAIYVFCSYQQITVFYFFYMCTNFYIAMTCVVEAFLA MTPIREARKEAFRIEGNGRRFPTPDEELPMLDLIIVAYLPNEKDIVKDQLHYALDELV YPRNRIRVNLLYNSPMPIEPLETELHAMTKQYHVLRVVKVPNSKSKADNLNHFLTLNT NAEIISIFDCDHFPHPHNPRWAAERFVADPTVDIVQGRCVVYNTDESFYAKMIAIEFD KIYATSHPGRSRMYGFGLFCGSNGYWRAGVLRQIKMDGSMLTEDIDSGLRAYAQNKKA VHDLNVISYEMAPNHFAAFWNQRLRWAQGWTQASYRHMPLVWNQPPSGRRGLDQRFGV LSLLLIREISYYLVTQHTCLIISFIITHFPKSSSQLVDLIFFQYPMATWFLFST EPUS_05392 MLTLLLLLGTTLAQNITPVGRCRCGYYDAITHQTFTESIIVYFN ETTELPQDVLSVQEYSHEKEKGSSAVYRQGARSENVKIGNSSTVPWLQYKVNPNSLEL FVDPTTEDHLVVGAGVNSRRQDIIFGSFRARIQSPQPWTGGSALSMNLIFNESQSLEL HALNADNASDAHLTYLVNGEYPDLLLSTNYTRIRDESTPPVSPWDFINVRIDWIEDAV NFTVANNVTRAITSKDREIPSTPSHQVFKHWSTGDANFMRGPPINRSATNIAWMRLFF NSSLMNAEGHRRFDAQCSKEEICNMDDITLRGSSSYAPQALIPYEEQPRNIKFRIPAA IVATIGASFGFVTLINALISRGSWDRFARLVKCRGRKRSLEKQPEVLSNSTSASNICE PELSFEPSSSASNGNHHNGSFDSDPDTDPLDSQGAARNASDIEVDISLANAENTKPSI LDGMPSRGSVQLDISIRNSSIKQKISSLADHRASLFQCRTSLTERRESIATERNASVT AREAFLNGRRASLGQRIGAMVEHGTWQLAQRRASIAERKASMAERKASLYEQAIEELV TRIETQERRRSSGTDHARFGRQKSHSFSGMPSELVGKEPTIEINPKSFEILKIPAKEI MSQQREEARRVLFKIEQPSLINPDYVLRKDKLEQSGDLSNATSKVITPIMPPKKRVDY LAGLVALSCIIVTFVHFTLTFIPYVGGFGTGQHYSYEEWGRRIATPYFLGHTWIGLFF TTAARFLAQRYLQEGQLSNIANRTLLRAPRILIPVVIIAMIEYFMIDLGLTGSLQYLP SISWSTWPHVIKYPNFGYFINSMIELAFLIPNAAPQVVNHFCVGVLWTIPVQLQNSYL VLLGAVMVKDIKKPWKRLAFYTFCIVNHWYALSWGSFFWLGLAVADLDITYKWIKLLQ ARPVVHYPFLILMCIIAIAAPTFNLLQDPLGYPIISSERGWHPDHETGLPVAQTPRAG YPNYYEPRLNTLIFAGALQVIVELSAWVQWFLSLPVFTWLFPHIMTIYLIHGFVFWSL GAWLCVTLAVRGVPYWGNMLTVVIVSYSVLMLAAVLLTIITERPAQSVCRNMWRWASE EPIAKLSTLFPYPKDQFSNRTKNDHKDSQDTE EPUS_05870 MTEWWITCYRGNIHKEKGIYKEENLKEEGLKEEKDIVLNILLFD ILLLNILLLNHLLLLEILLLEILLLEILLLEILLLEILLLEILLLEILLLEILLLEIL LLEILLLEILLLEILLLEILLLEILLLEILLLEILFLKVQTRMLLGDNSKYFELVYVH SAALAHVLDARALLDNMHNTPHSKVDGEAFFISDGAPMKFWDFTRAVWAAAGDTTRPE DVKVIPMWLIQSLASIGEWSYWVCTFGRKSPKLRRQNLEFISAGHRFSIQKARTRLGY EPVCDTLEGIRRTVAWFKENEGWNKVERAEDKDGLFSRLVQASRPLIVPWRVFFGNSF ESSMFEGKNFY EPUS_05871 MVFDFRTQVSVTKHVLTARAQLSFHPTHAVGRMLALLAGAVLAQ YPPPISNSSDLTVIKSPVNDNITISYKSPPIGTCTTIFSTQKQYTGYVSIPPDDVVSA PNDYPINTFFWFIEARQTPESAPLTVFMNGGPGSSSMVGLFQENGPCEVVEIARDRLG TRARDWGWDRSTNILYVDQPVQVGLSYDTPTNGSLNLLDNRFSIPATSLPPTQPAYTF LNGTFSSQNPIFSANTSAIAAHATWHFLQAFLAIFPQYNPGTRQNSTNRNGIVGVNLF TESYGGKYGPAMAKVFEEQNNLRAVEPTANNSILEIHLVSLGIINGFVDVLIQGPYWP RFAYRNSYDIDIFSLLEQQNAASAFLAADGCQQSTSSCRSMAQLLDPDNLGNNPTVND MCLKAYRACQQVFRIYSNKGRSVYDIAQNNLDPFPNSYYLEYLNTRPVQAAIGARVNY TQSSDMVFTTFLGTGDYVRGGQIEDLAALLASGIRVAFIYGDRDFICNWPGGEAVSFA VAAAASQLQPSYSSWYGAGYAPIVANSSYIGGVVRQYGNLSFSRVYDAGHLVPAYQPE TAFTIFTRIIQGEDVSTGEPVDLGSFGTQGDTNATHTNSAPAMANPTCFVRAVRSTCD RDQQNMLANGAGVVINGVLYNAESDWVSPAGSATSLAGQPGTAPTAMVSISDTPSTQG KVGEGATTTRGLATGVYTATTIPTTSATTKADAKRLGLADIALMDDQISKIEIEESRT YVRRYTHSATPQHYSQPADDKPKGNPYRGSTSIDQGCDLKMD EPUS_05872 MSPRLYLFGARSTRLRIQPSRHTPRSASCKAPQQRWITADEKPL PTTDEPKGPNQEQLPHVSEEAAAVDKTMGEAAPDIGQGTPVQDILKRDPEGQDKAPKV IQEDMKKSNSPSGSRSYSTSARRSSEELQMTSSAGEMELPPSMTFKDIPHEMGGEGHK FGLPEVPLARTDHFKKRYHPVVDQFTKALMKHGKLGAAQRATADILNTLRTAPPPQSR SSKTGHTLLPSAGPLNLLPLSPIQYLTAVLDSVAPLVKIRQQKGLAGGGKALPIPEPM SVRMRRKAAIKWILDSAGKRNESFTGNSRKPRQVNLSGQNASGRKGLKSTQKPNAASP GSQVAIAQAQAQRLQRGQERERLNASKLLQRSWRGHSSRQKTREAWRAEWDQHELARS PPSSDVASLLRDTLDDGKGALSYESAGACYSQLRLLLHFLDIQLDMDRSRLMYFGGAL QKTLEEVPSIATGDSWTMQLYRLSKLTVEALRSVAFEWTSRTAHQSESFLSLLVFLTR LIPKHMARNAERYYHVLAVLLLRYGKISARLEERAAKAVLGLLTPITAETMTAYTAFG TELLTVPDTLVRISADRTVAPELNYKMLTTALNCAIQEDRETKLWLLRDRKRSLWLLA HLIFLHRHSLGATRNLEVSQEIDHIRLLSALLAAQASEITSRVDIIDESMIDTTRQKY TRSEAMNIMPLPPFIREQILTLIQKTSIVGMMSQIGLTVSSAGKTGSDGAQALATYAL TLTRVFPGQRADEIRMWLYDGSANLKTSTGTSAILYFWHYSRSTAIFQRISRDHRNAV PLLRSFLGLDESMSAKALQDSQQEWRILLLFLELYSFAIRIMDDEGFLAGGQFGTASS TQWGGKIRESSLPLEQVRELVIFLKNLAFALYWNSKDLQEGDHLEQPTGLAAYFGNAP PSSPSRNEARPKITSQDSARVQLRDLVTGLLRSIHQRDSRRKFFSDGFWLMSSQVDMS GFIPAVVAEEERRHEAQDGEDEEEDDDDLAGLDPGDVGGLGLYDAYRAPRNTRQLQMM KEQKRSRRMRQMEAVAPRHEILQNLPFFIPFETRVQIFREFILRDQQRRRGGIIDPDT WRLSIVQSRDINPETGRPTGIDILQRHHANIQRQHIFSSAFDQFNKLGDGLKEPVQIT FIDQFGSEEAGIDGGGVTKEFLTSITKETFDPLNEEQLFVANEQNLLYPNPGKLDSYI YLLRAAGMRDKTAEMDAAVKELLARYRFLGRIIGKCLYEGFLIDVSFAGYFLLRWALT GGTTQAAKESGYRPTIDDLRELDEDLYQGILKLKHYDGDVEADFGLNFTVVDTFEVPA PTPSNPHNTETKSVTRPLISGGADIPVTNINRPQYISALVNHRLRTQSLFQTNAFLLG LGEIIQPMWLSMFAATAARSTSPTSAPIPSTLASTSWETTTRNTRPLNYSGTSSARWT TRTGGKF EPUS_05873 MPRQSHGRSLTTSATSRPPRRKPARSRNLDAFAIAQQEDSTRIR VRRNRLGEIEEDTHGKATFVTGEQNDDVRSAKRRRTHGQGGSADEEDAGDDSEGHKWH LGVDDDDEDSDLDSDEAMGESDEEKFACFTFRGSSTKSSKTRPRMRGLDGSKSLTNID LRESTKEDTSLDNGSQSTNEDEFGEGAVDLATVLDMNEEHEGEREELGGTGPKARPEE LDRPRIPDDSGGWSGEDGSASEDVSSTLSFSEDEDSSVNHARLKSFLQDLEEHGPSNA PSKRPRPPTAVGEPSDYGLAPSQKLTVADLLGSVTDPRLRDSLKMLHHSEQKGYNIST KGIPGKLEPPLPKRQQDRLDREAAYKKSKETLSRWIDTVKQNRRAAHISFPLSDPDAL AALATNQLLPVSESEALTPLESAIRSIMHESGLASDKGQSTEGKLQAFEELEEKKMPI EEVQMRRAELRRARDLMFREELRARRIKKIKSKAYRRVHRKERDKHAQEERAALLAAG ALDSEDERERQDRRRAEERMGARHRESRWAKGMKAAGRTVWDEDARLGVNELARKEDE LRKRIEGKDLNRSDELVVDSSDQESSDDISVDEYDEVEGLRLERKLANVETADRANIA GSRLNSMPFMRKAETAQRALNQAEIKETRRILAAEDGESEDKDIALSDSGGRRKFGLG QQSKSGQAPRPEEKNGFEEPLSENEAQQMSPAGEELSKPSRHVLRKEQAIAKKPTSMQ SHAREEVTESLHEIDNPWLSGPRNRKSGLTTGKSIISPDIVLQDQRMASNAPNKRNLS SKTTQVPPAIIGELTSSSNSDEENPGQPTVRTRLPKRNKELVRMAFAGDDVFQAFAEE KRATMDEQGDQVVDTSLPGWGSWTGAGISKKEQRRANSQKTTTTIKGVDPSKRKDAKL ERVIINEKRIKKVIHLAGALPRPQGFGHSSTNSSNFHSNSSQPSTIDLPLKPTRPTSS ATVILVNANLQLQVVTSTDLPPVQVPTSTSATQSWPDTSGSIQSTLETGGNPTIQPFP TSLPSVTGSTLLPLTTFAPFTNATEVQEPTTTQTISVPTTTLESIVTQSTVATLPLET LSTFLSETTHATTITLKSSTITSTVESTVTATSTSLTLVVTTLVASSTSTTILATTIT TNGNPTSIPTVAPNCTCDMGPEQDIFLPVGLGAPPANIARRSGHPAPRLGIQNTTSPI PTNKFYANFFLGSQGQSTFTQPYSISWSRGGGNAQSWGMAISHIEPGQRVFGPPRAGI PGSPASYFINPIGIQSIVMSASELRTSTVLTSDSLQAFSANINLRPDSGSASCITFPV VQGMGFVTGLYNNLQPVIQSSVFFRNVVSAEPLRQGTFKYRIALEDGTVWLLYAMSTS GVDPNFRLVSSTLLQGLPSFSGVIQIAKNPAYSESTYDDAAGAYATSATVSGQANGST ASYSLTWTKGGPNADKATLLMFAMPHHVESFNATTRARITSLQLSTTTKGMGTAVAAD SWTMLETNLPIDMGFAPWRPTSGNVSTLSDTAISAIEKVSASEVSQNMSAQTNLDSMY FSGKGLSKFATIVYTMNELSGQKGLAAAGLANLKSAFAVFAKNQQRYPLFYDTDWKGV VSSATYLTGDPGNDFGNSFYNDHHFHYGYFIHAAAVIGYLDPSWLAKNKDYVNTLVRD ASNPSGQDQFFPVSRSFDWYNGHSWAKGLFESADGKDQESTSEDAMFAYALKMWGKTT GDASMEARGNVMLSVLTRSLRDYFLLEKNNVNQPSDFIGNKVTGILFENKIDHTTYFG ANLEYIQGIHMVPLMPFSTLTRNENFVTEEWNTYFSDGMVAQASSVQGGWKSILYANL AIINPKAAFKFFNQENFDPSWLDGGASRTWYLAFAAAATSIKQHFQRQGSSHRMPSQA EAEGNGSIAPDVANEHTHLLGRIMSTDSGTPYYKSENAYIRWPAMVLHTTWQVLISNY VNVLLVFVPLGIISGAMHWNPTVIFILNFFAIMPLASLLSFATEELAVPLGETLGGLM NATFGNAVELIVSIVALKNNEIRIVQSSMLGSILSNILLVLGCCFIAGGIKYHEQSFN TTVASTMSSLMAVASASLIIPATLYAALAKSKNVSSEDNILVLSHGTAIILLLVYIMY LFFQLKTHADLFDPEGQEGGASQEAEILGPWAAGAALVVVTVVVAICAEFLVDSIDSI VESAHISKTFIGLILIPIVGNAAEHVTAVVVAYKDKMDLAIGVAIGSSLQIALFVTPF LVVLGWAMDRKMTLHFETFETVAFFLSSLVVTLLIQDGKSNYLEGGLCIGMYVIIALA FYVYPEDTTLDLVGWVGGLVKG EPUS_05874 MVRPSTALSARLRLTTKQVNGGYYKGNRTGSMGAHTEWGGYVID YRKARNYNCPDLKDTRLTPFVSAQIDPRNLDRAARDDPTYIPDRSSGRAFIEAWKNDS PDEYSTKLKTWMLYFAQAEEPAAQQSLEHSAEPLEPEEADQTMESIGLEDHYHTGTQG DKDTTAEAEDVPGESGRLGRKVITGRRKISPNPAPQQQETDQPRHPY EPUS_05875 MAPSRGAAVYKKQDGVLAISKDRQAVSWTPNNPPDATPSLVITV STITNLQQTPESSAKVMLKIFAQPKGQTEPVGHVFAFTSPSSARAEANAIKEALTTAI QAYKAAQNSDAAISGGSSAAMAIANAISGGKGGNVWEDDEKLKSNLDLQQSLMRDDPM LKRMFEESRKLKPESLSNTQFTAQFWASRIHLLRAHAIAGSQARGSYNVFSTLKPTSD GGEKKLSLSKEHVHLIFAQYPLVLRVYDDVVPKPYDETAFWSRFFQSKLFKKLRGLKI DQNDATDTVLDQYLDAEEFSGRRETQREMQVPRIIDMEGNEEHHSQRKGNAPYRELRP QSLEKVPIIRTLNTLSEKLMAQVAPSDIDPSQPIGMDEATYENLRLRDLAGDPEQNRI ILNVRDQSRFFTDSRDTAEDSKESQSLPYVDPGAAIRSVCEDLTQAFPQPGFAAIKVD GSDQEMDDDHSDDEKEKEVPPSARAYSHIMDLVHQHSEQTQDMPAQMGLSATIYERVT LTHASTTEFLHQFWAAFLSGDPSRANEIASLVESLNRAMDRIKAVADDADGERNELVR AAKRTAIDFQQRTGVKRKMDLKAIKGGAEVVNQLLGPTISAVSTASAKYRKALQEQSG END EPUS_05876 MPSISTQYTPFESLLFLQSIARNGADFSIFPTISLSLNTNRLVQ SDEKYDAQRLAPEALKSLYDELINVEDVTSAAVNESHSGGESISQNNSLSAPLEDSGR DNGTAAKASQIADRFYSRYKERIIQELTDEEKKYQTLVVEIKSLEDENSDARKPEEAV IQNGLSIADQLPSSNADESVLPAKDAPVTTARPAPDGMSTTGARSKEEQPQLAESRLQ HPPPGQMLPPQTPSLEPSFPPSPSATAAVQRPTAPVSQASPVPPHAIPVPGQQQLPNF PQRYSPMQPPLPLPSTTSPRSSNALPPISSIVPQPPPQKTSPAPRKSSGTGASRASPL LPSPSPPYQQPPPYGYPYPAWPQYNSPTSQYANPQFYPPHPMTRNLPPAQSPSYNQYP SYHHPALPNQYIPGPYPHPPVHGQTPPYAPFPWSGQPPAVVTTPSVKRSLDRPGVRAP RTSTPWTPGGTRQGSPERPVRERDVSPLSDRAPSPDEPIKESKEAERNTTPKDSPPKA VSKRVPARNLHGRRAGSTQSSALASRSRSQSAASIASESHPGRAVGTKLASCKIKHEA PSTPAPLTSDSEQRSSGRRRGRSDGLQPAASELARTSSKRKREMGTDASPSPSLPTPR PFGSRIRPRPEPADPSLVAITRNFARKAAPILNDVNAHKLAGIFAKPLTERDAPGYKD LIYRPQDLKSIRAAVGRGSRAANAMIDELENAKAAEAGQESPAAAITTKASTTTPSGS ILVSKTEDLTPPKGIVNSAQLEMEFMRVFANAVMFNPLPPSERGVPPELKKLTDSTNQ RLRSRKSRSKEADDAGGGGGNGEDDAAAAAEVVEKKGYAVEEEGGIIHDTREMFASVE KAVLQWRSVEQGYIDDVPRSSAGLGLAVGLGLRVGSVSASVSDVPAEESGLEEGERER DRDRDVASGNTRKRRRLAE EPUS_05877 MAIGQAVAGPFKHSHFHAKKEADPLNLESTVVKRSGALTEGHSV KLLSEMAFSALGKNSELPADGVWLGDNGPYTNEFWNESGEDLILVIWGPEASWVNVKQ PLITASIANGSSTTVSFASGQSGAWSAIYDDTAMLNGQISNTWGEYTFSPSGVVDVSR LPNMKGNAMTIVGPTCVSDMTRCVFECPEGEDICMYNYKLVNCAPESQVGAQFGYDYG AASGGCGNLGDSAQLSTYLS EPUS_05878 MSSSVPVESPQAGARLGKMSDDNVGNLDVGWLLHSRKDQIRRNS VNAPKIQTHHPPSTTLRAEPTPPQTPVSTQEPRILAESDGANNINERVTVSNSQEVSG QPEAPRPSPASDGSPATVTAPAPNPTPPASQGQKHHLKRPHLLGRTSQQDENKNPSQN SPKVNLSPKQPPRRASWIANLSSKFSSSSGAPSPTRSSSIDASATSSNTRPTSPTVQL TNPSSTAQNGGGPKVDLRKEAKQEDQPALTPVHATRRPSVLVQAGKERDDNPGFLQSA LRKFSSSGHAGFGKGAGNNQACQRRIMNVDRNRERVKITELEPSKLKRVAFCVDVEIA GYASWHEVEEFEAERREQRAPEVPVARRQSLPMLELQVQAAKNKKDKKDGKKDVKEAM LKDKAEGAVLKNPQAAKVQKEEMETVVQNNEKATDPSSQPTASATVPEPQEPADTAAE AASSDPQQIAGTRKKEKKKRSEAERKERKERKRRHAEANGKVPLELTGCNDDGEDDSS PSPSPPGASTPNMTSSPTTDPLRIYKRCAQLRETSIVKKVCDQISSPSSTFAESPGTV AVLDLSGTVMTLPELVTLGDWLAVVPVRKLLLEDCQLTDEGLRVVLSGLLGCKTPEQA KQNKKLPKRGTGRKGEEQLGVIEKVSLKGNSKLTSIGWKHIALFLHMSKSLRAIDLSG IPFPAESMSGELSRTNTANTAASNTTNMSHSSNGSLGSVLSRALAERFAGNKLEELIL STCSLSTSNISDIIDASLKCRLRRLGLAGNNITTEGLDHIVRYVESGVCEGLDLGDND LHGCMEPLSNSFTTENNFFALSLSGCNLTTSDLKVLLPSFVKLKNFRFVDLSHNSRLF ATQPNAVSILRKYLPQMASLKRIHLNDVGLSSEHAIALAEILPECSSLAHLSILDNAP ISELMNSKDSGAQEEACALFASLMTAVRVSHTIIAIEIEVPSAESNEVVKAMASQVIA YTLRNMEHGALRELEPSPSSKGAPDKDAPEILLHIVGHMEGYQENHDADDPAPDDDYL MGGNAIVKALGVCLRSADEKSRVVSSNISPHGTPGASGSGTPKFGASGEDPASYKKPR DMSKQLLESARKIRMRLKPALVREDQAGNDFNYRRLQFLDSTLQRMIQRFEDEYPECK LPPPPSESRTSSTSQADRDSSYADASILSASTEINGLANTTSGEEALEDADEEPFALK LSRTPSNTSLASKALTHEEGRMHRYGQSVCREIALATNPRTVNDEPNSVSQTTSTTSS DEERSAEASRLRVIQERIANMRGEEIAQFHEQCHRDGMEKALKDLGVTAQEVVELERC DPEAFERFRESQVAARFNAGF EPUS_05879 MPFTKLVKNSAYYSRFQTKYKRRRQGKTDYYARRRLIAQAKNKY NSPKYRMVVRFTNRDIICQLVTAQLDGDKVFCAAYAHELKRYGITNGLTNWAAAYATG LLLARRALKKLELDETFTGVEEADGEYKLTEAAETDDGERRPFKCFLDVGLHRTSTGA RVFGALKGASDGGIYVPHNEKRFPGYDQETKELDAETLRKYIFAGHVAEYMETLADDD EERYKSQFAKYIENDIEADGLEEMLQEAHKAIREDPFKKDDEEGDKKSKEEWKAEGKK YRNKKLSKEEKEARIKSKIEELTS EPUS_05880 MPTCWNCLKEVTIEHFLGGEAPTCQEADLGEPGPEVPTSEDQPY SSRAHHQHQQHPPLRSLLPENNLPNRTVSSSSRSPAIDIIRRGTVRRIQSIDRARKES AISDRRSITRNRTPLSAPTSQRPLRKFWSARNRWQRFLSLPPIPEEEEEKEEKKEEEE EAEASGEVTPTQRSSRRRPIPPLTPWRRLCLIQDYSDRDEDLRTTINRLDDAIASSPE LWTPGTSERIDGQPAPPHQFYRRLHDMNGSMSSSIMAPLPIGHQQDLNFLYRQMQELG EILKSNREKVNGITQAAEEVMNRTSANGSEQATREMNGDGGASQIRKLEMQLLKANRA IELLKSEQSNNTELIGKYEDALGTSTEQIRNYCTENNMNYLAQRRHYNNLLQAEKDEH LQSRLDRDYWHAQTLKVCEMLRTAYRLRTDEWGEELKIVSGLQNEVRVLRKALGMDVE KAEDETGWPYLKDAPLHLDSIDES EPUS_05881 MQVVSPFQGILDYEKAHNIPVGYINYSISKTSPNGTWHQLERGE IPLDADYFRRFKADLEDEDRWRDYHHKLATQTKSAASASTEPLAAMTERPPVPDIDAE KLFWAMMFESRHPDPHMFPALQKLKASGRFTLAALSNTVIFPPGSPLADVAEGDVRRI FDVFVSSAHVGMRKPDPRIYQYTMEKLREKVGPDLKAEEVLFLDDIGENLKAARALGM RTIRVMLGKTDVAVKELENILQMDLREERQKRAKL EPUS_05882 MLKSQPSSSTSSSASADNSSGSTGATASASAIALRPSHSRSAAK KILASYDSKEVRKGVETLKKRIEKHFGDADDAALARGLVAKVFRECENRYADGWERMR AVLDRVYAAEAGSGGAGGILDIEWRKDEVAGLFRR EPUS_05883 MNSSRSRDPSKDVLDAGSQQNGRMVSDSRNGYEGSTNTSVTTST AGMTRAQKFEDEKRRIIESCFSKKDPDGSPSESYITHIQITEDALYPSSPAPPDSGLE NKKSRVIVVAVRKSGRVRVHKARENSSGSFSIGKTWNLDDLGPLQSWTGAVPKTADEH QQKMWASDVGFTVTLGKPYYWQASTSGEREFFIGSLIKIYRKYTGGKVPDMIGFDPAE QEQLAGVPVTRTNAEPQARSPPPRPNVPPPAPSSGSNHPKSPFAARVPSREGDRSGSR NGLRPLDRDGQRTPNGEELRSPPRGEFRTPSREGQRDQVQVRRQQPPGQESIPGVLNQ SGLPKPRPPFSANSSQSSLPSALGLPSNPRSGVNAPSSTPQNDDALRRLAGRPSDSSL INRSEDGTSTSSRPSTAASAVKPLDTRLERKLTPDLSQEIVPERRRPPYQRPAGSFGQ ASGQSDVSSKFSTPMATPTHVKSDLRSSSRGRDKVRNEPSTDSPSMPGYFPSPTEPES AVQDPSPITSPNSLPPRDEAMMFTSPTESPITSPTPQPEEDYRPGLGPMMRKKKSSKE IANHFRKAAMAAGAFKPRAGGAAERLLAQKEKASNEPDGITGVVPAPLLRGPSNDSRG GSPAILSPASDVVSPPANRPMPTIQLQRTPTEEQPQAKNAENKMPRPPSPDKPRSRSP GRRRRQLQEARIAKYCNALGIDSKVIEGRGGDFDDLLTEIGWDGKLPEDKKIEDFEAD VRREIGRAQASGWLGHIEQQEGKLEQLGKLFDRTIEECDELDGLLTLYSHELNTLAED VAYIEAQSQGLQVQTANQKLLQVELQNLLKTISISSADLRDLREASLGTPEGVEAAEQ SLTVLYKAMITIDPDIRQNKKRKADAAAGNRSDVGVYADTEIGQMRAVREKKEDYREE TSMFLKRLSQYMSMAFKTVEARTSESVERARANAIISATSLDSKLHDTSRQELWMYNA LMLFVREVNSYEWQTLISTYETYTKPIYQEQFRDHVLAWKKVTRKSSGDEQDYLFTSQ EKEKLDDGITTAARKLTVKRGKTVRVAGSLGKSASDKRDGKVDAYEAVAGVLEGQLRL ISEEQNFSVSFFHLTSLSNVDFAEIVAGRPPAQRRLPNLSARQTYDPDRDMAKMVERM MDSIYAFWPTDMQNLVEWALRSDQLQGIGILYSLERSLLTFEDTNQEYLTRTLRTLHN RLLGLFHRFIDDQVRGIEDTKVKLKKRKGVISFMRTFPNFSAAVESMLPHTINTTTGT AGMGNGNEPLEIRLIVNEAYSKLNKAMWECLNFIAKDEPSVSSTSASHAAGHGGGIHV GSISAAHAIAGNNNDPEDKEALNYHILLIENMNHYPSKKSTRAPTRS EPUS_05884 MADQATVKKKVPPKPPSKQQKKKKGPSKPQPAWLFPPDAENPVL NPKEKSHAEVEKAIKEREKAVDYMQRRVHGVPDRLAPDPLLLSLIGIFLTDFGFNSTS RLFTNERQARQTLNGWEDALGKKIDKKTPKLEQIFRDWHRDWLIKQGDDTSSSESSSE SSSDGESEVVPQKQQDGQATSDEGSNSSSADSDVEMDDAPQPKKVKKTTKAKKAASPS PSISSSSSDSDADDEKENAEPKAETLLKKAKQAVAAVPTVAAMVNKLKRKAQTSSSES ASDSSDDEKPTKVKRTKTENAISTPAKPATASKATKAKGEAAVSIPAKPSKDSKDAKP KTAPVKNVPSSSESETSDEESDKEETSKLQKGATLPALPTAASDSSATINGDSKKPST NATPSFSSSSSATSSSSSSSSSSSSSSSSSDPRPPQEKPNRRNTPARNSPLSQKRAEQ HS EPUS_05885 MDPFSGEGELLTITTHFHTHAYTKVLEYDTSPLSPPNRSIARIL QHRSRIALGQSRQVLSQLSSAKDAASQAIQALAHQSLGNDKGVELARELAETDGEDSV VQVVCGTVLAAAGEYEKAVELLGKHQGNLEAVALLTQIYLLQNRTDLALKEVQAAKRW AQDSLLINLAEAWLGLRVGGDKYQSSYYIYEELASTPSTTTASSLVAQAVADMHLNRL PEADATLQQALELDPHDAHARANQVVLACLMGRKRPDVEGLVAGLSDVDDGHPLVRGL GEMEARFDEAAGRYQARVAAG EPUS_05886 MPSNDSTLLNRLFSKLSSISYLPDRLRQKCKPSHANDLPITRSS LAFHRERGRKLTQDEADVLYSGAQRDIEAALAPAPAADTRTSINREDEAWSRHIWKTE STSGTNLRARYGNEDWRQDWEPIQMLLQQGAQRRVVVTTIDRERRDSEAGTKHDPRHC DYDYSAAIRALEAREEPEPEEGEGCGAEAFLARAGERLEGAKREMRMVVSKVEFGGQV RRLRKTALDGREDEEEEIVYSGEGWRARGDGHVVCGDAWWKYS EPUS_05887 MAAASSQLSFMERIDIISAQLGLIRTLLYTAFTGFFRDDRYAAS YKHHITHSIVRAMLARLNSVQLKTLKPSFRSCYEKFCKEKNLKSNIVTFKSGLEAFWI GDPNAKYVFIYFHGGGYALDGDGLHLSFWHSVQKDLDAAGKSVAWLYVAYSLTPHRTY PTQICEAIEALKYVHEEKHRPSSEIIIGGDSAGGALTLAILSHLSHPSPDFPNVEISG KFKAAVVMAPWVSLRFDWPSFKSNEFKDSITAIRLQEWASLYQNGKPSNNYIEPVEAP PSWWKEVPVDQMLCTAGGDEILLDQISEWVAKYKSVHPDMTTYMVGKDECHIAPIIEP MLMDTSETEQGKAIKAWLKARL EPUS_05888 MGCVMSSIDGDEKYAVEQNAKIERRIRQDRRLDARTVKILLLGA GESGKSTIIKQMRIIHERGFPDEERRQIRAVIYSNMIVAFRLLLEIMNNEAYGFADEK TKIYAETVEATDPDVDADEAFTDENVRDAMKAMWLDSGVQQAVAKGHEFALHDNLSFY FRNLDRMFAPSWMPDNQDMLHARLRTTGITETLFEMRDINFRMMDVGGQRSERKKWIH CFEGVQCLLFMVALSGYDQCLVEDQNANQMHESMMLFESLVNGEWFKRKPIILFLNKI DLFREKLAISPISKNFPDYIGGDTNEGAAMQYFADRFRGINRTADREIYIHYTNATDT TLLKATMQSVQDMIVQKNLSHLIL EPUS_05889 MDPETSMLSTLSRKSVISSPPNPVEGSPKKDFSAMPRFPSHSRR NSSDTYTSSDIALPEDYTSWTSLQINPMKKQKTSSETTTPKQPNPSYYSVLPFQVAVA DPPLIPAQRRRAQNRASQRAFRERKERHVKNLEQQLEDLHQQYEELLQAYNQQKGDIF NLKSKLHEIHSENRTLRVPHNSPMKFASTMRASRFQGTEDTLQLHQNDLLQPQSQSIT PTSNFDTRNSISRGSISEEHTPFGSLLPLTPAENFDNPGVFSSSDPFFTTGEGSRGYS VNDYQEPQQQGGFGSPTFSKEFATNPATDIFERWT EPUS_05890 MGNIDERLFIISAKPQLVVGSHHHIPSAVSSRYPGDPVLLQYKT EKRWHSCRRGRFDLLGIEVSKGLVAFYRSSKHQDETITRTVRKLENLLSTLENLELAS QKRRFRPDEVKQLHNVEESVESCRGVIAELQTELDKFGNSTEKGIQAVAKRAGRKLAY PFRESTLKKLDEDISEFRSNLLVALNVLQLKRVDDIQNDTADLAVLVNLLRTTQISDA IRDWLRAPDATSNHNEACKRRHSRTGLWLVNGPTFQKWLEEDHSFLWLNGHPGSGKTI LSSTAIQYTLRHRRSNPRIGIAFYYFSFSDKSKQDVSGLLRALLLQLSSQLDDGHAAL ARLHNTYRNSEPPVLPLQDTLKQVIRQFEDVYIIADALDEAPRGERRDAVLGSLSEMC GWSIHGLHILVTSRDETDIRSQLASYLTAEIRMDNTGVDDDIADYIVQRLRSDHKFKK WAPYHTEIQETLIGRAQGVFRWVDCQFTTLASCPSSYYHLKKALNSLPRSLDETYSRM LMNIDPELKEEAKRILTWLCFAKRPVTVQEIIDGLMIELGENPRLDSERRLQDANDVI WICPGLISLNAMEDWASPESEPESEPDSEPDSEPESEEDLPDVEQTDHPSLVRIAHFS VQEYLESQRIKSQTASVFALDGLTANTELAKTCLVYLINVQTTDLKRFPLASYAAKYW YRHLRDGDEKSESLSSLATNFFSSQDGVFEDWIRIFDPYTGISNELKRSSDDIASLLF YASFLGLHQPLQKLLEDGSIRSAINRGGDRALRVASEYGYDRIVQLLLDHGAEVNASG GVYGTALRVASRAGHLPTVKLLLDHGAGLDVEKMTKANGSTLRVLFASQTGSQEWVQW ILDSDIDIDRDVLQEEAVRRHGSVSFWSGEEMRPVLDRGADVTNDVLAIAYDPSHYKV VQLLLDEGAEATSEALEWAPKSHLKVIESLLDKGAQVTFIAIQAALEEGRAKVLGLLL DKRAKIDIIAIGESDRDGISRGSLGGGNTPSGPRR EPUS_05891 MSAPGSIDLNLPSPPEATTPSSPGHPNKRRKTGPTTSRGVASLT PEQLAKKRANDREAQRAIRERTKAQIETLEKKIQELTSQQPYQELQAVIRQKDAIQAE NDDIRRRLESVLSIIQPIVTAHGLTDLATAAQTDGQNQPPTTQQLGLLQGQYSDARNG TRSYSEGSPQTLGSPSPNNATAFLQNFVPPPVARPNPERVWSPIDALAYQKQNLHHGL DLNDSGERLGFGFLLDGSHHVAKVKQPNQSPREHRTSPSSQSYPPLPNSPFTDHAIAP WAAPCRNVEPTCTLDSFLLGFLQSKRNEAAQGVPAQRLVGPSYPSVSSLLNPQIESHP LSKVFTDILSTFPTIDALPQQIAVLYIMFLIMRWQIYPTQENYERLPDWMTPRPSQLF TPHPAWIDYLPWPKMRDKLVECYHDYAFEDFTLPYTTTLSLNWPYEPTDALLQSDSEE LMINPVFERHLRNLSNWSLGPAFARAHPALADVARIKGDDNRTPGMQ EPUS_05892 MQTSRSLFQTAIKLQGRPASTGISKTRPFLNVWTNQQPTENKTP IFQAQQRRNMASATTFFDFKPKDKKGQPYPLSQYRNKVVLVVNTASKCGFTPQFTGLE KLNKSLSAKYPNDFAILGFPCNQFSNQDPGSNDDIQSFCQVNYGVSFPVLGKTDVNGD KAEEVWEWMKNEKAGLLGLKRVKWNFEKFLIGRDGKVKGRWASTTTPESLEKVIVEEI EKTKPAGGKSGL EPUS_05893 MGCTAAIVFTCFGAAYGTAKSGVGISAMGVLRPDLIVKNIVPVI MAGIIGIYGLVVSVLISDGLKQKQPLFTSFIQLGAGLSVGLSGLAAGFAIGIVGDAGV RGTAQQPRLFVGMILILIFAEVLGLYGLIVALLMNAKAGSDIGCE EPUS_05894 MARPDSRPSVTASPQLHFITLEPGSSHNLRSREVERVLLGILST KSGMITSSLRRAVRSSNSPQSISRPTPSIAASFSSRSHQRRQSSSKPPIPPNDGPANV ANPSVKTVGTPRAKDASGHKRSGAESRLSRRKVPRARSEHPVDSKDEWAINLPSVPST QHLDPKDVFVASFFSNHRPISVTSSVPLSAPEEVFQSIFTSRKSSSKSKPGTAEVIYT LASVVQNLDSTIAQSQSQPTTQNQQQGQQDRSDLISAITQHNNPNSSNASEPQHLDGA SQQNLPLRVPNGVKLAIQQIARQFRPFNPPPPPQPISDAQIEAKEAENAAAAAAAAEA EEQQAQQLEEQIARQDAPQRLRHSIINVHERARQRQANRFFTPHTTEIENPAYPSLLH RIEERGEGDGIHYDPNASIMNQEEPQRRPGRIREGPQAKSAGLRRRKLYAISVKRQRR LKMKKHKYKKLMRRTRTLRRKLDK EPUS_05895 MPVHPRRIHSRRTSRKPNLAPMPVITLNPGSMDNMNNEQQSSDT GPEPDTKADEKQPTPTGRPALPAFSFNPGAATDSDSSSSSPTHPILEEMAHNGSRRSS RPAAQPAFSFSPEFSETQITPSPTKSSFASDPPTSARFMGHRRSTSEFIGASEGTQVS STPTFSVSPRKSALSPPVTGSGPPKFSHQHRRSQAVSISEIDTSELIKANAVAKHRPG STPSTSIDLPSNDHFSRASQSISNLYERTPPTSPRRRASATGTRPRVGFSDTIDVIPR PLSMISSETEGSTSTVRGNHSLTGSITSLGSSAARSTPSPILDGSSSAQRPRTADAAS LSSRMPMQVDQVDEIGLPKRPFSASESTSLFASLGSPVTKKRFWSLSGGSNETSPMTT PRHTPTVEETDPMTALKPFAPVVHTTSAQARPKTSPERKASIRKRKVRTIAGSIFSRK ARSRGAQNRGRRTPTPPLSRSWSNGVADAVFDEDNTVVITNTPSPVQECPPRPSLTTV LPSQSSLSSYDDDDSDDRVTSPVIDLDAALGPFGSEARLPQTGFAAARNRMHSSVGRA MPDAFGVVHRRAESAPQMPPINRNTFGVHCLGSNPSVAEEVFDEEEEDDFLAEEKKPR AESDPTTGHDAGSISASESSDPDEATEDTTVAPSRPLSGLANSTNISYGVAIVDTEYD VTREAARSSNSTLTTPTMPESEIKQPTSSPMTLAYPVPQASYASSGEGRSAPGSAISS PDAEHINFDNYPRFGRHIGEPGPDAGFRFSTEDVPSLCDSVSTGNAPRSSSGAVTRSS VDQRPPSFSCPSTGVGNKPRQAWKRASLASLNKLIPGSSHGERSRLCVEESSGREQDD KARRKGNRISRLMNFWRSKEKENK EPUS_05896 MPAEQLHSFKEQVCSNHGNWTTPKGLQGQSFQHPRWLHPDRWSQ TAVSETHSAIRWQPYLVYADIQRPGSMALKGKKHDKGKAADTLKSTSHLQSSQAVAQP SEEPRIPLELQQLMLNVFREALPIPADSNLRQIIQVVKSHLYNRDFASAFSAEQLLNV YALRWSASRALAYADIFVEFDVKHRWLGDRITNVPDQAQDPGLAQILCVGGGAGAEIV ALAAIGNIFPMLQLSITAVDVADWSPVLSKLTATVTKSPVLSQYASAAKVDANRALIA PERFHVEFKQHDILECSVEELRLLVAESSLVTIMFTLNELFSASTAKTTAFLLNLTEI MKPKSWLLVVDSPGSYSEVTLGKGNGPKRYPMKWLLDHTLQNLAGSEENETGKWKKQE ADDSKWFRLNDKLRYHVELESMRYQFYVYQRQAEGHHPGGNVS EPUS_05897 MAPATKGKPAKVTKKYTINCSQPVSDKIFDLSAFEKFLHDKIKV ENRVGNLGDSVTIAQVGDGKIEVVAHIPFSGRYLKYLTKKFLKKQQLRDWLRVVSTSK GVYELRFFNVVDEDGEDDDE EPUS_05898 MAASNIDPAIFEHLQAKIDEEGRIKDELKDIIQQLEKQGRVTQS ILSRIHNTPTGQLGPAVFQPCEKSILTQIHLVKILSDIASKHPFYKWNNLWTREMQNL IFCLQLRHWLAHQELETIEQVGNELGVPINVKDRDIFHLTIEEYLLALTSTIDELTRL APNAVTLGDFSRPLQISKFIKDIHAGFQLLNLKNDTLRRRSDGIKYAVKKVEDVVYDL SLRGLLPKQTRSDDTPAEAKGGAGAPASDMDSEVSL EPUS_05899 MASAMPESSAYGREYSLTRGLSGSNLSPRQASPGQASPGHQRTI SFSEESHPNRSQHSTSISGPCNHESVAGSATGAALVGGRDYDRDQNEQSSPSPPDRPS SSSSASEPSEELKQPWYRQIAASYRSISLENKGSVARDHLALERTFLAWLRTSLAFAS IGIAITQLFRLNTSLAAGRQQRRRDLDHLMLRRKEASLPLSPLMGASLTPDIYSHIQT VLQEQSYPIYSPSDNSASTVLDQLLLEPTEFDSNAAARLRHLGKPLGATFLGISIMIL FIGFHRYFESQHWIIRGQFPASRGSVALTGFVAVALIIASLVVVLVVAPASYNK EPUS_05900 MATPAAPFDDQKDRAATMIPKLIPRNRCRTSTENRDPVPEAPPL PPHPELGSIKFEKPRRRILSSKDHATFLLSQSYDLILSWIFTLSDSVKSRSLAYVDRK KLSATITRISSVLTSIEKSIDVNPPEDTGSRFGNPAFRGFHDDLVIYSSSTYPSSLEI TDNRAINELRVYLMNSFGSRERLDYGSGHELNFMMWLLCLYKLGHLQKPDFPALVLVI FVQYLQVMRRIQTTYYLEPAGSHGVWGLDDYHFLPFLFGASQLIGHPYITPKAIHNNV ILDEEGDQYLYLDQVRWVDSVKTVKGLRWHSPMLDDISGAKSWLKVEGGMKRMFVKEV LGKLPIMQHFLFGGLVPAAKGMGECTTEEQDERIKHLQEHGHEADYWGDCCGIKVPST VAAGEEMRKRIGGGELRPIPFD EPUS_05901 MPRSRPESKRLEFGRLSLVRGEAAYEEVFVSWEGGPWRTEKAVG DSQGATSNSIFPSFMQRQSPGQAEFLSRANQDIYRAPGHTFENNPTFTPKGALFIPQK QLYART EPUS_05902 MCPKTKPTQRQAPRKIDSGLPLAPWILINNSVDVSTVYADAVCS LIPHSSVYWGPDLMTPVNKPQEYHAITIWANSSTAPGEVVDTARTFQVRALSQGCNTA CVNLCNDATFQDFQAVNADDWPVNHLTINVDGAPVEEISKKIVKWLFTVLSVRETLHL IPISEMLEIDPVVYKDYKYCALKDACKHRKDAKLQFVALIASKPELTEPNTLTWKVVD RSGEARFYFNYRGPACQHTWDWVLGLRPGDRKVLPRMPMVALHEPIGRKILLSPLRAT GATIRGVGHVTMGLGRGVHWFGDKISVRRSEKQKFIPEADWLKAEEEKKKRKDEKKTY ASSRGSKGWISARKEILNRERDFRIFNEKGEKTWKSVEDDTTSSVAPSLVDEKVEKEF C EPUS_05903 MPSEDYTSTPSTGKLKLKGVKDSRITKKRKNKTSKDEKSSGDTV DDNSVILKKLEEEDLEIRREEKKKKGKSEELRDDDAEDPELGVRVKTEAERKYDEQRR KRLDDRLKREGVKTHKQRVEELNRYLSGLSEHHDMPRIGPG EPUS_05904 MPYHVIRFRQSRLAAIRQTSFNDDHDLFSARPWTEFSINEGSSL KVYSQYEYFLRRTPSLMSAMLLCPSPGLTFMLSKLEKFTYTAIFPFYNHVDHVLKNIR KMLNLKHLRFRLCPDPSSSVIDDELVETKGHIDLVDAWMEFNTSYGLVGHTVRLLSVE YSLQEFQVEDVSMEGIKDGLIESLDAILGSKMVHQGDGLWRRSQPTEENNNSFGH EPUS_05905 MAPEARMKGPEEIELPPSPPLELNNNNCIPPPASPFLALPSRPE TPHSVSSPDLSAITTVNLSNPLTPKPRNRSPMSRGHLRSHSTASTLTLPPMQRAHSSP GVDSTGRFIIPPATGPHRPASPLGHSGRRRSPLRSAMEESYPSGPTWNGLYIEPNIPE HAELDISASTNLAHTTTPEGELGHTSLPSAYNTIPRSRRTSSSPLYHSSSTPNLPKAT ASPISGGSSPLLNAQRYANEPYPLHHAFSFGSGSSMPSTPTSFRSRSPSISSLETIPD TPDAEEAARVEEEEYLKNRAAAGGGEGEESDGRDARRKSSLEMRFGGKEKRKRWSVCG AERRGDFSLEVIEE EPUS_05906 MTDRAERRAPQPSRQRVGRQFQQANSADSAVTSLSRPEAWMIPY QDQQINPPAQKRIDSFALQRETIQQALHLMASYLASQGQNITIITVGGSISGLLLKDQ LTRKNLDYLATDVSEEQRKILAAAAKYARLKCSQPIRGAWFSTRGDDTLKLPFSVHKR VVLRSISQNEIIFQAHGLKILAAPWDYAFVATLGRLASDRSLARPHDLADAIAYLHRY IEGWWDGPLTMSQVEFWARSYGIRGVETMARFIATRYRAVYGRDGIVDSPPTGSAHVP LRMQMAPIVYT EPUS_05907 MDNRVASELSEAVWGIIAKALRYSDEHSAEIDKCQSLMDYFQDQ VKEVTDDASMQALVLQQAQMWGGYVGDPIERQSLKFFFLEECIDGENAFVAGTYKKIL AEIAATALADADIRLNDEVVFFHAHHDPQSTFPATVTLQTRSGTQHTYDEVVLTAPLG WLKRHKYDAFPPDSPLPSRLLQAIDNISYSRLEKVYVTFPMAFWQQDTSTAASHSEDS PNSNLSSSSASSAKSGPSTYPSFTHFSSPDYAPHPPDIPWNQVLVNLAALPDTTSHPT LLFYLYGACGTHLVNLIQDMPVSTSPSSPYHQTLRTFFEPFYSRLPNYDVHSASCTPS AFLATKWQLDPFAGNGAYTNIQVGLENGDKDIEVMREGVGEERRLWLAGEHTAPFIAL GTTTGAYWSGEGVARRIVDLYDALEVGEAVVGEEADEEKMKKVPSVVEKRDAANANGL AL EPUS_05908 MPKIKMALVVEFDADQSDHNTIHLALDQILHLQPACSIVSKNII EIDGTPTVGADGLSSAETIARYSRSSATVGPRDGVQGDSYRPDYSERSRDQLLDSYRP GTLDGHGASSRGIDSYRPNGKVSSDPAAIHPDRQKIMTRSDETIDFTNEGGGLSSSAV LGTRYDALVEDIVFTPNSQPTTNNNSSYKDTRTRRSSSHYSPPPARDRSASPQNGWRR SERLRTAPSRNRSEHETSHKTKLERWKQRRDEEMKRLEAARGSLEFLAPLFSDKGRFE RQREIHGITEALRLKNLRTNHKIEKAKRQLDKRRWGGAGEKAGGGEEVKQGARMDAVI EQIASFDFSAPSSGIANGDGSAHSATHPPVETRKKKGAGARKRGRGKSVAMREKESAV LKRDNDDEMHDVHPHPPPQTQTQTQAQQLWEQQMKSQASSTSMADMAVDDGAECVNVL GGSSSSSGAATNAIPATADAGALDEEGVA EPUS_05909 MNVKLELLLTRTVSIDVVDSDIDDPTHLAQQLQGQDQEKVQSMK VVEVNGRQVGTSLNPGSALEVGRDQKIIKFHRLIPALLQKLRRRPDHMMTHLSESSFQ ERARQNTEKAKAGHRSVGHRY EPUS_05910 MGLCEVCAKIDIRQLLQMASSLNHIESDVNEYRECPCCSLHEYG LPHHETYAGLKAASDHGCDFCRLVRHLIIEKEERRPSRTEDTLLNEATWGSRPVVLVP WKSDAAHSYIGEPPLIEIRVECGSRSDTLFVLYFEAVAPREHSVQFETDARPDRFPPG GHRLLHTAIHADSAEPSCLEQAEEWLQTCLRSHHLCATRKRRKVRPPTRLIDVMPQTE LNNPRLCLATQNIVSWVALSYCWGGQSDFVLNDRTMGTMIEGIPLSDFPATLRDAIAI TRRLGIRHLWIDALCIKQDSEDDWRRESSRMRDVYKGATLTLVAANAPSVNTGIFSKR TSKLDLCRLPWSVPYSQGQLPPDQGESVCMRPHIWDQESEPWPIQQRGWTLQEFVLSS RTLSYTKGRMVWQCFTCHVDEGGRVHQDAVLTSRGALENIIAKNETRTPLRVVKTVPR FVKGMYAKIELPRTLQQEPNRHWTTLVHEYTSRNLTKETDALPGIAGLASELARQTRD SYLAGLWRQELLLGLMWSLDPFLVPKTIAPITGSAEYRAPSWSWAAVKGSPVRIEPPA FSHSRTRDASERTKIIEVKVEPVMSRDPFGQVKGGYLILRGKFFIVGNFLKSDSTAAS DLLPATRKVLRASVLEAPGMKHEFYQQHKPCDNQQFALLQLTVWISQGGKDGADYLVL ESVATDASRYRRIGFITLATQSGPIGNNTSPDDRLAMRGDGYGDLAKDALQELQEAEG AEKVVKII EPUS_05911 MGGQLSKMMAKIFGTKEMRILMLGLDAAGKTTILYKLKLNQDIT TIPTVGFNVETVRYKNTKFNVWDVGGQDKIRPLWRHYYTGTQGLIFVVDSSDRARVTE AKLELRRILNDPEMKDALLLVFANKQDIPGAMSVQEVIENLDLKQFKRRTYFTQRSCA TTGDGIFEGLQWLSDHIGKVGVQKRNEEADEQIQR EPUS_05912 MPEIEEIEPLIAVVDFHHARGPEIELWVGVAEDVDPAADNDWSL LPFMALTDGAHASTEDFSYFTLTQTATSSRPATSLFGISCTRQLDANKLKHRSADITR STVQKAVVIIISQPYRFSQLRESLSAVTAAWFAQEDFSDVEILKRFQESLSHNLHNLH IEEQDQNQYIGLSLREMIHDFKHQTLVLFKCCLLQPKMLFFGSRCERLCMMQFALISL IPGLICNLQDCADPALDQYSQNISKATSLKTSERRSLLAYMGLPLQIFGKGSFFGPYT PLQQLDILADYGTKSYIVGSTNSLLLQQKDKYSDILVNLDEKMVNITSTSLRTALSLP AADRRWIDFLTQTVQDTWDPANPDRPHTMGYAGSEEFIRLQFEEYLLALLSSVSYHNH LSSLRTTVQLQSSTHTPEEDPSLEFNSDFIHAWKETSNYALFTSLTSESSLFDIIEAK HPTAGGLSVEDVQRRLAQQVAELHLDERVREGRETLGKHLAMGRERFGQLGNKIWADI EAMREGQRTRASEESSTSAHARQSDGAGSEGEGGKISGSGRYAWPSRAQAPDLTQVQA SAKDASAKAGAYLSSWGSWARDKGKEWQEKRTTSPAAQSPPKTPINNTTSAAQHENSQ SILSSTGSQHSGKGRLSGELVADKARRWSSRLKKPEKGSSSTADSVDPTELSSESAKS PKNTSTEC EPUS_05913 MSRVPLVSATMLPSARERDDLDATWSYLEKNVDNVMWKLEEGLD MKTYMGVYTAVHNFCTSQKAVNSNHQNTGALTHNHRGAHLLGEELYQLLGEYLTKHLQ TVAESAGSHSDEALLAFYIREWKRFTDAAKFNNHLFRYLNRHWVKREMDEGKKNIYDV YTLHLVKWKMDLFIAVQGKVMEAVLKLVEKQRNGETIDQMQIKAIVDSFVSLGLDEND STKSTLEVYRFFFEKPFISATRLYYQNESKRFVAENSVVEYMKKAETRLEEEKQRVTL YLHSDIMKRLMETCNEVLITGHSLLLRDEFQVLLDNERTDDLARMYRLLSRIKDGLDP LRTRFETHVRKAGLSAVEKVAAAGENFEPKVYVDALLEIHGKYQQLVNVAFAGESEFV RSLDNACKEFVNRNQICKSSSNRSPELLAKYTDQLLRKGAKAAEESELEEMLIQIMVV FKYIEDKDVFQKFYGRTLAKRLVHTSSVSDDAETSMISKLKEACGFEYTNKLQRMFQD IQLSKDLNSQYKEWHDKLLGDGDDRKSLDPSFQVLGTGFWPLSAPGTPFVPPNEITKA MESFTNFYDHKHSGRKLTWLWQLCKGEMKANYIKTGKIPYTFQVSTYQMAILLLFNDN EKLDFADIQQSTSLNDDILEPNMAILCKARVLLQTPEDGKPQAGMKYALNYNFKNKKI KVNLNIQVKSEQKHEAEDTHKTIEEDRKLLLQSAIVRIMKSRKKLKHVELVQEVIQQV KARFPPKIPDIKKNIDALMEKDYIERLEGDELSYIA EPUS_05914 MSGKATLTALKNLQVGQHRIPAFNNFPNTSIQGKPLLIYHSAFQ TPSASQIESHLSSIGVVTPAWRYTMYSTSHFHSNTHEVLCVFAGSAKLCFGGEDNPDR VEPEVQKGDVIVVPAGVAHRLLEDKGGFSMVGSYPGGKSWDMCYGREDEADKVGNIKH LGWFDKDPIYGDKGPALDVS EPUS_05915 MAGRLPAGQPRLHNNAGIKFALAQSGGPRVSESATSKTFSERSA ESANPSTRDKALEEHNRHRGLSTYSNSSTGHSAPHDIVQARSARTPVRTIPAWVRSVG EDDLVPEPPSDYFFPNSPQSAFVAQHNHSPWTKFKESRQQFDKSGDGNPLRFAYPQQA RNSRWISFAKPSTYPSEHWQGEKVEPEWLNTHLTDYSKPWLADHDDDDVGDGSSRYHA FRRKRKTWYKRMQQTILRNPMIPLVFRMTVFTFSTVALALGAAIHRESEAYGFSQGPS ALIATIVDAVALVYILYITYDEYTGKPLGLRPAKAKLKVILLDLFFIVFQSANLSLAF QTLSDPDEACTPGDTGEPSDTTNSGICGKQKALASVLLIALIAWLSTFCVSILR EPUS_05916 MRLFLLPISTRRALIYCQSLHQKPTTELSLVQKTINKVPETWAK WETAESGWKKALTRYGNEGLRRIPYQEWALKSFPPLTDELQAEELSKNQKFDVVFPEN IVQKEDVPKIMRRLADFLVDSRLFRPVSTPPMESIYSTPPNFSKRFTFVTRSQVLEGV DPEESMLLQPGAHKLVAKNLEVPELAAEVERAVRQVKQSLQRQQEQERQLIDAREKQN NSTRK EPUS_05917 MVSAEDLTTSHGSYVAAYSWSERLSEPSSFTIPPPTLDFSQGQP SMTVKHSKSMDYGSNRFGNPVFLQALITDGSVTLTHKMLDWRYDDRREAQMVLPYLYI GPLSAAKNEKFIRERGFTFLVSVRSTASGPTRTMDAAKMAAQYGISSCNVDIDSPIDL MRKFPAAIKTINDHLEYTANGGSLSLTDNVRPVVIQGKVLIFCETGNERSAAFVAAYL MAVFNVDVITAIQAVQSQRFSICIDDSTKNALGTFETLLRAERDVGRANKLSNKDSRK EIVRQPARFKRTLDNVYNDDETMETHNWCSNLQGEARRGSAPFHDMEE EPUS_05918 MNLRKSINRPQKLQDEIAYGKRSKDPTQPAFPEKLRAQVIPFNP HLPPAVFPSRPLEVDIYGEDEGTATAKAAADAEESSDDDCHMSNVDSLPIGLYPKMRN VPTSKSPADVAFEDEMATSDDDHGDVPGQEDNHPPFDTQNNGREHLSQQIIINHTVNW SDLCPAVQLAIISRLSERYPMSKVYDMLGLYAQELGDVTRLAQQYNYQSRMEDYLIFW MQQDQLNGILRVDHTLTLRGAPEAYQEFQTRSFMHKIQAEIDESLLQATRAAVNLGKR FLGQRSIPREMVGDWGYLSGQTRAARPHDRQVLLLNPLTPDRSGWDSGYVSAVSPDRL QTEQDTENALRSSPHCDSQPRKGPEPRETARTRSLKKVQHQKICAPAEKQTARHRSSS RARSNSPVPADDISAARSSGRSRRNVQPKQEYVEAIARLQPGAYGSEGESDCEMSDEI DKEAQKLQPNPPSTRLFITADNRLRAVPAPSTSIGSPKSSANTKQAGSNVPRLPAPSL SGSPSNSPRRIASPLPLSRVVVRPPKTMSKFKQINTIAKPSAGSVMNKFNQNLLGMSR EGNDFTKSMLKASERDAAKSTPWIPVQPKPYAETSLPASVDHRRRSETAAPAFSPISE RFTKLSYDGPPSTQAVGNTTHENGKLEKAGPISDENAMESPLPATPDFTSTPASISPD IVQGNRSIVTEAGSPVPARRKLSSKISTSTSGIVIGHQEQGLKNDHQLTAATFRPRAT PQQPDPDTENTYPRLDPVSGIVINDQSIHQDPPSTADSITQPQIAPVSQNARPLTPLQ EAGEGVKKAAANLKSIATGLTKTTLPITNLSAADPALTGPQNGTIPTFGVAKTTVSQD APKEKRRYRKSEAQRKKEADLAEKRERDRREKEARLAMKARGREVGRAQLAATAQDPE ASR EPUS_05919 MPSSPVSPATATSPSETVSQQISTQASSPTEIFTDMSNQPDGDL KEDNVEQKNAEDEDDMEDMDGKAKALTNLLKTSSVFVAIMADKMKEQQRQQREQAQRE ATKARTTKGKQEVAKVEPRRSTRAHDDGKDSHQSRAKQKEDRGSEPKPASTRGRGRPQ KNAKANKHGVGIAQFFNKDDIPVEEDGPTVQEALAQAADEYDAKPEGLGAQELVATQQ PELVTGGQMREYQLEGLEWLKSLWMNGLCGILADEMGLGKTIQAISLIAFFKEKNISG PFLIAAPLSTVNNWIDEFTRWTPAINTVLYHGSKEDRADIRRKKMKMQDQKKFEFPVV VTSYEICMNDRKYLANYQWRYIIVDEGHRLKNMNCRLIKELLTYNSANRLLITGTPLQ NNIAELWSLLHFLLPEVFNDLSSFESWFDFSTVLEDKASDGRGEKQKGKLVSTMHAIL KPFLLRRVKTDVESSLPKKREYILYAPLTTEQKELYREILAGTSRNYLEGKAVERIEA KSRTASLKRKANDSGRSTPAKSIKTSRASTPASTISTTGSVRRGRKGRQSYRDITDRE FNAKLRRLENGDENPSDNEASSHKISSSEELEITRAANLRLAKKEISTKKLQNPVMQA RLACNSPHNFYWPWGPQALSTDDDDDAENPSPPVDETLVTASGKLLLLDRLLPHLLSL GHKILIFSQFKTTLNIIETYCSALRSYPCCRIDGSISQSERAAQIKAFNTDPSTKLFL LSTRAGGQGINLVNPQQDLQAMDRAHRIGQTRPVIVYRFATRGTVEEVLLGKADAKRR LEKLVIQRGKFRSLLDGLDARKGTLKDEDELKGVLGDLEEFEKFDTATSASTTDGVGN ATERAVPEILSEEDLRVLTDRSEAAYERAEKGMEIEGKSGVFKVAETRREGEQVLGEM STK EPUS_05920 MFDIDDPETLLNLRQTIPRQRLRAIKHLRVYLETQYPPFSGLHS APWYGKFDGIWTLMWHIIAHDMTGIEVLELVIQSGSIFPEWPRKDPPWCAKLQDVREL KLFQLTVKEGQVTYSEDSDEDMRVLTERLRQCMYQPRSTKSLEENDVVHPVEVEAQAP LT EPUS_05921 MDMEEAAPFPRASFYLASRLASVVQKFRHRLQKKVQQKKKSPNP FGVSYYRGIRLRALSDLEKSASPCRLTVTKEPRSAVKHQDHGRWINELLRDLNDHSNF PAFSLTRVASDDKLLLSNAQDNLIETLAQHATVQQAAYMFDSSTFLIGKLEAVQLSKV KSWADTDPDCKRLKEESYIANLLHLLRRLQYVLFQILVHRSITRLDEFASHWQTYWQQ QKKLGDDWFTEWPSGQRPLSTTWPWNIRPSLVILWGVCWMFYTPEQSNEDKPRSPAAQ QLVDGSRNWTLPSNFSADDEEVEQVRRVSLPNDTHSIVPTTNNARPHSQARNHPLQVQ AAMGRNLNLPSSRRLSAGAQPQSPNHPYQRPQFELYQGISHGTVDEPQQGVSSTSLHP PFYNQPLPLYSNPTESRDSVSRWTASISGDISTTYANIEQVSSNVWTGYNQQIPPPIH PPRTPVPRFEVSPVQGQNFVPVFDPVGTQGLYRPFPQPAFGQNQDMGGTDDYPSPHSE GGRQPSITTPASMPGSVNADHITTPSSDGGKGLNISIKRGDPPRNAHNQIYCAHPECA KEALIFRRPCEWNKHMDKHDRPYKCLEPGCDKVQGFTYSGGLLRHQREVHKKNNSVGR DLYCPVPNCNRSSHQPFTRQENLKEHMRRRHLPEGEITSPGLQSVVTASATPSRPPPD RPRKRKRITSTDYNHELQFLEEPSDDEEQSEQIKRLRRDNERKDNTISELSSTNYKQA NKIRELEGMLATIKGRM EPUS_05922 MVGKLLLRLLRKARRVLLCGCQLRPKSYHKFRQTPFDERNSPSS TATVHGSPVSLCHRREFYLHRNGHIYSPERTSPTSDCPPDDCYPEHKIEERMDDVRSA NYSPGLNETCSYETLSRLSDKFEDVKGELAIRMQELEAQTLLKHRCMKKLHAMRQKMA SLQAKNKSFRRTIKNLTRELRELSEPKAKTIDHECHPPQPIHELEHPNRAYQEDLSTH FRSAEDQQRVGFPTQQFEVQSPSQETEHAGYSTQWSIWQNVQNINKSVRAKWTRSVSL DTTRQVAAQCSQWVWELLCLENLTSQLRACALRS EPUS_05923 MRAKTSAKEDHFSVDLVQDEKMQADMPSLFAKLREHREGLASTT RSVDVDNGGPQQKGEEDEERSLHAAQINGVMIEWHRNMKWTTRPIYSSSKGSDSVPRK AETHQSTQVELTGEEGYRSGKMGRFSTS EPUS_05924 MTARLISRPDAVSAASQEVEGSDLDNHNLAEGRCNLCGKCTKVV GDLKPSTPNERLDFGVDGEGYSVNWDVAKVKDSAKRCDLCRLLVEMFHLDQPKNAVSS GTIDGSLIPLGEHYTLATDPPSGPDTLDAASASRLLSQMLQPIRRIWSPKPSAPTSQR SLWRPDVRDKGNWSLVSAEINGKFKEGYPKTYSSWTLGRQLVMSYNGRWPQEVLWESE NGPASSKAEDGKEEPSGIQKGGVQEAGATVGETEEVAARARKEKDLFEVAIHQPEIFN SIQMARFNSSRSDPESAFFVRQISSRRQNIPLIRSWLDRCLRGHKGGCRLSLGGPNIR SLSIRVIDVEARCVVKAPRRCRYLALSYVWGNAKQVLLKTTNEKAFSRPGGLPDGIPA TIEDAMALCRMLGERYLWVDALCIYQDPPPENPGTGVEFRATVAAQSFQQLQIRNMDA IFSSAILTIVNAAASSADDPISGVRSDAPCRVPLPSVEIGKNLQVAVTIADAWGPVSS HSKWDTRGWTFQEKILSKRLLVVTEYFSFFRCPSMLWREDRFEADLFKETAREKAQIW ESGDVRGLHYPTPRSKSQEPAQKTLDVYQKLVQIYTTRNFTHDKDVLLAFQGIEKAME PSVGEFFWGLPKRGFNAALTWAFTGQSRRREDFPSWSWAGWKGPNGLEFMSDISKRVD VRGGRIPFYQLIARRRVRRTRGEPPLSLADEDVDVCIINKSWLGDEEDHIAGAFRTTE IIMVLRLGVRLGESVVHPGKPSLRS EPUS_05925 MAIEADPLGNDYNFGYGVPNDHATGGMHSSGSANPLPRRDSPTT EFRQRDWIVVQHFGGKYLTIMLIEWVDNVAYRVELKTRVYTPDFLVAGPRRKLIILG EPUS_05926 MPAYASLVLTFSFILITQISFTSADWDNQLLEKFFPGYNDGFQR ILRENCSEQYADYLVNKDNSIKVDSILRGFGLDRPHSPVVECILNAAPEFVKSKMASA QVLLGLTPTILATLGSSPLETAMLAIVARRPLLALFLAAGSPSVFAFRSFEYTKAIED LPERHIDRPRFLLRAHKVVAILQYLLAAASIANVGELAYRLGALVIVTITPSKEYIVA LWAFLGMIIHVLGVLTLRLRIEMTSKTTENGNAHRHPHTLNLVTSQSELLARQEAIRM TILPESWKFFLASWVTSTFTACHIIFGTLVFSTMLFISVTDSLIVIARLMASVIACRI ILNSELTTLPKAIEVENRGRS EPUS_05499 MFNKGLPLLCGGNVFDLSFLQKNLTKLGLENALMISKLELNFSR YPLQGLEEYPFGPPEAIDIMLVTQELEDFSMYCKRLGGLQPPALCLLARFPLLDDVAT RYLKRLGREHTYRAAWNSYWDMNLLSKAHKNAIQELAILQAQAAASAVKVAAVTARAA LEIHCPKLQYLFLCNGPVFNFELAGPRQWLILSTDRLAINSRPYSSKEELVCELHVDV KKESVTIVNEQNIRFSKSAGSRPVFEGG EPUS_05500 MANLSQDDRDIIKKHPLDDCLDHLRDSLRKAEQSYDGAGDTPDQ GRLKVVSRLLYTLQGHDVALTLCSKTGAGDLASELSTLFRRVRNGDFNYQQYRALSLL IIKEASDFGVWNAVFDLIRFTSQIAPFICISSSFDGTPVIYSSASMQGDEQTKRLLDV PLFDEIKNYTYRNVGGFFAKYFEGKK EPUS_05501 MSKDDVRIALSDEQNEQTSVHPSTHQDDSTRGEATKRRVTQFKK FHALNGALFFVLCVIHVVGIFFFTKGFLLTRLILDHKSTCDAPPVNVSRINGDSSTVE GCWYPRKFNKAVIIVIDALRYDFTVPFLPSEKDKEARQFHNAFPILYDTAKTMPKNAF LLPFIADPPTTTLQRLKGLTTGTLPTFIDAGSNFAGTAIEEDNLLAQMRNLGKTIVHL GDDTWQSLFPGYFHEDLSHPYDSFNVWDLYTVDNGVTSHLMPLLNSHNGTEWDYIFAH FLGVDHAGHRYGPDHPAMNDKLQEMNNVILHIMETIDDTTLLVVLGDHGMDTKGDHGG ESDEEVEAALWLYSTRPVFGRTHADFVLPPANAKIRPIRQIDLVSTLSLLLGLPIPFN NIGAPIEEAFSQRSGSEWRALATVNQIAVHQIRKYQAKYSEARNLNLDSDPHQNALYE SALSLLEVDVTTTKNDEARFKEAYLALRQYEDTVLSLYRQLWANFNVGDMAVGVIILT GGLVYLLAFSAWKPKMNAGILIPGNLWSGLAVFFSISQSAGFASNSYTIHEDSILLFF LATFGLISCISSLRQSEASDRQLGTVQSIFFTLLTRAASFSRLCREEQMPGCRSTFYA SSNSSTSAPWQVFLPFTIAILLPAFIKARYKGTASYFGPAGFWLGFCFRVGLLLIAIY WVLDAADNGGWLQQAVSLETLKKASLTASQCAIVIAVFVGSITIAQAKPCIDVSLARQ KPIEGQAEDDSRQAKPAVIILGYANVHGTRYFLLLPIFILLVSVLLPPMGQFSMAICA CQILGLSEILDTNGLTISMSASSGAITAIGPIILAMLGSFHFFKTGHQATLASIQWNA AFVPFRTITYPWSPILIILNSFGPQILCAAAVPLTVLWKRPISKNPGWMKEVLCDVMW AMLTHASYYAIIQLATTMWAGHLRRHLMLYRVFMPRFLMSSALLVVIDVVLLVVVWGV VRINTLSIGEVMGY EPUS_05502 MEFARRLVSRNDSTTADSTFTPSVSNLLLALLTLIVFALGLVGI LCVLRKRQATNAEVLPTHQRNSNHRRLTITATPYTGKTESAHVYDEKRNLIENSSSPP DSPVPEIRITFPDEEDQSGKKKNGRVVVVRIGDSGSVGMEPVAQDSLPPYQLKETERF QSLDLERMGGLREKVEPRRWS EPUS_05503 MASSMGNPTAVQNARSNRLASFDQVSGLKTPLHFGTGFNSAKTA WNSNIWANASRPNAFTDGAGDAVLSTDSTSHSTEGDTPFEGKTGSGSLLSTSESDGWN GRSGLPWPSSVNNTSPILSRTNSHNISPLRPRNGDQGSLQMQDSNGRSLPLSSLNRPG IGQMTDQAPLNNQMNPMLSLSSQGSNVNSFSAFDDSGQDEEQQPITKTITFGASSFVP STSSAKHYASAPSDHAMPNNPVLQQISASRTNSDMRSGTYFRPSAFVSKSNLSHNSAS RAFHRPFHSTDASLDTTLEDIDDRASGRDEHLVSELEKLGLHGTNQFIQSSRSNDRPT FSSQMSYNISAERSTFRSVSGERLTSAQVPYMSDGLSDHGFHYPPAYHRSTPYGNRGS SSPSMSETRKEPTTPFYSTASTPPTAPNPFNAGSDSGVSSRVPSGQATLLEKKLRGLQ PYQTEQQYLQANPLQMRPSYQQQIDTPYQSQIQMNPLARPYAMPSYSAYSNIQSAPSQ HLRYSQTEQDSNQVIRSPLLEDFRTNSKTNKRYELKDIYNHVVEFSGDQYGSRFIQQK LETANSDEKDQIFGEIQPNSIQLMTDVFGNYVIQKLFEHGNQAQKKILANQMKGHIMT LSTQMYGCRVVQKALEHVLVDQQASIVKELENNVLKCVKDQNGNHVIQKAIERVPAQH IQFIINAFHEQVQRLATHPYGCRVIQRMLEHCEENARQSILRELHGCVSTLITDQFGN YVIQHVIENGEEKDRFKIISVVISQLFMYSKHKFASNVVEKSIEFGEPGQKAEILKLL TTMNEKGENPALALVRDHLTDNGTEKVLGHLKGSEREALVEQIRAHLLHLKKFNYGKQ VAAIEKLIYSIETDSNPSASSQSSSLPSTTASTVEGPTGNAFGLKNVDSEQINTPSPA TSAQHPVK EPUS_05504 MIDAIAISTLAASIVSKCYRYGCSVADAPDEAKRLASEVTNLSG LLIGLQSVADQHESYLESLNVYKILLECSADLKAVDSQLEGLVPVAGQTKTRRIVKRL RWPLQRGDTLLMLEHIERQKGSFEFCIGIAHYQKELQDLSRAFQALDARIASKEELAV EQSVLDWVCDYNYQAQHHRAYRLHCDNTSSWILEHPLFLHWRESRDPVLWFRGAPGSG KTVLASYGAEHFLQRQSSTAGAQPVVLFYYDKSTNQSLSLQTFLASALRQLCVQMGTP PSVKEAFSAAKLSSGDLRPININQLTSMVRRHIVHDPPCIVILDGMDEAEDIPGICDF LTTMLESGTKFFISSRPHNVIANVLPGALKMSAPSTSASSDIAQYIDQRLHHDQRLQK MAQGLKSYVRESLCEKAAGMFRWVQCQLDEISKLRTNKAIKAAIKALPETLEASYSRN VLRINEGDLIYAYQALLWLTYALYPLKLNTLAEATVLEPGATAVDDESQLSDPADILD ICGMLAFYNDLSDEVQLSHHSVREFLSASCKIPFSFPEKSCHRTIAERSISYLLNDDF ASGPLASSQSIKTTLTNYPLLAYAARSWPTHVLRSDAEEALQPLILKILTPEATPQFY FWLQIVLYHSNHGFQIPGTKSSYIPTALYYASSYGLYNTVKSLIALGADLNVRAGRYG GTALHAACWRQHPHIIQLLLGAGADTTIGDRNGMTAFDLTKNGVGSE EPUS_05505 MAALAGEDEADMATSSLAYMGSHSSRTVMPYDVVVIGGGHAGTE ASAAAARSGARTALITPSLDNLGVCSCNPSFGGIGKGTMIREIDALDGLCGRIVDKAG IQFKVLNKKKGPAVWGPRAQIDRDLYKKYMRQELTSTKDLSILQGKVADIVTSKTENQ ERSFSQGIITGVRLESGEIIPASQVVITTGTFLGGEIHVGLEVFPSGRMGEAATFGLS NSLRTAGFTLGRLKTGTPPRLDRSTIDYSVLELQPGDSPPQPFSYLNSRPDIGGAEQL PCWSTYTNEATHSIIRSNLDKSIHIRETVKGPRYCPSIESKVIRFPHKQQHLVWLEPE GHEPNHIIYPNGISVTLPAEAQYEMLKTIRGLGNVKMLQPGYGVEYDYIDPRNLLPTL ETKLIKGLYLAGQINGTTGYEEAASQGIVAGINAGLAAQGKGTFTLSRSDSYIGIMID DLITKGVSEPYRMFTSRSEYRMSARADNADTRLTAKGRAAGVVGDKRWEAFEEQQGKI SELTNLLMEKKLPSKMWRDLGFPIRDDTAVKPAFDLLRLAGTTVHSLLPYLSSLPATS NLLTPSPSPEQDVEISKFDPKTLERVSIEGSYAPYILQQQVAAKSFERDEHLELPADI DYEKVVGLSTEERMALSLVRPGSVGMARRVEGVTPAGALRLLSWVRRGDRGAGGAGTK RDGGKGRGSEEVFRGEGGLDGIKLEGLL EPUS_05506 MDVASAISGYVSKMVSVGDSPTGTSTAKMKILLLDSETVSILST AITQSALLKHEVFLIDRLDNHAREKMRHLRCLCFVRPSPDSVQFLIDELRAPKYGEYN IYFSNIIRKSSLERLAEADDHEVVKAVQEYFADYIVINPDLMSLSLAYPKQRIWSHSA DLWNSDALQRSTEGIIALLLSLKKKPFIRYEKNSLMAKKLATEVRYQLTQEEQLFDFR KTDTPPILLILDRRDDPVTPLLTQWTYQAMVHELLGIFNGRVDLSYVENIRPELKEIA LSQDQDPFFKKNMYQNFGDLGGNIKEYVEQFQSRTKSSMQIDSIADMKRFVEDYPEFR KLSGNVSKHVALVGELSRRVDAESLLDVSELEQSLVCSDNHTTDLRNLQRLIPSPKIP AENKLRLVALYAIRYSKSPTNALPILLDLLTAAGNVPSHLISTIPKLLAYHHSLQPAP VAGGFTDLFESSSFFSSMMTRDRFKPLKGVENVYTQHSPRLETTLQNLIKGRLKELQY PFLEGGGHTRDKPQDIIIFMVGGTTYEEAKMVAQVNASSPGVRVVLGGTTVHNSQTFL DEVRDAVEGWPEERAATAEGRVRREVGR EPUS_05507 MLPYINAPFDPVARATGASVDEIKLIASFLLSYPLAAVLKRLPD TKPWQKNVFIIAVSLFYLVGLFGLWDGMRTILYSAGGTYAIAYYIDGSFMPWIGFAFL MGHMSINHLERQFTNNPSRVDITGAQMVMLMKLSAFCWNVHDGRLKQESLTEHQRDRA VIEMPNLLDYAGYVLFFPSLFAGPAFDFNDFKKWLETSMFDLPPDVDPAKAPPTRKKR RIPRSGKPAAWKAVVGLAWIFLFIKFSSWYTVPFVLADDYMQYSFIRRVWYIYMLAFT ARLKYYGVWSLTEGACILSGMGYNGIDPKTGKAKWNRLENVNPYHLETAQNSRAYLDS WNKNTNAWLRNYVYLRVTPKGKKPGFRASLATFATSAFWHGFYPGYYLTFILAAFIQT VAKNSRRYVRPFFLSPDGKTSTQNKKYYDFLSFLATQLAFCFTVAPFVILGFSDSIKL WSRVYFYCIIGVAATMGFFASPAKQYLIKQINKRNHPRVQRTASQETLGQPTLGLPSD PGRDIDEALKEIRTEVETRRRRGSRVSMPTGQELKAAVEDKLGRKLG EPUS_05508 MYVVISTAPNWVSKAYTGKKLNVRPESAMYACCDASLDCACRMS SASTSTNQNIDKSQAVWKDGQTDGEKPVSRQEKKRSYILSMFPYPSGSLHMGHVRVYT ISDVLARYKRMKGYNVLHPMGWDAFGLPAENAAIERGADPGVWTTQNISNMKEQLTGM GVCFDWRTEFMTCSPSFYKHTQKLFLMLHNCGLAYQAEATVNWDPIDKTVLANEQVDS DGKSWRSGAVVEKIQLKQWFFRITAFQDSLLRDLDALDKHDQWPERVLTQQRNWLGKS SGAKIKFGVCIEGSPWVQVTVFTTRPDTLFGVQYLALSIRHPIVQDLAHRLPKLRDFL SRAATLPPNSKEGFLLPGVQAINPIDIVAPDGVQVEKLPVYVAPYVLSGYGEGAVMGV PGHDSRDLSFWRQQNPSNIVYVVVDATHDPVSIRTVTSGSELPEALVHPGVLNERCGP YAGMKSDKGGKQIIADLQSRGQLASTADSWRLRDWLISRQRYWGTPIPVVHCQSCGAV PVPEDQLPVELPKLDDSVKGKKGNPLEQIESWVNTTCPTCRQPAKRDTDTMDTFVDSS WYFMRFPDPQNAKQPFSKEAAEAWLPVDTYIGGVEHAILHLLYARFIYKFLSSEGLLP QYQKRENFPVEPFTRLISQGMVHGKTFSEPATGRFLHPSEVDGAETANPMIKRSGLRP AITFEKMSKSKHNGVDPSVCIAKWGADATRAHILFSAPVSEILEWDEEKIVGIQRWFA RVAKVVDMCYKGSSSNGCEKTDFGDLWVRCEKAPLTVEILNAPDHDLKNFSPRDLDIL LLVASTVSSVNHTLEHNVYALNTIISDLIKLTNKLLEVSDVVIDQSLLLWATSALLRM LAPIAPTFSEECWATLQQDPKISVWCDHHWPGSNKFIFQTPFPSSPLTPEAQTLLQSQ WKSVNCAVQVDGKLRFSMDIPPQFFHKGDKQGISKNRKEADVQMMQKDLAQLIVNSTE GRYWLREKNQWDKRKRFIVVNKPDGSKLVNVVFRK EPUS_05509 MDYPRAHLLGLPTEIRLTIWRLIHNDILASVDIYWHEAPVTSWA GLAATCRVIYDEVSEFWPRTMVPYHKNHSSARAYNTLTNLAGGLTNSLFKDFRRLSIQ LPIQQNNKPEQFFRQIAAGLTQLAPVLQDLRIFFVGEDGLGINTNLMGCGLRVYNNPL ESSKWNLRKEGSCYVERNILFRAVRNLYFLRNLVVSNTNYPLLQSLIGHKPDLKTLLL VTDSRSELYKHSGGPLIRWQPPNALHALEISTNAVLGATGMVLKVMDSLQDLTLLIPS AEWQQHDWKWLDHASLIFQNISLRGANMRRFRLCIEQPLREATAGALLGAIKLYLPDT NLQILEIHMTLQSQYFGYELIEALPKTLRRLYISQELASAKDVLRGVRDRYFGQKSRG GHLQAGNLGLVGFEYWERESTKLALLRMNGALLDRERNAHLLDDPEDSTYRFGGETPG KLLDMPLEMGEDAMSVEEVPAGALMYYEDETVQHITQMEMAFHAEEVAKPEDQMPFLV IPDNVEVGENDHWMTG EPUS_05510 MSPQGQLAYWHDADLRYEALDCRRCESQRDYLLQYSPIIRYLST NIAQLGGTLDGTNIRCRRCEPHERMEGGFDPRYGIKLCANVLEGRKKVEDTLAHEMVH AWDHLKWKVNWEADGGDLRGVACTEIRASSLSGECRWTNEFFGNKILKFTNQHQNCVR RRAVQSVKSRPQCKSDLDAVKAVNEVWDSCFRDTRPFDEIYR EPUS_05511 MPEAGGTMKGGGSLTIEWEDSGETPALDTLLSYQLFLCAGGNEA GTYIPVKTLVPTGQFSTGNTASSTVEVGLGESVENAYFIKMISTAPGGSVVNYSPRFS ISGMTGTFPETVTAGLATVDGTDGPPTENNIQSNQQGGGNAPGVDGDYGITYTMQTGA MRFAPMPKNPPTKITAGKNPKPIYPTSAVQFAATKMAIPTQKTTVTQSLTFSVESAEN TVAAAAQPTDGAMQKFLNRWKD EPUS_05512 MGSTTRCPVAQGVLGSITEQIGHTPMVRLNKLPQSLDIEATIYA KLEFFNAGGSLKDRIALRMIEEAEKKGRIKPGDTLIEPTSGNTGIGLALVGAVKGYKT IITLPEKMSTEKVAVLRALNATIIRTPTQAAFDSPESHIGVAKRLQKELPNAHILDQY GNPDNPLAHEYGTAEEIWEQTNGRITAIIAGAGTGGTITGLAKGLHKHNPGVKVIAAD PQGSILALPESLNTEYANEPYKVEGIGYDFIPDVLNQNVVDKWYKTNDRESFAYARRL IAEEGLLVGGSSGSAIAAMVKAVKEFGFGKGDVVVVILPDSIRSYLSKFVDDDWLAAN SLLPPTPPATSPPSPDLKATGRRYSDDTFRGSKVRALRLKPVTTATADSSCASAIETM REKGFDQLPVLAPTGSRLVGLVTLGNLLSRISHGRASAQSPVSDVMFDFSKINEMVTD LRDIDSISPKGDGWANGYVKGGDETPRPQQLRKKFVEITMDTPLSVLNRFFELQSAAI VTERDLGESTTSGKGVMRPVAVVTKVDLLTWLLSQGKIK EPUS_05513 MAAADYYHQIPVGSGHQPNPVPISRPQYLSPQPTNIYPQTPPTS NSVPQQPQAPPPYQLVEMPAEKPYPPTEQQYQRNSFSHPERPPISPWNTSYNQPMPPG HERDYYQKYSPAPQPYSQQPPQPLYQIPPPHLRPYHANASSPNLAQGYHSDPEPHRRR RTRKKSASRSTTADGFLGAAGGGLIGDMIFPGLGTLGGAVAGYFGGKDYGKHRKRRES LHRETQTQWEARHGKRGHSGERRHS EPUS_05514 MALASPFLCRVLSKESIYRVMILFAFFEDDEQHPVEEKHFAPAA YRVLGAEERLRLQKGVLNSRWCTLARVGHCLPTLTRLLIVQEWHRHRERECKQQEDLV SQDGHDQQRPRVPMCPPLDDESAGSRFANIDDSVRVIYQFQVNAFPAKGHLYSNISYV DYLPNRLVNPVSWHNSTEPNSDNAQPLAFLRLLYSVLGSNFLVDAFSLYCGIEPAIRE RNHDAGCSVDVPSLCRGIETAVRERNHDALDLLLEICYSFNDNNTDDQGARALDQLPV GIIHRATRQGDDSEWILHLLFRYSELDGTITVPKDDKVLTKWALEQSKAGSQFAMWLL EVLGAEGADMHALWVVKPGISY EPUS_05515 MAAASRAGTKLDWSKLGTQLGLRGSTASSLAAFKKRNDDARRKV QALSEAPQTVDFAHYRSVLKNQSVIDEIEGHFRSFKPVTYDVNKQLAAIEQFEKVAMR NAEETKEKVEVELRSLEKALGDIEGARPWEETTVDEVAAAAPQIDEYVARLVKKGRWM PPGYYVSFTFRFFLDSLTSISDAGWLAGGWMLTMR EPUS_05516 MANPRVEELPDDEPDTKKGGVTAEDADSSSDSEIDAAGANEGEP TIPAGSSVAVHSRNEKKARKAIGKLGLKHVPGITRVTLRRPKNILFVINQPDVYRSPS SNTWIIFGEAKIEDLNSQAQANAAQQLAAAENANSGDHAGHDHAGHDHDHGKGKEEAK KPEEDDDDDEEVDDTGLESKDIELVMTQANVSRKKAVKALKENGNDIVNSIMALSV EPUS_05517 MAQIDIKPAGWKLVEVGRVVLLRSGPHAGKLATIVEIIDHKRAL VDGPSSKEGAVVPRHAIQLDKVTMTPFTIPKLPRAAGTGPVRRLWEKNEIDQKWAESS WAKKKETQERRKNLGDFERFKVMRLKKQVCLDNNILPSNVSVSGSVIWNSDRQFLVYE EAVR EPUS_05518 MMRRALLQIPRSANRALTSTTRPILASPSISRPAPLVTAKRAYH EKVLDHYSNPRNVGSMAKNDTDVGTGLVGAPACGDVMKLQIRVDGKTNTISDVKFKTF GCGSAIASSSYLTELVRGMTLEQAGKVRNTEIAKELCLPPVKLHCSMLAEDAIKSAIS NYYTKNPKARATDLGGTGASMPKIEVEQVAQETAMA EPUS_05519 MAPPRTQKRKPGPQTYESDDGFIANDSETSDRPNKRSKTSKSQS KSTHFSTPGQSQVDSEGNQYWEISRMRRVTISEFKGKRMVNIREFYEKDGKDLPGKKG ISMTVEQYSALVDIMPQLEKALEEKGEHIPRPKYDIAASNAPAPAQTEEDDDGSEAEP ERVEIKVKNARRKKANIEATSDEEEADD EPUS_05520 MGASRKGVRIAIDRGGTFTDCVGNSGTGKMKDDIIIKLLSEDPS NYDDAPLEGIRRLLSRFTGREIPRGEALDTSPIESIRMGTTVATNALLERKGEKMAMV VTKGFKDCLEIGNQSRPKIFDLAIRRPDVLYHKVIEIDERVTLEDYAEDPERNITKVE PGANDSELVRGLSSEAVRVLQRPSEDTVRSQLKALHDEGFRSIAVCLMHGYTFPHHEA LVGRLAKEIGFKHVSLSHELMPMIKLVPRATSACADAYLTPAIKKYITGFQAGFEGGL GTASVKEGGAKGARCEFMQSDGGLVDVDRFSGLRAVLSGPAGGVVGYALTSYDPETRT PVIGFDMGGTSTDVSRYGSGRYEHVFETTTAGVTIQSPQLDINTVAAGGGSRLFFRNG LFVVGPESAGAHPGPACYRKGGPLAVTDANLFLGRLLPDFFPKIFGKNEDEGLDDRAS ERLFTELTETINKETNKNMTADEVAYGFIQIANETMTRPIRSLTEAKGHDTSLHRLAT FGGAGGQHAVAIAASLGIRQILVHRYSSVLSAYGMALADVVDESQEPDSQVWDEAGKA KQVLSKKMEELKRRSTKKLQDQGFTEDAIVFEEYLNMRYRGTESALMIIRPSSEDSQK GYDGDNWAFGKAFVKQHEQEFGFTLPDRDIIVDDVRVRGIGRSFEGLEKTVDQQLKEI KPKDIEGQKQYGKSQVYFEGGRRHTPIYKLEDLDVGDRLKGPAIVADGTQTIVVTPEA SALVIETHVVINIGDESAGVNVNAKEVDPIMLSIFAHRFMAIAEQMGRALQKTSVSTN VKERLDYSCALFDASGGLVANAPHLPVHLGSMSTCVRTQAEIWKGRLKRGDVIVSNHP EYGGTHLPDITVLTPAFSGDKIVFYVASRAHHADIGGILPGSMPPYSRELFQEGAAIK SEKLVSEGTFNEQRITELLYNEPAQYPECSGTRCLADNINDLKAQIAANKKGINLIST LIEDYGEDVVQFYMRSIQDNAELSVRNLLKDVAKRFGEKPLEAIDFMDDGSPIKLKII IDAEKGEAIFDFEGTGPEVYGNINAPEAVTYSAIIYCLRCLISEDIPLNQGCLKPIDV RIPKKSFLSPSEKAAVVGGNVLTSQRVTDVIFRCFEACAASQGDCNNLTFGFGGNQSG EKELKGFGYYETIAGGSGAGPDWEGTSGVHTHMTNTRITDAEVFERRYPVLLREFSLR AGTGGNGQHKGGNGVIRDIEFRIPVQVSILSERRVFHPYGLHGGEDAACGRNIWVRKV MTGGRGENADEIEERHINLGAKNTASMQPGERIIVMTPGGGGYGKVGDERKIEQRKDH EQSWRKGSLAYRQETQETSV EPUS_05521 MSHLQYFDYEGFGQRVRKDTHYSQAVRLGDTIECSGQGGWDRVT EEIPSDLAKEIDQAFANVEHALQQAGGKGWEQVYKIRCYFLNMDAAEHLVRNLRNYCP NHQPILTGIGIQSFALDGMRVEIEVAAHLGS EPUS_05522 MLDSVTAEAYSRQTSSPNSTSSSSTVRRATSLSSQPGSRVSYFG YSGRHIADEPPRKSKYPNGPPPIHIAAAAVTIPASSPSHTTIDREYVTKGHSRYHGHN SRRSGDTEPGSSTSSTRSEAERGRKHRSPSQKTMLSRALQKANTAVLLDNAQNFEGAM GAYADACRLLQQVMHGSTGEEDKKKLETIRQTYTNRINELQTLDWGFQKADEKALPLR PLSNDTLSPEPFPSYDREVEATMIETATQSKTITNTYAAEPQQSQFLNASHIPLRRHS LLPSPLEKAETPETSIFAPKNSYSSNWTGHVSQNNSIGSSIMEPECMPPPLSVSPRKP FSPDDAGSQPPAASSSEIRNTGEPQEVQPSLHSRDASTETTSWLDTIDESGGSSASSL HSRNSSFGVERKRVHVPTGNAATELNAALDAAVEAAYGDSFDTTEKDMGENGQKVREA EQEDLVQEERRGQDKQVTRKRDNSIEADYLDEEAEEEERLLEEMTKGYIMDDFQFGTQ AKLALPHQSDSIAFSGRAWVSSNGSHTPTTATSIAGLAEGAQGATHLKLLPPHPPPVG ALPVPPTSATPPPLPPTPSLPPPRPPSSGTSPIPGVRDRRLSGQNAKQLKIETHSRSS SVSATKRNLAVNTQVPPVEEELANSIESSQSRSTKDEPSPRRPAPQLTQVPPLTPLTS LPSGDSMHSESPATPALTRAATQDGDEPIPASPARFLGRSAGGGGFLRKNMSSSSLKM RHLSVTTAEASDISPMTPGSASFPTSADARKGTMAFNPVLPTPTGNTFMVDGLVAGGM YLFDDQICSPTTPNASILNAPCPLEPCPESFLLRPFWLMRCLYETIAHPRGGYLSTRL FVPRDVWRVKNVKIKAVEDKVSNCDLLTAALLKLSKVDTLDADAVLEEMQSFESVLEQ VRNILHKKLGSEVGLQSSVTLFKGSPASEDAGTQAEALSSKSGNGGNKSYLSSWRKLR SKNSGAALSSFTALPSREGSKETLSMSSLPMTSTPSSRPHKRNLSQIQLTGPHANYMG ALARLFDAAQILDQIARQVEDPGLKYSSKTHVGLELSTRNAAEFFGFYVCRFALNDVG LMLDKFIKRGSEWVLA EPUS_05523 MPSALLLLLPLGLISAVAVNWYLCFRRNIEKAKESGIPYVVVPV FVLHRFWLITNPLWLKLARKFLPEEWIESWYDYVRPEFPWELRNEPFKKFGSDTILSV SGGDFCLWTADADVISQITTRRSDFPKPTQIYTSLDIYGKNVVTTESAAWRRHRKLTS PPFTERNNHLVWAETLDQTQAMLNTWLGKNRQGNQTVDRIMDDTMRLSLYVISRAGFG RKLEWPQVEDTSHNSTGIDVKPTQKIQNEEAELGEGHSMSYTYALHFLLDHIVFAILI NRTILKLSPVKVMRHTYQAYIEWGNYMREMVVSKKAAIKAGERLDDMDIVGQLVKGQL LPTDEKSSSKDAPLSDSEILGNSFVLMLAGHETVANTLHYAFLLLAMNISSQRNLQKD LQEHFQGRPISEWDYDRDLPALFGGMTGAVLNEQLRLIAPVVNIPKCTYGVPDQPLIV NGKKCTLPTNTFINLCSCVHTNPSWWPHGPPKDPKNPAHPVSNLDNDLEEFKPERWLL DPDHKDKTTTTAKELNILDDKTKAADDAEEFSISTTSVTSPSLYRPPKGAFIPFSDGF RSCLGRRFAQVEALSALAVIFSQYSVELAVDKWATDQEVDEMDEQGRRAVWAKAASEA QRLIHGSTTIITLQMRQGSVPLRFVRKGSERFNF EPUS_05524 MGQLGDLSPQASIAVGILVGLLSTSIQSLGLTLQRKSHLLEDDK EEYEIRRPPYKRRRWQLGMGMFVLSNLVGSTIQITTLPLPVLSTLQASGLVFNTAFAT LLLKEPFTRFSFIGTILTCTGAALIAVFGAIGEPAHSLPQLLDLLGRKQFVAWMVATL FVVIGTLIFTRFLKFWSDRVHETHSRSKHERSPSASRSKSRRRSLSIPTPYAAQVRTS RLRLLRGLAYALISGILAAHSLLVAKSAVELLVRTIIDHVNQFNSYQSWLILLALIFF ALSQLYYLHQGLRLCSTSVLYPFVFCIYNIIAILDGLIYFHQTSRLSVLHACLIALGT VILLSGVLALSWRLDDVPPMASEAQPTPLGPGLGLVSSMSENPSDSPSSPLLPTARPH SSTSAHANESTPLLSNRHTGGRRTAFMIYPPPPETSNPQYIWAELDDSNESDRDVLAS LPRSLSPFLSSSALKSRRRSRGTSLSSTDTPNNTPSTNMLWNRTSSDRSDTRNQHGGG SQSPELRHKPPRRAQTLKERTHGERRRSSAPSTTVVGDSSGVRSSSTSSKTRSPGGRS RTSFASPTAVSSQENLRGGGRTGSPAQGQRGAHGYRDRVRGRPRAATDASRVVPSRWD MSGRVGRWWQGQAQAQIQNREEGDERPEEHDAARGVAGGEGGVGREEDDRKPSGGLI EPUS_05525 MSSQALSDDQVATELKKMTAFIKQEALEKAREIQIKADEEFAIE KSKLVRSETSSIDGQYEKKFKQASMSQQITRSTMANKTRLRVLSARQSVLDDLFEQTR GKVGDVSKRGDYVEIMKGLLLEGLYALNEPKVQIRVRKADIDIVQQAFEGAKKECKEN LGKEVEVEIIGKDPLPEDSAGGMYIIGGQGKIEINNTLEERLRICETDSLPSVRVTLF GKNENRKFTD EPUS_05526 MASDKSKRDIADFFRPYAKPNPPKTVPAKRPSPTSNDELDGRTI FAGRQVNQAEPRTPLTASRFKGAVCSPYKSPFGPRSGASVTIPIRSPKPSPYQTPSKT LTPGPATSQHGRLFARTEDGTSQEKGSLSFADIPTSARSIVKNGQVVAVRGSDEEDSD SLCSLDDILGSNRGDATTGSSSPPDAKEDDLETERVRSLSIFTHGRSNALVGRDKLRE LTSKANDLNFDISLLVGDHFDDEEIEANVAKAKQGYKASDDQERLKGQGLFDKKLLAS VAGGEEGSGDMQRLFNAVERIDALAIDHTWSMFGSSPVPASSPSPYPFPSNAEETDLW LASLNDPTLRQRAYLSGYVAEKVADGAVPDSLVAFTFDNIVKEPRDDLKESYVEVVKA ASQSWTRKNLGPSLIEQAFCQLGADSVIVKCANDINPEPSASAKDSTVLCQHLLPLIR TLKCLATDLIPEALSKFVKLLMRLAIDNHLMSNSGTCIVVEDAISSLLDHSEEIVSLS AAQCILEDVGLRVKAPYLQIQVLKHILPISPIAASLRIQLANLFLQGALDEDTIIFTP TAPGISLGDLTTHLDDPRYDISRSNRQDTPFDYGTLSCSIYIFDAALANGGRPSAFPD TSSEREFNNNIDILVGRIKSIMISIADTGASHMRRTEAKEALNALHFRLLYGVRTMPR PKKSVFGGRDGDEYRAEERSKSMMQHFLKKRKTEQKGLPGRDTNWMAGQDLPGSSSQK SEFEELNRRQLRLQS EPUS_05527 MKKAKIAPSITAPPTPTPTPTPTPTPELEAEAPVEAAVAEAATP DEDTAKLVVLTEVSVRGDVPELVELGFANVWRWPMMVMVYGSPAKLRTLFPEPQLQPS LQQYESPEAVPLQLRTAFPSHEERTDIHTIIAEIGACRGLPGPVRASSTSICSVANVI AELVGETGLVTEAAEAVSDRRVAGYIRGGYFAIGKVARCMYGVDERSQQKQTQGQYRH SWSAREAL EPUS_05528 MAVGKSVSSPPGSAIKSNKRLSKGKKGLKKRTQDPFTRKDWFSV KAPSTFNVRDVGKTLVNRTTGLKNANDALKGRIFEVSLADLQTDEDHAFRKIKLRVDE VQGKNCLTNFHGLDFTSDKLRSLVRKWQTLIEANVTVKTTDDYLLRLFAIAFTKRRAN QIKKTTYARSSQIRSIRKKMTEIIQREATSCTLAQLTGKLIPEVMGREIEKATQGIYP LQNCYIRKVKLLKAPKFDLGALLNLHGESGTDDQGQKVEREFKEQVLESV EPUS_05530 MLLLAIFAFCLAGIVALPSGWTAGPDNYFGKPGDAKFDYVVVGG GTAGLTVAARLAEDPRLSIAVIEASDFYEKVNGNLSIVPAYGGAVSNPAVNWGFNTAS QAALEAVR EPUS_05531 MYFSFLILAVLLARVLAVFNIDSSPNAVGICYSVWHSLGFKGPQ PPDITEIENGRGSFAGRTAWHFWGRPAGGYYGGGNRNVLKRHFSQLSDAKVDFIVIDA TNLQGYGNYAPGLFTEPSDVLLDEMRLQRAAGKPTPHVVFWVRTDRADSDPTAVGRFV LDRYYRNPAYSDFWVTLSGKPLLVTTDTRPGILAQNFTLRKMWGLQGKLAVGEWSFLQ DAPQNVGMKNGLPEQVSVSTAKQATYMSNKATATPRKQGKTYQLQWQRAFDVRPKVVI LTWWNEWMAQRQADDASGNPQFVDNYNGEYSRDIEPQDPAQSNSHGSIYFTWTKAYVS AYKSNQPLPRNLVGY EPUS_05532 MILTILATFVLSCVVGVQGAGPVVLPFNETHYFGFDGPWQAVPI MVGRPQQLINLYPGGNNPIVVLSKNVVQTNLTGRNNTSVSGVYDASLAETGAGSSQVF SPSGRSDLPDGGWGAGPAMSLSGSRALAFTDDVFHESFGSVKNTSLAAINDTSYQLPD GRQVPLDVGFLSLGGEHFPMTEDFVGTNLPLDLSSNGLIQSNTWGLHIGSVHASIPGS LVFGGYDMARVISDINTAQSPGGIGELFISLLDISIGVAKGGSPFSFRRMDGLLQTQN SSITEIKVRPNPTVPYLHLPGNTCDSITAYLPVGFVPSLGLYIWNTDSPQYRTIISSP AFLAFTFKQTGSLNNFTINVPFFLLNLTLTPPLVEVATPCFPCRPFEPNSEDEEYHLG RAFLQAAFIGMNWSNRLWWMAQAPGPRLPPSTTTSLENLSSTITAIDGQAYWTSSWDS VWTPLAEHVTGDDGPQSPAAESTSLSAGVKAGIVIGAIAGAATFLVAIFLVLRRHRKR AKFLHNANSQSYFYQNDQGQMFRAQLPSTGGRSAPRMVWHEMPPGSPVRHEMPVQPIH RSDPGPGSETIEGLAMDS EPUS_05533 MTYRALSTSSSSIHSTNTSSSATSLLQELHPLPSPDSSVPQPNF ALSDIVFVVGEGDSSREICEIVDIGYKASEQCYVYDCTPRYNPALQGLFLCRDSDLRK PIFLVGDEVGAAGQQDGMRVLDVRYWSGRFCYKIGKVEGKEHIKAAEDPDELLLEEEE LLRMVEQRFQENKRP EPUS_05534 MALQWSDPVDAKPKPKRSPPVIPPPAYLKHDIVFVLGLADNRAN TSFNLCEVINFGYRLQQREYVYFCKTIDTLLSVQTIIVCKDEYLRKPKFQPGIKLRVR DLDDRVNGVGVISDWKVSVECLELVNGKFVYWIRIPGAGKKKGSLLLDVEEGKLEKMA AAATKRRKAT EPUS_05535 MLSFFVLYTLFLAICNGATVRQLPSGYAPRQAECPSTSLLRPAT GLSAPEASFFRSRKARADQGLRTWLQKTNPGFNNTGRVPTLALTTSGGGYRSLLCGAG VIQGYDIRDSNVTTSGLYQAFTYQAGLSGGAWLLSSLAGNDYPKISFLRDNLWIQAFR DSLLLPSFLTSAVAYAQVTNDVVAKDRAGFPPTLTDPWGRLLSYQLLLGFNGGVDKTL SGITSGSNFSSFNSPYPIITGVGVKTFQGECLPGPNATTYELTPYEFGSWDKEVSAFI QSRFLGSNLSNGEPIENGRCTVNFDNLGFALGTSSSLFNTACSNVPQPNATTLNGNLA AIVSAAHQLSTRELYAIYPNPFFHYNRSSLVAAQKELSLVDGGLARQNNPIFPLLQPS REVDVIVVNDNSADTNNFPNGSAILNTYVQSLNAGLTKMPIIPSVETFISQGLNKRAT FFGCNDTSKITIIYVPNVNYTFPSNQPTAKLQYQPDETRGMIANGIKIATQNDDSEYP TCLGCAIMKKSPKVGSRDGPPILFMHGLFGSKQNNRSISKALARDLNRPVYAIDLRNH GESPHDIRHDYTAMAEDVEGFIMEKSLEQPTLIGHSMGAKVAMTVALRNPQTVSALIP VDNSPIDAALKSDFGKYVQAMRLIEDSKISRQSDADAILQKYEEALPIRQFLLTNLTR SPDTKAVKFRIPIKTLANSLDHLGDFPFRDPDEARYEGPTLFVRGTKSHYVADEALPL IGRFFPRFELLEIESGHWVISEKPEEFRKGVVDFLQRQLSS EPUS_05536 MYSGPWANINQGLTGTARDILATYWHQTITALETESHDFKLHQL PLARIKKVMKADPEVKMISAEAPILFAKGCDIFITELTMRAWIHAEDNKRRTLQRSDI AAALAKSDMFDFLIDIVPREDSQGHSKRTGQGAAAPPPAAAAPGVPQPGGQMPQQPPP PQQQHMDYGAMGHPGTMGQPDQEYRTQPMGYPTHVPGTDPTGGYGNVNPGQQMTFEGM YGPYGHMPSQQNDMQPCRYNRRALEGKPLTFNSHRRAFCNSSLRGRRWTYSSALRDAP SRIIFSGVQPTGVPHLGNYLGAFRPWVRLQNERSKNDILNFSIVDYHALTVPQNPELL WKWNLETYSALLAVGLDPKISRIFFQSRASYVPGSVNVAGHTELMWILSTLASTGYLS RMTQWKSKLNLPEDASLHDSSAKSALKLGLFSYPVLQAADVLLYNATHVPIGEDQQQH IEFARTLANSFNHTYGQKFFQIPQAMISPAKRIMSLTDPTKKMSKSDPNPNSRILITD NEETIHRKFRTALTDSQEGISYDLENRPGVSNLLDILRHVRNEEVPIQELAAEFKNSS LRSLKESVAGAVAKALEEVRENYLRLCSKGSPRQSEMNRSLFVAKLTASRTMQAVKET IGLLPRSDRSRPLHKNFNPRKKNKSRWMIMTTSVDLHYKRNAKRCFDLFNKLDVLFVY FRSSMVSNLQADELHRRGVDICQFNLIEETNRGNEHLDTSDKQPQIPRLSFDDSSPIE NDAYEIWRAIYDQLFCLGYPGENEEDILYAYEFTSWKPVGARILQAYMSPEHQRLQVR YSEFLDFRNLTKEWLNISVRWMLNEPVGLPLTPFESNPSTQYESSDDEDGSLASESSE TETGTSDGD EPUS_05537 MALQATALEPAPSSSEESGILSDEQIEQLLHEAEVRLKEASESI VQAARAPELQDIISVGNIRKRKPLPKLIGSLKKASYIEQKNGIAQADGKRLLVDKHRK LSNGLRAVELDQLVKGSKKKDERPTSGAEWFDLPKTVLTPQLKRDLQLIEMRSVLDPH RHYKKASGENKVPKFSQVGTVIEGPTEWYSSRINKKDRARNFVEEAMAGEKESGRFKR KYNEIQEKKTSGKKSYYKSLIDKRKKRK EPUS_05538 MSGPRSLRAAFEACQPLRKPSPYSKTPSSYRSFYTSRASLLAEP SLSPSEKPSDSYDLQSRIETPQTQPITRTSVNEQPPSPPSASSSPPKPQPTRRLRFPR AVQASYLQPLRYKGGPTYNHPVCTLQLRSFSVRNVEFMADFAMRAAFYLKLRARGPVP LPKIVQRWTVLRSNFINKNSQENFERITLRRLIQIQDGHTEVVKVWLAYLRRYAFWGV GMKANVWEFEGLDVAGNMDKAYLDIEQELDEKLSSFGYKHNVAGKRSVPNLLRNQGMN LPGRPMMELRGDIKRERQPHLTESIY EPUS_05539 MPSIVPARSDPSYVLNQIVLSPSDTDYLDQLIPSISEYSHGNKT SQLLHSLSEFAGDRENEIERICNASHQEFVTSVNQLLRIREGTVNLTTEILSLSQSIQ SSTERLVQQKKALVDSRGVRQNINEAGNALQDCLEVLRLANQVHDLLARKNFYAALRA LDELQNVHLRSVTQYKIAEMIRRSVPATQKTIADAVMNDLNTWLFRIREMSQYLGELS FYNTDLRKQRQQERAERTPYLSNFKLNSAIELVSDEHEEYDVLNNDDLQVDFTPLFEC LHIHQSLGQMDKFRAEYAATRRRQKELLLPASISLVDEDMANLHTLLEDMAGFAIVER ATMKRAPDLRSSIDVEELWDSLCQTAISLIGKALPDVDNAEQLLKVKNLIALFIQTMN TWRFPTAAMSGFLLTLFEKYAQLLKRRFSDDFLEIVSTDDYMPMPIQNAEEFEKVITV SWYCPERAVDDTKFPCVLPFSQMYPLCCIDIRNFLNQFYFYANDDFPHREVVDEALRV ALDDLLCEEVCGSLVQKLSVQYIGQIVQILINLEHFETACKELESLLVKARSPESSIG SVSLRATEKFRNNKKTAEKRIFELVNSKIDDLVETAEYDWAGTTKPIEPSTYIQTLTQ YLSNIMNSMLLSLPTEIKELIYFDAISHAAKAILALPLSPTVTKINPNGISALSIDVH FLSDFVSSLQNPILQQNLDELQQTVALMESDNSDEFYDSGLRNRKYGQVNPLNGPLLL EKITKVVEAAPQTAATSAAERLKGFGTRFGMR EPUS_05540 MTRSLAGTGMSSSSPQSLGDFSHHDTPSTNLTAFSPDVVKDVDK VIASRFKVSITSTNEDAVGSEDGKLSEHDPFTVPTPTPARSALSPTATSFTPGEVKDT KVTREPVLTASGRISTACIVKVPGEAKAAHGRVSSLHDNTIPDLVTTQVTYFDQARTS HGAIGEPSPPQALVDALRQLSLGGAAIVDQDGHYRFSSIMEGSFTSEEISQRAFKVSV FFGKESLGHLQMLFNTTTYPSFCGVISRSETAVETLLVSFSDIRDAVKAFEDVRKGHW AVTYVNPKALGFEITGDFGLNGPFRTISNYEGQLKAQILFNPQNPALTSHYVIPLIKD VLSKYGEIKAIHSDPCAIPHVKAYRIEFYDTRSATAAQAALNNKDIGYEISIKLEPNR DDAADPMVETVPRRHVEQPSITGRSTVPMNDPVYNSLPAIHYMDYNRSAQGGFGHAPA PNHNQVDIIRIRLGLDVRTTIMLRNIPNRIDQAMLKAILDETSFGKYDFMYLRIDFAN NCNVGYAFVNFEDPISIIDFANARAGRRWNRFSSDKVAEISYASMDMSVVCDLPSLTP FIAIQGKDCLVQKFRNSSVMLEHPTFRPKIYITGTGPDAGSEEKFPGPDNPSKMRRSV ENAETVGMRRYLTRGPSPAHHTRDVPTSPTAHRARQVCFVYDPPARVPIHDPETSQGP FELTLTALGNACCYCPVTSSYLYGVTHCPDCEGRRSTHRINFNRIPTRHLHKFHCFD EPUS_05541 MVFAFVRRFGQNVAEASIDNLLRCSHFIVDKLHVDTDNRLAVGQ VQAEATLTKDGPPEDESPPAYEEFFESPCFISTQYKDDRAVVRQDNIASHAGTAAHAK NCECKGCRDRRRPFHKGGTGRRHGYRGSPISTRTAATDLAAWRQSLPQPDLQSQQSTT NSPISRMPQEALSQPSRLRGGDFQILEPVSNRFAALHVERTKDEEEYQQSADKHNDHD SNNGSSTYSAQPADETSILHQPEQFSITDTLWHQMAAFDNWSDTNVDDSDLAGEGSDD RAIASTAMSAGLSIKTNLSVTSSDSEDGRVCTLWKPKKKNFVPITTLLPGPRTAGGAS EEMPWEEDDWQERELLGVRLLSWTKTRLLLRYTQGDDEVEYFVNSEVL EPUS_05542 MSLPQSIADTNLGLTASEIQILRQQQQIAAQGHATQSQAGRGRG QSRSSNPSSRAASAASSQGGQGRVFLDPGSLQRLYAHFEHLMRRIQDRIADLEEATQR SVQASYDRAGNMIQNADAEIARMKRIMAEIDHLEGEFDKVKRIRDVIKELRKRVDELD RRMDQPRSGHSQAASGGPRRR EPUS_05543 MPKDKERSVNPAQQQRKLDKAKALKKGKAELQARRNEKLARRNP DRLQRQVDELKALEESGSIRPREKQILEGLEKDLRAVRKAREALGEKAPQYGNQSGPE RRDGGDGRGKDGTLGKRKWNGERKQYWVKGQEQSSGSETDESVRRIPMPKDTPPPIPY RRDNRHGTSANAEPLAGNRSGERQSNDSSPVPIAVQPKTTYESAPQIRDLRKEAIGRF VPNVVRKKQDAIKGSGMLVEPEELDKLEREGYIGQKVKQNPEVVPQQPSSLPSTAPID AITNVDSEAEAQRLAQEEERFRNEMRQVEIEEVEDEDI EPUS_05544 MHDLGYSGVAIAVALPVVCDPANPPALAYPDFAATMEALSDTIW DVVIAGTGIRQSLLALALSRSHKRVLHIDQNRYYGGTEAAFSLEEASKWSDESQHISR EAYHLSAILAALVSSRLHTQIEFQAVGTWWIDRDRLVEVSESNKQNNGATDSHGGKGH PVVQPSGMLQKIPSTREDVFADDTLAPRDKRALMKFLRTLIMDPILDAESNQDHPIPS ALTTLSAMPPLLAQPLLALSMSYDSPSAVRTKSVVSRIRRHIQSIGMFGPGFGAVMPK YGGGAEIAQVACRASAVGGAVYVLGRGLAKVDEPRVQSAEAEALAAASAEEVVRLELS DGEEIKARFVVGGAEDIPTGDIEGGARQLNIDLVKMVHSISIVSSPLEHLFPPTAESG PMPAGAVVVYDGHDHKTTSGYDSVSRAGPVYMVIHSSESGECPRGQCVIYSSTVSSSE GSEDANVQRLDDAIANLLAASPLSDSKSEVLWSLKYRLQGRPIDSDAGPVVSSQSGRV MLFPVASVDPVFDDSVLDGVKDIWKRILGNEVEVSEFLKFEERTEDDEEGL EPUS_05545 MKFIPSPIPIPIPVTMPAPNPPQADPLLSPPNLLSPRDLALPLP LHSALIVMGSIWLALIFVLLAFMVVGPILGACLATRRRRGGWAKSWSSDVGSSEGRGG SVDGSLREGDVWDPGKVTGGWGVWVR EPUS_05546 MNNPELNSLLKWGVENSDVSRDTNPDHPPSNRGLNAETINTLFG GPSDADLMKESMQAIHSPDVSLADKVTAFDNFEQLVENLDNANNLEPLGLWSPLLAQL DNLEPDMRRMAAWCIGTAVQNNIKSQERLLAMGGVQKLAKLAVEEKDRSVQKKCVYAL SSSIRNYQPAANEAVKYLPSEIVGADHVSTEDMDTIDAIMERLRGSGR EPUS_05547 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAISRVDMAGRDLTDYLMKILAERGYSFSTTAEREIVRDIKEKLCY VALDFEQEIQTASQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGISDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF EPUS_05548 MGEEKSYLYLIRVPCSSANIGPGFDVIGLALSMYLELRVTIAQP LSSQSAALPLVSKSLANSSSSSLNCTITYTGVSPESIELDAASNLLTRSALYLLRCHG HHSFPHPTKVHIHNPIPLGRGLGSSGAAVVAGILLGNLALSSHSPSLSLSKARLLDYC LMIERHPDNVAAALYGGFVGTYLNELDPVDMARKEIPLSEVLPAPAGGLDTGLKPPEP PCGIGHFRRFKWAKEIKAVAVIPDFEVSTAKARECLPTLYSRQDMIFNLQRLALLTTA LSDSPPDPSLIYEAMRDKVHQPYRSTLVPGLTQILECVTPATHEGLLGICLSGAGPTI LALATGNFEGIAEAVMDRFKREGEGIQCRWSVLEPAEEGATVEAVQGWEHL EPUS_05549 MSVQATAGPHSPPPPPPPDDFTSLVSHAKKHGINLVVPGPEAPL VAGICSYFQAVGIRCFGPSRAAARMEGSKAHAKEFMQRHGIPTASFRNLADYDEARRY LDGVGHRVVIKADGLAAGKGVVIPQAKDEAQKALKAIMLDRQFGTAGDEVVIEEFLEG EELSVLTFSDGYTIKSLPPAQDHKRIFDGDTGPNTGGMGCYAPTKVASMELMAEINRT ILQPTIDCMRREGTPFVGLLFTGLMITPSGPKVIEYNVRFGDPEIQTLLPLLSKDTDL ARVMLACTEHWLDGVELKVEPKFSATVVASAEGYPGAYVKHRPMRFDPVPKDTYIFHA GTELDKNKKLVTVGGRVIAATATAATLEEAVAKAYRGIKTVHFEGMHYRTDIAHRALK ATTITTRGGMVALSPFQN EPUS_05550 MATAFNNGLGMVLVVSEAAGGAVMRELEAEGETVFRVGKLVSRA ERERDKDTTGCVLLNLESWDASAGEQ EPUS_05551 MAGPALVVPAVKKHTATVIMAHGLGDRVGLAENWRRRGKFEDVK FIFPNAPSIPITVVRQWPLSLAKTKAHEQKLTDGLPTNCRTWASPCLVGEHYLSRPSA GSPRLPSDLTTTEEKQTTFDDLQEAHDEAGILRSRSVFNDLVAGEVSAGIASERIVLG GFSQGGAMALFTGLTTPHKLAGIFGLSCYLLLGDKVKTLAAENGNANRDSRWFMGHGD ADPLVKYDWGVRTAEVLRKDLGVADLEFKTYHGLPHAADPLEIDHVEGFIRKCLAPVS EGGGGGAGGAGSKKGEL EPUS_05552 MAEKTAFKHYSKAQSSFKPPLIANENAFLGDVYSSDKNDAEKPI SCGFYRLEAGTPLVYTYTYHEMKIVVEGEFDISDETGQEVHAVAGDVFYFPKGAVITF KTKTYGLAFYTGQRSEGGA EPUS_05553 METITIPSPSAFLRKSPASDSTPVPNPAPIQPITNAGGRPLASS GRTPTTSGVQNGGITKPKQSKSRNGCITCKGKRLKCDESKPTCEQCRKRNVQCGGYSK AFKWRSFEEANFTGKSGPKPKKVSPPPPPSTFRNISAQAPSASSPSNDSNAGPSPVPE ADGGFKAIGSPDAFRDPYLMPNHYTGFPTPISPHFSKDIDYIPQSQTQNVYEHQSVVN PYASDNSPQAQPNANHLSQPRPIHPAHHPSSFPSGSPTLRDILLPGTDLNSPPPPIEL RPPQSPLPYMPSGYTPPADVDGAVDDDEDVEEIVRRDDPMMNLDQQQRIMSNNWSFRL PSTSPSPSESSSSSSSSIMDLWAQPRLPSSSPEMLLVQFDRQTCGILSVKDGPNENPW RTVLWPMAQESKHLYHAIAALTAFHSSKAAPELRMDGMKHMHKSVTNLATSLHDMRFD DPLSSNEVDAALATTLVLAFSESWDRHVSSGIEHLKGAKYFVNQAVIKHQNAVQTGRS NMQQLHRLRFLCNTFIYMDVIAQLTSLKEDDFDDLDNVLATFNQPFDNMLEIDPLMGA ASTLFPLIGRVAAIVRRVRKSSTNSFTLISDAIELKRRIEQWQPPAPVSFEIPEDPTS EVQHSVQTAEAYRWATLLYLHQAVPEIPSDPASILANTVLKYLATVPLSSRTIIIQIF PLLAASCEAITQEDRQWVRNRWAAMSARLSIGNVDKCFDVVKEVWDRRDRFEAAKADR LLRRQATWCVPMNETPSPISVRGTKRKMSDADNTTPGVFFNGSGGSGFATVVEGSFSK RRAMTDQNGLPHSVRLDDEGTAASMHVDSYRGASVPQFPKQSPLPLPSLARKGSMESP LESIEFEYTVRGRLHWIGVMSDFEWEGKQSTFVG EPUS_05554 MDSSIVDQDECPSNASCWERKSPPLIFWANILGIVETTGFITPY LLHPIQGFHTYFPTTGAPSFNPENSIRALAGKVILVTGGNNGLGRETTLQLAKHNPSK IYLTSRTQSKGEEAVKSIRKSLGQSGTDLQNLSLDLASFSSIRSAVESVRSSTERLDI LICNAGVMALPLGKTEQGHEIQFGTNHIGHHLLTKLLLPTLEKTAALPGSDVRVISIS SVANNRAPAMETMLDNEKLSATSTWTRYGASKAANILFAAELARQYPAIKSVSVHPGI IKSGLWDTTNKSNALIRWALMLIGPLLFQPISTGAHNTIWAAAGAKKEELQNGAYYTP VGKVDGENSGNKYAMDVEAGKTLWEWTEAEIAKAGL EPUS_05555 MGDTSTGNGVPNLFYVQKMYWAVLGAAIACATLINVLNKVLALQ RYQSQQSKPKSMFWVSFATATAVARELSYAPLGTLRWRRWSFRFPNLGRTTLVFSNVI VVLVLCFYKLDTQDKWSWEDIGYRTGFVSIAQLPLIVLLSAKENIIGMLTGFGYERLN WLHRWTARTLWLSATLHMGFWFRNWARYDYVKVKLTTDPITQRGFAAWCILTFIVITS ATPMRKLSYEMFVISHLLTFAGFIAAIWLHVPDEVKVWVWLPIALFALDRLLRMRRML YLNLTPFHFWKMKVNGLWANSASFTALPDGVTRVSIDKPVFMWKPGQHVFLSCHSVLP LQSHPFTIASLPSDGKLEFFVKAHQGGTKEFLHHATKSDALPLANQNSGSTMRKVGIE GPYGRMRNLRQFDSVVFFAGSTGATFTVPHLRYIVQSWLLDKDVIPRHSLTDEIALTR RIRFVWVVKSRNQLDWFITQLHQVIDNTAMGRTKHPKDDRQVDISVYITCDEALYAEK STSTRSCKSGAHGEPKQAKSGLGEKGTMEKRDPEKVVEVNCLQSSEHNHSEGGGCGPD GSCCCRATIEDEPNRPVCTCAHGSKATTTSSATLASEAEPSNRPAVTPNPAMKFLFGR PQPRSIIRKVLEEAEGESAVVVCGPQGLQDDVRASVVALSDERAVHKGTGAQGIYLHV EGFGY EPUS_05556 MAHSSGSSGHGSIFTNSRDTPLYSSNWVPSSAGGYAGTCIFLIV LASVLRCLVAFKTVLEQRWLAQARCRRYVVVKGRGTEAGKIESDPAAKAGALITTNGV EENVKIVQSNNEGAIPFRLSIDIPRASLVTVITGVAYLLMLAVMTMNVGYFSSVLAGT FVGELAVGRYAQWEDH EPUS_05557 MLCHSLLVPFFAALVPVSAHFELNYPTVRGFNEDNLGTGPCGGF DTPTSERTSVSTTSLVLALRMGHDENAVQVLMGMGDNPGNNFNITLVSTFREEGLGEF CLPDIPLPSNLGIMDGMNATIQVVTNGDPAGGLYNCADITFTTGTVNPPSQCTNGTGV NASPFPSQAAARNANESTPQGQAQSGSTSETGSSSQSGSATGSSTAATSTPTGKAAAA SVGWGLLGAVFLGAAALL EPUS_05558 MASSSSSGAAPWKSTFDSHLKKLGSSPEFYLATVSHEGSPRVRA CIHRGFWTALPENSHNKLPKNPPVFDSDCPTFTTDARMSKVYDIFASGKGKGTLEQSR AGTGGGGPVEAVYWVKDISMQWRIKGQCWIIAADDVEHEGDGDMQNSGTVTVKAVLQR YMRKTGEDGDWSWKREVENHFGNLSPMMKGTFKNPPPGQPLKDGAGPGEALGQKAGEL QEEDLARKNFRVAVLTPQEVEQVDLSDPAHNQRWRWTLTGDGKDEGIAEWEMVETWP EPUS_05559 MEMPTPPPLLHTRSGNEHAFTTPVSTPQGSPSKNRLPPGANDLP NVFENSLKLAPLSPSSSSGNINRDPFADSSHSGSPKKSNKENTPPGGGFRFGKEGNAV QNHAAISRQEPYQQADSRKIQTQTKGLTVEELQKLQQPKVKRLANVTQLYFLDHYFDL LSYVHNRQNRQAAFDAHNPSPPATPQEDYDAALKKYLGRERANLRKRRTRLRHGDFQI LTQVGQGGYGQVYLAQKKDTREVCALKVMSKRLLFKLDEIRHILTERDILTAAKSEWL VRLLYAFQDEQQIYLAMEYVPGGDFRTLLNNTGVLHNRHARFYIAEMFSCVDALHNLG YIHRDLKPENFLIDSTGHVKLTDFGLAAGMLSPIKIESMRIKLEEVGNTPVPFGMQPM EDRSAAERRQGYRSLRRQDVNYAKSIVGSPDYMAPEVLKGDEYDFTVDYWSLGCMLFE ALAGYPPFAGATVDETWQNLKRWQRVLRKPQYDDPNYFLSKRTWDLITRLVAAKENRF KSMSEIHKHEYFAEVDFNALREQKAPFVPELDSETDAGYFDDFGSEADMAKYKEVHDK QKALEEMADREDKMSKGLFVGFTFRHV EPUS_05560 MRQQKHPVQLAALLFFIHLTSVLSSPIPQTNNPTNCASCHAFNQ FQSTQDNEELYDNTGISRRQPRLASVDQEPNRNVAGTWFRRWVSQLAAGSNDKRNIDD GRARIQARGWSSQDGDSTTETANAGHDFRSKPLKKRTDAPGGSVAEDLEAHDGLASKS VEERTDPAGWSFDEHKGDGAAETSGTHFYRSGSWQRRWKGQNIAGANADPAGADSGVG NTEQKRGLITADVAPTEDEQSGVQQGTCVTCTKRTVPDWDNVLPTEDTKGGACADCVT KPSRLAWTQTTSQIQDEQAKLKRGFITVDVAPADGGTVDVQQGDCPSCLKKRSVAD EPUS_05561 MSRTGSTRSNPLKSLHSAQMDPSVQPFYSHETLTQPRPMFTQQS PYLLPMPSQPALPSYPSQQLEMSQQAPSNTSLPFPPRPQMTGPTVPNHSPEALVADMW KTCPALCASIRHDFDVNTIFHPSLIALHGETLLRLAASQIAMQNQATEMTHLRNDLSA KQSLLEVNAASVKDLQTKFVAQQSELNEIRRLLEQQPRSLTNSDAIGNGQIRQSRSQE GGITKQGAIASPLTPVSSLTELDGPIHKFYNRSVALPPLKVILAPDHVNEKEEKHGYG HAETQGPSFDFDRAEDCTSTHLAKQRPDQPCPIPPTTETATIFEPDASARPVVSVVTR EQKLVPRDNEVAKTTVEPSPNQHQASAVRTEKPPAPIKIMTRQDSNESTSQKAEQAET TTSSMPNEVGKTTSATPSRTECNNDVHDKRPTVSANKPVSYAAAVRTTPVMPPKDESS SMQPPSDRTSSSPVPDLGFTLEPLPKPTMQQVQSQHQQAQSPQQQVPTPTSEPQQEVI PFNFEVWRQRKIAAGTWKDRSNNHHHPHNVAPDQRSNHPNHPSQHQRPYHTNYRGRGG RFHQQHHHYNGNNENLRRSPLSHEEQRQAWLAWKQDCIDRGTWNPKHPFRAAWKNE EPUS_05562 MFRMHKTLDVITLFHTPSIQASTRIATLLKQASAQSQEQATEDQ ASDHSHQNSPRDPFELDITEAPPTKDQLKSIFEYVGGSKAAQLVKGASSQSDALRKLQ ENPDNFLRPVVVDWNRGKAVVGDNESAILKLVKEQPKES EPUS_09284 MASIKELGERIASNSALVEKWLISKNAKMPSFEQDAEEEFPSTA GDAEIEVARLAILDDTNMLHDMLIGPGEVLRRICWGEFLPEMYAQAIDNSVQQCIYHF NFLKTIPLEGGATYKEISAKVGLSEPQVKAIVRQSAMNRVLRENSPDHVIHTASSALL LRNHAMMDWYGHCVEEMFPASAKIAEALEKYQGSTAAEDSAFCLAFNTKEPIYKFLEQ HPDRQARFFGAMEGVGKDPGHSLQHVVNGYPWAELGNATIVGGSSGFMSVALAKAHPN LTKLVVQDYKHTIEEGAAQLPSELSGRVEFMAHNFFDPQPTTKADVYIMRHICHNWST ENCAKIIREIVPVMKPGSKILLIEVVVMPSNMEESSVAERYMRNVDVTMLQMLNTQER SEPEWREVVRAVDSCLELTRIFKPKGSWDSIIEISLK EPUS_09285 MASYLITGASRGIGLELTKQLLELPVSQVGKVFAVTRSGPSAPL RGLIDRNPDRATHIIASVDDTESVQRAARDVKAKLGGQGLDVLVNNAGQQAISPGGTK TAPPEQLAHLFDVNVIGPQRVTAAFLPLLEAGNQKKVINVTSSMGSIAWVDRYKCAPS PAYKISKAALHMLNAQYALDHAEAGFTFLCVSPGWLKTDLGGEYADFDVGVGVTELKR IILESTKAQNGKFLNILVPGEEKSRGQYDGGEIPW EPUS_09286 MDERKLLVIIGITGNQGGSVARTFLDDPKLRSKYRLRGISRNPS SSQSRELAAQGVGMVVADLHDPSSLLQAFKGAHAIFSVTDFWTPYLDKNNQAKAQEQG KHIGQLSYELEYEQGRNIVDAASKVPELERFVVSMVSSTKKSSNGRYDKIWHFDSKAD MISYVKSTYPDLAKKMSELNMGVFFRAWRFAPVMAPRRMDGGVHVLTMPCNPNTPIPF VDPNNDTGPFVRALLTLEPGIQLYGATALMSWNTWLALWGRILDKKVKFEQVGVDFYE EELSKTFPQGFGTEIGEMFEFMGIYGYDGGDPACKRREELGIEIPGLSSVEEYIKNED WSMLGV EPUS_09287 MWKLEGLPNTTFLALIAGAALAAAAPRSSSYNASGSQPDYVIIG GGPAGFVLAEELSQNPRTTVVLLEAGPDTAGEEDIDIPGYSPNLLYTQYMWNYTSQPD PNLEGNAPTLEQGRGFGGGSAVNYLGACRGAPSVFDEWADISGDDGLRWENFLNDYKS TVHYQEVPLDYDPHINPSAYGDGPLELTAPLENLGIVLELINSFISVLKLPWVDLNDG TGLGVATSTNVIRASNRTRDFAPQAFGWQLAGRPNAQQLSNAEVTKIGFKGTRAVSVT YVNPIDNSTNTLYPKEIIVSAGALNSPKLLMLSGVGPGDHLRSLNIPVVADIPQLGKN LRDHHCAFMSFEVTSQVETLWQYTQNATFAALAEEEYINNSSGPLSVPNGAAFAIYRA PDSVFEAVDDTFHTSLPADRGQLLFQYSTSTFQATTPNVSIVSPFVGLVQPQDSGYML LASADYRDAPLVYSNYYGSAGDKAAILHGYKRIRELFSDPSITPLLMGTVALGTVVEG KTWRIKGLDGIRVVDSSTFPYPPTCHPMATVYAYAQHAAQLIREQDR EPUS_09288 MGKSQRLFAVTICAKRRPGMDEDAYHKYISETHAGHLKDLLVKN KNVDYTVQHNTSDLMKDIDNLFPNLSSVNPSSYDAFMTIVFRDVRDYIHVKNDPHYVS VVNPDHANFGDGPGTMMSFGWSEKHVADGRLVEG EPUS_09250 MTLANVSQAIFSAKWTIVFYLVLAGGTIVTCLWLLRTLAHADAS RQRRPECSSRQTRRAQDPTLSSRRGPGLCQVYPTEDDPKGDGPGPDIDIIAIHGLDTN SEKTWTWRGDGCKVNWLADRDMLPSRVKRVRIFTYDWPADLLQPSDLVQKNQGEFAIL LFEEIRRIQITGDPARSEDRPILFIASCLGGILLMKALVDAGQAYQSVRRATRGIVFL ATPFRGTSFQDVAALAEPGLNAWAWIRGREVIKLLTAVKEPTLDLVQLVQDFTSLCKD KDYPCHVFTFYEKGKTSLPSKVFPWLPAWLRQAKLLVNESSATLDMFPQPLPLSRPHI LMNKFAHSECTECKKGCTESEDFGRVSGKIVEMLQKIREGSPVQQADAWIRANHYSED RLKIERLSSDLLSLDQCYINLAIVEEPRKNAQHAEEGSGEDVSPHVSPFSLTARLSVE TPEKNVQVELPSLFDPRKDSNGQTAESRRILIRGRAGVGKTTLCKKMVHEFARRSKDF RKWDELFDRVLWVPLRRLKEWQATQYDFEELFSREFFAQQDRETRDILAKELRGALKS GRTLFILDGLDEIAQELACEGYKSEFLKDLLHQPNVVITSRPHVSLPANVSPPDLELE TIGFYPDQVKAYLQTTFTTDLKRVQDIQSYLQAHQLIQGLVRIPIQLDALCYIWDNLK GKAVPQTMTAMYKAIEESLWKKDILRLGKEKKHGELVTKDDIKNEKMNRIETLVCNEV VLLESLAFTGLCNDVINFEPKHQEAILEEFKSTDEWIPWDTTLPRLSFLRTSESWSEE HSRDYHFLHLTFQEYFAARYFVRQWKAKQPLKWLRLSEGECDPIETAIFLREHKYDPR YDIFWRFVAGLLDPDREALGFFQAIEEEPRDVLGPTHQRLVMHCLSEVERKKTTFMEL RTKLEKQLEQWLLFECDSTQDCWLAREMECPEQVLVNALKQASEDARPILLGCLDSRA SVPPSIISVAVSWLEDCTSKNLCIAILRILRHHHKALRDTMLQDTMLQGIAARLEDKD GGIQRAAIEALQGQADLTEEVLQGIAARLKDENGYIREAAIKALQGRGNLTEEMLQGI AARLKDENGYVRQAAIAALQGQADLTEEVLQGIAARLEDEDWQVRWAAIKALEGRANL TEEVLQGIAARLKDKDIDVRREAIEALVNPASLLLEAFRPCVKPLYEALLQISFQEHT NFLKLHA EPUS_09251 MAPPDPPLWPVTSEVLRQEKGAGTTDILPATYHYHDAAGRRVVG DPAEDTPAFLRRELSLGSLVDMLRHLWFAGAKRPAMPLHFHIAMGREIVVVDRMDLHL LWTNEGRLFVKPVPHFLLDPAFCRINLQCPKACPCDTPADTCRTTPRKVALGFLYTYA CLLSSESDFHVANEKRLLPRKEDDKPIEWTDWKTLARELLRMHERDPHPRFLRAELRL SRINTIHRFTRLPRFDPYLRSRHNYGSLFGDNLAWMTAATVFVALVLTAMQVGLATER LKDNAAFQQASYGFTVFAILGPLCAFGLVVLGALFHLVKDLPLLLGRQRTCREVDHTM SNTNLDDAA EPUS_09252 MLQVIAARLEDKDWNIRRAAIKALRGRANLTEEALQAIAARLED DKWSVRGAAIQALQGRTNLTEKMLQGITARLEDDKWDVREAAIKALQGRANLTEGILQ AIAARLEDEDGGVRRAAVEALVDQASLPLEVLSLYVKSLYTALLQRSFQEHLYLCASD SVFIGVGLRHVSISYKQEQQPSAEMWDIRNYLDVHPPPLRI EPUS_09253 MFALHVRPPRSPSTFALHVRPPRSPSTFALHVRPPRSPSTFALH VRPPRSPSTFALHVRPPRSPSTFALHVRPPRSPSTFALHVRPPRSPSTFALHVRPPRS PSTFALHVRPPRSPSTFALHVRPPRSPSTFALHVRPPRSPSTFALHVRPPRSPSTFAL HVRPPQLPTPILFPQAHLVT EPUS_09254 MSIRVLPINRQPLSLIELTVHRIAVHAQANGWINRPGKRRMRAR RWEEKVSWRREADLRSALQGPEAAAQQARRGGQLRGKQRGKKGGLEDKRERTTEGIGE QRALYTQVKRRGGANPDRAGLNRKRQRTRT EPUS_09255 MTELRVFKISDRKSQTLDKFDQPIFTTIHEIHLFHYNITTIYRG SEDAGNVLAVFKGDKVTLNGKEEKQKEMIHSNGTGGGPTRTFFTPQGEKMKWKSVSQQ WQLVPVSKPRPIQPLLTYYAPRRSVRKLTSNTWLGHSLKTILKISPNALSENSGYALL GNGGVPTPLLELAMVVLVIVMRREESGGRGAEFAGGGGRGRGLR EPUS_08560 MGEARLSPLSSIRTEGAVKIRCRKQLFFRAACDWQDGITHDNFV RIIQDLRSRSGVLKFSREC EPUS_08561 MNDRPAPYNGQGDPDSQPGDDSQPESVQRTRATSNPNGPLRCYA HVSDEDPQSPWAEKTVLALDGGGIRGYSSLLILQHLMSKIEDLEKNWTGEEGPVESSF EYPWREKEPSKSGFIPSTNQFYPCHYFDYIAGTSTGGLSAIMLSRLRMSIDEALTQYT EFGNSVFGQPRWFHERSLSYLPRAKYPSRKVRGAILRLIHERLLLKIYNAGKWQAQHE SFASRDDQSRTMAVSFSKDPEEGIDQFYLFRSYSHNKGSATSEHRFRPMNPGPAHSGP LWEVARATSAAPTYFEAITFMNRKFLDGGLGANNPGRIALQEVCQMHAPHRPALLVSV GTGVKRDNRAKEKPKKRDQARDLFKIDRPDKVPRKQGLQKLMELTHFMKDFTTDTEVT ENDLNFTAHEMQVPYRRLNVSNDLATCIPLDDWRPAQSGQITLNAIKDYTNEYLKLQT TQEDLTYCAQVLVARRRRRAITERWEWFATDIKYHCQAEGCLGSPLSQYKKRGELRKH LKRSHSHELTLDPDDLEARLDDGRILTEPKKKETENGAEKSNHAPQTKLTNGHSNANP EPUS_08562 MVLSAMQLGASLPPLNTNGVFQQAYHGLVVFSVAIVAAVVSLGG MVFLSILLLNMVRAISHEKRARRQREKTAQEQKEMKEVLGSIVVPVGQLDQCCTSLEN VQALMSS EPUS_08563 MSRNIFVHNKSSHPQTFEVHGWNNNKNIVVKPRSTHTIKAPNGS SGAIIALHDGHEGEQAEITKNGFGGNDFFDLSNIVGAGGNMTVQQVGEPETLKGDPLF MQHLNDAFRKASKETKDKCRGALHFDKKGAIIRLEPIKFHPEIEPLIRTFADGKTYIG VGAWNGSPGHKSDNEQSKAGRGNKDIIVTSNDGDASPDKRYGRQGAKFVAQGLVGSFE SSASTGNEGETGADQQEDADGSVEANVNAEANGEDDTAGTVDAEDVRTNEDITGPASL SQRTLLASAAATPIHPTKGDPDDGKKPGIILHNRSNHADTYFFFDNYWNGNGTAGANF DKPLKAVSVPAGKKAFASLPLTFKGRVQRGRLIPATWVEFQLKAANDGAAHGDVSIQQ GNDGPATISSTDGSHQPIGGFKQPLVHKAPPAARVKRPGDGVEVLASTMGNWMGPPNQ AAIDYQRPLIGKTTYVVGGTGVPDVAARNHRFSVDFY EPUS_08564 MAIKKRCLPWDWTNTDGAGHKGVPWAMDKVSFKGPLRSVSNWNT WTPPELKGRAPFRPMVRTEAQLNGEDWNRIQSTKENIIHFFNEPERAGITPERAGDIW QKKMLPLRAKHGKKLVSPSCASDKAGQDWIHKWMGLVSKHPPDFLGLHYYGTDGTKAI DYLQSMHNRHPHTPVILSEFASISRDYNEVLGFTVQVVNWMDQKDWIYEYALFGCMRQ VADSFVSPAAQLMKPDGSFTDLMWKYMSDQPMHR EPUS_08565 MSTYTIKQGDTLFEIAKKSNISLEQLEAANPGLDPKKLQLGQVI KLPSKSNNGGNQGGPQNPAKGIPGSHGGTNGGGNYVNYGGPASNFPNPSQWATYDFLW KQNYRLMKLNDSDSEVAQIHQAIEKVASESGVDVRVILCIIMQESGGNVRVRTTNNGV RNPGIMQSHNGVEFDPAKPAASILQMVRDGTEGTKSGPGLKQLRAKHGNYYTAFRAYN SGSVNEKDLNDPVGATADYVQKTANRLMGHSWKGM EPUS_08566 MALNDNQKQALEIHNRARATQHLQPLQWDEGLARDAHQWAERIA REGKLQHSSGSGQGENLYWASSSGNPLQAAAQAWINERSSYHGQKIPEGNFGSYGHYT QCMWRSTRKLGMALARASNGSVYVVARYSPQGNIIGQKPY EPUS_08567 MDMDLPPSEDMLKSILGVLESINEKLAVQDERFKSLDDCLRTAD VERAMSVSTRYNSLSISKPGLAAASSIDSMDPNPFHRPPRLVRSLSRDTATRPPSMEA GHVDTIPHHSTEATGARYKVPAVYEYTSELDPELKEMIDKHLGDWWRIPADNRIPLRL FTGTSPDHDLWGMDFANHRQQILNDMRFLRGFDEKVRALPGNDFLIIDYDQRDFARMY RVGERAIGAELGTGPEKSSVAAWSWLILYQGMTTGDSINLQRKDQSDSSTQLIPYFEH GNPSSSLWSHISSHMQLKCRDTTTNPYLDLRLGFHTTFYEIRETTSSQMRELWKYGPL YDHPLGWSFRKSAYTLYTPRLSDVSAMESSGHSHSIKGWSALLLSPEGFFSKDSLNIP AKASVREKAQILGEWLGKLTPLGSEMALISQGMRRISDRWAEFQSFFDFILDHGDSLM QPSEHDNLLFDDGSFSRSRRYFWAIDCLSELELSITDNIAQWEMYRDARIKPFVDSGQ LPALDYRHYNNAEKYCHVLKNQREYFRRKLDSTKALRDALFNASAVIESRASTRLGEN VKILTFVSILFLPLNFCTVSSRFTKKPYPQKNTKARLCRVQLLSMLLHPGRPDTDLVQ SLWSINDTFSTTAFIIVIVIVALTTYAVVFNVNSLVHTFSFVYDTKKRRLVNAMKSDP DEGWKQRGQRFEVFRPKHEDTKPSEWYITLYAILNLATFLGLGRRDRRRENRALEDSS LQSSSFHFSRITNYFTRRRVARKPELPGDSWRQDEPWVLLPIYKPRRQHVTQ EPUS_08568 MAEIAAAAGLATAGVGIAAMIVQGDPVDNMLILRFSDGEFDENA TKIFQSRLQNDSKFRQIAITWQTEFEKQSRTPGGAQTAGRRAKRLEMILRRSPARPAQ GSPSKQGPGSERQLKGQQGRGTQKTPGFTASQELHGPQGQSSIQGRTLSPRGHGKAD EPUS_08569 MKVRLRRPLLLLLLLSILSPGLARSPRSVKRGKGQVLVPRDSGV SKPASEQPAGPAQLNPVGTKDAPVDGKDGKPHAGPFVETEAERDRKKAKDSGDEDPAI ITSAGPAKEQADLRPTNDGVMDDPNRIGPKDGTRGTEGGVSEKSKESRVGGEKKPDPP KEAPPLPHSEQEMMNDHPSKDMEKDLEEEKKLLEKPTDLPSKPHDIPPAENPSSPKDD ILEPGRSSSDQKNKQTPLDEANGVIQPLHSLLLSFTMILFSEIGDKTFIVAALMAMRN PRMVVFTSAFCAMIVMTVLSAMLGHAVPSLIPKSITNFLAAALFLVFGIKMLFEARKI SPDEGVSEEMKEVEMELEEKEHQQRRMSRRRSSVSPYVLESGRGVRKSRAATTRLPSP PDSPSSSSSRDSSPSRQSFLSNALVGINNLLSLLLSPAWVQTFVMTFLGEWGDRSQIA TIAMAAGQDYWWVIGGALGGHFICTGAAVLGGAAIAGKVSLRVVTMGGAIAFLVFGFI YLFEAIY EPUS_08570 MPPVLPIWPRVLFGVIEPVLLLSGAYAAFTNPQEFANKQVPGTP ATIPTSANSTITVLQTGNIYLLLAGLAILCCFFSSPQVARNYLLVVACADLGHIYATY AGLGGNMFFDVANWNDMVWGHVGTSSFLFVNRVATAAGIFGSSEKGGTKRE EPUS_08571 MTAFLEPLPGLLDDPLLHLPDLPPRTDVRIPPLRDPFPSRALTV LSPLEPNAPDGKQIQGGVKLGCSKNTSQAERTTPTITPADVISARDTKKPNLAISALV ESSSTSQLPRLFTPSFVNLAAVENNSSFQSWPAEYSPSKRVKLDHEYHGDYVQLPKPQ QKEASKRIPLLPTIVNGIHEPPPSAALLPPMELEEVKAMLDSISEPLPLPPEKMLNPE PEPADTVNHHKFKSSSPTEEMDMARNISTAANHRPQRTWKKWSEEETQQLLKGVAKHG AGKWKDICADPELRFRDRRPMDLKDRFRICFPNTNKEKMEDVGPSARPDKQLTFNPGQ ARNNNVGHNLPSSTDTLSSPPSDLLPNHPSSSHSYQVPSMPLNLKVTPSDFAIAAKSR ASQRVRKLWTEEEHQNLVKGFAKHGYQWTAIRNDLELNLSHRKATDIRDKFRSLFPQQ YMDADSGPPAGRKNTTSAGGVSSKNNSMSSNSQPATGVRHINSSNPMSDKRKPSASFA PIPIAVTTTKPLHLRTDESPRDITTSGVEALEITTITTSTTQESSSAVPNLTLPPLAL GDNDWDWGDNKLAPLLDWEEFGL EPUS_08572 MLCSYCQEIFTGERNLKLDGSLRYHLYWVTDLMQGVRRRCFICS ALKRAVLANGKLNKLEGIEGLEATGGVDGFISKYSMRQGTGDTIGLTFRLITHHAVRI QLITERHMLIRPRNAKTKETVSIDLDKSTSSPGSLDMATKWYKQCRASHSTCGAISPQ LGFVPSRLIDVGHSHNSRWRILTCDDLSMRKPHDIEYMTLSHCWGGSSFLTLTSTNLE RLRQEMPVSVLPKTFQQALYVTRRLGCQLLWIDSLCIMQDSWQDWRQESCKMSGIYSN AVCNIAATGSSNPYGGLFQSRDVVVNTPCIVQTNLKDDVNHLVVITDCSLDVDQVMHA PLNQRGWVLQECLLSRRLLQFANEQIFWRCQQLDACEAQPHGVIYDPAVNIISHYGVD ELSLRRYVSQVQAGTTPSGPIRDDDHADAPSFYNLWQYVIRDYSCLSLTQTTDKLVAL SGVVDILQQASDDQYLAGLWRSHLPAALLWHRRLGSRLRPFYAHFDKRRSARAPSWSW ASLDGSIILPWRTENQDPSGAYKPLATLIAATVWEDPAAVNQYRVKGLVKLKAFLMQA TFRKTVDLLDYGKLESDALDNSIVAMEDTPLEASNISLFCAVICSRLVKNPQTHGSSP RTMYTSYEIHTRTNIPAFKLHQSVVRRRYSDFEYFRDILERESARVTIPPLPGKVFTN RFSDDVIEHRREGLQRFLYIVATHPLLQTGSKVLGSFIQGELYSSFSYSGLQGEKRGD A EPUS_08573 MPPLRSAQPPKILMLHGYTQSGQLFHAKTRALEKHLQKAFPGSI LSYPTGPLRLDPSDVPGFDSSNASDSDDVEVRGWWRRSNTANPPEYLGMENGFSEVAK TLTTEGPFDGVAGFSQGAALAAMVSSLLEGQRRKDAFQSFHSQSSLSIPFPSSFIDIQ HPPLKFCIMYSGFVAPGERYRAFYDPHVSTPSCHFIGSLDSVVEESRCQALVDAFGGG AKAQVVYHPGGHFLPSSKQFLDVLASFIDNATAAATPATQRRMKGKEESAEDLDLPF EPUS_08574 MATQQVPSAATANKDPRRALYQSYIDYCNAHDFEAMEKFYTSPI NINDEPWAPSKVTAQFKPLVEGFPDWHWEIRHFALDGNYLSLHFKVTGTHLGTFQGIA PTGRRVSTTQFTLYHLVDGKYTDVWDLTDIDSLQERHHLSDENPPITDEAKRTIKYDG MKGLQERFGDYYVGGYALGGDTGALVSAASADSLLYKKVKVVLKIHILFATIKVTVVD ELRNTKSASQHESLSGYDSLSNRFVLATSLDGSGPQKVLAAAADFASLAQSLGSRVDE KMVQAGLYENEPLTEEICEKLFTSGVVAELLLFPVAQLRDVLVWRTNTDII EPUS_08575 MYQQMTLNTTLFPAKLQSPLFAHDGKSLVSGIAKEIKFHHPRYR AEAQTFLILPACDENDTIDHEIARAACAIIACNPNDGFFTTDEAGANRVTESQLPYRE EGYFFQAPSSDQPYPVFPSFRRWYLPNPGQLPSPWNDCRIPLAPDRTVHRATDASSDI LARDKTCRMTAFALGTETAHIVPKAEKEWMYSKGRTDDGGNDFCWHGSRYVCGWDGSE HAREGGKSLCGNGWAS EPUS_08576 MATQVETTASHTVPLSLSARSQRLHPHMKPWLRRHQPAARRSQH SAPDQESESLSRDVGERGAASTRTQPKWWRIRVFRGMLDDVKRRAPYYWSDWQDAWDY RVIPATIYMYFANILPALAFSLDMFSKTDMSYGVNEVLLASVIGSIVFALAAAQPLVI VGVTGPITVFNYTVYDIVVPQGINYFAFMCWIGIWAMIMHWILAITNSCNALTYVTRF SCDIFGFYVAFIYLQKGIQVLTMQREAAGGESAFLSVTISLLVLGFAYGCGVLGESNL FQRHVRKFAEDYGTPITIIFFTGFVHYGHMKDVQVETLPVSKAFVPTTDRSWMIPFWD LSVGNIFLAMPFALLLTILFWFDHNGERPLSIGVLGASGLLSAVSSLIAQGTEFPLRK PPGFHWDIFLLGLTTFIAGILGVPFPNGLIPQAPFHTNSLCVTRQVNDSDETNKGKTS RIVDHVVEQRVSNLAQGLLTLGTMTGPFLIVLHLIPQGVLAGLFFVMGIQALVGNGIT QKMLFLAREKSLTQGSDQLARLERRSSVWIFVSIELLGFAATFAITQSIAAIGFPVFI LLLIPVRTFLMPKWFRPEELRALDAPTAGAFVMESVGGAYGEDPGSNGNGDSGLGPTE GGVQTSHPLSGQESTIDDTLERGESYELRIQGQSQSQTQRSAVGMMRRAGVAPAED EPUS_08577 MSQERPNITLYTASTPNGIKISIALEELGLPYKVENIDMFKNTQ KEPWFLEINPNGRIPAITDTFSDGKQIRLFESGSILQYLAEQYDKDHKISYPPGSREQ YEVNNWVFFQNAGLGPMQGQANHFNRYAPEKIQYGIDRYTTESRRLYGVLDKHLENSK SGFLVGDHISTADITTIGWVMSAAYTGLDIEEFPRLKAWVEMMLKRPGVDRGKDVPTK WRVTEMSKEEMKAISDWMMKSMKDDSKE EPUS_08578 MQPVTSSASHFHQSQILLVPPTTVRRETSDSDGKICFCPERCTS RLTVAPQNYSNPAQHGYWGQYLFQSDPDYDVLDELSERAGFELFFFESEEEETKARNA LDEGEFNELFDIITEEKKKRSLVLLTAVAMRVGAKVKQNQRNLIEKIYKKAHLMAGAE RQIEKALKEYENGKSWHFKESRGLMETMETMDKPDDEGFTSPFIIKPEGGQSREQSES TKEANKEEQNKTFEERATENVQKLFADPDYQKYLASTMEK EPUS_08579 MAWRNQGITGSNNIPLGTRRRFGGDDGDAQDGGYTPSSSSAGMA DSSYKRGRSPIRDSVVDGVKRRKKRNRWGDAQENKAAGLMGLPTMIMANMTTEQLEAY TLHLRIEEISQKLRINDVVPADGDRSPSPPPQYDNFGRRVNTREYRYRKRLEDERHKQ IEKAMKIIPNYHPPSDYRRPTKTQEKVYVPVNDYPEINFTFPITQVGLLIGPRGNTLK KMETESQAKIAIRGKGSVKEGKGRSDAAHTSNQEEDLHCLIMADTEEKVNKAKKLIHN VIETAASIPEGQNELKRNQLRELAALNGTLRDDENQACQNCGQIGHRKYDCPEQRNFT ANIICRICGNAGHMARDCTERQRGTDSRNEIPGGFGAPQKRLGGGDAVDREYEQLMNE LSGNPAAANGDMPPQRIEAGPGMYDQGESYGGDRDLKPWQRQPTGGAAPWQRDRNERP RDDYGSRDQGGTSARPWASGNRENDNYGGYGGPPGGGAAPWQQQQPPPPAPPGGQPAY GYGAYPGSGYDQGYGAPPAAAPPGLSSFLQYGGAPPPPPGDAPPPPPPSGDAPPPPPS DYPPPPPPS EPUS_08580 MAFFATSTSTSTSTSTSTSTSTSTSTSTSTSTSTSTSTSTSTST STSTSTSTSTSTSTSTSTSTSTSTWTTVSTAPRTRLLRVAMAVRRTSPA EPUS_08581 MSRAPPKPSPTDPSTSQGRNEYIPSYISKKPFYVDNNLSDADYL EHQRIQSLPQDTLSQSKWYDRGRKLGPAATKFRKGACENCGAMTHKTKECLSRPRKQG AKWTGRDIQADEVVEDVNLGWDAKRDRWNGYDAREYSAVVKEYEELEALKKATASTKG QAQIENGEDAEETINDGDPEIESAKYAEETDMGRNQPTSTRNLRIREDTAKYLLNLDL ESAKYDPKTRSMADVGTSAKAGGENAASSLLADENFMRSSGDAAEFEKAQRYAWETQE KSTAGAKKLHLQANPTEGEILRKKQTEAAEKKRESSRKALLEKYGGSEHLQPAPPFIA AENERYVEYDESGGIKGEVKKIASSKYKEDVLINNHTSVWGSWWSRFQWGYACCHSLV KNSYCTGEEGKKAFEMAERLKGGDLLLLENGNPTAESRGGGKEEDQEAHHVDGNGKQR AGKNGEEAEAEAEAATAEPKTTAKKRTLQEMREGVTEEELEAFKRNRATADDPMAKFL GRDELVH EPUS_09357 METLAAVSLAGNIVNFLDFGLKIVSKGREIYHAIDGAFSEHNDL EVVTRDLLLLQTQMEQSLVTTSAAADLGGHDVDLVKLLESSNKLARNLLECLNKAKAL GRFRRWKSLRQAVKSVSSKGEVDDMANRLSMYRAQFQGRVLSSLSTRINQAADDLKAQ IACSRNIRKMLEDFGVSFKSVSAKIDNLSSKFAGGRDRCIEIDTHMPLNFALGGPERT LRSVLCSKGDRDIEKTILKTLEYEQMEVRRQSIPEAHAKTFRWILDGLVDDPDVWSGR LPLIRVDFFFWSLGTPLQKSQSGLLMSLLRQLLGKRPDLIPTVLPELWRHVSDMDHIT HHSLETSSALNQYRWTFADLSSTFDAMMCHLMRDGKVVIFIDGLDEFDGDHGEITEIF NHYATLHDSNIKICVSSRPLMPFEYSFKGCKHLRLQDLTARDIRTYITDKLNCHRHFE ALATTSPNQAYSFIGEVAAKSSGVFLWVYLIVKSLLTGLTNHDSIDDLARRLEALPPE LDALYRAMLRSINPPFYRGQASQLLQIAYQHKDMMSSLALSYADDPDSAFALKLPIGP LSLQQVDTRIEAISYRVQSRCQGLLEVHKFKACEKAPANPDTPFRWDEVRYLHLSLRD YLEKPDIWGDLMGWTARTEFDANLACARSLLLRVKTSACYYGWGFYIWNLLDRAIQFT CKAELSTGHAHVDLVDEIHKVATDLLPPGRSLPWFTDDRGMHAEFCQQTCTCLSITFV QYAILQGLTHYVRRKFSDIQFLQSDSASSFLDIATGLSLSLEDKTEKAYSPSSDGRKG TRKHIRRLLGLNGSCDNRPVPSMVKMLLELGLDPNEKRGGSTPWLNLLNHLETLRTQK SHLDSVWIDICKLYILFGADLNGIRRWDKLLGERLPIGRRIKDLFCDTSSPSAEELFL LVDRESQRASKKRKRPCSPSGMPLEERITRIHDRNSLPGSQKRQKANRGRKRSRI EPUS_09358 MISSGLSPIAASWEHFQSRSDNVLANLQIVSEAITYQPDLGSAL PKMIEDFTDKMPQIRSLIENSTEAGSEQDWLLKVAEEQKKALSNGDKRLQDQRERLQD VERELLDMKNSLQEVKVQKEKLDAEMSTLRTIIADYRKTKQDLETENMQTASYSAAER KRLEKELHDLRNTTTTELQQLRDTTNEELCQLRTVTEVELRGLRETAANDINQLREAA DREIADQKVESDTRMQVLDTCEKNYEIIRQDLQNREREPQRKNESELKRLQEEIRTEK EQLTKGLLQPKRAFRSGKTK EPUS_09359 MIGGNQVAGSAEFFAMLSQSCDRMYVSHSKEETAALRKKITHLN KEIGRLKECEAHVQRLSQEKNNAESDEEQVEHEKLTIKGEVFTLTGETAALRGELHKL QDDLSDSRTDHAEVLRRVTASTTVKDAEITRLRRDLETEKSGNCTDSLHEELHRTLGF LDNEKANDFIHLHREIRRLRAQLTSEKKANRKSLEKDLAVLQNELKFGADRYRTNDLA QQVAQLQLDLGKESVANRAWREQYHEHELRAERHPGRNQLETQTRNDHTVALSQQVDP LRTDLEDRTAADRELRTQQVECERTAQATASQYQSLQSEFDSLRAQFENHVKTNKATS LRQQIEKLQGPNEGDQRQ EPUS_00845 MASPQALQREDYQIGVICALAIEQAAVIATLDGDEHPGLTPMSG DDNQYTFGRIKDHNIVIACLPAGSTGTANACNSEENDVHLGDVVVSQPKGQFGGVVQY DFGKTGEDGKFKRTSHLNEPPKVLLHSLQKLQTMHFRKGSNLREILDAMFEKEPHMVK RFGHPGTEHDQLFNASYDHESGPTKCDRCDPLKVPEDWEARETSDPRIHYGTIASANQ VMRHGPTRDRVAADLGAICFEMEAAGLMNNFPCLVIRGICDYADTHKNKKWQGYAAAS AAAFAKELLSFIPKQEVVKMAPAYKNEHWIVPRGSSTHFTGRAIVIEEVQERLCNPAR ELESKQRRFVIVGMGGIGKSEICLKVAENIRESFWGVFWIDVSSTANAERGYNDTGRT CGLPEPTQQEVRTWLAAAKHKWLLILDNADDPETDYAQYFPSGNRGCIILTTRNHQCQ VHSNVGYRELDTLDRSEAIGLLLKTTHVEPSLWESHETIAGKVVDVLGSHTLAVVHAG AFIQQGLCSLEDYPAHFVQQRQRLLQFRPTQARSEYGDVYATFEVSAKHLEESAHHGT KIALALLRFLAFVHLDNVPVSIFERAWKKAQAILFYESQEKSDQRTDLTKWHVLSLAA FMQSLPDDKSRRGGHRSFSRFLKGMRPKKWRRYSKSPFDNEFDTISLDQACAALASLS IISIDKTTHTLTIHPLVHAWAMDRLEISERDKARISTGCILAMSLQGLMYEPFWSQLE AHVESYLHTWPLDSSVVSFEVIQCLYNLAFCLGVTHLSPPQAELILMLPLEHESLKVR PKADIDVCCMLVTCYMILEKFSEAKTLLEKKIQAYNTLFEAGHDIMFSLHITLGRLLR ISEKTDDAIQLYEEILLHPRLPRGQRARVLVGLGRMYSQFREAKKGILLLEEVVQIHE SKLEPEHLDRLWSQHDLAEAYYKLREGQKAIAILEEVVQIGKRIYRTESMDLLVSQEL LGRAYILIGEVVKGIELVQWVMHVQATTLQPDSPYRLSTLHHLAEAYIDTGEVAKGIK MLEEVVQIRKRTVRAEHVNRLASEHALGVAYVKVGEITKGIQLLEGVVHVEENTIPKD DPNRYLSLQELGDAYLKAEQVDEAMELLTEVVQIERVRLEEDDLSRLTSLYKLAKAYL RAGQANKAIELLTEVVQIERVRLEEDDPIRLLTLYKLAKAHLRAGQANKAIELLTEVV QIERIKLEEDDPSRLLSLHYLARAYLDAGQVNEAIRSVTEVVQIESATLESDDPSRLE SEELLAEAVRAKENGLQDATLGQPSDLTIDPCLSGESEETQHISNALVLHDSSILACS NGQS EPUS_00846 MLREVKPRTARTARILKSRDAKPIEDRKKTLLLHGPKCPPPLHS VLKTIHTLTRPHSVLLTKKNENIHPFENVDSLEFLAGKNECGLVVWGSSSKKRPNGVT ILRIFDGRVLDMVELLLLPADSGGEGRKLPVGVEMKPMILFAGSVWGDTSTSEMAQTY SMVKSLFLDVFSGEEVRSIDVEGLQYLLMIAAGEPENGQNPMVHLRWYKVRTRRSGQK LPRVELDEVGPTFDFRVGRTKEADAAVMKEAMKKGRGLDEDFRSKQKNVGMDTVGDKV GRVHLSRQDLGQLQTRKMKGLKRGAALTGEKDMADGMEIDEVSEDEPAKKPRLA EPUS_00847 MPNTPFNQAQQEGIIAALFRREDNKSIIENHHVSERQLRRITHN LKTHGTFHAPSTKKMGRPTCLNKEVEEDLRQYVAAQPLALLVDMQKYVQEKYNIKCSR ASLSRRIREMGYTRGIIRRGFRSEDQQVPQISPADMLRIMGDPSPDDDMMNLPPNAKR ARYAWLLDGEKPAKKVKKPKKKDTDTDAAQEDAEAVGPVDPALEQSQPAQQPQQPQGQ SQNHAQPLQSNGYTPMYISPFRQVTASGGLVISPHPLDSNRNIGQSLSPAGSMSVSMP SHV EPUS_00848 MATLAPRAAPFNLPVAQVNAFPSSALKPRPVLKRTRDESADMEV PSSPSKRSRVTFDSDVEYVSADDDDEVDPQLIREQVRRAIQRHLSGGDDEAYENVKQI FLADPKKENAPSPRAVHAHLQAVLAHISSLDKSCNGLVNAILSCEWVGRDENFVKLYI NVLGNLAAAKSGYLSKILHTLVDLLGEQRTRRLLGTRIVRQARIHSRGRRAIAYLLNL VPAASSTLAQVIQRKLTMDYRKPQERLLYMKNFLALLEHAQELKGAVLGMITSELVKL DVSVQVDMEDYADELEEEIVQEVSSSQTLVDSASQLSLNKAIEEEGEDEEDGGSTTSD SSADEEDELGPVEVQRRRVTAHLETVDAVMNLLFEYYNPLVLASNLAVSDDAMNLLTT HFSNIILPTHRSRHAQFLIFHFSQTSSVFVDKFATTCIATLLDHNQPPILRQTAGSYL AGFVGRGAHVPLPVIQDGFQLLCDELESLRKELEPSCRGPDLRRYTSFYSLMQAIMYI FCFRWRDLATHREEEDSDDEQEVEEYQFPDDIKRKLTQAVNSALNPLRICTPDIVHQF AETCRRLHFLYLFPKVESNKHVRLSNTRRDFTDSAIGQVERDLGWVGESGMLEGYFPF DPYRLPRSRKWIVDDYLEWKGLPGDEVENDDDDDDATDDEMINEESHDDGEETATEED A EPUS_00849 MRAKRSKKYRKIMQQYNLTFGFREPYQVLVDSHHLRLLHAFKMP LHRYLEKTLHGKVKPFITKCTLASILGTTDLHTSRRPDFLPPPLELPLRHCSHGEIEE GNGGGVLPEEECLLDLLSGGVTGNQQPKNKQHFVLATADAPGRNDESRKREVLGKVRR PVSSSMDEGIRMQAREIPGVPIIYVKRSVMILEELSGASLGVRRREEKDKFRDGLLGM ESRKRKRDEDEGGDNGDNKENGGEVEAAAPKKKKAKGIKGPNPLSVLKKKSKPMIQPR GALTDKPTKSVPPKVRDASTEEGQPKAKRRRKHGKKGKSDAAEGADKHDILEVANGVE EG EPUS_00850 MAKKPAASSASTSSRPSSKQPSSSTTTTNTSSAQSTTKSTPPSS SLSSASNSLSSKSSFQAIALHIWNDYLQNTPPRTFLLDAFMAFLVVIGGLQFLYAILF GNYPFNAFLSGFCAAVGQFVLTASLRMQTVEKLPQGASADARKAAAAAAKRNEGSGGE AGAEVDGGAPRVSSERAFADYVFGSLILHGFCVNFIN EPUS_00851 MASLEKHYPLPDAEPTHLDDGSSSNDSGYNGLDLPSTVPPSELT VEKQTPAQEPPPNGGFNAWLQVLGSFFLFFNSWGTVNAFGVFQTYYETNLLQDESPSN VSWIGSIQAFLLLIVGVITGPAYDAGYFRTLICLGTFLIPFGFMMTSLSTEYWQIMLA QAVCIGLGNGCLFVPSIAILPQYFSTRKALANGIAASGSSLGGVIYPIVFRQLYPRIG FPWATRVLGFISLFTTLISVAVMRVRVMPKQKRALLELSAFKELPYTIFCIAMFFGFI GFYGPVYYLQPYAINMGITNENLGFYLLPILNAASVAGRILPNFLTDRAGPLNILIPA SLASGILALCWIGIKNLPGILVFAILYGFFSGGFVSLPPVALISLTPDLRTLGTRMGQ AFFVASLGLLIGSPVVGEIVNQTGSYLGLQLFSGLTVFLTGIMLIYTRFIMVGLKVKI KV EPUS_00852 MSDYGGDDDAGLDAGDDFDTYDPEEPPEDFGPDVDETALEEEVA AREAQHGDTQNKTVVTGDASAGQKKPTSENDKKIPDDKRSTTPYMTKYERARVLGTRA LQISRNAPTLVDLEGETDPLQIAIKELNQKKMPLIVRRYLPDGWYEDWTCEELL EPUS_00853 MKRKRSFAKEDVGMSEESDFDSDNDPETPITEPQTPIAGGRKIL PCPYQGCAKSFNRQARLTEHIRSHTNERPFTCPEKNCDKAFLRDSHLKHHIKSAHTNE RDYSCTWANCGKKFATGTRLRRHESSHQTKEKYTCKGFDGCNETFRKHATLSRHILAV HENKKPFPCTEVDAESGEQCHLGFDTAEKLRSHKRAIHDYTRFVCMDCPPLDDDLATQ VSFATYALLQAHIAEIHPPTCPQCCLLCASEKDLRRHLELIHNVVDSDVDKPIDPSFP CTYPNCTRSFTKRGNLNVHVKTVHEHRKEFVCGQTELKLPHETLPNQDSELATASEIA GCGRHFTSKATLEEHVRTVHLGLSSKRQERNRKRKAEKTAYDDYEGAGSSTRTKRRRK APQPTSAIAALTGSANLALAAAYEADPRIGNPFDALAYGTAYNYGVQQGFDNFPMGNV PEEEKQSESSWSPDLDNLAASALVDPLLL EPUS_00854 MESIKDIINSIVLNPDLAPLLTIIKSARNGAVYGAKVRFPHALV MVFLFRSGTFREKIYLILKATRQHARNLASFAVIYKSSMLLLRALNPLRPGKEGPYDT FLAGLLGGYTVFGRGKQGSVNQQIVIYIFARVMLALAKLSIQPRRTRSSSSPTFSLTH PFLSRSAREKIQTGAWPVFASLSWALVMYIFRWYPDTIQPSLRSSMKYM EPUS_00855 MTIHTHKNTDSTSIASESPTVQLPAYSESDAHSNAGYEMVDPPH SADQSTYMTAEEEKAYLGSRSDQEAPTLQRHAQIEGPHEGNAQSRITSPTPLDIHEQS QSSGPSAALGSRGLQVPSSRAKVSSGFPYPDMLNSYGVSPKEWSTFTSEITRAAQLSS KDWTITVGASVATFLASGIFINWLGLIPAVVVGHHLRKSTEHKNLRAARDSGDLEAKL LKWNQTSFAPRGFLVRLDLPGDELSDLTGQDVFTPKKWAGCCGGGRHTRARSCGGGNK WAGKTEKRAQYLQRKAAKRGRIVIVPLDGVNRSMPGSSANVEKTMDQNPLSESEKGLV AIREV EPUS_00856 MASPNRSRVPFWLRDDQEYDMGEVVGEGRFARVLKAKEKVTGKV LAVKVFKKGGPIDVEVSARWIAKHEANMLDCARGGPYILAAGSARNLKNGQKMVEIPM DFYKDSLVALMNRTRDTRGLNNEDGCIKVPSYYNTVLEQMLQALEYLHSSDVSIVHRD IRPENILCNDDRFFVLADFGHARHGDNNVTECNGAYEYMPPEMYVSERQTYAADIWSL GVLGLDMLSMVPYVHDRDRTFEKMINRKWLNSIVAIAKHSDKPELEKMVQIRPRERST ASGVLRFLRNSPSTQIHDYPANVFLLYLMFRELDQFKNTDPRVVRTIAYGFEESTAQA RMVRSMGATLAEASPSSSSEGTSSTEPAGVYSSSSPLPEEIHQVLAASGLAASPGLSV IHKMARESNIPSDPPALAHKIDSLWQASTVNWVMDTPKQPRPPTKLTPGILQALVKRD TTGPRPPPTPRSARRSRGGSLNPGSRPIRWTLKLDRETSTSRISEKHGGTDLKSNLHA GGTFRAFAKGDSRGTHQRTHKTAAEEVTKSGGAASSVDERQAPVRTGMAEALEAVRAS EAAQEEQRKKTQARTPQATGQARPSKAAEKEQQKSSPKKEPPAPKPKKQEQSSSSSSS SKKGPDAPPVAAEKEREREPHASKEAKAAQAARSTQSASSGNLPPLTPPKSVNRSGAT ESRLSSPFRQPSTSTALRPTSSTLRFASSDSVESKTPETNQSSGSIQSVSSPGLNPNA TFWFPQSETWPPKQVDSALATKSQASTNLPPPAARSIESQQSGLSEVSSLASTPRLLE VGGEHEAKHDNDDGGWQQPRRRGRRGQRRSTSDAQTQDRSQGRHSRSPSRTVPTTATA KADNRQDEAPSSRLPAREGSESAVFRPR EPUS_00857 MEYPPQYQQTPHPQPSHAPHMQGGYQTSSQNAGAQVGSITSPTG PPSHMQQSHQTQASPILPSQAQTHYQSQPQSNQMHQTMGYPQYGVPGGMSQGYGISPG QAAAMAMAAASGQSQYQMPHDMSRMQGGPQGQVKSERGPRSPTQQMPSLPSTVAMPQG PPMNQQQRRMSQHMNNSPHNQVSQPVMNHVPRPSVPPSQMPPPQQPVQQTQPSPELVA GGAEESPLYVNAKQFHRILKRRVARQKLEEQLRLTSKGRKPYLHESRHNHAMRRPRGP GGRFLTADEVAAMEKGGAAPGGDENNQTPAAKASAAPTSGQKRKAPSSADDENMPMKK SKIASESADDSDDGEGDDDEDES EPUS_00858 MTSTLPAPPTPAPNGDASTSPIARQIPTRAASLTASLPPEGSIL TGKQEHYLKRELISRQVHAEIQELNTPTALQRFGAPFRSDFGEVSPVDSELPILRYIF VNHVRKFPFLDQAKEKEFWQNKLQVFLESFATKNISSSEDRLEETKRRKLAVKCEKLV ELMMVSGIPTASGYEERIRFSEMEVVDRGANEQGLLINTPDGHMINGWDINVAGVRTT SVKRTIRYHQHAEFLIRVRTPGKDDFYVGRRYGEFAKLHKRLRTELPGKVLPPLPRKN KSSTHSAFLSVGGAADDDDSSISSVSTQDTRHTDETGSLRNLVGVNQKRVTSRTSLVG KSSRGNSPRPSTDNDPEVVHLYREDQRVSLRAFLRTILQNPQVAETKAISDFLTLRPI KTNEEELLDIERRKDMDAKRIEEQKRFYEIARQRAQELDVYMEQFRRDIVENNGLTKL FQEIRTKEKIEDLDVEYQKFAEWLRIEVAATIYHLFLAEDNSPELFAQAKRIHSLLPY GLMKNVIRIANPAAVMSGVLDLFLAQPFGSRSLLQRILTMTLNDGIRSFQKSIDSLQS KIDDPVLTAKLKRFVDADENTKNFLRNEASVEDVDLVVVILRSDQFPPELAAEQIGKV FNAYVAWNSAIDNVDEEMKQGAELFAHLKQLLKLLTRQRDKTMMLNMIEEPTTLQLFR DLFTIFYEPLIRVYKSANVYNSITDFADFAGDAISVVERAQMQDVSADPNQTVQSFID LCARHEHNFYKFVHEVHIHDNGLFAALMGWLENILEFLRHGPKGGKLDMNALFQGAVD MGQINKNRAVAEIDALVKWQEERKKWHNNKTRQKMAAEGTGGRESMPGSATFKSSDFG LNAMDLEELKYDDEDEDESTDEEEELDGLDPIAAERKRRMKAQDRLRRTAGEPVKPPV SEVLKLHPSFLAMLRMVLAE EPUS_00859 MDIPSHPTLATNVSRVGSKQLPSTGHMEAVKAVPKAVTGLERGY LEALRGNVIARQDYNTLLEKSAQQPSSPRVDAQGTRLSEHVELLRLKRRNEELHILKN YMIKLKDTAPAKPDFLDFTTYPRHELDFHLSAHPHAGTSGDQADDSVATVMRQLEVAV ISAQYQVTREHRLLAEVEQDVVAVPASVREKNRSRALAATRNELVAWIEEKLSSSQSS DKGTVDHSLHGEQTESPISQIESEIMEKYDDYLKMRRRMLDLISDLTNSTQHPPPEAQ RSDVHQDSPNQPAQPPTPSHLPFIRTQIQHPTQLNQFQRQQSTYLANLVKQEHNKTTS ELSRLADESHLLPTYPMLSQQNRLSHTAAGITSNSLSGDDMASDEADEVNRRMKAWAF AADASRKAMKEIMDVHLERGGEAIEEGKRWVERLRELAGDDNELGPQLSGKGSRDDDK DDEEDEDVWALEAAVGTLASRKRTLKGSKDPWAGFQGDVGLRTDT EPUS_00860 MATPARFGRHSSFLLTRRCVSVSQSASRSLSTQSITTTRTPHLT LQKKPQWQQTKKFSRSMRRHAAVQSAPSAEAYLASGAVEPGKNLVDVKKVLVIGSGGL SIGQAGEFDYSGSQALKALKEAGVASVLINPNIATIQTDHKLANEVYYLPVTPEYVTY VIEREKPDGILLTFGGQTGLNLGVKMNRMGIFERYGVKVLGTSIRTLEVSEDRDLFAK ALKEIDIPIAESIAVGTVDEALEAAEIVGYPIIVRSAYALGGLGSGFANNAEELSNLS SRSLTLSPQILVEKSLKGWKEVEYEVVRDADNNCITVCNMENFDPLGIHTGDSIVVAP SQTLSDEEYHMLRTAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSSALA SKATGYPLAYTAAKIGLGHTLPELPNAVTKTTTANFEPSLDYIVTKIPRWDLAKFQHV KRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPKYIGFQGDKFDDLDETLKNPTDRR WLAVGQAMLHEDYSVDRVHELTKIDKWFLYKLQNLVDCQREMEEIGSLFGIKHELMSK AKKMGFSDKQIALAVGSTEDEVRARRKSFGIRPWVKKIDTLAAEFPADTNYLYTTYNA TSHDVTFDDHGTIILGSGVYRIGSSVEFDWCAVNATLSLRKMGKKTVMINYNPETYST DFDTADKLYFEELSYERVMDIYELESAVGVVVSVGGQLPQNIALRLQETGGAKILGTD PKDIDKAEDRHKFSQILDSIGVDQPAWKELSSVNEAESFAAEVGYPVLVRPSYVLSGA AMSVINSQDELKDKLISASAVSPDHPVVITKFIEGAQEIDVDAVASNGKLILHAVSEP VEAAGVHSGDATLVLPPANLSPEIVSRVKEIAGKVAKAWNITGPFNMQIIKADAPNQE EPAALKVIECNLRASRSFPFVSKVLGTNFIDVATKALVGRDVPEPVDLMAQSRDYLAT KVPQFSWTRLAGADPFLGVEMSSTGEIASFGKDLTEAYWASLQSTMNFRVPEPGEGIL LGGSTELPELPQIVTYLQPLGYKFFAASADVKRHLEQGGDGKVEIEVIEFPTTDKNAL RKVFQKYDIRGVFNIAKSRAKTLLDEDYVMRRNAVDFGVPLFMEPKTALLFAQCMNAR LPRKEGIPPEVRSWSEFCGRKMM EPUS_00861 MGFLGIYTALYDYQPQGEGELEIQEGEVLFLLEKGEDDWWKAKK KAADDEDEEPVGLVPANYLEAAKPAGFAKALYDYSRQTDEEVSFAEDAQLTVFDTSDP DWILVGLKEDYGFAPSNYIEISESDPARSPVSPPSSAPPIARVTAEPEPEESPRASTP TSSLGPAASLAKVLGGGAPAASRSVSGADAPSHRQRTPEPSDEEQPAPALPRRPPSQQ LSPPLNQHTSPQKDDPPGVIESPPYNRTTHREARERSQVRSPGGYHLYNINEMVSAMG KRKKMPTTLGLNAATGTIMVSPEKSRDGPSQEWTADKMTHYSIEGKHIFLELIRPTKS LDLHAGAKDTAEEIVSVLGEMAGAVRGEGLREVMAAASGAAGTKKGHMLYEFMAQGDD EVTVAAGDDVIILDDTKSEEWWMVRRLKNGNEGVVPSSYVEVTGTTSAEPVSRSGINA GRSTVEQNRLDEERLAKEAAKRQKQRTSEADFNDEPPYIRGVEVAPGMKLPDRGSSLT SDDPGRRDKRPSRSDGKSSKPKPDPAKTRTWTDRSGSFKVEAQFIGLSGGKIHLHKAN GVKIAVPITKMAPEDLAYVEKAAGVSLDEEKPLGDLQRKKRSENEAERARVSSSGASV EPSKRPEYDWFDFFLKAGVGPHLCERYAQNMNKDSMDESNLPDITPDVLRTLGLKEGD MLRVMKHLDTLFGRVSGKSNVRTGDGEGTGTNGSAGGLFSGAGGTLRNNTRKGRPESN RVVSDVVDAKAFEQTGDRLKSPPLESKATPLTAAPSRDKVQSGFDDDAWEVKPSKEAA STVQPTPTTKTPSSTPAQPQLTGAMAELSLLSPPLQPTVASPQPPPQLVQAQASQQQP TQPAVPATAPQQPPQPTGASPSFFGQLNPPQAGLPGPQPSSSQPMSMQPQNSNFLPMP QLNAPRQRPQAPQQPLSPGSLLPPPPRPLSAPQNFQPQNQFGPPPLQPQLTGISYASV LQAPAGQSLNDLSPQGGQQFSQPHHLQSQPTGFSQQGPGFASFSSGLMPQQTGFQPQG QPISGQQQLPYINGNAAGSPFADPRPQFQPQPTGFGSFNQQQGLPPSGINSVLPTPLQ PQPTGFQPQPQQTGFLQPQQTGYPQQQQPTGPAFPQPQQAGFQQPQQASFGQSQPNGF GGPSSSSFQAPPMPPMPPMPQQPPIPAPLQPQKTGPPPPVRFGVSDAAKKLTPQPTGR RANLAHASKSIPTSSRHVDCYA EPUS_00862 MRKLLCYLLVVSSAYASETVFSVNDDVLAYPQYEIVFSQTYISD AEADQKLRKVGQSQGELAGYHSIEEEGDYGHSGEPQEDYERLVLKGRPFLCSLPRIED LTRDEKPETASEADQEKDLVAAADRGWELLQDLDGKCMFFGSGWWSYSFCYNSQIRQF HQLPPGSGAPIYPPQEDPSTPSYVLGRFGDKKADRRESGTKNSVTTELQIKGETRYLV QKLGGGTTCDLTGKDRRIEVQFHCHPQPTDRIGWIKEVATCTYLMIIYTPRLCNDVAF LPPRQNKAHTVACREILKPDEVAGWVRRKSVEAERSLVNQGREAPLKVGNVEIGAMKE VGREGRRIQKGKVVSPPEEKVEMIAMQKNGKLERLSKEDLRKLDLNPETVEAFRKEIQ DLAGNKDWKVEKVDDDRGVTQLRGIVASDNDAQGVDDSTGDKDEPSNKGSEEGYKEDI EPUS_00863 MTSKSFSLAAKGLKINTADDLQSHVASLIESKDVTHIDLSGNTF GVPACKALASILSSKSSTLQSIDLHDIFTSRLLEEIPPALSSLLNALLECERLHTIDL SDNAFGLNTKDPLVDFLSKHTPLQHLILNNNGMGPIAGTSIANALTSLAARKAEARKE GREAPDLESIVCGRNRLENGSMGAWAKAYEAHKSGIKSVKMTQNGIRQEGISHLLRSG LSHCKDLEVLDMQDNTFTLMGAGALSEVVPSWTKLRELGVGDDLLGASGSIKLFEALA KGDTKSVEVLRLQYNDITSQGVKSLLQAAKHSMPKLRRVELNGNKFDEDDLSIGALAE LLGERRDAEGKDDDPEDFWGLDELDELEEESSDEEDEAAEEEEKLEERILETADEVDN EPVAQRKDPDVDELAGALEKTEI EPUS_00864 MICSSCRRTLLSHLPGRVNSTPPIRYTSTTPSTSPAPRQPPPNI ALPTAKTTSSAISSNSPGISQPLSTPMLPSTSSASRPNQAKPSKQQKLVGSIPGGTPL QGLGYLKAKPTIVAKEDDEYPDWLWTLLEPEAGAATKGEKSAADIAALPRAARDKYTK KQAKLLKNVPKPIPLHEQSKDLTRPGESAVVSMQRRQEVVRSSRNARRKAIKEENFLR GL EPUS_00865 MGFFSKLKHKSNEAASRTNAEKNKSVAKSSRAPWRTRATATGNY VDDKIQAHRHKNQAELESHKMRV EPUS_00866 MSNNAASHKPRRKKKSTSGISRTETNADLVSIDEQTHAAKPEFP LVAFLWPTRGTTSQWLLVPLILIIVGLFRWTVGLWGYSGLGKPPMHGDFEAQRHWMEI TNHLPMSLWYFHDLEYWGLDYPPLTAYHSWLLGKIGSFIEPSWFALVSSRGLETQLLK VYMRATVIVSEYLVYVPAAIIFLRRYGRAQGVGATSQSVALVAFLMQPATILVDHGHF QYNTVMLGFAIAALSSIYAGRLMWSCIFFVAALGYKQMALYYSPIIFAYLLGSCVTPR MRIGRLLGITTITIVSLVALYIPLIIGSLSNANRGVLLTNIAAPPLLDILPFKIHPKS AYYMPLLQVTQSLHRIFPFSRGLFEDKVANFWCALNTVLKIRQHIEPVVPLPRLSLYL TFMGILGPMLLIGAVPRPNLLPYALASSAWAFYLFSFQVHEKSVLLPLLPMTLLLGSR DGLTKETRAWVGWANMVGTWTLYPLLKRDGLRTPYFVITLLWAYLLGLPPTSGAIYYD HANQNEPGKERQPEDLYTGTKILHGEYYMAMMIWHGLEAFIPPPEGKPDLWVVVNVCL GAFAFGICYLWCTWKLVLGTNILDGYFGHRRKIEEKGADSRGRKSEKKTQ EPUS_00867 MSKSKTKSSKGATAPENSVLNKVKDAAVTKPAQTPKAKSKEIAK QVAAKEEKKSKHKKVKEPTPEPESDSEESASSASDVASESESESEAEAPAKKTTTNGD ASARAKVAQKDEASDADSDSSESSDDEPATSGVLGTASAVTEADQESDEDSDESEVAA APAAVNGKSKPAAASSDESESSDSDEESDDEQPKTAKKALSAEQGTKKSTPVTPQKAS DENSIDSEDEEDEDSEESSDSSDDEEIEPKRATSKRKAEEEATPAAKKAKAGADASGG QGKNLFVGSLSWNVDEQWLTSEFEEFGELTGVRIITDRDTGRSKGFGYVEFVNAADAA AAHAAKQGADLDGRKINVDFATSRTSGDQKDRTQSRAKAYGDQTSEPTDTLWIGNISF QVDQDQLSTAFQDYGTILGVRLPTDRETGALKGFGYVTYSSADEAKAAMDAMQGADLA GRSLRLDFSQPRPNNGDSPARGGRGRGRGGFSDRGRGGRGGARGGRGGTTNRGGFGDF SGKKTTF EPUS_00868 MSPAPSLARRLRSEDSWVEISSQPSSSSLSSAGGDNEIVTTGLQ IRHDSNLHRQHRRRRLHPGLAGGIRLAGRPSSAAGSSQDEYDESESESDRVLSSSNED ITTRNMLGQGLPEADEVEDDDSTALGTRTTIPGEKIFTPQPNAFSHPPSSQTSRHGTG SRPASGSYFPPTSSSTAPAGTRLPSNQVITPRNIHQSRARLQPPQTPGSTGPCHVTGP SHSYQPDHDAALRASLSTLLSCAAAVRGSPKQQDSSSRAEIRHYSEPTALRLVHESEL FGPNNNQGQAALPTRKPRANSSPSSTSFSSKPLAKRKARESSKDRHAKKSRATKTVAG SMDDVVISPVLMSWMISAGVVLVFSAISFSVGYAWGKEVGRFEGSMSVGGEGASCGKE AMRGTTGGLRRLRWGTASSSVRA EPUS_00869 MAEIVTEPSSGTNGKSTYQMDEHADSEAALRRVETAGNLSISPE LFEKLYLSPKNEVSNNLRTTFGNPSPLAVVGFILSLTPLSCALLGWRGSGGLGAAENG AYYFFGGLLMFTGGLLEFVLGNTFPFVVFCSYGAYWFTFGATLTPYYNAYGAYSPDDP AAGLTDPVFLSTFAFFLLWMGVLSFIYLIASLRTNILFFLIFLTLCPMYCCLAAGDWQ AAQGNAEAALTLQHAGGAMAFMSCLIGWYDFLVLVLLAVDFPLNLPVGDLSHIIKGAG QTGGRRLRVKISRV EPUS_00870 MRLRFKRQDSANSCDAGKLFYRCSIGAYAGCCSTNPCDTGICGD DSDGDRTTATSSSPSTTDSTPSLTATASKSSVATPPTSTITRTGSLEPPSTSSTIPPT STSTSAPSSSTLQPSNGSQAPIGAIVGGVLGGLAALALLAALLWFCLQRQSKLRIKIR LDKKIDETREKELLEAEAAILEREKFLDAAKHRAEPEAQSGDPFAEYGGFNRDNNNTA TSRPADYRHQQPTRPLDSVSMVTPSQGPPPTIITTPAHPSTSLAMQQNSMPLSSISEK ENTESIPILDGNEIILSELAVDTNQAALDQQAPAHNGMVSTQTVLHTDRLATSRGRQV TSSARILRAHSTDFSSISSTSNTNSYANTASPVSPTQLKDSGAPDGVVMRSNLNGSWN RRARQGSMRKHVMSFMEYDTENDSLSSSRRSSLRVPVKAFQAGDQGR EPUS_00871 MSRGSTRMRGRMAGMRRWDGRSRITTDWFGLHNDTELNFSDGDI LVFLHEPGKARRRVSFRLHAEYLATTGFQSLVDQAVVAPTPKHWAMPASPSGELSVTV RELYLPPPNNADRDAIAQHNLATRNFFAWLYDRPLAGKTLGASMVDAMTKAYTYRPNH AELINRDMLAYLDRRGYMDFRECVDHALAVLQLAESCRMESLWVNAFSHCVGMHHGLR ASIEYNRVSRVTKAMIIRTRLEMDIRLDGVAKSMTTFFDYDVSGSSLGFGRIAKDHMD RFRSFLHGHYIESHGFWPPSGFAASFALRRSLYSSMYMEFRALYQYLVDTQANPALPI AKPSQSGIWTFQIIRAFDAQCKLETLPHPLPLLPQPVRHSAPLGFTIKKRRMEREARQ IALIKSLGDASNRNPDLMKSRLVRSYSQFEKQTVLDGFDPVDPIEGRKVRWILIYAIF QTLASVISAPKEVTDTDGLSYPLCCQRPKVMPWVVEEDESAAIIPVASRSTKSKDDFR DGFQGPNHEPRGDGTMITCQGDVSLPSFTTFSSQVEVHIASSAPSSPSNSTASKSSLA PSLLRPSQNLEESPGDTATISKLTLPTRRKFVSFQQIVPDKCDNKLSQTIGNRVPPSA IGQSDVNRSSAEKVINNSLFIRTAASPPPYENPPSPSNRPPSYVAPRNFTPSLVPFTT PSPPSRAESPTLPTLPSTPSSSRDSSHNSAFSCIASKSSTPSDGQHLDHNSTQNSNTA TAASAPIPIPTAESVDFPKVNEKKVVKPRERPNISAKRSPSSFPSAPCTTAPNKALPQ LPSKLVRSTVSGPEKYLSLPSVTGSGQIGMPKALLGSTSNTSSNTSVNITKEETETI EPUS_00872 MQPKRDLELLASPFCSLSRQQDFNIWLKFRLHHQQPITVTTTGS ISDPAATFTKGRIEILDAETGEMHKHQPNISDGFAKRYPGKYPAQPDVPAQSDPIEVN LLGKNAPSFTTRPALPLPPPVTASLSTSTPTCSLTGNPPSPISLDWKLAPTTGPEPSA RSNRENEATTSASRSATRRENGRRISPPSNQLSQEEDEDEEEKGDDPPDEEVLLRLRD GNPVRQSYTFTVNPKQNGLVNAETWNLVSGKDLRADAEEVPVGYGLYEDAVVLDEARL RARLGGETRVQFPVGRLGSSSALRRCVIAAVCYLLSALC EPUS_00873 MDLKADAKVPSTPKSRVVVTETPKFDLDSYIANYAGRTRYARLY LIGTSSKVLATEALKAAVAEAKAGNDVQRYMDAVAALRPHLTPGDPDGVIDRSWVERK EKQNKAESSRLEAELKGYKNNLIKESIRMGNEDLGAHYHAIGDLTSATKAYGRMRDYC TTPAHIASTSFRVIEVAIDQNNWLAVQSSVHKIRNIQLKPDDHAKAQPKTQAAMGLSQ MHAGDYRDAAISFLNTDPSLGDKYNEVLTSNDVAVYGGLCALASMSREELQAQVLENT TFRNFLELEPHIRRAINFFCAAKYTQCLSILEAYRADYLLDLHLQRHLVKIYGRIRTK SIVQYFAPFSHVTLDSMARTFASQDRSNPAIEDELVPLIAAGTLKAKIDLEHRVLVAD EVDLRAEVHREAFESVKRFKKEAHLKLMRMEFLNAGLEIKAPPRQGMGGGGGGFSSIA GDVLAGAGAAGGGDMTGLQQRGQGLAKDSSRGAGLASGGGGGRKR EPUS_00874 MSPYAPFDSTAGFNSIPFSFQDSTSLHSEALPDNADLAMLALQF RRPLNPSSPPSDLPPPTLSNASAASIPSNTSSAVGSPYSTHAQAVSGQDSWRVDNQVF EVGPTIINNDGYDAVFGGVDLDSELTLRAQGKVGEDFVDPSLIQPTSNLYPDGPLAYP AHAMYRAPPPFEMHSTAVSPNLSHCEPAPPNYAINHLSPDMSSQMFYNNYQHAPYLHR RASVSSLNSRQSHPSPMSGSASIDAEGQDKGRCPHPGCGRIFKDLKAHMLTHQSERPE KCPILNCEYNQKGFARKYDKNRHTLTHYKGTMVCGFCPGSGSSVEKSFNRADVFKRHL TSVHGVEQTPPNSRKRSPNGSNKKASSYCQDATGKCSTCSATFSSAQDFYEHLDDCVL RVVQQEEPSEAINQQHLGDMAADDAVKETMERHMLTGTDETTVPPADDEEDEVEDDDD DDDDDDPSYNGRTGKSMVKSSKATSVSRAVANGGISKSARSTRKGLTLSKGGVPLVGK SRRKRKHYPVSWGVSADKMKMRKRVLCVYDGERRLWKDDMMLNNEFEVRMNLADGKSY VTDLDVETLKRADALHGATPEEKGPWNQEEAQGFSLEELMS EPUS_00875 MLPGIRMKRNGKKVALVVGGSRGIGRQIAIDLAKSDYYVVVVAK TTSDAYKTDPFPPDPNSSQSTINTVVREIKEAGDDALALPVDVRDPASVANIVDETVR QLGSLDVLVYNSGAIWWASVEDTPMKRFQLMQRVNLEGLYGSIQAAFPHWKRQGWNAR IVVVSPPIYSRFFRGKTAYAVGKVGMSVLTKGLAMDFVRQGRTDMAITSIWPASAIES AATRKHRDPSVQQDLRRPTIFSDAILAMLKAPPGEVNGLIDTDEDFLRKRDVTDFSKY SVVPGSTPRRIMPAEFPKLEVAEQDDEGQRMDSTKLTKSKL EPUS_00876 MEPVSATLGIAASTVTLAALAVGVGRTLTTVVNTHRQHAALIYS LIGACKAVEVAWKRIHAWSEAQSCPTDGLDSSFYEQLMASIDVGRVILGSLQQDLEPF THVIPGQKSASGTLRALLNESTLRDHCVRLNLQVSSLHLLLATSTLPQPETRDLVCEC LRPVFRKDEESAWTIVFSGPASSPSSLINASRTEDDTSSVWSERSFAFINDLLTAPVY KRLALSALQRPRPAQELDGVLPLTQAGAIASYHMLPKKPWVCDSIHETSINTRKRRST AATTIKHMKTIDRGAMLVATDAASEVFSSGVVTDLRDTLLSSRWLPLRASLQGGLISM EEQLRINAKLLDAAANNNLVAVAEALDAGADINAVRPGQGWTALHFAISHCCPAVRFL LQYQNVNAHVRENNGKTLLHKAVQYQCETCIQSLLDFGVSMTDEDESGFSAFRYAVER CVSIEPLLALLRHATRYEVKTDAVTALGKDALYKLCCLQPLRRAHAAILVRCGWSLAM FANNDSSLRLAFDILEEEQWLLMLMLEQTQTTLEIRAKVPLWNEVLMAVTKGPKRNTA ALLKLLRAGIKYQHLKFEIYGLAVSQDDLSLLDLLDRKAHGRILRKQREVATNSEEAL DVVFPNANKRPISASKDDSEAVMLHVADETESKAVLHRLQRTKIIDFLRHHKPGTNTN QRQEVHPKDACVAGAYHMRHGIQDSDHRSKIWGKQEICFGLHFKGEWSIAADRVSINS NQSI EPUS_00877 MASHVSESTPLLPETGYSQAAASGLSDHTIKDAESGSKRLCAGL ATTRTAEAKLLVWSGAPLMLTSVLQHSFNVTTAIVAGHLGSSELAAVALANMTSNVTG LAVYEGLATSLDTLCSQAYGGHNKKMVGLHMQRMIYFLWLVTIPIGAVWLCSPWILGA IVPEKDVAILAASYLRIYLIGAPGFATFEAGKRFVQAQGIFTPSLLVLLVTAPLNILL NWIFVWKLGWALQGAAAAISTTNLVQPILLLLYVRFAIPHSLQCWPGFSWNAFKNWGP MVRLAVPGILTVEAEWLAWEILTFSSAYLGKTYLAAQSVLYNVSVLMYHLPMPASIAA STRLGNLIGSGALDAARIAVTAYFFIFSGIGLFNLSFLVAMKDVIPRIFSHDLDVRNV VSLVMPICAAFQLVDSTTSLCNGLLRGLGLQYFGAWTNLVVYYGFSIPVSLCLTFRPP HLGLWGLWIGPAAGLAANSLDIITSAIIGFDFSELESHLPSAFHHDVHDTNYKNGPFK NEATASTDVLDTIKIFIDSALTTEWERDKFRATGLASFNVRHRHAGDTRLGRLTVIPV LMDLNTVFERDQIKRGLDVPPLVTQSLDQEGNVEETTTCDTYGNVTEMPWRA EPUS_00878 MANFYHLMGVTEDDFHLSHRFVTSWLLPPLLLAVLRGTVAFYAL TAIFFKLGWQGTHGLADANDSDLSYFTNLTFWGIAFYFLFSAIHTYLYARRGYAPLDR WPRLLQALHSLFYTTIVTFPFLVTIVFWVLLYDGPWFPVEFDAWSNITFHALNAVFCT FELSLPATNPPPWLHLVFIILILALYVALAYLTYETEGFYTYDFLNPATGRGRVAIYC VGILAAIIVIFMVVWFLIWVRKRFTRTGKKSRADMLNGRCLRSTCRATRSNAEEKNTL MSLRLEPGEEEAREDNDLDLQQHHLKAGVEAQGASSNASSDSDFVRDDLDLENGVPGV DKRVISQEEIIKSVESLQENRTQDPSAQLVEGTQSADGTSSIADDTPSLQESVQSSSP SGAYPLRPSGSRSTSTTARRPFDRRFQSRLSSSPLNSPRAISPAFLSTHSRQSSLASI GFQSLPDPDSTSAPWDVIRWTKLRKLTGQVFSEVGRRNFGRSTCLAVSTSIVVGTSKG IVLLFDYQQNAKGIIGLGTKAIECGPVTSLAISEDSTTIAVGHAEGHIFTWELAKSTR PFLHIPPLDAHPAESRKVEGHLAGVPVIHIGFLGFRHTALVSADNRGMAFSHLATRGM GSVGRAIRTTRVLGRYPDVLQRGHNSRKPSSVLAFSTLPFGNVEQATNDLGLVAMMTP YLLVIVSTTPTAQTQHKAARPKEIAAHSAMTAALAWFPALKLKAQDAAISRTKLVYCW SNVLTVLEVIEVEPSEPGEKEKPLELLFKARSRWRSQEAIVAVQWLSRSVMAILTVTQ QLIILEDVSMNPTDSFDLVQKQLYHSDLFSRQLQSLVEQLDEADSSMHGVVADAFYMS FRAYKGRLFLLGFNDLFIGSLSNWADRLVAMLEAGDFIGAIQLATSYYSGIGEKTTIG LPEDDSSRHGVVKEKLVEMMSASLKYAFGHNQQAGTEQLDDLQLNKLAIACVTATLSI TDTSFLFDEVFAWYDDHDKTTIFLEVLEPYIIDGQITSIPPLALKPLIDHYMTKHTAE YLEEIICRLDTSTMDIDQVTTLCKRYNLYDAFLYVWTRALDDYLGPCEELLNLPLASS QPNGHTGASNQAQLNAAKIFPYLSFTLTSRVYPTGEELSFEEARTAKEQIYGFFFSGA LDHVSRGSSGTKTGPSFPHLRKLLSLDTASSMSVLNEAFEDSYLNRPDEISNGFSSDL RLDATSQPKSFTRQFVISVLLEVMSSADLEPEDSIYVDMFIARSLPKYPQDLVLSGST LDQILTRMCHFPNEDTADDCQLSVEYLLSVYHPPDIQSLVPLFIEARFFRVLKSVYRS EHQWSLLVQVYFQDEQDQAGVFEVIRACLRKGSSLSSKERRAVQAVVKSHALDLAKID VTRTVLLVADCFAESHELFLSSLAAAPNLQYYYLNSLLEPQGRRPPSAGSSASLTGLY IQLMCQQNPLHVADYVKSLTDVDLQLRDVLPSMEASGAIDAAVMLMARQGQVQDAMKR LIKYLSSLEATLTGIMRNLEGSPDVASTKEAIHDLLQSVEKYSGVGIWLCQRQTAATQ RSRPVLPASKKSNTVSRTLSFEETLWLQLINSVVDIAKDLTRPETANRAETDGFVDPG SEIASTLRLVVQNVFTALLKATTSSRKGSGDRHDLAFLQILRAFLTRAAASSPSLAEL RAVISSIFSAYAYEESLLALSNSMLDKDLFVHVDEIAKLRRRGWRPRGQVCEICRRRV WGPGAGAQIWEAWLQKEDRKIQQSQGKEHLAGQEDGASASRGKGKATEELSVTAASQS EAVDGGERLVDADLGAALIFACRHLFHQTCLDHQLGQASGSSPSQGYEHLERGDDPTH GYVNYVDQGTAQREGLIGERDGTTYIGVDSTNVASGRGRNSVRLTSKNAYTHGLIVLD LEHMPGGQCGSWPAFWTLGPDWPNSGEIDIIEGVNSQAANDMTLHTSAGCSISNTGTF SGQLTTPNCDIQAPGQYANQGCQIASHDTATYGKGFNAGGGGVYATEWTSDAISVWFF RRDSIPNDLSSGNPNPQVWDKPIAKFQGGCDIDQHFKNHNIIFDVTFCGDWAGNVWGQ DPVCSSRAANCQAFVQNNPGEFEDAFWQVNSLKVYQSNGQEVNQLVFIESSNSSVVVS SQVASSAAPSPTDTSITSSIPAESNTPPVSLFPTPTSAPTPQATSVQRPQYRTVSALH WGQSGSSSPGHPAAAQEKRSQHARHLLKHQHKAHT EPUS_00879 MGERPNFIDLHAKSPDPSARLGHPSPRIQYNEGEIPPCLSPLDA FAAQSRLMAKQLDVKMHKDKRMSRLPPAVVTKSLSQHRAERPTVFRSFSEESDGDRVR DEDEPSSSSTIAHPQDRHISQYPRISGLLKGKGKGTDEDEDKGESFMIPMDRASTPET TCQPATQSDYFGLPRADSPISTSLLADCNVARRHAKAEPSLTSSSPGRQHLRSRYDSS TSHPDSKCTSHSPTRPAPQRESSDDDYTSSVAGSSFSFPRKLSASSGISVPHSPLSPF IPIHPPRSPSIQSEASVTGASAHRTHLNFSRPRSTASLSILSRADSPALRQLVDPQNL QPSAATQGDSQYPVQSEAVELVDNDGYLSAGGSSYTYAKFSLPRGRVVSRDSVIFQGL STPHFEWKEPLFQNTPLMGGTPDRPLVSPSPAPDLKLGSCQTKSKIDGDLFAFDIKST RPATPKIPMPKKPLISPSQSLKSAKSTSSLKGRTSVETGDLGWFRASAAQRIDSEDSK STSSRSNSTLRPMSAKTGVNNATNYQYMSPDDHVTKGIECHENGSLQESTYHLRIAAM QNHPTAMLLYALACRHGWGMRPNQREGVQWLRKALDSAMHELKEDEDPSNAIGLHNIS DQKARRAQFALGVYELGVSHLNGWGIDRDKALALRCFEVAGHWGDVDALTEAGFCYAE GVGCKKDLKKAARFYRMAEQRGANMVGNSW EPUS_00880 MTSDDQYFLDLLASVPSDIASYGSRIADYIEKHSSSLATELRDA IDSATWIPDSMRPPVRAVSHQLSAPAPPQGFFARTTTWISNNRTAIAVVLAFAGTTAI LIHRRKRAHAKKRRARRDRNGKKKEIVVLACSSFHDPLTHSLALDLDRRGYIVYVTVS STEEDSLVQSESKWDIRPLWMDLTSSVPNPGLDLHPNLEPIRQLIKPSSRASSPGSVK SSQSNSPSFPHTLAGLILLPGSTGYPTGPLAALPPTDLIDTVNTRLLSPMLTVQQFLP LLALAETQAQSSTAISTTKAAGLPLPVPSVILAYSSIPTSLHPPHQIPETVTTTSLSS FTRCFRHELHPKSNISITELKLGFFDLSSVLPRAPRAGDYVYPQYIREREQGQERSGP EVPRNSALTHWHSSQRAAAHRSIHGQQQEGSDSGNHSKIRGAGSNLREFHNAVFDTLN PSPGFKAFGVVSWGGSRRRRVQGTVYVGQGARLYDFVGKWIPEGLAGWLIRRQERRRG EVEDSSQGTGRSNVDGASERGQETGLPRWGASSASSGSGVWEKL EPUS_00881 MARRPPTSTELDPLVSEYQHDQHRPRAPEALHTLRKIASLVKPL MRQRNWRVSVLCEFYPEQPNLLGLNINRGQKICLRLRYPGDERQFLPVEEVVDTMLHE LCHNVHGPHDEHFHALWNQLRNEHEALVRKGYTGEGFLSDGRKLGGSERLPMHEARRR ARAAAEKRKTLAAGSGQKLGGAPVRRGTDIRKVIADAAQRRITVMKGCASGTDQGRQI ARDVEENRGGNGFRTKAEEDDANERAIMQAYIDLIQEEEKEKYGDTYVPPSETNPAGP RAAMSPTPSPAQPPLIPTSTKPMPKEEPRAPSIDLTGEDDPDTWTCEVCTLVNPIQFL ACDACTTERPSAKPTPPVRPTGTNTRISDVREKPNALKPRQSVVKSLAALEAQEAKKP AKPMGWLCHVCSNWMESQWWTCARCGNMKQVS EPUS_00882 MGGKSGNKAVYFEKLKGLLDEYKSIFIVQVDNVSSQQMHEIRQS LRGEAVVLMGKNTMVRRAIKGFVSDFPEYERLLPHVKGNVGFIFTNNDLKDTRSKILA NKVAAPARAGAVAPADVFVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTDLKLVE AGNKVGASEATLLNMLNISPFTYGMSITQVYEEGQTFSPDVLDIEPSQLLKSLTSAIA TITAISLAANYPTLPSVMHSLINSYKNAIAVAIETDYSWEAIDELKDRIANPDAYAAA APAADAADTSAPAAEAEKEKEESEKEESEDEGFGGLFD EPUS_00883 MTTPPCHPFQKLCCISQSGDIHLLLVATGPHILSLDLKNGGIVS KWPNDAVQSAERQSLEELGNGIVDDDPPAKRRKPNLSKRQQDEQEDDSRDSSISVEFV SERAKGQRRKKKKAVEPVLPNVSHIIATLDGLRVVATTAEDKCIRVFELGSSGQLTLL SERHNDRDKFGDVYALPLHPSLEKATALPSKPQLQKTKPMKPSASELTVHTKGNLEAL RQQKLQNASASKKTAPTFEHRLILGHVSLLTDLVVGTDPSNDSREYILTADRDEHIRV SRGIPQAHVIKNYCLGHTEFVSKLCTVPDLPQILISGGGEPSLRIYDWLRGTCVAAAS LEEDLKHLISSSAFNGLISSGIRAVSCIQAMKVRDTDDLGDVIMIVVAFEGIPGISTY LFHPEKCLLKQDRQYVMDANVLDIVLLKESGLMLVSLDNVHSPLSTKEPTSHALAPAI RVFGLKLEKFDGEQQFGWVGYDPATGSHGTVHNGSFEDIASKLNQTLQSNDIRHEIEL QSATKPNALYSPLGEFLYGLENLRKKSQGDGQEQEAEELAVTHPEER EPUS_00884 MPPTTPLSFMKVKKLHGKHHSYSPSAVLLGSCNLSIRSLIPELA IRRADCAYMKYIFTSAGNEQILPRPLLNTLGTLPPGYRFGPLRRPKDLQLAIDRTTIP RTVETLALLPSRAVFFEDEPEPVAWGFLGVDASLTSLHTEPHHRGKNLAVLLARELLR MQGQYFSARGFEARGDGSVENGSQLGHADVGEDNLGSRRVMEKAGARPLWKVCWVEVE LETLFGEDGIWRSARRGAIS EPUS_00885 MSTGVPEHRLSLPTKPEVLSLLSALEAYLPYSLPLYRRLQFYLA HPSDPTGPPHAHIFQAFAKGLDGQRSKPRPAGGGGRLLQDERPDAIESMLQRPWLAAH VDLSRSGETQIWVFANWECPSGKDYPMPSPVLF EPUS_00886 MALPSESSPKAEAVDEDTIVVKQEPSLSASREPEMSLLRTGTIK DEHADSASNSPSLLPTKLKSSRSSSSTSIKSRATSESSVDKKDIKDKVGGEISQKMET AQPPKIARSAPNKVVSRVAPLYDALPDATMEAKSSFTVIESCTYSNKFLGYTEHAMEC DCSEEWNSSTKRNYACGEDSDCINRATKMECVGDCGCGSDCQNQRFQQRQYAKVSVIQ TEKKGYGLRADANIRAGGFIWEYVGEVINEPQFRRRMVQYDDEGIKHFYFMSLSKGEF VDATKKGNLGRFCNHSCNPNCYVDKWVVGDKLRMGIFAEKNIKAGEELVFNYNVDRYG ANPQPCYCGEPNCTGFIGGKTQTERATKLSAATIEALGIDDADGWDTTVAKKPRKKKT GEDDEDYVESVEAKSLDADGVTKVMAALMQCKEKWIAVKLLERVQRCEDEQVRNRVVK MHGYQILNSQLAAWKDDANVVLQILDILDQFPRLTRNKIIDSKIETTLQTFARNDDER VAAQSARLLDEWSKLEVAYRIPRKKRDPTETSTSVKPEPNQYERRESTQERKRSRSRS KSRSPPRGPSVASAPSGPRSSLLQRNNFYPRPRPPPFNPLPRGWQQAVADGRTYYYSS EGKTQWTRPTAAAAQPPPPPKAPSNQDVLKSIIDNIVTAKEKEREAKNATPDTPQAVV KEKKDKKAEKWRSYDEDKKKKLYKTALHPSISYIMNKYKSKLPRDDLKRFAKEIADKL ANSDFKSGRVEDPTKIDEKHEKKVKKYCKEFFDKAAYKHRKLEKERAARKQKPETSKQ NGTAADETSSPVLNLDASPDIKREGNSDDDDVKMSEDDDETPTPPTPSAALNGSGSKR KRDGGDEEEFKADSDISKSPIKRMKSESPCAQTPPPAPTMDTSLMHTGHSSPAGRNGD ISKRKREDDDDEYCKARTDISRSPAKRIRSDSPPTSIAATNGNHHKRGRDEDAEEGNN ARSDASKSPAKRLKSDSPPPPPPPPPPGPPVDTPPMQSQGASPSETTNPFHADTSFAE KTMADVLAEAQQDPGDGDDVGDLSLQDANDSGARSLARAGARVGVDDEDDLSNGPSPP GSPPHFPIDYDNKVASSSRPWPDELTIESTSRSPEKRSPRPDAITAHL EPUS_00887 MAVRAQFENSNECVFVPSFSSAPPVLVEERGLLICVHQPTRVGV FSTLTNSYALVAIGASENFYSVFEAELQDVIPICHATIAGTRIVGTLTAGNRKGLLLP TSTTDQEMSHIRNTLPSTVTIQRIEERLSALGNVICANDHVALVHPDLERETEEIIAD TLGVEVFRQTIASNVLTGSYMSLSNQGGLVHPKTPIQDQDELSSLLQVPLVAGSVNRG SPVVGAGMVVNDWLAVTGMDTTATELSVVESVFRLGEGNGPGDVKGKDKEAMVESFY EPUS_00888 MDFMTSPTVIPLQPNGKESGGKEVQAGLVPSHGISVQAGSHPAA YRPSPVKHVSTPAYDSPLRHHQRGHSTPRQVKESLNAKSEYVTSQDDGSAQHRINQYV IKQEIGRGSFGAVHLAVDQYGTEYAVKEFSKSRLRKRAQSHILRKPRRQPRQQRPTAG DDFNSPLHRNSEGNTNSPASGGHAIDLIKEEIAIMKKLNHPNLCALVEVLDDPREDSL YMVMEMCKKGIIMKVGLDQRADPYDDESCRCWFRDLILGIEYLHAQGIVHRDIKPDNC LLTEEDVLKVVDFGVSEMFEKDSEMLTAKSAGSPAFLPPELCVVKHGDVSGKSADIWS MGVTLYCLKYGHIPFEKSGIFELYEAIKSDKLSFETEKDEHLRDMMYRILEKDASKRI KMPQLREHPWVTKQGADPLLSEAENTSELVGPPTDAEMETAITSNVANLMTVMKAVNK FKKLVAQKRPAIMSSILDESDQSRFSQPPLSMQRSSRTPKLHHKSQSVTSFDRKAIEG ALAVEGVHRQMNIPRDPQNAIREKEEGKMAQHDNMSLKARDGATPEAVPYPPSQSPDQ SPDQDSEPQPSQSPIDAEEEEQYLAFRPEHPPLARDRAQSQDEVGHRGHAHDPLQDHL YLYIGPSTFSGASDNADRRATFAVDGEEDQVLMVSESPGAADIDIYETAYRDEIERIR QRSREEGKDEEETTVYLTRRVDARLLAVSQLAGRFKAKGGGPDRFGATSRWKDKRAKV TDVSRALREAAREEYEKRRQERRQKARVAAGAPTEAPKTSSGPSTNTTPSLQAREYVA SGSESPERGAARSLSSSMFAGKAMEKGKQAKTSFKSLMGMVKDRGNTAKDNDGTS EPUS_00889 MAATRRMSSAPSISAATRCYRRQGNIRCIRHFSHTSLTEPCMDR HARAVECISSNVRKFYERKEKFRIFHGSTNSTRQSAGKGTNIVDTSALSNVLKVDTVT QTALVEPNVPMDRLVEETMKYGLVPPVVMEFPGITVGGGYAGTSGESSSFKHGFFNRT INHVEMVLANGDVVTCSDNEKPDLFHGAAGAVGTLGVNTLVELRLTKAKKYVETTYHP VSSMSEAIEKIEEVTLDPTLGYVDGILFSKSEGVIITGRSTDKPKDGISVQRFSDAQD SWFYLHARDNVRKRMGPITEAIPLAEYLFRYDRGGFWVGASAFEYFNMPFNAFTRWWL DDFLHTRMMYTALHASGQSKRYMVQDLALPYSTAEKFVDYTDKTFGIYPLWLCPLRQS PQPTMHPHTHETEKDGVTLKPMLNIGLWGYGPARHDDFIKANRDLEHKLRELGGMKWL YAHTYYDEDEFWKMYDKEWYDSLRKKYDATSLPSVYEKVKINVEAEQGEDNASLATSI LNTWPISGLYGIKKAIDSGTYLQARSSAWKSREHAGHVITSR EPUS_00890 MANFTTRADSDLAYGWVVQPDGRGTIDILYNCLVTTFLCSWSAL FLNIPAEYIGPLAFVAHKLRFMIFAIAFPEMLTGMAAEQWRSACQSVEDFSQLAKRWE SASRLCQPFGSDSQAKENLNRLKCSPWTMRHAFFADMGGFLLDCPGCRPFPIDAQQLL YVVENYYLEYPNVEKRTIEDRDKADGFARAITLTQIVWFLVQSLGRTVQHLDLSTIEL STLAFIFCTLNTFFFWRHKPLDVVTPIVLSCSTPIEEILIGAGKGPQKPYSQTPLDFV KPPVSRRSLCAPFWFGIKTVLDWRERSNDLPIKAFENSRTIPPRGLAAADLVFAIIFS FSYFGIHLAGWNFVFPSRTEQLLWRVSSLTLLGLLVIYLAAVVFGTVMGGWLARTFFD NYEETTILGVGSLLPRWTAILFHGPVVAAYALARMYIIVEGFVSLRSLSFTAFASVDW SKLVGGT EPUS_00891 MVVYSFYIFDRHADCIYKRRWLPTASTPSNSSRPVSEATTDGNV ARSRKALSAEDDAKLIFGTVFSLRNMVRKLGGEDDTFLSYRTSQYKLHYYETPTNAKF VMLTDTKSGSMRIALHQIYVSIYVEYVVKNPLSPVEHPGGIGVNNELFEITLEQFVDR VLNATS EPUS_00892 MTTTQRGLCPAPFYQEDIFPANGGFVSGRFCQTSSTPIGNISCC LPCPAYDWTYSDGFIQRTEAANWLNVAAMVATMFCLLSFFILPVKWTHRHYLSICLAL GVGFMELAFIVPLGSKPEQCFNAITPNDMRSSTSCAFSGAFLLFGGWAAVMWVFWRAL SLHLQICWEVVPGNTFFYSALAFGWGIPAIALALALSLTGVSYRFGTVCHINHTNGLQ VFWGPLLAFAAASLIIQGITFGYCIQVYVKSLLDDDPTTDVSSGLPSYSGSVRTRTAR QAVRRVQKVIQLQWRGVAVVLIIIAEVIFFAVVFVSMDNNMISTEADADKARPWLRCL RESGGRKAECVHLARELVVKESTILAVLVCLALSGFWVVLLLGRWSMVLGWIDLFKRR FSRQHEFVSADARRLSNDPRTYEMLNSVTSPQTAVKTPERAAMSPNSLSVTQRSPGVD QKIDYFGREARYQSPATSFSSPRPPSAGGWPRDRPATFSSLGSPKEMKE EPUS_00893 MAFTGDNFKGKPMTSTVQHSSNGFVDKQPVFNHKEAIGEETAHQ AAERGRAATDKYGKSLVHFDAAAEARLRLKIDLYIVPTVAILYLFCFIDRANIGNARL AGFEKDLGLKGYDYNMVLSVFYVSYIIFEIPSNMACKWIGPGWFIPALSLGFGICSIC TAFVNTKESASGVRFLLGVFEAGMLPGIAYYMSRWYRRSELTFRLSLYIVMAPLAGAF GGLLASGILKLPNFGGLRAWRMIFAIEGIITCGLSLISFFTLTDRPETARWLSQDEKD LAIARVKSERVGATEVLDKIDVPKLLRGIFSPITLSTAFIFLLDNITVQGVAFFAPTI VRTIYPRDSVVSQQLHTVPPYVVGSFFTVLFPYLSWKFDRRNIFFITCAPFMMVGYVM FLASMNPQVRYGATFIIASGAFAFGALCNAQVAANVVSDTARSSAIGTTVMFGHIGGL ISTWSFLPGDAPNYPIGNGLNLATSTTLFILAILILLWMIADNKRRAKRDVDAELDGL SQKQIENLDWRHPAFKWRP EPUS_00894 MPPRNSRSPSPPPSLPIASSPGPRFLALQKIFTQALTSTLKTSS YANFSKCFPTPAQHCPTALEGVWKQLNAKLEEGCMKEFEAIVFEKKVIEGLNGWDAVV EDAKRRIARGVEGEEVHRAPHTLSVEELHIAHLTPYLQQATTMLEARLKDTQEENGTL MRRITEQRVEMENLVQGLEGVVADLEDSIEAMRDDGEGGVDGLRAEIWEMEGEMRGRC EPUS_00895 MFIVQEHVVAWALAGLSLVLLVIATILNAIFAFSLHSSVATIQL LAFMALAINMLAICSFSFMISHYIPKLNGASTRWSDALVWRFFGLSLSIASVAALLTF ITLVWAAVRLADLPDQIVGRPSRSDLIAWFAIWSICAVLQTGTYAFVGWWTKRALHSH SLAAVDLEFNVESPEMEHPPIQPRPTSGSFRSEDPTLASPPQTPTTIGMSSPFRLSHS GGKGGPTSSRTRLVHSTSFTKDSAKSSFDCPSAEAVSIDHPFDNWDTSGLARDVRTTL HSTPPVTRSGLATIPGSRPESPAKALDGPFLPETLSAAASAPSTAIESHGHSSSHEAG ISSPPSSPPNFSRPSSRQVNQPTMSSALHQAVVEGSVEDMIHPLFRPSSPHPTPTASV GTRVTASPLAGQSITPKILIRMRSASMPQQSRPLIEEEMPPLNTESDSSRTDAGSPGP SIVEDDESGAVIPDFVLSAGQRSSYAGYDRRKSVKSRPASFHSQGDRLSMVLL EPUS_00896 MALTWDNEPAGSGLRFNCLQDSPYLCQPPNSFPSRSTDHIAPAE NLSLTGLKASPVWSAPLWLSNLRQIIGFRFSPSKTLQEPYSYHRYTASTVPANTKYQQ IMPPKQTPKAAAVSSSSTPPGSVESSPSSPTSRKSLRLSPSVLRSEREGRRPKRQSIS PQSSGISTLSSPPDSDELDDPFEEKTVESSRPSLKRKAHEDNAPLYETPTTRAAAKSQ VPDKKRKVHFLVDEDQDVKASDPVARGHRPAFLPGLGMPFELVGKSIFAKTDGSGEQK AWSRKYISARDRRREVLQTTQSALGPELGGSRASTPASFVSNTPSKRGRGNGRRGRGR GRGGRGRGGGRTGRNEDSPEPPKKKILTEEEKEILASLKARQSELKKFFKDVAAQQNQ SLHLLTTRDLTKIAKKSKAHEKVPEYQVLLDDLGEKKKDAEDFAKRKYEYDQQQANLL LEAEKEVIERRFRTRCEEAKSEHHAAARGDCLIAMEAIQAMIDDNRTEAGSPDQSCFP RFHSLAPPGMVRGYYSQHVTDETSLRRPLSSFDDTIRRAVLDHDVVSPTLFEGLDVPR AHQTKDISQQAHDSSSVSSPHESKQHPTLSFQALADEATRRLEESKNVTTPITPQATH PQPPTVPKPPQQDPNLGSWPLSRLADAAEHESTRRNQQVTQNNHIFPPPPPPPPPPSR MVPIDPFQPWLGFMAEALPLAPPHHQPRNMQWPAPPPPTPQQQQQQQRIPMTMPPLPS NLPNYTISHLFNHNHNHNHNHNHNHNHNHNHNHNHNHNHNHNHNHNHNHNHNHNHNHN HNHNHNHNHNHNHNHNHNHNHNHNHNSGNSSPNS EPUS_00897 MLLLTSLLAVAVAAANVNAQSATPIYVDPLVPTGTPLVGNYTGP LRPQIHFSPPVNFMNDPNGMFVDAEGVYHLYYQYNPLEAVAGNQHWGHATSRDLYHWV NQPIALFPGNTSEGIFSGSSVVDVNNTSGLFPDQDNGVVAIYTLNTPNDQSQNLAISY DSGYTFEKYEDNPVLTIGSTQFRDPKVIWYAPTQRWVMVIAYSQEFAVGIFTSENLLN WTEASNFSYHGLLGLQWECPNMVEMPMENSTETMWVMLISINPGAPLGGSITQYAPGS FNGTHFTPVDSVARIADFGKDNYAGQFFYGIPGDQPQISIAWASNWQYTQAVPSGPLE GWRSAMSLPRINYLTNATRVGYRLVSLPYDLSPVISSEPLQSNDNLGNGTVLVDFTDL ESKVIYFELNITNIPAVNASGTANFTFLSSITGESLSGGQYLFGDTPFWLDRGQLRGF DSDPFFTDKFSTNVLYDPETSAFTMSGVLDRSLFEVFLQGGEQSATITLYPEQPLDIM VLRTAGLNEGVGVSAAVWGLDSAWADYTDENGTVVGNVTTGAGSSNGSSQRMKRETVL GRSKRLY EPUS_00898 MGRLHSKGKGISASAIPYSRSVPTWFKSSPDQVVDNICKLAKKG ATPSQIGVVLRDSHGVAQVKVVTGLAPELPEDLYFLIKKAVAVRKHLERNRKDKDSKF RLILIESRIHRLSRYYKTVGVLPPNWRYESATASTMVA EPUS_00899 MGRRVSLLAEIIKLSPVPANALVKLIRDHDVHPRWEDIPLPEGR SLNSSKLAFEELNRQAGFYQRHAPSPYSQQATQAQIPHTIPRKRQLPGSEALMTSSFP AIQPKPPGLSPARYTPVPAEGSGSMRPSPGDSAGEPTKKRRGRPSNAQIEQEKAAAAA EGREWQPRPPRPPRKKKSKIDTDSPSRSEPDPAPKQTPHTPEVEMVEAQDESLSGKKR RRKMKEDATSVRPTPYDPIRQSPPITDSSVHASEITSHVSQGPGTTQQHFQDQPLVPM APNLNLEHRHSTPQTHMYSDTSQHMQTEE EPUS_00900 MVKETKFYDVLGVDPSASADQLKSAYKKGALKHHPDKNAKNPDA AEKFKDLSRAYEILQDPQKRQLYDQYGEEGLEQSGAAGGMNPEDLFAQWFGGGSAFGG MFGGGMRDTGPKKARTIHHVHKVSLEDIYRGKVSKLALQKSIICPACDGRGGKEGAVK TCTGCNGAGMKTMMRQMGPMIQRFQTVCPDCQGEGEIIRDKDRCKRCLGKKTVVERKV LHVHVDKGVKSGHKIDFRGEGDQMPGIQPGDVQFEIEQKPHTRFQRKDDDLFYHAEID LLTALAGGAINIEHLDDRWLTVNIFPGEPITPGQIKVIKGQGMPSFRHHDFGNLYIQF DVKFPAAEEMRHLELLEKVLPPRPRQLQPPADAMIEDFDLEDVDPRGQARAQGAAGAD EEEEDGIPHGAERMQCASQ EPUS_00901 MYKPLMRIPYTGPLPPPIILPKSASTVAGATSALAQFLSAPPSP RLRDLDHGHYDRTVFLTGAGISVASGLADYRGENGTYTQNKSYRPIYFNEFVDSHEAR KRYWARSFLGWSSLHKAKPNAAHFAIGDLAKKGFISSVITQNVDSFHSQAHSHTPIVE LHGYLRALVCINCHQLMPRDQFQQALARLNPAWATFLEELLSTGALDTENPAERRKKG YRTNPDGDADVPGAPYTTFRYPACPTCLTTAPELRDGSRGHVETDQDGAWSTKSNAGI LKPNVVMFGESIPSKVKIAAEEAIDEAGKIVIIGSSLATYSAWRLAKRAHEREVMPHN FAPLKNDRLLKAARGEVVDRPPIWVMRQAGRYLPEYHEVKGKHDFFECCRSPEIASTL TLQPVDRYEAIDAAIIFSDILVIPQAMGMEVKMVDGQGPTFSEPLRSPTDGQYQTILD KTVDVEAELDYVYRAITRTREKLAGRVPLIGFCGAPWTLLCYMIEGGGSKIFKEVKTW IYRYPEESQALLKKIASICVEYLAQQVVAGAQLVQVFDSWAGELSPSTFKEFVLPHLK YIAKMLPKRLEELGENIVPMTVFAKGAWYALDELCEIGYQVVGLDWLHSPAEAFRTAR GRVTLQGNADPGVLYGGQKAITRAVEEMVHGFGGGKQGWIVNLGHGITPFVKPDDLKF FFDEILRCTKQ EPUS_00902 MSLTQTRSHAGHSHSHHLDNAYLTSTNKKDAGVRITRIGLFVNL SMAIGKGIGGYIFHSQALVADAFHALTDLVSDFMTLATVSWSLKPPTTRFPNGYGKIE SLGALGVSGLLLFGGIGMGVNALDVLYTQFFMDVLQETHRHGIFSFLGHDHSHGATLP NLNAAWLAGGSIIVKEWLYRATMKIAKERKSAVLASNAVHHRIDSLTSIVALLTIGGA HVFSEASWLDPVGGLIISVMVIRAGWANAMTSLLELADTSVDEDVKKAVDRATTKALR GDFSKGITGVPDGEMVAIREIQGIKSGQNYLIDVELAIPGEWSIEKTRAVEEAVREKA GSKVRGVRRVRVRFLPTENSDLDFSKEFIGADVSPRSSPEPDDQHDNGHGHHQNDHQR QHPFYRANGEKKTK EPUS_00903 MMQEPLYLGLDLSTQQLKAIVVDSTLNAVRNVTFDFDAESISFN IEKGVIADLAEHKVYAPVALWLQALDGVLQKLKDTSLDLARIRGISAAGQQHGSVYWN ADAERILAGLNSSKTLQDQAQGALSHPYSPNWQDSSTQAECKMFESALGTKAALAQVT GSKAHHRFTGPQILRLRQKCPEAYAKTARISLVSSFVASMLLGKIAACDISDVCGMNL YNIREGCWDERLVKLAAGESGLPDLKAKLGNVPEDGGSHLGSISSYYVERYGFSPECT IVISTGDNPATILALPLRSQDAVVSLGTSTTFLMSTPKYRPDPATHFMNHPTTTGLYM FMLCYKNGGLAREDIRDALNSKAMSQTTTSLWTSFDEALCSTPPLCQRASHEPMKLGL YFPKPEIVPELPSGQWHFVYHKEAKQLIESDEGWLRPEDDARAIVESQMLSLRLRSKG LVSSPGYGLPPQPRRIYLVGGGSRSKAIAKVVGKVLGGSEGIYKLDVGENACALGAAY KAVWSLERRTNETFEELIGDRWEESQFVKRIADGYQEGIFEKYGEALDGFEMMENILM RRHNKD EPUS_00904 MEEQIVSAVEIAWSPTSEQNLRIQATEYCSQLRNDPSAWQPCLS IFTKTPRYPDVVRIFGLEIVNTGIQTGILDKQVLTTIKDQLLEYLRRLYLADEGSAFD TTSIQNKTAQTLTYLFSTSYGNEWRTYFDDVLQLASKTQNGPPDNASGTIFYLRTINA IHEEIGDQLVPRSRAEQDHANILKDMVRERDVQKIAVSWQEILTQWRESNDLIAELCL KAVGRWVSWIDIGLVVNQRMLDLLFEQLARAQKTNLREGEESIRDAAVDVFTEIIGKK MKAQDKLEMISFLNLETIVSQLIACPPLSERRFSSQYDTDLAETVARLVNNTVLDVVK ILDSEPQESQTWQRAENLLQAFLPHALRFFADEYDEVCSTVIPCLSEVLSFLRKAAKI EGPSPQRTVMLLPILKAIFTKMRYDDTSSWGGDEDETDEAEFQYLRKRLGTLQQTIAA VDEQLYMDAVTNLVGQTFANLGTQGTNVDWRDLDLALCEMFSFGDLAIKSGGLYQKNR PNSPAAEKLVQMMLRLVELDIRYFNHPATQLQYMELCVRYCSFFEKHTQYINPVLQNF LQLVHHPSIKVKTRSWYLFQRYVRYLRSHIGNVAETVIDNLTDLLPIQAEIPEEEEAD EASSEDHDSTADAVFNSQLYLFEAIGCICGASSVPTDRQVLYTQSVMRPVFADMEQHL PGAKAGDERAVLQVRHDLMALGTLARGFSDWTPGSASAAPGSPPCPEVQSAFARVSEA TLVALKSLRTSFNIRTAARFAFSRLIGVLGSHILPQLPEWIEGLLTETSTKDEMALFL RLLEQVIFGFKNEISVILDELFTPLLQRVFTGLSEPSTGTDDEIQIAELKREYLNFLI IILNNDLGSVIVSATNQPFFGTLVGTIEHFAKDAEDSHTAKMAFQVLSNMCSVWGGPE AVGDSARGSAIALPQPSLPGFDRFMMTRFSPLCWAIPLNRGFNPKDAQARQVLAEAAG LQKTIYLKTGQEYLSWLKDNELRNMGMNEDMINEYLRNLVELDQRAFKIFFQNFITKG GTA EPUS_00905 MAQPTSPPSFITVTPLASLIVRTAYYTLLDVRREPLHPEPTVLD FLVAVHGLTGWVMAQQALRARRPQNSIEDIERYLWGQEAQRNKMVLTERWLLDKSSKR WLDGQRWKEEQQQRKKTGAEGLLH EPUS_00906 MSFSPERQESSIERNELSPQALLPSDLLESSPEIGTSSQTAIDT VSPLIQSTTTAQRVARTPPAATTWVPRHHDLILERNDQFVDETWALMSGGPLRTAGQH TQASQVVPYTPGEPGPALSSTPGQMPAQASSREQRLTEQPQIFLPPGHGRTPQQRAAV ANFNAAVAARARQRAAADRARQAHLATSRDQPNNENIGTPQNDQAQASGPGEHQRGRA ELGNIASPAAPTPAAAAPTTTAMQTPLLTDPPMTLEPGQSIEFPMAFDTAHPLYMAIN SLGQPANDTDTRPRAHEGNSIFRDMMNSLIAQGRAWPATYRQVHLPRNRHVPYGKEFL YYGEMIDNQHSLFPRAATLGGDPIAIRNARDGEIAGWQDAASNPRSRIYLVPTLNPGR WHDGMDGSENYPRGRGRRRR EPUS_00907 MESANSIILTDKNTSSSQGADSESSCNQSYQVRTSAWEQQPKMI STASAATAGLHATTPSNIPVRQFRAGVTGAGSARALMLDKELSLTRVQDSGGPMPMPT PMHELHDPELSAHFPLLTDNWQLPPDHPIPPVQPVLFSDSLIDVLSPALGFLSPSTSS PVPADHSYISCPADDLHSYSLEQYIQITGEAEFLNAITAADAAMPYGLDDLILPSTLP ETNIDLDFDTMLAAVSPVHHHDLSLSSSVRSHSPSGTTSSTYSLSQDVDLDIDMAFQG DQEAAQRLLFPSSNQSYYSQPQAMASQQLLYTSQPAPPPNNQSWMSLPVFAQQPNSYN NTLPPNTIYPSFDSNGFSTEGGVIPPTPQATEAPSFFLPSQPQEGMLTAGPSHTTSYN SSHESNTASISSSISRSCSPVSLNCTASVTTFQSTAPAYARRLSSTPQPASSVTQSQS SNSLFAYGIPVPQASPNATQTWRCAYPNCSSRALFTRGCDLRKHYNRHSKHLFCRIKG CPQSGPRVAEGGNNNANGASIAGFGGVGMGGGFSSKKDRARHEAKHNPRILCEWVGEG GERCGRRFSRVDNMKDHVRRIHRRGQADGQHESGIGVRMEEAGAANAATA EPUS_00908 MFSHPSTLSSISKATDMIRIYEEELDDEIDLLEEQQTTSNADCM KRMAASQAELSELLKKIEDVRERALQTEKNITDMTADIKQLDNTKKNLTLSMTALKRL QMLTTAYEQLAVLSKTRQYRECAQLLQAVIQLMAHFKSYRSVDQIASLSRNVADLQRE LLEQVCEDFELAFAKGEVAEKRIILSEGCLVMDALGENAKSRIIIWYCNTQLREYRSV FRGSEEAGSLDNISRRYAWFRRMLKTYDDEHAAIFPPQWRVNEVIANAYCEGTRDDYR GILSRTTRSGQSLDVNLLLSCLQETLDFEHSLEKRFASTSRASIDTVTSASESIVFNQ PISSAFEPYLGVWVESQNKQLQTMIQKYRQQPLRQPEEDFSSQQVISSSTELFNFYRH SLAQCAKLSTGQPLLDLSRVFAKHLEQYSQQVLLYHISERPTGGTPSRTPTTEDLIHV LNTADYCYNTCSALEEKIKSRIGEPFRATVDLQNQADAFMGIASASVRGLVRRVEVDL EPSWREMRNIAWGKIESVDDQSSYVAGLTGRIKDRSSEIVGMLHKPQYARAFADNLVE LLANAYLANIVQCKPISEGGAEQMLLDTHAVRSTLLDILPSPPAAFTKRVHTSISKVE PLLKTLQVHPLPPEALIQAYLVHIADLSDANFRKILDLKGIKNKNEQNHLVELYQMHK LSERYKASVVEKSPLLTPLTISAAGTSAGPGGAAAAVQGLGQLGAGAAATLSTANLPA NMKFDPRGLGDAIMDRFASPSLGVGTPRDGAQSPPNADGPMNNATASLAGTGAEAGAK LNENLKNIGKFFRRDLRGFGGRFGGSRSPAPEDRS EPUS_00909 MHRHASSYLNALRCTFENRTIQLSVRTGSFLQSRSVSSNARTLP KVAQPSIWQSLIPRTLRNRSDTASESSQKRVTNPATYFIWIYLLIGSQAIRIIQLQTE FNTFMRRAELKIEKLREVIEALQRGEEIDVEKVLGTGDEIQEREWEEALKEIENEDRM WQTNKQKRKEERARAREEAAREATKDTASPVNDAIEQHAKAEDEAQEGRERRPRPPGF Y EPUS_00910 MTVANVLCEAQVDRVSADTQSAGTCSAPTLSLLRALLGLDDTAK DAWNGATAPKSTKEKTATATRAVKPTNESKVGSIANFTILSCPDLPIKCLPVNRRVAL ATVTFNKTLKNLGDALKARQTSTYVQSGNTRSPLKETQGRIPSVTKKTSKPGAPSQRL RPKSPELQRKASQPTPPSFDQATLLATAECARTCLQCLRLSKGGDSATEKQDEQLEKG ALVLISKLQGLGLVASAIEETLNVRKALEHILRRQEEHPMNGAEVGRKSYGSLDQCID FEYCGNNAITFALITAFQAKVLQLIAVDDGSQAGENLLEQLDPSNARSPCRALLHGVE RGWLSAEKAALQLHALSQNVLSICSVPTNGTSEKPTSLSYREVQFRMQCLALQIRCYW WQIAHHQPDIDKEVWVPFNHYVGSLRRRASQITKAHFVLVKGCLDQLLNVLSETQNAL NDGKLTLQPSSAVMLTLQNMAEAAGSTRESTALLHDLIGLCTDRSGLPAVISYCKLAD ALLLDIGSRTEDLIHTLETTLTLLERPLKGTTTEMEDLLIQASSLRKAAVKRFTEISE KLQKSAPCDESYSRLSNVCIRIIFGVLHFVVRYTSFKRQDEPKARCVAKSSERGQHLA LIAQQSSKSALAAVHSNITHQYVSWEFCTAALDDCLAVRKIMNEDCEVVPNTDVKVSN MFWSWYLKQKESGASSFNLVSILMRSIQPLERSTPAEARTGFLAVKCERAAALYVELK QIKSARQVLAIAITAHLQDAIFSNAFEREPTQSPQQMWVEADSAGFILGRVLSAYARL LIRDAHDPPSSCFYDKADLKREERALLLEKQFGALRDMLVSQNLHPRLKEVVEVVLSL YSESTHLLYQLRFISTVLSFCSRNSIRPCQFLPEQAVKLCMDRPTEYILPGRSASARC LHSFVLVQYAFQNGSTSVELLQHLVSFHTMARDSCDSWTCVLRSVNDPAIIIAQVQSV VDYTDMLGLMQIKLDALLLMKHLLELQPEKDVPALVSCTTHIGLQYTRMGLTNIAGRT LANAERYLTQSSSKTLIALQWHLAYAEYLIALSSCEKAAEHLVSAQWRYEADFISDQE SGFSGPRIAQHKYLAQAACLASDVALQHGDIDSAIMHAKKSVRLSIRLWSMLEKLLGV KRLPVVVERKDAKLEGLTEDLSDMTLSTEVQLKASSPKAAAFWAYVQIHFEGLLHLSS LSVHHGSFQDAVYYCEQAKKVAEAIESDFLLRRSASTLAALLAQGGRLQGSQLMLDLC ASHIKDTGTPMDSVRVSMVLASAHLARGEFNRGLEATEHAQKALSKIQTEDHLFSHSK TQEESSARATTTQRAFRSAGQRASKASKPHQQPNEVVGVAAHIDVKDVPARELVAPIS GSVWMQALQAEALLLKSSLCIKSGCEKDAMNLLKQVICLARPSATDVKHSVLQATLML ADALRLFQSDAVYSVLSESSIAFPTHRGEAEVGLRYENGAQGEMTSTRLNPVGSPRKK TMKKQASTPTALLKPKELLSNARELLLSFLYSSLSSSASTVANELCCLLTRVQLISTA LSDATSLSTFQIACHFNAPKSMRWFREVASISADNVLGDKPRVFAWPEIRDCDECTTS ALDCALLQQQLHKTLPPSWNVITMAMADDSSEMIISKIRQNYSPFLLRLPLDRSAGED LDEEPFRFHTAYSELGDIISNANLTAHDMKAGSNRQAKKLWWATREALDARLASLLEN IETLWLGGFRGVFSDQYHDEELLSRFLESLSRTLDRHLPSRRKAGAASKPRMHLHPYV LELFVALGHPDKGDLDDAIMDLLYFVIDILQFQDERNAYDEVDFDAIVLEVNDALRSY HEAARAAPANQGRHTILILDQGLHSFPWESLPCLEGQSVSRMPSLACLQERLLRMRQN NEISSGLHIDACNGAYILNPSSDLASTQETLLGPFSHSLATYTSIVNRAPSEIEFESC LRDKDLCLYFGHGSGAQYIRGRTIKRLKQCAVTFLMGCSSSKMVECGQFEPYGVPYNY LYGGSAAVVGTLWDVTDKDIDRFAMETFINWGLLDRSVVVENTKERARKTKPRGTGRA KKQNAGPRDRPAGEQTQTEVGLDDAVAKARDACVLRYLNGAAPVIYGIPVYLKW EPUS_00911 MALQFEPCPPSILEYDPEGVELGTDMPGAWNHTAARLEHHILYK WFSDLDSKSSTSWCSLQSRMATFQTLDTPRSASTSQTSISTQPSLLSRPSRPRSSKSM RQPRSREPSLAVEESRPTSSEKSYDQIVHSDRGEGLFLMCKRDTVIELRGASSMDSVE ALPQCGEWNIEEAPSTPATPSLNSGLLCPGRAEDEITRHSGEMGERWKGRISGLRKSG SRIFRKGEQQ EPUS_00912 MSVITKATIASFGGKLLKLSHNASTTGCEMAFNLFLPPQATTNA MYKVPLLIFLSGLTCTPDNCSEKGFFQHGASKHGIAILYPDTSPRGVNIESEDDSYDF GSGAGFYVDATASPWSSNYKMYSYITDELPRTVFSAFSQVDSTRVSITGHSMGGHGAL TLFLKNPGKYKSCSAFAPIANPLKAPWGEKAFKGYFGEKDWQSKGKEHDASELVRGWK GPLDILIDVGTGDNFYKQGQLLPENLAKAAKEAGVDSGLNIRFQDGYDHSYYTMASFS DDHVQHAAKYLFT EPUS_00913 MAAQNSKVGGRAAPMPAESSSTSNQLDKTTSAVPTPNISTSAVP EVNKPASEVHPDNRHLEHRAPGTYDPWYEKYGWKGFLDWNILREDVPADRWILGSAWP GLPQFSPRSEARSMAQNKNLLANPPKRVMSPRSQRRKNERIAIFETRAQRDARLERLA QNEDSIPQEPTSAAVDDQKRLIQGDRATTELRAEVGAYAKEFLGKEEQLHQVHKGEPT SSMPNKEIEKDKESKGLGSDFVVREEKQTLPIRKPAEGSLASSTGPASATRPNREIPS TVAQPSSSAATAGQLGHQLEQGSHHRQKSSLSAAAPVFHSQRFIKSPGTAASLAYSTT PVSYQQPIPSLGNRNQPLRPFIGQDNALRPINSGWWPKDTRSRKQKRAALHQQQRSQA QNGAPNSAQTPSQPLPRATAAPCVPPIREAEQNTSSAAVPPSSGASNPTATAPRPAAG LPTPTAPPTTHQPMGSFSLATPPPRSVIAASASTNLGRGRGRGIGSGTGRGIPSSQAG NGTRAPAPAPVQSDRRPWRRNSYVSSRNAYHDQLRRNRQIRHGKEEDGDGGAARREQG DEGGSGRDGAAQQQQQYIRR EPUS_00914 MKPQVDYYDPEVDVRHSSPGLVATQINYKPEESPPPYISTSPSS GSVSPEPDTITNDQEGHTESPRLPAKKKLKRKKKRKGRTRPSQGDAVLISYLDPNRPD IAREVAQHALNSASQSEAEEDTEKDMSGDGDEEEDDDDRTKNKCRDNPQTTDLTTKAQ AALHDVFMEDPAPEQHVVSAGFAMHGAVNGTTKEHSLVNDAATLHVSLHALQNPEGPS KSLCPPLPLKIEPSFPEGKGEIEDESITTSPALAKFAISAAEANPDSTLPAMQKSPPR SMSSHSPDSVQNLPSLQTTLGQIVSTPITDTPNATSPFSQILGQSPIVTRPQHMAAGT GPSPIVYSHPSPASSKDMTTMSPPGYPSHASLWRSTPKEGSLSTISQPASVPGLTPSA SYPSPKENTSPESSTTPQSLNGALLTNGAITTTAFKCAHPGCTASPFQTQYLLNSHAN VHSSNRPHYCPVKSCPRSVSGRGFKRKNEMIRHGLVHDSPGYVCPFCADQQHKYPRPD NLQRHVRVHHPDKERDDPQLRSVLALRSGDGGRGRRRRTGP EPUS_00915 MHSTELWVPTTLLVGWIYTLCWSASFYPQPLLNWQRKSTRGLAI DYLTINVLGFVCYLISTSALFFSALIRHQYTERHAAFSKPTVRSNDIAFAAHAVIMST LTYTQFWPRIWGFRVYSCGHVKLLVTLVKYMPQVWVNYKRQSTDGWSIEQILLDFSGG VLSIVQLLIDASFQPDWSGVTGNPVKLGLGNITIFFDLIFITQHYLLYRHTGQGKVDE SIGGTEQPLLANGPRP EPUS_00916 MTGYHGLIRAHGILAAITFLGLVPAAIMLARFYGRSPFWALRLH IWFQILTFILTTVLFILGFFAVGPERRLSNPHHGIGIAIYVLIIVQFFGGWLIHRKEK GKRRLHIPLKLMLHQWFGRMIALLGIVQIPLGLTLYGSPQHLFILYTIAAFVLVVTYF VLSWLHQRRLSRDYDAGGRYHAGQDEVIDERRDKRSSGLAKVAAAGLGAAGLAALWRR RSSRDRRDDDDVVGTESGTSYMTNEKYSARSEHGWRSKLLTIGAVAAGIAAVKSLFGD RRDDSSDTGPYRPPLGGNQSVTTESYIEEGRPPRPVTPTGASPGYVRPSHPLAQSPMT PHHRHSHSSLSYSYTSGSPSRRDRRHTFRDAAATGGALFALRQIFKSRRQRKEDRRLE EERIHRANSQGQYTGDGFLPKRHRRDPLGSQISTEFSESVIDDRHQRPSGNATGPILG GVGAASAAALADRNRIRPPGTDPLVIAGPSNVPSNIPPIPPIHRTDVDSSGSEVFTTN SGHQPHHRHHHHEAAAAAMAGAAGSRRRHSGRTQNTDSMESPPVSLKVKMHGDGRHVT LRRLTEEEAAAQREERKRAKRASVPAAAGIANSGRRRRNSSFSSSSGGEGAGGRMSSA DRRWRRTEALERQQAEATAAQQPQRPRLPLRQWAHINNHHSQPNHRHHRYTTQRQSTP EPDNSSMSPLHHPYPLEVASVRPVA EPUS_00917 MGILANHVPSIEQLKPGLIEIIEESGGSKQFFLSGGFAVVQPDS KLSINAVEGYALEDFSDEAVRSQISEASKIAGGSGSEQDIAEAKIELEVLESLQAAMK LSQTKSVNLELYGFTTVPSSSPNKTAAVPSVLNVSMSTHNFLSLSTPSIYSWTITLLV PLREECGLPRILFGMAFNPTHGTVASRIQAFQNGQAPRSPQSAGKTRSSTQRAEVEIP IGRVRRANTTSAAPACPPTIEGKECSQQGRIQEVDAARHEPITNFDAGENTLHASLPA RPSTSHESTMPLRQHKLHSFSRQVPERPRTQKRQSSLDAQPTTQIQLSEARARLRCID DRRTGTPIVPLTDVNMSPVGQDADIHQLQDLIDNALDEQAKLDVEGTSAKQSPRLKSI PSQVELSMNSPSKGQSTKTESSGSPVRCGRDGRDFSRPRMSVTTPKGFGRRDGDYPSS ASTSTSPIRKQRLSTETVLPRSSTESEPLAASPLPSVYSFRDMKSEQETSSLNPTIST RQAPSPVKQRTAAFEKMVQRDKQIMYDQPHRHIAGAPLKKHWWLEPDENRLHRVPGLL KQEASATRSKANGIIQHDPPASTHTTTPMPRPGPIPLALPQLISSRGRAVSATSQFED TFETAPQSEAALSRLSSRVHSPRTIPKALAAQDIGQATKSPFLRWKAFMLDKKLPAYR TVSPSPGESSVGVNAGTDLTEQTIDTASHLQPRGNDLAVVASQDAISQRAYGQNTVTA VMQQGDMPPSAKHREIHESHPSKELSPQPLTPRKIISPKQDRNFRDAAVPGEIMKRAD GDMTIDDEKELLIAPNIANMDEHGDVATETGDETSSQRQSNDLMPFSLVQVEDNRSAP ASASTSAPGSPIRGRVACRTLHQQSRVMRNEESRNGVRVSRSRSKVGNVRVTVEVRTP QGSPSKGNAQRDPVGDGNGKGERVVIVTTDVQESEEGSVED EPUS_00918 MFHLSNTSNPLSSEEEITGDVDEPPDEPLSPLQMNPTMVQQPQQ PSTYTRSALPAMDRKESLLTRALMTGSNGDISSSPTSPISGAASRASTFSNASVPSTA ELTSDADDTSPTRSATPSPPLPVALYHEIHATNKTPLPPTRISFATGKQQEKLVADAS AESQIEAKMGRKRCITFACASKPAVKEPHAAKEERMEIAQPPKRKCLLTFACPARPAQ KQDPGPEAAEARRDSGSSSANDITAPSNEPHGGERQEHAQPCKVPLITVDTRKNALKS PTSPKQSDVKSSDASPFHEFATSNDHDDAWVREPIDTQRKLTLLDCMKKENAIRKIGE EAEEEAEQEEKEEDEFDDDNEDGINEDDFAPSDDGNESDNEKGFAESDDDSDGESEDQ FWIPSNNTTAATSVDHSSPHRPFGRRRQRGSSMDSLSDHGSRQEKRSESVDIKHPKHR RTSKGHQMRPGTPELPDSTDFVCGTLDEDRPLEAAYISCREQRKREKHILIPQDIDPS FPTTDPEDMDEDDDEDLDTPEDHLWLKNQLEGSEDDSTRGHASKHHKMCSKLRSPRRA HSPPPKHGVHCSPPPPRQTVRRSSPAPNSRLFGHSPQRLHSPPPAMKWRSPPGTRRSS PTGMALMPHQTEGLVISRLGQRPHMTRTASLPRTPNPFFHNYHARTHQNDRVVYGGMT PGREMHVRGPVDIVIGLEKKRQKRKEKFWRQHCRKAAKEQAERKTLRGRGAERMLYDV LASLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDRVAILQPTLHPTSEELAIGNNRFTT FDLGGHQQGQCTPFFNITYVYQQGFGGIAGARRLWRDYFPEVSGIVFLVDAKDHERLP ESKLELDALLAMEDLAKVPFLILGNKIDHPDAVSEDELRHQLGLYQTTGKGKVPLEGI RPIEVFMCSVVMRQGYGEGIRWMSQYV EPUS_00919 MADQNEVDLDSVIDRLLEVRGSRPGKQVQLLEAEIRYLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKQKYVYGGMGSGRPITPPRKQKKK EPUS_00920 MQAEYAYYDYKTVKRVTAQLRELRKQAEIEDSAGENAGESAQSV EALKTLLENCIKSNFVGVENPRLYSETYYGTKDLVQDFVEQIQQSLKTISESTKISQE EKQLFFRQVLDTNYGRTALCLSGGATFAYYHFGVIKALLGTGNLPQIITGTSGGALVA ALAATRTDDELKQLLVPALAYRIRASPDSLFTWLRRWWHTGARFDSLEWARASSWFCR GSMTFREAYERTGRILNVSCVPSDPHSPTILANYLTSPDCVIWSAVLASAAVPGILNP VVLMRKTREGTLAPYSFGHKWKDGSLRTDIPLKALNLHFNVNFSIVSQVNPHINLFFF SPRGSPGRPVTHRRGRGWRGGFLGSSIETTIKLDLQKYLKILRHLELLPRPLGQDWSE IWLQRFSGTITLWPKIVLADFWHILSDPTPVRLARMIQAGERSAWPKIKFIDNRMRVE KMVVEGLRISGTKGDHAPPTYVRRQQDQDVLGGEKDARRKSVRNGVLESMMKRDKEEP GPDLRRPSRRHNSIVEEVRRQSSVFFDDDADTDEEAATTSSDEDDEPVVAGEEHGTRV G EPUS_00921 MEKLNSEDGQLFIRSLASFVRTHEKALANSLQMQRQRAKNASTS SLMSLSSPTTPTTTSNTSSSLITAFSLGGLSFMSHNIKPAQLTLTPHHLFYILSRLEE LDIAIGPMNIRLENLHTETSPANYVSFLNQPRSKRRSDKDSIHSVSSVRSVMSGMSSL WSSFGLGGSGGVTKSEKAKAALEADLKYLYSAFTKLPSLRLSPDHRARLIQGYEEFPF DTAVPLFAFKNIQSLDIVDMDFRQFYGWDRLAEQLSLLTVKRANLDDPRDLITNIVLD DTERRRYRSTKTQQSSTLAWIVPSPAKSVVGRSNSDPGSPGRLPAESLSLAVAEGETK DGEASPSFPNGSVSPIRPLSSRANSTYRHVRSCSSKIKRSESGSSNSSEHSLLRHQSE SVYGSSNLGSLPASKWRFLKYLSLADNSLTSISTSSLLPLANTLRSLNLSSNLFTEIP DSLSILTRLTSLDLSNCMIESLHSLTTSPLPAITTLKLKHNRLTSLAGIERLLSLENL NVQDNKISDPMEAARLTGLPNMHRICVKRNPLTRRTNYRITIFNLFRNTPGYSEDIII DENSPTYSERQFLQDRVPESDRPPVVRPVEVIQLSQPPQPQDKNHLTPSSPSDIAIEK SQPEHAITSSRRRKVPRRRIVDLSREEEQGSGLPPTNLDAFGPLLRSPGAREPAEATV NQAALSGRNNVKIANDPRIDTSQDDFRQRLEALKLEVGDRWLSVLKDQGLASSTDLFM NGNSAVGPIQSLQRQHHQALVSGGRTLG EPUS_00922 MQFNSFTNMSVALITLLLPLTAIAVPVDSPQPEAREIDPGCTLT CSLWNYCLIRNLTDRTKCGEEPTGCECSTFAF EPUS_00923 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELSVLCSVDVA VIIFGHNKKLYEFSSGDINETIGRYQYYGQPHEHKGPADFRGKSGGDDDDDDDEDMSP PREESMPPQPTPQMMPPHIQHQPPFGHLRHPVPSASPPTQNGIFPGQHGSPQPQMASR PSSRETVRRSSSNLVPMQHPRATTPQPAQNGFAYMPNQSMYDHRAHPNLNPPPTAPPQ FQHFPHHTPPQPVQHHPQHAQHQHPQQHPQHAQVQQAHQAFLQEHRRQSLPPALPQQE RPQPTPTPPTQHQQLPSQSPPQPPPQQSLRPPEPQSPPQPKHLSSKSRSIFTPIDASG SVLASHFFGRSPDPARPDIKEEDSPESKPRPRHQPPLARSTPQPGRSNTMSSEGIIPP SRTNTSSSMRSAAARPRLKVQIPSEASDAESGTADESSPAGTNQNSGGAEAAATAATA STSTPSNSNRNRSHSSSVVLPPPSPSASALLSAGASGPPNPFARPPQPHNSNAYVDNR NNNIDTPISALPSRFVADNLLPSPSSFYPDWGLGSGAGSGSGRGGSGGHDSNMLPSPL TFPTPVVQVGAPLGWGRAAATSTGGPGPESGFAVGEKRKDFTGAGAAGWSEDRAEGEA AKKIKT EPUS_00924 MLSRAARPALSASNRALTRIAVPNAASYATLREIEGRLKSIKNI EKITKTMKIVASTKLTRAQKAMVDSRTYGQTSQQVFDEAQTKALEDKKTLLVIASSDK GLCGGIHSGMTRATRRMLETDSSLDIAIIGEKCKAQLGRSNPKNMVLSFAGVGKDVPT FADAQAIADRITQLPQDYASMKIMYNKFINAQSYEPVIVEAFSEEAIAASENFAAFEI DDEVLANLREYALANSIYWALAEGHACEQSARRNAMDNASKNAGDMISKFQILYNRTR QSVITGELVEIITGATASADM EPUS_00925 MSSSDDEIPLSRKMNGSNGVRAPVSAKTIPKSVDAALDKANPSK DHVQPGISIRNGPMEDHDHHMPDVNGVEINGSTSKRKSRGSLAKPSYAEAESSDEDNQ PLSKRQRTSAQKAGDIAESDSDDVPLVSKSHIRRPPRASPAQIGGLSDSDAPIEQKLV AEKEKIQKKAAKEAVAIRKEEKKAASTPAKRKAKVKDEDGKGPDAAKAQKKSAPKIAG SKKVNGVKKEDLSDDDVPLSKKSTSSKTSKKVKAEASTPVKKGSKGKEAKKEESADAE EDEEEEYRWWEDPAKGDGTKKWDTLQHQGVIFPPPYEPLPKNVKMLYDGKPISMHPDA EEVATFFGSMLNSTHNVENPTFQRNFFKDFQDILKKTGGAKDNSGEKVAIKDFAKCDF RPIYDYYAAQREAKKALPAAEKKKLKAEKDAVEAPYMYCTWDGRKQKVGNFRVEPPGL FRGRGEHPKTGTVKTRVMPEQITINIGKEAKVPPPPEGHKWKEVRHDQEGTWLAMWQE NVNGNYKYVMLAANSDVKGQSDYKKFEKARELKKHIDRIRADYQKGLRAALTSDRQKA TAVYLIDQFALRAGNEKGEDEADTVGCCSLKFEHVTLREPNTVIFDFLGKDSIRFYDE VKVDPQVFKNLKLFKKPPKEEGDEIFDRLTTSALNKHLSNYMTGLTAKVFRTYNASYT MATLLKDMKSTGTANEKVKDYNDANREVAILCNHKRTVAAGHAGQMEKLSERIKGVRY QQWRIKQMMLDIDPKLKKKKGAEYFELPQDLDEEWIHEHQAYLVDEQKSKITKKFEKD NEKLRAEGEREMKAKELEEKLEPARELEKKFKKENKTKKVEAEGKGPTIEKMEAKLTQ LDQRLANMSLQAEDKENNKEVALGTSKINYIDPRLTVVFSKKFNVPIEKFFSKTLREK FDWAIKSVDEKWEF EPUS_00926 MDNSNSGELNWRLSAHPITLLCYLGFRIGSLLMYLFGVLFIKNF VLVFILTLLLLSVDFYYLKNIAGRRLVGLRWWNEVNTSTGDSHWVFESAEPGSRVVNA TDRRFFWLSMYVAPALWVGLAVLALVRLLNAIWLVIVAIALILTITNTVAFSRCDKFS QASNIANSAFMGTGFARNLAGNMVSRLFR EPUS_00927 MAKNILRRTLLYVPGSSQKFLDKSRSLAADCVTYDLEDSVTPNR KAEARSLVRKALDQSQPSSIKERAVRINSVSSGLALPDLTEILQSPNLTTLVVPKVNS PSDLTFISDVVAHSRPTEFAQEPISILALVESARSLTSLSQITAATPHLLSGLIFAAE DYALDLSLTRTPDLKEFLFARSAIVTAARAANLPSTIDLVCTAFKSEADQETLEHECR DGKRMGFNGKQCIHPAQVGTVSKIFSPELEEVEWAVRVTIAQKRAEEMGRGAWALDGK MIDAPVEGRARAVVKKAELCGFDVKAMQEKFKDQQPE EPUS_00928 MFSAKRLGKELQKAKQHLPPGVELVKADDFSEWQMDIRVLDDNP LYKDEIYRLRFRFGNNYPIQAPEVTFLHIPPSSYSSSSFTPHSSQQPHNQYPPPTNNN DSTVSTPTPALAPPDRKSVV EPUS_00929 MPYTRPKPLSSSGDSAMSGESHSQNDTNLPFSVSNMLNKLHGQP ESYDKKSVPLLLSPLPSFHASTTPGLTPPPTPPVPLTKARAQYRFGKTLGAGTYGIVR EADVNGKKVAVKIILKKNVKGNEQMVYDELSMLQKLHHPHIVEFVDWFESKDKYYIVT QLAVGGELFDRICDQGRFTERDASQTIKQVLGAVDYLHERNVVHRDLKPENLLYLTKD PDSQLVLADFGIAKMLETPGEVLTTMAGSFGYAAPEVMMKQGHGKAVDMWSLGVITYT LLCGYSPFRSENLGDLVDECRSGKIIFHERYWKDVSRDAKAFILTLLQVDPRRRATSK EALKHTWLKGETATNHDLLPEIRSYIAKARLKRGIELVRLANRIEALKMQEDEEEDMP GSADVPANAEQAAGLSVANQQRGISPSRGLATSENPEKRSLSKIAKGAIFREIVLAKV REIKEKENQEKLAAAATQKYGQKQTQGGKR EPUS_00930 MLLHGQTTPETYGKLLSWDEHELAFEWMMSGLQFHPGMGLLVLQ IQQGVLEFLVLCCRKILQDLSFDSLADSSIPVQPEPATLLPNETAYTSLAALAADAPY RVPAHLDFRHIRSLAAARASAANDHIYALREDPGYFSSVIGDYSEHRQETLLDTNRRR HDVLKEYLFWDRVVGNAIGDAYTAHFIFDTIHKKVVELENLEQRYSESISPQRRLPKQ YQQALLNFNHFLDETPKGLIYCLKVGVPPSPPLRSLFVREPQEPNTTKIVVRSRQIAS RKDELLRLFQILWDDQQLFLYALPNLMDELERLVESDRQQKQRLSGWVKSIVSDLALL AQMKNQLNLYQPWASTFENDAVEHGEAIKADYTKSMSPVTEYVKASRSLPSVGRLVTP LRDKFNYPIDKPRTRQSSDTMRAAEKNLDLFWKVIDQHFNANCRSDVVEPVNSEKPTK EEAEEDLSDHFSRLSSGQGQSSFETPLPKNKVKTHGPVQKGGSHGAEPPEHVPRTLIP DQQQQVVVGRRAFKVFSTLFHVPNLTDTPGDVAWPDFLHAMASTGFQVVKLYGSVWQF TPTKLDVENSIHFHEPHPIGKTPFRMARRHGRRLHRTYGWTANTFSLA EPUS_00931 MATATLQSAVRLPTPPPGASYSAGPSAPRKRSPPSRSPSPHRRK SPPKDLDRHVLFSTEGGTPKPAMSQPQLAERVRERGVPEPRPKPVTEEEKQAAAKAEY EKLLTMRSGGTYIPPARLRALQAQITDKNSKEYQRMAWEALKKSINGLINKVNVSNIK HIVPELFGENLVRGRGLFCRSIMKAQAASLPFTPIYAAMAAIVNTKLPQVGELLLNRL IVQFRKAFKRNDKAVCLSSTTFIAHLCNQQVAHEMVAAQILLLLLHKPTDDSVEIAVG LTREVGQHLEEMSAPIALAVFDQFRSILHEADIDKRVQYMIEVLFQVRKDRYKDNPAV REELDLVEEEDQITHQIGLDDEIEVQDSLNVFKFDPEWEEHEDAYRKLKAEILGEGSD GDDDDESDETTDDEEAEQERQMEIKDQSNTDLVNLRRTIYLTIMSSMDFEECCHKLMK VSLPPGQEPELPSMIVECCSQEKTYSKFFGLVGERFAKLNRLWTDLFEQSFAKYYDTI HRYETNRLRNIARFFGHLLSTDGIGWHVFSIVHLNEDETTSSSRIFIKILFQDLAEAL GMPKLQERLKDEILRPSFEGIFPRDNPRNTRFSINYFTSIGMGLLTEDMREYLKNLPK PALPTLPAAAPADDSDSESVSSYSSYSSYTTSRSYSSSRSRSRTRVLSRGSRGRVTRD RAGRRSRSRGISESRSPPRIRKGRRPRSYSSSRSVSPVRRRPRPDSLGHDRGRPRERD SSMDSVSGRGARHAPQKDRLGHRSVTRSPSVSRSPPRYRTGAKPHRYDSPTSHSPPRK RSNQDISRSRTPPRFRQENGRGRTLSNYYSPPRQRRGRSINDSRSPPRLRDRRNTSSL SSRSRSPLPNRVTERKLPSSTRRRSPRDNRGTYERGNHKNGDLARGKAPAIDGRTRAS DFL EPUS_00932 MPASESRQNRRESPPKAETSHCGHKNAVDESESRRQSRKRGKNA VQKETITAKDADTTVANEVGDNQISTTSGSANVRASRPSPSRSDFAKSTVLDALNSAE KVSDSDGYNLAGAWVQPTSFSRTPSTDLLEGMTMGVSPPTFGNELERGGFSSKTPPSS PPTRKSRPVSSGAGQYHPQARHSLSERSLHMSQQPFVVSSPPPPHLPQAHFYGLPEID LGAGIRLREERSDRTTQSLSFIDLPSQSNRRRNNRLKGVLLASEDRCDIVALQKDKLA ALGALQGVGGTIVDAKILTWEVGPDPFYSLRPLVSLIVHGPRRRDYAPPPTMDSALDE DRTITGTPARLNDCTDFQTSVEIYSLATQQHLATLLWSQPNPGLPNIRGLPMSVPPPV GNLKLDARGNFVTISSGTSGEIFVFGVDRDTARFDCMAKFWTSVQSLQDRRYSNSSNS TEPDASPADVNRGGKITQLPIMSLSSRWLAVVPPGPSACQPLPVITGPRSSSRIVPGL DSRNAPPQPPVSCTSESPDAESLINRVARGVAQEVVKGARWLGGQGLQTWNNYWNRDQ YGNAQSPALNVYHADSHLPAGIFPPTHAPESRPTSVEPQLISIIDLHMLASESYANYS DTAVATTTFQPPNGVSFLSFSPDGLALISVTRKGDVQYVWDLKQCRHVRAGSLIANPN SETSTRSAKVTQLAKFARLTPSSIIDIEWRGPTGDQFAVITRNGTVHIFDLPLSAFHW PSRRRSVRLVPSSAPASPAVNAQPDEPTTAGSVFSSAMKLASKTQPILSNLRGRAPSI GTSIIGGNGNNTISLASATGIRGSKVVAAGLSKSVGAAAGTVSSLRHAGDNRLHLNSL VKNPARSHVCWSQDRGQSTLLVLDDQCIKSFPVSRRRSSAKPGRQPLSVIDANPKLSL VLPALEQLSNLGATSKTAPESDDDTGEKSVTGYWKLLPPSKPPQCPHIIHPLSFSEIE TNAPYQPFHSDRRVNLFIYNDRSAGDTASESHEPWAFGNDIPTIRLNIRPAALSDEEE HNVGASVLYRQTSTTTGESTGGEEGRAEQVVVTTRRRKAKTPRQSMLAAGIQSEEDDG FFEDDCDVLDFAEDRV EPUS_00933 MATLTKSSNWNNASTKLVFDPPEGEAYSYSLWAPELHEIDERWY IIFTANADNESPPPETDMLCDYTCPAVNHRMFVLESSGSDPWESNYSLKGQLDTYDQF ALDVSNPWTVSTPLSQRTILSVPTLPWEKTPYGRPTNNRLSSNEAPQQLTNPHNPNRT YIIYSAARSDNRNYCLGQLELVGQDPMNVNDWRKTIEGPVFYQNALEEAYGVGHASFT TSPDGRENWMVYHGMSDPLGGWRARTIRAQRFEWGDDEVCGDPSFPRPGYGPYRVPSG QV EPUS_00934 MACDNGHNYIKFSIEMGSRRPTLRLTHNPLQQPYKNIPYDMITA LLKSGLGHFHTLPTSAFYSSSQAAAAKPPTTTTTTTTTTTDISGVQMVRLHNTRRSLF RTVLRKAISTINQAHYPLRKNSLALLYRSSNRSFRNIGVRAHLDGQERKLAHRSGVPS NFEASGMRQPPLLPRAGLCYTYILN EPUS_00935 MAHPQDSSSSSVPVQGRRSGQSQTHQREAWTMQNSDDNGSPSRE PSSDASSFCQNSRTSESSLVNAPDSPTQDESDDSVISPLQKSFGNDSLQGIGGDLYPP GEEHLLGLSHSNDSSAQDQSADSGENNAAQADGTVKIGENESKK EPUS_00936 MPATQSTLLQFHGSHFRGQPLPSLTHPTLGFASTDGLQEIKNTE RTNDVLGHYADGFKRTLTDEQIAIFRHTEIQELLRERSQRADTLAGSAVALDHIAAAR SSQAPSPERENLSLPSILADEDRKYGIKEEEQLCDNAETHDECLTSASKVHNPAVGHV DQDLNQALSVEHWTNVKINPPARKTIRYQEDDLSPNPNPTAASLCSKAPVKSRFVWPE LRHQG EPUS_00937 MAEEDKLTYTSSFPSTWASSVSLASTTEAGSGVQLPSRPKLHFT PSKIEECTIHAAILEDSAVNAYDFAYLPDGSFRERDLPNKTPSLRPHVSLKPSTHEPT VARREPFRIHADTSTGELQNEQHAHALVFDCDTNCVHISRSTRGDAPARLRGHPRTNS VYVPQREKMHDMRLVVTESGPEWRIRMGLESPLNTYESRSFDMGPRQGTGLDKEDLDI AKIAAENLKYYARQKSSTSTISTLSRSQLRPSQMDKPAKTRRASSTYSSFGRND EPUS_00938 MDSEGSISTKMVNFEPEDTKVDTLQDSQEPKAEQQQVADEDDSW VAFQDCTIAFAIDTSGSTLGNTLNIEREAISSIWSLLTPLARSRSRVIPWNSTTEPVI SITMLPNLRSYGGTYPTSLVQKSESRNTIKSSTLWVLLTDGIIDASEYQQFAKDLALH GLHGIACIIMVLGNTRRSPWDCDISVGVSVFAAVPDRLFLYVDDTSEIAYLMQCSGRF TKILEVQGKKQPVLDTRTLWFDLPQIDLKDLVYVSIPVPRKLGKIELALQGGLVINLE DLWSGQDLGEETTDRIFQHGDNLRSIMLTSQTRGHVESFQNWLKPFLEPGNLQFSAKL EDGGEAIRTIKAMLEEMQTVVIPEQLRVELQVRLRTAHEQNKVNLLKQYEGKRKKSSH RRKSGNSANERSHEPVHQGRFLSSRTIGGLTPDSDEDSDTWKTDKLVRKDRITPSSST AGVQEVRSSNVVPLFTNDFFKGTEPGRSFDGRCNLCASLDSTLVLFLRDQPSKLSTPG FPAVSSVSKLAYPLAMGTFPETDILSNYACCDSCSFLLAQSGHTPTGDAILCVLPLVS YKINSPAYLKQLRLAFKMRFGDFDTLMVFLAVLLTAKERVAPVAEKEIWHRGNLLTLD ANSTSMSIENVFLNSVRSVYQGNAWEAFFRYPLEGFVTLMLALDCMQSQPDLPHGTEN VVWQRFLFALTEQYHEYRGVNGPVLTHVAMTQLIMEVDPRDVKQPRPRVGSSMRSFRD LARNMLGDRRPDSRLFLDLDTLKLSPLLKASDIKIWKRLKDKFEWIDTAAGYAVGAFV HHLARGGTTQTSAQAYFAQLMSQKALQIVFLKPSKIDAEAAEGLVGDLPLMDKEGSTQ NE EPUS_00939 METTSLTISVSLKKTLLSDETAVRCLRASKQGSGKSSPTGRSDT VTDVLKLTVLLDGDLNMEELASTLKMRDEARFEFYFVNRFLIESKSLEIPKDARKLRI SVSALRLLLSYLEAPPAFVAAVSRFGQPAGRGYRAPQTIGKAKTIDFWYLLPVQIQVE CTENALGHARSTAGSSQMDPFHYLHLPDEKVDIRGSQIAVYCSTNLSTMKTTSLCIGF QDGRWSRSVKEPQRRIKEALKHFEHLHCADDPFFIHLVYITSALRWWNNALDSFNNQL IVHEKSLQNQMNNLHLVTPDFNTEINKALHTMTAHLHRYGSELGWLGDIVAAIAQQHE TYFKYVRKTPPERTRFGLLQVASHLSAISSIRQELEGKTKNILALLFNNIQVSNDRLL VENSKATHKLLKAARLEAVLSRRLADQSQKIAIEMRKDSVAMKTIALLTAFFLPGTSF AAILAMPFFTKRAWMSGTSRIWLWVALTVPSTILAFAFYTYWKARDEKRSASKGNAVD MCELALSDDTIALVGGVGGTFETDHPTMDATEANTVESRITLSYKGRSGGRHMVWQSP TKPLGVPEDIAKCVKWSTGAGCVTASRITVGGGLTKQKYQGDQTIAASWKEGM EPUS_00940 MLQELCDALQPFNEATNFLQSNNKGARYGFLWECLPMIEWLLLT LETLKEEKGIRDRIGLSANNAWNKIKKYYEVTDLSPYYVAAIVLNPTHKWRYFDIHWK NNKYWISEAKKQMKALWSRYKIQHKHAVDEEELLPAAKFSPKKGLFKSFLACGQSTGN EDEAADEYMAYCQLPALKLTPQNLIT EPUS_00941 MDETGVMLSKLNSVKVIVGKDSKRSYRGARVKRTTVTAHRMREC QRFRTHETLKILEFCFENNITLCRIPSHTSHKLQPYDISVFGPLKAAYRDQVEQPKRG GERALTSRNIRAGWAKASLFSFNPDRVLSDTPKPAAELAVPKINEVDSCAQDRVPQTP LTPVSAEAVTSLHNLIKQDADMLDETSKQRLERHVQKLTNAAQLSFAERALLQEHNQF LFQINNEAKVRRSTKPEMLGTARVMSYEDLEKARADRAAKEIAKEAKKATRDAKKAAK EAKKVGIPEAKKTSAGRAKRGRKRKSPAEVYAPELKARLAQMNAIQIAEDETAPTPWM VPVAQM EPUS_00943 MSQTQDSLRPGYYQSSMLKMLGTRRDLRSSHQKSLQVNPSPSLL ASLTPRAVPEEEESSVPHIEQAQIVAASITQPGPQAVPLFVGEGGYGDILAATGNVDH RHFIVPGEAEKPLAPEDLAFLKVKGCFSLPAESGELIKAYFQYFHPSFPVLDGPSFLQ EYASSGLNKINLLLIWSIFSISASYLPIQSRRATKEVCVQRAKLLFDLTHESDKIVLI QSALLLSFWFVDAEDIKQSWYWSGIAFGIAQTLGLHRALDVGLPQSSMREQILWRNIW RCCMFRDVWLSYGMGRPLRINATNCKRSELPFSENHVQGIAFDGVDLYTPAEAAGFMK MWQVLVGVGTTLRAIMSIGQDLSPAQTKSFGIQICPQSDWEQTLLLTVVSRQLRLHQN AALSALYRSSDDREKLGAVAADTTSVIHACLDDGTTDYVAPTTIPLVVPAMLVQLKML KSTELKSRKQGESTLELYFRFLTAIEDNYPAASIVKRLFAAAQNFIFRSDSGTIHKDQ LTSIPSPLVSFPSSQQLEYDHFQGFDY EPUS_00944 MKLQYLVTLCTVFSAASISVAAQSAQELLDSVLNPDPADASYSS YASQIYYNATLYNQTPIASTNFDRLEASAKLLLPATAYNYAAGGAGLEKTVAANREAF DFWRIIPQVMHDVSPSRNLSTSLFGRRVPAPVVMAPVGVQTLFHPTGEHATAKVFGEM GLPYTLSTATSTGFADVAKANGNNPRWYQLYWPSDDDLTRSYLRSAKQNGYEVLVVTV DTWDLGWRTRDLDQGYFPFIRGIGVQIGLEDACVHEKLGFNPLAPNATAEQKQMAALY HVITTSRGISPVWRHLTKLRDWWGDRPIVLKGIQSVVDAVKAVEYGMDGIIISNHGGR QIDGAIGSLETLPAIVEAVKGKITIGFDGGIRSGADIFKVIALGADFVQLGRPILWGL AHEGEKGVRHVLKSLLAEFDLTVGLSGCQELGDVNSTLLAASSFAPTTDSFFNVSLIV LDGISDPSSAAHQLDPGLKPIALLCSAPQVLLLHFPRGFDDFLVDSLGLGSTADIFHG FNQQDSVKHPKSNDVLRIRHKARRGIYHCAFVVVACGGESEEPIACSRFNVIGVFYGN SWKTTISGQ EPUS_00945 MATEIPRRRRAPANSENQEKLSKTQYKLKRKNQTIHVFATISWN FKGTLHFYTGTGKGGRLIQADYVKILEEVVAPEWDENLILIEDNDGPHGTKGKANNKA KQAKIKLNIKWQAQPSNSPDLNPIETIWRIIKQRLKNRGVIFQTEALKAAIQEEWDKI SIEEINNAISTMPDRVTCLQERFGKAIPY EPUS_00946 MFFFHSIILGLLAVTYNLQSGVAARALIVTTRSTDITCGGQFPK AGDGFAQRPDGCSSWSDNPSQVRDKWGSANFEGVCDDHDRCYYTIGANVDGCNSNFCG GLRKACHKAYCKKLLGVTLCEPVTYGTCTAIAETYCAAVKSVAAGVYAKAQDSQKRYD TCIAENGGITLPPPPVSCSNGQPEGATWEKSLHGMKCSTTRYVCRNGKITVTSSPSFN CIEP EPUS_00947 MPASTCAYVIALTLRDLGVTVIFGLVGIPVVDIAEEAINLGIRF IGFRNEQACSYAAGAYGFLTGKPGVCLLVGGPGVLHGLAGIGNCSANAFPSLFLAGSS ETNLVGKGAFQELDAVSFLTPHTKLAVRPSSKEPDAVADAIRRAYHSCWAGRPGPTFV DLPADLIMDEVSQLPKQLLAAQMQSPAKPYPDPTIVSEAVKLLRRCSSPLVIVGKGAA YARAEVAINAFVSSRNVPFLPTPMGKGVVPDNSRLNASSARSTALKEADVILLLGARL NWILHFGQPPKFRPDVKIVQVDISVEELGRTNGIGEPSIGVVSDIGAFVEELHRRLNG WQAFSSIASQDTSSFLGKLSAATSKNEKAAKAKALTATVSGSTLTYQRAFHIIKETLH RLSPPEQGNIVYVSEGANTMDISRSLFPLHHPRQRLDAGTYATMGVGMGYSIAAYAAY NLPHGQLLQGKRKKIVALEGDSALGFSAMEIETMQRQKMPILIVVMNNSGVYHGDTAN AKDWELLQKQTAMDEAGLDESGKKKGLRSTSLWYETRYEMLAEMVGGRGFFVRSEEEL AHATRESYHEQEKVCVVNVVVEPGLGKSIGFAWQQKAKKGSGEVKHSKL EPUS_00948 MESNHVRYDGGDVLIKPSWKSSNFFLVHRNVLSDRSPFFRAMLA DRWSKPSVVGLDERATSLWTLYISPDTGDPENSTFVLTSKVPVEPEPAAAQSNVRWWL YDRLYTGLLSDYSGRDGYGADRNEECSCSSGLHRLCYACADTLARERVVEVHRCIFNL IYGKPFRFSEAMEPDRKILFLAELIHLAHLYSILDIASHKIERAFLEIEGLERHYHNC PLFFLEVGYYLQSEVMFVKAMEYIVGKGLLRDTTLPDHVLELEVDIRQGFSRYMGGCV QSISRVLAFREDKETTYEKPYRSLTKPQLKVREIAKTTLMNWITRNILQILELGEHLE LPAWNWHKFLTAVGEQDARHLHGVGVGRLASRYAIKPTVLDAALKQQLERLSTTVYLQ GLHESSSRDPEYDMFPCAHDNWYVGALVLPWEVKTRAVDHKLGVAGRSPGHDASAEYV PSEADFWGGGKEPKEEEAVILRDIDEYATQPASESYLASLGLTEDSGKLAHE EPUS_00949 MPFVVLQKFSSPSPGHRPKYEKGWTYVERRPTRRTELCRTIRSR APSPEPCPSRSLCIGDHYHWHNHHCHSDHPVIIPRSPRCGPSLPPCAPTSPPPPAPPP APPAPPAPPPTPPSKPKEKPATDPAIAAAAAETARCRAKVIEAYEAAENRRREAEEMD QKIERGLRERVPPPLSRPLSPYPRAERDWERQRERERERERERDRRYHHHHHHHHRNH HHHPSLVSRIPPPSFSSSSSSASSTSSSYSYERFRYRSPPPGPCPILRRRTSLNPGFG CRRGLCSDLRGDRCRVMEPVGSRGHCYKTVEYYDPYYDADVVERVPGRC EPUS_00950 MEGVLLESEHPKKRRRRHYKDWPWSARLVLDDSLRGNVGIVSED LWSALDSHEYDDYGTSLQRPHGLKQDTLFLAICLRTPLTEIIEHVPWTIFCVERQDSS HTQRDETTRTTIRIPTKAAGVQSLLDHFGTLGSNQHLTLLSNAAEVRIINVRPVILDT VYVTVEKNLLETIDDAQKRFGGGFSGPQTNGINNRGWPKSSKFTDENGTYWNTSGSTD SRLAKVVREAVAIPPIIHAGDTLALSLPSHPITHIPPPPALVSACEPVRQGRVASSTQ IVLVEAQSVIDKTTRLTVPREAAAHVSQENAEDTSNEQFYSAAEDREEDVNSDSDSIS QQSDSDHSSQHESDAISDDSLEDMISLHAPDPPSLRPGIFSGTGNATPRPGSQIYTGI HTPGSLYSSYSAATARARTPPGKVCRAQALLQKIPKAALYPQPKEEDDEQSFVVVDIN TLTKVGCFSGDWVRLQQCKRPQVNGAMSLNLDSIGNPTEDSSDWRPVRIFGLSNLAHR KPRYALTEQHTNTSRLASSSLAPIVYVPPMLLHNLGTPTFVKLSALPKSEAPVNSIAQ PPLAKEITLYKISTPASTKKEVQSTLFTVLKQYFQQHYRIVKAGDLIAMNFDEELGRV TFDPAENVENSGENPLVASLGSASDRERKCNIAWYAVDQVVHEDIDYANGQLDHGSQW GGIVMIDSSRTRIAQAGSIVQGIPEPLSGDAFTWLGGRKITPAASVLAARSVYGGSSM QPYVLPLQKRLSDLVSSATSPRALSLGLPPLAILLHSTQHQVGKSYIASRACAAAGTQ VFPVSAHDILADGGSSIGGGDVKTEISFKTRAERALSCGSQFTVLLITNLEMLTADRI IPTLAEAISDFRVLVATTTELDKIPDGIRSLFTHELELTAPNEREREGILHNACLEQA LRLDPSVRLDAVALKTAALVAGDLVDVVHRASLAHDRRVEGLAAAKGVSPGDVRHAGG PMVSSVTASDFDQAIGSARSNFSDSIGAPKIPAVTWKDVGGLADQKSSIMETISLPLT RPELFANGMRKRSGILFYGPPGTGKTLLAKAIATEFSLNFFSVKGPELLNMYIGESEA NVRRVFQRARDARPCVVFFDELDSVAPKRGNQGDSGGVMDRIVSQLLAELDGMSSGGS SDDEGHGGTNRNAGGVFVIGATNRPDLLDPALLRPGRFDKMLYLGVSSTHEQQVTILE ALTRKFTLDANVDLTRVASRLPFTYTGADLYALCSDAMLKAITKKTKQVDTKVQEASR ARGEEISTAYYFDHLAIPDDTVGVVGEDDFAAAQRELVASVSAKELAHFERIRNQFEQ QDLSNKSKEARSTNRPPGLTTWSSLPVRQQHSHQATLKTASSPGRDSAITGKGKGKAV ANPDSGPDNSDDSDEGFKSFHLANGTGPGGKGKGKAAAMDGFGDNEEEDLYS EPUS_00951 MAFVYYKYYNSEAPVNKSKIETKDGVFRTPKPTQQTLCFVSNHM VAAVESLVSEVPDFGVYFPHFDTLNDIQAPYLFMYYSLPFMPDILPKLDPLSRNLLQE LQKTIDESHGWEYASANAKAGKGLVARHLVKYLIKPGDVLVDIQGLETQAYQALEWAE ELPPDDDGDREDYEDYDISRRKIPLKRGPKSQSNDVRKKLRYKWRLPVSSWRFDGHFE MHKEVIFINMKVAYEDQEVPINQLSIFPLAFAPKGVRNTLEQRGRMFWKLRHGKFVSY QLDEDGGLSNIDKRYMVDIETYKKLHPRSDVNRYRLRADLDAKEMADPEPPGGNLILL FPSKIAGYNLHRKKWLDLYVDRVTDIIWNKQAFKDLVVDEETKVLVQALVTNQLVAEK STDSISGKGNGLIILLHGAPGTGKTFTAEGVAEFAEKPLFRVTCGDVGTVAETVEQYL QSAFHLGRIWDCVVLLDEADVFLEERDMKDLNRNALVSVFLRALEYYNAILILTSNRV GTFDEAFKSRIQLALHYENLTVSQRRKIWRNFINRLRTFEDPNADFDDIMDHIDDLSK KDMNGREIRNAITTARQLAQFKGAPFCYSHLNHVIGVSSRFGKYLKDLRDGLTDDDLK RDSGLR EPUS_00952 MRPQQQWYQAADSDQCLDPAHEAFKDAIAIFNSTITKDMRKRTL AQQATSLEDFQSTLTDAKCKYENEIKEHRVSKWLTKCSYRILYYGNIMDVLVQHHPEY VALAWGTMKLIFTLVVNQANLVKTLAKGISRIADSLPRIELATILYPTKRMKQAVAEL YAHIIRFTIRAQDWYQQNKLRHIWGSLARPAELRYDDLIADIEGCTKEVDQLATAGAR AEQRDIHLELQGLSQRQKHSDTVLLEMKQLIISYQSINSSSFLDTNQRLTDLQLNQIM EYVGSTSKIDPMKCLLHCISSTNRRGLTSRAGGRDDSQFWLHPKFKRWQSQQQPALIM VKGDYKSRLEVKRFCGNVVQMLRKNSIPVIWTLKTHQADRSHSIFVVDILRDLVHQAL RLNVSLRTERAISLSCAQFRAAETEEQWLNLLAMIVATLPQLYIIVDIEAVDISSADK THVFSWLSAFQAMFRSLSVRQVSLPLKVILVSYGSATVQDSSISEYRDLVVLARQLQA TSVFQRGRKSGILRLSGTMPLARGSRTQTTIS EPUS_00953 MSSPSMPPPPSPLAHRRTRVHTKVHYPPSPPYSPETSPCAIRKM SLSKGATFHVPSSPPTEDSPVLSIRHLARRSPTYSQASLISLLFEKEEGAARSIQDFE HTFSGARGKRRAHRRGVNEASGRRGVYNPTHLPSDEGLGSSASTPTDKDLTRVFERAL DLDSGLGSSIKTESAKETHVRAGGETSEEDGLFVGDLIQGWIARCVLMYFDTVDTQFA GVVNREPQIRQRSLASEAQSAIVRSISPMTSSTPGHSHLSTFARKQFADNILQPILRE DRFKFFHPLVSTLGSRTNKTIKCLRDLEQSLIFEPLVSTLLELNSMSNTDAPSSTPKR LAISHTLYRSFGEFSIQLVLDTFHHLSEPEQRRASDRPYDNGYFLDLVQQVGRLAAQV GAARRARSGEEAAAADADEMDYSPYVPTVDPSTALLSHQSRDDEVTLEGGLSQTGRPA ELVRWKNGEGVSLRTNERYEAQPLIKRQRSNDSLDDDAERSMARRKKNAEPKITELRC SDRTCDKIFNRKCDLAKHEKTHSRPFKCPEKTCKYHDQGLPTEKERDRHVNDKHSTNP RYFHCKYCPFKTKRDSNCKQHMEKKHGWTYERVKGGAKSARTPQQTPQTPSMSTPSIS DDWGNTSVYGSDGGSNFTPYTTSLDDFGDTMQPPTNPYQVSLFPSNPTPPSRTYGYGS PELNFNSSPYGPGCSAPLPGNVNFTSPYAYNQGYLDTPLTPAHTAGPAFNVTSSNPLH IDIDYQGDFSARLPTSGSHSLQPQSRNPSISGISPMIAEDDLFQPPLQPSHPADHTMS NTYTGMTDLPNDDFALFGASGDLSMVPSTADVSYEHMEMFSNMEPEYNDSQLGRYLNL EPUS_00954 MFRNALRQSSRTAGAISASGRIVVNRASVQAPFNAASKQIRSYA ADAKASPTEVSSILEQRIRGVQEEAGLAETGRVLSVGDGIARVHGMNNVQAEELVEFA SGVKGMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGQIVDVPVGEAMLGRVVDGLGNP IDGKGPIKTSERRRASVKAPGILPRRSVNQPVQTGLKSVDAMVPIGRGQRELIIGDRQ TGKTAVALDTMLNQRRWNNGNDETKKLYCIYVAVGQKRSTVAQLVQTLEENDAMKYSC VVAATASEAAPLQYIAPFTGTAIGEWFRDNGKHAVIIYDDLSKQAVAYRQMSLLLRRP PGREAYPGDVFYLHSRLLERAAKMNDKLGGGSLTALPVIETQGGDVSAYIPTNVISIT DGQIFLEAELFYKGVRPAINVGLSVSRVGSAAQLKAMKQVAGSLKLFLAQYREVAAFA QFGSDLDAATKQTLNRGERLTELLKQKQYSPMAVNEMVPLIYAGVNGHLDGVPVNKIL TWETDFLAHLRSNESELLQQIDKQGQLSKDLEGQLKEVVTNFTKNFT EPUS_00955 MALNLEKQLRFYGAYHHNPVNIAIHTTCVPVILWTFFLLCTNSP RLVPLPESLTITNLPPNAGTTFCLLYCGLYILLEPVAGGILAVILLAGTAYANHLSST YGARANYWAFGAHLVSWIAQFVGHGVFEGRAPALLDNLFQAVFLAPLFVWLEILFSLG YRPGLKDRLEKAVQADISKFRMSKEGEKTKGKPIPRDQRVDNSHAKSK EPUS_00956 MQTSDGIADFTSLLASTADAIISFDHLALRNMQAILDQQNSTPS LVKTLVSLLAPLSAFEWRFKTEEELREIFLRTMDQMTSRLPALIKEASHLCHLLDLIF KVLGRVRSVTLGEFKDTPKMNILVRLWNRLSRPNDYADGKSRLILLNDVPMYYQTAAN IMKNNLFLLNKMRSDLRQLQHIRAVPVFVWQDIPLENTMYMINRAMKRLEYGRQKIDG MEPDEFSFPMSTATSTVLA EPUS_00957 MPRHPNIICPPEILVVTSKIQDGGQMFLCGTLYPFMKNDSLDQV VNKSPITKTRLPLKDKAKWCHQLASALSHTHFKANTYHINIKLGNFLLNDDEDLFVTD WEESEAPSSTLAPEANGCWDVESIRKPRRTAGDSSTSTSMFVYKKYEGTPRQHLWSWP EWNVFPTWREECPEALEKAEVFSLGRTMWMVLGQVASTCDIDVDSMVSWDESASDIPQ HWKHLVPRCVEADPNKRIGLSELTGCCEYFRREH EPUS_00958 MASNLLPYTAAEFNSIPDIMDSAKGFEEANTSGLLLQELGQALP KREVNCSLGVTLVHRHYDLAQYEMLVNIGNVAVPWNTNNEASGLANPSSWCFTKDGVM PYVFTYGGVKVSMGDRMRESLSGYRSILEKKHTSHIFGLCTLDGVSLAQAAPTVDFTS RRANITLPFDENPSEGGAIKAMWQIQQVMSINSSSCYLPCNCH EPUS_00959 MFFLRYLTREMTMNPALFAKDMTQILKEQLYQQMEGDCNGEFYT ICILDVTDISKGRVLPGTAEAMFTLSYRAIVWKPFRGETLDCMVTKVNRAGLFCEAGP LTIFVAQTNMKAGMTYNPDTTPPQFSNARGDEIIDNGTAVRIQIMGLRSDVNAIMAIG RMSDSWFGKTFNLQPSSNPLDIVYTLFYIRLPSAMSIRAVYEGFLASPNPLSLSENAS LNYIPTLRTFTQQGAIIRHLENQNRNEVRKKSEKVIEAVEGQNSLCLDVETTLEFISS GGAYLPGLENFVTDRIVTFPTIHIVNFDSESKIQRIRVYWDQASLLMQADIIGARGRN WPITDGKEQARLISLAQVGTKSETPMTRGRDMDDGAVAPRSMSPSKKYIRDPHTSLAL FTEQTGEDNDRIPQPAMIAPRASARPADHDYSELFAAGNEENEPGKGDPSSPKKLHTQ PIIAPKGANGSKYQPSRLFDPDAKEDLPAKYKSNPAKYNHFDLGEVEDNDPFQHAKPP TTKTVPMRAKSNKNMPQWDFEDFVTPEKIRHRVRGQDVRHFGWSDDEGEKVETPGKQP KVVQPRRDTEPHFDFTDSGPPKAAQHRGAGGQKGTAHNTGMGMYQNNLYEDGIGDTKS KHEKVPLSAVTTNVGRTKDFDKHWAMSDTSPATGDKINNENQPLAGDRKKAVQTVGAS WQSYDESPEQNKKVVGSKPLRKGMESHWGFGDEE EPUS_00960 MTLTSPSAHSSQSGQSPDAQFRIVRKRNRIPLSCGPCRHRKLKC DRSTPCQNCVKRADAVSCVYATPTSRKRTNHTQSQTASNSPDDMQNRIDRLEGLVLSL MTNGSQSAGPNAAAAVLRSAEASSTSSAHHFDRNMEETQMLDDDEVQGDDSDTEKVTK SFGVMKVDNENKKTVYIGEDHWAAILTGIAEVRHFFQTHKNQFEEQTQRVAQTRKDRN DDSGPALLFGASKPPPRAEILSQLPSKYLTDILVARYFSTLDPGTHVLHGPVFQKQYN AHWADPAKTSIVWVAMLFAMLRIALLSYAQDGDEPPEFAGKSLDLSNTCRAAVANCLI LADYTKPHEHIIEALLLHLHAEYRKNRDSEASIWVLVGMIVRLAMRMGYHRDAKMFPN ITPFQGEMRRRVWTFVRQADLLFSFQISLPGMIRVGDSDTELPRNIYDEEFDEDTTVL PPSRPSTDRTTVSYMITKGRLALGFGRVLEEVGGVHSKSYEEILKIDRGLRDIFEAVP EHLKLRPMNEQYHLPIEHIIARFQISAIYHKSQCVLHRRFLRSARCNPRYAYSRRTCL ESAMALMNFQITVHRESRENGRLRNRKSWLTSLTAHDFFLAATIIASDLYPEKQQAEA SVASTATSPRTNFSDSNSGRSASFSDAYGFHIGMEHSQDDLLRTLEESRDIWAELRDE SMEAYKASELLNVIIAELKPRAGGPAHGMDDQREYANSNRNGIHGQVDEKQNAAMTLG LLSSGGKSPNGGVSGQQVQDQRAPGNVFDNTNSIESLLQPAGVSSVSGGGGVFDAQNG ANGPIPFLGMFGASMPDDGGMNLDWDAFDSYIQSSNLSLDPANTLWSSTSPTPNPFPT SMPPPNSIPSSNSTTTAPSTFFPSQNMSAYSSNSKPRTAPNPAQKYGGGPPLDPSIQG STPSTESSPGQVYMGLSSPHPGGLPAWKWNNVATKPG EPUS_00961 MACEEEFYCALLTLFNDLSSIFDPNIGSSTMFELGIDAVQIDLE RETATGGQYFHPMSLAQWQALPLESVLKFSFKWPRSLPNLADAHDISDSIDRRLNAYN EEDGNNVHDATAWEVMCFDDAPEERLVKLTAFDCEMSHLLEIPNHPHRACVSCHEVPY ATGLLRNELMLLIGMMMIRMLRKEKDFVDDHTFPVLMVSINGREARLIQAHIAPEEQR IKIRYSQLFDFDNSPQEEVLDLFVRWLLSKPLSPDTTSSQNKDTVEMPPAATLEVALP ITPAHQAPLPPRTGRVREDLVVSPVTRSPQSLVTAM EPUS_00962 MAAQYFFDVIYSIANCLVCFPSSPQLKINSRSFKMLRLLGEGGF SYVYLVQDTSTSELYALKKIRCPFGAESVSQALKEVEAYTLFSTVPNIIHSIDHAVQN DSGSKVSGIGNTGNDGSKTVYILLPYYRRGNLQDAINANLVNHTKFPQKRLMELMLGV ARALKGMHQYRMPKGSGGHAVGAKNKARAVREEAANADRDAAKRAGKCQKQKRREMVA EPPDQEDGGEEDIPLMEGEVTRAQEGVGEGELRAYAHRDIKPGNIMISDDGHTPILMD LGSLSPSPTPITSRSLALAVQDTAAEHSTMPYRAPELFDVKTGSVVDTKVDIWSLGCT LYACLVGKSPFEARSEETGGSLSICVLGGDWRFPNEGGNKGKGKQGAAANGNADGGAA AAQGGGINDSVREVVRACLQVEPADRPDIDQLIDVMERCIEGLPEDDGSADS EPUS_00963 MPPDSPTSASSAPHGGRLNVSSKNTQRPSDESNNPFISSVRDPK PSGAYKVYGLPTTASRWAELTKSENTAGLTINTLLQADSASEIRLPQFLVLRTIWPDH TSVLMDYLVKLGFQQTDYERRKATMRENKAWKAYIDFLEENFKHRQSKPYRSSSSFPG DLGIFGIALGNQLKIYEEKVSAGRTSVKEGFAADSKSRQLRPRAPLLMMPATPERSSG SVKMDFETPGTPSQPVPLPAEDEAIVNFALIAFLQAIWRLDPAHDTCWTPHRKAFKFV PTLQNDVKGKGFTAVTDGHLEYSPSELRNKPAAILEVKAAHRERQNQGRHRIYMQESA QMALWIAAEPDSHWRAPLRSTVDGNADRDEIFITLAENKNQYLDYLHGKPATDEAFLR MWLFGPYRIKSADHMENFGSEISELLSYAEASALDAIGRTPTAQSDHFSDDAKPLRAI QVSTNVEKLGQQLSKMEISNKQESSVRQLDERNHHEEA EPUS_00964 MEPRVGETVDVPGGMHGIVKFVGEVAGKKGKFAGVQLAAEFAIR GKNSGDVDGRHYFKTTKPGSGIFLPVEKAIRRGPATPTTPSLATFNQGGRTSVLPKPN FSQSIGPGARAASPALKPSSRRPSLQRPGSPLRSTPAKPLPKLSTPASRPSLGPMARN KVGMGSRYGQSPTSRSSNFGSSLHGPAATPSRAPLGPELSFDDESDPTPTPTPAPAPV KTNDHIMKDEEIRRLKVSLEQKDGQLKEQAATLSEMEKSLSELQSLLPPDYESLDHRR DVVVESDVAQMRNIVRDKNEKIQMLIAEFDAHRADFRSTIDTLEMASTETERVYEKRV DELLQEVRELQDRGEDVQTVAQQLRQLEDLVQELEEGLEDARRGEAEARAEVEFLRGE VERGRSELKRERSKAAAVREDNGQNGSSHSSHRSMRGLEAKDDEIRGLKAIIHSLSGN APAGSNVKTNGHVNGLGHSVQHEQIIELEQRLKELEVLLDRKSSREGELERELERLRS SEAADKHKSADTIGSHRLSDRTIVPGDWRDQKGVSAPSQLETMHEADSRSTVTDVSGL WCEICETGGHDILTCTNMFMGQQQEGGAQREQGREPSQDDFRSMTPPFTSDLVKEATH EPSPSQLQSHAEGNRIAPLRPMPSLSSVTSVASRPLTASTLPKDAPAPPPPSALPKQP HPNPNDLGMVAGKASGVIDEEKWCALCERDGHESVDCPFEDAF EPUS_00965 MAGFILKNSAIAFLAMNLAFMVAAQGTQFITGECTSDADCASGC CGFNTGKCAGAIVAQGRDGGCGFGDDAPNDTAAKALGFGGSSPANGGGAAAPAGGAAA PATSSAAPAGGSGKAPGTQFITGACASDAECASGCCGFNTGKCAGAIVAQGRDGGCGF GDAQPNDDAAQALRGQ EPUS_00966 MIIALRGVVELFRKARRLTDVHRKLLAFSISHDHSIVRLYAHYP EIDGDKTSIFRYKIKQFDYSDERWTCYTFTRNIYDKFVPVYLELITNAIDLLPDPIAE SFNSAIAPDDETAPSPQEPGALKQSLQQELKQQRKEAELQRKEVKQRETALMTQLEQQ RKEFEQQRNELMQLIQQQGEHLNQLLSKH EPUS_00967 MKVSPLSSLLYAFALRLISHSQPSGPNETQSRPPASSFIVCDNI ISIATATEAFNRYSANYPEVTRQNVKGPEGQDFRDAFMQCPRVCLMPLGSGNPDITGI GVTLSYAVQASLILSLVVLYLLTRLLRYLARYLGPDVVIFQYISLNFAASISFGAVII TYTIIGRHLPHPTVRFLATLPTFAMFVSVFAVSYPHVEHKIAAEVLPFYQTLGADCPG IEYMQKEFLVPFLSTDRIHILLMSSLAVFLAYWLVHSFHRIYLRHPKLRDFIVKCLDL MYEKTRFEKASMSISKLCHDTLVQHPRNHKPLKKIWKNSKQRSDISKSLKAATKFLGR ILLRLLYLAITISGVTCIFRLFHFRKVYGQWQARVVAKVGNTTSTTTTWDDNQWGVGQ IVALFVWYPFVGGFGLRVLESGWRKVMEMARSRGSIGPPSPPAGP EPUS_00968 MASTLPVLSKKPKGQQIQSIYTSRLGQFTDSGQYYHQGLRAKFH EATADDKDHVKLSVYSPPDLARPTFRDAVSHDFRPTQIGESFGPSWSTHWFKVKLTVP PNMLKAEHLEFHWDANNEGLVWSEDGIPLQGLTGGGERIEWVLPNSFRDGKEHTFYIE MACNQMFGNAPGGDTIQPPLPNKYYTLNTARITAVNLDARALYIDFWIIGDAAREFPG DSWEKHQALQVCNAIMDNFIAGNGTRETIRECRKIAQQYIGENVDSEKVYESDKEAIV SGIGHCHIDTCWLWPFAETKRKVVRSWMSQCDLMDRYPEHRFTVSQAQQYKWLEQNYP AAFDRVKGKVKKGTFLPIGGSWVEHDTNLPSGESLVRQFLYGQRYFESHFGERCTTFW LPDTFGYSSQLPQLCRLAGMSRFFTQKLSWNNINNFPHTTFRWVALDGSQVLCHMAPS ETYTAAAHFGDVKRSVTQHKSMDQDNTSLLVFGKGDGGGGPTREMLDKLRRCRGMSDK IGLLPRVHMGNTVDQFFEKLEQKASNGTEFVTWYGELYFELHRGTYTTQANNKLGNRK SEIMLRDLEYLATLATVKSKSYKYPKKDIDDMWENVLLCQFHDCLPGSSIEMCYDDSD KIYADVFKTGSNAKTDALAALGITEKIDSDSKLVALNTMPWSRSELVELPSNKVMATQ SKYAVATGGPGAIEIQHASVLGSSNVSVKEVKAGVFQMTNMHFKVEVEAGCVTSLVDL KADREVIAKGEKANQFVIFDDKPLYWQAWDVEVYHLDSRKVLRSGKSRILENGPHKVS VVTETQISQESWVKSTISLSASTGDEPGYVAIDAEVEWRETMKFLKVEFPVDIVNTEA SYETQYGIMRRPTHYNTSWDMAKFEVCCHKFADLSEAGYGVSILNDSKYGFATCGNLM RLSLLRAPKAPDAHADMGRHHIRWAIMPHVGSLSAQSVRTAANFNNPMGVYALSGSES PPDKHHAIFSSIRVKGSDSLILDCIKRGEDDEDVSRGELATREGRSVILRIYESMGGK SRGTIETCLPVKKIWKCNVLEDDGEVCRTYGGGSAGGVLKCDIELRAFEVATFRLQL EPUS_00969 MLGPPRSPQRLPPPPSPSRSLKGMEKAVPPETRSSSRSPCSPAA DINKPLPPPASSARISPFSLVPSSRTSPFSPAADKDKPLPECPRRSSSVYSADSGYNG NVDSYSAHSHEQEQPRPLVIQPIAYKETISALLRRRLEDPPSPKSIPSEKSVGTLASQ TWPQSDLVSPEWPTMSGITAPSVADSRSAPSFKEFSTNLRNKRQHVVEATPLPRTSSP PPQASSDFRAVSYESTRWTPEISPRTSDVIDGSLVPAPLAVGRNRDTVREVVRITNDL EPPVGEERSQSRFSSSSDDFVIYTGVKESVIAYVRQKMQNKRDSSKKERKRVMSAASA KYPGMMTAKEYDRKHSTVSRKSSVQQGFSSVYDKISKLSMSSGQSKEEEQQPRGRKKQ LAIPTSAYQKYGAAVWEAPKRGKKPKRSSAPAKTLTKKDKDGQAQRHPSISISPTEVI GAFKNGRKQMIHVLDDTKHRLKRSESEKRRDALKQSIKLVGPADHVWNGG EPUS_00970 MPLSRRAAVLILLFADPHGALRVVLTMRSSTLKSYSGQAALPGG KSEEGETAFMTARREAAEEIGLPMQESKLPPPFKVEHLCELPTNLAKTELVVRPCVAF LHSHDERTGQDANVTETLIPRLDAKEVAAVFSAPFHNFLSSKDDPTQSNLPGEPSDWY EGQWTDWHQSRWRMHNFFVPVTNQAITLPKRTEAQRAAADHLRSLERYRVFGMTARIL VDAARLAYAKEPEFEHNSHFGDEDTILRLRRIGRLGALRRDGDELTRDDLRRAGNL EPUS_00971 MASSGGGNAEFGRKRSLIRPERNRIDPDHPNYHYRKHAQKMEVF PSTTGNDPIVEDHAESRTVSSGSTAIKPEQSLGETSFTEDDRQVRKPGKIEPVGRKHP QKRLARREKKEMTVEEKRRQKELDTIRPPSLWNVYCAIVTFWCPDFVLQCFGMPAKAQ RRAWREKMGLLSIILLICAFVGFLTFGFTQAVCGAPGLRLKVNKVDRGYMILHGSAYD LSRSQHPAARGITLNANVLYDLPQKYGGQDGSFFFQNVNGACKGLITRAPGSDVPTNS EGDLAWYFPCTSFNQDGSSKPNTTIPYYLGYSCHTTGKARDAFYGLRSSGEVYFTWED IRNSSRNLMVYSGSVLDLDLLDWFNTSQVAYPTQFDELRKNPAVKGVDITLAFQTGPG KRMGKCLTEIIRVGSIDTETVGCIASKVVLYVSLVFILAIVLVKFFLALAFQWFFSRR FAASKTSQVSNAKKRQKQIEDWSDDIYRPAPRLTDPASTINGLDRRSKRGSALFPQTS RFTSPYAADRSNKTNRTPPTTMASQSSAARLTQAPSIYQHSRSQSQGTLDVPNRASVA ASKSSLMLSSQDQRYSSVMTDLDGPGPQGFIHEAVVPQPPPEWQPFGYPLAHAICLVT AYSEGAEGLRTTLDSIATTDYPNSHKAILVVCDGMIKGKGEELSTPEICLSMMGDHAI LPEDVKAFSYVAVASGSKRHNMAKVYAGFYDYGETSAIPPEKQQRVPMMVVVKCGTPD ESEKSKPGNRGKRDSQIILMSFLQKVMFDERMTELEFEMFNGLWKITGMSPDFYEIVL MVDADTKVFPDSLTHMISAMVKDPDIMGLCGETKIANKRASWVSMIQVFEYFISHHLS KSFESVFGGVTCLPGCFCMYRIKAPKGGQNYWVPILANPDVVEHYSENVVDTLHKKNL YLLGEDRYLSTLMLKTFPKRKQVFVPQAVCKTTVPEQFKVLLSQRRRWINSTVHNLME LVLVRDLCGTFCFSMQFVVFIELVGTLVLPAAITFTFYLIIISFIRKPVPVIPLVLLA LILGLPAVLIVLTAHRWSYVVWMLIYLLSLPIWNFVLPAYAYWKFDDFSWGETRKTAG EKTKKAGIEYEGEFDSSKITMKRWGDFEKERRMRAPGGGAGWSQQSNTMTGYSQSYGY EPYHDY EPUS_00972 MATKDHSEASQDSNSTSPSLPSKTSLKFSLPSFPAQGSEAFLPL QETSKPQDVQVSSYSISRKPVTIPTVDPSEGTYVQESPLSAVHVHPPSDWSRPEPSSV PIEDHGSQISPCHSRNPSGRQSIDDYPIQPNPLKAPPYAQEMPIRTIGYTASSNSANR APQMHRRGKSLPDAPGQLPASTSSPTRLVPSIARDANPQSDYFQPLQYHHQTFEQGSL RPFDKRASKVTMRSTYSDGSSNSDPNLRNEHLAPSIMRNSFAGRLPRPDSAYSSISDV AQSRGRSPQMSPNSYARASPTHSATRSTDNRPLSYVDLLNVPYPQPPPVSADHLDNPK LRTAIGNNASLLSTRQTLEMYRANVKKTNDPAIQYEFAVFMISAAQEVPPDPESASSS EKSYGSTHGDLLKEARQILQRLSDRSYPFAQYYLADGYSSGLFSKGKEDYEKAFPLFV SASKHGHAEAGYRAALCYEFGWGCRVDAAKATQFYRQAASKNHPGAMLRLGRACLVGD MGLGKKYREGVKWLKRAAESADFQYNSAPYELGLMHESGYGDGIFRDESYAAQLFTKS ADLGHAEANFRLGDAYEHGKLRCPRDPALSVHFYTGAAQQAHPLAMMALCAWYMVGAE PVLEKDENEAYEWARKAAETGLSKAEYTVGFFTETGIGCRRDPLEANVWYVRAADHGD DRAKHRIAAIRAAATGANPVTAALPKGKADNTKQSAGLGINGTDTDKAKSKKFGIF EPUS_00973 MASALNNPPQEGRDGSSPTEGPHHPSSSHYLTPEQDENITDVPA DFRPTTRFWLAVMTLAVLTLMVALDATIISVALPKIAMKLKGTGIQAFWAGTSFLLTA TVFQPSYASFSHIFGRKPLILLAITFFLAGSLIGGLANDFTLLLIGRSLQGVGGGGIT ALTEIIITDLVPLRLRGQWFGIISAMWSIGSVSGPIIGGAFSQNVSWRWIFYLNLPFI GVGYVMVPLFLRLNFLPSSMASKLRRVDYVGTVVFVGSTTSFLIPVTWGGVMYNWSSW RTLVPLTIGVAGLAVFVLYETYLAVEPLIRLSIFQSRTASIAYATNVLHGMILWCVLY YLPLYFEAVKGYTPIVAGLALFPSSFTVAPTAVVIGLLITWTGKYRWSIILGWTLTTL GIGLLYLFDVDTSTVQWVFIMLVSGVGMGMLFPAMQSALQGASTNKDLAFAVAMFSFF RSFGNTLGVAIGGSIFQNEMRKRLLASPRWADQAVMLAKDSAALVQTIKMMPAGTDKE DLQVAYAQSFRAIAVVLCALAGVSLILSLFIKSYDLNRALETEQGFMNEKNSKATAAE ERRPEQKSSG EPUS_00974 MLPLRSCVPCPLKQRHGSILLGSLLFRRCYPASQPLISHGVTTT HPEDVAATKPSIDVPFYFETGYAIFAKRPSRPFPPPFLSIPSGSFSDPLTTHTRSRDR RPSVEGQYIKGATNGDDAVIVNGQHFLGVNDGVGAWATKERGHAALWSRLIAHFWAIE AERAMLDAGSGAEALRPVDYLQSAFEQTKIITTKANEICGTTTACSALLHYRSETEPI IYATNLGDCAVLVIRPKDQEIIYKTKEQWHWFDCPRQLGTNSPDTPEKNAVVDTVDIQ EGDVVLAVSDGVSDNLWENEVCQNVCDSVRSWKEGVHGSEDGMVFVARELMNAARAIA QDPFAESPFMERAVEEGLPMEGGKMDDISVVVGMCRKRKG EPUS_00975 MPTPESEAFLAKKPTVPPTFEGVDMLDNKAVYDARDAIIREQWV QQMMARLVREELGKCYVREGVNHLQNCGKYRGTITILSTYYSTRISAQLNPFQKKKTD RYIQLLKENKEKGYRGRQQNYDPLAYEKALNHPKNKGIVPPSPTPKTNQRYPTTCPVF PIPKQIPSHLPFSLPCDHTDRVASLLEKLKSEGREIHSLGNPHGPEIPTDYPTVQQAK GAAGSDKRPGNTTGFGPNGMGWY EPUS_00976 MEPQGPSQPSQQTGYNQPTNPSTQTASEKSQSHDPSGSSGPPID RRQAHDVPSSHTSDATPSSLGRGDTGSLKTKDTSVSDAQNYSKSELEGEQMRPPGEGD VASAVTEKRFGGHGEEEGLMQNMDEKTKAHKEALHDRGEKTGAEIEEEGKEDWTGKKG NVDVAEALGGRGTAVVLAAEE EPUS_00977 MPSPTHLANLEDLPASQDFGQDTRRPSYSPVTPTLQDGPSLADF PKRKQPDFVDEPDPIPISLDENSDAIALKAALSILLLQKQQSQRDIKQLEKMKAGANS EPEAFIDALKMGKLSKAPPQALVDIEVDGDGSDDAEKADQTTTESDKPDHDFGQFPNP QNVIRCPPINWAKYHVVGEPLDRLHEEQRQRPEPGHPRRDEYGRPPEHVIAAPYRPFV DSPELTHGSTRREGGE EPUS_00978 MAPTETIVSRTADHPAYALVPRLSYRNSIPSGSPSTGSRQSAST IGITLTTDTASTTSTVITSPSDVESGPRSPGLPVLTPLSSVTSAPRSLSARSLAMSST AKPTKDKKKPSFLGTLFTKEPSTDAFLQMQNQSRKQTAQNPGRKNPPGMSGVSSTKMP QDVPKVNSKWDGMPRTANEEYDRRNPRTSSRGHSTRASSARSRSAAPSGHGRHSRGLS ASTNNSNHSSQARSANGAHVVHSAESFSSSNSIKNSRAKSTPLHTPSLRSPLGSSLPH ITSFFPDDMPKSLVVPHISGLQSRTEVSLQGSSEGYTSKEIPRWEENYAISDQASSPS STTLEHWPITPSSEPLSLPHPRQPVIKVDDSFPFPPMDVKFSELVLLSLNSDGLDHPI TTREESNESARAFSAGEARSVRLSNDDARSLTPKSILKKGTRGQVFAPSVQIQHDLEK RPDSSRARLGLMASIIRSPDAVPWECDDEKESRPVPSHRTSLHKNLLPKSLGIFGKA EPUS_00979 MKRKYEAETSTSNGDSQPFKKALLSSTHTPGIHSQFHPTLFEPK TLTTYQAAYQDSHPYRHGVIPALISDTLLRSVRTEILTHISFTPKETDIYKIQQSGDL ANLDGLDSAQLADLPSLVTLRDALYSAEFRAFLEDVTGAGKLSGKKTDMAINIYTPGS YLLCHDDVIGTRRVSYILYLTDPDEPWQAEWGGGLRLYATEQKRNKRGEEVKVPLPEH VKVIPPTWGQLSFFAVQPGESFHDVEEVYHPPIGRPEEEKKRVRMAISGWYHIPQEGE DGYEEGLEQKLAEKSSLSQLQGEEADEFDEPQPQFLRFDQLDKNHAKQDLEPDQAETA DDDLTLLTEADLNLLLQYISPSYLTPEMTEQLASSFGENSFLQLDRFLCDRFAGSLRE FVSQHEIPDSDANTNENKCSEWRTARPPHKHRFSFKQPPLKNHGSSLTNDPSREDLPL TDLMTHLFPSIAFRKWLSIITGLTIDGDASLDILARRFSRGKDYALASAYEGENPRLE FSLGCTVSGRWQTGEAYDDDGHANGDGPSAQANVVASSANGKTINGTQDENSDAANRI EVGGEEIYMAGDDDDSSFVSEKDGASSAIKDASRKSDPAIYKHDDENDAILFTDPPAW NRFSIVLRDRGTLRFVKYISAAAEGDRWDIKGVVEAGEGGWDENEEGEEGEGVEEEEG EGVEEDEGEGDEHSYEDDYTTESDPEQDNQRYSFIEGGSICADAK EPUS_00980 MNTSNSHRPWEARNASARTPPVQTQQTLPSITTLTSTMNTVPAE QPPLNLSMSAVQRDSGAWSMPPSTRSSAYSTSTNPYLNSSQPSPNRLSGSERPPFTPD TSVTPSPAGPQPSPGFSISQQNTTLPSINQSFDASSQKGVGDLQDSRRSSLDVRVHQG MDKLALNGQSPYGSTNASQASLVSGLQRERGIQTNGYRGPRSSGGSLSSPLGSRAAET RSGFTAGRIAPPIAENPRSEIYNAEAPTAGQAYAFPDPEGPAPPPPPPPPPPTYSRRN SFADSFTSSLYTNDSRLPPGQHELPNSLHHHSLQHKQISDIMHDPDSPNSTTPYSRTP ELRVTHKLAERKRRSEMKDCFEALRARLPPSQTNKSSKWETLTRAIDYITHLESSHKS MRNELAELRQRDHERQTQLEKLGQQVSRFQQQQQQQQQQQQHQHQHQHSQAPYESQPG PGFSNGHFQPNATEPPRTLPPLMNGAGPSAMQGIQYSDDRR EPUS_00981 MSSNFFNSNDKASKLHIPQPTPKYPLSSPISDDQISNRSFESKR TASSLDLASSPHSSQNPFSETESGNTSGQDEFHLERYSESSTLTRNGPANGISGKPSR DGQQGQNASDTKKKRPGLNLVTKFSKTNQHALAEHPTVNRVPVRQPKRGEGKSASTAE SQTCVPSLGEEARFANSGFINLNDLEGLRKNKRSMNMPKAGKGQTKCKTNVYKASQEL GEQDKNGFNVETLSNPALRRLFRKEPAGPLKRERSKGSHHLQAPEQEPHEISPTARSV IFGISVPENEVEAHKPSDEAGSALTLQTPITPSIVVTPADATVGWTQSAMQSSLRRPA SSIYSQMPGMAYKQDADAPPVPSLPSCHQQAVMPQSEPCRRSVDTWEASSLRSGRPFS SETIIEEDYAQQPRNRPISAGSKDGILPSSCDTGRPRSKGWWNLILSPMLSRAGTNAT KKAISPSEEVPALPCITPTTGRGLGLNEQTPTDDISPETPRRAGLGSIRNSTWSNWTQ WEQDREQAQASQQSSECAEETDTKTILHGEPDHSRPVPVDGCAKKSGLAAEYYQACAF DLLDPIPYFECVNHDCANALPKLGGSPKGVVLAEDPNDDLGLKTEASMNKHIVEPSSP PEETRLRSDSDSTIIEDDPIELSPNVRKAHARPFLKAAQAQKVNASPNNDLDEKQKTQ VAVTAADEAEVPAPKSSTPPPYSPPPAKPIVPRYVGIMPPDRQFMPSSPGPLSPEAHK AINLSGGIPMAQVQQPAPTFVNFNTTYPAELPPRPVAACVSLADIENPVEVRQKAEAR RQRLEQEDAVAHKAGGLWRGRGCIPKRGCYGREGSAGRTRRRWYIVIATSLVLMIILT VVLATQLTRKGDQTPVQSQWLNLTGYPPMPTGISTIARPDAASAVTACVQPSSFWSCA LPQEDQASNAPSNPDQPNFRLEIRFRNGTVNANGTVPVASANDKRAINLPRMAMVKRQ KDPFTNSLFTPNPAPPSLAEQEFIGNTTDNITVPFSGEPTPFFLTLLTSDPAVPESFN DTNQSPTRRVRRQGGSGPGSIPAPAVLVDGTAAPANLLPNNPLPFSQPVMLYNRGLES EHYGFYTYFDRSIFIKSSAEFNSSSSSANSRDENPNRPAGGSSKQDADLRCTWAQTRF LVQIWTNARFGGQLLPSANGTATSSGPANPRQGGDSNSGKSSATDFSRPGSFPYPVSV TLDRHGGDAAKKGVYCYSMDGQQKIVVSEKKLVAELRDAGGRLINAAPGIFSNTAGDD DGFDAKAGGIDGGTGGCGCEWRNWVGNGGGL EPUS_00982 MADQYLGKYNTILYFAIVYDFDLLILVTTALPAPITGGASLGGL NAAMIEIGLGTGGIKSNVSRLIAEQYTNTKQKIKVLKSREKAIVYPAITIQHIYMIFY LCINMGSLSSIATTETELHIHIWAAYPLSLWLFLVGFIVLLVGKKHYVQRPPRGSVIL HAFKAIGLVSPTSLICTPPNRPSKTTMAAPPPSNGTNISSSRSNEPSLLAESSFSFPS IGSSTAECSAASSPSPANMQLHRIPNNIMQNVDPLTFIIPNPIVDRAVYPDLRKIGIP FKPITRIAFVFIFAALAMAYAAIVQHLIYTSPPYYTALLECTGSNDGAIHNQIHVTVQ KPAYLLIGL EPUS_00983 MLGDRLESKPEDGEDEVPSVAVESVQLVERLLAIVTGDWEMDEL SDEAVDVFAEDDVVLDPWEVPLTCEADEGVMLEASVSPSPTCDYDEDIEFETGASEDS GVSGDGNGRVDETPVVSPVDIVLPELLSVRDGALLVVLPEMLEVKLNAMLVLLPETTE VRVIIVPTVFSGTSGVSVLLVVLPEVTGVRVVTVLIVPPELLKVGAVPVVLLEMLRVW AVPAALPEMLEVEGTGRMLISVGVSTAVVELW EPUS_00984 MERDVSAVSQKPAINQAGTEDARPVRRESEKHKGTHVPFVHKDK HPDPEWDGSEVDFSNISKRKVLRKMDIRLIPNLALLYLLSFLDRGNIGNARIQGLTED LHLTGAQYNWCLTVFFFTYCAFEPPSNLLLKRLRPSVWLPTIMVAWGIVMTLMGTVSN YAGLLSTRIFLGIAEAGLFPGVAYFLSEWYVREEMQYRQALFFSAASVAGAFSGLLAF AIAKMDGVGGYAGWRWIFILEGLLTVVVGVLSFWTLYDFPDTASFLSIEEKAWVIHRL RYQGVSPEAKKVAQASRFQWRYVKAAFVDPQIYIGLIMYWGIVAPLYGISFFLPTIIR DLGYTASAAQLLTIPIYITAAAIAIISAYFSDRHGQRSPFILFFMGMIAVGFVIVLAS TGRGVPGVVYAGVFVAVAGIYPAFPGNITWISNNLAGSYKRAAGMAIHIGAGNLAGAM ASNFYRTQDAPNYYLGHSLELGFCVAGIIAAVALRIGYDRVNKRRDAKGTGEMTQEEL SDLGDKSPSFRYVL EPUS_00985 MSSCCGFGRKSKSGDTEPLLPRYEDDTARQRTLHQKLHTYQMLR ALSKGYMPSTEQTIINLRTLLASDVLNPNNKDLSQSGRRLVRSCREWLKQFIELLQHK NSNDRIQDFIWHLTKARVSLDLEDISQQASKAKAKADVSATYSSLRTVGSLLLTNKDF RIFVDDLSTIGRQILSDTAFSLSGAAEEAGKKLEPSEDQAQAVKGAGADDGVKTPTKD DVVHEAEEVSKVLANGVGKVGKDTVASAKEHVSGEQKETLVHRLKQTVVGLRKRTDYS DSVSTIAKLIQRYAILYSRAADATIATAQDDVYTNPALDRAVRNFWDLVSSFGDREEW KKLEEDFKQVMGHAQKDPQFEHFMQDVGNSVQKMLTDPDFFDHADKKLNELQEKASQL GDESDFKSDLETFFRQLKNTVQSVFEDEDVSKLIVSTRKIFDTISPANRVTNPDLVMD SLNIFLPLLIRGVQNVPIPRLEVSIPEMDLLLENLIIEPGRTVNNTSFLPYRMIVTTR NDLDIRKAHSKRTVSSLTSLITVTINGLSISAQDLGFWIRVHAGSFFRFNDEGIASFA LDERGIDLTLDLEVGRERLEQILTLRGVKVHIHKLDYALRKSKLSWLGWLFKPFLKQI IRRALEKTLAESIADALHAANRELVFARERLRATRIADPQDLATFIKAVITRLTPAED PDVYTRVGVDAPDRGVFKNVYTPGSVVKLWHEEAMRAEEAVEDGGEQTGGWRNDIFDV AVY EPUS_00986 MGSLMVDPPSPQGFPISVKNALNGDITSHRSQRASHTHAAMHTL VPNTHLDTSLPIPVRKYLKSYGLTPPRVESYETQKARCLGQLALKTTDIDRFLYLSAL RKNNVHLFYRLMTDHLRELTPMIYTPVVGEACQRWSEIYQQAEGMYLSFEDRGHLASI IQNWPQPSVEITVITDGSRILGLGDLGVNGMGIPIGKLALYTACAGIRPECTLPLTVD LGTSNKAFQEDPLYMGSRRDKVTAQEEQEFLDELMMALKERWPAIVIQFEDWKNPFPS LARYRDDYAMFNDDIQGTGAVIMGGIIGAVQQSGISPRDHRAVFLGSGSAGVGVAKQI VAYFMHEGLTEDEARSCFYLVDSKGLVTSDRGDKLADHKVYFSRNDNDGQQFKSLEEV MEHVKPTIIMGLSTIGGAFTPELLTKMGQWNKHPIIFPLSNPSSKSECTFEEAIKYTD GRALFASGSPFPPYTFTSSTGETKTLRPGQGNNMYVFPGIGLGTILSRAIQVTDNMVY ASGESLSTALTQEEINMACLYPDITRIREVSVRVTRFVIRAAQKDNVDRLHHLREMDD EQLEEWIRSKMYDPHAETKNLEEEVKEMARELAPESSKL EPUS_00987 MAKVAIEDLSGHTTPVTGNPYDGLISATNNNSKQLQERYDLHRR TRNEQQKAKIVDENFPGWVLDEYLVKLDGPRRDPSFVDPRNCLVFWARPPQKVRNLVN VIQQKLQDVAPDLWLMPLDSLHMTAMEVTHSLTTEEIEHLVSILLPNVDAIVDLPYHH RARLIKPMVSYDSAALALSFVPASGEAVPGDRRASEDEYTYHHLRRDLYTAIENAGVK VASRYVVPSAHLTIARFNTPNVFGGDPMDKAPTLELKKRSRWIREIEMINDWLEAIMR GGEWIVGEERGIDFRKGRLWYGGGETIKLGTSFAHR EPUS_00988 MESHGARSLAFLAQKACIKSLKRIDDIGDCPYELIRPVLLKLEN PDQLHQLEINCPHLVGRVDEIWLQLIKRDIPDWQSKPHRPKDPKNWWKVYKKLKEQTE KDREQGAEKLKAALDIINDEREQNLAKVLSRKELPKEPINYKAKTLYNYNSGKTGSKS GHKLTLLEKIRKEARNARLARMNQPVPRIANKATEVKQAPRGFVEDQKLLARQKTSAP VAIRQPTVPRVAKPPIAIVRQEKPASDKAFLEREERLRALTEGRRNKAITISETSSPK TDAISKGSTASQALSRPQRPLPQSDGADDEDMGGTQASSPITRRRNQNVSASRSTPKH HTGTPPSTRFASPPPPPKRKAEPSIFMSLKKPKAT EPUS_00989 MAAWGYPDPASEEALHKSRLLSVEEKPFKRLTKRLLSEPSPLYS LSPSSRIPTPPPEPSEENPTPVIDPRTPEEKASAERRQFREDVILDFAAFESSIVRIQ FLRQSNAKERERYAAEKIQIEGTAENVRESTKRLRVQLDEAQKLLAVRKTYDELAEKI TSNKTLKPRDEQHVNLEKLRAEIEDLERESREHDHAWRERREQFARISDEGARLRRLI RDEKEEVTQPEAEDFLGVERAASGRSNVGTPRPEEGGLTPMHHTQGGSGVMTPRSRRG TPQPEETGIDAIESVPEVQEKDDAEMVDEGEVGEMPQQDGETSVEDQPSTQPNVVTAE KGRDQMDTS EPUS_00990 MPQPTPLAIATSSLQRLVKEEASYHSELEMQQKRIERLESAQPT INGEGGEEDAESEAERGNQEFLLKQESRMANADLPLSFSLRQRKALEETKAVFPRLRE RIVEGMANLEALLASAPEGDPDVAKAREVIEQAKAATG EPUS_00991 MTGTLWYSIAEPNEYLVLTGARIDDVRIVKKALIKPWQKCTRIS ISPFDFSLNLQAMTMEKLQFALPAVFTIGPDNDLHALKKYALLLSGNPNGGSPASRAG TITPTKRNHVQDIVKGIIEGETRVIVSSMTMEEIFKERQMFKQKVIDNVQHELTQFGL RIYNANVKELQDTPGSEYFAFLSRKAHEGASNQAKVDVAEARMRGEIGEAEKKGRTKQ EVSKIEAETAVLDTRRRGDKAQADAELTNRQTELNMGITLAQIRAKRQAEAKDAELQK DVEQKKAETELERLRATDVTRSKIAKETAQQKADAQFYTETKSADAHIYSQRQDAEGA YFRQLKDAEAVYARQIKEADAAFYAKKKEAEGIAAVAQAYKGMAEVMGGPQGLLQYMM LQNNTYERLALANAKAINGLQPKITVWNTGENAGSSDSTAPIRNLFQSLPPLLSTIND QTGIAPPSWMVGSMGKNNEPEYTPSTKPKMNGVDGIH EPUS_00992 MSEKLESGVDHRAPPYEASSTPPPAFSESKNLSQPSLHFQLTTA RSGRILDLIKWQIEPLLYAELLEGATKRVFVVIPANVLAKQPDLAAKDIVSSQDVEII TLIRLDGPENKSAFWLQPGVIQELPSRLRERLAASGHKVEPAPMEPIEPPQGTSTRDV QSQRQASPSWLIRQFGTPGPQHDPTATTNYRLGWRSEKENLPQRALALDEVRVLATAR PISFRVETEMGLLDSFTGTVLWLEIEVGT EPUS_00993 MKVLSIIAVLLPAMLVTAIPVAEPVAEAGADTGMDFVSAGIEAR DLTRAQCKRACDVGADAMQKFCRLTPFHIRPFCWAAATAIESPLGQRACTSFCDNFF EPUS_00994 MSVIYRERERERERERERDRYDDSRSSISSSHRGGNYTTVKRYR VTDDDAHSTTFDGRRYGARDPPARVEETRIVRREREEPEPRPERVEASYTRRERVERV PDARYEDDIVIRRTKEIDEPPRREYRAPPPPETVTDRELVIRRTAEKDGFERDKNFQV ERYEDRRKEFRDYEVISPSREDFGDLQKYSRTAEYYAQPNAPQTIVIRNEPIIIRERI RDDDYALIHRSEIEERQISRREPSPPKKEEFYYEKKTVERDPRSVEREEDFYARREVS PGDSISQVGVGVRRRSRSRGRSRYSDSDESMVYVRKEVKEVKETSRSESPNHRRHLAE GALAGFGAAELMRHHKKSQGKETSGPIARLGKDVGAGVLGAVSATAISRARSRHRSKS RRRERSESSERSGRRSRRHRSRSRSRSKSRSESPAGTAVAVAKRRNKNEPEDRRSRSR QRRHSASEATDDARNPAHRNKRIAEAGAAGAAIAGLIERARSKSRKREGNERSRSRIR TGLPIAAAGLGSAAIAGIYERNKANRQDEAAIAAERRKSRSRSRSHARSAYGEAPRGS ISDPNLIEYGNGPMYGNIPQADYYGRPAPHDPYHNEAMVPAAAAGAAYGAHREARRSH SRDRRPSSSEGSDDRRRRRHHRHNRSRSRDIATPALAAIGGGIAATEYAKRKEKKKAE KARRRYEEEYGHGHGQGQDPYEDEYDPVQRRYTPTPPSSADPYGNPNQYYRSDDQLPP PPGSAPAPLYPPQQPPAGYQQQGPYPAQPSYNPAAYAQQPGAPPPINPAYPPQNSASS AGFPPATPFASGGNGDPRLPRGRGDENVSAPPNGNFFPGEPRHAFPDGVNQARVPSPP LQNPSMPRSSSQPPPAPKNVAFDLGSDGSQLSSPDKRRHKRRGESNRGYEAEDDSEST ADGRDKRYPTHHPISETESDPEKSARHQKSHRRRHHHHDDDHQHNHARPRRSSRNYGE APSATRPDRPPSPTGSDTTIVLPDRFDKEGRPKPQRGDDAVADIFEDLLSGKGPGGKY FKKIFGGSDDDAGARRRR EPUS_00995 MASVQREESSDTNSTVPTSPNIRHEVERPKHTNASSPQPHATRR AGNIPPDSLDNSGSKSSSQERPPIREASPLRPQFKAPAHGTSRSRKNSQDLSPTRNAV NVFPNPIPSAAAVQRALSANKLLPQSPGIDTAFESRNPKSTDSTPRWPISPRLTSPPP SSAQRNSIHPVRKQDNEMAAPNSSQKRLSASVPDLSITVGKPLPEKEDNATSRTPLKT PARGVSGVTATLETVAENSVPDTPSIIPPSARSTVSSPQEYADSQNDEPQEAVQSKAA KGSGESESDGGGAKTKAETLPKPGNAVGSKPISNLAKRSLTNLVPTKNKVGEPPTRSM TVETETVSSVPQAPLNVTGDRGASGKLDVNGSIRLKASNETMKPKKERKKNPRRPTSI NTGTISSKADIFEAKVASAVDEANSSDSDETFVYESNPPEPYVPRSARHHSRTPSATS LASTIDQYGGRNKSTGKDGQHSVAGKRSMKFANYNANLDGDYGSQGTGRGGGRAVSTP RHHHISRHSRGPSHAGLFDGDSPFTQANKPSSPRVPTGKTYPNSTHFAKARTTLSPRK AEAYSYDADEGVADDERMPLIGSVRVNRARHARKTNNGSLRQIDYFEDQQPSFFSRHG ACFFVTILFVFLCMGAGSFIMALSRPLMDVSIKEIKNVLATEQELMLDLSVRAINPNL FAITVSELNIDIFAKSGFAGTAAEWRQHMSGSSIRKKGRRRKTSDYSREEFKSADGVD EGNDPIEDPGSDPQTMVLGRIYEFDSPLVFEASPIRRQYMSSLGEIRLTQPGNKTEEG GSARWERVIQHPFELIIGGAVKYQLPLSSKTRSASVRSKIKVSAKDAEGSQAAYAPED LDLLEK EPUS_00996 MTSRSSVSHGERAKCHPNALARRLFAIAETKQTNITVSADLTTT RELLSIAEALPSTLPLLANSGSFAALGPYIAVFKTHIDIISDFTSDTTDQLQRVAEKH NFLIFEDRKYIDIGNTVQKQYRGGALRIYDWAHIINASVLAGEGIIDALSQTIENGEE RDRGILILAEMTSKGSLATGEYTKASVEIARKHKEHVIGFVATRQLSADTRSDEAVPG EDFLIFTTGISISSKGDALGQQYQSPASAMERGADFLIVGRGIYSGSDPVASAKAYRD AGWKAYLSRLEGST EPUS_00997 MTTSKSEKRMSGHFGSKSSAPSPMKRNDGLLTIERSSFGSPSAK RRSLHGPSSDFNIFESEPQSGASERRSMDDVDWSARYGLPPTNSYFNTIPKRSSSLRK STLQQRQLERPANAKGSSSMQFATGTPGPQNQKKGQRSSLDNHLPPMQRDSPFSSQGI LLNASIHPLGQGQSQSQSQNQSAQASHPPHPLSRTMTQSSSTSSIADDSPTHEPVHRP DRPKSMFDFSKSLPIGASRPSVFAEEDSQESSQGSFATPANYKSAKPLPAAFMSTGLI SKKNRNVDDPHGGLPKAHMPDTPCKKQMIPFPAASNFAPAGAAKVAKPARHSFGTPST PLNSHHSVVIPAPFTFGKGIGIFGATGGKNSLTKKASFASIDGEENSQPQSPTPRDSQ TSTDSEYPPTPTKRILGEHQSVSRPSKSGSGERSRLSSSFSSKPATTSRLTSSKLSPI GASPGSVDGDSDSVMEDSPSASLRPKSTLTAISAPSSSFTRGRLLRNLNSPTPLSRKA LTLPSFGLSPRSGRTKLDCLSPVSPHHEPSQRSSPRTPQEHLLPPDPSGLSISGHSDR PLFHRGTSSASGMPATPTGPRDYFKSFSNRQSLNLTAGESTDVDQCLLSKFERVELVG TGEFSQVYRVVAASNPSPYHSLFSLSATRSSSRSSLPEQVFAVKKSRHVYSGAKDRQR KIHEVDVLKTLGHTDHVLSFVDSWEDQGHLYIQTEFCEEGTLDIFLAQVGVKARLDDF RIWKILLEMSLGLQHIHDCGFIHLDLKPANVFVTFEGVLKIGDFGMATKWPAKAGIEG EGDREYIGPEILMGQYDKPADIFALGLIMLETAGNVELPDNGASWQKLRNGDMSDVPS LTWSSDEASHIFRDASGNPLSEPTSFDDDDLGHREQQHLVSTMRDSFRGYDEQLVDDR KSVHLARSGELVEPPTFMVDPKNEQALDTIVRWMISPEPHDRPIASQILQTVGVQWAE TRRRAGATVYEGNWGPADHVLAKDAEMIDV EPUS_00998 MDPEELAARSKPPSLHGLSLAEKLPRELVYMVLGQLPLVKILQI LSHKNQYLDECVFGQLEWQFLFSSPADISRVRDLFILYCEIRRFTRKPLTADFSELGQ GHTRLVRWFATSSYSAEQLKQKLIQQIDGDLTFNPVDEALLRIGVTSDYPAHGTESSL STRYHRVREASPKDENLPISNTTTNYTAHADDSNLWARWDWVKEAKLKLNATKARQLA IAAGLMSRFPEKLMLKKPRDPLQGPRENLAHIEYGFRRRAEKISRDRRIHHQLTRGCY PGVDNIELVPYDRCLWTFLESLEKHPPGNVDVGFLNTPQNMSLSEEAGQVVDSRSQVA GPGEELCGPFRYPEDIATSIEVVMDGLMYVYTGSPLLVVPRIQWSPTSACGSSLGETK PRFFINQEPHSDCLPGNLHRCPLRKIMPYDEREYEWLEAFLKVVSWMEKKLGH EPUS_00999 MRTETKTPIVQINNQGEEMLKSEASCREFVKTKDPSTIARQIQL DQESCRLSRLPSLLALYLPPPKSEQANAIALHLYPETSMYCRSIRMAQYSGMVQVIKA YLNQMTSNLKHEKLATVVGGLETRKPSKEQIQTALNVLDFFVKNQDMNQINLRTSLED VIHVLRKDLEIPSETQNSSVRVYPSIASMEGPVSRRKCYICRFLLVSHHAQYSSLCKP CGDFNLASCGLSLPQNLHLEGKTALVTGGRLNLGYHTALRLLRCGAKVIVSTRYPRDA EVRYLAEHDSESWRERLKIIGADFRAASDVFQLVEAVKECLRRWDLEGENRLDVLVNN AAQTLTDPLEKERQAVEQEKRFHGSRFQSQLLLGGSGYEARIRGGFQGSKLLAYGAKR TTMFLEASNADLGPQNAVTDQNLVRSNDTELVPTENSLKSSWMQSLHEIPYEDVITAH SVNTLVPFILIRELVPLMSSPGSSSSRSEARASKPQAYIINVSSREGTFESSQAHPSK NGHHVHTNLTKAALNMLTATEAAPMWKEKRVAINSVDPGYMSAAPEIETRWWEMAMGE QGGEAGRWECPIGWEDGAGRVLWPIAVGEKGEAVWGRFLKHFRDEEVG EPUS_01000 MSEYAKNKPAGFKNAIEKVAIVGAGGTIGSHLVSALLKTGKHTV TALSRKDSSNKLPDGVNVAPVDYSDESTIVEALKGQEFFIITLSPTAPRDTHSKLVQA AAKAGVPYIMPNSYAGDIEQVQFGVDTLLGPVAKAHREEIEKLGMQWIAVCCGFWYDY SLAGGEARFGFDFDKHTLTIYDDGQTKNSVSTLAQVGRAVAKVLSFPLFPQDEEDKSL TISSWLNKAVYLKSFVVNQNEIFESVKRVTGTTDADWTVTHENTKQRYEEGMALVKKG NMSGFGKLLYSRAFYPEDPSDFAAKAQNELLDLPEEKLDDATKDGIELLKELQKRPER MAA EPUS_01001 MIVAAGKDMSIPQGSTMMPTKSLCPIGLVDWHGGEDDAADEEEG HRDEHDGRGSEMGQDQTRGAGSDQSAYGDRAELGRGEKRGLLSQDFEKIQRVEDVDAE AAPAGADAGQNEEGVDAQDAKWISGWLTLVSTNTKAIKTKMPTSMMLAATPRMDPKTS TRCQTVEFQGCGPFGAGVAGMAKMARTANKRQQAGKDAADKESSWATGTKQAEHHILA KAWIVDASEDGDGIRKQEGRADALHGAAEDEEGRATVDGKASDGRPHSEPSVAANEEE LVAEHIAQAAGDEDASANGLTNNVLGYDAGGKTGLSEELRGDVDGDEEELAGDGRGPL DPGIKMLQQIVL EPUS_01002 MLSKFCLCLLASAAGALGAALQTHDQGQFDPSSYSANNVVQTDF AIIGGGAAGSYAAIALKDQNQTFTLVEITDRLGGNTNTFRDPSTGAVVDFGVQIHLDT PIVRNFFSRLNSSLSHIQLSDFGLPKYFDFTQRVALPDYVRGNVGADYVALLDQYSYL ADLNNLPAQVPSDLLLNWPDFAQKVGLSENSTTGGLNWPATPGDALTTSALAILNDGH RTKLAEFQGDGVRAANRDNSQIYRNALAEIQANVLLQSSIVAARRGSTPGSGVQLVAN TPTGNKLISAKQLIIAFPYTPDRINAFGLDSREQSILSKISGKYYYGGVVNNTNLEAG VSYLNAGANTPYNTASVPGVVRFAPSASPGYYFYWYNTVSPLTRTQIESLTRSTIGFL ESQINATASEPTFVAFKDFSPFHLAPSNTDIANGWYTQMKGLQGYRNTWYISAMFVVG STQVWNNTANILPSIIEAANQY EPUS_01003 MDFKNSEWASEPASEPIAVIGMSCKFSGSASNPDKLWDLMAAGK TGWSEIPEDRYNLKGVYHPNHERTSTTHVKGGHFLDEDVAAFDAAFFNYSAEMAQVVD PQFRLQLESTYEALENAGLPLSQVMGSQTSVFAGVFTHDYQEGIIRDEDRLPRFNVVG TWSPMSSNRISHFFDLRGASMTLETGCSTTLVALHQAVNTLRNREADMSVVTGANVML NPDTFKAIGSLGMLSPDGRSFAFDSRANGYGRGEGVATIIIKRLSDALANNDPIRAVI RETALNQDGKTDTITTPSAAAPGELMRECYRRAGLDPRGTQYFEAHGTGTPTGDPIEA SAMAAIFARGEGRDDEDYYLRIGSVKTNVGHTEAASGLAAMVKGVLCLEKGLIPPTVN YETPNPKLKLDEWRLKVVRSMEHWPDSLVDGPRRMSINNFGYGGANAHVILESADPWT LTSGLDVNPINGNGHTNGHTNGHTNGLTNGLTNGHTNGHYIHDTTDDAKVLILSARDE RGCQQMLSDLKAYLEKKKSLGQDASEQLLRNLSYTLGERRTLFQWVAAHQVRLDEDGT LEAAIRALETPRFKPSRRAADRPRIGMVFTGQGAQWNAMGRELLTSYPIFRQSIDEAE AILKDLGAEWSLLEELLRDKKTTKVHATNISIPVCVALQIALVRLLESWGITASAVCS HSSGEISAAYAVGALTHRQAMATAYWRAVLVADQTKRASGAPKGAMAAVGLGVEAVQP YLDRLTKENGKAVVACVNSPQSVTISGDDAAVQEIEDLCKQDGVFARRLKVQQAYHSH HMDPCAADYRERLRLEMARDVEQRTKQQHLQASKQELKAVFSSAVTGGRVADIKQIAS PDHWVGSLVGAVEFVDAFTEMVLGDPDDPTGRSVDVLLEVGPHTALGGPIREILSLSD FEGLDLPYWGCLVRDEHAGDSMRSAALNLFRQGHPLVMDQINFPVHAYDDESPQVLTD LPSYPWNHTMRHWQESRVNKAINERSQPPHELLGMRVAGNDPSSTVWRRILRVSETPW VRDHMVQGSIVYPGSGYICHAIEAAKQLAEEDKSGKDISGFRLRDVNFLFACVIPDGA EGVEIRTTLQFVSEREIGARGWYRFEVSSVTLDNRWTLHAKGMVMAERGAAAPEKTAK RRPLSTYTRQPDPQDLFANLRARSVNHGPLFQNTTRIIQDGREARSVCDVTIRHEASS DTDPLVAAKNTLVHPITLDAVVVAFYSVLPNVGALQDDPKLPRSVASFWISNDISTEV GRTLCCDTSLLHDDAQSGTANITIFDSETDLAVLSIQGLEFASLGRGSGATARQDAAN RGGAAFTPKWEQEVVSKLVWGPDFSLQNPLAIEQIKNELATIESGATGIKQLSLLFRK VAHKNPGARVLCIGSGTDALATRSLLETLDQPLVGSWHITEPTSESLEDTHAQLAEWA RVLEFDQLNIEESPTKQKFTTGSYDIVVSVQALRSAKDMANALANVRSLLKPGGTLLF AETANGISSWDGLLRDAGFRGVDLEVRDSELDSVDSKSVVMSTVPLPENKKSKLSNQE GFVVVTSTRTCPPSGFVDVLSLRIKALTGTDAEHLVLEQSSFDLYKGKICVFVGEIET PIMADLDSVTMEGLRAMVTQCEGLLWVTVGATVAGEIPERALHQGFLRVLRNEYISRR FLSLDLDPAHAVERWSSGGETIVSTIVQVVEEGFCRVDSETGPQEFEYAERDGVLHIP RYYKDEQYNNMVAGSLVPSWAELLPQVAKDEKEGVDGLANLPLEALFQENKPLRLEVG IPGHLDTLAFVHHEDEQLGSDQVEITPRAYGVSSRDVLAAMGQLKDRSMGEDLAGVIT RVGNEAQARGYNVGDRVMALSTGASFASRALVPWHAVVKIPSSVDFLSAASIPLAFTI AYVALIDTARLEAGQSVLIHAASGAIGQAAIMLAQQMGVTEIYATAGSPEKRELLQRE YGIPAEHIFNSRDASFAPAVLAATNGHGVDVAQLTSWRSSAGKPQHGCAFGSLDRNWQ TGRRE EPUS_01004 MAQVQSAFRFVQTGAQIGKIVLSANPDEQVHVVPQPVGVTTRAQ LRSDASYLVVGGVGGIGRSVVQFLVAHGAKNLILLSRSAGDLDLDKNKSTDGALFLRE LRDMGCRVKPVSCDIGLPSSLTKTLRACENDGLPPVRGVIQGAMLLRDAIFEQMTLDD WRSGLSPKLYGTWNLHTEFSQPDSLDFFIMLSSVSGVVGIASQTNYAAGGSYEDAMAC WRQSRGLPGVAIDLGPISDIGYVSTSSKVAERLRKDGDFIMLDEDIVLRALNAAITHP LDSRPQMIVGLNSSPGPQWDANGRSQLGRDARFLPLRPITKTSSSSAEGESSGASLSS LLSSAADTQAAVEFIGSAIATKLADIFMMPVGEIDLAKPPAHFGVDSLIAVELRNMLV LQAAADISIFNILQTSSLAALAALVAEKSRFLQSS EPUS_01005 MAETPPRLQLGVACEECRRKRIRCDRRKPQCMACSTAGVQCVVR ETCPPRGPKKGYLKTLQKRIEDLQTQLEKSQRSGSNTPPETRACSSVQGASTPEDEAG SRGSSIDNNKIQHTTSTNPEITDGIPTSPLPFDSPFPIISMEPFGCLENAYTSPPFPS LTSLDHLQELAQFPKETDLVITPMMHNDLDQLYFDRAYAFAPIVQAHRYRSWSKQRDK SQQRTCLQLAMWTLASSLSSQFSIEGRKLYTKTRQLLHALESDESYHQVSFQQAQAWT LLAIYELTCQDFHRGMMSAGRAFRLIQMMRLYELDAPTTPGTMQLDKYHSQLVLQGPV QDDWVDVETKRRTFWLAYTIDRFTCMVDGLHMFFDERLIRTRLPAPEVNFSNNLPIDV GFLTDVIPVVGLEWPQNNLSHFTECVIAATVCGRVLEHTLKAPGRPDQEFCRQHRALH ALLTQRIQVLQISASLGYPDPILAFVALAAHISMLMLYDLVTSRSLGTDAQGTQLAQA LYAEQKQQSLDAVANVAVLISFLDQHFQMHPLTPILLLLGARFSQSHPGLNDAYIKLF PSIITMLQASNGPKGLAQNFCQLLEPNLDIHCAFT EPUS_01006 MASKNINGNANGSARPLNVAVVGGGIIGVMTAIGLRRRGIHAII YERAQTWHEVSAGMAFTGAAREWMQQIDPALVELLANISQKTDAASSNAYWNAYHPRT KEDAEDESKSLLFRIPVNNLDFWGCVRSQLLKGMADLLPEGAAVFGKQLLNYIDDEQS GNVVLHFADGTTAEADVLLGCDGIHSVTRAVLLGADHPASRAGFSHTVAYRTMVPIEL GIKALGEKVAKSACNHLGPNADLLVYPVMSGTLLNMAVFAHEEAEFPDPDKMTVHVDR TEIQKLFDGWSPQVADIWKLYPEKVVKWGIFDLEANPPPTYAGGRACLVGDAAHASTP YLGVGACTGVEDALVVCTLLESVQQKALSGEALKEALRDALQTYSAARLDRGRWIHHH SRQMVDGVEILVDVGPDLAAQLVVAVAHLEGNVGVHVRGDAGLEEFFAGLVDRGEGAV ARVGNGVAVAQRRPGEFGGERRAVLHHGCSGVSDRRELVNVEANVDSGSEKCWGSKSV VLEEWWSLL EPUS_01007 MSSTQSPSMSAVRDATTFVFGGHIGPQSKNSLEKRVRQIVQGPN GDWILKTIAGLPRYWDAMTQKMPEVGRSMEGPRLLAELVSWFRNGPESVTTLAPDAEI PDLWIGVLMVAIQLDQYCRYLECRFIGTGVDDLQAELVKQQQQRQAGGSNKVEMVGFC AGMIAAVAVASSHNDEEFRKYGATAIRVAALMAALVGATEEWTKKAGKGGSVSLAVAW RTAKQGDDMTRIVSKLSPDAYVSVLFDASRATVTASERIAPKVVRQLRAAGVTALPLA FKGQLHAPTEERARFTKALIDVCHSIPELQFPNAAELALPTYLDHPEGNQVSGDEDDL VAMVLRSILANQLNWTSTVSKLASNKKDISIVAFGLDRPLPPTILRTFGSNQVQFEDI EEPRQPQDQDRVKKSETVEPIDVARPSAAIAPREEDLEDIIAVVGMSVKVAGGQDLEE FEQMLKTGESQHQVITRERMTPDSLFRDKADPERTWYGNFMRDADAFDHKFFKKSPRE SMAIDPQGRLSLEATYQTLEQAGYFREMATTSPSEHERRKHVGVYVGLCSYEYDVNIH CHPTSAFTGTGELRSFIPGRVSHYFGWTGPSLTFDTACSSSTTALHWACRDLLSGEVP AALCGGVNVLTNLQWTQNLAAGNFISPTGQCKPFDSAADGYCRGDGIAYVFLKKLSTA VADGNNILGTIRATGINQNLNTTPLFVPNVPSLSTLFNQVISKARVNPSDIGLVECHG TGTPVGDPAEWESIRKAVAGPRRDTVLPIGSAKGHVGHTEGASGLVSLIKVLLMMRGN FIPPQASFKSMNPNIHAQPADHMEVVTALRSWPGDRKLALLNNYGACGSNSSVVIAHS APKKSASFLAKASARLPFWISGLDARSIAAYTTALAPYLRKYAGLEEGQAGLADVSFS MNWQSNPGLPQALIFSCSSLNELQDKLAKAAAATKDTASSIGIAPVKAERPVVLCFGG QVSTFIGLDRAVYESATVFRHHLDQCNAAITAQALDSIYPDIFSAEPYENVVKLQTAL FAMQYSAAKAWIDCGLTDKVVSLVGHSFGEITALCVAGVLSLEDTVKLIAGRARLVQT AWGPDSGAMMAIEADEALVHDLLKESNLASDGTAGIACYNGPRSFTVAGSTKAIDAFA TMLAGKQGIKSKRLNVTNAFHSALAENLVSRLNEVGKSLTFKDAVIHIERATEQGDPA APLDWRFAGSHMRQPVFFNHAVQRLAQKYPEAIFLEAGSNSTITVMASRALATTVPSD AIHFQSVSITNTKNGLDKLTDATVDLWKQGLPVSFWAHHRSQKDEYSQLLLPPYQFEK TRHWLELKSPIEQALKVAQEKMGSNGLLLAAPGQQGQIDPKTLDMWTFVGFQDHNKKS KLARFRINTESDKYQRLFSTHVIAKTAPIAPATLEIDIAIETLFSLNPEWRPSGFSPV VRDMLSHSPICADPTREYYIDLEALNKAETEWNWTIHSVGASPADDKHAEGRISMCSP SDPAANQEFARWERVVSHAQCQAILALGAHEEGVEVLGGRNVYRAFEEVVVFGSVYQG VKYIVGRDNGESAGVVHKRHTGDTWLDVPKADSYGQVAGMYVNLLTDIPASDMFVATG LELVMRSPKAQLMMDGRDNGPDVWHVLARHTRQNEKSYVTDVFIFDASTGALAEVILG LRYVRIPKATMSKILARVTTDKSFVRDTAASLPTPARPVAAFTAPTSATPAVIPSRPK AKTTNSQKSKADSGARDIVKEVRDVVSNVSGMEASEISLDSQMADLGIDSLMAMELAR EIENSLRCKLDSNETMAATSLREFVACVANALARGGGGRNVEEDDDDDDESDDDTTGR GEDVGSLDTGDEDSGSNITTPDDASDFSNEGQDSKIEAPVPAAKVLNRGNVEAREAAA LRLVETYTSGWETAALEAAEKGAIASRRSEGAVVVVTGASGSLGSHIVQTLAERSDVA TVVCMNRAISDVSADKRQEELLSSRGIELSPDAWKKLRVYGTDTSKPHLGLSDEDYDW LTQNGTHIVHNAWPMSATRPLPAFEPQMRVMRNLLDLARDMAVGTSTRRIGFQFISSI GVTGFSDESNILEQPMSMAAVMPSGYNEGKWTCERMLADTLRQHHRLFRAMVARPGQI SGSTTSGFWNPVEHFAFVIKSCHSLKSIPDLGGVAHWLPVDKSARVMVDLLNIDCREG ATESYPVYHVDNPVGQPWKQLVSVLAEALEVPAHGIVSFEEWIRRVRSSALGPKENPA AMALPFLEDHFERMACGGIVLDTARSSEHSETMAAEGPVSAEVVKAYVRSWKEMGFLY EPUS_01008 MPSVTADQWLEAAAYRRSVHGLAGTSKVSDKRVEEIVAKVLDFA PSSYNTQPVRISLAFGEKHKELWSIILEKAEPILERINPELWKKLRTLFQSHQAAYGS VLFWERTETTKEAAETHKATAHMFGEWGEHAQGIHQILVWTALELEGVGANLQHMNSL APIEAAIKKFAGVPEGYKLKAHLNYGDEQRPHPEKPEKLPITDLLNVL EPUS_01009 MNDTSTTHLPRILCLHGGGTNATIFRMQCRVLEKRLARSFRLVY AQAPFISLWPGPDVTSVYKDFGPFRVWLRDHRMPGVWTSRDVASKIDASLALAMAADD AKGATGEWVGLLGFSQGAKVAASLLYRQQRSGMTTFRFAVLVAGRGPLVWLMPDLPKP RGLVDAATPFTYDPPAWLTLGSHEHMLRLPTIHVHGLNDPGLDKHRELLREYCDSRYA TLVEWDGDHRLPIKIRDVERVVQQIHKAARETDMPPPIMGRSGFLIQ EPUS_01010 MVIEEVRAGKREGSVISTQTIDSLSTDEREAWRQLRKELENVGI TPALFSQHSLFIVTTLQRALIEEGLAGDVALGDSHMTTESGQEALLPSHPIQSEATVP SVRLINTSVQQSPSRGMLSERTLPDEMTNKASSKAAKKPNRVARILNKLLVPETKFMD AALRGDAILAKQLLKRGPTPSPKNLGLALHYATNCGHVEVVKLLFTCSDVDVNSKNTW KQTPLSYAAERGHEEMVKLYLTRPDIDINSTDICGQTPLSLAAGRGHEAVVKLFLTRP DIDINSTDFLGTS EPUS_01011 MASNFEANGTKQRRQKSTRRRRPGSKDDFDERWGDEEPGSDEAE ENDFQIPPPKRAKLDDGAARMRTPTPDENESPEALLEKEARRDREERDEFARRLAKRD DERSKKMVEDRSSAKDSALAQRRALADDAAGRSAAMPDLRLRSRQDYLKKREAEMLAL LRKQVAEEQAELRENPDLTSAERAEFAKNREVLRIAEERMKIDEHLDGYALPEDYITE KGKIDRKKKEEALYKRYADRDEHGNERFVTEHEEWEKEQTSKAQAQVSRFEFVDEGDY DYVFDDSQKLNFIMEDRLAGDTKLMTKEQRMMMQQLTAAEQKAKSIEETRKSLPVYAF REEILSAIGEHQILIIVGETGSGKTTQLPQYLHEAGYTKGGLKVGCTQPRRVAAMSVA ARVAEEMGVKVGNEVGYAIRFEDATSDKTVLKYMTDGMLLRELLTEPDLGAYSALMID EAHERTVATDIACGLLKDIAKARPDLKLLISSATMDAVKFQKYFDNAPIFNIPGRRYA VDIHYTSQPEANYLAAAITTIFQIHITQGKGDILVFLTGQEEIEAAEANLQETARKLG SKIPEMLICPIYANLPSDLQAKIFEPTPAGARKVVLATNIAETSLTIDGIVYVIDPGF VKENVFNARTGMESLIVTPCSRASAGQRAGRAGRVGPGKCFRLYTKQAYMNELDESTT PEIQRTNLNGTILLLKSLGINDLLDFDFMDPPPTDTIVRAVEQLYALGALNNAGELTK VGRQMAEFPTDPMLAKSILAADKYGCVEEVLSIIAMLGEASALFYRPKDKKIHADSAR ARFTNKEGGDHLSLLNIWNEWVDSDFSYVWSRENFLQQRSLNRARDVRDQLARLCDAN NLPPIQKAITAGFFPNAARLQRGGDSYRTVKNGQSVYIHPSSVLIEVHPKWVLYYELV LTSKEYMRSVMPLKPEWLVEVAPHFYAKKDLDSLGGGDKKMPRGRGVGAAEGGGGRSK I EPUS_01012 MIVQIPVSVYAAEVAPSHIRGSLVMNWQLFDALGIFCGFTANLA VSQLGPLAWRFQTASAFLPTIMMLTLIFVCPESPRFYMKRGAKYYGEAYKSLLHLRGL PLLAAKELFYCHLQIELEKKLLSPKSHDAEQRLKAHEEPREFHANDQPGLRSRKARSV DHCSTHAEVYRSPSVANEESTEASKDGASEDQGNRSKILTLEFRNRRHSVRSKNNCMH LWHRFIAPQSHSINYWQKLGQLFTKGRIRRVTSRAALALALLIVDQATIAAGVCMISQ QLCGVNALAFYSSTLFRDAQAPGIDALWLSWGIGLVNFVSGLPAYWLIDRYGRRFLLL ITIPGLALSMLAAAFSFNIPEEQQKAHTGVISLFWFIFMAIYSLGMGPVPFSLSAEVF PLENRVVGMSFAVFLNFLGAGILTLVVPPLTDVKHTKILSVFAGAHPSCLTPFIIINR VSNTDLRCDTALNVVAFILVFFFGMLLMLLHFLAMPLTEPTVRETAGATLSRTPGNLN PMSLEELNYIFGVRNFEHIEYQVKTVVPWAFNRYIRRMDPPECPEHPKQLYKWAWEVE KSRKEKQQQQEAQALQMEEEQEANAGGVGEIERIP EPUS_07494 MTVTQFREQALEDARFRSGYTAFIDNGKQALRRASWEHRDDHEF DWSQWTDPLDERLTEFLRAERPCAEHSQSSDVDPPDGWSDNEDQNAHGVQPIYDDQLG INNSDTEASLTMQIKIHTASNRDNQNDSGNQSESEQESENYDERATEDQSDNEQQSEK ETENFDKGSFTIEDQSEDENESEDEEESKSDGQSESENGYNNSDQCADGEEYASEDQY EFSDQDQDVD EPUS_07495 MKIAKVKGLLQASARVLQSLLIANYLGPYRYYTLEPIKKIERHQ NEDEMEELVKALVDFRQTKDEELSFVAKAAALSAAAVIGVFSWPATEKTVWAAKMLWN WSFFMSTFSLIGSAPMRLLQHLPQHPPDQNDMEFRFKIKMALNLFLQPRAKLDGSSDK IGHRRMSRRMLWVWQCPAMLMSYSWVFFLVGYALHVLSPVFDPSQAKVSSQVG EPUS_07496 MAYQPLSTSSKNPSQAIYLYTPPLRHDPVNGNLKNNINMFAHWA VCIQGVCYELTGEHEGYQWKLEQDWRRTRQLQDQQPQHVGYMTMPYTPAIIHKVASQV WNKTFQRKYIYDEQNCQAFVRYLLDLIADPETKANLPQFFDKWVKTVGVTRDVTLLGI VGAASLIGVGLVTAAVDMGSTATAGFALTGQMACSSLAAVFHMRDRKAKHIKKAQKEI AEELDRNIIQVNG EPUS_07497 MATVRSTGGPRTEFLSSLDEMKVKAVCGRQYVQVEKLQEWMTRG KPRNIDRLLDSCRKDQHGFPIDTNKYMRGDKKCLLVFSIFLELEEGELIYDLRDRGFV DGRLPLDLAYLERVLKNKPNLAQRFNKAQWKYTPLKFEFQDQEKESPADRIVPICLKE EINEGGTAVVYQILVQEEFVSKGLRDAVPNSRYNDQKYGPCYFFALKTYNDGNESLYD NETNAFRGLSKNKGMIQWLFNYSHVEDEKAGRTTYNLVLEYGEYDLERYFLAYLPPQL EDEQLSFWHDLFLVAEAIRDIHEFTDSSGGVVKEYHGWHADIKPANILNVHGEFKLAD PGFARFERRGDRNKGGKDDMILLGVTETFGAPECYVAQRSNSRQGKFVRGSRSIDIWS LGCVFSVTATWVVYGNQGLEQFAELRSAAINRISPNRTTSQEAGIVIGSDCFHDGQAV LEDVLHWHKFLRRGIRGSDTITGQVLQLVEEKMLLKDASSRISAQDLCEELQGILQSV QSLHSQIPPHHETIRKALNRTEEKWAAKTKADAEDAARKPLGSLKSEAATPSSRGLHP TRGGQISQLLTPMQTSHRSKASGKASSIITTPSRVLIATSTDNTGSRLVVQSSAREVD MKRIPEKRYSEGSALVTTPEMSPPKSPPVASTIARTSTNSTSMTSPTSLKRSKTSSIL SKFSNFGKKKRDEVLLRHYNERDIVYLIDNGESMERFWPSVRELLLILVTKSQHIDEN GMELKFTCSESKFKPSNKVAAFAAEMDKRTHQPMTGEHARQTNMSITLGSMLRNYLTQ FSRKKTHTRKMTIIVLTDGIWAGMTENFAVDKEIIEFNQKLSQLGCNDLEHDERRVSI QFVRFGDDPTAIRRLKRLDDQLRFKGVPDIVDTRPYNDDPYHILLGSLSDHADKKGEE NAVLSDFQDSSPGLGYMQSGSSPPRILSDEIGSGQNLLMQEPSELELPHTIDQAQAGH QQTLHRMQTPPRRHRTNSHDKPPQQPSFSFSRH EPUS_07498 MSLTLTPEYEASYKSFSTYPQNLLNTHAFFPAALRAYKIHLDAA EDTLFVQNENAVDVPIADLDENGNYIRKKNIFSDQKLREYIGDTVEEDVQTHELIRGS YASRPDPKCRFIYFWAKNTQAPLKITRKMLTRIMTYHQVTPQFFEFLFLFGQRSNAHD LRYSGFREKTSLDFPSPGQALPALGRSGKHFQLCYNLKRPESLTPPGTPFRDQEWSIR PAAIFHHFDVETGGTMWIVIKRDLGLKEDIQELTGPDGRIKDRSFATPGQSFRSSLAV HSLLAHWATTNWRWYIQYLEDTVDKETQAAVHGSRELVSNRKNFSPMDLQHVQWWEER AVEAKLILGGISNVLQAIIDYYASLLSHRNFSLSTTCRPDIESLAAQMRDAIADCKMQ RDRAELLTQIASQRKTLILQHLQSQATEKMENLSLMTQKEAIAMRIITVVTLIYLPGT FVSTFFSTDVVTYQGDRNSESSSSNLGTSFSTTAMYRWLQVALPLTFCTLGISWIVYK YATMKTVTRLSKHWENISLPR EPUS_07499 MSLPRRWTDQSNRSNRQLLKPGSFSIRRSQTEATSSEPKLYECM FARYQLSPETLLKWLEDNFPDYKPFDIKVGNDQYKFRLPRNLDEKDKRELLRLRDQDV RDPNRRSESPVPDQHSNP EPUS_07500 MSQPNTKQRQRPQADVAQVDRKQLDQTDPLQGDRKQRPQVNRTK GPSTTTSSSASLSRKMLTPSSIHPSVASGKSPTSTSTQSSSSLGPSQPPSSKLSSQPS SSNTVAKKPAGMADAKKPKIPLKDRKCIFCPIIKDVKNAVTKVHLNEEWDKEFLVVQP IGPVTEGHVLVIPRVHVQDATSDPEIFGKTCAAAARVARKLYPGMAVNFANNEGELAE QSVPHLHVHVVPRRPDDGLVNFWTTQIPGHYNTTGRPEHPEHVRPKFPPKPTAAA EPUS_07501 MSLRSFLTTAVRTGQHSHRMVIRLEHRIGNNPSGDFRGLHYYHS QHDKNSSPRKSDRELYRHDALIHSDSIRLLKLLRGARHSPLQCEILEQRKGSGPAFEA LSYTWGAPVFAQVLEEVKSDTIVRITENLSHALHEFRLKDTDRYLWIDQICINQQNAS EKSHQVAAMDEIYRGATRTLVWLGKEEAHEAMDELERIGGDFESFGYKKVFPFPPTVW SQEYLQKFIALFETCNGGVLYDFFGRPWFERVWVFQEFILARNLEIFCGSRSISYDLF SKTLCIFYVAMRKINLGNMGMQHDRGGVMKLITSPRYARAWELIRRRERYLALQNIAL ENEKEEQVKATPGTEKSPGDGMSLQESYYSQSASPDNIQPSSIIDLCIATQELKCSVI QDKVYGVLGISLRNRLLVPDYTITPEALWAQLALRCLESGDLTVLYHAGVNLHHVQAG VPTYAINFSHPMPPQFRFGGGSTARFHAGSTAPPRVRLVRMMAGEAELSVNPQIDGYV VDHVAKVIAGSADAGGAEDVYWTPDSLRGLYSTVADWRGSLPDPYNRESLHTVFTRTI LADNAHPQVNVAAGGVKRNEPTLVLMGLIALKSVMVGQRIFTFHERVAQAYGTVALGL RNFDDEEPVFYTFPSKDAKAWELATPDGSGSGSVSVVELDGPIVQQLQVYLQTVSMVL NRRCVFMTSKGYLGVGPGSVVQGSVVFVPVGAQTPFVLHPLSSAVESAPEDVARRALH ILLGECYLHGWMDGEALQESNDCQYAEIVLK EPUS_07502 MSSCHVIEADSLTLKRSFDAVRDPNLYQYRGPCKRVDGETVYLK SSLTSSHFSRPSKRKATTTTSHSLAMPVQTSAPVSAAFPSFMSVFGGGMGMLEDGVSE DILRNDSRDGSQLNAEKPFQTHYPLPTPYQRRRSAASIISDSTESSPTTTVSTFGSPS MTEPSPSSSPESPTSLLPLSPFQKMTGSSSHGGNANETSQSSIFFASQSRSQSPASKE RNVKNLSLNMNVTAARPATSSAAEGLHAFSAPTSPLRGPLKTGRRRPNNLTIQTPGFD KTTFSACEIPPTPSHRPALKHHESSPALPSLVSPTTAPLVGMQLPPISMNRLLSRPGS ESSFSSLSVSSQGLHELQEEANETNKPLKSQEAQERGYPDGPIRIYDSGVYLYLEPSA EEASKYDTVINVAKEVPNPFFMPSAKYKSSVMSVWRNSEHVDSVEPQTAVSDVSFKSA LEWPQVTGHTSPTTPKARLAAPEYIHVPWDHNSEILDDLYSLCKIIESRVSTGKSVLV HCQLGVSRSASLVIAYGLYKGFKSDFHSMYTTVKERSQWVGPNMSLIYQLMDFRTKVA SGEYSARSKSLPEDWFLNGSTENEMTPRPRHGPLETSSGSPLPPISTAPVECSASSPP PIPPLNEARAAFREDSLPTALSNIALSSPSNESATTSSPISPSLDAPPVPPRSAKRST PRPLPLRELSYPSMKPSEIPPHTRRNMSQGRFAQQAISYSPVKMDLMVQDLPPTPSIF SPRTTEFRTMSLNGTDAGDLASEKSQKKSGHGNRMSVFSQPQASVAVDPRSPHHGNGK AEIMRHIDDVEDVTLSRRGDQVAGTLHLTPHHIIFVHTSAGDNGTKPARPRELWITYP IISFCTLRPTPAASRQPSSIRLRCRDFTFVCFYFSSESKARDVYDSIKAWTCKLGRIE KLYAFTYQPQPPEKDINSWDFYDPMKEWRRMGVGDTSRKTKWRISNINLDYSFSPTYP SLLAVPVSISDNTLNYASRYRSRARIPVLTYLHPVNDCSITRSSQPLVGVRQNRSIQD EKLLAAIFSTTQNERPLSHIFPSPTPERETSASSKEEPSSSKDSDINLTSSEAIEDEI IARLRGDNDAKPDCEKDEPSKPVVYGAQQRNMIVDARPTVNAYAMQAVGLGSENMDNY KFATKAYLGIDNIHVMRDSLDKVVAALKDSDIAPLGPNRELLAKSGWLKHISNMLDGA SLIARQVGVQHSHVLIHCSDGWDRTSQLSALSQLCLDPYYRTIAGFIALIEKDWLSFG HMFRHRSGFLSSEKWFHVENERIGGRDAATSHDSGAGKVETMSGAQKTFESALRGAKG FFNRGDNANASRESLAAFDSDSDQALQSYESDSPSSSRRQQPSSPAPASQVAKKKPEP ETTKVKETSPIFHQFLDAVYQLQFQYPTRFEFTERFLRRLLYHLYSCQYGTFLYDNEK ARKDADVMGRTRSVWDYFLSRRDSFLNPKYDPVVDDNVRGKERLIFPRVEEVRWWAEI FGRSDEEMNFGIGGAKAREGRPLRGYTKPGGAAADGGTAPNAAVVDDSSSSFVGDSNP QSEARTPVLTGVETAEESVGPAAAAKEEGPQSAESTAELMHQLSSGSTQPGNAEVAED FAARGTTELADDMKGMGIASNKIAEVYQSPPLPPSPSITSATDNIDGKCAGTDPASVP LPAAVPSTNDDQNTQEPVADLDTDPLGVGSLTNGTTSSAAAMAAAERKTRAALRRQVE REIQ EPUS_07503 MSEPKRVAVIGAGPSGLAAAKSLIHDHPPGTFAPIIYEKLSHVG GIWPVRSDDQANIVPPDMPTNASKHLVSFSDLAWDSVKVHQSKQPGQDIATFPKAHEV GKYLQTYADKYIPSECIRFGTEVISISETDASGPEWNVISRASTKSNITAEEKFTHVI VASGFFAAPEIPQLHGLDKFQGVVIHSSQLRDLDTLLSRMANKTKIVVIGGSMSGGEA AATLAFQISSDRHAAGTHSTNAERLGVYHVTPRPFWSVPPYVPLNPIADGAPNPCPIF APLDVVFGDLSRRPGDQIRFAPSATFASEAAKMFNALLQSLMGSNQSGWGDGSLTIPN SAFEKPPWLIISTTHAEFVRSGDVKVILGRAAGIEGHTMMVHTGDGEAIELADVGMIV MATGFTPHPALSFLSPAIRQALEYDLSNQYDPIKLFNFGTMHPLIPSLGFVGFYRGPY FGVLEQQARFLGALWSNSLREVPNEPPARVEDIKQRGQFPMGDYVGLMESFAAILGSE RWPLSPEHSSREGPAIPARYPGKTVRTNPSAADEQKKACEAMIRTIARQSMFVAPAVF RALQGRWKLTREIRSVIPTYPSGIFRGEANMYPRKPTDDGYAAEFLYTENGELATPEG LRMTGSRSYVYRLSEFEPQAITVWFVKPESGSKEVDYLFHQVQFHEEASGQHQDAWGS GWRAKGSHHLCVEDHYDTEYWFRLHAIEIKEWGIGYTVKGPNKDYWTRATYAR EPUS_07504 MNISTVVTTPSRLPHLPPQEWSHSRSHTEPLIGSPERSHQARLS IDASPRQPAIEKNILNTTPSPSRPQKKRRSTTLTSIPTSQNQSKKNPILTKSPSVPTF HVKPDPRDSESAPAVPAPELCSATVGAPLLRVPSNASTADSRSPSQRRPPASHSSYGV ETSNGPPPSFTTQRTLSQDRLWKPSPPEKSNIAQQSGAGSFYDESDSPPRNIPSMDKD EVANDQGADFNQDDLDNTTTPGRQVDQSAAGQDIDTDPGTAGHSTETLCTAREHLSPG MEVQSDGEGRKNSGADDQKSDDLFLNLAQIDAGRQELVLRSKRRKSRIGTSYTTSLSR PDDNPPTEATQLPEQNQVGHDALSPRTDLHHQPNKRQSLSFRSIARSAHPLDEPGRQR YFSAGTKMHPTGNHSTLGRGDREASPELPYNRSERATNVDSGTRSYRRSNLSAFRSDR NVSTSELQERARYLEQTTARVEGTESTMSTAAPSTVWDELDELKSRIKKLELTGKLPS SSAAAMSPAERPRTATTTITTMSSSPKRGKANSSPDESGIDGVSLTVHPLLHEAVQKA RPMLSADIYQKLEATASDALQLASVMGAGLHPGSSSVIGASSSAERQLRRRADSMCRG LTELAIALSAGPNPPTSLHPFRPSSRGASSNPSHTTPPTTSYSLDSASRFSRRLSIGP EDARPSAMARAQSRLESRRASLLHNSMSNSPQENTTREIAIRTPSVAQPQNQMQPNSS SRLNRAATRLRGQRAAGAVDGVDDSENEHSPSVRPVSRARTEVSLRHRSARDRTSIGR EYTSSHPLPSFVRKGNDIPADASPSVIASSGLPTCRSYAPSTANVGSSNLNSPTTPKD NIQPVYRRRVSNGATREASSYFSSTEHTPENVTVQRSSASGSRRSLGLTSRLGQVGNF MNGRLRAAKMDRERQESLQSQQKTPSPQITRQHSRQDQEKAGVY EPUS_07505 MKSYRYSDQEKIESPPERYENGTQAPLFYDDNDVFGHEASHQIR YKTLTWHMVAVLMIAEIVSNGMLSLPGALAVVGIVPGLILIIFLGVFATFTSWILIQF KLRHPQVHNMGDAGMILFGAVGREVLAGGTVVFAVCATGSQMLAGQISLAALSDAKLC LMLYTGIFALPVLLLSFPRTFDQLAWCSVPACLSLLIAGRPSGAGERSGGFLSRVRVH HESRVCLRGTLYVLRVDLRDEAAAGCDEGGLFAADLRHYFLRYVFYRQSSAAWKCVQV IFAAVVYAYIGSTVASPAFSSLPPRWMKAAYGVAIPNFLIAGSLYSHTAAKILHLHEH TVLGWGTWTVLIILMNGAAFVLAVGVPIFPYLVGIAASLFASWFTYGIAGMFWLHDSY HESDNDNGRSHLHGGSPLYGGTGGGGFKAWLLL EPUS_07506 MSSHHICAPSARDILRYRSHHGVNLGGIFVLEKWLYPSMFDSSV AGSSEHDAVISSINNVGLEATREKWQAHWSNALTEADLHYLTTIAHCTTIRLPIGYFT LGPDFTQHTPFAMSPSQVYTNAWSAVVNMVRRCHAHGVGVLLDLHACPGGANAEIHSG ISTRKAELWETDSNLSLTKQCLCFIAAEVKNRGLEGVVGLQLCNEAISNASGMYSWYD SVIASIAQIDSTIPIYISDAWDIPRAVEYSVRMNTTAISAVKSPINPVIIDTHRYYTF SAEDAGKFPLQIIDQISSTELSELDTPKSGRVFEQRGAVGVFVGEWSCALAPTTWAQV TPSQRKDFTKELGKVQAERWRSQGPAVGGGAFWTYNTNWCSGKACKGREEWSFRMQVD TGAIRAPSWLSLTRGEVWRKVKEAEKSRSRSMVKAMEEHEAYWDGTSGKAAYFEHWRY GDGWHLGWCDARDFFAGRANGLVATAEKAVVVGCRFGGKAKKDDAVVVGADRIGFLDL WVLKRMRDEGPGGVGDRDRDGCQFGWEWEHGFRKGVQDFERAVGVEEK EPUS_07507 METAVRWSNTSVAGNERFLFVDVAGKSFTLCRVRCRKKGVLKYD ILSEYKKVPAFRAFDWSPTHEGVVAVGQLSGEATVLRIDDGSQAVLSFPVHSERFCNA IAFNNQGLLAAGLDRVRTDYCLNIWDLQQQLPSGSTSGFVRSSGRTYPEPLHKLASSE PITSIKFFRNEPRTLVAGVKGQFVRLYDLREAPGSASMQFTTRCVSNVAIDSMDENYF ASCYPINGAIICIWDRRAGSRPPMAQASFFSTTSGETTPLRTSLELKNATEAPGSIWS LRFSGTRRGCLAMLSSAGHFKTYDIGKEFPQTNVSSDSKAEQLESQSITVPEDLYLHG IQDVQHAYDHPKESRLKKDRIVSFDFMKTGGTWNEPKVITLTGNGCMAELSIPMVPEP EVFSSIGLIHKGTKQVQRSLDPVNVVFGHSNNIPATKVKPAETELPGAVEILLQYIRC KNGYLFSLKKNQAICSELPQLTDLWVWLERAHKEHLAGTTVHDDLDLSYLGVHAIWMD DLGPGPYNTRSLGPSTINVAKVIKNLVQHHNIPETKTCSTDYEFHRRLCLYTLQQAWT YEQLEKMVSELVSQNHHTKAAAMAVFANETKLAYRALRSSSVQSDKMIAMAIVSATQR AVGNNDEDNDGWMETIEVVAKDITDPFARAILTFVKKGDWNAVIEEETLPLPYRIGVA IRWLNDSTLTQYISRITKEVVNSGNIGGIVLTGLGTRAAFELLGNYMRHSGDLQTSVL ALTWTVPRYLDDTKLVRTFLTWRETYRDYMNSWNLCFDRVDFDIISSRISVDADGKRL LGPPKPQVALSCGYCKQSIAHFDQGSGDDGAASTIHQTQKHPLSSEKASAIGTVCPKC GRRLPRCGVCDMELGMPDPSYLKWFARGQKQGSVDLSASLAGSVVTTLGPGTTRSKAG SEPPDGNRSKPGSDPAEINSPEPGSDQPGKTSQELAPSKPVDQEMLRKFIAFCIKCSH GFHANHAMDWFRGISGRQGHSVCPVSECSCVCDV EPUS_07508 MTHYHKLDFSGYKPSTNSSFRSDRDRSRSPERPRSDSWIPLESV EDETVRHNGRRWRGRAKPRTENVYRDRSPNTSRDAPLHATKTKNRKRGRGQRNGEETK GLSDKIHSLRRLLEKAIDMPADVRLEKERELQGYVIDQQRIKAMREKNAVTSRYHFVR FMERRKAERLVKRVERSLDRVMNGEDGNSQYAVARNETTRSHDDGTKKITDGQQVLSS AERLALQQEAYKRQLHEAKVDLNYTLYAPLNQKYISLYPRTSKSRKDQENNDRLEDVR DLQEATKDIEADLLRNDYGHKPALWYAVEKAMDNGTLEALRDGKILKADNDGDQMLTS RGMAEPGNNDRIPGIEADSDDQDQEDSEDDFFKR EPUS_07509 MSKIQHINPSSLSHLPSRIHYLHSFLAFNPSTDGPLITSLKPLL APLLPTLLDAVYTQLLSYDITARSFLPSQAANTPSQEPGIDEKDVGALNLNHANIKHR KDFLREYLIRLLSNQDWSPQSRFWEYLDNVGVVHTGRKHTAKKNSLRVEYVHIALLLG WLQDALVGVVMSVKEDEGDGGWTMERKIEVLRALGKFLWVQNDVFARHYCEDWDLMRE EKGRGWLERPAVKMAGLAAVGFVTGATAVAFLLA EPUS_07510 MYTSIAVVSALAATVAAKTVYTTVDVTITSCGPEVTSCTGKQPT TLQPHTVYSTVDVVVTSCGPEVTSCPGKPAPPASHPHAGKSYSASVSVPTSPLTPTLP TPSSSAPPAPPASSVHVAPSSAAPPPGYSSHPARYSSAPSGPATTVAPYPSSVITVVS NSKGPVGTGTGVIPPKNATSTYPPIATYTGAASSVSASLGTLAGLAAIAAFFMA EPUS_07511 MSFLFKSKKHQSNNALPPATRNIHTSEGTTSTSGPNGMREKDGD RLNQSPIPPGNVNNSLSSLSGTTATSDHPWQRQRERAESDLQVRKGNMNGTVPNAALY PWSQRRMNFSTPQPNPFPRYGAAVNAVASKEGDIYMMGGLINGSLVKGDLWMVESAGG SLSCSSVATVSEGPGPRVGHASLLVGNAFIVFGGDTKMDDSDALDDTLYLLNTSSRQW SRAAPAGPRPAGRYGHTLNIVGSKIYIFGGQVEGYFFNDLLAFDLNSLQNPANQWEFL VRNSGDGGPAPGQVPPARTNHSVISFQDQLYLFGGTNGVQWFNDVWSYDPRTNTWTQQ ECIGYIPAPREGHSAAVVGDVMYIFGGRTEEGTDLGDLAAFRLSTRRWYTFQNMGPSP SPRSGHSMTAHGKQIIVLAGEPSSAPRDPSELSMVYILDTAKIRYPNDQPPAGNKPEQ GTLGGSNRPSIEGRNTNQAGRSVSREGQLPHAGHMQKSESSSRDIPTPAPGQSNKPLE GPNSSNSSGPRSLQASVAQNSVGPPLQGQTPDPRTNMALQSTPHSDPQNRTPAKEIRS HGPSIDTARTNSAEGHRRTPSNRDSPKDLAAKVVRQTSPEGHGRRTPTQPPAQKAKAM EAGEAAPLISGPSRQRSLRSQRGQGSIDGSEEGLLGRTGSSRTYSGDGMADVRSLRSI GDEPKSPRLTPHQEALMKELEATKSRNAWFASELALARKAGYQPSGSASPMLDERAAN QLGEEDRPLIEAFFAMRAELGKMQQTVEQQAVAAAKQVAEIEHQRDAAVSEAAYARAK LAAHSGSAHGTPQPDSARDSDDGAERATDISRRLALALAAQNEHRTKLEVATNELQAE RRARELAEESAEAAQKRLDDISQGRNPMELEALRSELHEAQIRVRDEAAQRARAEEKL SMSQVDRDEISQKHEETSNRLQEHISSLGALQAAVAASSEKASTLERHLDQEREQKES LERKLANLRAEHEERTSELESTTRRLRETEELADTYAKEATTHREALVSGLSRATHVE GPLPRDAATEQRILVLQQSADRAHSLAKNNQEAADLAAQKLRSAEERIASLEAYQEQS NRESLQLRRQLQSEIKEVQSAQAEKRELKAQLENHQREANALAIQHGALKDVLSERTL HSSESRRSPLFDNHSPGSRLGTPDQNRLRELEQQLQSSLKAHEEAKSAFESREQEADR QYREKLEQLENDYQSAVHYVKGTEKMLKRMKDELSKYKSQNTRLQSELESIRGDRSGS QNEDEAARLAAERDTLQRSLEDVRKQTGLQISTLESQLSSIRADLAAAQAERDQHRLN HEELSKSVQQTRAELTQLKSENSMLENRAMDAEQRVTMLLDQVGQSVGNYRRQSQIHQ GTAPNVNVNGNHDRHSIQSASSLVPSGGRDRADSSSQDEMFADNRGSLALDSLASELD ALKSRWESTSRSYRLSNQFDFERTPTKDNSGVGSGGGGGGGGGAELSENLANWRKRLE DEERGARSGGAVKESRDGGMI EPUS_07512 MPVPPPSQPSPATWHVVFWVLMALAISSMAQPAGKICGRPGRYR VYLASSPILCVADAVSMLAHLAAAMYYMGIGPKKASQLVVLARSDDAREGVGIESRPS AGFQSHTWPRIFFFIMSTLPAAIKLASLSGVPWTKTWGMMFVTSFIAIELVTLLSRTS DPADKTSISASPDLRSIEHEKGDQRGQVLRAKAARLSRTVEFLDLFFFRLGLLAHAGL IAWTMAMLRGVTANPYLHDLCLIVKVALGLLVTLFSVTVWWYLLRGCITGSLPKGDSM KRALIWLLKGYYVLLFLPEEKPPNRKTRLPLYFDSMNQYVVIWLHLFAFLFMCWWILH PICKRWPTIARALLLDPGGRDASQGEEARAWISFCFFMSNLGLCVLWYAFRYDSTGTV NPSWTDVFA EPUS_07513 MAHSVSDNVSLQPLTSRTSFSATCEGNKRTYSSSSPDVVSSQPV GQPCRLAHSKFWRNPLSFGTIVFIGDVLLTLCPCLFLVLAFRALAVDNQPLTSPQGQL VERAAEFGPTLYPIIFAAVCGRLMRTYALWRAEKGEELGILEQLNGSQNLLAAFERAI LIPGLGLLSTGIVLLWLLSPIGGQSSLRVLSKGTSTTTGEATLYYFNNTSEEGSVAFQ GASAYEDYKGGVSAVFQAALTSLERVKGSDIWGNVKIPVLQYMAHHQHADDGWLEFDE DNYKEPYSALTGLVISGLKDDTDSRFTIESSYFNLTCTGPILFNNSISMEFSGFTEYG APFIYRENNASLLFQPIPGYANHDIANTYMIDTNYNHSQRTDSETRYNYIYASTNAII GEYTRAIAAYNCTVGVTYVENDLQCVGRSCRVQRLRPSRRAVPNYSGWPWPVQSVAED QLLLDWMNTATSIHGGSARTSAIDFYISGSKNPFDNMQPISYHNITGEQMGRRLQSLV NTGWQLSYQGSATVRAPSENLTALDVSIPPFGINSTYYRDGVGYPVATTTAITTSTTG IYVAGRAWVSVTIIVSFVLLFCSIAGMVFKYVYHSPDILGFVSSMTRDNPNFEQIPGS DKMDGLQRARAMKHVRVQIVDVAPWDGGYIVLRSVGRRTATKTP EPUS_07514 MRDPTLIEQRSANDLRVKPPHGRQTRVALGLDTNGGDRQTNGYV HQSTSGENGDFQLVYRRDVYGDRQNGVSQDAGEPAQALHISTAGRPQALQRARSDFGP RHRGEMNAAVVEEDLWRMRHGWEDEYTSNEYLALLNSTFYMYYTDKRHDTSGLPKAGS GPNSDWRMKDRLKTVSAALAICLNLGVDPPDVVKTNPTAKLESWVDPTATTGGQTKTM EQIGKKLQEQYETLSLRTRYKQYLDPSVEETKRFCISSRRNAKDERVLFHYNGHGVPL PTASGELWVFNKNYTQYIPVSLYDLQAWLAGPSLFVYDVSHAGNIIHNFPAFVEKHEK ENAELLRRDPNAQVQSYSDCIQLAACGRNETLPTNPSLPADLFTCCLTTPIDIALRFF ALQNPLPSPIKIDDGKIPVPGRLQDRRSPLGELNWIFTAITDTIAWNILPRPLFKKLF RQDLMVAALFRNFLLSERIMRANHCHPLSSPALPETHHHPLWQSWDLAIDMVLSQLPA LLEPEEEGVRPYEYQNSNFFAEQLQAFEVYLSSGPTENHAPDQLPIVLQVLLSQAHRL RALVLLSRFLDLGPWAVHLALSIGIFPYVVKLLQSAAAELKPVMVFIWARIMAVDYTV QSDLLKDNGIQYFISILDPNSQIPIGNASEHRAMCAFIIAIFCKNYPQGQTMCLAPHG PNTINVFDACLRNVNDGENPLLRQWSCLCLSMLWYNYSDAKWMGIRCSAHLRLCDLSL DPVPEVRASMLHALTSFLGIPDLTDQVAQIEGGIASSVLFMASDGSVLVRKELLIFFS YFIKRYENKFTVTAYEHLLEEKEILLEKSDPESRQSPQLQSLSHETIYGSIWKNILML SMDPHPEIARNASLIIDYVHETLIRSPMGSMARSAMADILRLSKSMVGKKVPDEPLTP VLQTVPPTPTAEAPKKNEGYFSLSLRRTASVAASIKYLAFGGSHHAHDASDAKRSNVP PTPAQKPQHPPKPLNTPRARQPVEWSRPPEMNDPAISLSAYQKAVVPKAAGYNPAASP RSPSIPLKSTLLDWSTEVMITFAFDTQFENLLDEEPLLEEDVLTQRDPQYFREPQMKP NEPDEPGSADYNSRLWRRTRNERIIAENQPLKEKAGTSRWERLEALLNNQSQPMRLRF HQFEDHLAVADERDGICIWDFTTSHRLNRFSNGNPLPGTRINELRFINEDDQALLMTG SSDGILRLFRNYESPQNIELVTAFRGLTELIPSNRNAGLVFDWQQGQGKALVAGDVKV IKVWNAATEVCTADIPARSSSCVTNLTGDQVAGQIFVAGFGDGAVRVFDQRLNVRSAC VRVWREHTQWVVGVHMQRGGVRELVSASRNGEVRLWDLRNEASIAAYDVVKPSNPAAT FTPGEVGAGGGSGSAGAGPSASTKLKPMTLRTLSVHEHAPVFAVGTDRHEVRSFNMSG QFLGHYDVGIAGAGGGGWGRGVVGVGSGVAAGMGGAGSGSRAAPVVATAYHPHRMVLA TAGLGDGHVSLLGI EPUS_07515 MDMHQTPWAPIAGPGNYQQQYRPAVRYDAAPQYDDGTAKPRTSY QIYQENEGCQNFDRADAGVQDIVPSEKRYTLTSIGLGKRFTRNALSWTCLLLTTVLFL ITILYACRPKFAASLQTFRSSSSRTIFILRLLSQLTEFLLAVSLSSAFEKIQWYIVWP GGRGAPFATVSALVPGTGVWGLFQIAFSKLGTHFSARCWSIVRLLSLAVIFALGVLIM GDVRTDVGFQIVAPSSEPRSYGFQNFNSSAASLLAFSADQVFGVSMDEFLVNPRFVVD ITPVDRGNQSCSTGYDINKGQACTRNIFLPTSAGSALDETHPEADLVVVQDAIGYQLE FTSLDEAFQFDSAAHCHIYGTDFTAFQLCLRSAYDARIAARLNYCAIFDGRNGSCLSS TEWAEAPGWRTLMEATLRKADVAYSRRNNTIVAHFFKSDKNPAAVEAGDLLQAYNLLI DSKPPQVSSGSDTLNDIIESVFSGGDLDNISDTLASLVTVNKLSVSIPSAFHVLDLIY LSSSRRAFRGSEILQNLLAIPLWYCSQSSASNVVLSEADPTTSFQTFTDNTRQGPEVF LARSEYNLTVGRWTVMAYAVLGGVAIVFCFVALTLATFHPRAASLPDVTFFPLFNFWK WTAVKGDVDPRASDRWSREQRVFCTSGV EPUS_07516 MARFIAKGAAIIGLLLMVSTPACANHYPNRKLIARQNTSPCISD DLLGRVGASNGGRKIGFVIDASFSMIDNDPNDVRLAASQALNNALVDSSEGSGGKTSD LVTVVDFASYGQLLYPLGDPSGANDVIGSITPRGGTAIGTGVEAAIEELTKTGNDPTA NRTGIIVFTDGEDDPSSGIVFTIEQVKRAVDLGIRISFGFLSVDASNQNPEIVRAIVE SGGIYATVDQANSQQIFVAAALANGLTGIDSSGGNGSSSLISGLATAKFLSQTGSNTF AYAAKAGETINVTVTAIDDLSLEVKLRDVQANTDLKSNTTNSTGVAFLDYTAQSNTDL EVIVSATNGSGSGIFSVGLKSSLPLSDSCNITSNITSNVTSNGTTGLPATPTASRPAQ YTGGAASTVLGISANVGGFFYILSFAAAAAWL EPUS_07517 MSLRGLAGTPPMPAIVQAFNDLPDQPRLLSGLAPNRWHISIRHV AIPPEGYLVFIHQPDSHYVHVEGPLPAKDSSDEHPLQIDGLEATLIIARMLLNGFVKP QTGAPPLGRPSSWFTNDEAFGERVTGLLRWFGVQQESLLRMSKGWSKENEDADEDWNR LLRVLISRTI EPUS_07518 MLQQPHDAITLGQNKRDNLHVTFAITNEIASVKKEVAQCQVDSL IPLLYVVHDRDAEVAEDAAIEMLRDAITRFNIVASALLEDNAGEKVVKADLVRFIDSC RYACTGNLNWRWVIATFALER EPUS_07519 MNRSLPNTTAEAFGFTIPTRSSSLRDRSKHASKRQEQSSINKSR DEVTQRMRSIFLESWQSSIKSGTLLEDSSPTSMQTLERSTPSSASTMSSNAPLSLYQT RNKPAAPPADNLLRQASLRIKTFRATEVPDPRDSPDISAHPANSLPETPIGQIIEPPI TPLLNTHPLNKTSKSQCGYNSEPGTNPTTPASFRAPFPSTQIEDTTITSNQATAVIKD TIHCHIANMILEQLTRNINNQDFQNHIQPIKETIYAPAQHFAAGIEGKVTKIPDALGE TLEMVKEREAKPTIKNMGWE EPUS_07520 MCLFWTSSSPASSMRLKTALAAACLLLSAFSNCSILTPPVLPLL VRNPYLSTWLGNAREVPWSKWPMFWTGQEIGFSVLASVPESSQVYPLLGRPQDSLLPV QQGDGYNVSFPTYLGAKYDASTTNLSYSIPSPNSSSKPAKLVLSFLSPITPSSTLRQS LPAAYITVFVEGTFNVNIYIDVNGQWVSGDRGSQIAWELSQSSFGQGKPGLKTWAFHR QTELLFTEYLDRAEWGTAHFSAPADVRHDSGTSALLRQRFSRTGTLQNENDNNFRSIM DDEPVFAFSKSFILNNTSIGLQSTDSVTFTMAHTQDPAVQFAAARGLTLMRPLWASSF STEQLLIFHYLDFETASTLAYNYSAQLAIDAYQSGAADYVDIVALSARQVMGSTSFTG TPDDPIIFMKEISSDGNTQTIDVLFPSFPFFLYSNPKWFAYLLEPLIEHTLSGQYPNK YAMHDLGASFPNATGHPDGRDEYMPVEECGNILIMGLALVNSFRYKDKHAGGSLHLFD DPSEETAPSLDGPKAFTLQFKGGSAVKYLDTEESDLEGMRITKKWVNRSYGLWKQWTG YLVDYSLEPENQLSTDDFAGWLPLQTNLALKGIIGIHAMSKLAEIVGNDADAKMYRNI SKSYIARWEETGLSRDKTHAKVAYDWYGSWTTLYNLYADSLLCFRVEPEKPKDTNFDL GPPHKQKPMKPPQDDEQIAFVPKHVYKLQSDWYYNVRQKYGLPLDSRHLYTKTDWEFF AMAVSARTVREPILQSVARWVNETVTDRPLTDLHMTEGRGEFPGPNFFARPVVGGHFA FLALERACGGKAVDGLKFLDETPDSVPLLDVQGLLRIDALEGLEVDAWEDL EPUS_07521 MHMEKPECQPTMAISPSPSRQVLGWKTTNASIKQGVLEVNNKHI TVTKASIFEQVSKDRVNLPRAGSPRIGQKRSIAQVDGTEQYDRPPLQQRGSPLLPTTE DKQENAVMVEDDDETKNEGQNVGAVKKGEEDDNEPSTAESKTTTTSLTSFHASQEGPV PLEEQFIIQEETSQNTLENLNVTPLPQNTSHPQLHSNPTPPTDGSQDSMRMSSFVDFE AAGAEDEDLKMILPKRTSSPTCPDRKTMIAEKVEILRTRLQLAAYKVKTNQTKTPFFW LHGPQSSPERLRMPLIKREEGVISAARRQATGQSKSAVRHLNSLPMPTIVPTAYSARY MVPAREGIPSSPPTSTSSEDLGISSANTLTPTDCPRARRSPIQLSSPTPSQADSAALQ RMSSSGDVTSGVVKTEAANGLLDLIRAAAVS EPUS_07522 MQFLLPLLLLGAAGIQAYQSTELPTFVRRASKGAECPKVWSKIS KDLTKSFVSGKECTDLARGAIRYAFHDAATFSTKLPNVPPASGGADGSLLLNAGEIGR NDNRGLQNYHSFITGKYAEYKSEGVGAADLIQFAGNHAVVSCPGGPTVMTLIGREDTS TASPEGLLPAGFGKGADHDTIFQLFSDKGFSAVDLAALIGAHTASKSQAPQVPPGTSQ DSTPGTWDVKYYSEIYKPPPGVARFEADINLSSPNTTVGKEFQNFIDNQGKWNGNFAS AMFRLGLLGISPETYNNFVDCTSALPRTTQKRNVMGAPINFRFR EPUS_07523 MPPMPTTKLASIKQGLPNCQKIAILHQATEDSKKVTLYPAFPKE LAIVFSGYIAKAFNAVPLSQIPLAEMKPQRETQVLIEGGNAESHGEVLEWILSCGRAG KTVPFRWFNSPAFHAYGLVYLSCAKLQVNVLQAQVQARMRDIAAKQVHTLDVERVFSS LAGPHMFKDMVSHSIGQAMWDGRLQAMGAYKALFKKEEYAEFKEGVDAVYDKLLKQWH KTPEGKTAKKEQEEKDRKAEEKREKAKERRQANFQRAAARRHNVDPSSIKPSGSDTYT LTTDARQVRKAQDGRPGFVQLDLGTLGVSSRDFRRADCPALAPKEQKSSPTVEKPAAT HSAGGATAQVASKEDTPGDKGKANVDAIDTGSPAKLIEGLDDMKIG EPUS_07524 MDSPSPCRSFSCQHRVLNWKYGEPGMTADHHQESNGEADKSVIC TQRPQASISNKWISAVKTDPMISWGRWAGGSWLSAIGCAGISIFGPLLVFLLWVALED FNGSLFASLSVFWSKGLIPFVVRFAPQPSVKACIGYVAWLVFQATLYACLPGKNSSGQ LTPAGNLLKYNTNGLFAWVVTHLLAVMAAISGILNPAMLAKHWEGLLVAANIYGLFLS AFSYLKAYIAPTHPEDRKFSGSVLYDFYMGIELNPRFGKSWDFKLFHIGRPGLIAWTL IDLSYVAWQYQLHGFVTNSIIIVSILHAVYALDFFINEDWYLRTIDICHDHFGFYLAW GSVVWIPTIYTVQVQYLARYPVKLSSLSATIILLTGLGGYALFRSVNHQKDIFRRTNG QCEIWGKKAEYISCNFKTQDGLNHESLLLCSGWWGMVRHANYVGDLVLSYAMCAPSGT THLLPWTYALFMTLLLMHRCSRDEQRCLEKYGEDWKAYREKVRWRLIPGIF EPUS_07525 MNHQYLFQLENTEWMSNNVRDRAIKKVHAIDQKIGYPTSNPNVL DPEALRKYYDAVVISNTTFFENKVQVAHFETRQAWNKLGKPTRRDEWDMTVRTVDAYY NPAGNEIVFPAGIMQAPVFYDPSVY EPUS_09500 MTFVTNTGTLISTSKRADRPKPKFLEAATIEAQWGNDGLVEPGY VLVAYSIGDETYLGKSAVRIFRPTALLLKLEELYDVIPVPKAQLRPLYEEGLTIAPDP LPEGTYVKQPRYCEYDPNYPELLPDTIISEARNNELLLSNPHPNIAKYHGCQVKDGYI TGLCFDNYRPLSLVVDPDHKGKLLFDADMRPLKDLNLYLQGIRDGIKHLHSLGMAHNN LALHSVGIRPGSNDDMAIIAELEMCQRFNTPIERDSRVEDWSDLTINASLPSNDTDAI EELERYLTGDKNYRFGA EPUS_08751 MSATYPSRHSFQSSRVFEALKDDVDDLMQDGISSTQNLEVFRRN FDASIQCGLPLDQVSGSFLKCFNEARPPGITQSEANGLAHRSRKSGQVASVLPKYPTQ EEWSDIRPVFTKLYFTEDKPLKEVRSILEQHHGFVATERMYKERIKIWALHKNLTRVE KETMIRKIGQRRPVNQTLLNRRPLLHRLERYCKENRISAPYELHSVLKPAFQLAQPIA LYGSIRTCEVIMQNIEIYMDYYFTSGPGTHYYKKVVATAYHGSADKALVFVEDEEAWK DMLDPVEIVHIVNDAFDAFENGFIELAFRKMEEGLALVETMFKQQPPSLLGYLFSILL RWKHFRSHLTGKMVNFVLKMASTILGDAHPLSIIANQLVTQTNATESCYIWRALTEAL SRAFEPLENSRQIEAVRWYCLYGIKRLGPIGEAQDYLERTVGGETVQKGPKYLHEKAH LLFKQDRYLEAETLYRECLESWKDEQQDILAWGTDSKSLEWKFDIRNCLGCLAAMLDH TDRVNEAKVMWRRFFEFDFKAFGSDGVNTVITGSSFNDFLAKHGFLEEREMLRAECPE LLRRREIPKEFW EPUS_08752 MKKDQTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRT RTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRT RTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRT RTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRTRT RTRTRL EPUS_08753 MNPPITLDLDNFRSREESWRLPVSDALEDPFFYRAAHYWGQDET LFLNSATDLDDDTGWKPVRPLGKGGYGIVGLWQQTDNNGIVLDSLAIKQQRYRDHTLS QAQLTADSGIAYEAGLMYQLNEQECPNIIKLRGFKDHPLERLWRFYLEYAEWGDLRRL ETYYRAWNTYLPEEFLWQVFHDLANAALALAAGDFHKIGEASGPETDSYVVHFDLKPE NIVLGDPPDPNPVHFSNYPVAKMADFGLARSTNHLDRSNPAYYRGLGTPGYLPPEQEG QTAHWKNRPYGKDRRRQAPPNLNARRRRSWCIQMEMRDPTPGYHFDPTHNTHCIGKIM FELLTLRGSDRTRSEIEALTEKQYWNEFELHGIEEIRTTRQPEYSYELRNLVRSCLKT APATRPTHGQLWQTTFDELESRVKAVEDPATGLKNGPRVFYMGNEINDMPIGQQDLPS DQDIPFTKKSFYANREIKYQDPELEPLLGGRWDPQLQRHHGEIPSPIRGGRKRRWEPE AYIFMPRREDSQRVAKMVRSAQGFYDEEDDMYDSEGDDRDGDEDDEDDDGDDGDDRGD SGHVDQGERQGPPRGGGKTRGQSRGGSGGRAGIQGMGRGGRTMRGKGRSRETGGEEGD RTSRSGRSGRSDKKGAAVFEDEVEAEEVNGAGEDKEEAEKEEPAVPAAKFNGVQISSS CNKPFPRWKHMRCVEEL EPUS_08754 MAPKKPPTGFHLDLQNYEVRGVDFYGSIQERLEKYGEEWSTFTQ ALWGKPLSNFLDSTPGNDRMDDSWQPVRALGKGAFGMVGLWEKRNRKGNLQDWVAIKE MKRGLNPGWQLQRDPTLAKEAVMMQQLNSAEQGRGWQTKNNILRLRSFRFFPEVNRWR FYLEFAEHGDLYKLIHSYRAWDTYFPEEFLWHTFHSFAKAALVMEQGPFLDPESLEPH NGPVLHIDIKPENIFLGKADKKALFTNYPTIKVADFGLSEITHQDDPDNPSQYRKGTL DHMPPEVTCFTAPWSRRPDGILHNLGENKADEAIDIERGMLEPGYKFLAAHNVWGFGK VMYDMTTLSWSDELDEKMYKSTTEAEYYGSLNEHAIPEIRTNKKPEYSSALRDLIREC LHIEIGKRPTPQQLLERTLRGLEAAAQSRLQGADDHDGPRVYHMGNEINHMLRGDAGL PAQRSDWKAIREQFWMHPHWEPLLSGRWAPQVRSGELANQPIEDGGPKRPVRPFAGSV RADPRPIDNFQNHRGVIWTLGSLSPERDTPGNNGESDGDDQGDLGHPYNPGENRPLSR PHDTGELSPRPNEGDAARPPDAPDDALTSAERTTQRPAEAVSTRPVQRLKINPPQAPP KEQLPQKQTKKRKRVMGISVDDIAQEVQGRNVEGHNLRPKRKR EPUS_08755 MPSPPPDDSAREAEAEFPGIPSTQDWREDFPATQFPQSRPRNAY ITPETSFTVPSDETEVDGFDLQVLVDVPVPQTPARALNESQRMPPTPMSVTKGSSCYE KVHSLVTNSTVRASDKLKDASTVVSQTLQASPSRPVTKTTVKSEEASVPRRRRRRPKK RSRAQQPCSQTLQSNAKDTAPATHPSSTPEPWPLPFPLADKGIYPYLPPAKQFDQMVK FTSATEEHIDKWNIHEDTYPIPLLRQVLSVQECVHMGLYVQLEYMDKMLGELEKSMKP ETAKERARKGKRGDKYQKITPRMLEKIRFMRSITRQHQVCYYESRIPTRPNLRNPKD EPUS_08756 MADSLDGGAGHLKLNLTPEEKRVYGQLFRAADPDGFGAVSGDVA VTFFDRTKLSSEVLGQIWQLADTENRGLLTPSGFSVVLRLIGHAQAGKVPTADLASQP GPLPRFDGISQPAPSIAPQTTGPPISPTQSALPPIRVPTLPPEKVHEYSSLFEKSGAE NGMLSGIIAKQIFERARLPNEVLGRIWNLADTQGRGALDTTEFAIAMHLLASYKSGTM RGVPQSLPPGLYEAAAKRVPTRTSTGSFAGAGSPSTPLVPSQFTGISAGRPQSPIARQ QMGTPLSAQSTGDGWAISPADKARFDQIFSGLDKSNRGYITGDQAVDFFGNARLPEES LAQIWDLADINSEGRLNRDEFAVAMYLIRQQRGTKEGRGNLPATLPPALVPPMMRKQQ APPSQPTAPAFENAPVTKPRSAADDLFGLDAFSSAPTQAPQSTGGSTAGGPFQNPKSP PPPTSSSSSPSTNFKPFVPSSSFGQSIAPQQTGIPTAARARALQPSASDDLLGDADPE VSQKLTSETSELANLSNQVGNLSTQMQGLQGTRASTEQELSQGAQQKREFEARLSQLR TLYEKEVKDVKALQEQLSAQRGETKRMQQDIAMLDGGLQDLQSQHQQLSTALEAELRE KATLNEKIKATNNEVNDLKLRVEKMKSEARQQKGLVAIGKKQLATLDAERDRLQEELD AAKVDRDAAKREADERARSAPPTSSVASPANIASPAASTTSATNPFFRRGTGTSEVPF SPPIPSRQQTLERDNAFDSMFGPSFAAPAASTPPPPVTSFENEPSKSEQRAGTEISPS TSPFVFSPSEPVPGSEPPAPPPSSQITSAALPLREPLNRGDSISSSVKVAVPASRLSP ADTPRAATPSASASSIYSQNGDLPTPGDKEFPTAEAERSQPFPPIERGTPDIRGTEVP TISEPSSEKGPSQDIPGAFPQFETPRSENPPTVGADAAGAAAVKAFDEHEDRQEPAGK SGDLDTDFDQYFGGPAHSRSPSQKAADFDSAFDSAFANFKAPNTNGTASTTKNEFPPI RELDDNETDDSSEAPTGFEDDFTPASPPKAPKEKAAEQGKPEIASAVPESLRARPPFN TLPSTNSSLPEIDAQTSPPTYGESVPHDNPDQFPPEFKGLLPHRGDPTSPVNEPPHSL DHDLGGPANRSLSQPYAPEAAKSPPSAVTTGALSAAAPTQQGKVTEDDFDAAFADMTP APVVDDDDDDLGTAFANNHTAIEFDPTFDSPALSKSTTTRIPAPMASSHFSTTAEPNA TKDIGATTEFYNFPSNNNYSQPSVAPGHPAPTSTTFKDSDWDDMFASLGNRSTPSTTA PNSTNFAPVATETFSPPPGPPPNMAGSSTVTPAVGNETSFPSSTIFKTEDTKPERPVP GRALTMGSEHDDPILKRLTALGWSREESLNALERFDYNIDKVSLSFFYLD EPUS_08757 MREVISLNVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAAD PDQGFSTFFSETGNGKYVPRTIYCDLEPNVVDEVRTGTYRSLFHPEQMITGKEDASNN YARGHYTVGKELIDQVLDKVRHVADNCSGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKCKLEFCVYPAPQLATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIFDICRRNLS IERPNYENLNRLIAQVVSSITASLRFDGSLNVDLAEFQTNLVPYPRIHFPLVAYAPVV SASKASHEANSVQEISMSCFEPNNQMVKCDPRNGKYMATCLLYRGDVVPKDVHGAVAT LKTKRTIQFVDWCPTGFKIGICYQPPQMVPNGDLAKVNRAVCMLSNTTAIAEAWSALS HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVASDSVEEGDGAEAEY EPUS_08758 MYLSYFLLCLYIVHALANVEKTIFVAPPAISIPTAHPNLDDLSL IPLSPLHLSVRTRLNASFPTDDAANGSEHWLLLDGLSPGARYEVRICWLATQPTAFSL DTFTLQNVFDNPPLIGSLSAYAYARHAEIDDLEHQKLVARRAKQSPGLSTSTEDRASI LFLRIFAAADYYTLDKSLMENVPPVLVDIILDPYILNIFPKSLLPTAGYIIVVAVLGW FLSGYVWQLVLKLVISAEDGKSKQDRQPKTKTS EPUS_08759 MGDPNPASSREGVDRSSRFDPNFTQHVIDAMGTKTSPRMRKLMT SLIRHVHDFARENELTVDEWMKGVELINWAGKMSNDRRNEGQLVCDVIGLESLVDEIT YKKAAEAADSVTQSAILGPFFRHDAPLREKNASITFDTPKNAQVVYMHGRVLNAKNKQ PLSRASIDVWQASTNGLYEQQDPNQQDCNLRGKFQTDEDGEYAFYCVRPTPYPVPDDG PAGKLLKLMDRHPYRPAHIHLVVMLDGFKPITTQIFDAESQYLENDSVFAVKDSLIVK FVERKDDPQAEMELKYDILMVPSDEVGELDPPLRSSGIGSG EPUS_08760 MSPEDLLNPSIQTPHSPRRQHSPFLARHDHHQQEQQQHQQQEAC QRHDPPLSVFQQGRSGPWSSHDDEVLVQARTMNMAWGAIHEKHFPTKTANACHKRYER LMLKRRANEWDEDRTERLAKAYKEMREEMWNPLANSLGERWEHVEKICMERGLRNMLH LASISYENKPDQLLGNSLGKENKSPKHRAWEEDIDAAPYRNKPDQPLGNSPGKEEKSP KHRVWEEDIGAASEEASSRRRPGHSDDRAISNWQQIPGQPPQQDSTLIDTSTLVFKGE DGQEQRMTFVEAAMAPTPSSADIPQDAFEDLLGAPISWWPLRQPRKRCPPNHIRMMWT CTHQAVDLPILQTHTLYHPQDGLKPFEFDVLNNHFSGFRHWMSMISAPMDAPTLPTHS FSPYYTRATSQSPNPFPGDISAGASSSSARQPSLAATVSQRPLRPAKSQQPTDEYLHW CVDPVRAGTKLVEFSLKSVGHLDLVSTLVEAYRKARGLPGRFSLTTCSGARLIKFKKI EPDHNIVACIARSIPCPTTNDNYSYSYQAPEPEFIRFVEELLAYRLQNPSCNCPSILN FLPKKIGGKLKQQFGEEGYGLHAVSAWSLWKGLVAFLILSIPPLIFAMSWLVGHHGDI QNAFILEVLLIGVLNIFVVKVDSPMVGRK EPUS_08761 MAESYQEIEDRIDNAIYALNEAEFPNIAQTARQFDVSEQRLRRR YKGVQNKIQCGGANKKLSEDQELAFCHYLDRLDESGVSARPQMLQSITNSILERAHSN STIAPPIVSKIWSFRFLQRHPKYIIKKRKPLFILRKLTHNQEEIQAHFERFRETKTKY GILEEDIYNMDETGFRIGKNSIVALKSIVALKSIVALKSIVALKSIVALKSIVALKSI VALKSIVALKSIVALKSIVALKSIVALKSIVALKSIVALKSIVALKSIVALKSIVALK SIVALKSIVALKSIVALKSIVALKSIVALKSIVALKSIVALKSIVALKSIVALKSIVA LKSIVALKSIVALWCTKLQSLVQKVHGYQFC EPUS_08762 MTAETTRASPSTNSVTSNGTSHKRKRPGDAPKYYAVREGRIPGV YNTWEECLNQIKGHKGALFQSFPSLTDARAFATGQSVSTSISAKNKTGEQRFYAVQIG RNPGVYTDWDTASKQIAGVKRPKHRRFATRAEAEAFVAEGKKGAAAAGIAEAQHADKR MKLVNGAAAPAGLILNDKQKDTQGNIYEPGTGPLPPGAEDGFDPNIKLDINGNLVHKT EAEKSTRKKSPQRRSRCPGDSKNISEALSGTRQTNQRAELTAIMRALDIAPRHRDVTI YTDSRYAIDCVTNWYKNWKRNGWVTTNKKAVENRDLIQEVRSRIEEREGLGRGTYFVW VKGHNGDRGNVEADRLAVEGARLGRGLTAEDVARERADGTGADADAVRDEEEDEEAEA FRVMEEAMAAAENM EPUS_08763 MPVAQQTVPKPSESAPWYTIPKTQAVCVEHPCLVKNVDKAVDML GGDKAIKNFLRKDHVEKPINLKFHPEDPFSQPILSVNCQTNNVLLKVTVPKRTGRKRK RGTTDPFVEDLNEPPTKKSASYLLESLRANKDTYQFEPIASVPIMHIFRTMPDFAYST SHSQFLNQFRDKVLPFQYPLLSDFQLNPARGLEDTEIIPLSVLSTMAYPSNYAYRQNP AIKAFIDPTTGSRRLYNTQAPNKIYTQQCQWDTPPSEIPATVSPSAPPLEDEPHNFRG LVTILRTVFARRPIWTRRGLANQLPPNAPIFLAKYAVGYAAYTMRSGPWRDTYIRFGV DPRSDPSYRKYQTLMLQLVSSKKSSIENRKYEEASQAWRVDPDKESHIFTGKGRVPAD GKSWQLCDLQDPILKRLVDTPDLELRETCEERYFGWYKNGTWCKLKIILKAKIDLMLE EIVPEEGEEADFDRKFDRLLALPESFDVPAVPEEVRQRPDATDDQYRKETPSLWKAAG RDGDPLLGFLPRNASKLELEWAAQYRALCRAPQGKLPVGAGRLSKSKAMTRGSFISES EDRGAAEDGEGSRVGVPGIGASSHDRTEMETTAGSGDTNENAGPERDGAGVSGDGIDD EVGELELELEDEDEDEAQEEEEEDKDGDEEELAEVQSFTDDIDDGRQDTDEEDADALM EGASTSFPAG EPUS_08764 MADTSAAAAVLNLLAERVSLIETSSFESHSSIMPSPSSAATSFE LFSRSPQDQAAPSSVQAPKDIQNQAGSAQQSQTASTPPLSFPSLPSDIHHLVLTEYLP YNSIVALRSASSHFRSLIPPSTLKRLREKVIASLLADERAILNKWLPQPYGRYNGRPS PYMTCYSCLQSLPTTEFFASQVIGSRGIGRKRAVDRWCKPCGLKYGKIRHGKWMEEVN YGYADQLRYETVMGGQQLKLENPCVTCSFRDRYDSQPVWWGCVDCFKKEEKRLQKQDS ERRRDVRRHCSRVKHGVKAFVEPEYLRELGQEVGWWMSANMGWHALVRKGHTVYWWVK DESFLTRASRTCGRVGRVLDPRKIQEPGQKLGRRAKRAVGAMFDMGKKNKEKEGSTTE GETSSAQTEDCVICCAAGLDSATLEADTNLTKSTVKAPSSHHSHHHHHHHHHHHHIAP PHREVRCWRCWRAKRSRRQRRHDDGLAYALPLPKERWCDGCQAEHEHFVALGREKRKG VGGDERRKTVPTLREEKDTAESMDEPEVNEEEVDAELGLGGLFGET EPUS_08765 MPEDTESLSVLQRNTEMVDDPREQQIIREYLSKREPIFQELCEL KKQGWTSAEGDKVFDERKRKADSAGPQGRQRFFLMTVRIGDEMARRTNVFRFDNQSPL VLDLCMAPGGFTKSVLKRFPDAHVHAITLPLEDGGYHVIAKHPHLSIVYADITLYATD FGVTEIPVNHPDPALFSHDRPYQDCRYDLVFCGGAVLRAQPRAEYRRVGEHIRLNVSQ LIFAFQRIKPGGTLVLLLHKIEAWDTVRILHTFSKFADIKLFKPYKAHAIKSTFYLVA QSVQPQTPEAVKALEEWKTLWYDWTFGADGETSSIPSQIGSGDGSEATEILEEYGTRL VELGRPIWKIQADALRNSGFIKSRLDNESCHDHQPVEAPKS EPUS_07714 MFAITIKDINKYIKKQIQPEPDLKEVLLVEFQEFADVFSKEVSD TLPEHREEYDHKIELEAGAELPRTQPLRRMSPDELKVIKKYIEEHLEKGFIEPSTASF ASLILLVRKP EPUS_07715 MKMKFMVNAALFPTELSKVAYVQSRTGGNAHIANRFDSLFQQET ARREYHYLQQRQQDLATFLRDFRRLSREAEVREEDQIMDLRDKVRDDLKQVIILRRYM SIQEMITDLTYADINSRRIALNQPAASASTPAKPSVSSTRQSASELTAKEERPVAKPR LPRDVSTVRCYRYKKLGHYIKDCPQAREQSGKELPATKTS EPUS_07716 MASTPPPFSVENGHVSEKSDITPVSNGDAIESTSINEKALMRKI DSRLLPAVTLLYLCSFLDRSNVGNARLEGLSSDLDMTGNQYLTSLTLFFIGYVLFEVP CNIVLKRTSPKFWLPTMTLMWGTVCTLMGVSQSRIGFFIVRFFLGVTESGLFAGVVFY LSMWYKRDEVHFRVALFFSAASLAGAFGGILAWGIAHMRGVGGYAGWRWIFILEGLLT VSVGAGAYLFIFNYPDTAGILTRDERIFIQHRLKEDNDSVRDEAFNWTNVSKAMAEEM HHPSQA EPUS_07717 MAHTATAPVEEPDNFERHNQLPNKYGEYRAHPRTVLGSDNLFHP FSRSPIPEIRQRAAFTKLHAYCPHPSHQQTRIPTSPHDPESRKVPEVSVAPPAHVHFE CPDCGIATYCTEEHWADDYEAHMEICDTLRQINEDDHDLRSGRWFPEFDYPGPQLDEI LVNMTSWDTYLYTRGFEAINEERSMRQATRLLTYPLTVGSVLHELSPYNIRAGGRLTT EGLKSLSALRYTLHPPRTGQGKDIAGLRLTSPPVRIFILGARAESSLPREVWMQLSYI FPRSSLHLVFIGPESMANRDAEFPLPERTAANPFGAVVEDRISDKMKITTYVEYFHTL HEANLFYPYDPYFDCFMLYHPGLGHPASSHEWADTLPRLLETKVPVICTGYTEWDMQR DWKWVMETCAGEVDLLMEPGENRFRSLRWDLNDLDPQDISCGNWGVWAFRGKRYEATR KELEP EPUS_07718 MRILSLAALLLSTSHLINSASIPQFPNSDLIAREASSELISPPS LLDPHSSRALRKRKGGGGGRSSGGSSSSSSSGSSSGGSRSGGIGGGRTYSYSPSSNAG GRTRSGSGTPPAYGGYYAGGAKVPYAAGGRSPRGFVPFLLPITAFAFFPGIWLYGSLY AYPYGTPYHYRNQTGFNQTVDVTCLCQQYSVCGCDDDGNTTFVRQIIAGGTDRPINSS EVVVLPPLANGTQRAYINGTLPNGTTAAGGEDPSSDEEIIASGAVRMAANYGGYWIML MTVVGTLCAL EPUS_07719 MRAIQVSSYVSSPTSLTVSTVPTPQPSPDKYLIRIRACGINFFD LLQIRGKYQHQPPLPWISGAEFAGTVISAPTASSRPRFKPGDRVFGATQGAYATHILA PESVLLPIPASWSFEDAAGLYVTAPTAYGALVARVHTQPGEWVLIHAGAGGVGLSAVQ VAKALGATVIATAGTERKREVCREYGADYVVDYTDNDWPGKVREICGKERSGNGRQGV DVVYDPVGMISQSLKCVVWNARLLVIGFAGGEIEKLALNRVLLKNVSIVGLHWGMYAS KEAETVGEVWKGIFRLIEEGRFRGITYKDRTFVGLESVKNALVALGRRETWGKVVVSV KEDEMEEGREAMGRQSKL EPUS_07720 MLSSTKHDDIRSQKLRKLSRATSQLDMCMISYPKACPIALGRLS ARQSSGGTMMKLPLELLYNIFSSLEFESLGKLRLVSYVIKQTVEAMPAFKCMVEHAST ALRALGESNLISEFSAAQLYQVLRIDRCVGCADYGPFLLLPTIERCCFNCLTDNLSMR VISTSAAANCFALSTRSFRDIPRLYSIPGEYDGQRRSRRQRLVSTRMARELAVKLHGG EDEMKAKVDAKSYRMHTAYLNKRRDWVAMRETGFDSPFPERPVLPNEVLDLPDDEDPF RYMASTPFPSLNHQAGSVERGLWCQGCFEQPSLEELEAHLHSRSLDVEEGEELVRMSR KAFSEQEMLDHIDECPGAQRLWSEFNVSEKSHA EPUS_07721 MSPPTPKIPVPIPIPIPTKTNHAARNVTTNFSPAPLTMLPPSPL ATPPSRRASPTPVELIQSLPWSRTASAAKVISAHYFFPSVSAPPPRLVISYAEKDRSV PPQKKTKTNERLKNSHCTARRLHRSPARSACLRSHSRHDLKVRGEGDLGETEQAGAGV VEVGDEGGGEFGAGAGVDAGAEA EPUS_07722 MEKLPDELINHILDYLTPTEIVLLQAVSRRFLTLARDGSLWRFQ CYYDSPKATINRIGHKSWTQALTQALVGDQKSSSEHTCMSSKARAADEWDLSDPSEKV DWYSEYIARHAQLSATWLSPQSSSYQDIRGVALLEDDAGRKLVGPLEDGSLCVWDFKR KEGAPSRHRQVCQNSVQSPPGIVFSHSTATSASSQSAAKRALPLSGVTDCISIDQSRK RAYLAVGDLLSEVDLPTLQVVSQAKYAWPITALSPIQSPYQPLTVGTSFSLHILDPRS PARDTSSSPGLHTDLVDSVAFFPNKDVERNIHPARRFQIHPLDSFRSTDHASQSQSPA SSPTPSIQGRRNLVSYAPLEPAPLSILHQTDHSVMVAGRFPSILNYDRRYFPRLEYVI HSSARLSALAYLPFSPRGIKDSSTTEPRGTLISCGEYNGRGSLELYSVPHNTWHVSSD SSSNGMSPMPTTSWSDITSSGSDPLESTSTATAAENSNPAITTQTQPRIYSYQNRQNI SSAKLLSVATQGTRIVFSDADGGLKWVERDGKSIARRWNINSYQLHQESFPRMGERAS SSSGSSNRKMEKFEESEEVVRKIIPTGTGEADDLLVWTGERVGLVSFGRNEEFYDAEE GHGGKEDVVRTFDGFVGPRMVGDEGVGGEGGGQDDLEEKAREYDRRMRRVLERQADEL NWMHRFGFGGDS EPUS_07723 MEKGRVVAAMPVETSSLDERAISPRPLESHSDDSLPHRVTSKCR ADRPSIAQHGSISPRTAAIFARLPTEVIELILWLSDSNTFASLVFLNRQWNLVSQDAA LYAHHLSRCPSYALAHDVITGSSRLNDLTWLKAKFAQEVRRNLFDAFMQPSETLVNLI STSASSSAAFPRGEALRFIFSSHGQTLLALSSSRIFLVDLLSEPLAVTRELKTMRRPE TAAVTNDGSLLAVLSTKHQANIYGLTSAGVNHLQIIILDNPPRTIALANEGTVLAAAY EGGVEVFSLAANALSTDRRAVRCEAVDSLSFSSDGSMLIGSSHDTDDSNTVIITAPFY SENDPDLTTSILHSRMWTTQILFPQNSSTCSHAALLNSHGEGDINWLFVYDRSLNTYR AVRADDTRTGVAYFLGPNPHRHSIALPNMLPSINLSGELAVAGFAGLGLFIYGIPERV DNAPDMRHVLEREERSNRRWPMSLTSATGYREPLMAYSPPISETETIEDDFLSGSVDW RQSLFVRSRQLPALQDAVGLIWVENSNTAGTKQIRRRLAVVAPGGVSEFAEELGEEIM PVDGGRILLLDFDYAPRGRKKRTITIEVGEKTPEMLPEQRRNLDAEVALVRRRSIREA VGGSRRLSLGSSTTGLISPLARMSTHFEDAGSRGSVSQPSSPVDGPSAGDGAATNGNR SRRSIGKSRESLQRAVTSTGVSRARYPPRPPLDSSQGAASGHVVYRRTNERREPHEAV VDDWEPPPPYSQNPDRALSDSGELQMAARTFTEPLHRSAQALISPRRASTTSGELTGP SAPYRETSNLNDLNGRHHRGLSDASFDTPGPSPAPSPRRRSEHFSRIQSLASSVSPLS SPRSTWAPGRQTSESSIRMAANILSPMPDLPHPPRSPGELAAPYVAPSDVSSITPPAS SVKEGNSPTTLTGANLQNRLNHPVPPRPSQLSQEASATYIPTSLQVGKRPSPTLLRPS PNSDSEPFTLPPPTSDQLANLNKRVSQTRRRPVPINTSQHRMGGVSGQDFAVVPPSPP RAAWGAAGVPGSPSFNKASRSPQTLSRNNSRGSQRSASTPSLLSSRPRYDRLETIESV RSVPPQERARSRSQVMMDRPHLMESIAAAQQRRVATDPKAAKSRKQRRADKEADERAR DGKKWRAGRCIVM EPUS_07724 MPAMNLTPDAFFNDLEQTAGLLAIGFESLLSEVESLARREQDLK GRLDFAYDEYRKLALGPAATVNDSTNRMDVLQKIKVDDRSFALVEDSALSPDHIAARY NLEDALEACRTLKTKPKPWKSMRPHQPVAVRNTKDGDSLERDYTSRNGTPSKLECPFA KMTNGNPPKESADPIAAEFHADGSVGSGTAYAQQNPNKCPIRYLDQHSPEEVAKYFEN HKHEIPRSHAICISTYQQNDAKIRELDAKYGNLQNMIQSLGAKHQQYLPVKDGEEGAQ KPASPPAAVAVEKWAEMVSGSSAEADADADAVSPSIRPGIDGTGNGEQRGRADHSDRP LREIRLGESPSRPWGISVPNAPDTAAIAIPSDKGIEPLSLDRPKGDGKSATEGAQTTA RATGRCPFGHNAGEVELSNGASPKDTKAEENLLKEPSLRPQVILNGPVFVGYSPEQIT ALMQSGAFTRRGRSSTS EPUS_07725 MSNPNDLTPVFTKDACPRKAAQSGPPIFLLHSLTPLSSPTPPAG PYSQAIRAASQIWVAGQIPADSEGKLIEGSMAEKTAMCCRNVKAVLEAAGSDIEKVVR VGVFLTDMKDFAEMNAEYEKWFTQKPARTCVAVHQLPKGVPVEIEAIALQ EPUS_07726 MNYTNEDTQNSAPGEPTMHETNKLSSGPKKADSQSVTKRLQSEL MTMMLTPTPGISAFPDDGNMLSWTATITGPTETPYSGLNLKLSFAFPSNYPYAPPTVL FKTPIYHPNVDFSGRICLDILKDKWSAVYNVQSVLLSLQSLLGEPNNASPLNGEAATL HEKNPEEYARKVLARHQDIDD EPUS_07727 MTGTIAHSQLAPLPAEVPFRIVSRTIGTGAYACIKKAAPLHKDN PIFAVKFINKNYAQRYGRIKPKQIQMETTLHKHVGVHQNIIEFFDTGENVDWTWIAME LAEGGDLFDKIEADAGVTQDVAHVYFTQLINAVGYMHSKGVGHRDIKPENVLLSADGD LKLADFGMATLFEYHGKRKMAVTLCGSPPYVAPEVLSCSSQGDKKGSGYMADLADIWS CGVVLFVLLAGNTPWNKPTEGLDEYGQPNEFSEYIATQGRPNDELWQGLPVEVLSLLR GMMKINTTSRFSLEDVRRHPWFTRSNKFMDKKGRLTNPVSLATNMFESLKISLGDDAL SATQRSTHSDAMDVDQSSLKPMAALSSTQPTTPAEDMLFDWEAPAHLPVSSTQPANGL AHSSTQSGLTSGYLADEPSMSQFAATPSVPMSRTQMARQFRDILPAQSLTRFFSTWTL NLLVPLILEALSRLAVPVPSFPRPSAQDSECQIKVRTIDGRSCTLSGNITICVISDGV VEVMFLKASGDPLEWRRFFKKVTVLCRDAVFKPDE EPUS_07728 MSYLSFMPWTLQRRLLRYLLSQFDFLETDDLDLEDLGIRWGQRS IVELRNVGLRTSVRLTGLRKWIKLKLSQKLSSILPLPTLVNFISARISYLKITVPANI LTSGIEIDISGVNVHLKVDPKDEKGHAFTRPEQRKPPARGTKSNRPRTGTPTVHDPGG RRTAPYRPLEDSLFHPDLAVQQLAESFLQTESQEDRLELQAAIASQSRHERESISSSI SSEEEVGIGATEGYTLPSFVASCLAGIADRLQLHVKDIAIHVEFEHSRDSPNSSRTRD TRSTLFLLNLSELCVQGVGPVPDDHSQGTPKRKVVLHQFSILVLPDSSSDSSDPGSAE TTSPILKHSNASPLSTAMALNPVQEHGTDLTFSDSMERISDYTSGLRHPQQIDRSTTS SDSVFSDESSAALRIPAGLDNSLDDSTLFAATSQELRLSNSSDQGSPPPVRPSSTSAD DVFGDHAKRDADEPLRHDQILNSRRISSPGSIDNQVYAESLAKSGQSASEMEDAISGD LPVEDLTESKIFTHDEAESMYMSALSVNLDSPGDGKQMPGAWDWAGDRACASSRSTNP ELVRSSIEALPRNKPASAVSPRTPPLCLISSADPGAPGKDGSVDSVASLGQMPSTAKQ LLFIDQISAWLPTTHDSDLPTTPILEQTVNDGGESRDGGNGSQVKRSSSPTGDLHAFS LNASENRSQTTDRIPYEADLTPISFNSPDTIEVEVVNVHCQFDMQTGKILVAIAKSTL GESQAATKGKNASVPSAADPRFSLKVNDLDIAFLEHVPERSIKAAVEKHFPPDDALLL HMSLAGIAYTSSVLDGALQQHFHLTKMSLSHSKGQLLFFSHESRMRTSLKDTIALQKY DVEALITSKAGASKVEIHTKPIRLVFELSQMDDVLSRSGGLSSLLELGSSVASSSTVR DSPRNFHERRVEPRGVRFEDPPGREPRPYDDLNFGLGKLNIRMGGVGIDIVGSESILK ATSSAVKVVYRTEGLGMQIDRISLQGPFLLGANESPELSVNLQNTRLEYLPVPNDKDL DRLLAILTPSKDKYDADDDIMVDTLLRQRRKGGVLRLTVMSFMASLEGMSHMVHLSKV ATELGKLSKVAKYLPEDDRPGILSLALVKNFEFDINMGDPIGKLHLQARALEGAHVSV PSLLAAQIATASIRRNDVEILLDEAITCSGSSNVPPMVMCRFVADEMDPTVKLKLFNI CFEYRAALVAALMNTADSFSGEGSEAGSERSLQSLARTSSPPSSESAAEFVRRTNISI AIRDSALALNPRDVQGRALVILTDAAFASPLNDSKDIKVSTDIKKASIMVIDKVNVDG SPIDPDRRGSALQSEDQVRTLTEMGYVPIGYISSATSNINVTQLDDNGNRSTEVDFGN ALLILESCADSTQTLLGILNGLSPPAPPVKVSPYRTEIMPIEDLLASFSGDAFVAESG PESGLRVESSADSESRLPGQQDIEYVSEFYQPDPDDSDDISESGLHSEMLDSEIAEST VSISVAPVSIKESVAEASHTEAMTNSLLDFRDEHFGDRSTAGDDSHRWDATRNTYGLA GDRSVKRFPLKVRIRGVHVIWNLFDGYDWQGTRDIISEAVKDIETRAAARRPRSASRL SPGTEEEEESVIGDFLFNSIYIGIPANKDSRELAGEINRDIDDLTSESGSYATSTTIT GSPARDQPLRQKQKRLKLQRSKQHKMTFELKGISADMLVFPPGSGEVQSSVDIRVKDL DIFDHVPTSTWKKFATYMRDAGEREACTSMIHIEILNVRPVPDLAATEMILKLTVLPL RLHVDQDALDFMSRFFEFKDERAPAQSATTSPPPFLQRVEVNPIKIKLDFKPKRVDYG GLRSGRTTEFMNFFVLDQADMILRRVILYGVSGFDRLGIMLNNIWTPDVRRNQLPGIL AGLAPIRSLVNVGSGVKDLVVVPMREYQKDGRIVRSIQKGALAFAKTTSKELIGLGAK LAIGTQTVLQNAETMLAPPDPASNPSPRDDQDEDAESRKQISLYADQPIGVVQGLRGA YASLERDLLLAKDAIIAVPGEVMASGSAAGAAKAVLKQAPTAILRPAIGASKAVGKTL LGAGNTLDRENWRRVEEKYKRH EPUS_07729 MGGAGSGGYKNSPGDVETHSAWNLIEPTPGSAQKLRQASCKYCS KEMAQHTSRQRAHLLTCQTYLDAMKSQGVENSITRRAADPAAFLKAPKADQPEGTEKR KGPPKLTDFPMVVRIQALALAEASISYDRIREITGIDAKLLDKLRKIARERGYEPSTS MQLKEEYVTDPAKPGAGKLKKQRLEGIVQNGVGPAPAGPSTPGYVQAGTNGLPPGNWN VMAAGPVQPSVPLG EPUS_07730 MGDASQAATALLTEHLQYTPLSLIDDIINSVNNLVYQGISSLEN GLTATPPARLGFKPSKSATLPPSGDGDASVDYPEAKQEIEEGLHQLETLLESTVDKNF DKFEIYVLRNILSVPEELPSWIRLSHYENLSYPPPDGAPTQESVHLLRRKVIESQRLR NYLYTEASRNEAIIAQLKGLLTQSYGDAVQPSQSSQHDFSFLTSTPSAKVLNVSTSSA AQQPITTNTKFALSQLPALRALLADLRPRLATLQTPRTVIDGVRAERREERREYIEQR AKMHLQRYADSSSANNLPAAGKRVEVEEVEALEKVADIFDTH EPUS_07731 MAGLLGGGGNSNGQQQPGLLGGVLNPVVGTVDNVLQPVTGVVGG LTGGLLGGGQQPATQQQQQTITPDQAAQLQQLQQLQQLEQLKQLQQLQLQQQQQPR EPUS_07732 MVLGIITSIAACPAIIGTVEAVRQGQRQSAKERHRGAKTNLVVS GSCSEIDGCPVELRDGRLYIATRAERHSDKRPKGHPFAGYFLPYPEQTWGRRGEGLVS TISDDPPQLNWIFVDVDTYELRYGDKVASQGHIVGPWDCTSIDKRLTLEGWEGFVAVE EVEYLDEQDEKGRATEGWDDNGERKSWVLWFDRDDDALATKIDGWKVVEVELIRKERR KRKDDEGA EPUS_07733 MSEVAANETQSPNKADDANLSDNNEAPSPTPTPTADKDNNNITM ATTTDTNAKQTMTAQQLEQSLPAAGDELAQFVPSAQRGRRIVPVHQSRIADRPPKVGE KDSSLKIKIELDLEVEVEIYARVKGDVMIGLM EPUS_07734 MANQRVWLITGCSSGFGWELCREALSRGDRVIATSRNADKLAEL KNLGASTVELDVCQPDEVVNRIVASAVEEHGRIDILVNNAGYLLEGMVEACSEEEIEA QFNCNVFGLLRMIRAILPYMRAQKSGTIANLGSIGGWRGTPAVGVYCGTKFAVAGITD TLRLEAEPFGIDVTIIEPGYFRTNFLTSANRTAAKRTIADYPFAEQMKANLDQVNKNQ PGDPLKGVKVMVDYLTKSGPWAGVEKLPWRLALGADAVEHVRETLKRLSADHEKWADA VNKTNCDDVPSSAS EPUS_07735 MAALALAINFAILIFDHFPQASMFEKWQESIPRIREKLCKVSAV LTLAQVITSLALAIPTLTVMKCTISAYHYNLVCYLCLIASSSYFTTALITYEHTDSRL RGGAQLGFTLGTLVLTIILHYKKVLQTNIFPMFRPHEMPSGNRISTGLVLPAACFPQC PGAASLTGDLANFTRSDRWTEPDSTITNSTEPRLNYFAKSGSNDDLGKAFDIGSSLLV SVAGLVLFMCFIVETSLLKKCCNRNDWEQENYSRSSSSIFKSRALGCVRAVFWLMSIA QLIMETIRFK EPUS_07736 MTQLSAGLKRSIALNTIGIALVMLWLLSPLSSQAVLRILTIGTN TTTTSLPLHYLNVSNWSDLRGGTSDGQLALIAPNTLLNAALISPIGLKAAPQDLWNHV KIPQLSRMSSGRADPDGWYQVTANSTDDFSSLIGIPIVSLPKMDHRSINLSLESWYWD LECSPWQPNPRQLVNSTIVPTFESFQWDSLVNNGRSKNFLYPPFIVYYNDSLTAGNRA TDACNADNFLSRTSVIDCPELGVRRIGIKLQGAYPELLSECQIRTVYVETEIFCSDGI CVPNRIRESKVHHLQSNWTMLDIWTGTSAISYTVPSLFFNNFANSIAGRQNGGGGSNA MIGYIDNPNTPFSSNSPGHLGEAPDLQKIDDKLITSRFTQIMNTYWLVSIGSQLVMGT NGDFNLSATSSQQFDPKYKTSLTSTVLATINTTEDVLQCSVVWLTIFMLVTLIAFAAA VCGLAIIMMSSGPRLAMNISTVIRDNRYCPSISKGSYLDDNDRSRDLQNLTVRIGDVK PSESVGHIAISTTGTGDSVIMDRLRKRRFYD EPUS_07737 MTSPTTHGNPSDLLPTHFTTDITRWLAEDTPSFDYGGFVVGSSI RNAFLLCKSPGILAGVPFFDEVFRQCDSTVTWHYAEGTYLDPSQREGEGGDRIKVATV TGPTRKLLLGERVALNMLARCSGVASQSRKMLDLVRGAGYTGIIAGTRKTTPGFRLVE KYGMLIGGIDGHRHDLSSMVMLKDNHVWAKGSITKAVQAARAVGGFALKIEVECQSEA EADEAIEAGADIVMLDNFDGPGLQIAAKSIRERWKGRREVLLECSGGLTEANVREYVN NDIDVISTSSVHQGVPHVDFSLKIEH EPUS_07738 MDSSGSKYDTVGGGGGAGESSGSGLASLGQERPQTRQSPSPSSH ASQQPLPQTFQQLCMQGGSSRTSDQTPQRAFQPPPQETCQQPDRSTNAVPSTRPAATV NSHIAVEPSTDSASRKRRREWPTGADGAQTVSSSLPPGPCSSSSAPASGSSVGVLTRP SVGQHVQTRPPTGPFLPAPGATTRPPITNTETQPHAGTLPNALAGQQSAAGSLADSSQ RPLGRPPSQERPRNSPPASVTHIPKTAAQSSRVVSRYPKRKIRLGLELEFYIAARCEK HDSDDRRAFIRTLADNYNREIAGRHRSMQRHMLTESFSQGYNGRDRYGREWTFVHEEG FYFRTGKFWQLKMLSPVFDIVPGSDWQQHVQALWNYLTKHYQIKYAKDCRTGIYVSLH PECNLPELKRLISSVFHFEPAIEALLPENRLDAWCAKSNWLYHELTAPDAMSRHDFLL DIQEAGNKRNLVDKVQDISHGHACWFCWNFSGLQGNDFHQHGRMINFRKPPAGPSLPA STFRWAQFTITFILAAIQYGSPDNLTSLSHNIGELRSFMSRAALPDGVGEFHLMDMLW KGKDPKAAREPRMEKAHLDDPLLKWELGVNIKRLVQEDAVECQATIPQDFGNYLRGFD PTA EPUS_07739 MAPELPKTFKRAVFKEQGAPLTIEEVELRMPERGEVLVKVEACG VCHSDSMAQMNIFGGGFPLVPGHEIIGHVAAVADGETAWKVGDRIGGPWHGGHDGTCK ACKTGFFQMCDNEKINGITRNGGYAQYCTLRSEAGVSIPSHLDAAEYAPILCAGVTVF NSMRRMQISPGSLVAVQGLGGLGHLALQFANKFGFRVAALSRNADKEKFARDLGAHEY IDGSKGDQGEALQKLGGASLIVVTAPDAKVISPLMKGLGIMGKLLILAAAGEVPVDTG AMIHYGLSVHSWPSGHSLDSEEAIAFTELENIKCMVEKFQLEKCNDAMDAMMKGTVKV EEAAELCRRIGEWFAELEVPGRSSAGWLEDIQPDSWVGHVFCIWKREPGVVVGIELGP VVTDEGCSGPICGVEDPRLNLVIVELLGVVALSGSNVQDCSSSLGKLEATGSLKEILA PGPMGPKSSHSKFQAVASMMFTVAGMPEESQALLKKVFDVFDRTFVMIPLLLSGLRSQ SRPSEDQYNDTNGC EPUS_07740 MAEPAASSAPTAKITLHWLESSRAHRILWLLEELQVPYELKTYR RQKSMLADPKLKEVHPLGKSPIMEIESPATSKRLVLAESGAIVEYLTDHWGKWLIPKR YQEGKEDQVGGETESWLRHRFYMHYAEGSLMPLNVIELVLRNIKNAPVPFFIRPITNS IANRIETAFLEKEFELHYQFLEDQLANSPDGGEYLCGNEITGADILTSFPVEMGNHRS DIKQEQFPKVFAYVERLYQREAYKRATEKIIQVEGRFKMTF EPUS_07741 MILSVRSGAASAVRRIVRPSTRGFIAAPSLFSGEVKIQANDPSP KPAPQNVSETNALEIDAGGARDAPLQELPEDGEKRRVMQAPNRATKWSPSQQPREMAM RGPRFEQVIMEWQPRPLAAIELIHKQPVRWTHSSKVVCDGGGGPLGHPRIFINVDKPQ VVPCTYCGLPYAHEHHRKYLESLPSTPYPLKPLGDPAELNLIPRTVDDATLMAPFYSR YVPNSGASAAKPANLSSPLPSKKRKLGDEQDGLRQDIKIRKKTIREQPQDVQKEVVRT KDSTDRSKTLFKSSSDSSENIPQEVGRVNGSLEAGDNGDESSALEEQSVKVQKKKEKK RRRNSPRAQPEDEALNVSRTTQDPNQQGSEVDNGVKAESGKEKKKKRQKTKNVTETVS GDNEQTSTQQDQHGTLRSKFEKATKNTVRNAPRLEHDTGQQQDENPELPELHGLEPLP QPPPAAESDEKPTFSSLPPWLANPLRADSGQSVDFSSLGLDPIVLQNIKKQGLETTFP VQSAVIPILTDGPNRHQGDVCISAATGSGKTLAYVLPMVQHLKTLATTKLRGLIVVPT RELVRQARETCEACAAGTNVKIATAVGSKSLHDEQENLVETYQIYDPDEYRKQQDAPV DWSKVDLEDLLTELDNETEAVVDFVVKYRSKVDVLICTPGRLVDHMRFTKGFTLNDVQ WLIIDEADRLLNESFQEWTEIVVPALQSRAAHILQDNILRRMRLEIPERVVQKVILSA TMTQDISKLNSLKMRNPKLVVVGDVKAPADPETIDASAPEPPGDESSTFNLPSTLLEY AVPVGDGAEKPLYLLELLRTKVNVFDLDNAEGTRSGAAEDEDETCTSDSSASDSDSIS SSTSSSTSSSPYLPGTQKPKRSSEASTSESSYQNTALIFTRSTSSATRLARSS EPUS_07742 MGSYDRALSVFSPDGHVFQVEYALEAVKRGTCAVGVKGADIVVL GCEKRSAMKLQDTRITPSKIGLIDTHVCLAFAGLNADARILVDKARLEAQSHRLTVED PVTIEYITKYVAGVQQRYTQSGGVRPFGISTLIVGFDKGDKTPRLYQTEPSGIYSAWK ANAIGRSSKTVREFLERHHKDDLDREATISLTVKSLLEVVQTGAKNIEIAIMAPGKPV EMLPADQIETDDELADQAGWGY EPUS_07743 MSSNQQNQDQEQQRAPSPTNQSTNTHENGDTSTSRSDQDEWKQR PPYQIHRSSDSFHAVWNGKCHCGKTRYQLSRDKPLAAKYCHCTTCQRLHGSPFQWAAI FHKTDINFTHGHHDLAWYDPSECTTRHKLPCKVSCAYCRTPIMDEGRNMILLFPTLIE GINSKEGREAFKPECHMFYSRRVVDIKDGVPKWDGINEESKRMDEDGNIIEDEHKKEG GEHEQKRQKT EPUS_07744 MAGKEDELTKGTDGSSIRPVSSLLSHFEALKNTRGSSEAVPSPQ DNRSKFLHQNTAHLGSVGRVSLDLPRPQSSWSSTSGASNGERPVTPRNPGVPLPRSAS PSRKVHKRPMSMNFGSTPQLTPSVTINSPKSPPRTNPVSRNGSRSPERLLATVAPGRI SKFNTLPAQPPLPSVTQRSAANSAEVQSQDSSHVPGVLTSHHFPARTSSIAPAVNRAE KPKIPAKPKSPPEHESRRLTPEPIEKPDKSVSPFSTPPSSEGSPSPGRSTFTAPQPPS PEAVRTKFEAHTRGKGSEAFSPQSRETNRDPRLMGFSSPTGSIEPRDPRSLGFSTNAS TSMARTHENVPARASTVSERSSHKITGSIDARHLASSQQKPAQRHVSEQARTLPPPAI PKISSGFSGVQRDPSQFGTSKNRSTEPQAENAAPRAPPLPPTEWSRTQATSHDPLPPP QSFDRSRKPAPQARKSSVPSVAGRTEFPPPPRRTTFEGSTTQDQTFAKQNIDSNHKSK FTQRHYADDSDEAQEYEQDLPITRSEYPDSSQANRRPPQFHQGAQEIPTKFDSRVFDM CGQYICTTGYVTRAWDLSRGEQIFSLSHGETVKMTSITFKPGQNPEDEGARLWIGSNI GELHEIDVATRAVVATSAVHNRREVIRILRNRKDLWTLDDEGKLFVWCAEEFRMPSLK HSHHAHRVPKGHTFSMTVQDMLWYAIGKEIRIFRPGHDSSFSILQQPLCQAGTGDITS GAYTCRQDGRVYLGHADGKVSIYKAKDYACVGVIKVSDYKISAIAFVGENLWTAYKTG KIYVYDTSCNPWKVKKDWRAHDGPTVSMLLDPSSIWTLNRLQVASIGHDNCIRLWDGM LEEDWLEAAMQRNDLKYCTFREIRAAVVTWNVGACNPSDIRSDFISDAIHVDDPPELL VFGFQEIVDLEDRAVTAKSIFGFGKKKDKENAKSEQHVSRVYREWRDYLGKCINRYTG PRYSYTEVHTSCLIGLFQCIFVRQKEENNIRNVNFSEVKCGMKGHYGNKGALITRFMF DDSSLCFINCHLAAGQTQTSHRNNDIATILEAESLPAERNPDTRASFYVGGGDGTQIL DHEICILNGDLNYRIDAIPRDNVINMVNKGELEKLLERDQIMLSRRRVSGFRLSPFVE APITFAPTYKYDVGSDTYDSSEKKRSPAWCDRILYRGVGRIKQTEYRRHEIRVSDHRP VSGTFKIRLKTIDPDKQEQTWAECREQFSEVRRKLTSEASIEYLVSTLGLTPKQARAA ITGTQ EPUS_07745 MAAHCPRALARFVRQLHRTGALQCRSPGRAIPCLSRHFSRSVPS QQTSEATTTADTDAVASHSKQIKYTSESYPGLKRDPKYSEISSEHVEFFKGILGGDTA VLDGVTRDAGDDLEGYNSDWMRKYRGHTRLVVKPKSTEEVSKVLKYCNDNMLAVVPQG GNSGLVGGSVPVFDEIVISTSRMNNIRSFDEVSGILVVDAGCILEVVDNFLAGKNHIF PLDLGAKGSCHIGGNVATNAGGLRLLRYGSLHGNVLGIEAVLPDGTIVDDLSKLRKNN TGYDLKQLFIGGEGTIGLITAVSVVCPQRPKAINVAYFGLESFEKVQQAYIEAKSQLS EILSAFELMDGQTQDYVHQVTGNKRPLEGRHPFYCLVETSGSNTEHDNEKLEHFLESV MGSEIVSDGVIAQDETQVRSLWGWREGITEAIGHFGGTYKYDLSIPLPELYSLVEETR ERLSSAGLVGKDDSHPCVGVMGYGHMGDSNLHLNVAVRRYAREVEQALEPWVYEWIKK RNGSISAEHGLGVAKKAYIGYSRSETMIRLMKQIKDLYDPKGIMNPYKYI EPUS_07746 MSSTNRQSSQLLTPSIPRPPPSLPKNSNSSVPTLTRNVDPSLST GVLRERPGEQPLLPAEKVYPIQIGTELFRLSGASITSDAPSYFTHFFEDQVRQTEGNQ SIKTLYIDRDPTVFHDIARHLQGYCVQPLDGTHYVRLFADAQFYSLPRLISQLFSSEI FIQIGDHNFQVPRDIFSSPGDSPNFFTLGFGLFFATREETFPGLDRTGLLRPPPIASP CVPSRSANVFSQLLHLLRGYPLRIENEDHRAELLRDCRYFHLRGLEQKLIAHEITYNP ERQTLEILLRLDDVKPSGISFVQDENKNIPSSLSVNVTTGWVHYARPFVDETPLELIL EIGSDHTILNLGPNGKNQAMFHGLTKARVSALLQVVAGKVGTPLSISAETMVKFIMDT TTDLTLDGKPADAVRESKTTQIAERIGTAMSPESKKRKLDDGLESVVREWKVRTGQWR LRVQQEAGKNGAEIVLVAVKVDAFMCERAKNARRKFLS EPUS_07747 MALYYEAADILSSSFSRGSLRSRVYDSNTPLKSPPSLLYGLITG CAEWDVVLSEIIDNTGIISQEPKLTPLLAIFLVHDHLLSRRGIACPQNHPLRLAIERH KARLASELTKARLRRKCATLEDLRLHVSAQKRTFEKGFQQPRWVRINYLKTNLEEELR TTFATFAVCSTLGRLCGDTGTRKAYFRDPNIPDLLATNVPTKELVQTAAYEAGKILLQ DKASCFPAHLILDSNPKLSLCANRADDADLIDGCAAPGNKSSHLASILAKKFNLAARP APRKRVVFACERDPKRSKTLESMLDRAGAQGMVKVLAKQDFLALDPQGKRFSNVTHLL LDPSCSGSGILGREDLPTLVLPKDTRRRRDENFKVEDGNGTRNGIPKSSNKRKRDILP QELSEDTEVNTPPTTSSNIDTDRLQRLSNLQTRMLEHAFAFRNASIVTYSTCSIHAQE NEVVVLRALNSTVARGRCWRLLRRGEQPDGLRVWPHRGDELDVEGTEGAVKEIWNAMT SEERSGFREACIRCRPGGEDGTIGFFVVGFVRDPKTVEELEDVGPGANGDMKEDEEEW NGFSADEDG EPUS_07748 MVQSRICLLLLCYQLLDVVDAQGNFTSSTPSVPAASDFIRRSWH SSIVVGNFLYIDGGDLWQMVDGIMLWGPNTKTLSIDLTKSWTNTTVEMNPINKTIESW DEAYLWPDSSRSSFYQWGGSISTRKVPPIVAASSLCKFEPDNRGGGEWTELGHASNSI FRDLRRSVRGATASRDDIWYMVGGATHCSSDRSISECSTFTSLGPIISYNSTSNLWTN DSAQDFTGSETAIFSAMHNVPFGSSVGLNVIFGGGTTFSGRTSDTYYPTNLLDFQHIY IYDPITKTFHSQTATGPRPSPRIQFCSVGTPGDNGSYEIFVFGGYEQLNITSNEVYVL SLPAFVWFKADHTATSPRRAHECNIVGQGGSQMAVIGGIDISFPTGTTRNSPDPWTNG INVFDLSAMRWKDTYDPNNPPYQSPSVVRDWYTKNGSSPLWDSPAVERLFLEAVTPTT TPVPPSGLNQSEIGTPPSDGKPNVGAIAGGVVGGVTVLAVIAFVMHYIFKKQRTDSES NDYRKPELEASVSNYTTESAHQGRTVREQQHPQSTLLELPQSALLELPPADDRYEADS GNAR EPUS_04040 MEGSYKYSQWATPRVISAPPDWVIDAERQNRGEIPAPHVLPRKE RSTVSPERFQQAIGDLAAWNPLPDSLGMWRMSLEDHRPEQAAAASQNLEAVNTQSRGI SSSSLPHSTILPKPAVPKKARKVTFAAQPSQSPSPQKRKRSSIQEPSQNLPKASRKSL LQPSTPRRRRGGVVDQMDIDGDDKEDEDTARAQPPPRRTSNRLKKRAARRVGPTFYI EPUS_04041 MADISTVQFDWRVAPDVETMLFKLYTLFVTAGDVTSSKVNTMLS DSRAEGVQKLLRMNRDGIPDEHGQDLMFVRYDKVHSRGWTAKRDKTYWAKWFLVLEDT VIRLSNFTSELQRLTGYKVSRHNFPQRNARKILIDLALTIGIHPAALGSIAAAGGKIM TPRKMDLKLVVVSDILQWLTLRNEPDPGKEFRLSEVFRVSIDAVVVAEHRNLQKVLLS YKVLNRGMDEVIFVLTGGFGDIPTPECLCLLSKHPALAHVPFLYVSDHDFQGFQIFFN LKYGSRNMAFLSQTQTCRQLEWVGPTTKDLDLVAEAGSRFYIEDQAQNHLDWTEEEKE QVRLRWLTKRQETTKNFITKGKGCQINKKQDKDLMKHRRKFGMLESEPAVAEEVLEMQ RSKHGKFRLSLLQSIYSVGLQWYIAQQVALRLNRSVGDIRSSRLTKMGPETQRPNNER STSNTVPSSERLETGGYSMADLVDEDQLDLLRELPDA EPUS_04042 MGGRKGGRQRNGRPIDPVAPGINARPQQRHATTNVNRSGVPSEH RCPLSSLYASFTDPNSDTGATKPKVVYEKLSMPGSSMSLIVRKLGRRMDLDFALVEGT NDLQAESMFESVVKIRVMLPDDRYTISFRVYQPRLTMEILRYSRYRFRFDHYTRYAYR DGKPVWNMILTYLRASDSTEVAFANVPRPVLTTATMTLSQQVMANTEEAEGGNNSQDD NQENQDARIPPTRLRKSTIWERAQKPRPDEEDDDTIVVGQQPVEQTLIDEQIRYMTEG AQQFQLDELQYLELPVAAKVSKHPWALIGHWQDPEVLKLIRDFMGDREVSEFDEDLPG ESDDEARIEDLDV EPUS_04043 MLVSLSQRYENYNKDVAVLSGTGLLESCNEISRKIGKLQLVEAG IALKYEPTPRKQVSRSPIIKPKCQHHVARLKYFFESTPEKTCQIYNLRERFPTLAEKS AKELVDTYKALGGMSFEYALETVRRDLCDLDFEIEGCEDWLEMARDRHLQQLASDMSL NAM EPUS_04044 MKPSTRDPQTMPHSLPQSLDPEKPDEHQDSTKHSVYKSLGWLDR LLVVWILLAIIIGILLGNFVESVGPALQKGKFVNVSVPIAIGLLVMMYPILCKVKYET LHHVFRERAIWVQIGFSIVINWLVAPLLMLGLAWAFLPDEPGLREGLIFVGLARCIAM VLIWTGLAGGDEEYCAILVAINSVIQMVLYAPLAILFVRIISRSGSGIAVSYSTVATS VGVFLGIPLAAAILTRFSLRLINARWYETTFLKWIAPWSLIGLLYTILVLFASQGRQV VRQIVSVVRVAAPLVFYFAIIFAFTLYVTHRLGFGYKLAATQSFTAASNNFELAIAVA VATFGPNSDQALATTVGPLIEVPVLVGLVYLEQESKLIKFTKDPEYFRKQMSTGQFWS SGENSSNVKIHEHKQRATVPRGYFVPMEAQKLAALRNRENQRRSRARRKDYIQELEQR LRHYEVAGVKATAEVQAAARKVSEENSSLRSLLEICGVDAGRIDEFLRTGDAFSKNIS HDVKTKVAPPPRERAPTQTCAARHMRTPPALETDVCTKDMQSMAKTPEVVQAVPKAIF CGSENHIIQQMTADRDSTEAPTLHVAELSMPSATSSANVESPTSSDQGQGSGAHDEIS CVAAAEIIAGMRGHDNPEECAYSRLFRWEEGGDKNEEDAVGTLLEVLDAILNSPLRIY ALEEKSTASTTADVVRGYSPAFASSFRAQNVGKCIKMPSCEYVVRAIVTFQYVRRQAA DVEDAELLLTAAKRESDYRLLLTTTRSYGSQLSNIDDFREQLDQVLQIMKRSEGTIMI SPQSIGASQGESAVTVEGVILATRRELKDDMTVLDLDQLTGVDDVKKTLFVKIGWIKN YLPFAEEYEFSIDNVLLYGPEGTGKTQLAFSFAKWSQLPFFQVNFSAVLDQRVGMMEK FLLALFEEATRCQPSIVFIDEVDRLICSTRGTEQGFERRRLSSFKIQLGKLNHSRSQV MVIGTTDCPWRIVNTAGLGHRFAKKLHVGVPDQHGREQLLGSVLKRLRIHHTLSEKDV EELSICSARMTGSDIIDALMKLHKERVYALQGWEHFKKADVRGKTKYVPCAHSDRDAV ILKTWTQKRSHAIHYGLVTKAELEAALIEQTTNSESSGGRPLPNTRKWDPN EPUS_04045 MARRMQTLEHRLQDNSNEPDGGYGWVCVAACFTINAFTWGVVSS YGVYLAHYLSNETFTEARPIDFAFIGGLNFAVAMLAAPVVTALVRRYEIHLPMSLGIL MFGIAYASASFSWRIWQLYLSQGVLVGLGVGFLYIPSIAILSQWFSTKRSLANGISAA GSGIGGLIFSLSTGATIENLGLAWSLRLVGVMAVTVNTLATIFIRSRNRVVQPASIPF DVVLLRRYEVFLLLTWAFISMFGYIVLLFSLSDYAISIGLSRDQAVQITAYLNLGTAV GRPVIGTISDRYGRIEIAGLLTLLCGLCCFVLWLPFQSFAVTVVFAIISGAILGVFWV TIGPLCVEVAGLEELPSLLSLAWLTTVLPTAFSETIALQLRRPGMKREYLYPQIFSGL SYILASFCLWELRRHRKSLSRTDAARNQSTR EPUS_04046 MAPHLENGTTFTMQASSTGDLNNVSAMRATEKPMRDPEYAYRTL AISEKSEGPEIRQRYRPFLLDNNVQSTDWISRLELATITKMADEDIQRTGERLRVLVL YGSLRKRSYSKLLSYEISRILFRLGCDVRVYDPTGLPVKDDAQHEHVKVQELRDLSRW SDGHFWVSPEQHGNLNQIDWIPLSTGSVRPTQGRTLGIAMVSGGSQSFNTVNSLRLLG RWMRMFTIPNQSSVPKAYELFTSADAEEGGSRLMPGGNRDRLVDCVEEFAKYTIIMRA HFGLFSDRFSERTPLAGSQPRAH EPUS_04047 MAQPNQYPASPPISPPNGIQGENGLSMPVFPAKPNILYIMADQL AAPLLSIHNPKSQIRTPNLDNLASKSVVFDSAYCNSPLCAPSRMAMITGQLPSKIGSY DNACSIGSDVPTYAHYLRNAGYETTLAGKMHFIGDQLHGYENRLTADIYPGDYGWAVN WDDPDRRLEWYHNSSSILQAGPCVRSNQLDYDEEVLYKSKQYLYDHVRKPDARPFCLT VSFTHPHDPYTIEDKYWDMYEGVDIDLPKVHINPEDQDPHSKRLLHVCELEGHTFSAE QIKRARRAYYGAVSYVDDCIGQLLEVLKKCGLEDNTVVIFSGDHGDMLGERGLWYKMS YFEASVRVPLFISHPRQFRPHRVSQNVSTLDILPTLADLVGTNLIPGLPMDGMSMMNH LYGGSGHDTVFAEYMGEGTIAPLMMIRRGPWKYIICPADPPMLFNLADDPKELINLAK STDDKVQAVFESFQVEAGQKWDFEQITQEVLLCQRRRAFVWSALQKGRFESWDYNPDD DGRQKYIRSQIPLDMLELKARFPIHQNLAINTVGIPVEKMSAPTQALVEIASDPVHYR EPUS_04048 MPLSNISHILLILSGKGGVGKSSTTLQLALSLNLLGQNVGILDI DLTGPSIPRLLGLEDKKITQAKGGWVPVEVHPSISASGSSGDEEVGRGQKSGVQAVAR GALHAISLGFLLPSRSSAVIWRGPKKTAMIRQFISDVAWPDLDYLLIDTPPGTSDEHI AVLEELHKLSEKRPGQLSGAVVVTTPQAISTSDVKKELNFCLKTGVEVVGVIENMAGY MCPCCGEVSNIFSKGGGEEMARENQVPFMGSVPIDTGFGGLVDGVKFDEKGEATDEKD PRPLVDRYEDCKLCRIFSDFARKVMDVQS EPUS_04049 MDVAVDTSSVQFGGQWSFLRNQNQTLSTGHVSRRPLHQLVGYRD RLRSSTIPSQPVTVRVNSADASSHLNPAPRTNRMGKRDELPPVSDFTIDGILAAIQPD IEGTLDAIAEIMGRSRLSLANEYDSHMPPQGEIRASSRSALLPVEEASSSNEQLAADN VIIVPEDASLIDGSHAGSAAYGLLERLRAAPRTRRSDLMAPRALSSPAVLEDFEEPIS PTTLRSLLNNGGRPTEPTVSETYLSAGANDRLASSPIMVADSEHPLYYDDTNLLQELS IPQSPQQVESAQIRVQNLSLISDLRGLATWLHRDRRACPKIQEDAETRLRMILERNVH KGEADDGMHKP EPUS_04050 MGSRFYFGGSDDSGSELDGNDSSLPFPKPLVRSAFLVPDFDPTT FLANLSDRYQTLEDLRNELRELSQSLSKELLDLVNDNYQEFLSLGSTLRGGEEKVEEV RVGLLGFQRDLHAVRGKVEQRRENVAALIDTKKSTMKQIQIGKSLLQIAEQIEHLEAS LMIAATTDGSPNGSDDQREILSDESDEDADEGGASLHRLERHAEQFMVLKLLLRRHSP TQPYIISQTNRIARIKSTLSLDLEGALKALKCGQKDHRGDDSRIDRLETLLVSTNELE QPAEAT EPUS_04051 MATGPSFLNAIIRTPRSASLSKSCSRQLSTTSSLFAKLAKFKGK TMSKGKATKLKAKQQRRKHPGYKQYDLKDAEQFTLCDAMRYIRAFEVGRPPSIPKYEC HVKLKTKRDGAVIRNQIRLPHLVKTDIKICVICPPDSPAATQAREAGATTVGEDEVFE LIKSGKIDFDRCIAHPDSLPKMQRAGLARILGPRGLMPNTKLGTVVESPAGAVKGMLG GSMYRERQGVVRMAVGQLRFTPEQLRDNIKTFLDKMKKDAAALSDTTPKEISEVVLSS TNSPGFSLNGEFRSENSPPTRALSGS EPUS_04052 MGWPGKRKKRLERENNPALPEEVKVGNEEGQRCCIGAKLSVISL NKASQDTPTYHYVVKDVGDDGVERQIFHHQLDTEWKIPASYVLQPFCYQLTRWCPSER RSTMIQVVNINVC EPUS_04053 MAFHNIVLDQLVFHHVRFILLTPMLLLLIRSIYRIFFHPLSHIP GPWLSVCTSTWLNYHAWVGDECTAVHKLHLKYGSIVRTGPNDVDIVDGEALNTIYVEK GGFRKASCYANFDIDGHKSIFSHVDPSERAPRAKAVLPLFSTGSLRAGSKTIYRCVDR MVVRMKEGAKTGKSVNILNLTRSLAADVVTAYLFDDSYGGLEETDGEMSASGMVNAFV AVGRFFYLPNWMFQLLERTYEKILPDHEVNMSISKVDSFIAAVVDRSRTDKKLKGYYP ARLMESGFSVSEARAQCKDLMFAGTDSTGMNLATICFMLAKHPGKYARLRNELLERQP DESELQSLPYMKGVIKEGLRLSMANPSRLPRVVPPSGWMFKGTFMPSNTIVSCTPFEL HLNPDVFEDPYEFKPERWETPTDEMSRDSIWFGLGTRQCIARNLATMELFCAVQRLVQ ENVLEGAHCCQERIEILEWFNSKVKKEKIELMWS EPUS_04054 MALSQAANYVEKAIGHTGDATTEQNMTNPARDREKYADPSGETM KALVWMGKNSVEVIDSPKPRILEDTDVIVKVTGSTVCGSDLHLLHGVVVEMQKGDILG HEFCGKVDKVGPAVNGLKENDRVVCSFQIACGQCMYCKRKLSSQCERTNDNKVENIMY GGRTAGMLGYSHFTGGFAGGQAEYVRVAYGDVNLLKLPDDVPDEKGLYLSDVLATSWN CVVDTGVKEGDVVAIWGSGPIGQMCAEMSFMNGAKRVIMIDGGPAAWRLDFIKEKLPK VETLNFTDLPKGESITSQLKKMEHGGPDVALECAAGEYAKGWAHYFEMLAGLETDTSE IINEMITSVKSFGRCGVTGVYVGFTNHFNIGALMERGIRLIGNGQAPVHLYWEKLLKM IQSGDLEPLHMVTHRYDIADIDKVYMKFDAREKGVQKVFVQTKFSDPPCEGSPELTKL EPUS_04055 MPQPELPRPAHLDHDSMLSRKFGKEVVQGSPLNRVSFLRGDHSF LKQAFDHGTTQFMVFRNLSPLVKSPSEIAYAKQNDLKPILPSNPYDKTEEQIVKEFNS STSTPQLVFLGLDESQRDGLQHKNYIGAPQFAVDITPKDSYEKEARGIIAEMEKQGLT FIEGMRAMNFPANTAAIYAMGRAFLDWNARNPYCGTCGQPTLSVNGGAKRICPPIDFA TLPGTIGSTTSATPASPRERPPCSTRTTLSNLTFPRTDPTIIVAVISHDSRRLLLGRQ KKWPPYWYSTLAGFVEPAESVEDAVRREVWEESGVVLSRVVIHSTQPWPYPANLMIGA IAQVASAEDEKIKLDNDPELETAKWFELDEVEEALRVGTGGLDDPANEGYKEGNLRLP PRTAIANQLVRAVVEGGFLAGVTPSKI EPUS_04056 MDQILKARRMGSAVARRRRLKEREIAMQQAEERRTRILLYGYSS EEDESSEEEGNSYPPIPDTQSDRLRPCSTLSTASRPSSATGSKSPPRHTQPSRLPSPS SIDSKSRLPQLIIPPPLSPNLATFRTESIPLSDNADEQLFFSEPETPLEIATPILYSI PHTRPSMISIKTQSKLHTLQRPASMPHQPAIPTKSEKRISATSLRSASSPVELPTVSS HSLSRDMLNKITSAEKETERRRPSTVSAKSESALQHRSPRYDVFPRRSKVATSGKVER PPVHEEQSPTSTTTIPQILINPKRSSSFTLIAKDSESTHGMSPPTELSIKKSTSTMRK RRPSIGLALRTASAPFRSKNTNGRPPTSSSSESVASKDNINFSAFPMPPPSPLCVSHS TGHPNIPERSGGSSRMSQVRTIVGL EPUS_04057 MPPQSRGSPPKPPRGFSDPRSAPPTLAPFLDNLPSPASTISDQR NPTANGYADHPIPISPTSNRLRRTTITNRNENKNDDKNENDDGDNQDLSDYDPNLDDA DFQSHYSISAENSDPDTGSPASEEEDAHNLSLHLSAPTHQLPTACNIGAIAARSTTTA PALPALLQPSTNAAAALAEPDLAPPTAQPAQPLDDLDAAHDARLERRGNAQRHGGGGG AIGAARDRRVARVAQGADLRILRLRALPGLVAGLRALPALVVPAVALPRRHRPPLLPE PLVVAGHPVVSGHVDRLHLRGVAELQCREYLTLPMASVECMVDEAANIAVVDAKGRLR KGGSKMDLRSASSGNGSWGRNSGGLAVMMTATGGGNNGQRRWGGGAGGTTEKIQWRNL WNEGTDAVMDIPIGGVCEVLYGDGRDADGI EPUS_04058 MASSPITCHVLDTVSGTPASNLNVLLTLLAPRLSSQEVPASGVG FHAVTNEDGRVKEWIPNTKFAVQDVLDQFDHGDKSTWSLKFDVGKWYEERKINCFWPE IEVKFFVEKGTRHYHVPVLVGPWTYTTYRGS EPUS_04059 MASATVDADDTLEPSLQNLIDQKSLRWIFVGGKGGVGKTTTSCS LAIQMAKARKSVLLISTDPAHNLSDAFSQKFGKDARLVEGFSNLSAMEIDPNGSINDL IASGGDEAQDAMAQMGGMGGMMQDLAFSIPGVDEAMSFAEVLKQVKSLSYEVIIFDTA PTGHTLRFLQFPTVLEKALAKVSQLSTQFGPMLNSVIGARGGLPGGQSLDDLIQKMEA LRETIGEVNTQFKNPDMTTFVCVCIAEFLSLYETERMIQELASYEIDTHCIVVNQLLF PKKSNHCEQCNARRKMQMKYLDQIEELYDEFNVVKMPLLVEEVRGKEKLESFSEMLVH PYVPPE EPUS_04060 MADGLNEARALRVVEIMNDFRTIQLHIASHVSRAQANPPDHQSY YTDGYVVLRQCSIEAQTILASQFDPGSLGLAVSIGESEVQKASLQRIILDASTRRFQA HKTYLRAAAATRWVQSRWQVLRGEPPSSKHASALRMVDQRLADELSQIIDQQVTSNLR DADRRAGHWLDEDPSLERMLAWISMQQSS EPUS_04061 MTGSIADARASVDRARGEAAEFRYKFGYEMPCDVLAKRLANINQ VYTQRAYMRPLGVATTLISVDDELGPQLYKCDPAGYYVGYKATASGPKQQEALNHLEK KLKNKDCAPGDWEEVVELGISTLSTVLSVDFKKGELEVGIVGGPQSDGKDGSDPAFKT LTEEQIDERLQAISERD EPUS_04062 MTNALTDSNGTPASDAVPSQRVPAWRKLGLKLKFANEHTDQPTQ IDSNVSINRKRPRDEDSSKIPETTGSRRVLRKRPRLDPPKPRPAKSNDTNDKPLSPSL KRDSNGIRKTVSFTSDTKAEDGDSSKSLIANWEAQHDHSTLLSSQPENPKPSKKKVAK SKKSNSRATTKKPHAALEYLTQFCESRTTWKYRKNQEVWILKHLFSIDHIPSSYDASL CQYLKGLKSDSTRSRLQREAEDIVQKDREQQIEYLVSTGSEDNVDEVNKVPAEMEDPE RRRAYYEDSVRRFKRKVEQHLHEEAEEELKWVSPERLAKRRRAEIMLWATRATPSSKE TTQGSEATTSSQSASRNHGSAREDGYPGGGLQKKRKNRTSVIELSSSSSSSEDESGDS SSESDNDNGGSGTGSQSRSRSVSTGTGTRTSAQTSASTRSQQNLSQDEDTGSNTSTST STSTSESSDDESGSDLQGAVGAQRRSKSIISISS EPUS_04063 MGDNRSNRVDSTLNALLDSFIAPRAAGEDEEAFEERAEYALERG KNTVNQGSSITAGEINQAHDLIRRKLLRDNASPEKAARFGNLYSRLLSTPVLNQKWSI LYLLYRLAEEEPGEHRRGPLAESAQLANLFHRESTEKLKALKDLDDSGPEHTSLLNGS DVMSNGGFSGQEYPSHARSRTQKPPVPKINHAEPGVDQEVEAKDYTIDPPEDALLRDL PFNLQGLSSAHLNFTSSTTVKLPPTLPIPIISLLHSLAEPCLLYRGLFEFTQASDGGL VSQSLRAAIGIELRSYLSLVATLEGEIRRWLTAVEKDDTPMGVRKAGVTLKRCVIWTR DATMGLRLMTLVVEESKQKIGGQLISLIHGFSSSHGDPFVGAFAERLLTRVTRPFYDM LRRWIYDGELVDPYHEFFVSEPDPSTRPDVDPRRVETSVWEDKYSLDPSMMPSIISAD FAKKIFLIGKSLNFLRHNCGDSDWVIEHSKSATKELRYGDTATLEASIDKAYKTTMTR LTHLMSHKYHLFTHFNAIKKYLLLSQGDFIALLMESLAPHLDRPAYSQYRHTLTSQLE HAIRHSNAQYDDPEVLRRLDARMVELGSGEIGWDSFTLEYKISPPCDVVITQWASMQY LKVFNLLWRIKRVEFSLSTTWRRCMTGSRGILSSVEDRVGGDWKRARCVIAEMVHFVN QLQYYILFEVIEASWDQLQTAMNKQDSTLDDLIEAHKKYINSITHKGLLGNPRHASTG QREDSFLSQLHYVLKNMLAYKDVVDGLYSYSIAEFTRRQEFSAKIEHRTAQGKWGITE RDLLPSESSRASTPISVGNQPYRQSALLSDSDSPLPLGSIGAGADKNLLVSLRARLLQ LSAEFKSRVNMLLYDLAHQPDVDMRFLGVVMNFNEVYKPVNVRRQKVKERERERREME RRSREVSAVAGEEGEGSGSRSAARA EPUS_04064 MRMKEFHDRYGSIVRIAPNELSFNDPKAWKDIYGNRPGHLPFER NPTWFKAAKPGNPDSIMGPNEEAHARYRKTLVHAFSDKSLKEQAPMIEGYIDQLIRKL REIKDDTTVDLVEWMNFTTSDIAGDLCFGEPFDNLENGKAHPWVEGSYDFGKGLALIA SVNFYPPLDQLLRLVIPKQIMQRTTDHREMSRAKVMKRLSIDSDRPDFVTHILKQNKE NEAKAQRQAMSPEEIGLNMTVLIFAGSETTASALAGIIRMLLQNPDKLRKLVHEVRSS FGQEGEMSIASVGHLEYLGAVINEGLRLCPPAVIGVPRIAPVGGEWVCGQYVPEATFV AVNQYPTHRSKHNFGNPDGFVPERFLSPSSTDDLSASQPFLSGRHSCIGQPLAYAEMR LILARLMFAFDFQLADPKDVWDWGTQKTFIFWEKQPLKVSLRSAA EPUS_04065 MGRPIVDVRHVADGEFHFNTTAIVGKIPNPVIQLLVRQIQKILA DTKYVNVTVTIVKAPPLEETVDPQGRVGFRLKHDDPQKRGPEIEIRTLDGLLAGSYTL AMTRTGIAASFPPPRQLLKQVTWGRNKANRTQPAAVGYPAQPTAIGYQGQPAAISYPS NPGAMGYGQATRGLDEQRQGYGQAPRGFGGQPSGNGRAPGPLRQGYGQTPQKFDAQKL PGQAPRTFGGQQGQARHGFDAQRDVHGPDERGFGGRQPEPGLPRTDSARFYSSAPTGL QPPRPVAASRMPTSSAPRRAPVPPNAFSRPPAGHGQAGGQQQRFDNNPKREKSSGGGC CSGGGDDDEDGQRQGRNGQPQRGYGDRQGRQQGFNKNSRREKSGGGCCSGGGDDDGGA PARSAYDRLGDPKEDRKKFEKERNKREKEERKKMEEWRKTQKKKGKNTGGYSAPEKSG FYDDKQSDGGCCGMSCC EPUS_04066 MASVAAGLFSSLPKPKYTGEHEELPAHTQQKGPRIVGPGVLNES QIVLKRSGPPPYGKRSGWRPRSAEDLGDGGAFPEIPVAQYPLDMGRKGTASTSNALAV QVDAEGKVKYDAIARQGHSENRIIHSSFKDLIPLRQRADMGDLSLERPSQEDVAAATE KTRAALEKLVSGAVAAQKPKNVKGLNRAEPTYVRYTPANQMGDTSRKNDRIMKIVERQ QDPMEPPKFKHKKIPRGPPSPPPPVMHSPPRKLTAEDQEAWKIPPPVSNWKNPKGYTV PLDKRLAADGRSLQDVTINDKFAQFAESLFTADRHAREEVKQRAQMQQKLAEKEKLQK EEHLRMLAQKAREERSVASGSRRESRARSYSGSSYSSRSDSGSDGEAARERMRARQER RQENERQLRQSRMGTERRIQMMAREQNRDISEKVALGLAKPTPSKETMYDSRLFNQTS GFDSGFNEDQHYDKPLFAAQDAISSIYRPKAQQDDDVEDEGAADATMGKIQKSNRFEV LGKAKEGFRGAADADEREGPVQFEKDKDDPFGIDNLIGEVTGKAVGGSGEKGGKKRFG LEEAEDREKGNKRARMEDDGIR EPUS_04067 MDVDTSIILNPNFTIAEMLARRGDSASQDWDKTLLDFVQDGVEF SWNLVWTYFIEMLKKLGLRFGPKRRPIERIPNDYIPEPPFRSFPIVFVIHLIYAAIHF AGWGFHFPSRIELILWRVAVSLTAGVIFLIWSVEIYAFRGMPYFSQLHEHLRKHTGSI QRLRKHLVWILELSIWEQTHRIAERVRNFAGRGPIWKVPLRVLVPYYIGGFLYALARA YILWEAIFTLRALTPSAYKTVEWSAVLPHF EPUS_04068 MEFPGITVGGGFAGPSGESSSFKYGFFGNILESIEVVLGKGDIV TASGTKLPDLLEASCGSLGTLDIITLLHIQLTEAKEFVQLDCYPGFHVPKAIEKI EPUS_04069 MARPNLTWLITGASSGIGYELAVYALAQGHQVVATSRSLSRLHP LRAKGAALIELDQNQSFEHVQTAIKAALEVYQGVDIVVNNAAYVQTGMLEETTPEETL QEFQANVFGPLNIYRAILPHFREKRSGLLVTIGSMAAWYPMPGCNLYNASKAALRCIG IGLQGELASFGIKHCLIEPGYFRTELLSSTANMAKTDEKSRIPDYAELNATNEKNFDA FHGNQLGDPVKGAEIVFNVLTSSGTATGREVPPFFPLGSDAVSEITKSAEQTIEQVKE WADLSSMSDFPSGK EPUS_04070 MVDSKGKPDENGRDLMWVRFGTVSNCGMKVKRDKVYWTKWLLVL EETVIRLCPLLERVCLGV EPUS_04071 MSRLNVSLPPFNSAASSIVSPFTTSCRTRSSRTKCAIANSTPRR RLPQELSRTYATVTTQHPTSYLTIPTPSTSTHRTRTLTPPSIDQIRANLPKPQTPSTP KILVGTVSRVGTMSKTVQISYNTQRFDNWLKRHIQHPTRILAHEPTGYLHVGDVVEFA RFTPATMADRYETGKLNRRGGGVRFEVYRVITPFGQPVEERKELHGKGELWENLGVVE FERRKNELSRPWNQDRKRKVALMEGMCEALGLDLEKMRLQLVATSKPSDEPDQAAIEV QELVYDSAGPEPVDGSLDEMNEARRDIAAELQKLQENTRPAHMEQS EPUS_04072 MDLDAIPDFLSEQRDQAPADVQHFYLTFEDLWERKLWHELTNSL VDFFRSPESSSQRLAVYNNFILSFADKINQLKLVWLGLQASTQYDQDRLKFLSTLAKK VDKPKSQDAYVYAITEVASVKLRLDDAESARKDLDRAEKLLDNFDSVETVVHASFYRV NADYYQAKAEFASYYKNALLYLACVEIADMTREEQETRAYNLSIAALVSDSIYNFGEL LLHPILNALQPPHPHSWLRDLLFAFNRGDLAAFDRLSNNLNKDPLLESYKMFLYQKIS LAALTELVFRRPPHDRAMTFQTISQETKVKPDEIEHLIMKALSLGLLRGKIDQVAEVA KINWVQPKVLERSQIEGMRVRLKEWDNNVNELGHWIEGVGKDVWAA EPUS_04073 MAGKHAYGTFRRRRQISDVEAAFNLSNNSAAKDSSSSARKEIQL SNQANVGLIVAKKSSDKPLDRREADFFEKFQRKWENPQYPYCLFLCYDKGSRDQRTVP VLVQDPEDEKRIYIDLSRTWYGKYGWWSRYVPFYGLISLDEVEFRFLREQDNDYSVII QELDLFKVKEELKHDLEIAEGVVGHTEDPSYPIPCYEDAADGHWIHDPKCLAPSGALT CGYHKRQDCERRRDRLELRSLLLLCFEDPGKAVDQRTLEGMANGGCIYRVSEIGNYQF HRSRVAERTFRGLMIRTGWKLKRLDTSTVALMIVMLWKVTGGSWEAAFAAGAFYIMLV NLSPMVRK EPUS_04074 MKISFGERLLIWFYKCVNAVVPWHRLPKYIGSFNLLALRIELRA ENLHDTYPSWEYQGNTKSDQLLDTKDLCVRNSDGKFNDLERPRMGCAGMRFGRNVPRQ HTGAPTHEQLMNPNPRIISERLLARKPGTFKQATIVNLLAAAWIQFQVHDWLQHFNST EQHEIPLPQGDKWPEKPMKIDKTQPDEILGDEDVGYPGYKNQNTHWWDGSQIYGSSEA KTASLRSQCKDGKLKIDLIHGQDFLPRGEDGIPLTGFNTNWWLGLELIHTLFAMEHNS ICNHLRSANPDWTSDQLFDTARLINCALMAKIHTVEWTPAILAHPTIQIAMDANWSGI VGEKLGKIFGRISKSETISGIPGSVAEHNDTPFSLTEEFTSVYRLHPLIPDDIAFFNV RSGVHKATLPIQDVAFEKTREPFDSGLTFADVFYSFGINYPGAITIQNTPNFLRDLHL PDGHHLDLGTIDILRDRERGVPRYNQFRRLFHMPPVRSFEALTGGNISLAAELREIYN SDLEMVDLLVGCLCEPLPQGFGFSDTAFRVFILMASRRLKSDRYIATDWKPEVYTHEG LAWVQDNTMKDVLCRHFPELRAPLRNVQNAFAPWEKVGRSKEYAGKETNA EPUS_04075 MVSCQAITSSFRAGRRSLAPTIQRRRLQDVAITRTGKPIIRVQG GRSSLGGYTATVFGATGFLGRYIVNRLAGQGCMVVIPFREEMAKRHLKLTGDLGRVNF LEFDLRNTQSIEESVRHSDIVFNLIGRKYPTKNFNYHDVHVEGTERIAEAVAKYDVDR FIHVSSYNADPKSPSEFFRTKGQSEMVAREIYPETTIVRPAPLFGFEDQLLHKLAGVA NLFTCNHMRERFWPVHAIDVGEALERIAFDDTTAGQTFELHGPTNYSMAEIAELIDKE IIKKRRHINVPKRLLKPIAHYLNKILWWQLLNSPDEIEREFIDQKIDKTAKTFKDLGM EPAELANLTFHYLQDYRSSSYYDLPPATTRERREEKKYLHVIDDQ EPUS_04076 MSKLTTPPRASIITYSIPTWITPLVIAVVTSILIPPASLLGHLC ACAVGYAFGLGYLKILAPPDKILRWIEAKLNLLGRLPHYVSIDQKTYGRYGVLPSTVT SSSTATGPPADHAVAMGWLGTGGGGQRLGP EPUS_04077 MSFVTPDYKSPTHIRSRMVLDRVSCTRIIPRNFSKHISTATLHP TTTAAITHPAILKTKDSPPTNTTKMCKYCFHHILTATDISDLTKAYQTAQRVYPDRTL AQQLAVIAREFQEVYEMTRGTKSKIGRGSAVAGLPQNWDWRKLGQILKEHGLPLELPH FKGL EPUS_04078 MGTMRGLWLKWKMLKLPWRKTFLVGQDLNGNTFWEFKDQINAGR LRRIVKYNHKTHFSDVKVTPQWHQWLRYTRPDPPSIEEQQGDIGRQAQLKYLAQQADE RWASKPSFLDKPRQQPKPATLPKDPGGYAPQTEPDEKEGVRSAVDNPVPIPGPPKSTK KADPWKKAQGGNPSEKWQPEAWTPGPAKKR EPUS_04079 MASVSSLDKDLRKIRLDRYTPQAAKEVRDWIEEILGERLPTGDL LEALRDGLVLLVNKAVPPGVKAKQSNMPFVQRENISHFVRACQMPPLSLPDHDIFLTD DLYDAKDPAQVLQCIVAFSRRANAVNPAAIHRPIGVKSRAKVVSPQLTGTSQSSHGKP PTGLPNRERGSSNASDLSATAWNPLAKGSYSGRISPTKQMSPPGNVSSWSKKGDEGST APAWNIHQYGYMGGASQGNQGISFGARRQITSATPPVPSLAEKERRRREEVQEAERQR IQAEEAEQKRRLEREAEEERARVEEEQRWQDETRRLREKEVQEAEAEKRRWDEEQRRW KEEEETRLREEQEIEAQRVQERSRQRGNSDALLRGQFLSQYQAEQDQKSQNEPHEASR STSESQRIKDLEKQLELAKERERQYQQERQEKLKNNQVLGRRQPEEQFRHSSPDQSPA RTPSKPSDGDDWEDSEREHLRKEWQIHNDREPRDQPPPPYAPRPTQAEPVPPARVKLE MDSSRPLPPPRPLPDPSAYAPNLNRTDRYLSTNPAPAASLPQSHRPADYSTTTEVDLE NQRRKESQAKTKAGGWASKSLLEREMERERQRQREWEDEQQRTAEASRNLQEGGGPGQ SWDIHQYGFMGGDSQNRGGHGLGVGGARRQIIGPRPPP EPUS_04080 MSDTGRKDLSSKVSEGVTPDSQKSTLDKTKESVTDAGDKVAGSV QSSDDKNPAQKASDTASSGDDKGIVDKAKEAVGLK EPUS_04081 MSNLSLPFAPLLSPTKARRDAAEARDWAYVSSWLAKKYSPHPVP KFERNGETLRTLLELAAVNEAADREADLVHKAEEEELRTYENIFQTREGPHRDVVEAL EGGLDERGSKALHDLAEASVILGTLSTDPVMMGKRIMELSHEKFEMNEQLRRVNDLQS QLVREMESMRLDLENIESQVDEVAQEDMEQRTAQLNRETKQFSTKLEQYIERIASLEK FAIVSPSIAEVREQEQRVKKGQARVKALDRKIAEFHGLPPDLEIAKMEYKRAQGELQG LTRRRDELFEGMVES EPUS_04082 MLKPFRIRNLYFNSTDLVDCSGVVQVSPQQYDHEIKTAPEALLA YIDEDDGETVTVGSSLELEQRLLEPPGRFSSWYGHLSTDDPPPLHIFDMQQSTRAAEA WRRYVVHHNQPSAPVPAQPSGPILEKRKHDDYLSLLARFQVLELEVKLLKEAFKMHQI TIPVQPHQPQQSVIANACKNSDLFIQSPPCAMHPTYSSSKQEPPIESGSQYTDNTSSN WWKQSELRAPNHHSTEDATVLSHNHNNLNCSQHLPQERKNVFPLFPQSHVGTLRPEPK SMKRDASDSMAQEAGRLCLNSTIHRNSRESSKVSKAEAGSVSPETNHLDSPRLPMENH LAEDNAARFPEEHLPKLTSLRQAFEDAEFLSTTSPSIRSQQSASNSLLDLEPEAEIAR FPTIFQLEREDLHSVNSKGTNLQGPADVCTPLIRAKTVTSSNPAARLLQPFDPATERL AIASNQNSVPRRSGTEIHRRRPYAEQFSGTGRTLWEEFERPQRHSTAPRNSNRPEPRC LDVLPSQPNHSVFRSQSLVHHQPSDHPHSRRLAPMQSALDLSGRQRYQRETSGIDQLR HHSAAAASSPNPVRRANTNSDHSRERNVRNCIRTLQEMGYKPHSRLPIYAEACDGKLS AAMKMAEEDEKATQETRKIAKMEQVVFDCVQQLKSMGFGAEQDDEALKGFAQRAAGNV ELAIDAIERQSRRDREGPRDKLRSGAHGGWFMNAGMPGSFP EPUS_04083 MYLQVFLGLVTASLCISSALSYGTIVEVYPSMCPALPTGPAIPS TQYLLTVLPGPVDVSSRVESIYITANGSTTADCSAGAPFTLTNGQLSSNGHLVSTTGL VPFSSLAVSPSVGTISTTFLMVNGSHLAWNNTAFTGGHALFCIMGNIVETVFNGQLPA GCAQIYIGWVPVSSCPTFNPSAYVTSLTASFTAGFTSSGIATSTLLPPPTASVPGTIQ GVNVTATPLGCLSSTVNSPAVSASLPPRSVTTLEQCADSCSNYVFFGVQSGFCVCGNA LSSSAVPVAVGSCNIACTGNSAQSCGGAAAMVVYSIVGRAGSSLTMTGMSTSTGTSAT VRVSSTTTATTSSMTGYVTSTGAPNCYDRSPFDRTVNDGYLILCNTALPGFDIMSANG TNLAECIARCNEFGFGSTTQPCVAVSFDITASSNQCRLKSNITVVDAGADDLSEAAVL VAGPYAPNITFASVALTATSTTASTGFSSSKATVSSTLTNGGGVGSNSASTSLIGSTS TSQGPRPTVTDPVGPLCPTYNGQIVRVGGNFYQVECSTEVIGQTLEGNVTTAASLAEC GGYCNLFNLAVPFGCVGVTLSLSSASNNCFLKSRITGTQYSVQNESLRLIYPGYPSPT DPILTASLATMGSSSSATGTISTRGTTSQTSSSTGPTATAPLCPAYNYQTLYFASYGG SYYEIECSTTLVDTGLAPVIATSLTDCINQCSFLNVNTESPQCIGVTYRNTAQTSPPS NNCNPKGSITTVVRGVAEDDSARLIWQGYPSGTNRPTSMSSTSQTSSGTTTSMASSTT STSATGTMSSTVPSPTGSVCPTYDFQIIQAGSQMYEVECSYELLGRDIGLPYSPYPVV QTYQQCLAACNYWNENTATPCIAANFLASENACYLKDTITGRRASSTFNAGRLIYSAY PSITDAPSSSSATTLTVSSSSSTSTVSSSRSISTTSSGPLVSSSAGSNSISGSSIPGS TPAASAAVYPTEPVCPSNNGGQLTSQGSNLYDIECNVDLRYSESDLASTGVSYAATFS ACAAICDQYNLNIGARSCRGFTWDSTSTSTTATNCVLKSAAIQTSTANTANIVGVHSG RFLGPWPSANQVILSRPIPLVTNTLYSGYDIGNCYAPLSAPGGLDWQITNFSSPTLYV GNLGFVSLSDTNLNTSRGLGSLSGLENNNDAPYALPSSVLPIWAVALYWSRGLALLST QQGIYYQIDTIEPGRYYISIEFYYIRFLTNNDRFHWMTTYDTGNPAVWTSYFFESGEA ANQGAFETVGHQGTRNVPGQFEAVIAASYENGVQGSVLPGDRIVFNTNPGVNTVVRTP AAFNVAGYSVPGTWSYSNSPI EPUS_04084 MCGRYALGIRAAFVRHEIQQQGLQVDDAPNDDDVRETYNFAPGS YGLVYRAEVPDQGVRAEDEQVHHEVSDVEQPAEAEGPQAQPPRSSQASRKEYKYELKA MKWGLIPFWTKRSPDYGSMLRTINCRDDSLVENRGMWNTMKQRKRCIVICQGFYEWLK KGPGGREKIPHFVKRKDGHLMCFAGLWDCAKYEDAEEKLYTYTIITTDSNKQLKFLHD RMPVILDAGSDEMKMWLDPERNKWSKELQSLLQPYNGELECYPVNKDVGKVGNNSADF IVPIDSKENKKNIANFFASSTKSTDKQKAGATKENTKVTTSPKIENGEDENRETKDHE GSENNAPIPVPAQEDEDAKGMKRQHSPDKETSRVKAAKIDQSPRKGKQPGLTPSSRKT RSATSNGTLPKSASPKKSADGSQRITNFFVK EPUS_04085 MAGVAMLSRAGQKALPKVVLGQNLRAIRSYHASRYHTSLRPSGC MRQLVKVQHGWRCSFTTTRTPTDKLRNVIHKARQDHTFLFPTLLVASFASVCWLTLLT YDAYTREKPTLGDFPPEVERHLRNAIWYTEIKPEPTIAADSFTRAIEQAEREGMDPFS PEFTGIHIRFAAALEKFGQAKGAVQILERLADDLVEKIEDIDRGRATQLKASTTQSNK GEAPGSSARSSAGATVGAQESERARLVKRAIECKVKISNLYGSDYIQDNATAKRVTDE AMKMFIEAMRDPRSLRFDENRAGITADEAGALLSGAGGINMIWGDFGTALEIFKLALT AVRQATKGKPSCHEAFVLGDMSAAAARLLDEPNAVIDGKPATEASIKHTRHILRGWAQ EALQCAQAVESSERNPLCSMAVLTAWSHMAGALKALGDLKGSRAMWEQVLDTSKRPDL QLLVPFAQGALRDLMQWKEVGSLTMLQHLIALYDTLPLWLLPAQQKFHLSQCSKRDPS ADSRILCHHTLGQNSAQMWVPLLYWRS EPUS_04086 MAEQLIHRGTLEGHSGWVTSLATSLENPDMLLSGSRDKSLIIWH LTRDEAAYGYPQRSLHGHSHIVSDCVISSDGAYALSSSWDKTLRLWELATGNTTRTFV GHTNDVLSVSFSADNRQIVSGSRDRTIKLWNTLGDCKFTITDKGHTEWVSCVRFSPNP QNPVIVSAGWDKLVKVWELASCRIQTDHIGHSGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLQAGDEIHALVFSPNRYWLCAATASSITIFDLEKKSKVDELKPEYVE KGKKSREPECVSLAWSADGQTLFSGYTDNKIRAWGVMSRA EPUS_04087 MGSTEQLPKMQYRFLGRSGLQVSVISLGGWVTYGGHVGDQIAFD CMKAAFDAGINFFDCAEAYAGGKSEEVMGEAIKKFGWKRNDLVISTKINWGSAFGSNP VNNGGLSRKHLIEGTNASLKRLQLDYVDLLYCHRPDRNTPIEETVRAMNYLIDQGKVF YWGTSEWNAEEIAIAWQHATRLNMIGPVMEQPQYNMLAREKVEKEFALLYENYGLGLT IFSPLKTGILTGKYNDGIPEDSRYANAKDAFSKAKAETYGDEVWKKDIKTARELKPIA EKLGCDQANLAMAWVIKNPNVSSAITGASKVEQVTRTVQCLEILPKLTDEVMREIEDI LGNKPAPLTRRF EPUS_04088 MDSYDSDSSFEAENEYTETNVNLGYASREPTGDTISHLGGFSTW FDPNRTPPASFARCKVCSSPMSLLLQLNGDLPQYFPNDERRLHIYTCRMKPCSRKVGS VRAIREVRKHKAEGATRSKEEKAILNAEPQKQPEDLGTMIFGATSSNSIPSNENPFSI SKPFSMSSNPYDSLPSTLTLAAKPPQRAQEPPIETFASKLRISSPSPQSKADVPEELW PPESAFPKPYPYFHLDAEYEALVPEKPQIPEAGSSKIQAQYTEEDSTTPNGLDKDLFE SSLDKTFLNFSDRLAQNPEQVLRYEWKGNPLLYSSTDAVGKQLVSSNGKTKTSTGMPR CESCGAKRVFEMQLVPGAIAAVEEDDINLEEGMEWGTILVGVCESNCGEIGEVVFKEE WCGVQWEERGLGRLLYSGRFADATVRCKGVEWRVHRSILCPRSAFFEACLNGNFREAF SSVVDFQEDEPYAVEGLLIFLYTLEYPNRSSSFFSQSKPEPNPNFRLEATMNRSNQAT ISNTSTDPCTCQIQLRSQPTKHGWQESMALCRIGDKFGVNRLRDEATAEILVTAKTAL KSSSAMQFFWEFFQMSQEGVQRMRPSIIALAAEDVSKLTVSPHFHEFVANYPAATCLL VEALEKNIPKGMENVEGQSSPSLSGRGAFRGRGRRGHYRSNC EPUS_04089 MTFTLQPRWLVALLALSSMSPHTAAFQSDLQQQPFRISSTTQPL GPTTYGKAQRVAVIGAGAAGSSTAYHLQQYAANATIPLDITIFEASSRVGGRTTTVNA LDDPRYPAELGASIFVSVNHILVDAAEEFDLEALEAGAARPSESKYEIGIWDGKEFVY KSMNDDLGFLNLVKLFWRYGLAPLKTQRLMKSTVAKFLKMYDEPEFPWKSLTDMVSRV GLLDTTASTGWQFLKSNGVGEKFGNEIIQASTRVNYGQNLGLIHGLETMVCMATDGAM AVEGGNWRIFDGMVKKSRAHLRLNTSVEEITRLEEGGYQLSLSKKERHGVRTQTEDRF DSIVLAAPFQYTGIKVSPALPHQPDEIPYVTLYVTLLVSPHRLSPKFFSTPTSPLADQ DFDSIPEIVITTLPAGLDLGSSPEGVGPTKFWSVSTLRSIPVNGSAQYLYKIFSPQPM NATFLSRLLDFSVEAKEDGNDSVDNVDKRHISWSYEKKWHSYPYEYPRVTFEDLNLDA KGLWYTSGIESFISTMETSALMGKNVAKLIVNELVEKSGSDLN EPUS_04090 MANVPTKRRAQQSLRWTKGRTMNLSLPFDYPSNKRLPGPLEQDP ARLPPLFASHPVSFIFLITMSLLFFAVLTHNTPEEQQRLTGQKPKGIPYDDPDSLGIP LDVLDKSGKKKEKKNKLEPKPDIHTPSSSSSKAKKCHRQSYFLHSPKPTKTMDTLLAQ QIADAVDRTKGAMMLDMPMWFRLEYGRTCTTTRQMCARCSRLGEDEGEVSRVAECWLC AMIGDETKMCEPVPGFGEQREGDGHEFLCPKCRARAVVGNGNGPADGGGCEYEVEMRR ERKVNDQKRVEEAMRRERETNDRKRVEKDRQRRAKISNTSASAASSRGGREMM EPUS_04091 MFRTRTNSQKPDEEFYAAFKQNFPGVGPGSLSAGPGPAQRSSSL SDVLTDANLPNRYAPTTSTALSPSQSLFTALAISPSIMLSSHELFAKDGDVLTSDDSL RHFGDPGDHRDHDVTPKGMQDHWRFTPSLMDPNSFAFSTFANQPPGYYTPTPGGLNTL YHSQAGDLHTPGMGMNIGTPLSLPQSANVLSTGNLNIPLQHYQPHLLQPQQFENVPNY APHQVFAPSSFLQHKDSGYEAMSQSPHDSPAKAEMGMLPIGTNMSLGQTANSGMAVSS GPMGDNFRYHVTLNAPTAMVKHSDEIPISYLNKGQAYSLSVIDTLPPVSNASPIRYRT FVRVSFEDDEQRAKPGACWQLWKEGRGTSEAHQREGKLLAVEHVDTNQGGDEESRKPQ IELLNNSFDGFCVTWAPNPVSGRSECSISVRFNFLSTDFSHSKGVKGIPVRLCAKTEK IQPEFSNAAPENQAEVCYAKVKLFRDHGAERKLANDVAHVKKTIEKLKQQISQAESGI PTFGKRKRSGSMAKQAGKGPGKVMKHKRTWSIDSDGEAPAQPTQEEDLVMKLTTMQDM FSSTRPVSVLDLRGDKEDDPDLFPVQFPGGPQDTASLTRQDTWDSKPSAASTPTNSNA MSPTSSSNAVVSPHLAHSTFQPPLPLPPSDPTGFSQRGSIDAAFSQTSTSQSPSQTVS LQKSGISGNVINVFGVDLDYQAPSERPIKPVACFYVRRKDSKDLYYRAVYLMQRTVKD LINAISEKFQVDPTAVTRVTHLDSKGLHIIVDEDVVRELPEEQDMIVEFSKVHHEQAV KSEAREMSETGIMVDGDVTALDSMYTDGLEMWLNY EPUS_04092 MPFFKELRRRSKASFRTSDSSAESNGTVPTTKSTSTLNSSYGAS TPPSSVQPNGSTSNVTTSKSNGDSMAPPLPPRPSVTPLKSNRNSTVGLGSSGSGVTMR SPGATSPFAPKLTSIADNTVVYQKVLLVYGEVSDPLQRPMAGNITIHHDKDGQAFPPT NWPVWDSYFKALVYLSPGWNQIRFDFTTASLSKDGIHSSYMMLNYLPLNNSPPLHLAI IVGRDSPCTYDAVPERREREGNGLDTAIRKYRMAAHLWQAFTAEQMYRHKFGRRCFRF EEEWQTGTLSLRDWEAGNLKNEIKVHVIRSDKTVAEIQDLQLAQQYAPAAKKGDLYPI ASDAIKKYFRLRPGQRQYVAAMFLDSHWDPVVGTIRGHAALGGTSDDLQLAIFGSQAL QSYPSCIEEVVPALTDCTRTDTRFVANDLNESGSNWEAVNIGIGAHMHEVGHLFGCPH QESGVMLRDYIRLNRTFLVREPYSTRTKSQGLRICRIEDECGWHRLDILRFRHHPCFR TPGDTILSTLDDSIQFYPIGNGKVIFCAPSGISFIEIYPDDGDELCRYWLEYVSTESR NGGMPWNVTLSEANVRSRLPENKRKGKVKLQVFSGSSRSLTIDDFSELLSKSYIVNLP ARQSAPRKDEGLTMNTYNRLTNLPQSLETRAGFKGNKLGFSRLDGSKPEQVILDSVNL QTKLLTSIKVYSGYALDGVAFCYEDGHCQLFGKRGGKAGGDEFFFDTRRGETLSGFYL RAGLWIDGLQILTSLGRKSEIYGNAHGGSGHMLMPPAGYTIAGVSGSCGQWIDGFQLI ITR EPUS_04093 MATQHPSYPTSEIAGKVLRRAEIAKVARNLQDRLALANFKAQNG WQNRTLRTIEPEVTEQIRRKRPYSSGDFCSDSSSNTSEDYLYYNSSPLAGPAFSDEIP RSNHSRHSHPKDAPFRYLHNPGSHKRIRSSSNAAPQKVSTANKSWKQTHQLPQSSPVF QRPQLPFSSMNQSFVSDAATIPEPRRTRSSKFSIQTSAYDDQDDLPVHSFNRQLTSPN MISSSPPRTPSPVNPRARSHQSKETGADLLLYLANSPSRSPAIHHQSSRRSGVNTLEP PSTPPSQNTCSTNKDLPSSFLTTPTTNSTNNLALFSTPGQQFNFADFVNVTPSPAQAP WGGRTPGLNKTPAAARAARRGLNFDTLVPPPTNNGNGSVSPTLQRGENGVGGKRGKGL ALDLGDKLMPRS EPUS_04094 MFDQYIHSHLEAQPNEAAVETTNGGGDIEEDIEKEIESLKSSST NQKKPYTFVRLEIACVSFIRTQPPLDPVNLVLQICEKAYSGSSRQRSRYVKRLTPVSC IRKTLGNGLEQLCEEVLRPVFGDSTITKKFAIRPNIRNNEQLSRDVIIKTVADATTRL SSSHSVDLTNYDRLILIEVYRNVCGMSVVGSEFEKLKRFNLSEIYQASAHEGRSTQE EPUS_04095 MSHDIRASELKQPIDVAEYLFRRLHELGVRSVHGVPGDYNLVAL DYIPKCGLHWVGNCNELNAGYAADGYARVKGIAAVVTTFGVGELSVLNATAGAYSEYA SVVHIVGQPSTISQKSGMLLHHTLGNGDFNVFANMNAGISCAVAKLNDPYEAATLIDH ALRECWVQSRPVYITLPTDIVQKKVEGERLKTPIDLSEPSVEVEKEDYVVDVVLKYLS TAQNPVILVDACAVRHRVLDETHDLIQKSNLPVFVTPMGKSAVDETLPNYGGVYAGDG SNAGVKERVESADLILSIGAIKSDFNTTGFTYRVGQLKTIDFHSTMMRVRYSEYPGVG MKGVLRKVTERLPKLNVEAAPPVSQNKIPNGELDVSKDSPISHAWFWPRMGQWLKEDD IVVTETGTANFGIWETRFPKGVLALSQVLWGSIGWSVGACQGAALAAKEAGSRRTLLF VGDGSIQLTAQEISTMIRHDLTPIIFCICNEGYTIERYIHGMDAVYNDVQEWKYKDLV PTFGAKPDKYKVHQVKTREELEAFFQAEDSHQVSQLQFVELYMPKEDAPAALKITAEA SARTNAKTQ EPUS_04096 MSVPRQRSSSRVSNRSTSSSPSRYHPVHSRTIPDPQHLTTSSAS NTPSLLVSPGSAGSPYSPSAYSNASGLRPRAQTAQSRSPPGSIRRHHQHPAGQRMVSS NQAMESQRQQYIPGPPPPSMAQSAQPHIMTLPPPPPRPHHQASTPGVPPPPPGPPPGA HPPGTVFGIPAGWQQSWGRPQGLPPGFPPPPPMNNANQAQNQHLAYIAGQMPHARQPP NLAIPPPPPMEKPLVSATFIPGGDSFGPGVGIPPFEDSQYSTHWNQFQYSAPNSAHSD SNTRELGHPPTPSSTRAPPSLPLRENTDPISPGPPTATRLNPQPHSSSQGNEISKHEG SIKRQKTSSTSTASGSSHDISAQWPADRVYAWLAANGFSKDWQETFQNLNIQMSDFIE LGRSGGGAPKMHQIVFPQLATVCSKNKTGWDQGREREEGKRMRKLIRRLVDNANIDAG SSGLGHRRRGSSQALPSASTDGNVENSPNLPRHDFTPTPSTAGAEGSPGKQMPAQMSG PGHKSSFQTRSSTLPVFSKNSSQNSTPSDPSHPDNNNHSHGRTKDSRNALNHLGPRGR HSPNASGDAPPAGLVSRYHDISPQSGSPSLGHTVPTSAGPTSSSPLPRAEQHAKSNSA DSMYKNAGYNRANLHPPTLSDQSRQSQVNASADGSMSTRFYESRRNVQEQGRPSMESG RQNSNDTTSNNKETNKGFLSKFMNRRRHDTHPSPEESFLESPTSPYVPRPLLPSLSLS KPQLNGSDASLAQRPPSASIMSEEEKSSMRGRTKTTLGRKYVFVTPDRWNYRLIDITD YETANALRKIICLELGVQDPDFAQIFLTEAGQVEHEEALNDSTLVSFSRKHSDNLGSL KFYVRSPATSAALPFPASAGLGLTFAQRAHPSPPFLGQFNRRAMDEDEYTKLVAKAQD LGILGSKDDSTKGPLIESPPPMVPGTNQKQDDSEREAIIRKAAAEFKRDAERKQKAYQ ESRQQTRESGIRASTVIDFDTPRESPFEDKKTDLIPVRKPPTAPSESNTLTKVNSLSK KSGERIRPPSTVDALKRISDPIAEEISDRGRRRAIGPTPSVSQGIGAALANVGKMVGA PATMGNSGVSDQDPPQRALQSVDFGQRGSSPGSSPRAFTWGKGNVMFKVPDYDEDGSG LQEIPQISIPPNTAAQRLRRQDASPGVSPASEHPSRPPNPSNRKSYGPAFDFEEEDIS FEKSPIPQEDSDDDSDDGLFAIPLVTTSLSKSSNENVKVRKPALTVNTDSRTKKSCSV AFQSPSTAGPSTAPTIRTPETDGAGSSGGRSSFERQLSDTNTSYSPDDKMSRRNSFAS EIWASRPPVENVVDHLDEFFPGVDLDQPYLEDGTTSPQSAADQSSKDGPAPSLRGRVT YGTEGLPLRLMKNDSDTLGSDESTLKAKDRDSIASVAQRSLRRSGGLGRMKSIREVAK GRNDLVRSRSSAALQKPAESTGIVRRKSTKMFGATIVQIRPKPGNRLSTLDPIPQEEV PQENTPKRQATFKIIRGQLIGKGTYGRVYLGMNATTGEFLAVKQVEVNQAALHDKERV KEMVAALDQEIDTMQHLEHANIVQYLGCERKEFSISIYLEYIAGGSVGSCLRKHGKFE ESVVKSLTRQTLEGLAYLHREGILHRDLKADNILLDLDGTCKISDFGISKKTDNIYGN DVTNSMQGSVFWMAPEVVRAQGEGYSAKVDIWSLGCVVLEMFAGRRPWSREEAIGAIF KLGSLNQAPPIPDDVRATASVDGLNFMYDCFQVTPSDRPTAETLLRHSTFCIPDPYYN FYDTVLAAKLRTADAGTSNVE EPUS_04097 MVVSRGTTPARHGSPMRGTTPGRGMIPGGMLEDMRSTSKNAMAN ESRPRLLGGMTTFLNVAKTKAKSTKGAVQVPQSTRKTRQNTNKLPGKPDRLIEEVMFS TPKKKTTKSPTQKKTEKKVTTPKVIKPKASKVTKKKAPAKPLAKNATVKKAVTTIKEL KAVAAKVWSGETLRKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKE KEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKE KEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKE KEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKE KEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEGKKSREGKGKEKEKEREKEREKEGRR RALVFRRRRPRR EPUS_04098 MAGLLDINMITELRDSLSETAAKCSERCLYQSAKWAAELLTSIA QPDEDPSNSDDESDSSMLNADAVPRPLFSTTSDDPQEAALERKEAHKYILAKSYFDTR EYDRCAAVFLPQSAPAGGLLSSEKINKASAFTPPKGKKSRRTLHATGNPFPKLSQKSL FLALYARYIGGEKRKDEESEMVLGPNDGGQTINRELSGLGQSLEAYFSSQNTEQLRTR SQGWLEYLYGIVLSKSKNESAAREWLVRAVHRNPFHWGAWEELATLLPSTNDSVDEKI RQSLPQSVMTLMYHIHLSIDLSTTSDSSSTFDSLHELLQVFPTSTFLLTALALLHYHS QDFEAAAQIFQQILTSHPHRLDALDHYSNILYVMADRPKLSFLAHLATATDKFRPETC CVVGNYYSLCSQHEKAVMYFRRALTLDKSFLSAWTLMGHEYVEMKNTHAAIESYRRAV DLNRKDYRAWYGLGQAYEVLDMAFYALFYYQRAAALKPYDPKMWQAVGSCYAKMGRVE QSIKALKRALVAGTYYETVTPTPTNSFNTSISSQTPGRGRQQKPEPTGRKLLDPETLY QIATLYERLGDVEEAARFMELTVAQETGSTAKRVGKASFAARRYKMDDDTDDDDDVAT EVLSNDSDSDDDDDEAGGDGFGTGTTPTTSKARLWLARWAVRRGDLDMAERLAEELCV DGYEVEEAKGLVREVRGRREAEGERGG EPUS_04099 MSSVWSLPWRVGSYSREDALLNEAASTDRIRGVGLPKMINVMAV YLQHVSAKDKIYARGERITPRTMTQQLLEQYEEEDKSGQAAVAFGSVGRGYLGWIGDV NSEEELDPVYLALLGLPVVGG EPUS_04100 MAAKEGSKDMSIDVDEVATDGDVVLIVGSNKTKLRVHSLFLKTA SKVLGAKAGTRTSEGQDISKDDAQEVFLPEDDVGAMKTLCAVIHLRSHAASDAFTPSE VLKIAIAADKYDCIVALRDASGHWLKPAENGSIDDLKFLMAAAYLFDNARAFKEITAA LILHHTDSYLALAQGQVESLIPRKIFCMLKERRSGTRLKLQQVLVNSAMYDSSDCRCG WADEYAFAYMALLEREILLPENMLSQSIAHLMQRAEQMDGPTPPQKDFSCEQAKGHRN EATQEARRPLHRRSPGREHYSKPNVPDPAVVEGEMSFKIIRVF EPUS_04101 MQDRVWLVTGATSDIGREIALHALQRGDTVVAAGRRPEALQDLA RMGCKILVLDITSSAEEFNHTVEDVTKKVGRIEMLINAAGFLLEGCMEETSDRELLQV YSTNLFGLLRLRVAVLPQMRSRRQGVIANVARIGALQVAIGAGVFCSSKAAMTCATEA LHAETSPLGINVCSIQLGHFRTAFLSPGPRLKTQITLADCDVFMESTRKIFDDFDGSQ QGDSKEAGRVIVEVLIQSERAEGRCIPGCLAVGSDVAAATEKVQSSREVEMKAWALLS HSTDLTGS EPUS_04102 MTTLVDELLNDFDDSSSETGEHDQNGFLEDNVPSAQDDVPTSAR THSTSGMELDGDEEEISDAEEELAAQSNSTDLKDAPDEEETKARVEKMKLGVVSDVRN VAGLMKTLQPILEKIAHYQSIPPEKRTTTVGSIEDNPEYKLLTQSNSLATSIDAEIIL VHKFIRDHYSTRFPELETLISNPLDYAKTVAILKNGPLDNIKALSNSTDNPVGASLKS VLDGPSLMVVTVEGTTTKGREMTETELQTVLRACSMILDLDRAKAILTSYVQSRMTLF APNLTVLIGSLTAAQLLNFAGGVTGLAKTPACNIPPLGSKKQTQTGFATNVGIRQQGF LYHSPIIASIQSDLKKQAMRIVSAKLILAARVDRVHQSPDGAMGLDLKQACLERLDKL TEPPPNKGPRALPAPDDKPSRKRGGRRARKAKEATAMTDLRKQQNRMAFGKEEKEVGY GTGEGTKGLGMVGLENDGRIRAGQIDQRTRAKLSKKNPGWGGGGGTASTVNGMASSLR GFGSAAAAGGAATTLRAQGLRTSGVGAGNLAGTASSIAFTPVQGLELVDPKVQAELNR KRKAEEDRWFKGGTFTQVGNGAGAAAKNGDAGGFKVPQMPASKKVNTGAGLGNSQ EPUS_04103 MAKGESIEALLSPQLRVSRPVAACSRCKSAKIRCDGTLPACTAC ERAGKADSCSGANDEFAKGKERSYVAALEATLEKLQRRVAETKTLQATDPSRRDAVAA SHMLDPGHTFPARRIASSGRVHRKEASDVDNLVGDFGFLSVNATSRDFHGFTATTSFA RLLLAVSQTGDPGHADPETLPARHLITPIIHHYLDKMFVLMPFFSETDFIASVSAVYA DAGRRAKPMDKWMVRMVLAIVAADRSRDKGDGYWRTAQEHVSVALEYADEVLHPGSVA GIQAILLLVQYSMLDPDMFSCWHLIGFASRVMVDLGLHNEPAAEIRMSKDEIEMRRRV FYCVYTLDRSISMAFDRAFSFTDDSASVNLPVVAGSTGLPEPSVNETPQLFLRSLQPS SYLFNIRRIQSSLYQGTRMSKRLEWPSAASSEYTKCVLNDIRSWASSIPKTLSTNHTF LFHLESLYSQIIALAPSCRNPSIPELSKILIFEYAIQYTDLLHPITREHKREHNWHSL FTLIDIHRTNFIGRHFLDVLSTSFDSILSGIKPRETTQSSPEANDSDLDTSPSPSSDV SHSASPLENSARAISCLAKIIDILAYAERRFGGTYGFPRRFFERESAELTDQLKLKQQ ELGTVQYITGGPPGPSEPRVPPQPQQLQAQAEPQEINPMLHPIGMEPVVPFPPGPAVR AGAHDSDIGAARASYHLPQVPPLLSVPGPQPRYLTFQQALPLETRVEESPSGWFLSNG SPGNYGPLGPYTVYVPPANQPGNFLPPPPETNLLPTDYQGPWTGNTSMSTGGQGSEPG QSIGSSQCGG EPUS_04104 MAHSNHAPRQMTTSSLSSSRGQSGVAHDKVFHTVTACRKTKCDT GLPRCDPCERNSAKCEYFDASKKRIIPRTYITSLQSNIRQLQAELVALEKEEKHVPDA EAMIRGAGMIKFNENDEYRFLGPSSGIGITRLVMELAKHNTVKKSIREIVPDSTAREI KQKFDSESGKPTSKVYPLISSVAAPNLPTHDLMDQLVDIYMAKAQYMLPLLHEPTFKH AIRTVYHGSADATLNFQLRLVIAISMQKLDTQYAGLADSYYLSALPYLESAVRKKDLS TLQCLALIAQYSVLTPTRTASYWVVGLAARLCQELGLCEERTIKDGPQGIHFNTLEVD MRRRLFWIITSMEYGLAHTLGRPSAFGTTVDHINVGFFELCDDRFITPEGLLPGHQPV MKKCISIHFFKMRLLQAEIRRTLYLKKRDRPVNDQDPWFNSMQCKIDDWVANCPKNDE GSGVSERWFRSRRNTMIVFLYRPSPQVPEPSEQAAEMCFDASVENIHMQSTQIATKSV DLTWIFTQMLFMGLNTILWSLSYHAIRRHHPIETVKVHIDTALDAINHTSERWPGVQS ALQLYQNLIEGCLTAYSGDASYVVRSPPSTQDESTLSSRAPSHSPASPQPPSLAASHL SLACCESYDGSYVNIQNTRSTPSDGTDSFEDLGNGTNLSDPSGTKQSQQYATPGSKLS TFEQSSILATPNDEPIHQSGVPLAQNYGMPDFNPNSVNNAFPATIPGLTHWGSDMTTV SSGSDFAGYNDVAMDTKPWLGSFGDEYSRYMHQAYNPPQQQMQSLSEQQQLELMAALE RDQLPDVSNRMSDAAIFYTGNIL EPUS_04105 MSEPYRPHPAIPLSPPSPPAEGVKEGTKSHFPAYTPYSPSPPFM SVATKSYGQSFAETQASSVSTMAQRSPSPATSTPMSTQVSQQPITATTSFPTPDNSVI GRSRKVPDEFDEEHISKRQRIASEITRIHDRSNHDLDHHLRSPASISWPKEIESQASD QQPMAEGSNPIQSIQPSPVPDRATQPAVVELSLEQLQKNVGETFHLSLPRVRPDPGQH LLSRYGLSGLHAKVARTDPVTHEKINKLRKSYEGQIKNFALAGRNKPTKDEIPEGQWS SLNMMMLEPEESWHASKVMGKKIEVTRDLEARVHKAIVLQPGRMKNHEHWEDLLGHEK PRAPPVPDPAGRKAPSGAVQRPQINGNAVRTMSHAAGEGVRPRRVGKKRSYADNSFEG YGDGFVDDENVDMDQGFLSNSEDGSHGPGRKKRKKDHSLGNPATIYRGPSSYGVGGWN GGDR EPUS_04106 MSSTTTSASDSTSTRSQSQSQSQSQTQPPQQSEDPTPWPPKPPK PCRLNIGAKTKETRSSTVTTTKTKDEADREYEERIEDEYAKREGGA EPUS_04107 MAAPALPTVMPPTTGAVGGTDSTPVPSTAPAAATTEPNPATDTI TSVSDTAAAATQDETAGKGEAKLEGGPASEGILGYKGPGLIQSLRFSKRFFWFSDEAF KTDTLDAYLRAEKAEIAHPNAAWAHETGKGLLFFAKRAEDKAAPAGILNLAEVADVTK EGSNDFSFKLNGHKHVFQAASPAERSSWIAAIEAKAAEGKGLKEGILGSEGYQKHFEK YNKLGVGAATTGSSLPGLSKSKERKETSAPPRKSLETKVKETLKKDKKDRVDTSSASS SDAEKKDKKSKSRSQSRKRASIFGLLGNKKEEQEEKKDVKKEEKAEEKAEKEMAKEHH KHDKEVAKEEKKQEKEIVKEHHKEEKKHEKEVTKEEQKLEKEQKQAEAQATHQPSDIA EAGAATVAATVAAAAPAAITTSHEKKADETKPELSTTRGTENLEKNRGKRGSIFGNFF GGRKDITSPTAEKTPTDGALVIPTKDSEVAPVSETAPKIDEPAQSKPIDAAAVTAPVD SAIAAHDVPANSVHAQTTTGAPIKSPTTPSHKGGVMGFFKRQDSKLEQKKEATADEPV STATALETGVTSESPAAATSESKPTLKEKRRTSLFNTLASKKEKSESEVTEGETRKSP LPQKLGGLFRKPSKAVKSEQTQTEPTSAITESAPIAESTEGDAVSSAKAEPALTNGIT QAPTEPVDRAPEAASGATPTVKASA EPUS_04108 MRSSQSSAAQDRGQADGLSDESSLLAPDRPSTGRRARTSSSTTQ VVGSPSIAQRTPTRSFYHRSFHGSIDAAQYSSYGVREQTAELASLAISESVRESPSSS DTKQRSISHPDTIILDTEASQGSPAEPSTRAHVRSRPEAIDEVSEPVSPESSREASPP AHFTSALTKMIQKSPPEFSVGVRYMGKRDSLTHNTSSRREAFESDTTITEETSLLPKS QDEISKKVGSYKDLESQKPHRRPVKHQIRATGQHALNKSRSAAYTILHPKTWDIGTIW QEGFVRPVSVLPAVFLGLLLNILDALSYGMILFPLGQPVFADMGSDGISMFYVSTIIA QVVFSSGGSIFKGGIGSEMIEVVPFMHKMAFTILNTVGEDNPKSVLATTILSYALSSM LTGIVFFLMGVCRLGSLIGFFPRHILIGCIGGVGWFLVVTGLEVSARLDGNLNYDFST LQKLLQLDTIFLWAIPFVLAVILMATQRFVKSNFLVGGYFISVAIIFYFFRFALGFPI EMLREHGWVFDAPPAENPWYHFYTLYDFRAVNWEALAETVPAMFALTFFGILHVPINV PALAISTGEDSLDLDRELLAHGVTNALSGFFGSIQNYLVYTNSLLFIDSGGSSRLAGL LLAAATAGVLFMGPVLVGYIPIMVVGALIFLLGMELMIEALIDTFGKVHRLEYITILI IVVTMGAWDFVVGIFVGIVLACVNFVVQTSRKSAIRATYSGETANSTVRRPPVQLRFL KEAGQQTHVIKLAGFLFFGTIVGVENRIRAMLAEDAFRHRPIRYLILDLAHINGVDFS AAEAFTRISRLLKKRGVIMIVSGVSVNGEVGQSLSSVGLFSEENEVELFENLNQALEF CENELLQAFYERQAQLPPHHRHAPPPSPSQQLDVPVEGRRPSFPSELMFSSPRRDHLH HVASTTLNETVSTKSHSWHNYKQPLPLLLQIFEDLSEHGEDFWFKATRFFEKKQFPSG TVLFNIGEPASGFYLLEEGILRADYNSPQGQYSELIMAGRPCGELPFFSETSRTATLT AERDCTVWEMSEQKWTELQKAEPDVASEMLKICLKLTKERMDAVLGYILTAAG EPUS_04109 MGSSQSVPYYDQPRRHRHRSREREYFRPPGGYRRPDLLPQYGPR AVTREVRDLEKDVKRILEPFEGHFNPSSDPPTACALLVDHLAIRRNKRCLLAYHRGRA DKLEEMCWDGKDVLEVHQSRQSSTGQEGSSAPSNDESSLSPEEEEYFRQYSDMLAAYK GQWTDIDLTGSLEPPKDLFIDVRVLKDAGEVQTEYG EPUS_04110 MSNLCAKGFLEKMMSGVVPSSKPRRLHFEVRTDTTILFINTSDR KLANGPYVVCKATGRIHPVCRLYKDTHHAFIKAILPIRTRKDNFRTLNLSASESPLSS MERLPSSSKQRMVAVPSRLYSTPSKSRPLAGLRIAVKDNIHLQGLKTGYGNNAWHELY PPAKTTAPAIKLLLAAGAVVVGKLKTSEFCEGVDPHQWIGSTCPVNPRGDGEQKPSSS STGSAVAAAAYPWLDCTIGTDTGGSIRHPAGVNGLFGNRPTQTAISLVGVLGATDLLN TLGIFTRDATTFGKIGTQLLPSSFQPLIPREARKYKLLYPVRSLQQEHPSPHRWFPNP LADASKLTEAEKKIEAFVLKLEQHLCCERTAFNLDELWRAMKPRGQPDSLDEATGPIY SALVSYSALHEGGINDFLASYASSHHGSAPKLSAIVSQRLEYGRSLTRAQIAQHLDSM AVFARWVENVLFGPPDEEAITLLIFPQSFGRPNYRDDIPEHECVVYDKFSVYSFGYLV GCPDYTVPIGEVPFVSRVTGKAGYLPVSLSMVARRGNDVPLFDILTLLEEKGVLSAVK AGRRMY EPUS_04111 MRSVLSAIVIAVSATLVSAQDSGTDIDGPFTRDELRSSINLDDL LADAQTLEGFAYSTPERNRVFGSPGHNATVNWLYDELSSLNGYYSVSLQPFEALFSSG NESFTVNGADQNATLLTYTPSGTALNTTIIAISNLGCNASDYPSTVSGKIALVSRGSC TFGLKSALAGSAGAAGLVIYNNVPGPLAGTLGDASNPVGPYTPTVGIPLENGQAILAL LNSSSTPLAGNLEVNSILENRTTYNVLAQSNSGNQNSVLSLGGHTDSVIQGPGINDDG SGVIGLLNVARSLASYSPLPSTYNSIRFAFWSAEEFGLLGSTYYVENLPAPELAKIKL YLNFDMIASPNYIYALYDGDGSSFNLTGPPGSDRIEALFQEYFSSNNLNYTASAFDGR SDYLPFIEAGVAAGGIFTGAEGIKTPADVESFGGTAGLAYDENYHEAGDNVTNLNAEA FRVNSEAIAWSVATFLESLEGIPERNATQGGNGTASAVPKRKRSKRDVEWRKRAAGQS GFGNGNAHSHAHGKGGCAKFRVAI EPUS_04112 MASPRKSLHEDGPVETDPILDGLTLFEKKAHIVNRELDSMGMGR YQWMIFALCGFGYMLDLLWAQAFGLVVRPLRQELGFSEGEVGNISSAFAAGLTAGAFV WGVLVDIIGRQWAFNFTVLFASIFGLCLGAPSSYDAILVLTAFVGFGVGGNIPIDTTI TLEFLPQNRRFLLPLLSIFQPIGVVVCSALAYAFIPRYSCAPDLLACRNVAAGEPCCT KADNYGWRYLLFTLGAITMVVFFLRFVVFRFQESPKFLLYRGKDEKAVKVMQHIAQYN RTTSPITLETFAALSGGDSSTGTPSSSKAMLGAGAKQLNNTLGEKVKIEMERYKLLFK NATVTRLTILIWIIYIFDYWGFSIAGGLLPYILAEKSSEAGLSTESTYRSYIYIYLFG LPGVVLGTTLYKGRQAAMLGSSALFAATLFIFTAVDTQAKYIGVNGLVYFFQSMFNAV LYGWTPEAYPAPIRGTAAGVASFWGRIASIVGPIAGEKLLALSYNAPLYLAGAGVFIC TICIAFLPRKYLGAESF EPUS_04113 MIRAVSHSRQSVGPCIQAQRSHSIHNQVILKDSVDPPDLPNQEH QGLEKNIGNDERGNDESLQARIERLGRERPKVFRSMWAEIAFVFSICNSQIITEFFVS GFTVILPTLIQELDIPQTSSVWPATAFSLAIASTLLFFGRLGDMYGGYPVYVCGMIWL AVWSLIAGFSTSPLMLDFCRALQGLGAAAYLPNGVLLMGSIYRPGPRKNLVFSIFGAS AVAGFFVGIFFAGVVGQYTRWGWYFWIGSLLAAITAVSSVFSIPSDSAARRKNGITMD WLGSVLMVSGLTLTVYAITDSSHSPHGWKTPYIPTLLIVGCLLTVAAVYVEGWVAKLP LLPFDVFAIPSMKPLTLALLLNYGTLGIYLLYVTQYFQIFMGATPLQVVAWYTPMILG GLILSTAGGFVLHLIPGKALLVFSGAGWIGALLLFALAPLGASYWAFTFPSTIFATIG IDITFNIANIFITTQMPGERQGLAGGLINSILHLGITFCLGFSDIIQAETIDRLGLLK SYKAIFWFGVADASIALFLMTFFVKIEKAKSDLTADEKRELEQAVLDERRSNAP EPUS_04114 MAEYWKSTPKYWCKHCTTYVKDTKIERNLHEATGRHQGNLKRFL RDIQNNHEKDEREKQRAKSEVERLNRAVGATSTSSAQTSAHISRRTTAVSASSSTVAD RKRQMAQLAEMGIAVPDEFRGEMALAGDWQVVSQKPVESGQETEKGSSLSVGVRKRKF EGQEEDEGASETIQRKGWGSTTKQYPSHAHRDLDVLLAGNISAKKEESLPTLKQEDSD QLTVEEHVCYNAQEDQDAIDATENPQVKQEAVSAPSATSDQVAEETRAPSLGSLEVVF KKRKSKATRPK EPUS_04115 MSSFPSGIPSTKSEPGVQSARDVEPTTASLSLPPLHSIDPLQRF TPHPSQDPGLSKGLPPPGLPPISQYHRPQLPGISQYHITSSNPPLGHGPATGHPAGQV DGLPHPTTSLPIAPSGSHRLLSGGRHKKEVKRRTKTGCMTCRRRRIKCDEEQPSCRNC SKSKRECLGYDPIFKSQRSAPSLPSLPSLPSISPSNSTPASSASAPSLHLGSFPKSAS PINTFSPTSKSPSPSSGPIAQSDSASGDHVIPSIPDIQGQPEQAQPEGLVAENNPLSV PSISQILHHPVAERVHVKELFNTDGQMAPPVTVSRSDDVVFKESVEAIYNTVYAPALD RFLETRWYTMSGLNALRMNSHMLAEFIAFVRAASLGAEESPFPGTLAQETRLIWGLLN ICSGSQVSSREITESSTELEVLPSRIVATSGDFRRPPDNDSAVPNRRVGHDDTQNPQH TNTQSPPTNDYGSKTVITTFPGEDTTTFMLTARLKALYSLLTNNPTPQSSEHPLIPPS SPVDTPLPKPLARQLQTRQDEFWFCVGRFVAASRDADSNPDTSKAEMKTALHRARTLL DGFENRDVVYTVMRMRFLQREALDRDRKSGGEAAARLADEEMDEEEEEEKERDWEREW EFCTDILKNEAGLADGGDGVNSGTGKNVVAMRIAGMAVAAFELSSES EPUS_04116 MGRFHPKRLLLGAFVLLLIYVHIRHSHNNEFYTKTVKALDKKKK DQALKAETDAKVQQILNDKNPQVATSLSGPQPAAATVSESNPRLPPDPPSPPTQPQDE NQKKVAADKPKYVKDTTASPTSLSPNPTTQPDPPHLAQVRAALDEIVHHHSIVIFSKT YCPHSRRAKSLLLDTYDIVPQPYVVELDTWTEPARKGSGEVRDGEVVEENIPTMGRAL QDLLAQRTGRKTVPNILVLGMSLGGADEVVKLHEEGNLAGKLKGMVGKRLERCEKRVS RSGDGADGKGGHGAL EPUS_04117 MKNIRGLPNEIILQIFVHAGFPADTLALAGTCKHFRGLLERNER SLSNDIAARVVGVPYKVLGFGGRIPSFQGVMRLVHEAAEIGAVVDCCNDIRHLPQVDE HAIWRAVWFIPLWDEYLHVGLLLYKMISQSTSPMERLGQLRGGFHALLRFTSIVMWDM IHIRFALTFGKGAIRRMRYLVQFGEATGDTAPWLQNDVGWRRTEISLFEHGGARFLEL LNRTNQGDWIRRHQPPGDLGECVLNMSRLGKLPPDRCYHLRLDDLCLAYGGVWGPPDF WRQFDPFGLKDSGLCGVEAMWETVQHLPD EPUS_04118 MQEGRPSTPANPPRAHQTPKSSRRSRPSTAKSATEPSPSSRSTK SQPPSPEVISSLISSLAAISTPAEHHFEKLPRIASKKSDTSSVTPSHRSSPKPTPADP VVHARAVSRSPPPQQGFGVDYGAYKKPGETDHESYLIPNTAYAPVIRMSKQRAPSRSR SSTPTLSASRSQQSLRSKDESASTGRLNTEPGLQPPTANGTSTKSGSIARLIVRKISK EVVPNGQNPKRTDPAIRDSGEALNPLANGLRQSGSRSSFREGMVDEGISERKSSPVSP WSPSHAGPSILQSQTPSSSWTLERPGGIGSGRIIPSRLSSLHHTTSASPERKSSKSLK HSHESKDLKTGQDVLEEEDSTVRRIRELQKQKEKREREQRREERRAEKKRSRNSMPGP TPPQRTTLDQSSRHSVADIMPRGPDQAVLVEDDSDLASAVFTHASRQVSAGQPICSAT YVPGPRSGSAIPEVQPSLGSRPVTPVMHKRALSDPQLSPGRGSLGIERPRSTDSIDDA VETYLASARLTQRVRHPETGRVIAFSEVGDPLGFPVICCVGMGMTRYIMAFYDELAWT LRLRLITIDRPGVGESEQYSSGTGVPLSWPDDVSIVCNALSIIKFSLLAHSAGAIYAL ATALRLPQQVRGRLHLMAPWIPPSQMSSIGSQKDTVPTSSIPYSQKLLRVLPASFLRA ANSSFMSSTTSSIIPKTPRRSKRKSIILGNGTIDLRPASSNGLFNDKYSGLQASHSAN GSMTDLTSTSFRPQSRGVREDAASRLSITNLDENLIRARQYAYDTHLTQRIWDLAQRN ANPAVDLLVCLERTKTIGFRYVDITRACVLHHGSRDSRVPVENVKWLAKRMRRCEVRI LQGEGHSLMASANIMAEVLTEIAREWEDWARVTREGRGRRVFSDQFDD EPUS_04119 MSARPKILLLGEIDHAHKQWEALSEIGDLIKSQSTNRREFIEEC KSGKLDGVVAAYRTLDSVRITGLIDEELVSVLPKTWKYLCHNGAGYDQIKPDTCSNRD PPLFVSNTPAAPDDATADTAIFLMLGALRNFNTSMAALRRGDFKGNPSPALGHDPEGK TLGILGMGGIGRNMKRKAEAFGMNIIYHNRRELASEMAGGAKYVSFEELLKTSDVLSL NLPLNNKTRHIISTEQLRRMKPSAVIVNTARGPVLDEAALVDALDQGVIAGAGLDVYE EEPKVHPGLVRNDKVVLLPHMGTWTSETQAKMECWVIDNIRSALTGKGLLSVINEQKD LGLDKEK EPUS_04120 MLQVISVLCCLIVSIYAAPTSLEARDVSADVLGQLNLWEQYAAA AYCVTNNDSPGTKVTCAAGNCPLVEAATTTTLIEFQNSLLTDVTGLVAVDSTNRKVVV NFRGSQSARNWLNNLDFTAIPSDICSDCRVHQGFWRSWVEARPRILTAVEYAVAQNPG YGIVSTGHSLGGAIATLAAANLRNSGYNVALYTYGAPQVGTEVTANYISNQPGGNYRV THTNDIVPKLPSRIFGYSHVSPEYFIKSGNNVPVTSRDIDVIQGNPWNAGNQGTFPSS IEAHGWYFNAIGDCAPDGFEFKE EPUS_04121 MHPVCMIAWRPARGCVRSNRTKTTDIFGLRQIFSVLVRSQQHAV RKSDAFLSITGPICRSLRQNRSPGSLRPFSTAAPLRLHQSSRSQQQIPSRAPSELAFR RSDLRPYEIKVVFGPDAPPPQLANTLLKVLHSRRVNGTLDLDLPSKLASQLKAYPSAV DDALRWLRLEYPVDEDAAILRRIEREEAGEGDEVLINRAENLGLYKPQSGLYGAKLGE EGDIFGESELEKLRKENELKAEQEQKEVDEFIEQTQQAHEEKAGALETRREDGLEVST GSRPPNLYEKWKMRHYLSGTSKITFEEASQKGKIRRLLPSILFAATVTCASYLFALTW TPPDRSHRLLPSLPLAEATIFGIMAANLVILALWRFPPAWRMLNRYFISTPGVVTVFS NLGNTFSHQSFTHLLWNAVVLWLVGISLHEDVGRGYFLAMYLSSGVVGSVLSLSNMVL RNVLISSSLGASGAVAGVFAAYCTINPSSRITIWLLPEDLARWVSCEASSFLFFVVAL EILCMTVPSMRRRGTDYVAHLGGYASGFLGGLFWRREHEPNLHSGGGWGKNRPKEPRW YEKFLGR EPUS_04122 MSFVIPGSFDTLQTAEQVQLLNTVDALRTCGLDGIISLPQLVVC GDQSSGKSSVLEAITEIPFPRKENLCTRFATELVLRRSRVVRVSASIIADKTSSQADQ DSLQKQQLSLSNLSKLPALIDKATKLMGLDDGDKRQAFSRDILRIVIEGPERPALTLV DLPGLIHSENRSQTADDVEIISKLVEQYISNPRTIILAVVSAMNDAANQVILKRAREH DPNGQRTLGIITKPDVLSPGSESEDAFIGFASNQNITFQKGWHVLRGRSFSERNASFT ERNATEAQFFSRGRWLELDPDTLGISHLRSRLSELLFTHVKQELPRLRSELETTSAQN DTLLQKLGEKRGSLSEQRQFLTRISTSFRDICKAAVGGHYDHEHFGEDVNISSGSQPA TRRLRALIQKQHIDYADVLRRAGTKYNLVNKPAGVPDTPVKRSADLGGVEVSYVQHDK SQDQMVDWAKTVLNANRGREMVGSYNPMVVGELYRAQSQNWHLISQQHIANVSTACSR FCSDLLRTVCPKDNASVQLSLIIQDQLKNRANNARDELTKLIRDKNRPPITLNHYFTL TIQKKRKRRLAEANSSTAKRQKTDNTKFFTFGSPTPAQSPLAPVTKDDEPADANEDID ITSCVMDMDEYSCIDALDSLNSIYKVELKRFTDNVVVQCCERHLVDGLEDVLSPLKVA SFTDAEIAAAAAEPQHVTKRRAILEAKRESLEKGKDVFNRFMSRTD EPUS_04123 MALTRRHVLLSSTIGFVAWGYVVHWLPILRYLGYAFLSGVILTA LLLLTITFTVSWPRRDGPNQGAVPRSSLCFLDPKNWQAEIASYHASKSYSGAQLYPPS FVISAALDGLVDLALRDFISSWYSNITPDATFITEIDKNIRVALGDIKDRLLQQDLVD VAVSRIVPLVTAHLKDFDQAERTIRGKALNRNVTESEELDLAIAAKYREGKLHPAASL AYSDMKLVQQEHLRRILVRTLPEVLPEEITRSRAAFVLVKEIVACAVLFPVMQLLADP DTWNQMMEAYGRVALQDRKTVQKLRAALDQHASPARKSRHGTAVPSLTPGANERSFER FVRAIRQTNNLSDIRRFRNHVASQLKRESMVDNQDQTYLRRLEIGKRVLDQKVAKLST GGGAASILPGQADHRMRGGAHSHDPSLVEIMKTSSGLSYFMEYMDRLNLMSLVQFWIV VDGFRNPLEDDFGDHASSPDSISWSDTDRADIAQINEAYMSRPELKVPEEMRDAIRDF LKAGRTATPTQYRRARTAILSTQSAVLEEMGSQWFPEFKKTDLFYKYLASDEVSSTFT PQIEPKEFVRSPLWSEHADARNAPPMTRSTSHPLPRPRDLRRAALSSSDLRAIPKLSD ATRDARKSLDTDRDRSGPLFDDDYDTDPLGNSISSLTKESQGSEQNGDGTDQRQVIEN MEAALNEIVTQSPRNEQTSDQANRLFGSPTSIERSGLFDDAPGESLGVPQVVSSNGER VRPSIASLGLVNTSSRIGVFSDDDLFPDEEKFIEDEYADSEDTSKEDRKDDEIHEAAP GDLGLIEAIAALTADIERLVSQESVVDTLTRKAELTNNAAELRILGKSKSSLQREIRR KELQKQQYIVQESDNSLYGRSAISIKSIMVGKEEDGHEYALYVIEVERRAGEQMAAAS WVVARRYSEFHDLHHRLRARYPSVRDLDFPRRRVVMKLQKEFLHKRRVALEAYLRQLI LLPAVCRSRELRSFLSQQAIIPQAQSAADSHAKDIVTRIYNSVTDGMDDFLGNIAVLD QLSAAGQNLISAATTQVSAAQNSTNSDDPTAIAEAEAELSAFENRELEPFVKPICDIF LETFGLNRGNSWLRGRTVVVVLHQLLGGTVERKIRDTVKGFLQEDSVLKYIEMIKETM WPGGKLREPKPRTPAEKAKSKTEASVMLATLIPDLAAHVVGRANAQAAARRIFATMNN ERLNTHLAFTVLDEIVAVLFGNETRSR EPUS_04124 MPISEFLNSLRCMKPIRKPQSRLPIASNQKDRVLSDTTDSGLSE SLAPSHHHSQEAPIMDMDMDTEHTITLSILDQGMPRHYTRFCLAFRLGETPATVAIAR LEVFFRRVFQAEPWLAGHACPVREHCSPRHQLEIRFSQKDVENFQVKVQELPHDKCPL TYDELCELGMPPSKIPRPLVSSCPDRRPDTEPAPILDVTVNIVRGGLLIAIFLHHGVT DGASMGTIVSGQLCRDISETKLLTAVDLAEKARAETVTRLPLSAIPTNQSIGSHSEYK AALRAIGQTPSSPAPAPSASEVTSHIFRFSDATLQTLKADLNSLLQNSNSEPQIPWIT THDALQSLLWQHLTRARIPSFPATQCLLDSKTSTLLIPVNVRKRIASPLPPSYLGGAV VLAPATLPLTSLLTPTGTHQLQTDGLSKMVDKAYLLSTAITIHAAIAKCNDDYLREVL ELTKSVDSVREMVDLNLDTAGGLDLCITSWAVLPVFEGPEGNDEGNGNGDGAGDGSSS GSNSNGGGSSSTNGSSSSSNSKESDIGLGMGLGLPDFVRKPWSRDAGGCIILPRDRRG WAQGEDGAGRGSGSGMGGLEVLVQLKTEDMDRLMHESSTDLD EPUS_04125 MLEMSFLLNACLDIFEIRQNNKTIEQDLGLLQAIDERLATYGWL TNTGIKFIVVVDMAGRPSAPDDDDRKRAAPVLGLRDSDLKPAFRALQTAYVRLLQNPF YSPDDQTPMALANTEGRSAEITSSKFLKEVQRIGRTWSPGVAAL EPUS_04126 MFSLSRTIAPRTLRATSAIPLTIFRAAPAASITTSPSKSATSLE PKTASNDSSRLPVTGGIRREVPLPSQEKKEGAMQYALTTLDQITNWARQGSLWPMTFG LACCAVEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPD PRWVISMGSCANGGGYYHYSYSVTRGCDRIVPVDIYVPGCPPTSEALMYGIFQLQKKM RHTKITRMWYRK EPUS_04127 MDWSTHYPAFVCPDQDMEAGQPRRMSKQVEIADVGCGFGGLLIA LSPLFPDTLMIGMELRTQVLSYVTNRILALRSQHQPQPQSQTQQPSTDPSSLPSPSPP SSSFPPGPLQNISALRTNTMKHLPNYFHRHQLSHLFLCFPDPHFKARKHKARIVSAQL NAEYAFVVRPGGCVYTITDVEELAGWIVGHFSGRKVDGEEEGDDGEETVGGNGADKTK GVEELWERVSEQDLQSDPCVEVMREETEEGKKVSRHGGRKFVSVWRRREDPPWPDEVC EPUS_04128 MPSINRSPGLEHYVSSRHGLHRSDASSVNVELEQPADEASEKPE DVPPDGGYGWVCTGCNFFINAHTWGINSSYGVFLSHYLTQNTFPNTSPLAYAFIGGLS ISQAVLIAPLATRVVHSYGTRICLHLGVFFQTLSLIGASFTHQKYQIILAQGVCFGWG MGFLFVGSVGIIPQWFTKKRSLANAMAAAGSGFGGLTYSLATQRIIDTLGLAWAFRIL GLVSCFVNLICSNLLRDRNKAVGARHKAFDIQLLKRPEFVLLQGWSICSMLGYTALLF SLPNFAASIGLSAKQGSIIGALMNLGQMLGRPVMGVISDRYGRLNVASFLTLLCSIFC FAFWIPARGAGLLSFFAIVGGALSGTFWATIGPVGAEIVGLPDLPAALSITWVVMVPP TTLAEPIALELRKERSTNVYISAQIFVAMMYFGGALCLWIVRGWKVGQLEELERNLEA LGVDEARLRQIEKRVQEGVPTSGPGQVDAVTPADANGNVPKPILSSRPAGTKAFPTGH YLVPDTNAFMNGMDLFEESGAFYDVIVLKTVLEELKNRSLPLYNRTMALTRSEEKRFY VFFNEFRLETYIRRNEGESINDRNDRAVVRAAKWYSEHLPRKKCPAVVLLTDDQANLQ KAKAGGVTAYSLRDYVSFLENADRLLDMISEARQGQQDRPQPGELIYPEYYSNSKILT GLKAGVLHQGIFHVSPYNYLEGTVQVAAFDRPLIIIGRESSNRAISGDSIVVEVLPKE QWKAPSSKIIEEETLNQNDNPEVEETEAVITEKERRALQEEVKKAHGAPTEARSQPTA RVVGVMKRNWRQYVGTVDPGSTGSSASQGRKQQTVFLVPMDKRIPKIRIRTRQADELV GKRVVATIDSWDRDTRYPVGHYVRSLGDLETKGAETEALLLEYDVQYRPFPKTVLDCL PVEGHGWRVPASSSDPGWMGRKDLRGLLVCSIDPPGCQDIDDALHARPLPNGNYEVGV HIADVSHFVKPNNAMDEEATARGTTVYLVDKRIDMLPMLLGTDLCSLKPHIERYAFST IWEITPDAEIVSASFTKSVILSRDAFSYEQAQMRIDDRNASDDLTQSMRTLLSLSQKL REKRMEAGALNLSSPEVRIETDSETSDALTDVKTKASLATNSLVEEFMLLANTTVAKK IQSSFPQTALLRRHAAPPASNFADLNEQLKRMKGFELDVSSSKALADSLDKCVDPANP FLNTLIRIMATRCMTSAEYFCSGSHAEPEYRHYGLASEIYTHFTSPIRRYADLLVHRQ LAYAIGYSGQGSEYVDEGLRNKSKLEGVCKNLNFRHRNAQMAGRASIEFYVGQALKAR GETTPDSGINVEGYVIRIFENGAVVFVPRFGVEGLVRLDDFELKGAGEGRRESEFEVE NYRLKVLEKGREDKAVSVELFQKLNVRVSSEEKTGAREKGKRRVRIVVLAS EPUS_04129 MLQKMRSSRDVRRKVSPPQPVFMSDDQKSDYLSTLRNNRPARPV GSRPLPSKAGTTPRLSRDDPPLPAESALAFQPHDVASAAALPVIDTPPRCTSALSSYP AGGSPAFAETSVRSRTTVGRPLAADPEQKSYSIRGRKLSPSAVIQPPIRSSSGNYRES GSRWMERQEAISLREALEEMDLQSEEKKIHAAAQDEAAELVWRHRNPNAAESEANAAY ANPDIRRNGRHRFTAHLQKGAYSRSQSVTHVDRRAALPTSGSLGSTSSSSRYSLGDNN NASMPCTKPSENKLMVQDESGMIRPPVKPNGRKSSGQRNISNGSSKDGFPYPGDQIYE DTEGVSTQNEKAATIVFAAPGPLRSTNRNAPLHGSRPLPGCPVVIDAEKSKSVDRFEI HKNPPSQSRSAGYIKNTIPPSVDVIPEAQTPPSKNGIEIRGEDIRAATSMKLKDRSPK LPLPTAVSDRPGRPIVSFDPGWKPESAAKEDTVEAERPGPRPTATIQAMTVSAPAVPT INVSGMEEPSCPTIVLPDDDPIPAITVGTNGLSSSTVAAPSSTSRPLPQPSKTTPGKI STAKESSRLPWLNPSSRAGVPTATCANCALPISGRIVTASGAGTSATSLKARFHPECF TCYHCSTALECVAFYPEPEDKRVKRLEADGITDKDVESELRFYCHLDFHEFYSPRCKS CKTPIEGEVIVAAGAEWHVGHFFCSECGDPFDSNTPFVEKDNYAYCVSCHTRRTSARC RACKRQILDEMTIQALGGQWHADCFNCYECGGGFGDDGRFFVRDVTVEGTEKEKRRGI TTKTEERAVCEACEGRRLKA EPUS_04130 MASAAAAVELMCLPTEILEMIFPLALASKNGADLKRLRLMNQRL ALFVEPRLFRWICLSTVGENFEAWKSIAQPGSRLRHYVEHVCIDCTTFIPHISRWFYC KYLAQHLKENPGKIHPRQHGKGARRGDDDSDAAIYTPMNLFSDLTSGERATTLLPGDR KLLQRGYKEYLLLAESQDQIRSSSRLYEALVRGLPSLPRVQAFSFMSKWHVDMKFARK QHEPEGIDIESEGSLNLPGPLARQHPPLRLPPQSTRTWSGIHGIPLLKEYFVVVLRAV RKLDLDLRTLNGPGPNQSCLVSKPHLESLDPVRDIGLPIETFAPAVQAKHSVELRKLV TGSSSALHKLRKLELSVSAPLQGGERYPHGTAYSATPGHLPGLLRSMPDTEELALRQH RYIGKDNGVANLNDVFGIRESVVHRSIITNSKTPPEFHYHFWGQDILGVWQVPFLPKL RHLELSGFEVRRGGLNSLLSRMASTLETVKLHDIRLTNTVFGWSFVLHDLRQVFCKRS PTLELSLGFPKSEYVALLLGYHVIPDKASEETSSDERMRSGFRRIERCITLEVERQTF REWLAPLRA EPUS_04131 MDAVDIPETRHHDHQHPQPQPRRRHPVKVGTWLPVEDERLREAV TKYGTRWVRVAREVETRNGDQCAKRWNENLNRSWTTAHGHQRRYSLLMRRLNRQGTGQ QQAANTGDAQLLRHASLSALSSGSVSPSPNSAIDLTGFFGAGRGPPRLHPDDATMSAA SSNFSLPTPPFSAGMMAISDATGTGGRRQGRGGTALGKTTATTATASWDDQDPIWNQH AFLRAEMEPDSVSTSTGTNSRENGVEKMAMMSDNGNGTRRLPQSGDESSLRSAALSSD GPGSGIAPAGEVEYSVTCQWRKLKTLMNHLVDAAMSESEEWTTEDDQHHSILEYERKV AAFIAEHVFTLAWRIRSELGILDQLGETAFEDEKAYCSSPGFFSEAFDADPSIGETSQ DAFFIQPNFATVQRFILSSPSFQRLITRLEHCVDQLRDENEARISVNQQEKGGVRKVF WRIFSHIARLLEPEPHAETTRIRWSCSCGTMMWDDFPQRSPADAKILEIRLNRLFRTV PNGHTATQNSNITFKPFRSLQVLCKSFCALVGKFTRRNARQRDPEDTISLPSHQIEPD ADIYLLTCVDADQGLPKLFQKKWNSVENDKAYFQMLRTYYETSRTGWRDWLTFQTVTA IDYVRFHPTMTCLASICLDTPAYPPDARALEYEPCESSLKDDFVFEAWPPRTLLHLYR KSHDIDTHRRIIRRIPRKLNGRLLVVGDDAEVGWGMYLRAGLHWEKFFWTCLVALSLC LAPGLVWWMLRDDIQGGTGITSCLMGFVGFFVAAATFGRR EPUS_08536 MAARNADSMNEKQGEFMPRKPRDEPMTTKGHQLGQIVSEKDKAE EFTAKTLPPGSAPKDRTFQPNNISEVPSQANNPDVLPDDDKETTYTSASDTLGGATSK DVNKGLGRPMQGETSTEIRHDGKHHRKREGAGLEGVGSSGDHGMEDPKYNPDPNDDHP EGPIPGREHNAVLPGGEDMLPEKAGEFSKSDKEEKVR EPUS_08537 MSSPTTTTTTTTTTTVNNYLILLPTAHHSFDPSSSSPTTTAITE AISAALPTAERKTRRSASTSSAASGTSATSPTLGPAVVADVEPAVMGASFGKSGFLKL GN EPUS_08538 MSVDINWETITSGPDGEALAESIRSFVHDKFQQVSLPGFIRSVE VHSFDFGTICPQFEIKDIGDPLPDFYDDNDDNDDNASDGGADSNHASAVSRDQALDLS QHTQKEQSIHHAGSRKTEVNAAPVHNPSQSRGLPPQINTTLPAVRSPFNLLAEQLGSP FPRSGTPGIPGGTSNLSYFHLPLGGLSGTQTPLAAVASGTNFSAGGWSDPSQVHEHLN ASNSRQTYADHDSSSPTRPSTAVSLVLPQSPTVENGLSPTVSPHLHSSTQIPNLLNHS NQAEAPAESSAASTLQQQQQQQQQQQQQQHQQPSDLQVITHVKYAGDIRLTLTAEILL DYPMPSFVGIPLKLSITGLTFDGVAVLASIKKNLHFCFLAPEDAEMLVGRSNESAPSV ANDGEGERLKEKREGSRKEKPGGLLEEIRVESEIGRKENGKQVLKNVGKVERFVLDQI RRIFEDEFVYPSFWTFLV EPUS_08539 MYTSSHPPTASRPQSYAPTPYSYTPTSSLSAKISLDEEVKLADT STERDLLDSLAEIYSIIRTLDGLEKAYIKDAVTETEYTEMCAKLLKQYKSILSDEGVE KEFGDLETFGRRWEIECPRATERIRIGMPSTVEQPSHNPNNSAAGAGAASSRLIVDAT ENFITFLDALKLNMSSKETLHPLLSDVIQSVNKVTERDFDHRGKIIQWLITLNQMRTT EELSEGQIRDLTFDMEQAYAGFKGTIY EPUS_08540 MAKSKSKSTRKFEKNHLKDTIDRRKNFAKVKQRHQIKAKKQKQA ARSNAKHGAPEEDEDNGELEGDGGEDMNDDQFFQEELQILAASASKGGKASKKDVPLQ TGKRKRQDENDNGTRAAEQNEGGINGTSGSGSDSESASVIEDEIVDHKGQLNALAEKD PEFYRFLKENDADLLDFDDEGDLAGVDALSDSEGDERPIKKAKRKPKVAESELERDQT TKVSTAIVQKWKKAMVEQHSIRALRQLVLAFRAAAHANDEDEKQFKYSIPDPEVYHEI VVSSLKHVPAVLNHHLPVKETGAGKLRIPTDTAKFKTLTPLIKSHASSVHYLLSHLSD ASTLRLTLQSFDPLLPYLLQFRKFLKVITNSVVAIWSDHSAAEASRVTAFLILRRLMV IGDPGIREAVLKSTYEGIVKSSRNATIHTLPGINLMKNSAAEIWGIDQKVSYTTGFTF IRQLAIHLRGNITKPTKDSYKTIYNWQFVHSLDFWSRVLSTHCNSLVEAQNGKESQLR PLIYPIVQITLGVMRLIPTSTYFPLRFQLVRSLLRISNATGTYIPLASVLLEVLNSAE MKKPPKPATLRPLDFSSNIRAPSSYLKTRVYQDGVGEQVVELFSEFFVLWAKSIAFPE LQLPVIVMLKRWLRMASSKATGNKNAKLNQGLLLLVQKLEANARWIEERRNKVQFSPK DRAEVEAFLKDDAWEDTPLGAFVVGQRKLREERKRVLEQGRHEEDKKKKVARSEQDGD GDMGDMNGNGEHIPVGR EPUS_08541 MEAFKLLSRSTNLKPAQTLRRERRIVHVPSEGQTHASVDEENEV ASAASVAGRKRKRDIFPAAHDPDEAFPSNGVDSHKSSSNGAGKANETSGHGILSQGRP TAGDPTAPQLPSSDECRSIFISHKIKMAALTGALNIDDSRRPSSRRSPSVKTLGQKRK KKASSLYTHPLTSFALLPTLYKVSRTLLNNLREQGYTTPTEVQLATIPVLLDPVAAGL CLPPDCPHIDLLTVAPTGSGKTLAFLIPLINHLIHDHHRNTEHESSRHVRALIVAPTK ELVNQIVNEGRKLCTNSGVSITAMRKGMHLSARSAECTLESGDENDHMTRPLDGPLIV KADILVTTPLLLANTLSQDKTKLSSVQYFVFDEADVLLDPLFRDQTLSLWKACTNPSL RTSLWSATVGSNIEELVIDLIDERQARLELQSRSSLLRCIVGLKDSALSTISHKLIYA ATEPGKLLGLRQLLHPSTTLTSKYAAPPLRPPFLVFTQTIERAVALHAELLYDIPTEA GGSSRVAVLHSDLSESKRSEIMARFRKGQIWVLVTTDLLSRGIDFRGVNGVVNYDIPT SSAAYVHRVGRTGRAGREGGIAVTLYTKDDIKYVKAIANAIAASEKARGRTKATSGED GVQKWLLNALPDISKKDRQELKKRGVNVRRPLREGDDDKDVKAKRTSRISSKSGYERR LENNRKGAIAARQDRLEDESEGSESDAWSGFDG EPUS_08542 MLGLLTLTAIPTVIGVGQGVSQQRAQNASKADEKRMAKFYLEAQ YESRSTRAREVQGKRVVLRDGKVEISHKCGAWKAYSPGLTSVETQAYLSSSSGKPSYT LCAFYIEYPDEERKPAPLGLVSQVADDPPMLNWIYVDKNTMELKHGNRSQSREHHVGP WDWTEEDEIGLTFEGWEGFVAVQDDEGEWQLFFDRNDDGLKDFVGKRRRKLEVTLERI LCDNEKKD EPUS_08543 MPEAEDHLPMHVHDLPQLYTKPSASVLLHTLDGLEQKPPSLSNV MQDRDGPLRQIYPNGLPGYLTSIISSSLHWIVDEDLKEQIWTAASARLSERSGRTAMP SMMRAFDVPVSKGPNARIQLAEPSLTSDNLGLKTWTSSVLLSRRLISLHRHVHQPHPR VLELGAGTGLVGIAAACCWAASVTLTDLPEILPNLQGNIDNNNKVMEACGGNAHGLPL DWADDTNCPEDDDGRYSIILAADPLYSSDHPKMLVETLQRWIGHTADARFIIELPLRD GYEQEREDLKTRLSDIGLEVAEEGYEHGAEDWENRVGEQAVGKYWWTVWCHRAPAPDA EPUS_08544 MKFPSSVIVSSLLVGSTLAHPVGLGKRQESENIDGTVLQFALFL EHLENVFYKQALSSLSEQQFIDAGYDAKYYSDIKYIAFDEEQHVKLLTSALTEAGVTP VEACEYNFPFTDVESFISLSTVLEGVGSSAYTGAAGLITSKEYLTVAASILAVEALHT SLQRSAQGLVPAANPYMTPLSPNPVFTLAASFVVSCPETNAPLPFAAFPALSSDSGSP LAMGMPAHFSFDGDAAGKYATFVSGLTVASVMMEGSGDSFEVVIPEGISGQSYAFVTN ADVTGGPGSLTDAAIVAGPAVIEVTPDAPDYDPSYE EPUS_08545 MVTQPIERVSFDTRDSGVYFVKFGENMLAAYDSDPFTRPIVWEV SASPNSVAVTRATLERFNRVAPETQNLIGIYKSQLVFLNNDGWICSMSIEDQVSDKPY TRHFPVPHCWRSSSHRIRALVTPRGDVVIVKSDGLAIVQRSLNL EPUS_08546 MAQAAWQIREKPLDRLDNVEKDRSWTTRELSSSSFAPEKHIMLM VVDRPPSRIRNFIDGALWSSKLLVEDGADQWGNSWQNANTNQRMLYTVAPDMVPEPLS WSTLEANPDGHFFLCKFVNLADEIPDPSLVCKKLAELHRKSITMSPNGMYGFEMQLAM ASSLRTTPGMILGKLSLSNRWMVHLRQKRRNGENSLKPALVHGDLWDGNVSFLVETDE PYIYDASAFWGHNESAIEELVRKFLNGYEGSFDCKGRRARDSVSLGKAVSRDVHGRCT PTTVGSGMVVDQQDEMVPSADPYRQIQGPTSIKMENAADAIGMAVYSPENTGSEVRFG ESAISPMSGSEAGIHKRYERFDSSS EPUS_08547 MLDTRLEVLKHQSHSDSFDQKLRLQARKLAYPFKQGSLRRLEDS VLKINEILSTALQAAGLDVSGAQLETLSLLATKSENTAHNVSSVIDSVSTLNSDLKSV KSMLSRNNDRTICLSSMIEQSVPKIRDEISTAIPQIQSALPRIELSVAKAASSNLDIA QILTVMSGDIERVEENLNASRETQDQRMRLMQEFLSNLHQSQSQQLARIGSLEKEMRG QRLPLLPSFYAPPGISDDFDWQQSANSSIAYRKKYKRRSSPVQTGTRDLGLSLCICPA NYAFKHYQCLSRAISLFYSNERYETHLPQCPFYTSPQQIRKLGARFHSVGYLLSTAIL ATVSMKTGASSFSITPHLSFKAIVPSSSPAFALFSWKNYVRSATISEILDSIMPRLLR LFAERQASPTDVNELGQSLLHVALMFMIRGLELDLRTLHSLHQLLLSLFASGVPADTC DMTGRSILDTWIHVLSWTNLGSDSTSASMSITSELVDHGAEILTSVGQSWLYHKGSYM ISSFLRLYDFAETCRCGPLSMALIRRSEKDVRRILAKVPSALTERNAVGQTPLHISCG WPLGIKLLLDAGAQDIIDCTDNIGPRYPAFVYASEFSCLESLELLLEAECSFFPLCSI GGQNRYSCSFDFALLSGSQDAGSRLIQSLTDRRERLKALALDNLPFEETAKFNLCSNT ILDEKSYDIYAALQANAVNVPESLAVPSARISVYHMYFLSPGLAEEMYKRGFHDIDAY DGHGLTPLMSHHSTDLCLEFWLLSHGADLHATPNVLRRSNMNLDATAAHYLGAKIAHQ FISYDLDSRCSKLDSKVHDLANLLCSIFEPDICLCGCSSRGCHPATMMFKEFVWRLDM DGSIKHLSWIGQIMRQTSLIDDAPCWLPGEAIRVFTFDALSIRHTCCRYDGLWICLRE EEEREELREEDRFRLQLLEELVAEFEEKYTELEVGLVEFFEGYWLTRMTAVLYEGATL TDRDEEEVRRIEAIGVILDREGV EPUS_08548 MVRSSLSRVASPTDSISIPQVQSRAFEADASRNQDENGTNGVDE DEKARIERLGRERPPKFKSLTAEICFCYSLLASMITVEYFVSGFSVLLPTIVERLDIP QASQVWPSSAFSLVTAAFLLPFGRLGDMYGGYPMYLGGLAWFCVWSLIAGFSHNQLMF DFCRALQGLGCAAFLPAGVMLMGAIYRPGPRKNLVFSLYGAAAPLGFFIGVFFAGLTG QYLRFGWYFWIGAILVLSTILAAFWTVPNDAEERKALGLKMDWMGSILIVSGLVLVVY AITDSSHAPQGWRTPYIYITLIVGSLLLMGAVYVEGWVATAPLLPPTLFDIPYIKPLF LSLFLSYGVFGVFLLYGTFYMQDIMGGSPLQLVAWFAPMGLGGCIIAITGGYVLHLLS GTVLLIISAFGWIITSLLFALAPTGANYWAYVFPAMIGATVGIDISYNVANVFITTSL LTSQQGLAGALLNSLLFLGIAFVLSFADVTHFETAHLGLKESYQAVFWYQLAVQLAAL AIMIAFVRIRKAESDLTADEKLARGKEMAEDLESPKG EPUS_08549 MRLIKNTQLPEKPQYPGVEPSSGIDLEVLKSLRENWLNKFDWEK EQAYLNRFVNYFIKAQLTFESYILCSFKHFTATIEGLTIHFVHKISDDPDAIPLLLTH GWPGTFMEFLPVIDPLTQKAKTSTEKPVSFHVVVPSLPGIAFSTSPPGNWTLADTARV FNTLMTDVLGYDTFGVHGTSIGGFLAFTLYDTFNTTTRAAHFPVVPFYCSTSEEIAAR EITLSPLEQFEHKRAMDWTYNGTSYVLMHIYKPNTIGLSLYDNPVGQLAWMGEKWIDW ADVRAGECPSRLTHNEILRSISLYYLTDSFMPSVYMYAQDPELPFREGTYRRAHTDAP MLVSFFKYTVGFWPEDVLRMMGNLVMYRNHEFGGFFAGLENPPDLVDDLREIGTYWTG EPUS_08550 MGSTSASSPEPVRVLVIGANGYLGSAISRAFLRAAMLRSFYFQV YGLVRRASTARALAIEEVIPIVGSISDTRSVSQAILSHSRTWDVIVNCTEPSKSNPVA EAQHWDEVLVLVQGLAEASASAPRGKPVYPLVLWSSGCKDYGTTKLHGDPRLKEHSET SPLNPHKVVRCRMEGALRALAVAGKNEGRVAFDVAVVRATPVFGYSGSYYGVGFEYAA AFAAALASEKNKGATAKVLKFTADADTILHGIHVDDCADGYVALARMALFEDNDVGDV SGNCTQKRGRAAIAGQVFNISGRRYVTLQEVGAALAAEYGFTGDTQFGVSADNIPETI DVQGLDLVFGYSQWVNSEKIRALTGWSDKRPLISENMHAYRLAYEAARDLGCENIERI RERMMGDEWN EPUS_08551 MSTLQYYAYHKHGVRLRQRSNYSQAVRVGDRIECAGQGGWDYNS GEMKKDLYEEIDQAFKNVDYNLKDAGGKGWSQVFRVNSYHTDMSDEALRHMIKNLKKW MPDHQPLLTCVGVTKLGIEGMRIEIEVSAYDDEGAEAARKARAAVAEKKK EPUS_08552 MSGTNPATGTKEQVLRRGQGQGQGQGVQQPSVGVGSGQAGRIQP HRAYPSVEMGDPEPRADQDPQQMEHRCSSYSVGDRSSSGNVLDGLDEWLDMDSFLNPG LTAPLENLDGSLEMLNEAMEDSLSGHASSPAGLDDMASGTSPSSFPNADNLVQALMPP HDVVSPLALDIPSTRRQPSASATNGDSTGQDSLSLTPSLGRWSGQPPTILNNIRPSPG SPDRLKPSLMFDPHTKGPVPAAPAASSPSLTRRSDAKDGCPCLHLMACLLEELGAESA SSDQATMDLLLGYLRCALVRCSTVLDCERCTSLSDNNMLLAMVGQYMSTICERMVMCY IGLQGAQEQRQSKQQPSVSSLLAGVGGTGGDQEDGNGGTRSGSGVLDADEIWFSTYRI DDGWERMQVLQCLASVQLIEFSRMLEKLKARGGSHRGHLVLLTEAEKRIKAVQLMLRT KLNRPSAGRISSS EPUS_08553 MKILCLHGVGSSGSICKSQFRAFLKAVDPSYEFVFAEGPALSER GPGMGLYFEGPFYSHTTGYSPDEMADALEHLDTTIDELGPFDGILGFSQGAALALSYM CQQQIRGAPPPFKFALCFSSVIPFSADAVYCQSVVRRLCALRRDMTVTPSADDPVLTR DERLFCEVMLRTVIAAKKKGAALPEYSMDVYSRGDGSKAPRIMHAQLLKDKLQIPTVH VTGRRDFDFMQNMSDIARGLCEEGLTRKLEHSGGHHPPQKDAEVKAAVRAMEWAISQS ERMPSHRL EPUS_08554 MAELDKQEPIAIIGAACRLPGGVSSLGNLWDMISHVKTGHGKIP TERWDADIWHHPDLDRKGSVSAKGGYFLEQDVSHFDAPFFSTTAKEAAAMDPMKRLLL EVSYESFENAGVPVESLINSQTGCYVGCMTNDYEMLSLRDIYDVGHTAASATSEAMTA NRVSWFFGLQGPSLTLDTACSSSLYALHLACQSLKLRETEMALVAGVNLIIHPNTMHQ FSAMHMLSPEGISHTFDDRANGYGRGEGIGSLVVKRLSDALRDGDTIRAVIRGTGANA DGRTPSITQPSTLAQANLIKRTYEAAGLSQTSTQYFECHGTGTPVGDPIELEAIASSL GASRAAAGLGPLLVPTYGVERLNPKLKLADWNLALPQNTMKWPTRGQRRASINSFGFG GANAHVILDDAYHYLSERGLVGNHNTTVLEDDGGSDSGVSTGPGTPVLTDDKNASFLF VFSTKDQAGIQRLAASYADTLQKIGLDKADPQCLSNLAYTLSERRSHLDFRSSFVASN LAELGAQLSKGLPKTKRSSRQDNNLVFVFTGQGAQWPAMGQQLLSNAVFYKSMRTSQE YLQELGCEWDAFEELEKTADSNINFPQYSQTLCTVLQVALVDLLRYWKVTPKATIGHS SAAAYAASYLTHADAVKVGYVRGLSSATVTRPGAMLAVGLSRTEALEYLAQVPHESAV IACINSPSSVTLSGDIEAIDTLETLISGDGKFARKLKVKTAYHSPHMRSVAQGYLERM GHISPLTNNAADGDDANKTAMFSSLTGKLPSQLSSRTLPRSLDRNIRWGGFVEIGPHA ALQGPVQQIVAASSSKTGKDAVYTSMVLRGKDATETALTAAGQLWALGYGVDLLAVNA RESGSSVVRHKALTGLPTYPWNHTRSFWHEAYSTRSNRFPSAPRTDLLGVPEDLQNRL EPRWRNQLRISENPWIEDHKITGTILYPAAGMLVMAMEGALQTADPARNVQGFRFREV RFERGLVVTSGDEAAVETRLSLQPHKAISGHFHFTIFSTTSWNSWVKHCSGTVVLEYA PLGASEVEDAAVDVVWGQQSQLYKQLSGHSKAESVDVGIFYDHLETIGMEYGPLFRNV VSLSAIPSLHAAHGAVVIPDTKSSMPANFEFSHVMHPATMDAIFHLLLAAFSGGQPIA EAAVPYSIDDMFVAAEQPQGAGGTFHGYGQLVKKNRGGRETIGELVVSDQAWSAPKLT VKGFALRQVTSADGASAATSLRTDALRKCACVKWSEDVDFIKSADDVARLQDAEGNQG GHLSAQLSRWLDRLAHKKAVGKILLVLDEECSSTSDSDRLREILAHVSRRWGSEKITV TATGATGLDTLRSVVPSSSSELVLEFWDAGKDEELSTAQGAYDVVLVVGDRTVRPQSG PLVKLQKVLSPRGHVVILPTELSPAATEATEATEATEATEATSLLTCPVATTTGATSS LFITSASSSSSSSSSVEARTTTPSEVFILLPSAASSQVLALASTLTNVLHPLNINVQL TTLSPTRTPQLVGKHIISLLEIEVPLIYSWSETEFTSFKLLISSASHLLWLTRGSLLE SWAAGVDFAPAQGLLRVLRNEYSLATLPHLDLSAGFDLMSPRSAELVLGVWQASLAEG AEMEYAERDGHIYIPRVVEHAGFDGELQLASGNAKPMRSPVHGSGTALKLASSVDGGD FLWVVDEDATLPLGTSQVEVEVEFVSLSARDASAAGHDHDAVSPGLGHEAVGVVSRCG KHVKSVVVGQRVAVFQSQACRTHVRQDEGLVAAMPAGLLPQEAAALSSAFIAAQYALV EVAGLGRGQKVLVHSAASALGQAAIQIAHFVGADVFALVGSKEQKGVLVEQYGISPTR IWDSALPNFVTAIAQATDGSGVDVVLNSQQSPAVLPSLATLGDFGYFLDLSTPMPDSP QLHLPSFKHNASLIRIDKDRVVQAKPDVVQTLFQRIFHDFCRSGSIRPIWPTVVYSVN DMVAALDAVKAQDHGKVVLSLSKDASVLTLPPPAPELALDKTATYVLAGGLGALGLSI ADMMVEHGAGHLVFLSRSGGSKNEKDLEGFRSRGVHAEAFRCDVNDARSVMGVFDRLK SEGRVVKGVVQCAMVLEDAIFDNMTYAKWSRAFLPKTQGSRNLLAQLSPAEAPFFILL SSITGIIGNTAQANYASGNTFEDALAHYARSHLSTAATSIDVGLVSDSSHFTSTGEFG ELESYLHRYQHGWAGLQTSLEELRVVLQAVMRGSTADGREVPAQLVLGVGDALVRKDD QSGFERDRKFELRVVSAESEAGGEGRDKGVGVGERLSTATTLGEASAMVEESFKAQIA AAIGVEVDEVDGQKPLPEFGVDSLKAVEIRNRALREMQSDISVFELLSATPLTELAVK IASRSGLVKLDSEQTSL EPUS_08555 MIFPCLERRWHIHPRTEGLPEICYGSPSPGCPRSHTSDDISDNL SLATDRALTGNIMMANSQHDNTNTNTITITPAQLEKHNTQQDLWVAVYGNVYNLTSFA ADHPGGIDVLKDCAGTDGSKTYEYAGHSAYAMKTMQQFLVGRLAESTTTATTNPAHVP AAPHDSSVKMNGSSGGSSSSSSKSARSITATLSLGTWTRVPLLAAAAVLSVLAGLYWY FGAEPELGRNVQNAAHAFWAGLLIASLLSCAGFAVLYALFSKTLEHEKDVFSYPPVMP RAKVVVGV EPUS_08556 MGTTTYHTNDPEISRHVLREGDMFTKITSEPAHPLFYMSDQSAL FTCDTDSPAFSVSHKFVPPAMSPRAHLGIFGLIVINADLNIVRWTGHSLHVRSMR EPUS_08557 MEFLDRFVKETQRMHSPSFQTARNARKDVVLPGGYLVPARAVVI PCFPSLHKNPAQWENPARFEPDRWADKAVAGKAMRNGLYTPFASGGRGCVGFNLALME IKMVLAELVYHYRFEDASTEAVVYDPEFLVVRPLNFYATPTKRTEWPSASKGGTKKKE EEEVHGVEGGLDDASR EPUS_08558 MCGITASIALPRRKVDEHTAITHTNGINGANGINGINSTNGING TNGINGINGTNGINGHAVGHTTEVLTTQLQASIDTINHRGPDESGIWISPDASIGLGH CRLAINDLSPSGTQPLTSDYGQNESTTEVLTTQLQASIDTINHRGPDESGIWISPDAS IGLGHCRLAINDLSPSGTQPLTSDDGQIHAVVNGEIYDHDRLRDVCTTEHGYKFNGES DSELVVALYKIHGAPAFFEHLRGEFAFVLHDNREASKRVIAGRDRFGIKPLVWTVVGG RLLMAAEAKAFRPMGWEPEWDVGAIVDSGWMMDERTLFKGVRKLLPGHWMEVSEEQGI KTRKYWDAEYEDKTRVETRTIDEMVLGVRERLVESIRLRLRADVPVGVYLSGGIDSSA VAGIVTQLAKEKHVKIGNQAASTRVACFSVRFPAESGYDESSVAERTAEWLGVEVIKK DVDEASLARDFADTAYHCEHHHFDLNCVAKFALSTLPRDHGVKVVLTGEGADEHFSGY PYFTPDFLREPELFAARQRLRAA EPUS_08559 MRSLWHPLHTSQYMWNKSSLANVLLSCLGDRTEMAHSVEARTPF LDHHLTEYVNRLPPSVKLAYSPVHKVDQCEQGPLWKNAGLALQSLTEKWILREAVRPY ITDELYKRRKHPFLAPTRWPEGGALHQLFGRLLTRDAVEALGFLDFAVVEEALGHAFG PKGDTKAFRTLVYVAAWVTLAERFGVKKADKDDWIGQGKLGGRQATADYY EPUS_07196 MAGATTWWKAYKEVFQQETLARLLFDLHKKHGEIVRIGPNELHF GKPSAFHDIYHGNNRWDKDEGLYRTPGVRSASFVFLEYKDAKERREVLQPMFSKRAIQ NIEGLVWRNANRLASAITRVNTEKSSVDFLYAFRSFTLDTVMYFTFGNCVDALDAPAF ADPLMVTMDASLRALPLLKNFPLIRKIAYSIPPSLIMKALPDAERVAPIIYRVRAIIQ EQLQVVLQNPEKLDEAPHQTIFHQMLDAKAHRSKTVPDSTKLQDEGLQLIFAGANTVA DTLLMGHWNILNQPELLARLKAELLTVWPDLRTPPSHKDLETLPLLTATIKESLRHIP MGVSLTRVVPPTGAVISGQNIPGGTIVGMSILHVHQSEEIFEDALSFKPDRWLREGFS NRPSRAFQSKSTTPNLEVDSISTAGQHDSGLTEEQVSFFHQSGYLVVEAVLEQEKIRE MIESMMRTVEDLFDNQSPARKEHFIEGGKTGVTPNGRLIASLINGKPSPVQDFLCTPT APKPQPAECPTDPTLSPFQRIRRMGTGIHRLLPPFRHATFTHRNRRIARSLGLQDPRV TQSIIINKAHALRDLLVRSPRRHGGDNMLPLTRRCRAGESGLAEFAALERPVYAAEVE QGIVERLEIGRNADGGYVYEKLEVKVGTLVLMHGNLVHASAANRSSKSRIAYNFGVVE GGLPWPDDAYLQPYEGHRDFERLECKA EPUS_07197 MGDNYYQNQPYTPPPPGGNQYQPPQPPYQPAYGGEQHYIPPQGQ PYYPPPPQPQPQPQPHYNSYQPPREQERDFYRSPVPPNSALAPYHPDSLRPSSAHAPQ PQYAPRGSQGQLVPFFEPPPRERSSSRDSRGHRHHRSNSHSHQNRPPSAQGEKSGHAA ERGIGANLVGAAGGGYLGHKFAGGGVGTVLGALVGGYAAHKAAEKGEKSREKKKHRKS RQHGDGETDSHGGSHRRSRRGSAPPPPLGGHLYPPDVGRRRRHSRSARSRSRRRDDSS SSDSSSDDDRRRRRH EPUS_07198 MPAVDIMESTPATTAKETSKSIGVLEELIKNLTISKSQDEANTA ATNVATLLHGPIEEQSLPAKAVESLRKQLNNKKDALARERALNGITAIASHSAISPAF EPYLISLLPSTLAAVGDKMVSVKNAAQSAAVAIARAINPNAIKAALPSILKSIEAAQK WPEKIAALDCIDALVENAPAQLSFRVPDLIPVISAAMWDTKAEVKKRAYAAMEKTCGL IVNKDIEKFIPELIKCIAKPENVPETVHLLGATTFVTDVHEPTLAIMVPLLDRGLKTN DTVIKRKSAVIVDNMCKLVEDPQIVAAFIPLLRPNLVKNYETIADPEAREKTKQGLET LDRVGDVKNGEGHKVSKAGDIATVSAILKSILESKHKAEIAKHEPAIEYVSAIASQLI DEKVTDLASWIDSTLNYITIIVGEKDARAVAETLRKRASPGAVDEAEEEEDDEEGEDL CNCTFNLAYGAKILLNQTHLRLKRGQRYGLLGPNGSGKSTLMRAINNEQVEGFPKKSE VKTVFVEHDLDSADTEQTVIGWTEKKLRDVGVQTSVEDIRSKLTEFGFLEEQFEGPIT SLSGGWKMKLALARAVFEEPDILLLDEPTNHLDVKNVHWLEQYLINSPCTSIIVSHDS KFLNNVIQHVVHYERFKLHRYRGNLNAFVQKVPSAKSYYELSASEMEFKFPEPGFLEG VKTKAKAIVRVNRMEFQYPGTSKPQISDITFQCSLGSRIAVIGPNGAGKSTLVNVLTG ELIPTAGDVYQHENIRIAYIKQHAFAHIDHHLDKTPSEYIQWRFQTGEDRETMDRANK IVTSDDEKAMDKIYKIDGSQRRVIGIHSRRKFKNSYEYECSFVLGENVGQKNEKWTPM MSADNAWIPRTEILASHQKQVAEVDQREALASGQFRPLVRKEIEQHCANFGLDAELVS HSRMRGLSGGQRVKVVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKALKNFEGGVII ISHSAEFTESITQEVWAVLDGKMTPSGHNWVQGQGTGPRLTDKDDDEEKFDAMGNKIE GGKKAKKLTASELRKKKKDRMARRKRGEEVFSDEE EPUS_07199 MAKRTRTQVKQEQEDAAQEAQLHSPPLSTRSTRSSRAVKKEDSS SPKRKSASKAKVKWESKQILPSPSASTSSPQPSPEEASPKKKRTKKEPRSDAEDLQAR KLKSYSQYANKSPFLTFPHPTPTECHLALSILSSLHGPRQRPAAFIAPSNAAGCGDCP SVLDALVRTILSQNTSCTNSTRAKLSMDEVYGRSDDWEAIAKGGKAKLEDAIRCGGLA VVKSKVILSILEQVHAKYGEYSLDHLHDASTDDAYKEMLSFQGVGPKTASCVLLFCLR RESFAVDTHVWRIAGLLGWRPKEAGREATQAHLDVRVPDEDKYGLHVLMVTHGKRCDE CKAGGKNVGKCELRKAFREGKANKDEAVKKEEAEIKDEEIE EPUS_07200 MENVKLEPSETKHEADAPQESGAANQLKKLKSPGAADAKDKSAG CKKHSKRSRKLQSRPKVKKERKKAEQSSESDSSDDSSSSSSSSSEESDGTDDTDSSSE SSDSDKRSKRRKKARARAKKQKDAKRSRSKRHIIDSSTSDSSDSADDDDESSASEKTT KRSLKAKAKAKAKAKKAAKQKAEDEVEPDQVAGNDPNEARLQAVEAQLARLGLSAGEL GTPAAKRRARRAYRAGFASQANDLDETRTRSKARSSKSKKNKRASKIAFKRVDQLWDS SIHNYKLSETVDDPDADEWNQYIFTVRRQFDWEHKYESTFVDIRSKPLKEAIQHVMQD VKGLSLVQDTPSLDPNMLFLYLEELRAYLRELKTSGKKEKKKKARKAATTKAQHLKVL VKYLDKDFAETKKTLYPLLENAMITFDLLWALFKPNDIVYTSTYNDNEQPRAFKIEYA TKESSFMNGTWYSVEGRYLEYDGKAFGMGSMHAEVSAFKGSRKISSLSCYPIKYHKNV EELKTKLIERGKKFVALKGMNYRFHKGMAYLKKKRAVLKININGRVMVDPAIHRRINP NYQVSTVRPKEEDDYFEMTDESGDDSCDCCGGGSNSSEIGEQDKRTPSSDESKPKKKF KVIEDENGDHHVVEIKSDDEEEEIMPKEKLDSLAQRSKEDSFTDDELLIASPVVLGFA FSEKLWLEFTVSGIRDVEWNKGAYDSLVLPENHKSIVKSLVTSHKFSAAKNIDDVISG KGKGLVAVLHGPPGTGKTLTAEGIAELLKCPLYMVSAGELGTDPRVLERNLTDILDIA HAWGAVLLLDEADVFLEKRSIHDIHRNALVSIFLRLLEYFQGILFLTTNRVETFDDAF QSRIHVALRYGELTTKAKKSVWQMFVDKVRNVEGVEVAEFNEADFDALAKHNLNGRQI KNSTRAAQALALSEAKPFSMSHLTRVLQVAQSFEQDLKGGTGYEDAMRSYT EPUS_07201 MDRRRKRELRDLNARAWAGERDILNVGNSLDSSLKKNTAFIKRL RTGITATALTGFLTEVRTLSLQKYISEIISATAEGIAKLKTPGEISAGVEIVSALHQR FGPAEFTKQLGWLLGRGLSAPDKAQVKTWSQDVREREEKERLLRHRTLLKVVTELWLV GVLRSLEDVERPEDASGKGKESQSPIANKGDNNSRTKTQVSGNRTEQEQDTDPFPLEV LKELLGHDRDHTNLSLAVLFVKSFSWDVLGLSAGRNKKRTTLEADGATSSPIKESFDV ASSDENESDEFADDESPLVSEKLQTRFTNILVKYLEDVKSHLIRDQKALNIQSRRNAE AYVKSGEVFEDRQANFEKQTKTLEKLIASAQTLCDILGEEMPDLTEKEPADVTAATGI GLVRTGDYLKGQSDGSGIWEDEDERRFYENLVDLKGRVPAVLLEDGTKKKKANANDPV PKRPASAGEDGANAGMGDSAADSETKATDGEDQSTEAVSKTVGTQVDALLARLPELQT KDMVDQFALEFCFLNSKASRNRLIKTVSEVPKGRSDLLPLYARLVATLGQYLPDITQG LISYLDDEFRSLQRRKSKDFLGQIRMLNIRYLAELTKFGVVPDHVIFHCFKVCLDELT RMNIEIIGNLLENCGRYLLRNPSTSPRMISFLETLNRKKSAQHLGQQERMLIENAMYY VDPPQRSAIQQKERTPMDLFIRQLIYLDMNKRNYTKILKSIRKLHWEESEVVAILEKI FSKPGKVKFSNIHLLAILASALYRYHQDFVIGIIDNVLEQITLGLEQNDFKFSQRRIA DVKYLGELYNYKLVDSTVIFDMLYRIVTFGHEGGTPAPGKVNPLDQPDDFFRIRLVCT ILDTCGVCFDRGNSRKKLDFFLTFFQYYIRTKDVLPMDIDFIVQDTFALVRPQWRLIT DLQEATRAFSEAITANYTQQNQEKVTEHEDDLEDSPSDEDVERELLADVEEEGSSAEE PEENVDEPPQLTTSESEEEQIYVTRREEEFDPEAEADFDQEFEKMMAESLDSRKFERK PLFDVPLPMRRGQRETNSFVEEIAESGAQTPPSTMSFALMTKKGNRQQTRTIDLPSNS HFAVAMKSQQQAEREEQQRIKNLVLNYDLSNDGDQHDGEAQDFLTPISELETNPNHTY RRRLGSERYSASHSRSERSGSHRNAPRARKLQLSDVDWYAQRSSRGGGRGRGRPKPNI VERK EPUS_07202 MAPWRDGTICFDEHQVMNWHPAKFFTDVELKTPYALLLLNQSLN ESAYKRVVGRGMLLQPSAEGDLLIIPASMITCGDGGANQLYDIFQRQQNDPLRRFPDA IVGDLDSLRDDVRDFFQDAGRTQIVRVPDQDSTDFTKALRWLKEHGKRNSEDRVDVVV FGCLGGRVDQGFSIVHHLFKAVSDAELLTGDIYLLSEQSLSFVLEKGRNLIHGLAPGA NHIFQESVGIIPVRGPAVISTKGLEWDVKDWKTELGGQLSTSNHIKADVVEIEASDRM LFTIELANVLCTKPH EPUS_07203 MLRPASRSILRSAQGSFAPVPTAATKRFLSTAPPHQKSRSWKSS AARWGLAIAGLYYYNTSELFAEQPAEYRLDPKADYDEGNSPTLDALTQSSREKKQNQQ SVLSSRASDANSNSETTSFASSQLGSNPTPTPSASDPAELEEEASSEGAFNPVTGEIN WDCPCLGGMAHGPCGEQFRAAFSCFVFSEEEPKGMDCIDKFKGMQDCFREHPDVYAAE LEGDDDGELDEGLEEERKELVKEIKERRDNAGMAAAKPAEGKRLLEDDPPMERKPLVR RSQPKPQPELQPSPPPIASTPPPPPSPSSPSSEPHPSMSEDHEADARAKGKPLPTKRQ PESNPDKASQREAFDQDLELMPKEWHDGRSAKTSDKKTEK EPUS_07204 MAPSRKPDALCCVCETINFGRIGYVNGNNITWQDLEIELQHLLR HSQAYPVSCLCCSEVVEAFRQRLHQQDTLPSFPQIVERGGALVRWTIDKIETECTSNI RYEDFDRHLWRYRVDLVSGLYKLPISLFIQRSSNQAIAHRWQSYSVTPAGPHLGRTRP LIPSYRLLRQWTADCTNCHGPGCQKPYVKVYFPCLRSQVGKVRKNGPMSPLPQDGAAR APATAPSMDGTPETRSPLEIGLKRVIDPPLASVRKSLLTQQLNTSLHGSTSLQIPRRP LRYIDVTRRCLVELSEPAPYVTLSYVWGNVELPVLSEHMVEAFSREGALTDGFLPRTI ADAIKVTQELGQRYLWVDCLCIMQSHDVEKQDAIRNMHEIYARAFVTIIAANSRDANH GLSGITPGSRNFSPATFWIRGVPLIVSLDPFPAKWWLGDTPWLHRGWTFQEKALSPRC LIFTQQQIYWECRRSLWCEDRIEETSGDPSLYAPTFTYGLSYRDPFGLFTAWNDEDFM AEYCTMVAEYSERSLTYEHDILSAFEGILGMFKVAEGRDFLWGLPRSCLAQSLAWSFR SQPKRREVRTMIVMPDSEPLYCSFPSWSWVGWIGPIDPVVADPWKAELVTFYFVNHNF EMQMLRDEAEDLARPRPLNLVSNTTRLSVTAKLAFADEAQELMRSVHWRPSPWNTHQN SAVYKSDIAEHLLASPDLPSFLFCRTSIAKLPEHMFSFTDGIVIDGDRDEVVVMPVCW NNGVAYRSPQEFDRIPLVEWMALPTQEWKLVVLEDFLPTHSGDSKSDGFKVWSGLIKE PLLANLPKPDALQESTLPATCRVRADHTFETTTDLKDKELSHQ EPUS_07205 MGFFGSSRKKARDPFQAAVEQHQRGASSARLRGRQSGSARHDVP FAWCDSDNVHPYGQPGFGFYSGENLAAGTSLRTEPKRPRSVDEDFRDMGPRYPIDSWG AGLPFSTYQSYPRPSSKNIYARDIPYLELGPRAQIGPRDLPYTGSEELRPYLGGGGRN EFAQPEPTSMAPLGRLLPCDGDLFSPRRTPLDLSASAERPIFDHFPQFRRSPTPNRYP IPNFNANLPLNGSQAWDSYADRVADPISGAWTNSWPSHPPGSYERLRPPHLQPGNSGG GGTRSSSSNIGQVS EPUS_07206 MPSPLTSSFATAAANNNQDGKRGDVGGDWARNRANGATQTFRRP SLATNVSYSRESNHTGNATPTSSVYVPPHLNASYQVNSLRNGTAGEIRYTKDQLVALY KQQRDAGALDRNLSDVFAGGWNPLDSRDSANSAWSKRDDAKESSVGPEICWDYSSRTE PLALIHMSDEEKELFSSSVNSSIKPPQNASKDNNGSATLARKTSTSISQNLAGPFNTA SPGTGRPGVRRRDTNDSYNGNNPLSPTGGGRFFRDEPSAAMPPASLLRRKTDYKEGGD DLKSEQPDKDAVPRDSREETSSPFSSLKRNATGPMSAGLNAPSSPWAVGPPSAGFGSM GTFGSFALGSSAAATDIPEQRSGFGSLRGESRFKGLLSKTSLEDMSAVVKEKPSTGNL GRLSEVENDGSFQPWDESLRTRPGRSDTNPYGDDVPRSGSAALGGAQDSGQGIDQLGF STIGLPPNTGSRDFMQSSASQDPAYHQTPQHRQRGQEPMSPTNTNPYQSPEADRRNNA DQDQDGNLMQQRGNVGFGGREEPGINAFGPLRRGVSSAMEDRSQASSAGPNRGFGGLG ALGGFPGFGSSAWSGVTSAPNKDRGFPSGFADPVFGMSDLQTPNIPGLSSNSLFGSPP VQNAAASRSSKVSVMFPQAMQEQAQAQGEKAGGEGLDRLADFATRSRAISGLDGGFGR REQEVPLRGGGNFLGDTSSHDTTIRSGQGTEDSGVAEGLSSLSQLSGFGSSQNLITNP GVGQATTMAGPADVAVPGGQNPSQSSGSPASSQLPAPQQRQMVMPDRMRWIYRDPSGT TQGPWSGLEMHDWFKAGFFTAELQVKKLEDADYEPLAQLVRRIGNSREPFLVPQIGVP HGPSAPSQGNHWAAPSGSSTVGAGPPQPGAAQPPFASSFPSFGTTLTAEQQNALERRK QEEQYLMARQKEHLAQQQVLLKQMQLQGGPQALHSLQHHSSAHSLHSQPSFGSITSPS GYQPSPIQGPIQPPQNGVGFMESTLRHAHSAQFGADFRGGREEDLPNLLDRMNVSRGA PFPFTSGPFGQHQDNAQPPPQVASMLQDRARLQMEQQQADMNSQNDAFRDNQVFNGRL QEFNALRAQIDDNEMAPRQEAMALPSIGVPGQPISEQQVDQEQRELSNEPQQDSQDPE ALSLSQQVQKTAAAIGQLHAFATDESGWIKTDQELPPFLPPPPTTSPLPAPSAQRNRQ NVADNLAAESRSQTQTPVDTPGTSIAPWAEKTSESAKGPSLKQIQEAEARKAAQQEEV AAAAAARRAQAEQDRTTQAVPPAPGLPSTSTWANAGTPTTPTTPGTNVWAKPSGGKPP LSASSAATKKTLAQIQREEEARKQRQAVVANQQAANAAPIAAGGKRYADLASKAPAVP AAPSPPASAWTTVGSGGKAKAPTTVVATPQIGGGRTVGATTAPSAPAARSRPSLQTRV SNTASNQNKATDDFTRWAKTALGKGLNGNINGTRRLRPIPPPPPLRNRNHLRFHLRQL PDPRRPPDEFVRRRKLADKGILQDGGGTPGSANSAAAGGGEGGGKGAGIGGGAAAGNG GAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGA GAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGA GAGAGAGAGAGAGAGAGAGAGAGAGAGAGGWSEVAKKGPAGAGGKDEAGSTAFKVVAT KKKGKR EPUS_07207 MGAKLWCIVGSSRGIGLEFVRQLLARGDQVIAAVRDPMSASELW QLTANSNRPGACEIHQCDVKSEQSIDAFATRLRQVLRHGIDYLVLNAGVLDYPNRATE ISYSDFAHHLHTNTIGPIITAQRLLATGLPIGTVIFMSSDSGSALSFRDFEDGFGAFA ASKAALNQMLRVRISWLTSITPHLPLSSRPSRATEPFLTVISTWPQSFVANPAPRLFW PCTPVRYPRTFMHKSITGSPLPRASYTRGRDMADISTGWDIEGILTPQESVEAMLRVI STKTIEHSGTFWTWEGKVCRRSLTNKSPSPRPLCVHECERIPWANELILQIPLAEEEL IRRCFHVAGTPVVIALHRAP EPUS_07208 MSYAEAAAKGPKQSPEEAYAYPPLIPISSIRAPAPPQIEKTESD TTSLVDVDSPHISSVPADYESQRVKTTTQATRLEHEAEDKAREAAKRTSEAAHETKEK AKVGAKKAKAKAKEEGRKLDANRDNPVVIANGVILLVGSGVLGFAAYKKYSEGTLDWK VAGTTAAAVGAFAVADYFVSQWFFQNKYPPNE EPUS_07209 MTGPTATTLYNLILPTIFLNPVLFLHSLNTILTKLLPTMNGYEG NSAQPHLDIHASESLCWGYTALIVWAQMVAFLRFDRLREEQEKARSRKGGKQKHRQED DGYG EPUS_07210 MRPMRLLLALALGSVWETWAQSIGTTTSLFPSQENANTPALFPM PPCGQFNLEEATIDQMQQAMSNGTLTSQQLVLCYMQRTYQTQEYISSLLQLNPDVLSI AANMDAERKSSIFRGPLHGIPFTVKENIATKDNMETTAGSWVLIGSIVPRDAHVVARL REAGAVLFGKASLSEWADMRSSNYSEGYSPRGGQARSPYNLTVNPGGSSSGSAAAVGA NAIAFSLGTETDGSVINPAERNAVVGFKPTVGLTSRAGVIPESEHQDSVGTFGRTVRD AVYALDAIYGIDPRDNYTLAQDSKTPNGGYMQYMTGKDDLKDATFGLPWNSFWTFVDD EQKTILLDLLALIESAGATIINETEITNYEKIVSPTVWNWDYGTTRGYPNESEYTVVK VDFYNNIKTYLSELENTNVRSLEDIVQYNYDNDGSEGGNPWPLGISAFYSGQDGFLAS LETKGVKNETYVQALEFTQRSTREGIDSALNYKGQRLSGLLVPPDIGQTYQTAAPAGY PMVTLPAGVHSNTGMPFGLAIMQTAFGEAELVKWASAIEDLQLTSGNTIRRMLPRWHG YLERNIPVLNV EPUS_07211 MNSLFNSALKQSSALRKDLDAFAESPATASPALQGQISTTLTSF HRTLDSYSALSRQEPNPEKAAKATERLKNFRADLISYRAQFDALKRDREDAVTVNNRN ELLGRRPHHGGSMTPENPYAQPSSSRSASGLQRGGGGGGGQNPLFVGKQQDDRGGGLS FGSNDQSRESHALRERSFFTQTNSQLDEFLDRGRAVLGDLGQQREMLKGTQRRLYSVA NTLGVSGDTIRMVERRAKQDKWIFWGGVVVFVGFCWLAIHFLR EPUS_07212 MAVVHAFQQQGAFDACHGSMIQVRESALTTRLKEKDSPDCRKNM LHGLQAHDSRTRLDRKLNMAVPVKILSTKISADFQTPLIFDETFGLPDSAGPLFGEEC TDGLSAKSASFFSMMSGYEKSQPGDLLEYGTSGALHDPSAFGPFAQPQYAPQLLYSSS VADNNQDAGDSHYGPGTNFSGQQQVVEDSRIDILDQRFRQFPQITSCSPLQGLEGSTI NIYMHSGHDLRTSPEITFTLAFASKRVECALELQSDPNVLPKQYLISAEVPPFTSTGW HAFEVPLHIMMEIGNPVISRPFCDLGAFRYANQIISQVSSRKRRLSSDSEDAAHHASK KSSVHQGQPERSSENRVYNTSPYSPFVSTPIVGSLSHNGNAQGNSPRVPGHKYSASVA SAASVKAQSPQTPPSLSPSFTPVNAVNGAPKSSATPSQASTVDAPTGKTPALIRTSTI QQASASMSSAAASHSFNPYAMYPSKAVLKLNGELDSMVEGWNMEEWEAKRRLVQFTRQ QNQSTIHADFKAVAPEDRQPNSICISCIWWDEKKDYFVTSVDTIFLLESLVAVRFTVE EKNRIRRNLEGFRPLTVSKSKPDSEEFFKVIMGFPSPKPRNIEKDVKVFPWKILAHAL KKIIGKYSASYSSTASALPTPMNSHYTSNGASDSGTDVRASISPQLNGLNRGASPYPM STASFSPHLPQQRVAVPITSGPPTDLRLQVHSMVPGYSYPATHSHQQMSQYAQALRSS SQPMTAPPASGRVLGSWDNGDYSTFESGGQDPSAANINAYNYNRVVDMPTSQAYMPST SYPLSHSGS EPUS_07213 MTSISPQHDLLDDSSAPPHPVTASNGRMHGPTSMLEGLYGAQKR QDLPQKRKRVEAIVIDDDDADEKDKEKKRSMARHKGTGIIGAYMREEKELAPPSNSAP VDLTKDGDDEDLVILSERHQPLQEVCYGLLDAKVQAHKIPKPSKNAGLGGSQGSWPAM TCKLLRDPARDMIIKVIDPHDTEFGRLAPESAIALAPVMDSLGSSLRTQARLIMRKKG VNDWPGQPCSETMGMVLNLYGPRKDVERVGRYLGQHNLWFRQPVMADKGVPIVNPHTQ RHEAAMAGKKHSLTGNTQATNDSRTQEEAVTAVSKLFDAVASNAKALPELDPPSTVTT KLLGHQRQALWWLLEREKPRAFGDEEADNSSLWRKERNDKGYVRYREIVAGITVNEEP PQVLGGLLADVMGLGKTIEILALLASTKDEAHKFGEEKIVRKNADETNMVRNAKSTLL VSPLSAVKNWEDQTKEHLEPGALTHYVYHGPNRTQNVYELSKYDIVITTYGTLAADFS KGANGVLHRLKWFRIVLDEAHTVRESKSLQAQAAYSVWATRRWALSGTPIQNRLDDLG SLTQFLKLAPYDTPQGFVQHIRSPASQPANDTFLKSLRVFVDSFTLRRLKDRVDLPPR RDLICKLQFTESEKELHDFFRSQFNWQMKEMAENKKKRGKKKDKSNPSGGYIRALQGI LTLRLICAHGKELLNESNRALLQGRSKSEAINIDDPTGSPAFDEKTAYDNLTMMASAD MDSCRECMKRIGGDSPNEDDEADVIRGYMLPCNDLVCRDCFERNRKPFDDAFHGALVN CPFCEMAIRPIYVPITAQGLEASRMPSEDNLQEPQEDLKTTKFYGGPHTKTKALLHDL EAMRQESEAYLEKGEPPLKCVIFSEFTSHLDLIERALTDHDYTFVRIDGTMTLPRRRK VLDALSSDDSVTILLASIKAAGQGLNLTAASRAFIMEPMWNPAAEQQAVDRIYRLGQK RDVLIKRYQMEDSIEMKIMDIQRKKQALAEVSMERNHEKLSKKETREQHMKELLSMFK EPUS_07214 MLPCILKARKPQLSRLRPSSQWLFRLYSATSATPNGPKSASPNH QDLPSFLNYANRIGLSPTSTSYVGTYYEYAVQHTLRRLGFSLTRVGGRDDSGVDLLGT WHLPSNPHPLRVIVQCKALKGKLGPNLVRELEGAFIGAPNGWRGEGVLGILVSPKSAT KGVREAMGRCRWAMGWIMVENQDGTGRVRQVLWNRTAARIGLEGVTVTMKYGEKKDGK EGEGGLDGECVLLWKDRPVEALPDVEGEEEKEKEKEKEKEKEQ EPUS_07215 MPDPPKPTLRATYTSPSSVKTFTNPITAPLPSLETPTAVKDKVT YLSELRASTKQLQEEINVFLTQKMEEDKAGANATGTEGPEAGSKKGEEESKDELMEEN YGEEEIGDEED EPUS_07216 MLSAFTARPIVELRQRDKSKIESILAYGDRLLVGLNSGTLRVYR INEAGPGPTPEFQGSGDPQGADGAEPEASKQKIAELLREKDKFSKHKIEQLAIIKEAS ILVSLSNGYVSIHDLQSYVLQEQLTKTRGASAFAVTSNIVKDPSTGVPSIVSRLAVAV KRRLLLWSWQDMELEEGTTEIALVSSIRTLTWASGRKIVAGLNSSYVMVDIETQEVKS IFGPGSIGGDPSQEGGRLGGMGVGTMSYIGMGAMIPKPLATPLGEGEVLLAKDINTHF IDREGESLGRRQIPWRVAPEAVGYSYPYLLALQEASRGTLEVRNPVTLTLLQSISLPG ANLLHVAQPNISLAHAGKGFLVASERTIWRMGALDYDSQIDALVDGDNLDEAISLLGI LEDALLHDKEGRLREIKMRKAQRLFDSKLFQDSLDLFTEVAAPPERVIKLYPRIIAGD LAEAKEETAKDTPHAEPVIPVRRILSRGHTKTDSSVGSTKSPRKPTNNPSTPQKKEQS DDRSEAASVYAEPEPISTSEAYSERELKTAARALQGFLADVRRRLKPFFNDDQTLKDA SAVQILYRDANSEKTLEHLLGLSSLENVDREQRLREVATLVDTTLFRTHMYATPFLVG SLFRIPNYCDPDVVMEKLEETGRYNDLIEFLFGKRLHRQALERLQKFGQAKEGTEGAV QLRGPSRTVSYLQNLSPEHIDLILEFAEWPLRAAPELGMQIFTADTENAETLPREKVL HFLEKIDTSFALRYLEHVIDELNDQTADLHQRLLTLYFDRLKQTSMTTAEKNEVNAKF LTLLRTSTQYSPARLLGTLPRDDPTFYEARALVFSKMGQHKQALEIYVFKLRDAAKAE EYCNHVHLTEDTVATTLPPSRRKSTTDPESEQPSIYHTLLGLYLSPPKGEEKMWGPAI EILARHGARLPASSTLELIPESLPVKELEFYFRGRIRAANSIVSESQVVAGLRKVEAI RLQAALLLGEGTAGEKARGGRGRRVRVDEERVCGVCYKRLGGSVISVFPDNSVVHLGC ATRKQAESVQV EPUS_07217 MYRLKSIALSSLILLQVSLVKSQSVTSAAAPSISPSSTSSREPI TRTVAVSRGDHTFEPDVTLAEVGDYVEFQFYPTNHSIVRAAYKYPCIPFELVETDKVG FFSGFHPVDAILENPPTWTVRINDTAPIFFYCSAPGSCINYGMVGVINPNATTSLEVH REAAEESSFMLQPGQNFPDESVPPDATGTSSSSSSASPTASPTAAPASTTTAAAAAAT PHSGLSPGAIAGIAIGAAAVLLMAAVLVYLCGRNRALSDIIRPKHYSTQSADFDSNGV QYVQTVPKHMSGMTMVSARPENTRYMQHSAALPGYVGPHDGVHSPPRTNYAPSDALSP ASPVIRSASPGSMVVPAYTQSPPLPSQTPVEHTADGPHEMDGRGLRRNSMHKGGVERY S EPUS_07218 MVYTEERLKHALEVFRESKPYRERRGLSSNHLESHIESKCRQHR TRSGNVSFAYRTTVGGTWNDKDESDDYCPGKRKRVAKSQKAAHKPAKRKRCDAGERRN CRDSKRHKISYTSGRQNGLSLKVSIKFESDAARARVAELFPTLVHDAEQSNNVHHIND YDREADGKHPVCPHSSASSLSSHEFIAQPLEQRKAELQFAGSKKSLGLHEPSQQHLPR ACESDPIIIIDDSDDPTDYGDTLSGTKFSELAGQTKIIKTNWAHPIDYRSKPEVCDFC QDFRYPLFGCGAVNVEVIQLEPGVYEEMGGGHRERGIAPTKICLNCSLERITIAKCPG HKILPVAGYHEEEFDHPSFLSQITSSPYPEHPTCSVCIKPAFYACGATQKEDPFGLPV TGEDANGCGLLLCKDCAGVVANFGLDMEYLEGFCESSKILRADREFLLPGSDLWLAET QVCLIMVGLPARGKSLIAGKVPKEGECSTRRELLRTAAVTDLLKWFKADTNNTVAILD ATNSTRDRRKWVHERITAAGIDAIFVESKCDDEKLIMSNILEVKTTSPDYKGQDPEAA ARDFRKRIANYEKVYETIDESEKGYAYVKLINVGHQVIINLIQDYLSSRLVYYLINLH IKPRHIWLSRHGESEYNLQGKIGGDANLSPRGELYAQALPGIVRRSGVPQDTRLVIWT STLKRTIQTARYLQAETKWDQLEWKALDELDSGVCDGMTYGEIEKTYPDDFAARDQDK YNYRYRGGESYRDVVIRLEPIIMELERSDNIIIVTHQAIIRCIYAYFMDSSSDQSPWM EVPLHTLMKLTPKAYGTKEERFKADIPAVSTWRGKGSAAKHHDSISDPNGPPTIIMGS PEN EPUS_07219 MPPMRIAIAGTCGLAQYIANLLATQSYHTFIVLSRNTNPTMAAR GWQIIQVTYTNASDLRYTLAGVDTVISTINGDAQLALIDAAAAVHVRRFVPSEFEGSP AVRPIHDVFDYGRRAALSRLQHYESRGMGFTVFTCGIFYERFGPGGMRASQIGLRSAI GGEGEYMMDIRNCKAKIPYYNSAGQPVHVCMMSARDVARFVVAALDLSSWPRELRMKG ERMTVSEIVSIGEVLRGRDFERANYTKESLQDDLTVAQALRDDIREQRTQHLIVTADG RYDFGSFNLNSMVNVIPQTFQDWLGQAWSS EPUS_07220 MMTRQKRRRTSPEDHAVLEAAYQRNSKPDKAERTEIVNSVSLGE KEVQIWFQNRRQNDRRKSRPLLPHEMMSHFRNPIPQGLLDEPNTVLQDPRSTPQSSFS SIEDSERSYSSSTRKSDVRTQNVSRASSIHDLLNPIVSFNSDCSTSFESQNAEQVSSL TSRSSTVTGEPENVSLRDCQIRSAAPSPPLKKPSGDGNPILAGTNKKLLSNESNNIGT AAYSIEKASGVLAERPSEHSASLDWSATRRKRAFTEIEEIALPSSQNSGIRLSMTVDG VVKVKTTDEETPSPPKRRAPNLASLRNEELKPSHSAVAASEMLKEGQRFKARPTSGIF GRSRDARTWEFYCDGEARTALSAQAEHENNGSAVGAINLIRSQGQNARSKAQQRRQDM ALKPKSGAGNTRKQAASTEQKPELVRAMSSMARLSSKCKEDIIDIGKSRKISHARSPS GDSDKENWAPGTRSSSHPLRRSRASSAPRDVLQDHHAAVRLTSTSTGPFPTNKDENDH KHGVWKKEIRQADNLYHGKEKEEDLDCIQGLLSLSQGAWK EPUS_07221 MGPARLPAEHVDASPLGKPLHFEFADKTAPNRFMKAAMTERLST WDPKELSKRGIPTANLINVYRRWGEGALGMVLTGNIMIDYDQLEAAGNLIIPQDATFE GERFEAFKELAAQTKKHGMLFVGQVSHPGRQVESRIQPNPVSASDVHLEGTVMGMQFA KPHPASQEEINNIIDGFTHAAEFLYKAGFDGIELHGAHGYLLAQFLSSTTNKRTDKYG GSLANRSRIILEIAKSIRAKLPTSTGFILGIKLNSVEFQDGGFSVEECRDLCSSLENE GQFDFVELSGGTYQSLAFSHQRESTKKREAFFLEFADTITPALSRTRTYVTGGLRTVA GMVKALESVDGVGLARPVCQEPSLAKSMLEGRVDSALDQKIDQQNFGLTNLAAGTQMR QLGKDQQPIDLSRQENVDAFYRDMEAWNKKMAEDGPRMSLYGYVDIVSQEASPYGSSA GAA EPUS_07222 MFFFSFLYSQFFVTPALPDHDFSNQTIIITGSNSGLGFEAAKQF LALHCFKLIIAVRSTSKGEAAKQSLLETNPHISASQIEVWPLNLSSFKSVRAFADRAS SELERVDALVENAGLDGGRFVLSEDGWESTLQINVISTCLLAVLMLPKLRQTAERFKG TPHLVLVTSDTHYWARFEERNAEGGILRALNDEEKFNSSDRYAPHFLLAEGKFRFPAL QSQLKLTFHPPLRYATTKLLQILFIREFARRLKSSPSASYRPILTLPTPGLCHTPFFG QMKPPENAVSHPLGTVTHYAMKGMLIALSRRADVGARTIVTAACAGKEADGAFMMDGQ VREVVGWVLTEEGRRVQEKVFREILAAAGVKEGDLV EPUS_07223 MSAANRGLTAASRRKRARDEEDELSSSILASSPPPSSPPVLPRD VEAEDDVDQEDDLIGDIDDADEMAEDEDGIDLFGDNFMKDERERRDQQNYQGRMIDDE GDYDGLDVAARRQLEERLDKRDRELARRRRMPAAFMPDEEDDTGLDLTRQPRRRRHHY DEDQDEMEVDGNIMEEELSLETLQDIKASNITEWVTLPSVMKTIAREFKSFLTEYIDA TGTSVYGTRIRTLGEVNSESLEVSYDHLASSKAILAYFLANAPAEMLKIFDAAAFEVT RLHYPNYSLIHPQIHVRISDLPVHYTLRQLRQSHLNCLVRVSGVVTRRTGVFPQLQMV KFTCTKCGVTLGPFAQESTSAEVKITYCQNCQSRGPFTLNSEKTVYRNYQKLTLQESP GTVPAGRLPRHREVVLLADLIDSAKPGEEIEVTAIYRNNYSGQLNNKNGFPVFATMLE ANHVIKTHDQMAGFRLTEEDERQIRALSKDPAIVEKIVHSIAPSIYGHDDIKTAVALS LFGGVSKEAQGKHKIRGDINVLLLGDPGTAKSQVLKYIEKTAHRAVFATGQGASAVGL TASVRRDPLTAEWTLEGGALVLADRGTCLIDEFDKMNDQDRTSIHEAMEQQTISISKA GIVTTLQARCAIVAAANPIGGRYNGTVPFSQNVELTEPILSRFDILCVVRDTVEPSED ERLAKFVVNSHGRAHPAKLSVDGGDTAAMETDQSNESNDEPKQEGEISQELLRKYILY ARERCRPKLYQIDQDKVARLFADMRRESLATGAYPITVRHLEAIMRIAEAFCKMRLSD FCSTQDIDRAIAVTVDSFVGSQKISCKKALARAFAKYTLRRPEASRRNRGGPRGGSNA YEVISQRSRRVMLDDYEGVQMATVGTLRFQIGNNDGAMYNTTAKGQVPRWCWTPEHYS NTGREYGVAVVKTNKVKMAGPLSGARAVAQTPSLVQQTRFKPSLQERHLCHAALSRKS ARGRRSVCG EPUS_07224 MPTTSPCTLRSDPLTGAKGVHSQEAAKANHYNHNFQNRFCGCGE EYDAAQEKGTMFQCLGLGTVETGECGEDWWHPECLMGLPRNWRRLKTCAAEQPKAENS VLSTSEALELDEDHSAPPGFPHEDDFEALLCFKCVESNPWIKQYASAPGFLPPLFKHD NAPAAAVDAEVTALETGCTSKIISSTLDEPRKRKANDENDLRSSSPFKRVRQESQPPS TESGLQTNDNMTAQPKQKHDFLSPAPQGTFSLFLKEDFRDHICHCPRCYPNIIPHPQL LEEEDTYEPPVSESGESDGGPGSGVRSHGTGSLLERGEAALNNMDRVRAIEGVMVYNH LKDKVKDFLKPYAESGKAVGAEDIKAYFEKLRGDDAAIREAGTKPGNHDEDKENDGGT DYCKEQRGC EPUS_07225 MYLPWLATASAALSFSVHALYPLNELAKTPQMGWNNWNSFGCDV DEELLISATEKIIQYGLRDAGYQYIVLDDCWSNGRSSNGTLQANTTRFPSGMAEVADR IHNEGFKFGMYSSAGTYTCARYAGSLNYEHQDAQTFAGWGVDYLKYDNCYNEGQSGNP LITYDRYKKMSDALNSTGRQILYSMCNWGEDYPWKWAQTVANSWRMSGDIYDSFNRPD ARCPCTGNEEYNCALPGFHCSAMNILNKVSHYIDKGVPHAWNDLDALEVGNGGMTDDE YKTHFTMWAAVKSALLMGNDMGRLSARDLSILNNPAIIALNQDPRGTSAVRVWRYDVD DVDRYGQGEIQMWSGQLSGGDYFVALLNAGNSSREMNAMADDIFYDDGEEGTSEESTM EWTIHDLWANRMPEDVAQALIDGNSTQASSLNVTSYYFNATETSYEDGLASNNTLLLG QPVGTLSAKVMITAEVPRHGIMAYRLRLAGWGLRKRDEL EPUS_07226 MAPSSSTIKAQGIKIEKDPSHITPLPPYVEDLRKLLLNFREILP HNQKPELELLYKRDKDLLNKKFRLRENIYCDSAHPIYKARWEAAMALRNNAKHLRDRN KEDAWTNLIGGTVFEKINHQASDEGILLKDLLSWGSDELFTNAVAIRRQFPDGKNHMP KPDFWFGLGLYNDKKLSRLSGLELKDKGIEYFTKEKLVCIKRNQNKTLICQPGTSEKA GAFPWMVVELKKERGDEKECLMQAANASYTSLKLCEGLAAPAMKDIPPIIAFTSIGPE AKIFITYKSEEDAKDGVYRFSCIWSGNIENILHALQIRRIVDQLMYWALRIFKPWVTE CLEHWSRKRTEDEGDDETDDETDDETDDETEDGTEEESEAEA EPUS_08275 MKTNAKTATANITAKGMPSPSPIIAPVERPVEGTDAETGVELGL LINEEVCDLEDVSEDEAVGEVLVVVADKSKSLSLYLIHIAGAGITFSRNVNVLENPTA SPSPVYAIVVITVESILEVQKVDGAPPSTAGAVPGLKPG EPUS_08276 MHTSELEFTKLCSFLCTNSRRQIALHLRHLLQETSEKDVSRLLF TAIRLGKVQSSVSHVLLSVTKSPITLIEAIIQTHSVHLRQVGIKYLGKALRKKQWRDL WHAVGGTEGFLSLFATLSTQEVGLLSRLLKKSRNGHTEKEKAILMTELLSSLFSSNFP DAPFKNPDERLLQNRYAVIAPASSQSFVSALLSDEAHPLRQYLSTTDISLQCDHDLLQ SLTLTAIFEKKSTSFNISLVLRRLCQHAPPGQSSLPGLSATMLFSLNLLREIGNGREA NISTQSLLTDVVEPLLRRIQKRRRALVHLEEVLHLAIRVLQLRSAPGGPLHSLGHHKG DFSITSYNVFELDANQVLKLYQGTHEEVQPSQRWPLLHLLFLHNPKLHADIDTIEGLQ RLKDVRWMFNLFLDLPKDQALPLLRNLIAVMPDTNFLAAYRARGFTIFAHPPTIQASG GDPDLLLTFLEREESGALQRVEKAITEKKRKSATSREHADRAFFAKSALHYAIASGSL AIYGETVAWARRFIRDPLTCKTLYTRSTTNTVEGIALLAGIPSDVSQCCDAIDIGRRV ISANTIVSNFSQTWFEASKEPHFQSRDWQGPVELLEEVISARRDKAADLRRALKLSDD EVLDTLWLEMLKMLFEMKGKESELEGLLMHIQLPPHYLARLATEALDKLSSLSANDER TASMERKTYNLLRLLGSSDCPQLASDLVLRAVLDRPEASSWHRMLLSKSLIMRLSATN AQALLRSFATSIKLKLDAQAQRRASQSSTTKDSKPIIKVTTVKYLAQILGDSELVAPT FAVDVLSALLGSEAHLDVRIAVVESLLAMLTRCTSESSKPLASRLLSALEETIPIAGS LHERRLLSDADWIEADRTGNPPEIYDDGGIDTMPPLLNLLVQWNPSSRWRPQIVQRIL LPIVKVSTATNNRWVRIFLARHAFVLDEYPPTPVKPILLAMLLSKDPGLMPASILEHW HQVVLINLNPSEEITNITRRVKADVVLHKSNEGRHWLSLFGMGTSAYQLGRFNLSRQL LSWAKSEVEDGINLLQIQHILLDQAKALLFLSDDTFEYWNRFMEDFEPRNGSYIANED MVAWARNTRPVIENVISFVQSLRTPSWQQNPDRQPSVLPPIFHLRLWLLPYPNMTYTL TSTTGPASAQACRMFAEELASCGYSRFAAVGNNDTSAWHYAETFQHLSNAASRGCSSD LATLLVAYYLGYLNPDLKIGSTSSVMESLRLESGDLLRLDLAEKLLLDIDTRALVKES REVQQVLELVSKWKRSTNEEIRMKGIRIAKRF EPUS_08277 MSVWYFTNTSFTISPLTGPGVPAAELERLMSPFLNKLEQLGIKY NLVVRQFSSFLEQFTGMQPPLEVGILQLGGRLIPRSVVKESNDALTSVCRYINEHGGQ FIGVGLNVSGAVAGNVYNSVNPAWRETLIDTVITTPWSFTAPWEEMIANQRRMTYDFI PRLAALTPNGACYLNEGDFLQPDFKNVFYGKNYDRLLSIKEKYDPNHIFYATTAVGSD YWVQQADGRLCKAVGGLH EPUS_08278 MTPPLPFRWLIRASYGDPASVMAPFFANRINVSEPAHISKAIQF ATDHNIRLTIRNTGHDYNGKSTGAGAIGIWTHHMKDIEIMDYESPYYVGKAMKMGAGI QGFEAYRAADAVGLLVLGGECPTIGLAGGYTQGGGHSALASKYGLAADQTLEWEAIDG TGKYHKASRSEKSDLFWALSGGGGGTYGVVVSLTIKAHENIPVSGANLTFSNEGISQD AYYEAVSA EPUS_08279 MLSTNIVKNETQKQSHFEHEPTSSKFVHANLLHRAGLLLAELTA FQSYLQSQRKEDTVRIRQFFLGVQSETKSLERIANVISNEGLIADDKNDAVEAKRLHV LQSSNLPFYEVVWQVAIRCEGLIALGMRNRRVRTVSLADEKDSPNHNSVSLGQAGIAS KTVRRKDAAAADIVADDGGTWIKVSTITEKRLLFEMAKEGWEGYDDESSEDHRDSDVE NGTLPGATRCQAYRLELVRLAEDLIKAACAVRVRYRHPRIQFILPRIFEGRLPEIDSV IQDLRKTGVEVQCAAEMQSCFNRQNPTVDSSHSSIFSRMLPRTHPPLSTVLNIDCTIL LALISDISHLRSENLPVAPEGKYHSAITRQIKSEETTLLLQSELFPPLVGRQMECTWH AARRMREIVHTMGTVAEKARADIILGEGEYKELPEVELCARLKDFSDHDVPVGLLLPI RVVDFDADAMLVKDKSEIPAGVMSEVAKGLSEINKSVFLYGWCRSIVTISSNRAVAKE IEQKVCQLLDERERNADEALRDEVTGPNIWVCGTARSLIGKEKGRREG EPUS_08280 MATPMDDGQAPLPVTQRVQDVIKTYRPSRQFTHIVKGQPVESHV TSLDFDDQGDYLVAASADETMHIFDVKEGKRTKLVPSKKYGIHLARFTHHSREVLYAS TKVDDSLRLLELHNESYVRYFTAHTSQVTCITLSPGSDGFLSCGTDDTVCLWDLKSRN PTGKLKLATPYLAAYDPSANVMAIASQSTSSILLYDVRNFDKAPFSTFEMMEWEDRFT PSTKGRAWTRLEFSNDGKSILLSTDYHGHFVVDAFEGKVSVFLTGRSGSTGRAAPVST TGKPLGQGDACFTQDGRYVIGGTGDHPDILVWDTHQAVEGRHEYLQPISRLPSRGIKS AIVECNPRYNMFASADTKVCMWLPSQETRDGEK EPUS_08281 MSTTFGAFIAGGIAACGAVTVTHPFETVKIRLQLQGELQAKDVA VRKYKGVLHGVSVIAQNEGPRGLFRGIGSAYVYQILLNGCRLGFYEPLRKIVTQAIFK DHNVQSLGVNIFSGAASGVLGAMAGSPFFLVKTRLQSFSPFLPVGTQHKYKDSIDGLR QIYGGEGVRGLYRGVGAAMVRTGFGSSVQLPTYFFAKRRLVKHLGMEEGPALHLASST ASGFVVCCVMHPPDTIMSRMYNQTGNLYKGVFDCLYKTVKTEGLLAIYKGFFAHLARI LPHTILTLSLAEQTNKLAANSLSNLKMNDSPAKKIDFSSMDKENIPATSPVPSKTEES DGKKSIVEKVKPVDTPKVAPGIKAQEMDEPLLQENPHRFVLFPIRYHEVWQMYKKAEA SFWTAEEVDLSKDQHDWSERLNDDERYFVSHVLAFFAASDGIVNENLVERFSGEVQIP EARCFYGFQIMMENIHSEMYSLLIDTYIKEPKQRQYLFDAIDTIPCIRKKADWALRWI SDKESTFAQRLVAFAAVEGIFFSGSFASVFWLKKRGLMPGLTFSNELISRDEGLHTDF ACLLFSHLNHRPSKQAVEDIITQAVVIEQEFLTDALPCALLGMNSTLMKQYIEFVADR LLVALGNNKFYNVTNPFDFMDNISLAGKTNFFEKRVGDYQKAGVMASTKKNQKPESGD QKTEALPENGGDFTFDEDF EPUS_08282 MPYLPNVNSYLHQSALLLQAYPTTTRITTKYSLPRKRKTKLSVG EITGQPDTSSDTAGRRRAGREHQTHAATLTIKTYETTSGICLKYETNKSAEVGRLMTG LGKLAKGEITEEPSVPAVGVETIEAKTEQSMDITAMPAKMEKVPEAVGGGGKAKKKKG KK EPUS_08283 MSLPPKFNQCLRSPFTPFRPFLSRTYSHSPGVRAHERPVLRYAQ LPSRSLIEVGPNADNARTFIHGLVTANTESLQYTSSSYYTAFLNAGGRVLDDVFIYPP PSNFEPGTGGLRGQDEPDQYMIEVDRERADALIRHLKKHKLRRKLSFRLLDQEEGPVY GIWADEPNIDPFTALKPTLEPLRSWKLDQRPNMGARCILRSKAEMEQFFGPPNASFED YTIHRMMNGIAEGSAEIVPTSALPQESNLDLLGAIDFRKGCYLGQELTIRTHHTGVVR KRIVPVQLYDNASPKPATADAPEYISTAKFTLPPRQSAISKVSARKGRSTGRWLGGVG NIGLALCRLEIMANIRLTEETALYDPEEEFKVVWDEETETVQSKELKVKAFVPRWMRL GIAERLKSLKGHRT EPUS_08284 MPSNDDLSTHATSSIDFYSLLSLPPTATESEIRSAFRKTSLKYH PDKVGSTPENVEKFLLVKIAHDVLSDPKIRALYDQTREAKERRQAETEKLDAGRRKMV SELERRERDAKYPGGVGVMGVKRSRTGEEESPEQKLEREIRRISEENRRKKEAMMESK ERERLEEEERLVDEKEARERLKKAKDRDGFRDHKKVPNFTFSTKTSLSEGPTGPDAKQ SPRNGSSFEQSVLEKLKKAQREKEKRKLLEAEKPAGNGQGPG EPUS_08285 MALHPTASFPTPAELALALLVLKSKPVESSLEDYIYSLRRCICP GGRTPRGRLDSCAFWRQAYEKSEVAHSDLLNKHHELEQQIEQLRGDLRQSRADHAQAG LKRKRSVNQATKQSTNCKPTSADADTGGTQRLGRGLEGTNADLDSNDDLGGSALARNL IALRRLLSARRLDSNALAKSLSRTCAAADVSLSEPIRAISLGSAKVAKAKEVGEATLA GLIKTSRALRLCYPSLLRAVERLSTQESTHQCSVYAVVQLFQEILGHLHDIAALAAAR TLDGCGSLKQNKTRTVKQQPMATLDFGEICRSLAKLAMCFFESLDLALPSHNKILEGL ICVFLDHLGSSLSLSIFADVESPASKSAHLGLLPPCGLLDTFGVDQETAICTVQHEAR YLVTILRQVMLIIDKQQSLILSNSVSLLSLRKSLTISNNTFATKIRNKLQHTLLRGVF GDNDESFKEAIRRPDTKIVDDDVDVTCNGREEVGEWFVGEVWALVGWDSLLG EPUS_08286 MISNKHVVVDIHTHIYPPSYIELLASRDQVPYIHKPTAGDPRLI ILPSDDDQSKPVQNRGRPIDASYSSWEIKRTFMTLHGINVSVVSLANPWLDFLPSSES PKWAKTVNDDLEKACLEFNKEASSSICKLFAFGTLPLSASADDNRAEIIRLKTLRHLR GVIMGTTGLGLGLDDPALNPIWQALQDTETMVFLHPHYGLPETAFGGPEVVAKSGHVL PLALGFPLETTIAVSRMFLAGVFDRFPNLKMLLAHSGGTLPFLAGRLQSCVEHEREFI ANGGCKQGPKRDIWEILKTNIYLDAVIYGEPGLKAAVDAGSVERVIFGTDHPFFPPLG AESDAWPSVGTNYAAIPGAFEKNEDIVEGILGGNAIKILGLEI EPUS_08287 MVVTTIGVEKDDLVIPIVDFSNFVHGSAAAKLAAGESIYHAFKT SGFVYLRNHGIPSGTVSDVFARSAEFFKRPQEQKDSLAWTTPESNRGYVTFGREKVTQ SSDIREVSKLRASNPDLKESMEIGKEGVPDQPNLWPEEMDVEGKVFTTTMKSFFLTCN ELHVNLMRAIALGMGLDENFFDSFTSGADNTLRLLHYPQVLKSVFKDNPDQVRAGEHS DYGSITLLFQDDVGGLEVKTPQNTFVRAKPIDGTVVINAGDLLSRWSNDEIKSTNHRV VQPPAQEKDELEMYPARYSIAYFCNPNFESTIEALPGTWEELPEGKKYPAVNSGDYLV QRLTATY EPUS_08288 MAFQTPDVDVKSLFNVKGLIAVITGGGSGLGAMMARALDANGAS RVFIVGRREEQLKQTAATGRNGNIVPLVGDVTSKESLQKIVDQVSTDSDHLDVLICNS GSSGPRSFPPPKEDGSPATLAEIREFCWNVPMEEFEQANMVNITGVWYSCLAFMPLLE ATNKMRPAPSTLPRPQIITTSSIGAFNRVPLGGFAYTASKAGVVHLMKSMATLLGKFD IRCNVIAPGLFYSEMSAPAFQHLEIENGHEESKFDRNIIPATRSGHEEDIAGVILWLC SKAGAYISGNVVVSDGGRLGVFPSSY EPUS_08289 MILSEERILLLQDPVPDDLNDWPDFTLTDAKIRVAGSSNYANLL EANPGYPLSVTGRLSRLDNQRAKLVIHPRYTQVQLRIDNCTQYAFGQDPSGKSVIWAG GKAGWYEIVPSTKYTAIYEEIVKAIDLIYFLSDTHQTFASRRPIRGAKVEELLVLYQQ HTDYRVDDNAEAEAIFEKYHSFLIRQMLEGWEGINWARTHLWSYFSRLYPDEVAHDPV TESEEDEEQNENPSTSEDEQLGSPPFSSNDEDHEKGWADAIFKEIMHLKADGHMCKRH CSVDGIAKILVKQYNVGSKNEASGIIKDAAQSLLRRLDADPDQGRNRTWSTKVLYRQL RRLVNSEQELQDDEITSDIVTPAKAPASRHHQKSILRLSTGAGKGKKRMLKAQNPPED DDEEDGNDEEALHSPLVTETPTKKRRLGSDLSNSLGRQPTDASTMPTIVNGLASNFQS EQLDLIRKESLANGRLHVNHLEALVEGFMQGRN EPUS_08290 MAESASKILEGVFAINKPLHLSSAQVLRDLQEHFRSSVFFAPLL HWQSKQALQDVNKSRRKRRAAAENIKIGHGGTLDPQATGILVVGVGKGTKQMNSFLGC SKTYETTVLFGRSTDTYDVAGKVTASASHSHVTRALVEEKLASFRGKIKQVPPIYSAL KINGMKAYEYARTGKALPRELQSRDLEVEQCEIVEWLEGGTHEYRWPATEASEELKQV ATTMMSAAQNEIQGPESLQKRKRSDTMNEDETDNGSIVKKQRTVSRGATLDLGPKSMF GDAESKRKSLIQESSRTSYTLIHEGMTADEKAKLHTHELRPLSSEVCLAPAARIRVTA SSGFYVRSFAHDLGMACSSLALMATLFRSRQAEFDVKSALTYQDLENGENVWGPKLQS MLENWNARHPEESRTDRRDMSENFRTPSWEQGGDQGQRADTSMVKKNGAKRTSHRERR NTSSGED EPUS_08291 MPEITHPVGQTRLSPIASSPITSPSDSTDVKLQSSSNPGDTAIG TDIHLSFIAQATMVAWNPEAIDTKVKAHSGHVIQTPEVVSSPQTTFAIPPPQIETEKG TSVAIDPISRQIFERTKPHQNPPRNLPPTSSDASLGASQQSPDSSGTADVTEPVSLRR QESVNSVNKGPKDKKKGVSLFSRFIGSKKKDSLPPIRNETASITGGLSTDAEAEVFSQ PIGFVPRFPAPPRYIKVRANNRRHKEFERVFLAQVLLERSQKSRRKTSGDEEIVHVDE APAVPGQPFNRAIWAMEFSKDGRYLAAAGQDKKIRVWAVISSAEDREAHEAEEEEKNP EQPAVRLNAPVLRTQLIREYHGHTSSILDLCWSKNNFLLSSSMDKTVRLWHVTRSECL CAFRHHDFVTSIAFHPRDDRFFLAGSLDSKLRLWSIPDKAVAYWANVPDMVTAVAFTP DGKTCIAGCLNGLCLFYDTEKLRLHSQLHVRSARGRNAKGSKITGIDTITLPPGDPNG DVKLLITSNDSRVRMYNYKDRNLEIKFRGNENTCSQIHATFSDDGRHVICGSEDKKVY IWPTGPIEKQDQDKRPVEILEAHTAIVTSAILAPTKTRQHLAQSGDPIYDLCNPPPVT LVGNDSVLSSRAQTESNPSIKDGATASIQQTANRSQRAEETPAYLARHAHPSGNIIVT ADYLGQIKVFRQDCAFQKRRYELFDSSSLFSRNRLLGRNGSPTARSSLSSARNSTSYS NFKTPSTDRIVSWRNSITSGPTSHPGTTINGDVHINLQRTRSDSPRKTNAQVSSSYHQ LAAKAPSIATSTSFPSSPRKSSDESIRMAGAEVEKFHTPPTIEIGASAREKPIERESE AGAKALGPTPEHTLYIQGDHGYRYWKPGELVTMAQREPRTPGVLNLGDINGNSLLRKQ SSSSKLSSEISSDETATTEADGDQSSEIKCERCGGRSFRVKLEATQEQRLSCKRCGNA V EPUS_08292 MALPSISSVPSLSTDRRAQILDTLFEPCTQLHTLSVSTLHGTTY SDYAALISSIGDQLKSLLNSLSTSDTEWLDAILAAHPRLGEKKVDSELSRQEQAQLQN GKGEKGEAEGLAEVNRQYEERFNGLRYVVFVNGRSRHLIMEDMRQRIKRGNLEQEKLD AIKAICDIALDRVNRLGEEPEA EPUS_08293 MSLNGLDEVAVIEAYQAALAEPGGWFLLKYVTRDTIDLLQRGTG GVVEVRIAIEAYEEKSPVYGLVQYRRRKVVLKYVPEGTSRLLQARLTVQFQSILEKFT PHDTVFNFTAATELTDSALSSACMLHTSIASFNSSTSSLHRHRLGEITEDVEEGGERR KSGLRHSILSDADSGSKEGRNNVTYQATATSNLSPVSHLPDNHKPSTPASLISPIPTF DKSLPATPEQSPGKRPTSRAADHEATAAGEDLIPPRLEGQRLSLDSRRSSQSKRPLAL DTDRANSAYNPYKPKAKLGPRPSAQRPRTPGSRGEARPVANLPNSIRVSTRPPPAAIR PPSSASHRPISQQSNRSAHSTFVAAYDSTPPPPLPHPSVHISALYHPSNTYLINRPAS PALSATTSIAASITSSAPPRITPEKRRLMKALQLRKKQQMVKTSLIKPSSTDTPSQES SGKTQIYPPAKKNEHPSHDPSSLPRLSLTAQSREPPSSTDIAPSVSAQEAAIQDHIMA KSMESIRVDSSLDSKDVDVLSSTIDSESATSEATVPSLKSTAIVQTTSPLLPPITPHN RLSIGVPSTFEKEEIEKLRQTNTIANSSTIAEEEEIDIAFKSPQSLPEPVPPIETIPE QSPHFEAATLGTVDKEAPQVGAHPQITVEGEPVPSNSAEKRGSGSAGRRTGRRGMLEQ DKTIASPETSDISDDESLYDELQTAIVEEAKSVMVARSPRHAVFNRGSLERQQEPNRS ISLQTHQTEENSKSTTEKAKVEAGRSSSSSLPTWSLSADSAQSLLLKKTNVSTGISKR IQALEMFSGRTEARPGSPNQAALPSPPLTGGLVKKRTSSHSPSETPVRYTNSGTSPAK QAHYPSPNPTPTLTPAVAHHKSALAQEDISNVDFLAPRRKGDSVSVTARIVEEPVESK PIESRNTSKPASMNLHPNLLVVEHEEEESPRQYEFSSATQRDVEPLPLKKSQVKATDN PNPERCRLSMSSNRSNPGQMPQSESFTKRLAMTIRHARTGSGNLPRSASDSSSNADEK MVKESRKARLIRRMSVFTAGPRRSMASAFGSNTLKHEESPSGVGQPPEPIAELSGEIS PVNSSSGESHAHVVDIGDVNIQFPDTLLWKRRFMRIDDQGFLILTPPTMEANKRGISR RFHLSDIKKPSLPPLEREELPWSIVLDFEDGTCLQVACESRYAQGQVLRMLEDAHAAY QSLFTQS EPUS_08294 MNRRQRRALVAKESPSAELSSAAEIPMERPRSSDSESNKPKAKT LYEIAAERQAELAPHGQPFLDETERNDASTISNTTKLVTLSPDGKISETPSSKAEATA STLDTSSEPLPPLLDTLLLSFPFTCLHFTLSFLTFHQYAQPDLHSIPTLLKQSIFVAL PTLTLLIHLAHGHALPFPHLSQRARFATKIAQQIAFIITANIAGCYLIYLTNDRGYYA VMKRAPAIGTLWIWCIIELGLVGALAGVVGPGVFAWWNGYGVF EPUS_08295 MPKRTSLHFGKSASPNHSTNAVNEVVSAVQRKLTISSTEEVPSV KSSPRRPSFSMNAMLHAGKDKEHLHHGDSNDREARKHKRNSLTSGLRTSSRSKDTLAN QSPRLAAISPGKFDLIIESPPLVFYGTTQSSSGALLSGRLRLHVTDPLAEIKLTNLVM TLNAAITTKKPVVKDCPECAKRVNDLKTWKFLSEPMTYHANKSNQFPFSFLLPGHLPA TTNSALGSVTYYLEAHATTSHSEEIQLRHPLKIERAVPPGLEKSSVRIFPPTNLTSRV IMPPVIHPIGSFPLQMILSGVVDKKTDTQTRWRLRKVMWRIEEHTKMISSPCSKHGHK VGGDGKALQHQDERTVGCDELKSGWKSDFDTHGGEITLEFEARLSPSRNPICDVDSPA GLEVKHNLVIELIIAEEFCPNRNTSLITPTGAARVLRMQFGINITERAGMGISWDEEM PPVYDDVPESPPGYGTSDKTAGAFGGAIMEDYDGPELEYHDLERLHSDNPHEPPKYRE RDPAEYVDVLATMMRRSGSGSRASTASPGLGPSSPRFKARTASRSSNHSAHPPHSHLH HALGLTEDDFGIEPPQFRISEHDERQRDETAVVDEDFGVGEAVG EPUS_08296 MRGSVPCLGGFTTFTQQSSSRIPHLKLHILARHPRSQSASIPER TPRMRQRCLHTTSSPFSLSGIFSGSNKNIDKTEGSTTSAISTHSSVVGQNVEVNSTLG DILEQAHPERVFYALLSTAEGRRFVAHAPSEDFEAAFCSIDPWYLIEPFKDIYRYIKP SLTTQPRYRWVRAIEERLESFAEQLKEIIDLRRDGYQLTKSVCTHLLHCARVLGHGPM ARQIWQIMIPEDGLQQDLDVQAYNCYMEAICWANAFSKTEQWHLRVIPRVLAIRSSVD PPPGFSGHRAGPLGLRHETLVYFRRMVSQKIEGNEETFTNLMVAMGREADLAGAKSIL KSVYNIDVDLLLQVDEEEVETPTFYELDSPLRPTARLLYTIAHVFGSNNEIELALKLV DFVSRQYDLRIPFNVWMHLFDWTFVLSRWRSTIQKRKGLGIGQLPPAIMDMLWTQMTD EPHNIKPDVVMHAYRAQSFRHHGLLRESLECIHLAKSLFEDGRGEAALHGRELLDLTD QLLERQPAYSQHVLPAEWFNLRRQFIFSSIIEDRDLQLLIHTIRTTFTWKRWPESRGI KEWERCRLPNLIADFAEYLPNSVAYRTRGGLVEIEGLRETRLEAARTGIAMSRRNGVL RAHMDQRIVTFAHLSEARKNFGAEMHENQRAGASLASQRVRRF EPUS_08297 MADAGEEEATSVSEPLDLVRLSLDEIVFVKLRGDRELKGRLHAY DSHCNVVLGDVEETIYTIEEDEEGEETVKTIKKQSEMLFVRGDSVVLISPQAPS EPUS_08298 MAGRMKQTLQERRKGELALSDFADYVEKQQTTRPRPGRRGSSIA PSDSGYSTRNYSTVTDEHAELDIIDQLGLSDTPTQVRLKDWLLDTSADAGATLEQLSG LVQSRLDEGHGETLFDLGQEDNGESMGFDLDRWNTALDRLRVAADKLRADVRVLLTHN VGGPEEAETKTEKDKSCTGKLLIRQHPSNPEEVIETRIAVVGNVDAGKSTMLGVLVKG NLDDGRGKARVNLFRHKHEIESGRTSSVGMEIMGFDTHGDIVASNVPGRKLTWGEIGT RSAKVISFTDLAGHERYLRTTVFGLLSSSPNYCLLMVAANNGLIGMSKEHLGIALALN VPVMVVITKIDICPPQILQQTITQLTRILKSPGARKIPIFIKNREETVNTATQFVSQR ICPVFQVSNVTGECLDLVRTFLNILPHHGHYDAQAPFEFHINDTFSVPFVGTVVSGVV KSGVIHAGDSVLIGPDSLGQFQTTAIRSIERKRIPVPVCSAGQSASFALKRVRRKEVR KGMVVLPKLDTPPKVYREFVAEVLILSHATTIRPKYQAMLHVGPVSQTCAIIDIDREF IRTGDRAMVAFRFVQRPEYLAVGDRILFREGRTKGLGIVKALSYTEGSLNPQTKKEGE EEASEKGKEKSVK EPUS_08299 MTTSNSNLALLIDGDNVSPKIIVGLMAEIANYGTASVRRIYGDW TSPSLKSWKGCLLDHSITPMQQFAYTSGKNSTDGAMIIDAMDLLYTGRFSSFCIVSSD SDFTRLAARIREQGVTVYGFGERKTNTAFIAACDKFMYFDVLNVESEEPLVAPQPPRP GYPPVFVRKQPATRPLDQAALRGLAMAVTNAVSYSDNWVNLADVGSYLSKISPDLHAR NYGYERLREFVEASGIVDLKWKNMGDKPPVVLVRLKENLAWNSSSPTH EPUS_08300 MARSSDGNTVLLFGPQALSFQEDSFHQLRSLILDHAENHWILDV VAELPTNLKTCLRVFPKLQALSGVQQLEDLNDWFKTGKVPPASFHLPNILLSPLVVLT QLTQYSQYLKLVHSESGNGRDLYASNTGKTETVGFCTGLLSALAVSSAGNQVQFQQYG AAAVRLAALIGAFVDAQDALGKYGESTSFATVWNSSETKTEMTRILQQFPEAYISVCY DENRATVTTSASTASSLQQRLRAAGVIATEVGLRGRFHCECYHDDMESLISFCDGMSE MQFPEASELVLPIRSSCGGDIITQGRLHHIALRSILVEQSQWYQSFSAVYSLRLKNDG SHLICFGLERCVPPSLMRGLGSKLIHMTNLEEAKSRLSPDRHKLRASLKYPHSYSENA IAIIGASCKVAGADDLEEFWKTLCEGRSQHIEVPKERFGFETHWRDIDPKRKWYGNFV RDHDAFDHKFFKKSPREVISQDPQQRLMMQIAYQAVEQSGHLNLVNADKHVGCYIGVC AVDYENNIACHPPNAFSATGNLKSFIAGKISHYFGWTGPGLTIDTACSASAVAVHQAC QAILSGECTAALAGGTTVMTSPLWFQNLAGATFLSPTGACKPFDAKADGYCRGEGIAA VFLKKMSKAVADGDPIIGCIGSTAVYQNENCTPIFVPNSPSLSGLFRDVTQRAGIEPK DISVVEAHGTGTPVGDPAEYESVRQVFGGPIRSTPLPIGSVKGHIGHTECASGVIALI KILLMIQEGAIPPQASFQTLSPHIKASPSDMMEVVTRFKSWDSDFRAALINNYGASGS NASMVVTQPLQHEGAGSSLIHSVKIKHPFWICGFDDRSLREYSARLKQFIQSKIVTAR DISLANLAFNVFRQSNRSLNKGLIFSCSSVSELEAKLTAFINGDKGVSVTVKKPPRPV VLCFGGQISTSVGLDRKVYDGVRLLRSYLDQCNSVLESIGLSGIYPEIFQKTPIEDIV KLQTMLFAIQYSCAKSWIESGVRVAAVVGHSFGELTALCICGVLSLKDTIKIIAARAQ LVKDSWITDRGSMLAVTADLEDVHRLLDESSNTCKDEQPPTIACFNGPRSFTLAGSAK AIDAVAGIASSYSGMRVKKLAVTNAFHSTLVEPLMADLGQLGRGLSFAEPTIPWERAT ESETTEKLSSTFFADHMRNPVYFNHAVQRLAKQFPSCVWLEAGSNSTVTTMASRALES SSNSHFQSINITGDNGLQNLIDTTVSLWEEGLLVSFWAHHPSQTYEYAPLLLPPYQFE KNRHWLELKKPEKAITEPAPQLPAQQEELPKGLFTFIGYQDSKQRSARFRINTMIKKY EEFVSGHFVAQTAPICPATLEVDIAIEALLNLRPDLAVSNLQPQIHNVENQAAICVDP SRAVWLELEAVDTDFHTWAWRITSTGLKGSATTLHVSGKIMLRSVDDLDFQAEFSTYE RLAGHDRCISVLNSTDADDIIQGRNIYRTFAEILDYGEMYRGLQKLVGKSNKSAGRVV KQHTGETWLDTHLSDCFSQVGGIWVNCMTDRAPTDMFIANGFEKWIRSPNSGIPVTQP SVWDVLAYHHRKSDKAYVTDIFVFDATTGVLMEVILGINYAKVPKLSMSKILSRLTAG GVQLAAPAAVPSAPAKMGVTPPSAQSSKEAKAPKPKKQGKTISRPDIPGIVTAALVDL SGLDSVDIKADTQLADIGIDSLMGMELAHELEGKFKCTFSTEDLGEVTTFQTLVQCIQ SVLGPTDDGATTETDDDDDDDDQPSSASQNSDTLSDSNTSVSSTAKMDLAEYLADFLG IGGSDVVTGALLRDLGVDSLLSTELRSDITDKFGVHISDDIMIEELTVDELDITINGE SGRASKTPSATPPKTAKGETPPVNGTTEAKGSGITEFSAGGNLDIPASTILEAFNETK ILTDQFIADYRCADYMEVVNPKQTQLCVALTVEAFEQLGCSLRTAKAGQKLERIRYLP QHGRLADYLYNMLEKEARLIDVNGDQITRTAITLSTKSSKEILKNLMDKYPDHDYANK LTYFTGTRLADVMTGKSDGIKLIFGSEEGRELVSGLYGDSLLNKLAYKQMEDFIKRLV VKLPMHQGALKILEMGAGTGGTTKYLVPLLASLNVPVEYTFTDLAPSFVAAARKKFKT YPFMKFRAHDIEKAPDNDLLGTQHIIIASNAVHATHSLTESTKNIRKALRPDGILMML EMTETLYWIDMIFGLLEGWWLFNDGRKHAISHQSRWERELQSVGYGHVDWTDGNRPEN NIQRIIIALASGPRYDRLPTFPKPAQSQVTDTAARQVAVDEYVRKSTHGFAAPVQPSR VTIPSTSGHCVLVTGATGSLGSHLVAHFAELPDVKAVICLNRHSSGSEPESRQRQSLE SKGIKLDTNALSKLKVFETDTVKPMLGLPHDIYETLLNTVTHVLHNAWPMSGKRPVKG FESQFWVMRNLIDLVRDISCRREKGSIVSLQFISSIATVGHYPLWSGNVNVPEERMTI ESVLPNGYGDAKFVCERMLDETLHKYPDRFRTMVVRLGQVAGSKTSGYWNPVEHLSFL IKSSQTLKALPDFDGLLSWTPVNDVAATLGDLLVSPNAPHPIYHVDNPVRQPWRDMIP VLADALDIPRKNVIPFDEWVKRVRNFPGSVELDNPAAKLIEFLDGNFIRMSCGGLLLD TTKSREHSQTLAHVGPVSADVARKYIEAWKEMGFLHK EPUS_08301 MPSNQAAWLPAQKVRPLEVKTAAYTPPGEKEIVVKNHALGLNPV DWARQDLGDALFSWTKYPCILGSDVAGEVVEVGSGVSRFRVGDRVTGLALELTSNKPS EGAFQAYTVLAAHMASPIPSTLSYEGASVLPLGLSTAACGLFQKAYLALQLPSSPPKP ATGETLLVWGGSTSVGSNAIQLAVAAGYEVITTASPRNFVYVKKLGASQAFDYHSEAV VADLITAFKGKTSAGAIAIGEGSGDPCVEVVAKSSGKKFVALANPPSKELPSGIGGKF IFGSDLKDNEVGPAIYVDFLPKALADGSYIAAPDARVVGNGLESIQGGLDLLKKGVSA MKYVISL EPUS_08302 MVASVPLLQSVLGVVRFINLTDLFAPSLSPGAQIILPTDPNFAS RVTQRWTDYDAPSYIGAIKPATETDIQNIVTIAAANEIPFLTTGGGHGISDYHAFNGL SIDLSNFNSVHLEPSENRLTIGGSAKIWQLIKPLHDAAKEFPLGSCECVGIVGATMGA GISSLQGHRGLMLDALESVRLVTADGKIVEASKTQNPDLFWAIRGAGSNFGVVTSATY KIYNASNNQYAMNADFVFPAIANQSFWQIMKSFDHTLPSRLALTAVAFYDRINNQPVI ALNAVFFGPLEEGDRYLWPFKALNPTRSNVSLVPTKDLMDAAFFNFFGKDNGACLPNQ HINIYTVGLKQIDPSTFESFFANLTDFWVANPDFQGRLLLQRYPSQAVQAVPDTETAY AYRDIKTYMNIEGFYTDKDLDDAVNSFARPARDAFAKTSGLETLATYSNYAHGDEGPE AWYSARKLPQLSALKRKWDPDQLFSWNNPVPLHWP EPUS_08303 MVWTETAPGVFQEDFSGVEKIYRNMSTAFSHIEKEHWGIHCVCR IHLGPSFRNRHIVSALREAWIALMTEYPGLSVVPNGLSKVFVVPDVQAADKWADQTFF VESVGNADSIIANSKPRDLPGLFYLPCSSEIVFLSQHWRTDAIGTSMLLDRFFSIVGQ PQDLALSQGRRPEVEKISPSLEDAAGSPENEIPELQEFARQYIDNFHMKAVNAGGLPY RGDSATLPAKTSHQDMVLAKDSTSALVASCKRRNISVSAAIHGALAHTFFSFASPEDQ LVDYTTVTAVNMRTYLPWPYNSKAHACQTYVASITPTVQKTSDFCESALALTQEYKTW HTEKFSQSLRWIYRYHAERLFAPRPPGLPPSKPPSGVTLSSLGVIEQYLAGDYGDALQ VDKFRFGVSMMTRQILLYVWTFRGQLTLSVNYNTAYHEDGMARDVLSRIVATLKKELE LELEAVRL EPUS_03080 MTDTVDTFRQGATAYRNARDWAKEQRDEAIKRANKRVNDGQVRT LAVDASFGGVSSFTIDASLDGIYTIEALSQESQSSLNKDSHTTADPPDSETSTDELAL DYTHPAKRSRHSKRSHQP EPUS_03081 MSKFTSERWIAHPPISLAQRMTREKEKELAKKLQPPSTLQAAST ASLASAGGLTLNLNLSGGAQQQDTLLRESANSEDRYRGSATPSRAMTPLQPLVTPSSP IPQARDEDARLIAFICSRIKDRPAQRAEFERAFKKLAEHGVGLSDMASLIREDWKEMG ISHGIHVNLLQHDKI EPUS_03082 MTQKHHQEEISGPIDAIEAAPLAKDCPRPKRARIQSRKAQENQA QSQFSEVLSLRPATRTVPTSSDEYSSSIGNDASQSKRRRPPNRQNSSQGPGIHTQHRK EKEEWQIQVESTQNKPEKLKILAEQIGPNQPYPEKLNVPIPYAPGQPKLRAHEYKPID LFYRFIPNELFTDIAEHTNEYAFEERSQEFDQNQREWGDVTAADIRGYIGAVLLIGVQ PGGRDLAYYWNQKKNYPY EPUS_03083 MTDQPEGPGELHERIHTGGNSELCTACQKIFSGMQLFNEHEHMK YGTLVYNAAHGCSLCAMLALHFSSQSDGVRDGQMIKTWLSFKSRLSDGLTPIIWARLM DSAESSPIELHMVPLTVDVRVTVIGQDQVAGPMERGYLQARGFWMFDDTIVYTGIRVK LDESPRVGGLSPNLHCVPISQYDYGNGARTIWFGLVLESTGKRDREFRRRGVFDIWDD NLFAQMFQRRMVRPKEEQTEPDGIDSLHKSPSGLAMEKMGGDDSSSSTASERALDESA VLSDESGFYGDENMKAEYESRDSKFDPAEFWKAQQRSLQWIAEQNREAAEAKAARARY HRDRLDAAQKMEHADNVDSDPPHPRDVPLDRDTMDVDLPPLRQEPSLSPAQELHNPYE GDSMANNCTNLSPPFSPASHPPPHPSPPVPGSGSPIRTTTTPTAKPEANTTSRA EPUS_03084 MKPARDLLEEDIVKLARAKNVKEGKWMLFPSPKHVDGVWAKVAR ATWEGELGVGAKVATKNEDDDDERSEKSRLVCVYTRDVEDRVDVERVLQKLVGMGLVG GEQGIFYKCDAYTYLDVMGGNEWKLKASMYGSREMLKKG EPUS_03085 MVFRGKPSKGCGECRRKKIRCDQIRPACSQCLKSRDGRLCPGYR EELALRFCDESNEVAHKAQVKEVLKLRRRAHGSVNPSDSSEASSGSSSDFDGLCLTSL RNKSSICSFKGSSLPGAPGPSVETQGIQYFLANYVTSDPDLCSGHMQNLLSWKTTQSK TLQVAMEAVGLAALSVRRSNPILMANARRQYALALRMTKSHLQDPAQCKQDRTMTAVG LLGFFEVMTCSSSMSMKAWTNHINGSIALLNLRGVDMLDSEFGSAVFIQARSQILKSC YQREVRVPPIILELGRRLPRTPNSSCSIVNDLADSIYRLCELRASIRDGSLTDPYTNI ASLLELDDAVVNWGAERSSQWDYTIVFDSTKPSIIYDDAYAVYHTHWIAGVWNVQRAT RIFIQEAILAQIDEMLAQPEPIITTLDPQSQRSRSLAIICETAFDICASVPYLLGHDK RYDEQLSSPAPAVWAYFSLPAIYLAGSTLGVPQSMRLYVLGRLRHIGHSLGIQESLMM AAILQSKIDEGKVEELEGLPRQFREEMGRSVEESYDKVCWDGAWEKSSQNEEQDPECA AGGMYFGGWGQQVVSSG EPUS_03086 MEPPSDEHGRHNTRPAEPTLASNPHNPNSAAYLAYPVKHVVSSL YRRMTEPPDQSVSRLLDAPVSSSGYYTPPKRTASPFTPPPLTPLTLRSTGPPSRLLLT RSLAEEIRLLVPPRLQLVDNWRLAYSLEAHGASLHTLYSCCQRAAARSQRAGYVVVIR DSASASNGAIFGAYLTDPPKPSLHYFGTGECFLWKASILSSVRFLHSGLNGNSNGEPP SEDLLQLAGLPPPPSADTTNLQRTTILRGDHSPKPQTTHNGDLLLQLPAEEQSGTSTP DRIRFKAFPYSGINDFMIYCESGYLSVGGGDGHYGLWLDDQLEHGISDPCPTFGNEAL SEEGHKFDVLGVEVWYIGA EPUS_03087 MSGFEIAGVTLAVFPLVVQGLTTFVEGVETIKLWRRYRRELADY KRLLKTQQIWYLDTLEELLDGIVHSDKELALLVDIPGGPAWQRPEYDARLRARLDHSY EPYMATIYAMLGALECLKKKLGIDASGKVTWDSTPSLGRELKRLKTVLSKNVYAELLA KIDKANKDLREFTHQNRYLEAVRSRRRIGVHRQRIADFKKIRRSARSLHNVVIGRKPW NCGHPHVVNIRLEPQPWDAAGVDGNKLKFRILLNCMHQAGNVPGTIPAVWKWQEVEIE PAEAASAQDVSSNSTTGSVSISKGQISQGHNAPCSDIKGSPNSLAADTIKKGVRFAIG GPSTNVPVPVSIATLAAPITDMCSALHSTPCSIRKCIGFLLDDHASSIAHRHDVFVLG DGESADAQPQSLEKLLASWKQIGRRRPPGTIFFSRRDRLFIAASLASSVLQLNGSWLK KHWRSCDIFFLLKAGSNPDAVHHPYLSWDVPQKDKHDALTSSDPHSPFAVHLIHDEIF FPLGLTLLELSLGQTWADLEKAEEMDSKETMKNLKTALDYVYSDSGPRYGDVVRRCLF WPIDFREPTLEDNEFQQSVLETIVMPLIEDWKDFDGSLRIR EPUS_03088 MATSIASSLIPCLRNFNNLVARDELNAHEAEVPRIAWNDELGRL RIWAANIGAHQTGQSSLDYRLRDASHISKQILSLLGDLCFALDEVGQVLLDGPIPEEI ADSDEDDETEIQQLYKGISNIIKCLYQMSMLIRKPARHDRLVQCRTDDAAVFLPYDRD HVYHKYPQADNETIERLAFAISRRREDLRYRERHHLKLSQGIEYAQNETRQEGKSVVL SQTIATDFKETHIEFEDSASNSGLSQTSYAPSLEGGGAITVPPPPKQSVNEQPFECPY CFFIITIKNRRAWTRHVFKDILPYTCVFPDCQAAKKMYDSRHEWFDHELKAHTKKIYS SDLPLEIDCPLCKSSIRSARLEHHLARHLEELALFAIPRGGNEDDVDSDQSGNRSDDG RLEIGDLSSESEDQDEQKNGKPTLDAEEQPVLNDALSYLDQVKLRFIDHPDVYNRFLA IMKDFKSQAIDTPGVIGRVANLFYGHPDLLQGYNAFLPPGYRIECGTVDSPNAIRVTT PTGTVASHIPPSGPSDHDFSAIPGKQTSGQGDETPLSEHHSSGARSPKFAPEAIPPAQ SIKVQLSQLDSMSQGDVLKRFLGPAEAVEDASGTDPDGLTVESVAGSPSEAMIQTLLT AGTKKLLDDEPEHGSRELEEHENRPNEMPAWTSQSQLADNQIGGNPSIEDNEDPERLL LRHKGQTYELQFHPLAITNGGLAIGDIRKYAAVKLGVQSQRVRLFYKATKLADDTAPV KAYGLKKESEIMCVVTADDHQRGTSMSSGDEGKHPPDAAKAKEEAEAKLKEAEAKSAE AEAAAAKAKEEAEAAAKADYYDPEVDVIPSYSGLVAAGIHYNPEQSPPPYIPTSPSSS GSVSPEPDTITNDQEGHTESPRLPAKKKPKRKKRRKGQTRLSQGDAVLISYLDPNRPD IAREVAQHALNSASQSEAEEDTEKDMSGDGGRKRAMPHHHRVADVLPYDSSSSEEVDY ETRKALEKLNLIFEEEKAADEVDYETRKALEKLKIFEEEKAAEEAQKKYIADMELKRA KEHLEKAEAEEKRKALEKKAVEEWQREQDAKKEREKKEKEELDKKVEQRLRQELADRK YTPAEIEAFLKFES EPUS_03089 MQIKLRAEPKIWCLTGLIELNDVTVLTVTKTNPSASAGVSSELG SMMGLPVSCSFNFDKNYAVTCSSKTPGKMVWAAQYQLVKVKPVVKDSTPGSQTAINYL DMYPDYTYSEGRRGLFQAMNDKRDHKMDDKEEGFELELADVANQKGGKVERAVDEKYW KVYYAVEEKMKSALQANERQRQVQRSQSAVRNQNRCTTVTTQSRVTVQRN EPUS_03090 MSVTTILIGAILLFLSLVSFIPQYHHILWRRDCSGISLSYVLFN LIAATEQCALGLHYIVDNVEVSDTIVGSTPTVGDWLNLWQLGVVWICHSALFILCLCY PPERPGPKRTVLAVYISFLLISLAPVVFESFLPAPGDSSEHDRRWFSATFSGVHTMFI NPIVTVIGFASLFEQARETRSRPSLGALSIMGLAIQAVVFAVVALYWPLRMTIPQSSG ICHHCGVASPGISWLVGQPSITLCLRLSKQCSCGLRGVREVKFKE EPUS_03091 MGKLIITELALVLLISIGLANRLQVDLDIHLGDGDTVGIDENSG ATSIPEVADLPTVNLHYERHKASPPIRYGQNFYNFSNIPYAQQPVKKLRFKKAEPLEG VDGELPENDGQNTVVCPQEQVGWLPFAQEFLADYLERNNELAEKWSHPITAENNYTWS DELPPVPNMSESCLTLDVMVPKRVYNKLESGDDIQPDNESDFIFVSVNYRLGAFGFLA GKSFEDAGGFENAGFSDQVVALDWVQKNIGRFGGDPGEVTVMGQSAGASSILHHLVSY GGDESPPGSSSPAFKRAILQSPPFFPNVKRSQMEDTYQSFLREANVKDFQELQNARSE DLIRANRKTTFESPYGRFNYGAVKDDSFVAAPPALLLKYGHFWSAKDVMVSYNRAEGL LFTPPWLQTQDTLRKHVREAYPGFPTPELDYAMELYPIDKEAAAKQQVGNASWLIGDL GVDCNAYAVRNAYPGAFEYHFNLWPGLHGRDTNYTFGPQDKLNVNQAQGARMRRYITS FVKHGDPSVAILKADPSFHPWRNEKQAMTFGEGKPLFLLDDFYGMRSDTLNATRCDFW GYAPYWAGPDDVYRKGIVQQQDGEWHQGL EPUS_03092 MSSNTKNTKPQETTTTTKMPTTASTSKEGVRDMLLEKYPPLIPE SGSALEITPVPSTRVGPFPMGSGLLGASRSLC EPUS_03093 MFMLRNVSKYLFGDTSKEAVIEISQGQLYVVRPLSPKGYSELIF KDAAASIRRTGQDFQYQLVVQRAYEEGEEELADEDDEDSAADRLSGDKDEKIFLLDQS LHFRSEVREEGEKVLAWRDLSGDIGDLYEFVCDSSVPADKVATFELAAIECQYERKYR KSAQSAREEEFREFSFAEEDAIPNASPTTKDTNFGPTSADSAAHMAKEVKSSKKKVAN VSAPRGAAATVAPASRPAQLGEILAEENAELHLFDFESGTFILQDSSVTAAVVETGRW QYWLQIRGSKRDWLGQEVIADINPVFNYEYLSFIFNHYGLDGAAYSWLLRFKDQERLE KFQEGLMQALWEQLNEMKWTKIKDQERDYVTEAFNDLTMDEAHHEDEEDEQEEEAVED EDDGQRSENYDTDESEDDVDVHPDDGNVNSQLAVGYKHDRSFVVRGSKIGVFKHTPNN NLEFSTNISKVETPKGRLFSPKKVMLHAEDSNMVLQDEANPNSLYRMDLEYGKVVDEW KIHDDIPVNTFAPETKFAQMSAAQPFLGLSRNALYRIDPRVTGNKLVETDLKQYASKN DFSAAATTEKGYIAVASNKGDIRLFDRLGINAKTHIPALGEPIIGVDTSADGRWILAT CRTYLLLIDALQKDGKNEGKLGFEKSFAKESKPQPRRLGLQPSHVAQFQHETKTPISF TPAKFNTGLDASETSIITATGPFIITWNLKKVLAGRKDPYTIKRYAEEVKADNFKYGS DKNVIVALPNEVNMVAKQTFKKPTRESIAGPGALSTPRRSAGARVSGLRKSEIVNSPY EPUS_03094 MSESRQTSASKWFDTVNQNVKPSIQSNSDVEREPPFYLNRHDSG HTSAQMNTAPLFGNVQTQLAKEDSENEDLRSVIDDLTIENKRLRQMLRERRQQYDPQL DHDKLFEVRTCGLALEKKRELQAILQRFAATITDVSFASSKMPRDESAYEKASSDVPG CSAKKAASYPHTDSAYASMSNSGLTSVGQADKTQAEMQQIRGSKDKTVKSYLQDIPDS LLPKHPPIMSEKSKMRLVVKMLEQLFTGKHATPGEHSQPLQQQMISESAANADRHCSE LLKRYVRPEGAREAHILPIGAKMLPKQPKSSSSCPPPLGSRSESEKSSDERSASDNRS PDQRPTRPLDLDIQRAQVAKENIDYIRHLGLPTPTRQHDSDHDYDGWVYLNLLINMAQ LHTVNVTPAFVRKSIALLSTKFELSKDGRKVRWRGACSGIESFEDSDSSAEVTTGSSP RLVKCSITDMAASENSNNLPSTGSSGDQANGAKSSLPRGKHHFPNFTARGTQLPDLTD ESRQEASFDYKPVYLKDRCMTQEDNRLSDDSNVTPSAKGLDESTGRYLSAGRHRVSQK YSGRTDEGGPIIYYRNPSFYCDLSGDREAYQRKATSNFPASGQILGVSGTNSHLEKDY QRRSMAHAEDAFEGRLDDDSLPSLDLAPLSEVVHMQSDLLELSACGIGGVVPDDHFVF QVQRRRQSKPSHTTAHLPANLCRGGLEPTIEEELLPATRIDLPASKLPPPSYVIISLS SGSSGGIESDELLSSEQDASEIEVFEEAPSARPSFLKRLSTDTSGQQCFSDEYDGELE SCNSSRVHDSPEDKDSYMHVDGGSEAERGATLCRPPSVLSRSLMATVGAGSGASLASN LSTVSSMGSSLGAGRRQE EPUS_03095 MYKIYLYEHDVVHDELALPRPKSYINEKHHTSEKLLVRKIDMRL LPILGALYAISLVDRVNVANARVAGMEEDLRLDVGSRYTTALLVFFIAHIFFGLPSNI LLCKVGTANWLAFIAFAWGTVMLGQGFVTSYQALAVCRAILGFCGAGRTSPSFDQLGS SEICEGLFPGCVYLVSCWYVRYEVQKRLAAFYLFSVNVGGISNVLAFGLMKMEGIGGM RGWQWIFIIEGFAIQVIAIAAWFIIIDFPDKAHKKGFLTKNDADFIERRIQEDRGDAV PDALTWRVFGKHLLDLKLWAFALMFMSTVTPAYAFAYFTPIIVRSMGYSAGVANLLSA PPVFVAVFSAFSFAWLGDRYRTRAPVIAAQAIICFAGLMITAYHKHNTIRYLGIFLGS AGCQGNIPAVLAYQSNNIRCQSKRSVGTALQMGFGAIGGIIASSAFREVDAPRYLNGL WATAGLQLLVLVLLGGTTFAFTRANRKLARGTLQQPIEGLEGFKYTI EPUS_03096 MARAVEFMFSAVQQVYQLKHFDFDFDFNFNFNFIPPKLLLSHGF NDPEIDVDAPSATDAGQMTPRGKHSMTRYQATSFAQPPLLQYATMNATMQGYAIPPKE NGGTVFGERIRQEPILLSLPHYSVAVQGVEDVQKAVNFAHDRDLYLVVKNTGHSHLGR SSGRGSFSIWTHNLKGKEWHESFVPEGAPGGTPGVHAVTLQAGEQWLDVYRAAAERNV IVVGGHARTVGSAGGYLTGGGHSPFAHFYGLAVDNLLEVDLVTADGSYQTINAYTDPD YFYALRGGGGSAWGVITSVTYKTHPNPAHIQVGLMQFNTTDESTLRTVFHQALQALPA VTDAGFTGYGIMGNGSFAALFLQPNATEEIFNTTFAPFYELTSHANVSAQVVSIPFPT WIDYCNAFLMDLNIATNVIDISRLLTSDDLLERTNKLVDVIFEFDEFSAGFNFIGKVN SAERDNTAVHPTWKDSRALFSLGTDWADDASAEEKLRKKLQAVEISKRLGDIVGPDGG TYVNEANPYEPDWQNVFWGPKYDRLLSIKKRIDPTNLFVCNRCVGTDVLFKP EPUS_03097 MVLRTQTCQRLARNLSRKPSDVRQEPPSTARNENKWLTLLTSIQ AQNFRVRNFSSTLGRNEINKILPTAAEAIRDMKSNATLLAGGFGLCGVPDTLINQVHS TPSITGLTAVSNNAGVEGAGLGLLLASKQIKRMIASYVGENKTLERMYLSGELEMELT PQGTLAERCRAGGAGIPAFYTPAAFGTVVQTGDLPLRHNPDGTVAQYSQPRDVKVFDG KSYVMEESIKGDYAFVKAWKADKLGNCQFRFAAANFNGAMGRNAKMTIVEAENIVEVG EIDPAAVHLPGIYVKRVIQSTAKKNIEKYTFAKEEGADMSALGKGDTASKRERIVKRA AKEFQNGMYANLGIGMPMLAPSFVDPLVEVQLQSENGILGLGPYPKKGEEDADLINAG KETVTLLPGASCFGSDESFGMIRAGRIELTMLGAMQVSARGDLANWMLPGKIKGFGGA MDLVSNPSKTRVVVTMEHTDKKGRPKILKQCEFPLTGRACVSRIITELCVFDVDFTNG LTLIELADGVTVDEVKAKTEAPFNVADDQRSMAFAFGSIDGEAQRLGVGLGEKHSTTI LIVDISSPKTFELLRNFDESGSASYSSPTEFNKIGAWMTRSGPNKPHTFLDLFQAPLI TTSRILIMTFTTINSDSLGVVADRKRKASERHAQFDLRRPTLGGSSRLAARPHNVEQI TKAAQTYEYSGLVPLRYWLRTAATMLKEAEIYEREGDDEQAYLLLFRHAHLVLTNLAV HPDAPASELLKEAKKDVQHNLEKLDKLNPRIKKRYERFQEMLSEREARRLALLEAEQS EVEGDAISFQGALESDNVHHLEGGENRELAVQLARHEMSRRATERKATRQAGISQGEE RVARAGRAGRVWEIADNREPDDLSRRLQEVRAQVERPGREVGQPSQRKDTDKTYAYPH IPLNHAMPKPEPVIPFKTVQAPDLPPKSSLRSNISAPPLPEKLSAAITDHSPPYEPSP IVPAKHQNQQQTHPLKATYTFKPSAYLENGNPLRTLFLPPTLRYSFLALARANTERNL ETCAFLAGTLLSNALFVSKLIFPRQTATSDTCEMTHESDLFDYIDSFEDLMILGWIHT HPRQTCFMSSRDLHTHAGYQMMLPESVAVVCAPSQRPSRPSASGAIGGFGHHHHPHHH HHHHHHHHDDDGDRAGDWGVFRLTDPPGKGVILACEKPGVFHPHDCANIYTDALRPGH VVEASGLEFEVVDLR EPUS_03098 MAEATTSTARRASDIPQKERFFRYFQQEITDLQEQMGRLGNMAV TGGERGDAIDHLVAGIARLGSEVNDASGYLPAYDQKTYGEAIKGLREKLDETRASFGP RTTFTFKTARKNPSAVSLSDVVELAAQKRQNLLGYQTPSTESSFVNSPNYLQTPERSS SPAQPPVPPPTAVDQSSKDAWENEMKEKASPGPRSAAIRRPTFSTSSTVSITHESNAH IILPSSASHASKPCSLTSLKSCAVDISVLSPQDGAPFAGLTVKNVKGSLLVCGIVSGP AHITGVEDSVMVVTCRQFRMHECKNVDVYLSCSSRPIIEDCSGIGFAQLPDTYRIPSA TPPPNEPLKDTTNTAPNPTSDVAAPSKPASPADLWSQIDDFKWLKSTPSPNWSLLPPE DTVTDDAWREVVPGGPGWSLEDILKATRVLK EPUS_03099 MPPSAGRVGKSASSVAKQLRKSLSSILDVRQEKTRINIISPSLC EDAIRRLRPSLPAARPLDIIDINPGVCLWSSRIHLALKPRCHILVEPDKDAYKDYVDP LLQQPGSRYRHVPVLSDLFGTNLLPDQTPVTSADHDKGKTNDSLLILANLTSGKAFKS RSDGPPSASMIPQYIGGILNQENSIHHYGLVRMLAWLPDDEKASYLPRTITERKKYSV RLDLVTRLSEIAGAAPDDVPSSQARRQHDLTLQGLQLVAQRAKASGVWHPDSRRPPAP TPTWYEIPLVSDALDQLRELPHKFPWQKELLTVHDTWRRPLPKVNKHASEASRPIGRP PSDEPESLRLLRTKFLTVRKTKAVAQQWADRQIELDRSRIDAHRARQSPAVLAATEKD IQQKEATLWAEMAKGRKDLALVAKRYVDDRRGFEQQPPLLQWDRRTAEPLLVKDEEFY PSQKLALLDFQPVPDVLERLNDFDKRTCFDYLCNILFRNLAQPVHAHLEAIAQGGLDE FVERVPDLTNPLKGGHPNLHDLRVRTLPTGLLVQLALALETWPFRLQTHEMIMHTSRR FAQRAA EPUS_03100 MAAEVQPVAQVTFPAKPSSRSPEQTYWRTFRSPLNLISPTSHAV THIAQPTPHPNPLQASPDTFAVTTGARLQIYSHRTRKLLKTITRFDDTAHSGEIRYDG RVVVAGDETGAIQIFDVNSRAILKTWKEHKQPVWSTKWHPRDTTTLMSASDDKTVRLW DLPSSESATTFLGHQDYVRSGAFMPENGSNLLVSGSYDQTVRLWDPRASERAVMTFKH TGAVETVLPMPSGTTILAAAENHITVLDIIAMKPLHVIKNHQKTVTALSHASNGSRIV SGGLDGHMKIFETTGWNVVAGSKYPSPILSLAIINSGPAQEDKHIAVGMASGLLSIKT RLSGEQKVKERERRKEMEALLAGTIEEHDRKIAKKRPRGWEKRFRGRDFTGEGADIII EGNDRSKRKKPKEWEHLLHKGRYREALDQALDGGNTMTIINLLTTLRYRSALRAALEK RDENTLQPIMRWLYKNISQTSFVPICVEVAMNIMDLYSRHLDQSVILEARVEKLHKRV REEVEKAQQACMAMGMLSLVAPDAAG EPUS_03101 MDPSTKWSTRRWRRVGWPVGALGSAMMATLVFLINLTILIWISS TLKFERGVAQVFTGDCRQAEQINTWAHFGINVVSTLLLGGSNYCMQILSAPTRKEIDT AHAKKKWLDIGVSSVRNLRNVSTRKVVMWWWLGLSSLPLHLLYNSVVFASLSTNEYDI VLASEAFLNGGSLSAYHEAWIPELKDIQNRARYWDHLEPAECINAYTAKYLNTRRNLV VVVTDSRSSKNTSVQQILHQSFHDSLEVRAHAGSRNFPEWNINYCLSEQVAGKCRVNF ILSIMIVVMICNAGKALVMFHIAFCLKDSSLITIGDAVDSFSNNRDQTTREMCLSSKE SIRAGESQGCESKIASYYEAAITGYDAVTGIQVQKVGFSRTWKAGPVKYEPRVKGWFS AVSRGRGVACLVLFFCCMVTVIICLIFSIKATDSNYGLNRTALDYIHLGIGAVTPTTV ITGWDITSIVGNAVIASVLIANIPQSILSLLYFLFNGVFTSMLLTDEWSDYAHKRKPL RVSDPKPGQRSTYFLQLPYRYAVPFLILSGILHWSVSQSIFLAQVASYSKTGELIDPA AVSTCGYSPFGIALTLIGGTCLALSTVILSARRHKPGIPLAGSCSAAISAACHGGEDV DTTAPLQWGVTSPKGEKVGHCAFSDKDVRRARAGKLYAGVVKTD EPUS_03102 MYGDWYRKEYKAGQNSQGEQSETLLSDTESSDSRQKPRRSTISR CGITVLFLASLCVAAGLGAWLGSRWHIGADHFCAAHASQYSPIRKDVDITYNVIRFNG SLLKENVYRQDAGPDVDAAWASLGVDYRSVMIPVDEAAKSGLLADQVKIADKYGGGFP ANMEGLHQLHCLVNNGHSLLTTFADKIVDQNLLRKSLYYNFDHYHALGEGAFSNSDHI LRHHVSHCLDIIRQQLMCTIDTGVLGQVWWNPSWPEAYVDFNTQHKCKNFDAIRQWAE NHQLPKDIPFDFLQPPQEGDTIYEHIP EPUS_03103 MAAKGGKTMPAGHTAGIFADMTLDGPEIGTLVAVIDRAKNLPNR RTMGKQDPYCAMRLGKEAKKTGTDKRGGQTPKWDQELRFTVHDSPDYYKLKCSVFNDD KKTDLIGEAWIDLTTVVVPGGGQNDLWHQLQFKGKYAGDVRMELTYYDTRPKDPVRTE KRRDKEQTEHTKQNSSSSGVAPRRLGPREVKRRPLPADPSMSASPSRPSPQGQVHSAP LPQLHQHDTRNHSHQDHDDQWGSDSQCPVPTSTENSSFPDPLSEKRSDSDPPVINDGY RQPQAAPNSNFPAQQPVQYGDLARHGEFRDSDSFSFHSAQSPLPVTPTHPSSHGSYVV ERYHSAQSPDTPPDRSSPYSLPPQYGSSPLPFTHDKSAPGLGNHQSQISFRRFSTSPV KNDVFRDSPLRQSISQHDVEPALQPRYHSSEDEGPPPPPPAHRSNLQTSASPPDVPLN MQPVAMPQPLSLGSNSRRMSPFDRSPLQSIERNYDPLCHSSEPSPPPSAEKDQLYSPY TKPIYSSPPYRTGASAQGGPTNGIENTPASLRPGYGRGMEETADSWMQNTHDVKIQSM TYVESPRDGERDGEMDVVGSLYKPYQPPQVEDEGEAFASEPPFIQPRAVSPDLRTVPR RKAISLQPVERPGDQRLGSIPFGPDSYEVFNPSSPQSSTVAGPESRYETPEQAKEAAR QHEVQKLRDQGPIIGNDGREIDPTDHLPTDTWAPEPERKSRKPEMVIRYKTKETTPRR PPAYGSSPGLARPHSIAGSAYGSSPLVLKTPPGSAQQNDGRNRLQKQMPGRPLPVQPY QQTHSSPAVPSANYNTPSPRTNHPTRPDLTEYSLYDQQNQRHSRGGSLGGPYDAGPPI PAKVPISTVGLNDRHAAYGGMDALSAELSTIDIGAGMGGRGTRSRRGY EPUS_03104 MPGNGEKRDSAPSHLILPSRNSTAIPIKSPQTLNAKPSRTPSPK KETFPPPRRSCYDAIPPVPGSIPTQASPFGIFPTGSGEHNDPAGIAKDGQQASKNPLD GSQLPLSSLNNPSNKTLFDIYAPSYIPLWLRAVNESIAVPRFCSSPNTINFTEYIGSF AGRLVLQPLAPVQLPAIHSVPVVESVSPDSLTAENYAAYFREALQNEIAAQATELRYS NIFAAPFELQDPVQQLFRVKIPGIRENSPRIDLGDVVLVRPLFHRSDVQELTEVWSAS GGGKERGLCAPAFAGLEFHAIVWGVARPKEEVHLRVDGLTQRTCNIMFAVQEHQTTPV ARSIACTAEALRASRSAMAKSNWLSRMLFPTPFDAVVQSTLPRGTFPDMKWFDTQLNY EQQKAVSAVVDLSFGNVPYLISGPPGTGKTKTIVEITLQLLQRPQHPATGQGSPQQAP HILLCAPSDPAADTLASRLASSLTPAELFRLNGWSRSFPEVPGRLLPYSYVDKDLFSL PSFERIMSYKVVVTTCRDADMLVRARLTNEALGYLARSTLRAVAPSAHAAVNELQLLH WTALLVDEAAQATEPEALIPLMVVTPSIESQVNTQVLSSLPQFIMAGDEYQLGPRLYS GCTSALSTSLFARLFSRAFYAQHPLSRQKGCPRLTASMLPMNRPAFTNLFRNYRSHPA ILSVPSQLFYGDTLIPEKNTLSDIIRTWPGWKSPHGWPVLFVQNTTPDTVESVLSGNG LGAGALYNYGEIMKALRLVQKLLEHHTIDYQLSEHIRQDEIVVISPFKAQVHLLRKTF RENNLYGVNIGPLEAFQGLESRIVVLCTTRTRRGVDDNAARFVKDDQERGIGVIGQPK RFNVAITRAKEGLVVLGDPETLTVEGDPCWEAFISFCARNGCLVSQNKESSDLGWSEK FTDQERFKKGRLENALVFAVDLKMREAARTERKGFGYPESPTTRRRKGVSLKGQMLTT DDEMWKRGLQMAEDIQESTLLEDEDEDQQAEKEQEESPDPWNSERYPASRKEELTRKD RLTEESLGASPTLTAITAKSNVEGEKRVFSSEGAKEFDRDPKAEFEKMDCATQ EPUS_03105 MADPLEQRSAPASRQISPIAQPPSPVKTKEEDEEITTNPDAAAD QSTLSPAKEEDTEAIIALHAGPSASASPPATEENSLEGADTTGDVSTTDIQSKCVMSF LRPQPGKCSSKITNDDRHYISNFFGRNKTCSTNIPDDFYQVLCRKCMQSMKYRLKCNK EEKEVHSQVAAIKHVLRNMAASGKWVLLEVQLTKSEYDRRRDPSKYDKELKEFNDAII KAREEAKQKGEVVKRRNTKPALVPVPDWLAELVVRSDDNADQDYTPVHEREPTRWTFE DMIGLVDLIGENCDVLPNIECLPVTQETQTKQLQRELQDFKKMLAEMEEQLEEAEEEL QRALDQAASTQHTIPPKRRNDNKPGKKGESSKRVDRVAKKKKAPATTPTQDRASQDTL ADPDLQTEPGTMASVDDDNNGDMDPRAAGEKRGREEDEDENEGQAGAKEKGSPVRKKV RRG EPUS_03106 MRLKDGLVSKKHLEERQEGRRRVWPSPESSLWSRGAGDRPSQDQ SGGVHFWGTDLWRKFGGKHCSRTARTAPEGAIPAPPVRQPASTSLQSSRLGEPSFSAP PTAPVSRPFKVPPMHTPLDMLKPPLPISFYPGHLETTPMSKYPPLPDVDLFPESPKIN EASMKSSPGATTASRADKPHMDVQQRAEAAAARARARIAEEEKMVLEQGSLADNSLFA DPLQHQRAGAPAGPTALGGQDGLGYDPAALELRNPSHLEAAINPKPRRRALWQRRMVI RNVRQRGRLTKTIKIARSERSCLSRSLIEIDAYSYAEIHPPDALLQEESRPRSARAPP RSQTRSHRHPRHGTRPPRTRNLWSPAPQPSPAPTTTTLPPSVSPTTTSTTIIQTHTPP TPSKPATLPGTSIPSNPAHRSPTDIYISQAWINRGPYRQKPDYRAFGRVFIMRPPHTG LSVLLKEEKTRAREKADREIKRIRQRMGRSMWTQLPDRKISRQGQYLLW EPUS_03107 MDISSLLSPPEATQPLSPPPVSMQSPRKQMRRHPSGPVKRGLTS LPLSHSAVPASNIPLHVSTPTHRPTASSPLASPTTGVLRNSTPPRLVEVSRQSSTPSM DALADLASMQNHQPTRSAAPSLRSKDSYESQLTPSTIFPVVQPPSAAANPRASFDIAM AETPKPAARIDFAGTSLSPELQKNANVLAVDLQQNPHSYESHAQLIRLLHQAFVHHVY PPTSPDAHGDPHSFDLLHDLRAAREGMDKIFAIGEDLWAEWIQDESMLAKTIEEKISL MEKCSKAVTEEYGSVKLWIVYGEWLLHTHKLYHQTSEEAAAGLSEDEREVGQDIFSWS VVVEVWQRGAEETMWRMNDSHLVWNRYIDFLIQDSQKTRSEDLIREIKFLFDARLQTP HADWDGTFQAFSTFVSAYMDKQYEEIMVTTNRKATDAKSKWDARQPMEIALQQAQEAG DRAAEYVALANYVQWERTLAGRKRFSFDLTNALYQRAALRFPSDPQLWEDHILYLIEE GHAHRTTESVLPTLGRATRHCPWSGSLWAQYLLSSEREAQSFSQTEDIKHRATNTGLL DVGGVEEVIKVYAAWCTYLRRRAFQVDSTDEDLDVAEMGIRSAIEDLQDLATRKFGEG SNPDPMFRLERIYIKFLSESGSWDTARETFRGLIPKQGDSWTFWIQFYMWEMSCWAKF IQREKTEDGNISRKTPVPHYATAVLRQAVKRETLDWPEKVMCAYITHCEDHEDVEELQ MAIVEVRKMEKIIARRREVEALQAAAKSEAQATLPPQHAAEAITRTIEANTSPSGKRK RGGEDEPVADSSKKSKIEGGNGREVNATVASRKQVKRDREHATILVQNLPEVVTELKL RQFFRDCGKVNSLKIIHQNGTSAVIEFDAREAALFAQTRDGKDFEGQPLHIQLGSGST IFVSNFPPTADEGYIRELFDRFGQIVDVRFPSLKYNTHRRFCYVQFRLNEQAQAATEL DGQQMDQDLKLVAKISNPAAKQDRTGPMEEGREVFCKNLHWSASEEDVKTLFSRYGNV ESVRIPRNVKGQSKGFCYVVFSSEEEANAATALNAHSFMSRELHVEISSKMGAKRQAT TIISSVDRSMSPSTGVNGAAGSPSAMSTTSSNIEQPSGDRQARTIALMNVPDTVNDSR LRAITEPYGSLVKIVLRPDHQGAVVEYTDAQNAGKASLGLEGYEITPGRNLRVGIVPE MLREKAERKTDKIQIGKAKKDNPGSTQASLQPSGPIRRPGQQAGRRGGLGQKRGLGFV APSGKSQQEEPTNTSTQAGKSNDDFRSLLNKK EPUS_03108 MASIDLNPDQDSSDLSSFRLPPPESLSQSPRHQSSNVDASETNS SSRRIRNRYKSAFTETGLDGSAETTTSNLEHLIATRPGSRVRWRSQVDIREAEQQEEG DMKPEIRCTIPTTTWHHYRSSAAVLSRLSLLAVVLAIMIPVLHMSPLFYAGTTSIGAE AGPIAPNPARYLERAPTQLLPRQDNPADACLRWSHQSAVVNGTLYLYGGRAKRDSNQD SNTWNNNFLAVDLKTGWQISSPTMESLPQPSGPPPTSNGFLWNSEDTLYLYGGEFSDN PEASPVPYSLWSYDIASSTWEEHPDPRTVDGVPVERSAEGSGVNIPHLGRGLYFGGHV DHFTTPGWDISVFRVYLRSLLEFTFPGYSNPAVNDGRPAGEDGIWRNLTDDGVQDSAG FTERADGVLVYVPGYSEQGILLGLAGGTNTSYTQMNVIDVYDIAEQKWYKQATTGSIP EYRVNPCAAAVSAADGSSVQVHLFAGQKLLPYGSQEQYDDMWILSIPSFTWIQVDQRD QPVPPGRSGHTCTMWNSQMVVVGGYVGTDLSCDSPGVYVFNTSSLKWNTNYAALKGGN ELNQQISQEQDPSALHGSYGYTVPQAVQEVIGGNAMGSATVTAPAQTVTAGPFATGRP IIYTVTQGDGSVATETVTPGAGPNGSGGSGRGGPNIAAIVAGVVAGLFALLAGYLGFC AWVYRRQLALYKRHVAMSQRQSLGIAAPAVLGLGPGKGNDSPLGKSSLDATSATSSSN ARSGAGAGAGRGVGGYHAVPTTTNTFAGGLDRVSTNSVNGGGLNTARSSTDDLMDGQE PTFLGVLLSPRRSLKVINRD EPUS_03109 MHRLQIMMIFRPKYDNLHLKCNTKVDKVVIEDVIVCGGTLSSPL ILQRSGIGDPEKLRKVGVKPLVDLPGVGLNCQDHYLTLSLFRAKPNTESFGDFLRGDP EVQKKVYDEWNINGTRTLGPSGIEAGVKARPTEEELKEMEKWPTPEFGSGWKSYFKDK PDKPVMHYSVISGWFGDHMLVPPGKFFNMFHFLEPLNLMRHGFMNDKRDMAPMVWVYI KSRGTARRMDKYAGEVTSMHPLIVFDSAVRAKDMNLATTNACAGPNHLSSGIQLGSWD KSSSISCGTLRRVLASNSYACRVCKATRAEHVEQ EPUS_03110 MPLIEESHDSLPYIDNDVSEKERTRISRLIAAELPPDARSTLHP SIPPEPNFNASELIQQELQRKAVGQPITGGVDLSRYEEPEGPSDDNNTEAWKRSLQKA YTSSIYLSGRISNLSLLEELGKNAWLIGNSQLENILAQLEKELEGLKAATENVNKSRK AAQEGSRGEMTGLEETWRRSIGRILEAEVAVEELRKQILDERRQQAG EPUS_03111 MSSNSTSNNRPIYRVAVLLFPNADILDYAGPIEALSHATWNRDR AAPEPIFEIHTTGRATDVPIPTGDAAPLKIQPSILIEELLDTLPSYHILVVPGGPPAV VQKVADQADGLELRVVREFAELAPPQDGTGLERVLMSVCTGAFLLGKVGVLAGLTVTT HHMFLDVLDEVCNKDNTGKKTEVVGGRRFVDAGLARDGLRIVTAGGVSSGLDAALHVV ELVSDGDKADYCAKVMEYERRKV EPUS_03112 MAQSTIQDDEDWTKVQESRQKIRKRLEQLGAAVAAGSLGLPKNV KQGLTPTSNSLESESQTTEVCEAANDGMMLDQPLGNQIDDAFNMFLSSDSVSDLAEST VAEHCSHEYQLLVSCGPQRIQDSISLKLHAGNARRRFFDFTFVSPASTEHQGSDLRFD HGHPQIA EPUS_03113 MAPTSEALSNNGQPTEADLLNLHKDDLSAQSLDLKDHHVSASLG LFIIHNVVRRNLLACFENAQQVNASNIGAFGTYVNYTMFVLEDQLDATDTIWFPTFAK YNSRFGQQIAAHKALKPKIQELKLLLHPRKSL EPUS_03114 MTKLCFRYLPRPQFTNLNKHVFPVYFSLQLGLVLLTAATYPPQS LLSLVRQGHWSEYMPLALNVLMAALNAGVYGPRTLKLMVERTHQETRDGARKARPDVP AQDRELPEQQQTDTVVSDAMRLLKRTFSTSHAMAIHLNMIAMIATVWYGFSLASRVQF TLE EPUS_03115 MPCRRDDSLPQSRHWCTIAATTDCGQQAPPSKRSATMDFKPSIT RQIRRSAAPSTPSWACSITTAALIRSAGNASHWGWRGARWSRCLTEDIYPFEEELPSD ALIVDVGGGLGQVSTRIAEKIPRLRFLVQDQAGVVEVTRSSGLPDEVEGRVSFIAHDF FEVQPVKYADVYLFRFILHDHPDSAYIRMRRHIISAMHPTRSRILIDDAVIPDLLGSE SIRMFSMLDIHMAMRLNAKERTRKQREELCRATDERLVVDKIWEERDGRGGP EPUS_03117 MGSRPVTPSNEIHYVNASVANRPRNTNSRPNSYFASDAVGGAAS LAQGQGPHMDSSPLAQSLNKHEDSYGLSQNEDAVFDEGHRNMTGGELRRFNSQVSQSQ PLMPSKGGTLKKKASLRRSGSVKRSSSRRSSRAGSVRSLALGEKEKYGEGEEFNSAFY KPIPTTGSPTDVLATRFQAWRKVLKDLITYFRDIQKSYEARSKTLTTVSHAINNITIP GEFLASGGVGDATYILQDFHKRILNETNRAREMENEVIMQLTGLRSDLQQKIKEIKSL SGDFKNSVDKETEGSRRAVRHLQEALGLVDTDPAATAGKGDPFIVKLGVDRQIERQIE EENYLHRAYLNLESSGRELESIVVGEIHKAYTAYAGILKREADEAFDTAEKLREGPLA MAKDHEWEVFVANNDHMVDPRIPIRSFEQITYPGKDHPAATEVRSGMLERKSKYLKNY TPGWYILSPTHLHEFKSADRIYSQAPIMSLFLPEQKLGSHSEPGSSSHKFMLKGRQTG GMHRGHTWIFRAESYDTMMAWYADIKELTEKSGKERDAFVRRSHARSLSGGSMKAHSI GDSSDGGLEEDEADAQPYSSEQSVRGVPPADKAGDRGIDTRIERNAEAGPEVYTYGDE QLDAAGWPPSQRPSPGGRFPSDLNVHRGLQAPLSPSSGESSTERDRDAIAAAGALPGS GMPYLPSDDTEQQPQHIAVLAGGEKATANEREHDYQEESAAGHGHTKPLQHFSSAPQR QYSQQSQYSQAQPEYAQSSQHSTHPQQESTQSYQQYAQPQQKTAPTPSRFTEDLPHQT QHDYTGSGIAASIGGAAIGATGVYQYVQQPHGQQPQQPETVMDEMDHASAVPAQGTSS PPITIETATTISPTTFTNSSQGDAGSLSTMPTSMGSINYGVDSSQQKTTPSAHQAGTV TTVEAVPTSAAAPDETSYAQQAGTGMKMTADALPTAGPDQTQDAPSKPTPLRSVTAGT ISDLHIPGEFPKTPKYAQ EPUS_03118 MAEQKAYQPKDTIQASAKATLYTGSAGLLFAAVQNTLTRQNIGA LGVFTRFGGSIGLFGLPSTHWQYGQELRLRLAAVGGTYAFISTASANLREKNDPYNQA LGGFCAGALLGVPKRQMSSVIGRGVLVAITLAAASYTGNSMLSETVDTETDRFLSKSE LRNRFRRPVNELINEIGEGRGIYGPGYEERRRQRIKENYGIDVPEPYYKKP EPUS_03119 MPGPEGDVRTFSSSVKGPGKLAPTLIEDLNLYDSRRPLPTGLNV LRDTPLSFSGYKNVATLLPEDSCRHSWCAKDKQNVLPALDARPSPDSVYKLAGVCQKC RMHVEVEVNYTMGWEPEPCPNSIRPLHHLVHSPWRESTPRKYSLLADGETKPETYAFV CSSPTCSAVTFVRLRPPMLSDEHVRLLTDKTLLNQRAEDVINSDPARFEGHQKPTPVD VLGDLNRYLRNSVERQAPRPILIDNKRFNLRFGKDGQPCKDVLNFLGFKYEHQEHWLP PKPSYDGSTPSKNALDTFIEDVLSEINILILSYPQEDRRQIHDIAKLPDAERELLRLF GTQDYDKHTSARTATLDPATRNIYFTALGAPQDASDELVSFAYHEQIRTNGREGPTYL TYLRQIALERRSELLDTLVATEYSAGNFDSEQLYAAYNYFALSARDEGLTDDLILGTF QARLQDAAKHEADMRGHLRIIGIHRQSRRIKDVAEDTLNTYEQALAFFDTDASLVDEF FPTLYTTKTTEDPTLKESARKAIKIIAETRCSTALESWLSTGELGETAMEIGEAYRQF GIDDATIDDTIIESAYRVAMEDNPGQAETYTKAFNAIVADRKGSRMQATSRGLQTFPE LGFPDWPVGLENIGNTCYLNSLLQFLFTIPELRNLVLNFDDVKMELTAANIAKKRVGS RQITLREIQRAQRFVLKLKDLFLEMIRTPYRYVAPEQELTRLTLMTSTAAENIRRRST IGGSRPLGSVNGVPVQGPLRPILNENPVDTYDQPLLSALTEGESQDYPSVVPSRQDAH ELAESAPLLGPSPVELEAPGDNSSEVTLVSTPSIDGSVLVDGAPRVEQRSVLSNKENL APHISHGSAKGSADAAEHIPPLVDPLLSPNNEQGDTEVDSSDHETARNVKTENISPEQ PSRPPPVPPRPLPAPEQNHTLEEYARQQDVTEVLNHVLFQLSCAIRPLGFDEAGEQVD QIKDLFYGRTKQHIMSDDKDEAKNDTFCSIIVRLFSLPHDIYAALDGYFDVEEIANKK RFLSISRLPPIFQIQIDRAGLDQKKNNHHVELKETIYLDRYLEEDTNPALMERREEAW RWKKELADLEARRLELTADQSHPDMQTTFQNATTALMHLQDLSIQGEDGLKVPASVIA TLEALAEQAQLELRDLEARSKDLSTNINSQFADTAFRRHAYRLHAAFFHHGTASSGHY WIYIFDFRREMWLKYNDTRVEEVKDTNEIFRRPSEAEFRQWNGPSNPYYLVYVRDEDK DKLVESVCRDMQLPAEPLRDIEMRDATEDGNSGDAREAQSEHSAPVPLALRERIHPSG EWEHAEANACAKW EPUS_03120 MTAPVEARSLSSLTTLFANPPQYPRNPTHKVLEPLNLYIVRVPG SRDIFLSPLKPPTKASISAEAIQSSLYYFHISTPQDEVVKESLEKHRRSWSAEQGSRV DEIHRKPVPSSFFTERSTGEAPEDEATGLSHSSRPVTKSEGDIPDGSHIETAKRVVFR SQDEGSVIARKPVGPRPDSSRLKTADSGVIERKPVGSSGGDGRTGQRASTEVPAQFRA MDSREGRQLNSDGCPGSQCSSNSVSRSITDVASASSQLPPPLLLRPSYSLSEHEMGND FRVTIIRRDPSSGSQWNIGSLSRVRRPAMMEQDSIGIEITTPGYQKFARQFESQAPNL QAYDQAPREHQGGSTEGYGSITQKTNDSPAKASRRPCTHFEPTSFTRDMTLNRPPGSQ HHHHRSSSSESFPSSLPQLASSISPTTPSQLTFFSPWNGTCTFTTGMDGRSLKCRHKL PSSSTSEPSDNSVLVAELRFNLPWSALRSRDTNTEPSTGAGRRTKTSNSLAHLGEDAK HSLKRGMARFRQEIKSSTSSDTDLRPTIPGTAHARDTSSTSNSFSSTFQYQYGDDSDD EAAAANDSFASSSSGRMDLKLGRERAGGGRKGKSAKLGKLVLRDEGLKMADLVVAACM GVWWNVYEGRGVEV EPUS_03121 MSPQRYEPVNAHDDDDIDDNNHGTPITQRTPISTPSSPPPSFRS QASSPTFRRLLSRDDPLHSDEDQTLADTFDDGEDSDAEDGGDDRQRLMRADPDARRSR GRPATGAKLPVFTPTAPPSRQQPRGGNDGVFANLAAKPERGDSGDEKPPTYESAAADA TPPYWETTITLPGSGVPGSDEVYVDGLPVGSIFSFAWNAMISMSFQLVGFLLTYLLHT THAAKNGSRAGLGLTLVQYGFYMKGTSKSSPSPGGGGDGQFGGGGGGNAPPTDPNSHD FDPSSIAASAAAAAAATSSTSASSSGGGLSSLASSDWVSYLLMIVGWFILIRAVSDFL RARRHEALVLQSPDRGLAVPVIAEGEAADRVV EPUS_03122 MDSESPKSYDSDAILVESGIEAVVSTSSITSISSENSSDTISIS SADRLDQKLPDQQFSITQTSNLFDRGSGEVLDHKASQEKERPQDLHFRSVCRLLDAEN FEATDLRAGSSQDESSPGYISCSEGATSQSESSLEENGGEESLIDEVLSVLGVGGPTA RKRAIHPSEIESSTIPNDYSHSSPLSQKLECVSIPPLLVPKSKYIGWKHSLSTTDERA TLSSMLEAQAGNQEQPDSFHDILVEDFVIYRPGYSTRYPWQVVTLDNIISNRESCRYL LNGVLKYGAIAEYVEAMEIDIDTISIDGFEDTEVHSVQDMVYLQTVVCARRRTALDCW YRLGEPSGQYRDLHKRFLWVADLAKHVIDYANWKYHSEDRNVMVRLKDFQQDFMTRIV NWHAGSLQFEEWLHVYKKPDFRIPLNRHREFIYSRAAGLGGHYPDHDLWSDLMVRPAM SISDYNGLPEDTLVTTYVKHCCRSMPWSYVLKEERMSESVGRQQQRRATAMGFSLPTL HHQSSGLDEVPRTAALLEVAAKSGLTSAISADEALGRFAIVRWKRSQGRTSYHEYSYV YIQKVIKSELKVIFVYLPSETICLDGHYPHQNELFLSECCNCSADCAPIFLSDIIRLV GVTIGDEIIGLQDFFVRQKYVHNEDAISRLEKSDFLCPCLRPPDVVSTDEKYDKVERE PLSGLGLFAGCGNFDLGLEAFGAVKFVAAVEISETALKTYAANRSMGFHGLIFDSVNP TLLKILQGSLDLHDIELISAGSPCKGWSRANPYRGDDNGMRNCSLVASTISYIETFLP SYAVLENVGAMGSGVGNSCNQVVACLVGLGYQVRKMKLNSRDFGSSQGRDRLFILAAA PNVPLPKEPRPSHSKKTGFVKASEVSRGLPPMDNDDLICISHPDHIAGAKQTPLFREL IRRIPRYPKTMNFLQSVKKGYQGKAQLEWLLSRKGLHDVEDHMAFTRLDPDGLIPTIT TSPRPACGWGGGRIIHWDEHRTLTLLEARRAQGIPDEEVIIGDLPKQWAQVGNAVNRQ VARALGKVIADSWFSKRASPGLKIVVAIPANKTSGRSQKAKKETRLSCMGDDHVSGGL NLKDMEQGEVQFVQDIFRHYGRTSGTKQASINNNASDLSFSQIQRREISTIERNAAGD KKSVRMFAERSIEVCIKAPTPSSEEIEYGSAEHPINLEAEVSISKRRREDISDDDDEE EDEDDLKNWVGKRVRSRMNN EPUS_03123 MARMEELEIHSKSYLIRWVDIKPEHTISWSIQPHKKSINFGIFK HPGRQSSLIPHLPPSSASAPLSPNPDVNSHSSVVDRLTGIGLKQVSWLGKCEADKITQ GSHDVTDNEGGTYALVFDNTFSKQISKTATLVLLTYETKHPPQFGAQIHHSQVTSPGL PPSQAHRNGLGFRSAQQELNRQPSLPTADQAVPSASLQPHTGSSVSSVEPASTVHTGI LHKRRRKKHQGHARRFFSLDFTSSTLSYYHDRNSSALRGAIPLSLAAIGANAKTRELS IDSGAEIWHLRASNQADFENWKNAFQKASRLAAEAISPRPDLSINTKVLPQYPSSVKD EQDWIRAEALLSRIAGTRDAVRRLCMETESTIHVPTPSGRGSSPSSLADANAEDYFRQ DERRPFWKRKPGGTTSSHISRCQRSASAQLSIPAPSGEFSRSSERYTSSYATPAESPT QPRHHHQVEQTMNDHCRALLRDLDAAVSEFSALITESKQRRAPGPNSAASRLSVRSTD SQEFFDASEGAQAPFYEIGDASDDELSRSSDDSVGHDSDCSSDLDESRDITVTKRGVR SDSITSIFPHKAKNLAPLPMSKVRRRSNVAPATIMPPSLIGFLRKNVGKDFSTISMPV SANEPTSLLQRASEQLEYSSLLDKAAKATDPVWRLIFVTAFAISLLSSARVKERAIRK PFNPMLGETFELVREDRGFRFIAEKVSHRPVQLALHAEAQDWSYAQSPLPSQKFWGKS AEIVAEGKARVLLHPHGDCFSWSPATSFLRNIIAGEKYVEPVGSMLVVNETTGLKAIV TFKAKGMFSGRSEDVSCEAFDTHGEPLPLGMQGTWVQSLCLTENRALQDTTIWSAGPL VDNAANHYGMTSFAATLNEITEIEKDKLPPTDSRLRPDQRALEQGDHENAEHFKARLE EQQRLRRRDMESAGEDWKPRWFIKTQLGEETIWKLRAGRDGYWEERVRGTWTKVVPVL QL EPUS_03124 MNINQEESFHEKADINSEPPLRTSYKSYRRKYRKIMVRFEDRMR ESNNLYSKHQRLLDISRRLGEQNDQLLELLHDLNAAPQVPPSRRYDLQPPATIHKELE HEDERKTTFSDPNIPSAALHEARQSSRNGNTSISDSRQTEESMRQSKDPKPMKSYASL SKIPYASFNNSKFDNNSIDTPLNGFPAPLEEERYLEGLDSYLNGTAPTPRPFTAAATR GGERLSEKDREMALKNPVSVYNWLRKHQPQVFLQDNEAHSEKPSTRPATSRASKRASA VKQEPDLYDEDGIALDVGANSRGKRKRDDDGGYRPKGGNGRPVKRKKDDGSASAKKSK RGSISGLT EPUS_03125 MADPSAAVSDKAPNGKKRKKNEIDGDGFESLEIDINAPEPASKK ALRKAKRAKAVSHPKEQEFGPTDRKKASKGNETLTSREPTSRSPYGIWIGNLPFFVSK EDLQKFLVSDTDNAIAPDQITRINLPQGTSKPGSAFQNKGFAYVDFLSADVVEKALHL SEKLVGGRRVLIKNSKDFQGRPQLTAKAPEPNLPSTKRIFVGNLEFDVTKEDLEHHFE VCGPIHYVHVATFQDSGKCKGYAWVEFEQLSSAEGAMRGWVEISDPSVNAEKENNPME TGNFKKVKKRLWVNKMGGRKLRMEFAEDKATRYKKRYGKDSRSEGLGDTEESAAHQRA SDEEQGRSEAHDDASKAKAGLKKLSKSKHPQHLRVGYSVASIQKLTGAITEGQGTKVT FD EPUS_03126 MHEDLRRRALESSKTVSKKARSRQSSRTTSAVNSRPNSRPTSRA QSRNGSDDEDGGGNLSDETSFSINSIDELLASDDFNEQAAEPQRQELKDRIEELCERK GSSYKGREDSLAAFVRILTAHHLADELYGRVADLVSALLRSVKAESTERETILALKAI ALMVISFQDDISYDGLSAQLKRTICDTQSLSTKAAAIHSLGTCIAFCGAGDDEIMEVL NFLLEIASSDGSFVNATDDADTVIAALQEYGFLTTYIEDLETESEDAVAAFVDQLDSD NVYVQIAAGENISLLYEKSYTPMEEDDTLSDLEETEDNSSSGDDSGNSDGPKLIKRYN AYHNTYEILEKVQALASLSSRKLSKHEKKQLHQSFASIQITVENPRLGLQTNNASRMT VRIHQEGEMKVDKWWKLMRLNALRRLLQGGFVNHYFEGNKQVLDTLPLIMRDVNVKGL RSPGHDKGKKGRWKDNPKRGIRGFSED EPUS_03127 MSDKDGSPERATTRSLAGAIPTGPSARAAHERRVRRAEGTDQDS TARGPRVSPVRAPQPTLTPTALPRRSRDHTSKAPQKGNASLVGASKSLAQSPAQQVTP SLIPALASGPQPDLDLPLAVRRSRRHSSGGSGSSEKERAASIQPNQTSRSKIGTNVHL QLSGASASQPKSTGAAAAAEHDGRPANPFVGLYQDWAGAADQGSPTSQNNVNRLIAAA GSHDSCDDCLWRMFEEWDSADEEVVHAVAGAGLAASAPAMVGSVVETGAAPSNSDQEQ QRGLRSKKKRTALQAIPQTELSDDEIATIIQYRDERMTWREISKKTSLSIDTLRKHRI VGHFGHSVNRSVRRFVPTEKQISDVKKYRAEGKSWCEISAVIGINEKSLRTAKDKGFF GSEDSVQRSLRRKDLTEDQVREVKRLRSVNYNWKEIVKKTGITQQVLDLRRNEGLFGP EHRLRSYQTPLSEEQISAARAGLADGKASKAVARSINVGRDAFLQYRWEGVFGDEYAD AERARRRRMKKS EPUS_03128 MGITILPPSDSDDDFGSGSPASPSPSPNDSSSDTSMPDAPSTSS RPSKRPKLSSSQHRNRILVPGETITSEPQWMRGHGTFSPSVPSTSHASATITATLPGP LHTTNKLLSITPLRSRYHPSIGDLVLGRIVSVDRARWRVDISAPLLAQLPLSSINLPG GVLRRRTTADELQMRTYFQEGDLLVAEVQGVGNSDGVATLHTRSLRYGKLRNGVFVRA MGEGRGGGVVRGRSGSGSGSGEVDVALGVNGYCWVSRHVDPEADALEKEKAGKAKMGG AGGRVGMSISNLDELVSNEIYSSQNDEIDYRTRKEIARLCTCITLLADAALKIDEDTV IKAYSAAVEAEMEMMVDEEDEDAVAAAAAAGVDRKSELKKRIVQAVVGGG EPUS_03129 MSARDLLDDAAILDDEDDESFDEATGEVRLKANGTKDQFDDSSE EEEDDDEEEAARVAEGFIVQDEEEDEEARVERRRKHGERKKRKREQREREDEGLDEED LDLIGLGRDVEDQTESKFKRLKRGPREAMDRHDQNDVSRIFDRDDEEEGVGDYRRPGR SDRRGAQDEFEDFIEEDVFSDDEQQRMQEDKDVARPTRGPVSFANADTTGLDEAALED LQLAFGDGTDYDFALDAEMAAEEQENEEDKRLDLKDVFEPSQLAERMLTEEDNEIRHT DEPERFQLARKPYRHVILTDEQFREEATWISDLLLPKRGNLWKRPELHEPFRRSVGKV LEFMVSEDYEVPFIFHNRKDYLIHANKIPLGKDANGEMQYEIEAAKLLNQTDLWEIMD LDLKFRGLIDKRNSLQRTYDSLLSNSVPPDSIFEAMLPTAKTMEELQDVQDYLYFQYT SQLKDLATLNGGDESLVPRKATTSNTMFERIRNGNIYPLVRAFGISADAFAQNASNQG VRKYTEDPSDRPDDLADKTLDEDFPTGSQALKAAKSMFTEELYTSPRLRRVMRQKFYQ VGVIDCFRTEKGLRKIDEQHPYYEFKYLRNQEFASIARQPDQYLKMLKAEEDGLMEVK VRLQNVEAIKKQLFKQIESDNFSEVADAWNRERREVLGNAIGKLMVLMGRLVKENLKT ECENIIAKECRDEFSRRVDQAPYTPKGEKKGTVPRVLALSNGTGVPGRDAICWVWVAE DGRVVENGKWSDLAPGDKERDLPDGKDVEAFVEVVRRRAPDVIGISGFSPETRKLYNN LNTIVREKNLRSAPFDDEDERETSELLEVVIVNDEVARLYQTSERAKVDHPSFAPLTN YCVALAKYLQDPLLEYVALGRDLVSISFCPAQYLLPQERVMHQLETVLVDMVNMVGVN LNDAVQDMAIANLLPYVSGLGHRKAAYMLKIINLCGGEVASRADLLGFVWEHAAVGVK CWNNGASTFYVEYDPAEKDMEYLDNTRIHPEDYDIARKMAADALELDEEDVKVETDEN GPGAIVRKLVKENAQAINDLILEEYAEQLERNLNQRKRATLENIRSELLDPYEELRNP FITQLASDDVFTMFTGETRESLDKGMIVPMAIKRITDDHIDAKLDCGIDAFVGESDLT DRYDVSVKSLYALHQTVQAKILSIDRKHFTATVSLREDQTKRPYRKFHDRQYDEWDDR QEAADKKLLEEKTNIGGRATRVIKHPLFRAFNSAQAEEYLGSQNRGDVIIRPSSKGLD HLAVTWKVSDGIFQHIDVLEMDKENEFALGKTLKIGGKYTYSDLDELIVLHVKAMAKK VEEMMLHEKFQGGSKADTERWLTTYTEANPKRSVYVFCINPKYPGYFYLCFKAGQHAK LMNWPVKVIPNGFELHRTPYPSMLDLCNGFKLLFANMQNGVRR EPUS_03130 MSDPFKPNSFKRKNAKNLTLNKAPARAPNPSDGDAQIPGAIGNS ESNRTDTLEIGLEFKLDLRSEDLIFEKELGAGNGGTVSKVSHASTKVVMARKIIRLDA KENVRKQIVRELQVGHDCNSPYVITFYGAFQNDARDIVLCMEYMDCGSLDRISKDFGP VRVNVLGKITESILAGLVYLWEAHRIMHRDIKPSNVLVNSRGSIKLCDFGVATETVNS IANTFVGTSTYMAPERIQGGAYSVTSDVWSVGLTVMQLAIGRFPFDSNESAAGDRASA GPMGILDLLQTIVHEPAPKLPQSEAFPIILHEFVAKCLLKNPEERPTPRQLYDKDLFL QAAKRTPVDIEEWAVSMMERNNRKSYLEPPAPRALNRDGSRDSFVGHAPEHHPASNNV SYANSNNHNAPSTGPNSGSSGDTQSTRTTSTPTSQSGEIPVIATQSPPPNSFTTSMSP RSPTPPLSLEHLSLETQDKSMLNPYSARSNRLGFGNRDNIPEPASAVEPTTRPFFPPR MHSASALSAGSGFSPRNGLQSATMPRRTAPPAGGPPSGPLPPPPGKEAKSIDFGSPRR QR EPUS_03131 MELPVALLALSFLFTTEALPHGEGKVSQNRTINWHPCPDVEGQV VEALGVPISLPFDCASLPVPLDYTDPESGTLDLALIRVNATQEPVLGSVLWNPGGPGG TGVENLAVQSEELIEVLGGQYNVVSWDPRGTGKTIPFDCGLAETAAVQRRDADSLVRT NLTERFLNGGWDGAVSYAEACYSTMNATGELLGTAFVARDMVEIIDALGEDGLLRYWG LSYGTQLGSTFAAMFPERVERMLLDANINPHDYAVGHWGNYLVDADKTLLAFLDECAK AKDRCALAQYTGLTTAADLFEVLNAGLEPLLQNATSGHEEGWLAYLSVKQYIYSRLYW PRLWPRLAETITGFLNGSLTDLPLPTPDPTREPYNLGVDSINGIRCSDALWRASSPEE ILDQVEYQATVSESFSDVGYEHTWTCAAWKMEAKERYTGNFSARTKFPILFVNGVFDV ATPLVSAFNASAGFEDSVVLTHNGYGHGLTAHPSLCTTRAVQAYFKDGVLPELGTICE PDVGAWDLLESSTE EPUS_03132 MASSTSYENLSPSVLANPGEFCTLSTCPLSLANIQYVPSFVGNA LYASLFGAFLTGQLFLGIHHRNWGFLLGMFGGIVLEIVDYNARIQMHYNPFQKGPILM HVRYLMTLNIAPAFLSASIYLAISRIIPIYSCTLSRFKPRTYAFLFVTCDIIALLLQA AGGAIASFADNVDEEKDEDKMRKMGIDIMVAGVSWQVFSLGLLGIFSWEFAWRIRKAG ERDLSVLGGSGCSYQRLGLAPLAVFVRSVFRCAELREGFRGKLANQQVTFMGLEGGMI VIAVGLLTVWHPGLIFQGTWQHAGWRFMGEGAEERKVVEKSDQRRDEWMTYGHGEKHE HWTRK EPUS_03133 MDSSHDLENGSTSPAMAGIKRKASFSSSERVPKRATTTSVLDHL PAADPEPIHLCSVCHHPCWYCQAASASQEDPEPSEKSMAPRKSSVTTQSEVSRASSSK RKREDGRIYVGPKDAGFQENILQPCNIEKIYIVPEEDFTPVAVFGEPSEIASMGAFLN FDKAELRRIAQQFMEYERRGDNEHTLSVTYTKKLLVDEDVPSPQGPVQTLSLRKDRWR PHKPGPTIQSNLYFFDWDVEPDVTYAVSINQFDALLRKRLQSAPFNHWLAEDEGSSPY LTIEYKCGEKGGKKSHAINQNVCASVIWLYQRRAMRQDLELGIADLRHYSIVLLDGGF EIWEGRCDEVGFSVQILAMGNLKTVRDLKEYVTWSNAIHTWGLGPNAESFKKDVLELF KRSESCLPLTPKSLATLPETAVVSSLQANEESTQASLISSTSDSQVDPVTKDAPNPSG WSPPH EPUS_03134 MPSQRHSPWLRLRIWAGKKIYKPYVSPQVLRIGFGQVLKRRCHP AEVQTMEYIHQHTSIPVPRVIRIYRQEGTEREDIIMQHVSGEPLAVAWPKMSEASKQA VVRELAGYVEQMRQLVPPKPGFVGSVSMGSGYDHRFGGDRFGPFESMEDFHAYILRHN SLDAWKEETDVVHVHSNPSVYATRFTHGDLVPSNIIVKDGKIAAIIDWETAGWFPEYW EYTKIRYQWRPYREGYYEAMDRVLVTYPVELRAEQAIWKRYDCLLKLHLYVMLRSGGG VRSKGGLKRARDAFERDVLALRMPVIQDKKLKAEESFTIEQAREMTQLFFDEVIGHQG LDRDVSLINVNNSHERRELDVGAGARAERLSVNLSIPQTVRRFFGAFDNAEAKRVKPT EPUS_03135 MSNKDRVYVALYFRQGISNEPALRQEYQHAAYHWAILVMPKSSE GEGSTYDVKYQDAYSNLPGSGGWGYFYRGEADMRQSRAILGQVMIGKLPKNIGSGDVD QMLRRIPIPQDNTDPVQNCVSWLVHAIQELQQHECAEQFDVNQFMNDALQLGDRKVSQ DPLLRSQPFKENYTSRKFP EPUS_03136 MLAFAALLLLLPLGPLLQLQFTLAAKSVPRALNITTIAANPQKE SILECWRLTAPLLESSVPGTSGAVFAQLGQGNATSYGLIPPRFDGGLHNAPAIQWVVF TAGEAVISFPNSSAQATIHGGRNGLILAADIASVSTYGHITMYPSNQETVAIQIPLEN NIVPDHTVLYEGPCRKREQDNYKQ EPUS_03137 MAATNADPNTTPAMLAPLGQHSDLRHTHSQTWPPILCMTLAIVV SAPILAIRMYTRHRIHRKLWWDDWTCLLAWIFLIPYTGMLLQSINYGGCKDLWNVSKA DYKKFTKLFHNLEIIARVGMFFTKAAILLLFHRLFVVEGSRRTKVWWAIWFVFWWNLL YAIALVLSVAFQCTGKRAVVEAGKECVNGFAVLICASVINVTTDLMILIIPVVAIWGL RMPSEKKWRLSAVFAIGFLGVLASVARLAYQAVFARRPNKTIIIIIVLLVNAAEQFIG IIVSCLPILPTFYRHLRSKAAESNSSKSTNPVKGLSASIIGRRTGGSKGKAKDPYQIY STRGYEEIEAAELEKRGDGHGNLGGIMKDMEMSVISERLSPDTPGVAL EPUS_03138 MARNMAVIAAEILTILALIVACIFSFGGMKPVGELDTRYLALID TSNITSTVSQVTYHGLPDSHDFSKHKDVFAIYLYAYCSGTLEDKNSKDDAKRYKINFC SKPGSELFDQYRLWKVWGVDLATPRKAKNEVDKSIGVAAIKKMPRVILVGFNTAMLSL GLTVLAGLSSYFFRHWSLITAAVFSTISSISILTVSSLCQSLYPTLIHRTNDYTISFG SSNGTLTSRYSARQLSILWLVSCLSTLASICLIFGALRSHYGARNPKNGPRRSGEDKT GGLGGLVRRTTDRFFDTVDVSGTYQKLGGDGRDALVRAISIGGESRKNISEVELIEQN ENGRDDGTGGSLVHGPHRGLRDEEDTRYEPMRHH EPUS_03139 MKRLCLLACAGLAAAAPFAFKPYPISNPSCPVHPTSAQGPCGDF TYKWCDTPEGSDCDGCASAEKAALTGPAGFFEAPRVEEVVSAEEYLLYKMLEVEYLVE FSKNPMPFQKPVDLPYCGLASSVQKLEKRKKKSWLSKIPGGSIIEATANELKGAAKQI EKGIASIDIPFLDKPPKYVPRPLCIPKTIQVPIHFTVFTTNVTTSRVVTPEILEQQLA LTNEAFEPLGISFFFATMNYHVGEEFRTFTQHMMADNPKSTTAYQANAERIKQQNRYG GNDEVNIWVVESIDTPTCAKRTDGYCTPASWLRQTNHPADGCVIEIDSLPGVAWRFEG GTGSTLTHEIGHWFNLWHIFPDEEGAECAGSSDGIADTFQFPNSVPEMFDRFQRQCCS SGTGRGKTWDFCPANETIHVANYMSYGQDKGVIVETDPDETKPWTTMQRASMFTAFFT MRRAPPSGGIDPSCLNQHVVFDVPPPAPVFLNSRAGLEGRSLRGLNLFRPSDQIMKTL KRVCASPPGPNNTEQAIDVISGEEIHCNAATNTCDPPSTGASCPDSSPPPCNLEAEVC PEGVSPPCPPDIASCPDGSSPPCAPLPIICPNGTSLQCLPNNNSPNPNNNTPVPPATE PDPNRNAPSNCPSPCNPLGHHTCDPTTAPTCIFPDPRLLNPRSFCACRPGYKASSSPQ ADTAKQWRLPIVGQEHRVWVPEGVACDQLCRDGSCGEVGVVDIACAGGGGGGGEDQVL GGRL EPUS_03140 MQFSLLCLATFGISTIATPVGSANDGVVVTYPESYKRMASDDAI AYPDSYKRSEFHDAA EPUS_03141 MANGYILSRQISDLERKMDIRITALERKMDIRIAALERDVKILL RRKGCSWM EPUS_03142 MSTITARVSQSALSTSPERQSLSQRASTSTQREPEAPATSVVVP LPRWKATLVIVTIGLMTMMSTVLSGVLAVALPKIAKTLGIAESLLLWPASVYSLTCGC TLLLSGSLADVFGSRLVYLIGSAFSTSMVLACGLSRSNVQLIVFRALHGIAISLCLPS SVSMIAGTFQTGQRRNIAFACLGGAQPMGFLVGLVIGGILTDTIGWRPAFYILAGINA VVFCTALTCLPEKVGGARADVLHRFKTEIDWLGVVLISTSLGLLSYVLAAVTVHLSAI SSPTNIALLILAVLLLPAFSIWVHHQEKAGRPALIPNSIWRNPVFTSLCITAFFAWAT VTANQYFLALYYQKVQLLTAFDTSLRFLPLVVSGVLANIAAGSLVRRVRADVLIGGSV LLSAATPLIMALIDPSLSYWTAAFTATLLSPIWADITLIVANLVVTQIFPSSTHGLAG GVSNTMAQVGTSLGLNFTAILATGVTMSLADVDKTSPSALERGYRAAFWASFAATVLM LGVTGWGMGRMGKVGTEKTN EPUS_03143 MRSAAGRLLKDTYPWDDLLRKSTHREYYDKHEGSFAGAPPRVIE GHLEHCVETLRQTLMCHGDISLLTYNWVEGRDMPYPNFNTIHTCKKWDTLTRWNMGRD VTVEWREGEVVKEYRPPTKPKGVTGMKVPP EPUS_03144 MAPPGQNRAPKSGAGLWTKWRKVLTIRDVVPCYGPCGSLIYIGR SDTQVKVRGQRLELSEVEQNLSCQDGVAAAVVLYPQTGLCRKRLVAALVFGDLQFNSV HDGSVRLLRNAHSAKAAGLLAKARESISAVLPSYMVPELWVALDTIPLTASGKLGRSS VERYIDDVDHRTYQLILRGTNEVDAGKTGEALTKPKENVLRKIWARALNLCPSTISVD APLQSLGGDSITAIQIITKARAEKVEVDVADLLRGATIAQLTAVKSSPRSSTIAPDDG ESNLPFELSPIQQMYADYAPQEEHYFNQALLLKLSEPHSSHDVSNAIKALVQQHGMLR ARLFKDGQGRWMQTITDDVDSSHVFTVNTVVSFEDSHALVRASQGAVDAKSGPVIIAR LINLTNGSQILSLVIHHFAIDLVSWRIIIADLETYLRSGSLSGKKPVSFQRWSNLLSD YRRQHLSLFEARPYDVPSADFAYWGMDGRENLYGEVIHSKICLDLNSSNSILQGCNEA ALYQPLDLFLAILMLSFSRTFTDREPPAIFNESHGRDLLDPYNDIPGTVGWFTTLFPI HASIDGCFDTLESMRRIRHARKLVAKNSLACLASQYLNREGTQWSKQAGVAELVFNYT GVSQYSEGGESVLQEVPGSMGEPGDTASPNMRRFSLFEISAGVSDSKILFDFAYNRKM RHLEAIERWKRHCEQLLRDAAAQFPKEQPDLAACDAFMMHMDYDATYDLVQGVLGRLR LRDPGEIEGIYPTSPIQQAMLLSQNEGSQYYRTRLRLSASLGMGTVDCGRLRTAWHKV VERHAILRTVFVQRPLGTTIYDQVVLRRIEPNVEFAHCNRHRAQQSLQQEPHSWQQEQ PLHKLTICEGPSGKVTCDMDISHALIDHLSLPPLFKDLGRYYAEEIPSQFAPPYSTLI ADIESRSKDEAMQYWRKLLDRREPCRLPCSQTQSSPHHRSRQVVVRLTQDTTASLSML ATHTPSTVFRAAWALLLRQRTQAPSPIFGYVVSGRDVSHLDSQCIVGPFLNIIACCIE LDDSLSTEDLQDRIQRQFLDSLPYQHHYLTYAASLPHQGHAIAPTRRLFNTLVNYRRQ MPLTGEESGIGLHLEPMEEHDPFDYDVVVEVDHEGTGNFDVRLTYWTPAVLEAEADRL AQTYARCVEAVVGTPKVLIGDL EPUS_03145 MSAELSAADLEGSQGPGIVAANLVVAILATIAVALRFSSRRVQG LGFQADDYLILLALPLGWGMCVCTLLVSILLLYFRIFGRLRYLRLLAYILGIFSICWA IMVVLVCAFQCRPLALIWDKTVDGTCINAPLFFILGSAPNVITDFMVLALPMPAVWRL QTTTMQKISLTGIFLLGSLTCVISLVRFVQLIQNDDNQDVTWALGYVSIWSTAEPCLG IVAACLPTMRPLVRKFASSWLGGFPSSNSKGGGSDHSSSGLLGKVIHSHKFSSNSTTK QTSVAISERNSDSARNLNHAGGGSMETCITTGEVRRDCEPDTRAKGKPVNGIEVETST SWLSGKREEKGGVVGMAREESR EPUS_03146 MPHETIGESRPRAHGKEIDPDREVLVHTGGTEAILSAITAFIEP EDEVIVLEPAFNLHNPLGKVFSVEELLAIGNICLQHAIVILSDKVYERLYFTPSYTRI ATLNRTIARNTFTVSSVEKAFNVTGWTVGYVIGDESPIKHVQLAHIILGYTTAGPAQE AAAVGLEEADRQGFWEANKQHMESRMRSICSILHKLGLPVKLFHVVTHEMGYALPPSR A EPUS_03147 MGHQLLFLVLVVTYAATAATQTPPHASSSNPTVSMGEGFRSPTV SYSSGRDAICVAGLIPVPVVATNIRQLLSAPTDQPSTTEFIQELLQAGSTLVQRSNGG SFTVADTFRISARLCYPSNLTSAAKVQTIQLLTHGVGLDQSYWDIAPGFSYVDASARA GYATLSYDRLGVGQSDHPDPVQVVQAFTDVEIEHGLVQILRMGGLAKKAFRNVLGVGH SFGSIVKIGTTAKYPEDFDAVILTGFANALQNLPYTILANNPSLARLNHPARFGTLPN GYLVHATPTAIQLPFFRYPFFDQRVFDSQVSRKQTYAVGQLLTLPAILGPSRFYTNPL FVLIGRNDFPFCLGDCTIPNDLAAAVQPALYPAADVARSGSFIVENYILFQVLMATIS TPRTQSPTPQRVQSPTITFSPSSTPSSSVRPSVDIPRLDTRSTSPAPANASQRRNRAA LRDYYNLKSRAPPPQQNLSRAASITSATSNGTASTLAALEDYPLSPTFRSQLDEENFD AETYVQELLKSSNLRTVLKAEGSLVSEIKNLDGERKALVYDNYSKLITATQTIGTMRR SMDEAGGGSLQTTSMLGPAVDAVAKSVAELSRGIGGRDVSQERERKAAVREERSKRET VRWVLGTPARLRASLEVGDRGAAEADWKEVQGLLGKWKDVRGSAELKAACEEVMSPTL PDGDSAG EPUS_03148 MADKIGFTKDELHKIYDQVTSPPSSGTHGEVNTSSALGSQTEIS AASALGSQHEPATGLDLTPGHPTRADTSSGMRSEVLSAPDRMQPTKTSPGADIGPVPG ATHAEQRNQAATGSSLRPQDEANRSSGLGAGRETATNKNTAGHTSVVVGTSIEHGKNT TDPQIASRRKAASASDPANVDKATKGSRTAHRTNILAEIGIGKHKQNVKEVEPVPPTE TAVSLDLKQSNKATTDAKTAHQSNIIAATSLGTHDDVRRDSDVGPRNETAPEHHHHRH HDKDKTEHEKHDHQEDKPEHHHDEYRAKEFKAAEKGIVYGNVSHLGVSATQDPTDGSR RKSLAESPYRGRIDSTVSPPSPSKKWSFTMGDFGLKVPKQESNAVTQELNKDGSVSMQ GGQGRVPRGIGSISSTVRRGSVDKTVTERDPAKRWSFVHGDFGLKMPKQESNAVAQEL NRDGSVSAQGGLGPIPIGVVGEGGRRVSLGRQGARGIGSISSSVRKGSVDATVSEHDK ARKWSLKDADFGLKWPRQPSNLHE EPUS_03149 MKLLLLLSFLTAASCVSIFEITGDRFISPLRGQRVSNVTGIVTA KGPDGFWLRSVTPDRDASTSESIYVFGRTAATPRTVGEQIVLSTATVTEFRTSADYLY LTELTNPGNVTVISSGNDVSPIVIGARGLNPPTEQYSSLDNGDVFGLPNNVSQISVVN PVLEPQRYGLDFWESLTGELVSVPGARAVARPNRFGDTWVVGDWRTTGENERGGLTMT DQDANPEAILIGTPLDGSRNPSTTVLGDSFEDITGIVTQAFGFYRILPLTSLVVISSP QPALPPPTELTYSGNCGGLTIGSYNVENLSPTSPNLNQIASDIVNYLRSPPLIFIQEI QDDNGPTNDAIVSANLTLSTLSRAITAINPSASYAFTNIDPTDDTNGGQPGGNIRTAY LFDPRVLRLRSANPGTATSANEVLPGPTLRFNPGLIDPLNPAWVDSRKPLVAEWEILN STGSFFTVNVHFASKGGSSSLHGDARPPVNGGVDIRLAQANITANFIASILAQNSNAP VIASGDFNEFAFVAPLESFVEISGLRDADVLAGLSPEERYTYLFDMNTQQLDHTYVSR GVRNVELEHVHINTWGANGASDHDPTVLKVGVCAR EPUS_03150 MLLNNIRTFYPKIDIKRVPTAKWFTILLYTRAIILDRFLNKAWN TRNSDGPAKWLAFHTSCSPSFDPFNTLFQLFILETPDLAYAFLRSFTTGHLDRLVKDD KRRLYYCIYEAQCDLEQCDFELVEKPIPMLRIELACIISNRLSAYRKPEPKNIFIAGT SLRMNEASCIIQDVKSYDEATYKYVMTGCKKHVDFPLLTTEEDFKRLLKQHDIRVPEG YLTHVEKWSVPLRGRYRWSVLYIDRLKLLQNGGWTPKAIENEAQRTMREAKIQLQKRL EVLEKQNQTRLLDQLCQVAIQSDLLDKPTIFEDDKDCEMISEAFAVVKPIGKDQNQYR SQLQEQLALEAVIEFFRDRRPQQYDEKLRRFLFSQQNDAGALGKVAEWFLAWELRKCL HNSQRMTGSPENILHRRKAILANLGNAENLKQRHRCLEDYCLLEGERTGHCYDQRLKD IPIYEWMASIRNGKKPAASFYLPDNLAGPDILFALQHSKTSAKTPGDSVILLGPSITA VAIETTNPRSWYTGKNPNLWRDEQKRQADLNADKRTSENEYFVRLDKRQTENLFGAAF FRLMVAMKNKEKDVKKLDEITHGKRGRNNPGPADPRAGKKSKR EPUS_03151 MHHEISYESLSDYVAVAAGRSFVKGCQLFKLKYTYVDVCENDNG SAKCLEAGDATGQQGPLIHTVSKTRMPIPHLEQPIVSKAKPPFRVSFLEAQTIQTAQI VFSSQPAYTFENWDDCVRFQEALLAHKVIFVGGIAEAKSKGRGEECISQNLRILQAPN GIQTIIFFANSQRKERKQYISIPVSCIDQIDQNKKPGRPISLRLQPNFKLLSELKVLH IQFLDDDDQKQFYKILCPNASG EPUS_03152 MGRWGGRLYKTDRARDFDHEQDRNIRRRQIYETIDRQGFQWVVV LVAGVGFFLDGYTLFASNIALPMIAYAFWTEEVSSLNLTYINIATLTGTLLGQLAFGF LADKNGRKKMYGIELVLLIGSTLGVVMCSTGTHGSMSVLAWLIWWRTLVGIGVGGDYP LSAVITSEFAPAKHRAKMLATVFFMQPLGQVSGNVVSLIVVVASKRQGDADLVRTVDI MWRWVVGIGVVPGVVALVFRLAIPETPRFLIDIEDNPIKAEFDANNLWGDSSISSELA DGTRRDTIHISTTSDANRSNDEDIATSSVTEWGCMDDPPTTLNSNWTLSKADIVQYFW HEGNWRTLAGTSLTWLLLDFGFYGIGLSNPHFLAKTWGSLNISGPTPPWKTDNSPDAN IYDMFLNTSVHALIILNIGSVVGGLLMIFFANRLNRVSLQKYGFLALAALFIGLGTMF ITVYKEGPVAIALYVLGQLIFNFGPNGTTYIIPAEVFPTRYRGTCHGISAAAGKLGSI LVQLFSAYYKFGSSTPGNAQTRRYGIILIVFSIAMILGAVVTHFWVPNVQVKVDGKPR KILGGNTKTLTLEVLGKGRSGPRSFPVAMRQRPPKTLLILFSICLYYASVGVYRLYLS PIAKFPGPRLAALTYWYEIYHNVARRGQFIFEVAKMHEKYGPIVRITPHELHIKDKDY YDEVYPGSQKPTDKDPAAAGAFGRLEGFYESKTPIDLRVAFSALTIDVISKYSYGESY NTLGKPDMDPDNYGNILSTGELTHLLKHFPWIVTIAKLLPHWVAIEVQDKEKGYKKKN DSPTIFHELVNSDLPAEEKSITRLTDEGMTLIGAGTATTAHVLSTTSYHILSNPHILQ RLQKELQEAVPESSHITKTPLPELEHLPYLTAIIKEGLRISHGFVTRAPRIAPNRGLQ FQDWTIPPGTSVSMTASILHTDPAVFPEPQNFRPERWLQESKPKSPANASLEKYLIPF GRGSRNCLGMNLAYAEIYMTFASVFRRFELELYETTSKDVDVVHDFVSGMPRLDTKGI RVVVVGKRD EPUS_03153 MYPRASLAGLPTEIRLKIFSLVIQPNELNEADREKKWLTEHLGE LVAIHYSEHRPPRLIKSCKGEPGWQLLRVSRTCYDEAAPMLYEKQGFYLFNDYDWSMW WRTQPFVDLPSFELFHTQHLIPYGFAFIRELAFQPTPEISKYFVKAIERNFPSLHTLR AFRHIYMHNSRLVRELREVWVEFHRFVLLAALVITSNHSKLKYAKWSDQRIHPDADAK DTIRTMTVKLNPDNMLLSGEAGLLDLKRISEQPLSDARVWSDAVKDSSLRIEDFFAVG AEI EPUS_03154 MARTNKTTRRTCRQVNSSVSITPTSPRRSQTIVDTPRRTRLICA AESTAGKLPRKELFKAYGVSESTGYRLLKSKSTRRSERIHNRGRKRVLAPYQCDAIET VENASFQFAATSHYAIARAIGLDNGSERAIRRNMAEYGVGTFMAQQKKFISSTSIEKR GIWGFDRRYWHLNDFKRYKYSDESHFACALQRQARIHRRRGTDARNAPKKVQFRFKRR NQVWHVFAYIGWDFKSKLHFYTGSGAGGRLTQVDYVTILEEVVAPNWDPNWVLLEDND NAHGTRGDADNKCKQRRNVWASVGNLILQSRLI EPUS_03155 MADVDDQIKTTKKRRRKHGKSVPEGDDGKKPVQDDIKSATESAT LSPLLNQAVQKPHKKRKTSHDSDLKDSSNPEKAVQVESPAGSAPEDSDAATSQVEDND TTDIVNGSHAPQTTTDLPTDSTPSLPQVEASAQTFAELNLSEKTMKAIEGMNFSNMTE IQQRGIPPLLAGRDVLGAAKTGSGKTLAFLIPAVEMLSALRFKPRNGTGVIVVSPTRE LALQIFGVARELMAHHSQTYGIVIGGANRRAEAEKLTKGVNLLIATPGRLLDHLQNTQ GFVFKNVKALVIDEADRILEVGFEDEMRQIIKILPKEERQTMLFSATQTTKVEDLARI SLRPGPLYINVDHKKEHSTVAGLEQGYVICDSDKRFLLLFSFLKRNLKKKIIVFFSSC NCVKYHAELLNYIDLPVLDLHGKQKQQKRTNTFFEFCNAKQGTLICTDVAARGLDIPA VDWIVQFDPPDDPRDYIHRVGRTARGSNGTGRSLMFLQPSEVGFLIQLKEARVPVVEF EFPAKKIVNVQSQLEKLIGQNYYLNKSAKDGYRSYLQAYASHSLRSVFDVNKLDLIKV AKSFGFSTPPRVDIQLGASMSRDKKQNGRRTYGSQPKQHGGVRFKRKYNNGDS EPUS_03156 MLVVLGRPGSGCSTFLKITSGETHGFNVDPKSEINYQGISARQM HHQFRGEAIYTAETDVYFPQLTVGETLSFAARARAPRNRPLNISGDQYAEHMKDVIMT MLGLNHTMNSKVGDDLIRPLVAVKGNELLLQKQHLAVALCITLRLETELSGSTACVAI YQASQDAYEFQRIFDKVILLYEGREIYFGRTQDAKDYFTAMGPLERIVRPGFEYMVPR TADEFAAAWKKSAHHAQLMVDIEDYYDEYPVGGESVQTFENSRRAQQANMRNPAIIFA FMIFYMLTYLFSTEYISAAKSKGEILLFRRGYAPAHEPEAQSDIEKSTDAGQSLDQAM DRQVSATIQQQTAIFQWKDICYDINIKKEPRRILDHLDGWVKPGTLTAAMGWCLAKLY LMGVREMPHSKGKLVREGLQFSALLRQPASTTKQEKLGYVEEVIKLLDMEAYADAVVG VPGEWLNVEQRKRLTIGVELAAKPELVLFLDEPTSGLDSQTSWAILDLLEKLTAHAML FQRFDRLLFLAAGSKPVYFGEIGPSSSTLTTDFERNEAEPCPPDSNPAEWMIEVIGAA PDTTSSINWTEVWDSSAEKPSITLDLTTLQPSPSKDDSTYRPFAAPFHTHIRLYLIRI FTPILAHTNLHLQQTAPLHPNRPLHQPLLPLLQQQLLLPPSKASKTKMLGIFMLMTIF GNIVQQNLPQLPRAQRSLFEARKRQSKIYSWPAFLLSNILVELPWNTFLAHVAASVEP RVWWRDFGIVLTFSVFNVCAALLFYWLARGVPKTKDSHGEGEGEGRAGRVRRGKGRGR AQDMPT EPUS_03157 MAQPRRNLSVELQILNSEDPHPTRNFILRSPTYAIRIGRGSKSG NRELFPASYNAWFDSRVMSREHAVLKADPDDRCVYIEDVGSMHGTYVEDQRVVARERH RLYDEDLVKFGNVVTRGPESFPPLHVVVRYSWVAERYISPMIVAGLWLTPFYSFGQPQ AAPGTSQVELPPNTFHVPDYDDEEDGEDADISFVQETVLKPKLEVVVPTQIIDLADSP IDHSCIEEAMSKDTGRLTAYSPTSPLPPLPSSASSPLPRSMDGKAYPSSPALQMSPAA AGPSGLSEAERQADKWAINLNKHNTSSFGSSMSSDQQSPTNNSSPPTSSLSKESNHYP NAKPSGYGSSHVASQPTDLDKGTTLVDEDLTQSESDSDDPNSPALMVDDSEDEQGHDA VTDYGSDSDEEALLIAEDHGTKTTRAEEDSTDSESSRSDFSDDIGMERDEDIFDHFPN KTFGTKESMPKDTCGDYETTTTQPEAIPYNMRPGNFTMHATRLSLPANTLNRAPSPSD AAMAKPCEFPTHNSGVPGGQYPYTNSQQWSTPMASAYSSAWPCTFDGRSHAPYDERFG EFPYSYGASCAPGQVPSDPLRAYQSQMSMLDAQSFPGTKQINRPSCTLPQPPWQPPPI FKPNSSMNALTAVAIVPENASKPTAKVSIDSIVERVADEPMPSQNDNKLKRKADDMTS SSVPESTSTTQNHVAQIEAAEPPACRSQSKIASMTQVKALQAVPSVTGNEEERPAKRA RTGNETGRTSFATLAATALAGAVVGGVGVVAALVSLPQDFFV EPUS_03158 MSTVPIPVQLAPPSGSPPSSSSSLWDRVSTWATENKAIVYTIAG TVVVITGAGIVYYLSDSRKNIKGASISDEKRKSKKERRKEKKAAEDGKSASPSIKDEE AGTAMPAPKAASVEAEDDLPQIDETTVDSFSQEDRKSYAAKLKAAGNKAYGSKDYNKA IELYGKAILCKPDPVYYSNRAACYNVLSDWDKVVEDTSAAIAMDAEYVKALNRRAHAY EHLGMYSEALLDYTASCIIDGFKNEQSAQSVERLLKKVAEKKGKAILEAKGRKLPSPT FVTNYLQSFRPQPPPAGLEESVQLDENTGLGQLQRGLIALDKKTGEGYEEAAKSFEKA IELNDLGQHEAFGLNMRATFRYLEGDTTQALEDLTKSVTLKPSLTQSLIKRASMHLEM SNREAAADDFEQATAQNKDDPDIFYHRAQLHFILGEFPEAAKDYQKSIDLDPAFIFSH IQLGVTQYKMGSVASSMATFRRTIKKFDKTPDVYNYYGELLLDQQKYQEAIEKFETAV DMEKQSKPMSMNVLPLINKALALFQWKQDFQEAESLCQKALIIDPECDIAVATMAQLL LQQGKVTDALKYFERAAELSRTEGEIVNALSYAEATRTQLEVSEKYPQLSQRLQQMGT AGPGMPMR EPUS_03159 MEKAEKAEDPVLRVSSSTSFYIFTSYLWRNHVESTNHGGEHYFL PYHRDRLVSAAEAFGWTGVTTFIGGDGGMKRLSGIVVDHLKTVCEEEPSCAMRKIKIC VYKDSRFHIETAAITPTDSSNVFYLPTNLNEVATLNCRCLVKLDLESTPTSLFTSHKT SERIPYDRARGAADIAHKPPTTAEVLLFNPRGEITECSVSTPYFQRHGRWVTPSLSSG GNAGVTRRLALQNGLCEEQTVFVESLRHQEIIWISNGVRGFIPATLLLEPLS EPUS_03160 MSAISTVSESFQITTIVRYESGLLASQTSQTCIADKFMTIDDFY LLASHQDKLMNAAKHFNWPVQLIELYSGTRGLQILFAKLLLHIHEDRKGDAPLQVLVA LAKDGTLSIRSSPALMPGLLFATDLFDRKREPNLGDSGGLYKRVPVRVLIDDQISLSF GTVWSSSVRNSSFGKASTTHESLIVSRYSEVLQGQFTTPYFLRNGAWITPAYSGVVAN SVTRRYALENGLCKEGIVLKSSLKNGEDCWLSDGVRGFFQGTICLDPSTLHGSRPEAH SNRDRAIPKRDEKIRSEGELSSTESAGNHFDDEDDDELQIIPNPFTSSDNFQAVKRPN PFVSSSPSPPLRRSKRGQPGFTPLLLKDKDDTLLCDGYKAVPNPEHRGRILRPRPLRP SRPA EPUS_03161 MADEPGQPPALTTLSLAEQAPSSHAVEQRITPFDVSGGVDETGK LLPVDYTKLIQQFGATPISPTLLERFEKVTGKKPHRFLRRGIVFSHRDLEKILDRHEK GQPFYLYTGRGPSSGSLHVGHAIPFEFTKWLQDVFDVPLVIMLTDDEKYMHSPKLEIS DSKRFTTENAKDIIGIGFDPAKTFIFSDFDYVSGAFYENICRMAKRITINSVRATFGF TDSNNVGEFHFCATQSATSFATSFPHIFGSDPLKTRSIPCLIPCAIDQDPYFRQCREH AEKMKYKKPSLIHSIFLPALQGPGSKMSASVDISAIFLTDTPNQIKNKINKYAFSGGQ DTAEKQRELGGRTKYDVAFQYLTFFMEDDNELEQIRHAYEKGEMLTGELKGICIKYLQ EYVAGFQERRKKVTEEMRGEFMAVRGLTWGGNPNPVPTEVVEKKGAQKEKEKSGKPNG ELKN EPUS_03162 MKFFENSSSYDYSFPAVTLAYFLRYPNPYSKHVLSTDVIDRYVD PSTKRLHTTRLHLKRSKVPSAMAKLLPAGLTGPGGAQQSYVVEKSTIDVREGWMETES RNMEWTGILSVIERQTYRRQPLPIETWRDKLAASDEDTTLGGGEREWTSCKTVVSFKS RLGQAIKKNAEAMANANEDETPRQGFFASWSTAGIQRTIELVGVKRSKTAIMNGKNGM NVVLERLRSGGVMGVLDGMRNDRLEMLGVQEGQWKRAWISGNQGGDPDPSPPDLNSEH LDHDR EPUS_03163 MHFFTFITLVAVLVINLLCTAPAAASRSHQRAHDLDTVKPISEL GWTVPLLSGTYALPPPAADKTLKYLTLGRGIMTYGCNGNTPGNDQPVYLYQNTDLYDV TPLAQNLPDEAALHSLVPHFCEYDYAELRNTSMHCVGRIYNQSDHTIVNLFGFNVPEF TIEVDQVIPSPGGQTVDGYWDHCTTIDKEWEMYRVETAGGATPTTCKGHENSTISVAY AAEYWFYHC EPUS_03164 MSQDYSGEDPMVQAKRAERDLNSHEAKTGHEQSSTATESGVDAS AEHKFPGSKVSYGSAASGAGDNREIPSEEGGAQQRGTGRVTKAGDFDQGEAGEGPEDV ATQREQDNSGSDDVRANVGK EPUS_03165 MGSERVLVTGPQGIKDILQLETYKFEKPRAVRAILQGILGDGLV TAEGQNHKNQRRLLQPAFKFKQIKDNYPTFWKKSLEFIDQLNNVPDTVDVVPLLSRPT LDIIGAAGFGMDFKSVAHPDNEMTKNYATGFNSSKSAQRRRLLGFLLPAWLLNILPLK RNRELNIALNTIRKTIAGLLDDRKVGLQSGETPSDILSAIMSSGVLDSEALTHECMTV EVTTDPERIRQEVRSNIASPDCELAAAVAAVDDLKYTSAVVSEALRLHAPVHMLQRIA KQKLTVSGVPCPKGTNFRISIWALNHSPGRWSSDPLKFDLDRWLLDTAGGAADLYSFN TFSHGPRACIGERFARAEMLVMIAALVGRYNVEFVGTGETVNPNPDEARIEIGVTTKF EGGLHLKLRRVDGW EPUS_08790 MANGILTPIYSLASRNGFPSLDFSSLRPSRAWTDSARAAAAYTG VHLASLATTNSRMKVLERVVDALHQSGLPGPARLMDLQEYLGQGSQFYVYKDHLLVEE GYEAFTTMEVAVKQPKFDLDLEEELDLADSGVREHVYHMYLEIFTLTNPALRVHPNIA RLIAWSDVGLNPRLMPTLVMELAESDLATLLADDVPQLSPSQKYSLCQDVGAGLDALH DCHILHGDLKPKNILIFRHEGCIVAKLADFGLSLDQAESDLAIAYLGGTPGWQAPEVE VGSKLSSHGLLQADNYSFGLLVWSIILHSGKVPSLSTREARQAIATRELKDARDIVGL EMCRTLTDAVQKLLEYDFRRRPLRVADVLDDRPNDEEYGHGVTLDFEYKFSTYGRMPS RHAKSKRISWELNPVPDMFIDGLHLQFLNDLSNLPGDILFALFLAFTASQPSDQNTED RALNILVAAARSGYEPAQGIIPAAHKFFQLEPQQHIKELITEWLKSAVGSGSTLAIPE LEKLDPPTLYDAISDFRINGGYNRFYCAIDPSFNNSVAPQNKPTQRSSFRYSRLHWLA TYGNLSALLDYFNTNRGYGIDDMTDNQETPLYLACARGSWEIAAELMRRGACASVRCT SFEISCMHWVFAFGEMFQAEAVIQLKGRGADLNARTSTEVPFFHYPFVLPAGTPLHWA VATSSHKTIQVLVTQGADLLIRDGSDPYVYDGRVRILNDPKELIKEEGPPLKTETKGL SPLDLAAMQHDPFIFELLISTRADVDINAVDEEGFSVLHRLSASYIWRTSAGIAFSTL PFRGNRIHMRDGLIRSVAAIKALGADMELLTTPLDSKAQQRKRVCNFPSRTPLMLACM NSATDAVRTLLEAGASVRTENDVGQTALHCISRERAACVECVCLLVFYGADINHCDKY GGTVLLRAAFAKCLEVVEFCLAKGADIEVQEQHPLAIEKGEGPFHLLSPVRSDMIFQD RWDRDFLLQDRWDEGIELVDKKDRNIVLRDKWDLKLARLLERYVLACPHVEKKRRVIE FGSPSGETILHVYALYAMQHCVAALILHGAPVNAIERNPAQTQGDFVYGTPLDAAIRG KKRRAKGRESKTFTNSEYEDSCRKADAVIDMLQRAGGVSISDAEITKKWFVPGETDTE EVEFQKRSDNFNDPDRVDSEIELA EPUS_08791 MSSTDNNDHEKREKLKGFENWPRWSNLAHLRLIEKELWKYIAPG PPNDPDNHRAARAAYILKRDVSDELFQGIEAINNPRLIWNHLKSVCSQAGQGVIYASL RELFSHPANYKPFGLEKSINARVSELSAIVKRIRTAVNPTRDIWEDIQIIQLLEGLPT QFDSKKEHILNQKDMKLADVQQILASEEVRINADLKTGLLSEVAMSVRFRSRQRKNLA EIQCYNYDELGHFARDCKLAKQTERSTNKRRNTTESKRPASKRCINQVKAKNGTDSDS KQQEFPHSVVYAVKSTKLNKERNWYIDSCASKHITGQSSWFQALTTTNERFTTANAGT IIAKQVGTVRIETEDGYVDINDVAYAPACTSNLLSLSQLKSNGVKYVDMDDYMALVVN EEIVACVTLKDNLFVLNSTHAAMLMAQLWHRRLGHASHVRVAQASRMSTGMDLATSDT DQAIFELDLAISGTELTEQQEDGQICEPCVKSKQTRMIHHKGGQKTTRKLELVHSDLW GPYYPASFRSKHYAIVLEDDFTKKSWIGFLIQKSDAYEYFKN EPUS_08792 MDRPERIPPNLGSLNLDSVAKLRRKKWARQIRSIVQQLHDIGVV WGDAKPGNILIDKKDDAWIVDFGGSWTEGWVDPELAESMKVDLQALKRIIEFLKV EPUS_08793 MLKEIHEPLPARRDQNAYTLGKISGHNVVVAVMPEIGNNAAATV VTQLLNDFPSIRFGILVGIRGGVPGDEGEDDIRLGDMVVSQPTATFGGVVQYDLGKRL VDGVLRGQDS EPUS_08794 MDVLTADKGTSLLKVSLFMEYCPQGSLQDLIDRKQQAQDHVTEA VTWKIFGQLSLALRYCHYGICANGTQIGGWEPVLHRDIKPANILVTKIEAEEVMVKLG DFGLATFVNPERAPSTYARTLRYQPPTDSSSAGDY EPUS_08795 MGSQPIAEPWDRPSAAHFQGTVSTREVMYVQSRTWPKYDEKRRK SLGDVRVLIPGQYDPSLLHTKAKLYLAFVHQAPNDAPGINSVTSDPFNNDFDPFDEDF DHVYIDNELGYDPSKGSKETDEASAISTSPLERPRLRVSSENPYKNQRPWRVFAPAGE YPDNEEE EPUS_08796 MPTSVLLPQLFLPRSAVNLGRFVTNVDEPHQNFYDANTASDVTE KVQTQYDSLHRSATQRTFASDLTSLLSSSFSKRSKTSIRIIADQVKTYYLNNTSRWFR EAVQSEETRRWIEKTIDEGEVIYVVVGYHTIFDAQIMEQYGGQGTSDGNLGIPVSTAL AATGIVVPFGNLVDPGLAGSRGRAEDEQRRFVAQGEQICAVQYRKLRLRWLASSKLDK MKLAKGTRWERYDRPRYLESDGEDMIEVELEDDLALENDREECKVGLEEDIFFSTVLE V EPUS_08797 MDDYKIFEDYLLQDFDSVSTLLARGDEDVKIELCSHYAALRLKR FFRWGQMKDLEESIEKAKLAVERTTYRHKHLAERLNNLAVGLVSRYERTGKIEDLEEA ILVARQAVEVTPDDHPNLAAGLNNLGNKLGHQYERTGRIKDLEEAIRIARQAVEVTPD DHPNLAAGLNNLGNKLGHHYERTGRIKDLEEAIQVARQAVKVTPDDHLDLAAWLNNLG NKLSCQYERIGRIKDLEEAIRVTRQAVKITPDDHPDLAGRLNNLGTELESRYKRTGKI ENLEEAIRVTRQAIKVTPDDHPNLAAGLNNLGTKLESRYERTGRIEDLEEATRVARQA VKVTPDDYPNLAERLNNLGTKLESWYERTRTKLGRRFERTDRIEDLGEAIRVTRQAVE ITPDDHPDLAGRLNNLGNKLGRQYERTGRIEDLEEAIQVARQAVKVTPDDHPKLAAEL NNLGNKLESRYERTGRIKDLEEAIRIARQAVKVTPNDHPDLAAWLNNLGTKLSRQYER IGRIEDLKEAIRVARQAVEVTPNDYPKLAARLNNLGNTLGCRYNHIGKIEDLEEAIRV VRQAIEVTPDDHPDLATWLNNLGNKLESRYKWMGKIEDLEEAIRVARQAVEITPDDHP NLAGRLHTLAHHLLSSRPSNSLEALKALLHAWSCHSAIPSVRVRSSALAVQLLQSRGD HERAYKLSTETIDLLPLIHNRSLSHQDQQYVVSDFSGLATSACSLALELGEPPEKAAD ILERGRGLILSLLIDDRSDISELEAAYPELCGRYENLRSEVNTPVESATDQRTRNMAL ERRTKAIEELNECIRVIQQLPDFSQFQKGLTARQMQDSSADGCIVFINLTDLRGDAII ITPNGFKALPLVHFDAIQAESWINQNLTAFSSAIQAESWINQNLTAFSSDDHGQKQKY FRQFLSWLWQRCIKPILDELRYPIQYSAESLPRIWWIGTGLASSFPFHAASDRSAGVT ESAYDRVISSYSPSIKALIHARGPVHMTAVSRSDRPKALIVTMPQTPDAKDLPGTSEE KSVVTAALGGPWHITALDQPDVATVMEQIQKCNIAHLACHGVSNQTDPSESGLLLQTA GTAAGKPRQDILRVREVCQAYLPRAEIAYLSACSTAENRAAKLVDEALHVVSGFQVAG FRHVIGCLWPSSDRVCVQVAKSFYSELGQYGGRGHKDRAIALALHKAVKGVCEGDEYG KRPLYWAQYVHFGALLGAIIHSASCNIIQAD EPUS_08798 MPMQDALSLPVVQQVKVGQGQSTEGGLMVIMPMYGMIESLASQG DKHSTTFFPSGGGTGSTNYPVSISAENTTLPKEAREIVLSRSPTNEAISTSGENTTLP KEARDIVLPRPLTDDETNPHDPTKHTTIFPGARSPQPPQSLNMDFQFPSGSPKDSHEA SPSNRRKRKSPESPMVPVSSNPDDPLTLQTGIEQVPYLLERLQTTESQIPHCLVFVSS TEPTGYEWVFHNQIRNSQDLESILQELEKRGMFFTFGDLTKRQFSTISVEGAVTFLQA GTPIFVHLPTINFRNGFPSPLNESERTFDPEIPRWNTELHRWTLTSKKSKGSPSTREE IHSDSTEL EPUS_02982 MKKHSPRRPDKEYSLMQVDHPFNTLELTVPMPKSRHFNPPLWAT IRVPNTATPENPTRSERVPKQSEKKAAERSSLRERKDERARQKAAFAARNARRYDLDK AEQDSQADKGQSAGRTSKMGCEQAT EPUS_02983 MHFKSILALLALTAASAVYAAPAFDDQKDCQYGWDHDRHSCKPQ PPCQHGWDKEHKKCYEKPCEYGYDEYNQCCKPRPHCQHGWDKEHKKCYEKPCDYGYDE HNQCCKPKPKCDYGWDNEHKKCYEHPCEFGYDESKQCCKPKPEEPKECPHGQERHPRT KECCQYGYDHEKDACKPKEEEHCKYGKKKNGKCRKHEKKPKECPWGKDDDDECRKECR DKDKCEKEWWGGCKKDHDGMDIPKKNVYLPHWVFNWDD EPUS_02984 MLKSMLATTTTVAATTLKNAGPRVYAYAQANPIRTAFAGASLVA VPLGGTAAVAAPALKLVGFGSAGPIGGSLAAWYQASYLGGYIAAGSLFSVLQAWGMTI EPUS_02985 MEGEEADLDGVDGFTYTDQDIMDEEANNTQDIYEQDPNEQPFDD TFPDENDPYIEADSSLDPTSEAPNNVGGEMQLDRIPEDEGDGEFLAEEEVRGWDQKQT AGYLRQLGIDQKHCQAFEEKGITGDSLLSLGQDSMLTQYLNFGARGKRLKTSGRIRQF QQDLLAGGALRDPPTPIIEPAVEQTEASDNASLQNRSFKHPYTWRHGMAEKLQIDESR DIQSEELLGDLLETMTSVDRPRGEDGDLLSTREQAKFRSGLSKDETGGVERATSSMCA DLLDKFNAHRRVKKYRPTTNEDDILWLRIGVQQFKLRTSKCIHAFPSGDYTERFPIAA IMPMNEDFYEPAGTIGLERLEKYWSLRKQSSRSAEQAAILLAMAQAQARVLDLDRFKG DQQDDSRLQTLQSFLLVVDKQNVQIVRCFSDREYIDAVNSPSCREIPRDIPKGLSLHI ERSAPLDVRDKDGWHKLLSGIKALVRSYDLVEPLVASRRPLVEDVGPAEESDPMYTPQ ESEVEEEPEHEPSNDGEEPPLLPEELSREGEEFSGEEGPLEDEEAYDEEEAFEDEPFD EEGEFEDEGLEDDPFEDEALEEEPFDEEGPFDGEEPFEEDYPEEDYPEEDYPEEDYPE EDYPEEDYPEDDYPIDGDELGEGFQDDFPEDDGAYDDDAYGDDGAFDDDAYGGEGAFG DDAYGDEGAFDDDAYGDEGAYDDDAYGEERAYGDDGFGDDGAYGEEGAYGDDGAYGEE GAYGDDGAYGDDGAYGDDGAYGEGTYGDDGGYGDDGMYGGDDGGYGNNDGMYGGDEGA YGDDGMYGGDEGAYGGDDGMYGGDGGGYGDDGAYGDQGGYGDDGAYGDQGGYGDEGAY ANEGAYGDQGGYDAGYGDEGAYGNEGAYGDQGGYDAGYGDEGAYGNEGAYGDQDGYGD EGAYGNEGAYGDQGGYDAGYGDEGAYGNEGAYGDQDGYGDEGAYGDQGGYGDEGAYAN EGAYGDQGGYDAGYGDEGAYGNEGAYGDQGGYGDEGAYGNEGAYGDQGGYEAGYGDEA AYGNEGAYDQGGYEAGYGNEGAYGNEDAYGAETGYGDEGAYGANDQYRADDQYRADDQ YGANDQYRANDQYRANDQYGDDGTYGDGAYEAETGYGEDRAYGANNGFGNQDAYGDEG VYGDNDAYRGDERGYDGDAGYGDEPGYGNQDAYGDKGVYHDNDAYSGEELGYDGNAGY EDEPKYGNEDAYEDEDVYRDNGAYPGDEIGYNENSGYKDEPKYGDENVYREDGYDDLS QENYHDGIAPAENDRYAEGLPETDYREGELPLENHAHDDAYRNELPEEDYRGAELPPD DDAYGDRYGRGLEGDDYDGEPQMDSFQDARYGVENQNGYYDEQIPAGELHNEQYSDRA TDLDNPRDYNVDDDVSETDIPMSGAAADPRANDYPQDDYHREEPFAEKFQDNEFRNEL PGYTLHDDPTDDGHIDEFRDHDGHENALLSDDHRSLEGTMAGSVLDNLPIGDQHVSHE EYGSEGENMERSPLNDMANEDLLRNEEQDLSDYDQPIRSPLGVVMNNSGPRLSEETSD QTLADGVRSGNIVNFDSVNDDNASRFGRPDDHEPPFDNSELEDGNLAGVGVVDQQAYD DNKAKVGYLSHGESRERLPLHDHVGDEGDSPTDNDGFGSPLEDLSSRHDVVDGDGLQS DRDARVDDDLLPEGNRLGKSSEEYIKGRGDFQSGKDLRKENEYSSDDGRVRGVSAQHL GEEDDLARQARLGVEEDYYSDEESRKDNKFGSRNNEKVDYEGDDYGGNDYTDLEFRER DFGEDFNRDQFKKEEYEEDQYRESMYIGRDHRKGDFEDAYNGDQKDHSRIQHFDKDNY TSNFHNRDHDSKIGMQHNSEQGLNDVLPIVPQLDQRGTQEQVLSEDEDGVLAESLQSP RNIGQSFSSAKDLAQNASRWDRGYPDSGKDVQNDVSPFGGLSSHEERTTPVASKESND RKSPHDSRENSLDSPGPADPGLADFAPSTPRLGERSRANDFKSPILPTGGAEWSQSDE EAFKKFVQTSPRDSKEQRLSTDTLGISDHQSSPRENALPAGGTNPQRLPAEGNNSFED DILASRDGSHGHKLFASTSGGSDKKRDLWDRTQGEKSDASDNGLDQYPEKDSYRASPA GAHANVALPEENSALQPHDRLSDISFANALTSLNGLDRGPISTDSGQPPPPPYPGSPA SHSRDKNSSWDEPVTNTGRLGRPAAGLPDLRDSEPRSQSMNDDLKNDLQARLSSDSFS GDMRADNAADNAPGGSRLTPNHAVGQFSDQGAINEHKSPTATAGKNGKAGKAIDKMLS NLEENDTQEAFDPVAHGAEPSVGKQRKAHADLDNLLAALDGKGSKELHSPNVKPADSG PHEPRKAQQTIDNLMTDLTSMPRDSDERWRETEKGSVKMAISDRDALNASSHDAGSGG PSWQNPSSRSVSNVENDLNPSAPSSQGSKLPFLQTQGLLSNSRFKDDEHDRWPNPTDR SEAAFREQTYSQVHEPRDMPSSPSDTSRQQSIGDTEDRNAQPGSNSSNDILPLSQDSS FKSQQPQRSMEGTHPSIAPSNSSKGEDEAPVIGGRTEKAPISMDARGKKPPFGGFPLF PARRGLPSENGARGDTNSSSESLRQGSTPSQAWKDSQDNPVLPATSFIKPQTDGSTFA RSVAGEQPLREATTVGMSEAWQKPENTLWANGPQIMPQDKNDSATTSTLADAGANDIQ DFPRKDKIPLPPQPPRMGLPSRPGPGPRRKSTLAGLPEKAPGLSDSVNALNAKSPGVG DQVTEVQGRSIDQVPRTGTNNVLAGFGKPSDLSTAGLPPRGRFSPLGQRPSNMNEAFS PADRGSSQPVSRNEVSQTVKEVDGKPIYPAMFGSSTLSTEPTARNQPQTTLGDPRRIA DAQQMPPAISKNSVPYSKQDWQPTSIAGKDSMPPPPYGLQKPESSLSSRENIDPAAST TSMGDMALSSQKEAPSTSASAPEKRMPSPFSRGGLPMGPRLSAIPPKGALPPPAQSLP PIPSLPKPPVATDAQSGPSSTGLQAGKLAENQSSPTPVGGKDSTLTTSANTLAGIDAQ RGPLADQSKGIFSPFGANNRPLPPGLQTGSSINLKEGPPGINQQRIPPVNGQTPGKSG FGLFNGPPPPRAQNGPTSSTSHGTAAPLPQGKLSGEGFRGFPTAHGNINQFPLPPGQD SQTTRNPLQPMPLPNAQDDSKGLGTQPSTSLPKPPQLSNQLPRVPPPPLPGQQAASKP TNGASRLPFLPQTSDPVANSALPTSAFKAPTLQPDVQKAAQHHGSGQPVPPKNEQGMP TSSVQRGSPAPEMSKAPVFPGIQQKVPPFGNSNGGSVSYTQQESLPPSQNGLRMPSKL QTENTSDQGQTPSSGAGVAQNPAHSNVSIPPSGGQLGSKPNPPFPPSVQQPPGPPGQQ RWPFPSFSQKAPIPQAMPPPQPSTSTNLPTKPSAPMLPGILQDGKFTPTPAASSAMAK GVLPPPNMAMKDSTPPTNMPPRGGMPLLPNMTQGTLPASNGLPRGQIPPPGMGLKGPS TSNPPQNGLSSVPSLGRLPNSSAPSFGDAQKSAAHATIQPGPQASTMPQPEPKPPQPP LGKLPDLPGSFFGGTQQQGQPSKPTDAPGPSAIQKASTPPAPAMMSSKAMPMGTSQLP PMPFNAPKGPGSQGPAHPQGGNGPFGTQNKLPPQGPPQGPSQGTSQTQQAGPSQSMLA RFGRNGPGLFGAQPKSEPLSKVQGVPLQPGSQILPPPPNQAQPHTTIPDKQARPAPFQ SSQPLNAEKPPVVPDAARGGISPAGNSSKPLFPSTTPGDQPAHTQNQTAPERQPNVPI PVAGQRKESTTFKPPLPPPPSRPPKELQASQPSRNFNPFSKFTNRISGSNKPPATLPP RTASAASQVNLAASSAEGKVNSTMQQPSVPPQKAQTGIGGFFSGAKPLSNMLPGKTPP NNTPVRSGLLGSSKPATVVPPANPPPVNPSNGNGLLERSTPGPMNPPSNSSVNNTSTK GGFFSPPNPIPSNTTNNPSSSKPKTGGGFSGRSDTAPPNPPNIPPPPKNPTNGGGFFA GSTPGPAGPSNNATQSDPSIKNRLFGLSKPNAPIPNPPIAPSKPQVNPSNSGGVSGKV QRPAIMPLAVPLQNKQPNSSGGFLSASKQIPHGPNASLEKPAPAAPQSNAPTTSSSGF MNKPAAPTSVQNKPLQSSSAGFFGSKPTIPPSNQSQPIVGGSLVFPKPPAQPAPLNAP KPNQANSGGMFSNVWKSADATSGPAKPTQVNQIPAPLGGQSSKPTPPPSKPLLPRSKP MPPSKPLPPPSKPILPSSKPTAPASKPMPPPANQPPQQKAQPVPSSQAKGNGSVLGKS GSSDKTKATAPPPLSNKLNIPQQKPQPPSASLFGSGTGKENTKPSTTSQGKKAGAAMD SSNPGHVKPPATGSKLGNASVVSGMGKGKSSQQQQQQQQSQAQKKVQLKSQPKSQSKP QAKPQGKSTKNIVTFVNGKRTVV EPUS_02986 MLNLLKSAIKKDSSTKGEANGGNLDDFFTNGVEKNGLSSTPSAG LTSRKNGEGNRGLRSIRNEFRASEYGLVIKDLDKEKEESAQSQLKLAQNDTAQHQAEN SAPQDAIEAPGRTEEVNAQARPPSSPSFREGGSSTGPTLESVDKQGQDPADNENLQST EIAPDQQTNGRAEGTESRDLVHETTQETGVPDLAGLNSRDFDEDATTGLGHTDLRDEN EGPAQSSLDQDEHESPHDEHLPNYHNDEEQEFGQQALEEQAASGMDDTMEGAIEEDVE IDPTQLDEGFEDGEWNPRDLDAEDAENHDRLNPQHPDDLDSYAGTIDDPADGNDAMYG DQGHDYEDNQSYQDYGSEDEANLESAMSPNHDDVDGRDDFPDIGDEEGANPEDNYSNY DEPPLGDHDDFEPEDRYLESDQEQGEGDNLKEPNDDFADDDVGSQMADSLGQDETALD EGNEPSHVGDGFSENNMEEHREGIDDTLYRDDESLGESFNPDAAEQMSTTSEHPLMEE DEPLEGDDPPEHDDDVDANDGLDRDEDEDGKLEGDDDPYGGDDLEAADEQSFIAESAA AEEERSDLGEDFGSQAHGDESIVDNPLSIPGREWTQEHLAAFQIHVRSKSNIFDFLIQ KGVLNRERVPEVIVQALKLCLKDTSKDDTTALRHKKHATVFMEAGGTPLGPYLAFLAL TVQSTMNSSKDGTDKDGQKQKDEERPSDEEESKPVATNDEDDPWDDDFGLEDGLEAPP SYFEAPKAVQTAPKSGHDSDTRSKRPEVATNIMVVMYLQAILESSRARVSEPAKAYLE WTFIPQPLQINSEPASCKDENNGSLHEKREKLTAGDHKKWEDVNPLEYVSIGTQPMYS KQHYIDSHDIKAQEAAHMIAMICERLRVSKTTLSEYDRTTFVITSSATSISFAYAVFT LEYIKYYKLEEGQPSTLTPEMEDIIIDPEDSKPELNIFESVPYDLSRWDEALEAGELA VAIVEYLQLNGPLLAATEEVPPLSPDDGLNRATSAASSKSAFDDSGKRFPIRIRPHIP EEPISSEDETASNGDAENVSDKGLPTPLFEDQDEGLEEDVDDGQEDMKEGQEEGGSDG GDGLEEDENHEDKGPDEDPENEEASLENDVEREGFDEEGLDQDGVMEDTLDKEDPEEE RFDGEAFDDEGLDEEGFDRDDSHGELFDEEFDAEHSRMDSMYDEADANGDGEYSDEEY QEMGSEGRAEEDFEGETAEVDGLDDTLADIPEEDSAYGEEGSAYGQGGSAYGEGSAYG DEDSAHRQAEADSYSPAEPLESPFPDESGMADGPDDQASTYYEDQYMPEHSPGMDDYP PEEPTEDEYVSGEDDGENFDEYASDGAQTDMDHEDFAPRADSGNEDEYISGEEYGENF DGEISDGATQAGLDDEEFALEQKLGYEDDYMTGDEYGEDFSNDISDGVTQAEFDDDDE LALREKLGDDYLSGGEDVEEFHNNISDSAVQAGFGDEEPAFEEDQGEADYLSGDDDYQ QGDNGYEYGASGYQSDGDDYPSGVGDYLPEEGGYPSEEEDDLSGNDNRAELPDDIPEE ARVVELDDEELPLEQSGIEGDSYSDHEEDSHFEAAEDTYHENMDYDHGSEVDPSEEFM PRQDGQRYEGDDFEDSLHGEDEREVPFDENQPEYEDQYEGQGFDDSYEADETQQEFSA HGRDDYSDVDHADDPTTSVREDVDETQHSPHLQGVPTPYQANEKPLPPMPAEHFEKDG VTKVHASKEDVKSQDHSAQEPAEEDHSQETLPEAAYDPNHSKPTQEPSQNPKEPELPD QDFRFSFPTPDQNQNQNQDQDQDQDQDQDQEIGERPEDQQHLQEEYPPEEGEEEGTNF EEEEYDQTHGDQHDRQFEQQHDYDQEYDEQDYDQNYERQQHEPQYPAEGETQDREPQY GDPVEGYLFDPETQQYYPLDENGQPYMPEEQYEPGQGQEQEYEEEPQAYYAKEDARQM KNAPDREAYDGQGYGDGGGGYDGAGYDGHGYGYGYGGEGYAYDEQQHQQQQLGHGVYD DPRAGKGMITQVHARAQPVGNVF EPUS_02987 MLFSKRSLLAWAVASLAFILPQASAQCNPLTSTCPPNPGLTKRD FYVDFTKVSSLPEGWTLANYATVKYGANGAEFTFNKRFEAPYIWTSFYILFGRVEVVM QAAPGIGMISSAVLMSDDQDEIDWEWSGNNFGHNTGKVQTNYFGKGITGYWDRGTQPD MDDPINKFHTYTFDWSPTTLTWAIDGQVIRTLKAADTNSGAYQYPQSPSKLHLGVWCA GDPNSHPNTVSWAGGYTDFTKAPFTAYVKSVKISNANPCSQYEYGDKSGSWQSIKCLN TTSTPTSSSSIASTSSSTSSSLSSITSATSRSSSLSPSISSIASSSSSSLSSAVSSAV SSRSSSLASSSTSASIPASFSASPAASPSYPNSGPVFDPLHPDPALVNKTGPGTFNFT SKSVSSSSSSSTLTSKSSGSGTQGVVSSSTASPLPSPSSPSPAPIQPTTSTSPPPNQQ PSKKVPTSSSPTTTPTSNTPTSPTVPFTTPASRPAITPSPSPSPSSPKPTTTATSSGT AAALTPPKCHPDNCLRALRNTRYAGAATALCRSFTNTGTVTATPTATIGTTNGVIKTS TTSTTPPNSAKTALAPTPTPTSSTTLSIPTWLSTGCSNNATRAGVGTVEGVRKAILRA GFGDRHDDEEEEDDDDGDDGSRDGSGRWSGNAKFGSRYAKAKAMAKGDSEKEKKDADN HQSGWLYRRRVAGWAGLVG EPUS_02988 MSLMDTPIPKPPDPALNPAAYLKSIHSVRERSKLVMEKASSNSL NHFDVNMDMFQNTANYVVSIIRRDFADDYQSIPPHGRWQHFDVGGRPRVDQLLQSWLS TVDAQERARRVIDLFTVSVLLDAGAGNKWSYRSKESGKAYRRSEGLAVASLEMFKTGA FSSDPGAPHRVDKDGLKKITLKLLERGMQVSEDNPMAGLEGRAGLLMRLSDAMANTKL FGSDGRPGNMIDYLLSHPTTKAASVPIVSLPTLWEVLTDGLAPIWPASRTQINGVSIG DAWPCSSMPRSPPAQPWENIVPFHKLTQWLCYSLMVPMTKLLNIQFAGSELLTGLPEY RNGGLLIDTGLLTLKVADMERGIVAYKHNAELTGQPSMEVIPMFEAADDVIVEWRALT VGFLDMLLDAVNQRLRLTGENRLSLAQMLEAGSWKGGREIAEVLRTQGRSLRAGYFEE LDAVHESEEEHSFDKNRDSAYPHSETPLPGVNMDARKRKKKVLLMGKSGSGKSSMRSV IFSNFVAKDVRRLGATIDVEYSHAKFMGNLTLNLWDCGGQDAFMDSYVSAQRSNIFSD VAVLIYVFDIESREVDRDLDTYSTIIRALHQYSPSAYVFCLIHKMDLIQLEHKEHLYL ERAQLVKERSEGFDLETFASSIWDESLYKAWAGIVHKLIPNLAVIERFLHAFAKAIKA EEIVLLERSTFLTVTSVVNNTKPLPKHKAPISNSPPSDSPTNESTPEDDSNPNFDRHE RISNILKTYKHTVARNTSNTPASAGFVLLKLQTAQFNLFLSRFTDNTYVFVVVPPGEA AFNCAVLNTLLARESFEGVTSAK EPUS_02989 MDDLSSLDWSTKPEGATKTQPYGVPSSAFAALRPTPPASRRSSP LATAPAHPSSKTQTSVNDSFSNLVSFSSTSTNKTPSLQEQHKKLLEQKAQQQAAEQRK LESHYSGGNEQFWDNLGSGRSTPALPAPSSIALGAQLPAFTEGSNRNGTANDELPRAT TEDEDDILAAFRADAPVDSSSHFPKPFEHSHVPSDGGPRSSSTVSTSGGKRRGQDAQN FDILEDDDPFGLAELSKKNRGSSQEPALHRDNEADDEDVLGLLGKPVSELTKTPKIAD SSPASAKQTSSHPQDQAMAELLDMGFPPEKAREALESTESGTDVQQAVGWLLSKAHSE SKQNTGRRRRSNEGPRQSRDPRIDRRSGSRPDTRPSEPSEPAWSNDRRQERHRPQPST TASEQPGKDFAPNASELGSAFLKTAGSFWKTSTKKVQQAVQDFNNSDSDSSQPKWMRD PGAARNGQGSDQGDEERVTTRSRRRNSTNRKLDSVTDEALMLESDRARPLPRKPARRQ EPAFDSSADNSRDHSPAIPSRLRPELPAQPAFLRQQQQKQQQHPKAQARLHPKASLNR QAIDDQASQAYVSSARRRKPALNSLVSASEPDLLESASLPKHSSTSRPATTQPIELNR PSGSLPPIVVRAPAPSRTIPPISSISLQASHADREAGNAHFKRGDYPAAHQSYTSSLK HLPSAHPIAIILHTNRALTALKIGEPKTAVADSEAAIAVIGPSRGEAEAIELITGEPA KPMRDYFGKALMRKAEALEQMEKWKEAALVWKEAVEGGHGGATSIQGRLRCEKSAAPQ QPQRGKHSSAAKKPLTSAPARTSFSVLNGTTHSKPAAAAVARLRAANADAERADDEKF ALADTVDAKLAAWKGSKADNLRALLGSLDTVLWPEAGWKKIGMAELVLPAKVKVQYMK GIAKVHPDKIPTNATTEQRMIAGAVFSTLNEAWDKFKVEHGL EPUS_02990 MPQQEARKLLFRDGVLVHRSEPEIKTHTSYLVFAVLPVEWSERE EEEAREKWAAGRRDGEAEVGMGKGKATKSLRQAKREARLKARAEGETKEKEGVMQAAI AEPEEVPAEGGGGAVGEEDDSMNIES EPUS_02991 MGSIDEEILGCCDKLSSFIRRLEPLWEDAVVLPWYEQLGRLRVW IEENRIASNGRASLEQRLEGSSHIVSAILELLTTLRHTVQSCADWDVDYLHTTQESAH APSLPEDVTDMLDILTNVLDSLYDLSSTFTNPMPIDMLTDPIFQSIDEFAEAFDVAHV EAKFPCAPLPLVRRLGSMNIRRRSSLWYQKRKNDALQSALAQTMPTSKAVASSARSRK SHVTDPSTVLSSVPSVFDTPLNEFQAVTVDSRSSVSLSQPMKTATRPATGPIDSSLLP ELDSLARSDDEGSVDSMTSFAVTVSPSSETQARVPKPPPKFYEDQPFECMYCFKTLRN VKTHRAWKRHVMRDLKPYVCSFGGCSEDARMFRRRRDWFQHELDVHRTYWECPRGCLE LFFNSSDFKAHMIGQHQTQLTQDQLETLTKALAKQQDGRHKAGCKLCGKEYPVNDGLR RHIGGEMEEIALFVMPRPHDFWDGSDGSSDTDASDSTGSISEDAKVAVFSRAEVETNP ARLADTTTGEADSVVSLSARLLKQETSESEVFQLGVDETARLGGQDEAVASDAMTEQP ERPVTEDEIETDYDDDTTIDEALKDVAAEVDSPARKSQSTIASPTGELSPSLSPEPGD ILLHDLYPVMTQENSFPGHQETTGKLTSMKPATKHAVGEAENPEAAHQTDYDHDSQTG ISDPGSQKALEDTLLHDQGDQSTSLTDFQRDLRTLRSLPSLTATLAQHSSTSLQSDHT LAELRSIAETLNRNLNRAQTPETVSTPEAFSDPSAASTFKCTHPGCTAFPFQTQYLLD AHVNVHSQPAPHYCPVKGCPRAAGGKGFKRKNDMIRHGRVHESRGYTCPFCADQQHRY PRADNLMRHFRIHHPDKDRDDAQLQLVRSLDSKYDPEPPLRPPPVDLASARALLDELT RLAGPSHESSRSTTPSDFSNQGDLQILDHAGRVTSQNEPYRPHSLGFEVGASKGPPKS PV EPUS_02992 MLTGIETVGLVLASFPLLIAALDHYKAGLEPFQTYSHYKLRLIE LKGDLAFHRAKFLQSLTLLLNRCVQPKVLGQLLGDPGGAQWKEPDLAARLSKCLGSFD FSVFMDIVSGFKATLDELYTKLDVDQDGQPKWSDKDAFERAFHRIRFSFLHRDKIKEL KKRLKDKNKQLSSIAKSSVALAPYCERRAVLEEDVDRFREHVSHLDSSLKSAPWGCHC VIPHNASLRVGNPTHSSTTGTESTCCQRQSDCRGLDVLFSFHDHGSFAASPPRWNWHE THFEPETFVPTSTCSQVDGAGGSGDSLASTSHPTYTKGNQKEGPLSGPNKLKKRKQVH WPTSSAAQTPPPTPSRPQAMREIRELCLQLTSVQEGAPPLGFLPLDPPLRFVVYPVKR HPRPLVSSTDDMVNLEDLLERKFAPHNPTKIQGKLLLVHKKIEMPDRLYLAYVLSWIF LRLYGTSFLDSAWGLKDVQFLRRLDGTRDPALMEPFVSKSFHSSQHHYPTSSLPTPPE SDASSSSSNTSTASSTSTASRLTLDPTAQEATPHTVRNLRNRNHGVFILGVSLVEVFF HKRLHEMYVPADLDSNGKETEETPYLALERLIYKIRQKAGDRYGNAVRRCVRCEFDQW YDDINCADFRQAFYQKVVVPLEENWRTFTGRPALP EPUS_02993 MSPPRRAVIAITSATAPLHDGHPTGLFISEALHPFQVFKEAGFE VDLVSEKGTYVPDWLSQQESFLNGEDKKQWEDTNGEFRTKLDNMPDVHSIDGKKYGVF FASAGHAALIDYPHAKGLQKIATDVWTQGGVVSAVCHGPAIYPGIIDPTTSKSIVNGR TITGFTTQAEYDMHVMDALRNWNEPLIDEWAEKLGAKYVRSDGVWDDFHVADDRVVTG MNPQSAKATAEAAVRVLERL EPUS_02994 MSGYFERIAPVEESHHHPAVGNMPMLSQQPQRLPKTRSRISAAC VRCQKRKIRCDAALPACSACKKAGANCVGGGKLREIPHSYVNRLEARLQWLESIVRKN LPSIDLNREPTDQSDDLMNYGPHDLEATASQDSGTHKNSPQDDDSLLEITEQVGLVSV STGADLRYLGPSSGLFFTRFVLTGVGRRIQAKELSPSDSMHGVPLIPIDLLEVQPSEL PSAQKHAIWLSESYFETVHLQYPFLHEATHLETIRRMYDDIEVGPAAEFQVFMVLAIA ATILSRQAKVQLSAEGYCASAMSRIDGIFQKASLTGVQCILLLQMYTLYNASSGLSLW TLHYHCLAWLIELGLQRSIQVSGLSHLEQEMRTRVFWCTYIMDRVLCTLMGRPLGIMD EQCDLRFPLDVNDDDLGSNRQTSGQTNESLTKMSSAIHLCKLATLNSEIKCVLYCVDR VYPPYTTPAVTDLVKWKENMLGRLHQWKEDIPQHPQGNPRYGTNLICEIKYHELVMLL CRPNPRLQHPSKMSLRECFSSAIECSTLYHKLYATSTLQYSWLSINSLFLCVIIMFYC VWAPNGVADEVDFETLARALRFTSDVLSVTGEYWPAAKRSRDVLDRVSTATLRRFTQK NKENAQTDRGSSGAQIAVNSSSTSDLGQIVDMFDANTVSDTLPGLQNGNGGTGNSTFP PFYTEQADALMSTDILSYFMGSQGNMNINNFETFGDYQPDMNGVMQNFFEDGFRDFDH GLQDHG EPUS_02995 MHFLTALVPALALTLPLAAVASPIQESDDVVWADFSDIQARGEL NARQGVTCSLGGNPACIARCQLLRGVGGYCSSRGVCTCFRQPTAKE EPUS_02996 MAPTLERKGIATSNRPPAKRRTAAHGRVRRNTPESRDQEPAGYP DEEWPLKRILRERKKEYLVDKEDVGIAAIEEWEAEKRQRGNRLANSAGNHHNQHRRTL RSHIREVTALGTNRSSSPAIGEKVQHSPRISRHRYSRIRIIPSSSQSVEIPETQQEVL PNRNNIAVEISRPIDFYSQDYAVATNSQVERNLATQDTANSKDNTEGDSTLGSSIPPD SPIRSTAGRIAAPESLTQVLLSSPRTNPLSEHNSLASVRTHPIALSSGVEASPAGKEL QSGRQGLLVVRSDPVSDTVRSLVAEHPTDHTNSSPPPSPLFYPNEGELDLQPIIDPNR VEEESGTEGELEFLPSFSSSVSKRYHLAVQPGTTRPHPNSARLRQSRLAKEDKPSTPK TLRKQVEHEDTSQAIGNRTDRSHSTSALPSSTKFAPNSSPTSSRRFHFQPSPLGASVT LLESPRATSRVKSTHKPTIVVPHPSQVPSISSSRTPISYVPTRRRSRLASSPRHSALS PNHSVLTRQPNFLPIQPNMANSHRESSPRVRRPPNAPSEMAPEIGLREKLRQIRATSR ANQNARSKNQANTGDSRSPTTSRLETRSLRRPSPERKVEPMMAISMPTEDQPVPSLEN VDGPAGDVEVEKADMESALNSSLSAPLPDLNAPSAEHTMPVPDHEAEKTVTNLDIPTM PLLQPCEFVVPLPIDGRIKHQYVAELAERYKDINDFLNSPKSPRLFNAMVQMIRQLND TVVHTDLGLNGPATQVVSTTEEALWAEDASSKFAFLGHLFRILRRSNHHIVLVARSGT TQNLLNSYLKGKGVTYRQYSGLDTVGTLQEGAPDDQMMYSLLCTDKDSLKSIPRSASL IIAFDDSFEASMLPEWCATTRFVPVLLLLIVNSAEHVGRCIPQDIPQPERLRRLVKAV VHVQGELGEMPLQLDYRHAFNLDPGARLALVKKDLGAKIGHAAAHVADALRSKNFALE FALQSISELDLAGLEDQPPSTEDSKEASLSASRAGTPAGQKRLRDDRSSSAVQAKRQR LTPLRDMTHISDSVRHSQSHIDELKLALKKAQLEATEERKARLKAEDLASYTEARLKE TRQSLSELQYRYETRTKQVHELKRSTQKLHVDLETSNQRLEKLQTDTIALKDQRIELQ KELREARDALKSSVVPGIAELELAREQARTAASQVQQLRTSLNNLRRDFDFTGSQYQD ASTKAADLAAQVSELESANTELKRRASDERRRLAELNYREDRKRDLARIDELEAELAN RENVLKRVEEEVKALRKGRGVQTRGSSVQPRDVGMLAGGASPRPGAASGANGGSRAGS PALGVIGGVGGNYGPVGGRASVLRNER EPUS_02997 MASVARQQPPWSAPTGTTPHPKLQVYNSLTRSKVPFVPHDPNGR RVTWYACGPTVYDDAHLGHARNYVSTDILRRILRDYFKFDVEFVMNITDIDDKIILRG RQQHLLEEYIKLHSHIDGEVLGMVETAYQRYIHKNLPLIEQDPLPLPKDFQAAARKAY GKVLQGEAIESGAKAGDKEAKIKMHINTVTTATQVMDGSQMTDSDPKCFYTAVQDILY PLLDEQEGSKIRGDDHAIFTKLTKKFEERFFSDVRALNVMDPDELTRVTEYGQEIAMF VNKIVENRFGYSTSDGSVYFDIDTFEKAGNSYARLEPWNRSNNELQADGEGALSQKTS EKRSPADFAIWKASKPGEPSWPSTWGPGRPGWHIECSAMASAKLGKQMDIHSGGIDLA FPHHDNELAQSEAYWSQGTEHQWVNYFLHMGHLSIAGSKMSKSLKNFTTIRTALERGD WTPRSLRIVFLLGGWRDGIEITDDLVQAGSGWEDRVDNFFLNAKYAAADQTLNNEKDS GLALALQSAESEMYDALCDSFNTARAMAVISRLVGDFNSAERGTLSSQSARDAGKWVT KMVTIFGLNGKALEESEDIGWEGIDIPSPAKPYVFPLASMRDNLREAALSREGISKDL MNTVLQQYGEVDGSADPSSATYANLVYKFKSDVKAIASCSSNLSKDILSLCDRVRDVD LWNLNIYLEDRESRPALVRPVTPHLAAARQEREDRAKQKEAEKAKLEQEAREKAEKGK LSHRDMFRTKEFSAWDNDGLPTKMANGDEVAKSRSKKLKKDWERQKKAHEAWLAASKM A EPUS_02998 MSAANGSAVPGATVTDAKGKGKAVDQVQSQDMSMDEDEDSSEGE ESGNEPVSFRFYPTSSPQQQRNPAPTSDRYRTAKQITNTSRAMFLVEEEADEDNMEEI DTSNILGRRTRGKNIDFAEAAQKAEEAGDPLEDDDEDEDDDFDEGEQGDGDKMEE EPUS_02999 MAPAIGIDLGTTYSCVGIYRDDRIEIIANDQGNRTTPSFVAFTD TERLIGDSAKNQVAMNPANTVFDAKRLIGRKFADAEVQADMKHFPFEVVDKGGKPVIK VEFKGETKFFTPEEISSMVLTKMRETAESYLGGTVNNAVVTVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKSEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK STAGDTHLGGEDFDNRLVNHFTNEFKRKHKKDLSTNSRALRRLRTACERAKRTLSSSA QTSIEIDSLYEGIDFYTSITRARFEELCQDLFRSTMDPVERVLRDAKIDKSSVHEIVL VGGSTRIPKIQKLVSDFFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSSKSTNEILL LDVAPLSIGIETAGGVMTPLIKRNTTIPTKKSETFSTFSDNQPGVLIQVFEGERARTK DNNLLGKFELTGIPPAPRGVPQIEVTFDVDANGIINVSALEKGTGKTNKIVITNDKGR LSKEEIERMLSEAEKYKEEDDAEAARIGAKNGLESYAYSLKNTMSDSKVDEKLEASDK EKLKSEIDKTVAWLDDNQTATKDEYEAQQKELESVANPIMMKFYGAGGEGGGMPGGMG GMPGGMGGMPGGMGGGMPGGPGGAGGHGGDDGPTVEEVD EPUS_03000 MRKLLIPNTPTSLLSGRSFVLNTRWQLFEHEPTLTLQALLPSRS LAQVRQEPFSDLWFTPSRPARSPADDLLGNNGRPGNGDHRPPDERTLKLGKTLRTLSP LLPNILTQPLPPSILSPSISLHLFPSTHPHLPAVKGKVPYRAALWTAPVAWGCVPLVG NVKLQILSEKIVRTGFISQAPLDEESRSGLGEEKLVVRWKTEKKGNGATSDSGAASTA AANSDTAINRGLSKLLGGDKPIFNLNQGDEFSGMFIFTFDSEGRIATHTIEHADENNG VDRTARVVTLTDWLLGRAKWRRKEEEMIPGLAMNLRVCREEWARERIDTSRRHGTLQG KW EPUS_03001 MNTAQDEAPKGNLTRVYAPRDEGPRPDPRNRCRQRFLGSGLGPV NVIAVHGMNTESPATWRAFRNHRDSNDGYVDWLADADMLPNMIPSSPIWTFHYNTSWQ SDAPFERLHNLADKLLLSLDSILFQRPLALVRAKGTQSRFLHILRATIGVMFLGSPLR RGPALTSLGRSAVALRSVLQQLLEDFSATVIMKELRVQIWCFYELKRTKKRGFLDFVV NRDAACIDGHPSIGLDANHTMMNKFFGPHDKNYELVSAEIRDVVENYMSTIDQSLTGY ESDEHRKCHQAFKTSSYEEYKNITPDRVPGTCMWVLEDPKYNDWRQNQRDDLLWLSAD PGCGKTVLSKSLIDNELCDTDTSLTCYFFFKDNEEQNSISTALCALLHQLFAKKPRLL RHAMKSWEQNGSKLQQETHALWQIFIAATTDPIAGNVTCVLDALDECKEIEARRLIRF LNQFYTSSFQGTQRSSQLKFIVTSRPYDEIARSFRGIPDDLPTIRLFGERENDKISLE INRVIHVRVQEISKELGLEEDAQSTLKEKLLSITHRTYLWLHLVIEEVRSSLERTPKG IIGIIASIPNTVEDVYEMLLNRNKKNPRKVEHARKLLHIILAARRPLLLKELDVAYHI ATEYPVGSYNELHLDEKHFEDKVRNLCGPFVFVNDLRVYLIHQTAKEFLVGKGLPIEA GCNWKHSLEECYSEKVMAEICIYYILFKDFDGPHAIKDKKIKHNKRKEVDFLPEVRPE FSFIGYAAMHWASHFRRAAAEEQDRLLESNLMLCNPESRRAQLWYSISCEDGKASSLH DEGLTNLHLAALNGHEALAKLLLQRDESKLNLRDSNGCTPLHWAAKYGYEEVVKVLLT EGKAEVDSEYVQKQTPLLRTTETGHEAGMDLPLGTSKIEVDAKNTYGKTPLSLAARNG HEAVVKLLLNMDKVDINLLLIKAVRRRRLSVAKLLLETENFDLKQITYKNAFDDACAN GHVDVMELLLRTGRVDVNSTNRHGYTPLSEAIQEGRASVVQLLLDHDEVDLNLQDDKG RTALHRASSKRKTDMVTLLLGTGNVDVNLKDGFGKTSLHMAADRGHASVVQLLSANDE VDLNLQDAWGWTALHYASSRVKESVVELLLDTEKSEVNLKNCQGETALQIASQYGNKN VVKLLLEKGKIDVDVKNDRGETALHLASRKGNRDVVQLLLEAGKSEIHLKNNLGQTAL HLACGRPRRSNILVHLLLERSKAEVDVQDKSGTTALHYASYYGNTHLVYLLLEMGKAE VNLKNTRGLTALQLASRRGHQEVVKLLQHHARLR EPUS_03002 MIEWVYVIDLDQEIIHIQSRSAKKGFRLQSIPRQLQWAEGLEEG EEEEEEADEDVDVDDEAEERRGKEEGEGDREEEDDKEEEEMPTMTTTGQAIASLPEPF APSRASLDRYDLLKVRKVKPRGLSDLSGERAYTHALREWLFKQFIGNWDAWLARRLLD CRPHEFIYREVVFAIISLASGDFKFLANQSPPSKGDEVLEVRLGSPNGPSILPDFGSG MHALNTLPGSAPASTTYWFKGVLVVLVDASVAEDGIKAAIAAATDLGQKSGHKLFDAL IIHLLTFTLLLTSLPGPATNLDSGHVRGNRDAFSVLMEIFNVAAAYTLKPFKPVGHIR LSQQPSLTPIPDEETRFIHHTVKGISSTTSDWIIYDAIEGRDVESHVHAASGPGQWAP VIGHGDRQSMMVDCAIHILALASHPFPTAEDHQIELHDAEFYSLRAREKSLGHELEVF GLRHFGFEHFCIPKFASVRDVSSAWKGYLDYKLMKSWPTDENLMWEYSPLEHRYLLPC NTASCPIEIYGRHVTNARGMKCTEMGGMIWLRKAVDLELESVRQRTLTEAQEFLSSKV WQEAERTQPMRKGLLVVAFGTKAQCFDWSFTDNPTDVQPVLTPVVEEAAVLDVAIKDQ RDRFEQIFGRWCDEVQAASVAKHSTVAVKECEHADTDSLD EPUS_03003 MANAYYIGEHIKKHAPHHESFKALWDTKWQKPCSMGVYPFMFGT TKDFEPIVNELNGMQEPYEWDAYAETFFPTAQELVRRASEAEKAGEKEKAGELYMRAS AVYRISRFPISRSPKQKLAWTMGKEACLKGLQLQEHPVHEVLVPHYHAIEGEGDTIPI YHQVPEGASSENPAPCVVIFTGLDGYRTELVVWAEGWRQVGVALIVVEIPGTGDSPAL ATDPTSPDRQWSSLLDWIDTQAAVDSKKLIVWGFSTGGYYAIRLAHTHKDRIMAATAL GGGCHHMFDEAWLDEVNHLEYPFDLAHALAYKFGYGSDLEAFKKEGKKFSLLEDGTLD KPCARLFLVNGTDDEIFPIEDMYRALEHGSPKEARFVKDVKHMGEPSSFTIILNWIYE MLDIKSHPGQQLQTIPSKAKY EPUS_03004 MSGPIDLEARHGSKGSSAFRLTNSFRSASNTSTAPDSQKNDAKR IGGRDAAEPPRRASLADLPLRLIRSKSKTTEDVQEDEERPASHNKLGDLFFTMPNEIQ VQILCSLETSDILSLRLASKPLCELLQLNASIVSRVVLQKRSLGSDAMLLEKLYPPPL PVQNLDFFLQMMHRKKIVSRMICTIADYVQLKIYQVRSTSRRKQFAPSRARMESRLEA STFIIHHFLETFRTALLRQPGMANNDSSSTSAEPMSSETEIQTSIINDYPQDMLLPAF QFYRIMVSAYRQKLRPPTYAGTIERKIRGWDRTPASDADVAQVLIYGGMEEVLRVMSH PTYATRLQALNLAIDRINGRPLPVGSRWMKVKSRSKVIRDLDIPQVPNIQYMSQGSPV KLTALYLPWLSAIVAKQRKMDGAEGRTPDSQSSGAPASSPQIPSPFAYIEDLLKDHQA CLASGETDMTVEDFAARASAPDGEGEDVDDTVVGGPDNATHGFIPQNHPSSFPTQYG EPUS_03005 MSNNISSLPPSKEQPSPSQDLSASGLTIPSDSEAFSSISPPSTS PPQSSNNNNNDDNNGSHEQKVVIYGRPTIWSLARGAAINLLLPFVNGMMLGLGELLAH EIAFRWGWGTTQIFPKHRSSARTVGPGVEMREDPVERRKRQGGIDPDLMDATSLE EPUS_03006 MTTFYNPPEETAESQDEDNTNADLPASTSEVRHPGGGRRLGEGT SDPQPIPTTSSSSQSSRPLKRAAAQKKFATLGDFGNDPHGHDDPNDSDYDDDKHDLFA GGEKSGLAVQNPDDLKKKILEKARKNKPRPGGDDPRPRVNHFTGTARTLGGDDAPSQT IEPPPDPLAGRRPERVDRILHFWNDGFSVDDGDLYSTSDPRNAEILNGIRQGRAPLSI MNVQPGQEVDVEIKQHEGNYVKPKQKYKPFSGQGNRLGSPTPGDSLTGASITPAAPPA AASSTSEEPPKVEIDEAQPVITLQIRLGNGTRLPSRFNPSHTIGDVYSFVAAASPDSQ TREWALMTTFPSTELTDRSVALGDLPELKRGGVLVQKWR EPUS_03007 MFALVWRCYKQWPAPYSVTSSRATLFTRHAARSRQQAIYQPTAA QIRSISLWGWGSSSSSKDADFAKVHTSQSSSVPDAAVGQHGHTPAQGITPSSPSIPAV HHTSDSPPPTSIPVAPNSTPSGVIASPVDQSVILPAKTPSVDALDPAKTLSEIPERIG YLKEVCGLDFGWGPSSMMQWIVEHIHIDGDVSWTTSIILLAAFSRLLILFPMIQASDS GAKLKAAEPVLRPVKERMKAAYKAGDHRKMVEARTELKELNKEYGLSSWKMLIPTLIQ IPAQFGAFRVLRNMAELPVPALEKESWFWAHDLTLGDPYYVVPFINALVVYLTIKRGG EMGTTQMQGGIMILLSYIMPCISFIFMSIQPGTVQLYFFVSSLIAFIQARILTNKLFR KALALHPTVPNPKKLISPSITPGAGPGSNNTNTTTTLTTTTTPATNTIIPAIGPAGLK LYQPPHPSRTDNPLEPSTASSSSPSQPQQANISLIDKVVNQAKSQASEAREGWDAAWG TTKEKKQKEAEINKIKAEAQRYETKMSREHEWNRERINRKRKQRAERRS EPUS_03008 MSFGFSVSDFLLLLKMTKGVYNACKDGPKEYQEISREAMSFYTV LESLKNDVNEADSLLNRRGSGRCHELRQVISHCEEAFRELQALVDKHSRLDAEGHRRI WESYQVGSADLGNVRGKLTFYVSVIGIFMDSLGASAMERIERKLDKLFAKVMEADTYD DPAKSTTSLSSTISLLSIRHSEKEDDVWDLIRHELQAEGIDLSTLEAYKPDIVNYLKS LVEKTDIERLQSARPGNDHNVLSIQPDQALLQKTTAQTAVDEPQLRHNERPTILMPSR RDTQTFEFFGEQKKASIQIWYTYRAQLGLYRNKPVCFIGIEANVEEKVNGPRINMYRS QFSFSLKNRDPTQTGSIWQHSTPLSQENRTDGSNEYVLWREGIPFDDRDTYYFKTYRD VESRLPPLHVGFMLAGIPEFPLRIRFATALYFERLTSSFGKLISWLNSQQLLVGSAWI DPPQSDLVITEGQSDLPIPRALGPFKPDPLRSFMILLPSVNENG EPUS_03009 MALVHNAIINGYNSIYQQAPSVKPTDYPDFIGYSLAWHAMVTGH HDSEEEILFPSLEAATGTQGLMNGDKAEHAAFHDGMANFKTYLTTCAAAPGSFRATNL HHVMDTFGPTLHQHLLHEPAKLASLAKYPIDIRALSEKTAKYSMDRTSSVNLLPMLWF NLDLEFEDGKWKDFPPVSAPVRWVMVNVLGWWRAGWWRFGSCGADGRRVRLLALREEY ASG EPUS_03010 MSTTHGEEPNPLDTTGLCLLSLDGGGVRGLSTLYVLKSIMDRLN YERKKTANLPPAKPCEVFDLIGGTSTGGLIAIMLGRLEMDVDECITAYSDLAAAVFSE KLSRIPVNIKGNVKPRFDSTKLETAIRKVVTQSGASETDLLNDAVERGCKTFVCTADR DTRGIRRLRSYSLPDEPNIRATICQAALATSAATTFFDPVSIGDRTFADGGLGANNPV DEVEGEASNIWSPETGNLKPLVKCFISIGTGNPGKKAFEDSMIKFLGQTVVEIATETE NTERRFIARWAGHFDEKRYFRFNVDQGLQNVGLDEYKKKGAMEAATEEYLTHVAQKFR LRDCIQNLRLKQNKSDTSFAALVKEYTSRTIQQQTAAHTAPWIVPFERNPNFTGRESQ LAQLENKLFAKDHTTKMAIAGLGGVGKTQLVLELAYRARERYKNCSVIWIPATNMESL QQAYLDVARQLNIPGLEEEKADVKKLVQGYLSKESTGQWLLVFDNADEIEMWITKPTS AQGSGRLIEYLPRSTQGCIVFTTRDRKTAVKLAQQNVIEVAEMNEAMATEMLQKYLIN QDLVSNEQDTKALLTQLTHLPLAIVQAAAYINENGITLPDYLLLLGDQEEEVIGLLSE EFEDHERYRNVKNSVATTWLISFEQVRHRDPLAADLLSFMACVDPKNVPQSLLPSGPS RKKESDAIGTLHAYSFVNRRLADLALDVHRLVHLATRNWLRKEDLLTRWTEIAIARLE EVFPDDNDYHQNRRVWRTYLPHARYVLESDLIDKDEENRLYLAWKFGNCLSEDGRWNE AETLFTHVMETYKRVLGAEHLDTLSSMAQLASTYQHQGRWKEAEELEIQVIDARKRVL SVEHPSTLTIMNNLASTYQGQGRWKEAEELQIQVMKTQKKVLGVEHLDTLTAMNKLAL TYQNQGRWEEAEELQIQVMKTRKKVLGVEHPSTLTTMNNLALTYQDQGRWKESEELEM QVIETQKKVLGVKHPDTLTTMNNLASTYKSQDRWKEAEELEMQVIETRKKVLGVEHPD TLSTRNNLAFTWKAQGRDAEAIKLMEECVHLQSRILGIDHPYTLDSREALNIWQTEAG D EPUS_03011 MATSPPTPTNLNLPTSKKRPSIGPPSQSATTPGYSSQSAKRPKH QHPLRQTSFPAPEAQAGFYTPSAPRSETGSVSASVTSGFSGTTGGGKRSRGRPKKRKN QPHDIQTGRGSGVGDYDDGASTILEADTPETTTRRSRRGGTKSVISGAGGGAGDDAAD DDDDPNAPDADPNSDESRRLHAEMAREKEKIAQLQEALTPEQMQRYTSYLRTKLKGST LKRIVNQTVSQSVTSNPLQAVQWVGKVFVGEIVELAREVQGEWAGRWEIARDEERERR ERERERDKYDSEGELKKPITTSAPAIKPTEDLYAGANPHRGGLLPDHLREALRRYKAD GEGGGVGLGGYSFGNLALKGEAVPRLGMGNGSGRRLFR EPUS_03012 MRYDLKAHYGGETAKYMGLWTAFLVIEYPLAAAGLFERVGSSTR EHIQSGLRNCNENIHRLQQKIKALNFPFAVYARLSYWYFCKFIYTVLKMCHSTRFFII QDTAWYLYNCITLFQ EPUS_03013 MDNHDCYDTSIYNSSTKIGPDADIAGVGVISAFVAAAGLAMIAA FVYSILDNIHPRPNRWCEIIEQLIYGLSDQQLVTGLAVLTAGFVRWNTVLTYHLEIVT DLGFISSNTHLATLAALGKPLRE EPUS_03014 MADAFANLKKSERIEKAVCACQEDSKLTARKAAKIYNIAASTIT RRLNKQTKSRKLISESQQLLTPVEERTIIQWVIQYYKWGLPLGWKQIRQFAIEILLRK RPQPQGSVPSLGRHWHKKLLTRNPQIKRVIARGLDRTRASAMLRVETIQEYFELYNSL RQRYQILPQDTYNMDEKGFCMGAIQRSSVLIPVGEREAFLRQDGNREWVSVIETISAS GESLSSYIILKASYQQSSWYQQLDTQSLLWLKQHFDVETAKRQVGEYRLLLLDDYSTC APPSYDSLQPLDVVIFQPLSKYYSVEVENHSREKHYWLDKEDFIVYYQNARKKALREG NILSAWRTTGLQPYNPQIVLTKLPNRLTTPPESAQIQLILNGNSSLNLLVGASNDYVT KATQAIKDTMLGSPAEHAIKTIEYLNANNAILSKTNTQLVAASRSRQQAKKGKKTLGK ARSLSKEDVDKMRKEAEAKEAAEIAHKAAMGRKKKEQALKKAQKEADKAERAFQRAQA KDTRETQAEMARLGRIKQCLFT EPUS_03015 MTFYTYAQNIRLAKRNDKQYLIAKLATGSSAGGDEEGWYVDSIC LDDHIGNDHGSFKWSDNGGGFSYYARDIKLLNDGRTLAAQLAAGGDTGGGGWRDATID LGERLANDHGRFKYISK EPUS_03016 MVNPGIPKNCTISPSSFTETPSLTINHEAALDLDSSNAFEGPEK LLEVWFSPSPHNLENCSQPDGLKAVPAEIWKSMLDLVNCKVLSIVESEGIDAYLLSES SMFVFPHKLILKTCGTTTLLCGLPRILEIASIFGGYARSTAPPARGIAIAAAPYRVFY SRKNFLFPDRQHGPHRSWRDEVTSLDKLFLGGSAYMIGKMNGEHWYLYLTEPNTMLTP PASPCASDSPMETETRVLSLPTAIRGIKQRSMCEAEDETLEVLMTDLDEENAQQFYLE NASAVAESQYRGTRIASDDLVDVFSNTSSENGEFGSDEETYPEELTTEGHALGTVVSD FCGLSDVYPKSKYPGSRIDAYLFTPCGFSANAVVPAPGGETGTHYFTVHVTPEPNCSY ASFETNVPALQEGRDTADIVSNVVDIFKPGRFSVTLFEAKSNSEEKENDATDIDALLA IKALERKALRRSARMDAIKGYRRIDRIVHELDGYDLVFRYYERYDWKGGTPRIGERGF EPUS_03017 MATAWNPENITDVAESVGINHLKPEVRNALSRDVEYRLAQVIEE ALKFMKHAKRTILWSQDIAQALRVLDVEPLYGYDSTRPLRYGEASIGPGQPLFYVEDE EVDFEKLINAPLPKVPREISLTGHWLALEGVQPSIPQNPTSLDSRNLELLQKGPNANP NLAAMNSIENTTTKPHVKHILSQELQLYFEKVCKAVLDEQQDEYRSAGLASLQNDPGL HQLVPYFVQFVAEKVTHNLKDLFVLTQMMHVTDALVRNPHLHIEPYVASMVPSVLTCL IGRTLGSGIGSLDHFDLRDLAASLLAHLCRKYSKSSKTLKPRLARSCLKNFLDPKKPF GTHYGAILGLSAAGGPDAVRVLIVPNLEEYETLIRDEIASSGTRQAEAEKVVAAILNV LGTLVEDGMPMTNGHTDEGVAEIRRKLIENVGDLIGSRIADSGHIQLAKEVLDCFRT EPUS_03018 MLQPRICRPSRTIEYSLGLLTTSLAAWTCTSTIPTATFIRHASH ATQGRANGPKDSAGRRLGAKKTDSEYVVPGNIIFKQRGTKWFPGENVGIGKDHTIYAT VAGYVRYYQDPLRHPKRRFIGVALERDGPGSVLPTPPNAATRRRLGMYVAPISHPPGA DAALWLESHLSVNSKTATSTNQPSGIGGMGLSVPPPPVSARPKYAQNWESNWSIGRTA ERKGITVREFDPKDRWLAWRKRSQKKKRKAKLRSVRASRKGKGNKKKGPLKVAPVVR EPUS_03019 MILRKALPSILLAPIILLILPSLTAAQFQFFNNFFEGQQQQPQE KQNVASDSGWYQQVVENGTKYIQLTAHLLCPSICALPHLLSCKTTPFLAPHLYRSDSG LHSHRNIAHCTHYLCPGTLACVHFPHHCPCAYPSVEDKVELGDGIAICASKGGYKAGE TARKIELARKGLL EPUS_03020 METQDESIDISSIKQALLNPVLPAAQHISQPDAPISGNKQLSAI RRVFEQALARESEGVRNGDSSQTNPQRPGQQVTQGFSHLASTVPDSQDVQLTIDVASS FEPIALPANPPPSNKVLPKKMTSQSSPNDTQELSPTFYARLINSNKIKGALELAEAGQ SQDADDAVTQRTNHTGDLGHIDLGLGDSDREDYEAQASDQELDSEPIEFSPTQATQNR LSQFPESQRFKTPATNGKKRNFLSDVIESPALPRNPFATDGGNKTPLHALGLSQVFAG TQAGSSPIITRHISEPTSDRPSPNIEVQTRPATASLSSPLRPRSELKKIASEPQSRYV SIKQSQAERERLAALRQQSPDGSISGDQSDDNFDEESSILKRARLRREIDENVRERFA RFSSPTRPGSAAKAGEQAARQARAQTSSPILRHGKRVESSRMTGKETAVMQGPTAGDS DEETDVDESEQVVIEHSSQLPHENEDENKENMQTKALQIPETVIRLDQAINGCQDGQD VSPTLRRSFAQPAVEQLRSSQTIRKAITHTDGISVSPTVAVANSQPDQPWSRKLLSEL GDPLKGLPGNSFVSSSAAAAGQIESSPRHDCAEDHNDPSQVVQENANGQTHHEEVNQC GEHGLTDEEKSAKTLLDGHTMANSRTGSKQRAELPNTVPETMSARGSTRSGAQGSSHT SISVNGSRAEQLSSKYETARSHLSSSTPVSRPLNSLFSSPSGRKRKRLGDIAAQPSPK KMSGEVDVEEVMAAMDDADFYNAVEDAPGSSSPIPPGRNLKRRCLMGKQQTSSRATTV SADATLPSSPPTVDLHKASHDLKQTPSVPSVRSHPRPAKRSEAVWDVQTSPPKSAAAR SLRNAAKKPRGLARNTSRSAKYHDSTVAETVHDDSNQEAHVTQPPTTLTESATSNQQP NPPTIEVIAPHQVLASFNGKPRGYYPATCIGSTGLKSEGTFRYQIQWDDSSRDDIDEG GIRRLDFRVGDQVKVISEGWPRVAYTIQGFKDRVDKIDGEVTDIRGYRTLLLKPKKRK SLPVGVSTESTKEAPMSAVYLDSNMWGQMKDRIFNLDATNGKPPLTVHVPPQDPSHSG LATPSEGPSTPSTPTSRTRRRSEFPLQRANSSVSFPDPPAATGIFSNMAFAISYDNVS RKKSLTKAILVNGGALLGEDFQEMFDNQLTPTSPSVRMHETGTLALNARFLNKGFVAL IADRHSRKPKYMQALALGIGSLSGRWIEACVAANTILDWQPYLLSAGESLELEGAVRS RVLPQVDANTATLKDMISKRPNLLQGEAAIVVKGRGKAEEKRKPYIFLTKAAGAGRLE TCVDIKSAKVLLDEDAENSVRWVCVDDKELGKAETALLPKGKEKRSKELRIVGNEFIC QSLILGRLWDSS EPUS_03021 MEPSDSLVPPDFSNTEVPKSKPQRPRRPNYNHIHKDLLPLQVHP LPVLIPHNPLSLLAIALSYLTQIISPPPQQPIYNGYFSSATSSIHVTDPTTARKLWEM GFFGKGSLSRSEPTWLDSQSKKGNTSEEVTNKRREERRQAKLERAQKEQEAIEQKLKE EAHMNGTGLKVNTRGLNGIVSVMESASPTSEGSLNSTGSIHSYNEWKGPVEANGILTP PPTSTSSEASLINNSVTKKQLKVKSVRFSPTIEAREFDLTSPIISPIKSPGPLPLTQQ MPTSVPLTENQEHLQLSHEETFFLAYGLGMLEIYADDSDIVLPTSSLLALFRRYSYFP PRSLSMQAEPDDPFMLSYAVYHHYRSLGWVVRSGVKFSVDYLLYHRGPVFSHAEFAVM MLPSYSHPYWSGTEEQRKIVQTKTGKTWWWLHCMNRVQSQVKKNLVLCYVDVPPPLEE HEKYVQDDIGKLFARYNIRDVSVKRWIPNRSRD EPUS_03022 MAEVIDPLVARPSDSSSATPAVKAASIDPLSKPVHSIILDSSPL LFNTPTLSTLLSQCHVLVTTQSVISEIRDLDARSRIDNLYLPFLQIKSPKPESIRFVK EFARKTGDGAVLSGTDNEILALAYDLECERNGGDWRLRRVPGQKMVNGSKPFALTERS KEAGSGSDTTTQGHNFQDVTEELQGVKLQEVIEEPLQSSKEREASLSTNEALQDAEED STSSESDSGGWITPSNIKKHQAKDSSSLPSSRKAETKTLQVATITADFAMQNVLLQMN LNLLSPKTCKRISQLRQTILRCHGCFTTTKEMDKQFCPRCGKPTLTRVSCTTNDKGEV KLHLKANMQWNNRGNVFSIPKPVSGRSNRKWAGPKDGGGKSGWGRELVLAEDQKEYVR AIAGGGRQNHSEKDLMNEDYLPSILSGERNGGGRRIRIGGGRNINARKR EPUS_03023 MGLWRGDTASTPLSIRPYKPSNPNGPFPYSATDMTPMEPGNDSS FYGVPRFVTHIDDNAIHNLRRYYLDILPRKGRILDFCSSWVSHYPSEVETAGKSGELE ILGTGMNKAEMSKNPVFKAWSIQDLNEDPNVRLPEIEPQNSEKKLDASTCVVSIDYLS KPVEVLASIRRQTNVGGKVHLVISNRCFPTKVVGRWLRTSEDERLEMVGDYLWWSGWR DIEIVSVVEAAWMKDPLWVVRATNVSEHDTG EPUS_03024 MVQKTSSGFRASIDEPEPLSPSENFRRPTLQSLSMRSTQIASAT VTPIPDRASSTIMSRDASPISSSQSSNRHVPSPIAAETYTEPYLKFMSQNPTVFHAVD AFSTQLASSGFEHLSERDRWTTKLRPGGKYYTTRNGSALIAFAIGKEYKLGNGVGIVA GHVDALTAKLKPIPKLQTKAGYVQLGVAPYAGGLNDTWWDRDLGIGGRVLVKDSKTGK IEKKLVKLDWPIARIPTLAPHFGMAAVGPFNKETQMVPIIGLDNSDLSGVGNHPPHDS KIKAGTFAATQPERLVRAIASEMSIDDYSSIINWELELYDTQPAQLGGLDKEFIFAGR VDDKLCCYSAIEALLATSSDTSPGIVKMVGCFDDEEIGSLLRQGAKSNFMGSIIDRIC EGMLYYQSNEASNRGGGPQFTPQLGPNLINQTLANSFLVSSDVIHAVNPNFLGASLEN HAPRLNVGVAISADSNGHMTTDAVSTALLQRIAENCGSVLQVFQIRNDVRSGGTIGPM TSSQLGIRAVDAGIPQLSMHSIRATTGSLDPGLGVRLFKGFFDWFEVVDSEFR EPUS_03025 MRDHVGYLETKNKARMHVYALPEQHHRRVSSESPALSLCRALGA STPPKPGQTRRLRPPPLLKPKVRLKLASAMALSYPQLQSTPWSHSGLDKSDIIFMCLN RPDATIDLTAPHISQNFTSRSAPKASSTSTAVSDSSTALSMALAFIKQSRGEGLFALG KLLVELAFNSPLENLFEDEDKDHGKVFEFTEYLAAKCLLSEIYEEHGYLYGEAVRRCI EGVDVREKAVENPDFRRVFLRDIFQPLQDTSTFFNGNPG EPUS_03026 MSGFEVVSLILGAFPLIISSIENYQKGLKPIRLFVRYRQELIAL HALIVSEYSKFQNSLDLLLQPLIPPSELHHLITKPEKINWKAPHLKASLRRRLGPSEE AFYGALQVVEGSVEELKAALKGKDCLDRLHYCFVGSSKRESTKARLQQGNNDLQSFVI HAKRLGDARERRSLDVLEAGIKRNELCELHASLSQDPWQYSCPQHSVYLDVCSLTVGG PLGKDKNASAEVIIGLTRTHRSGASSSVPTLAVALTHNAHGKDDLDETSISLSNTVLS SAPSTLSSGALTSSSALLRTANHTLQLLVLSFYALSLLTTSQREIPEQAC EPUS_03027 MDLINKIEIVTTRLNEKADEPEGLVDDWQDAAGRFGIWTSAMGA GIAGSSRPQKLVSRLNMSSHLVTAVKTLLDGLIELLTDASLAGFDSDDMNLGLKLTQS LAPEYNLLDLVNHILNGLHAMTPALLNPAPHDAITSSKYSHIALTQGADAKHLEEKYP EAPISLRQRLGRLNWHRRILRACAKMHKESASSSQEDVADPRVEYAVSEAPIPSQTRP PASIAPTSVMPADSMAPTQTNVSTEPSTLSSSQSVFDAPRRGNDSQSSIESMSSYAAT VRPQDEKHLPCPVPPPSCLEGQPFDCEICYRRITNVKGNRKWKQHIMQDLRPYVCTYG GCRIQDVMFASRREWFEHEMTTHYIAYVCIPPCHEVFVSYVEFYRHLKDAHSSSISDQ QVEHIATLRKTCDFPDGGVLCPLCREPCLTMKKLEKHLGGDLEQIALFSLPPMVGNAD SFDDDDDDDDDSDDDLDAISNASSDEMEVKDKMELFDTKGFGGASAGTTTSSLEIQPE HVALGIPYCRRSGKPEVTWMLKNFEPRKPYSCTSLCGQQFSTKARWKAHEELNVPRAW WLCKFCADIFPRKDRLRDHKKKQHGQSKINADEMHTAKQRERFLRPCFFACGYAGDDF DQWIDHVDKHFAIDVMKATYQGEATEAVAGNLKNPLTLLNRLFGMFPLLWDWNALFAP PEVKKNLSSSFSSLEVLPPTRSPSPLAALQTHTEKKPVNNDDVDFEALEADRAAAEAK EARAAEVYVKAKAVEMAVEIEALRRKEREEWEAWEANLANAERERDAAELAREQRRKE REEWEANLANIARKRDVAKLAHEQQRMEQEWWEANLANAKRERDTAKLTHEQQRKERE EWEANLANAERERDGGPFP EPUS_03028 MPSHPESSYKPLKNPKEIRLRQIEPSLGPTHSSLPNAKLIHSTL GEGEIFSALSYVWGTEFDSTPLICNGGKIRITKNLGQALRQLQHDEQSRRIWIDALCI NQENIPERNHQLTLMSDIYGTAKQVLVWLGPDNENQAGRLCAWIESVGLFGVVRRRLW LCESEERMGRYNSLSTFRSKRAVMLRSIPLTMLSVTLAKPESVQMVDVQEPK EPUS_03029 MSSSSSLTEDWGRRGNADPDNERCEETSPSDSQRLGWFTIMCLI LNRSIGTGIFATPSKIFLGVGNAGTSLLLWAFGGVVALAGLHVWNELGLSVPRKYERS VPRSGGEKNYFEYMLRSPAFLVTCMYGIVFLILGNLSGNAIQLGVYVMVAAGHDNPSK GPVLGIAIAALTLAVSVHIFSRRGGIVLNNAFAVFKVSLLVTIIILGILRKSGLDLGG GSTQTKNFEKPFAGSSSHLAHYVDSLLYILYSYSGFKQPFYVLGEAKTPRRIFPWATN AAVLIQWLLFVLTNVAYLWAVDATRIEETLAEDYNGQSDMVSLFFESIFGTESPTPKR VMTGLLSLSILGNIIVMTFTAARVKQEIAKEGILPWSLFFAKSTPTPWAWLKLRWASK RRHSHAHPGSGDRFAAAERELPDQSPIAALALHLFTSVFLIVVTAGLDVETSYTFLIS IYAYVINGLIGFLAAGGLLYMMYLRAEEWRIVSQFRLGPSRWTQSLPAWLYCLFTGFL IVCSFVPPPSSDREYSDSRTGFPWYIVPAIGLSSILWGLIWYGGLKLEERRRGEILIN DRNPAIEEFVSDDKTHREWIMTSEIITRRWMTRGYRHEMAMD EPUS_03030 MSIVGISPSDILNGIGLAIQIQRTWFDRANRADSLYREFGDDIR HLSGNLEALKLAFETGYRLSVHDGRYYDPLQDALDKDRRALVGNFEATLVDCEKLLKK NVAVSREGLSFVRNSYWHISVKPTVDALRERIKFHMIKMEFVLEPLRHGLLKDISMSI NLIMATMGDLHAFLIQGTPASQLRLPPIPPGLQQRFEVAFSSNQPKSFSDGSDRVIEL MFDALHRTFMQSTFSYHGPLSGIQTSQQYLNLIKAQFLSEKLREARGLSERYYYDRSA RLIELKIRLEFRRDNIERYREEELEVLEQGLFEIWIAHEESDSPVANVGVEVGAPSEE ILVLPVIHEDGRSLQNLAVVRQNQSEVDFLLVKRTLATATSGPRTDNYPMNIHHYRYI PWYAIPTDEAASTKVEVRNTMSIHSSFFTFKDNSDVLKFQHAVMGYHVICDKTSVAWK FHSKDCGQNLIKSQNRIQLWRGKRLSARKRAEDASASDPTATMPISSRSNDTIQSPPS AQTDKPPATPTIASSVISEAESTGNERITVIKALTAPVLFIFTMLDKKYTFIHIELTP QHRLSYQSCSCDDKKPHSCASVAIRYTRKQKNLKFPFRIHSVEPSQVANFDLALFASQ QHPGFSRLKSKETGYLNLDFTSSAERIHFARCFNNLLEDHRAKYNAIEQHRAQRKHQS GLSGEGEFDRSSGSWRSASFSSIGSSSTRVHSPTSPAPRLDPVPNLPELSLPYREGIS P EPUS_03031 MDQATRGAAALASGDASTAVSAYTQALVQHPTSPDYFIQRSTAL TRLKAPHQPQHDLALRDAEYAVLLGQKRARREKIQAAQQRRVIALFGLQQYGNAAFVL STMERWRTKEKKDKMEGDMWKAKIDQKLNTLSQDDPARLITVKEYPDTELPNDSAMKK LLQSQLKADGTFRFPGECGGEATGETQTDIVGGDLTKEPDDVPANGIKVDCETTVLNE PASSPSHSSAATSQSMAKIRHEWFQNAQNVTVTLYAKGVPRDKAELEINEDSISVSFP HPSNPTSTFTFTLDPLFALIDISGSKASVMSTKIEITLRKRTPGQKWNALEGSVPLKE ETTAHPPDSVAKAAILSTLAEDAVKATQTAPSYPTSSRSGPKNWDKLAEDLTAKKKTS QKHKKKDKTEKSDEGESEHGHEDDDLDSDYGGDPVDGFFKKLYAGADDDTRRAMMKSY QESGGTALSTDWKDVGKRRVEPVEEKKD EPUS_03032 MTSIFRNFLEAILPAWLTNTTSLSHPPNTDDNNDTNMYHPSSAR EVYHVAFLLRQILVADLIPIILDFAEYWPRTTYSCQESKTYVQHTAGRPYLSAVLSNA VCGPRMIRKIEIHVTSHDQGWSSFPQDHGTYNGSWTWFEAEVRSAGSVGEDEDEHCVK KELCRNVHADATDKTHTTTWRYDAEDDGERDLVRSLGPGSSISVVPWARFPGWQNYVT CASVDVYAVAVRKL EPUS_03033 MPIDHFDDSDTRTYKNRYWINDTFYQEGGPVFFYDVGEGAVSDL RVIQFLGEHGTRSAPLRLAEKFNGMAVVWEHRFYGGSLPFPLDKDTGKALEGYDAYKY LNTEQALEDTVYFANNFNPPGYEDSTSLSPSNTPWIWVGASYSGVRGAIMRVRNPEIF YATWASSAPVQAQIDMSVYANPIQQSMPNNCSADVHAAVAYADNILLHGTPEEASTLK RAIYLASNIHTDPAILEINQVDVDSLDYYSLAANLSHPFYLNGYSFQYYNYAGSLGDF CDFLESWNPETATEVDIDTTGKEWLRNSADGDLTTGGVAASYGDEQAFYAFLSATADQ SQNTSRGSDDFSLLVDNMSWNWQYCSELGYFLVANASDSTSLISRFLDVPGRAQHDCK DVFSYAPDLPDVDTILKYGGYSISPTNTLFTNGERDPWRTLGVQATKEINPSASIRES TIDVPACNAPPEGGKVFGVIYSDEVHGSDMAKSVKEVESGKVSPADTGFHLFSKALEK WLECWKQ EPUS_03034 MTSSMTSHATIGNSTFAEPRSAESTMSSIDGPSDFTENVFEYMK SNKQTTAKKPRLVKPKVKPKPKRQFKQEESGIIIGSPSEFSDDFTDYTINKRAVSSPA KSENSDLDGPSDFTANIVDYMKGNKPYSPTARDSPGFVGTRAAMSSSPVHKKSIDNKT VSSPARSENPNLDGPSDFTANIVGYMKGDKADSPPPKNSPGIVGAGKVKASPPMREDA GSNGSKPTSQPNFPPSSQLADTVPNSAPKMNQNLVINGPSNFTASLAAFINESSARCA SVHTTSSPKVTIRPMSSPARVENFEVDGRADFAPKLADYIDEKTKESATVPTSPVSKN NTNMTKQATYVEEIPTLNMPSAKARSSSKHDNSGVRDPSTSARNLSNFTQKKSQQPVA AARSIINQKDNTTTTKMATPAVKTTPLSMPPTRAMSSSKHDDFNIEGPTDFTENLVDS LNGTSTKPALTRKSDSKKTDKHSPTTKTAAIGKPAPRPAHVAKKDGLVIEGPSDFTEN LVDYMKGTKTYSPHAKTSSSATIAVATNSTETIGKASSSGQEDELRAEIARLQALLLQ KDKTITGLQDSLAVAENKSADLQCELEQKYTTIDKLQTSLKQCNQQCQGLGFELHQMK MEVDELQGNLNVSTNSGPEGGKQDADGHAKDVIINTVQPKDQVINRLHHNNENQLGQI ADLRSEIADKDLLLIETVEVVHKPQSETDCELLKDALSEHANALNTQHYISDNLAAEK KELEGTVASLQKRQAEMEEKQEKREEEWQARVELLLQEVERRGAACMELWGQLEHPGE RDEKGRQKYTYKYTRKGTKRA EPUS_03035 MGAFSCFGCFGEQKNSSPESPKPARQVLANVTDEKNVSSVRKVS TEHEAIGKEDAKSATPQDVSPTEEAVSTSIRAPSPLLDPSVNLPPSAAIEGSSIKDPL LTEKTQEVQAPASSKISDARTSPTDVPISQLIRSASSASSRGKADSAGSSLKQVSTEA TTPPRTPVETEAKSVLAAQLSAEKVNTEIKTDDTPQSLAEAEPLFPPQVAPQPPNDIT DLKIIEALPPSPPPSTDTKPTLHLKTTSPLPSPGIRRPSFEDDTPITPLNPQPKPTGV SDANTSTITATTDSSSTSIPERLTTNRVSTAPVESTTLARSKTEKRKSGLTRFLSVSS HNKGKAEIDGKERKRQSRFRWSGIPSKEIDNEEPAPGLAVVKEGEGEEHREKEKTSLE KEVEKEAERVKVEGRKWREEGDNESLYCY EPUS_03036 MVQTRKGAQQVPIANGCAGKQNGQVIRPEKTDYSRWRLLDESGR QTWHYLTDNDEVQKWPQSIADKYHLGLPTGLPELPPATTPSQSIDNCLSFFSHLQLPP GNWACEYGGPLFLLPGHVITLYVTDTPIPPAYATEIKAYLFARQNKQDGGWGLHVEGE SSVFGTALNYTILRILGASEEDERMIKARGKLHQLGGAVYGPHWAKFWLSVLGVMEWE AVNPVPPEVWLLPDWMPVAPWRWWIHMRQVFLPMSYIYSKRFVAQPTPLTRQLRQELY TQPYESLDFGSHRNSISDKDNYHPKTWLLNVINWLLVWIWFPYLRYESLVRRAEGWVW DLVQMEDENTDYAGLAPVNAPMNTLCCFIHDGPNSDSVNRHIDRLNDYMWMNKEGMLM NGTNGCQVWDTAFLIQAVIEAGLASSLKWKPMVQRAAAFLEDHQIREEVPNQEICYRQ SRKGAWPFSTKVQGYTLSDCTAEALKVTLLLQNTHNYPEIISEERIRDAIDVLLTMRN PRSGGFASYEPQRGSELLEWLNAAEVFGRIMVEYDYPECTTAVVTVLSLFQRFSDYRK EEIDRIKESALKYIRRAMRQDGSWYGSWGICFTYAGMFALESLASVGENYENSAQVGK ACEFLLSKQMDDGGWGEDYRSCERKEYVHHRAGSQVVQTAWAVIALMEAGYPGKEKIK KALAMVVGRQQRNGEWLQEGIEGVFNQSW EPUS_03037 MSSRGQPLDYIETTFLTILSEIEKRPCGRPKITLKRIVGDNPHQ CHRDTEREVTYSWPGKNRDEAWRFACVGKILAELHEALHSGVTVTKRDIYYRDPELYG KQALVDRYVDDIAYTCNVTRRKLNVTASAKGLHAGLLPFCLAGSTPNASFEAVPDSIH HSQEHSLAHLNWILVIEKEATFRSLVEKRFQWNSINGPGLMITAKGYPDLATRRFLRT ICDHRTWLHRPQPPIFGLFDADPDGINIMQIYCQGSQSLPHETELNVPEMQWLGVRAA DVLAEVQNDESVLKLTSRDRRKAVAMLGKLNRESEAVVDQQCRIELQRILVLNVKAEI QLLEDRPGGLEGWLNGRIKTQLRKSIHL EPUS_03038 MASDVASKRLWHEYRLLYSTPPEGILAYPIDEAKPFVWEAFIDG PKDTPFEGGVFPAILDFPKDYPLSPPKMKFLGDIFHPNVYPNGEVCISILHPPGDDPN HYESASERWSPIQSIEKILISVMSMLAEPNDESPANVEAAKMWRDDRAQFEEKVKDGI WKSLGLEVKK EPUS_03039 MPFTATSVLLSIAALLFYCLHVDAAPGYCNGESLKRGCQRIGLD GFFQYTFAARVPVYASGSTFAEDCMMNNGAEGKGVKALQYSLNNCYTSKPQDKLEEDG KYGSLTKAAVKAAQKRIGADQDGIYGPETKSKMSWYGKGLSYPENRCFLYKYTLGGCL R EPUS_03040 MKLLLKCLLSHPYSKEEPNSLNFSTFRALFPKFITKELDLRSNP LAEIARDAAGNFTSASEEIRGTATEVGSDDEEEIIDLDYVDPQWEYYGEDPTPKFRGQ VSCLAEAEETGQGFHKWVRALHLARELVEDGIDLYVLAEIADSQRMMGNKEAYADMHS TAANKLGVDGKRRRSDSSEGPEPIRPQRIDIESILYLPPEPRFPASPYIHQRIFSEGP SAWLQNDDTGTVHLPDGERLWPGKPFYGDFHIPKIPWTEDDVNGWLEVRDDVSMDEPI VETTVTNGADYMQGSTTHKARFPFTNGPEYCIEASNDFPSHAYIDEEEANVDPTDGEY VPREWKPE EPUS_03041 MAEPFSIAAGIVGLLGLAIQVSQMVLKFGLDWKEAPKEAKAFRL ELQSLQMTLTEIQTKLISNPSFEEAFDGNSSALLSHLKADDLSQDSIKEAFQTCHTQL IEVIDNFKGKERSHRLGWERFKAPFLSSKTSNAISQLQRQCQSFNQLILIDTATIVAS AHLEVKEVRKEHQQWHAAEENRKILRWLSHLNFEEKHRDILSKLHPGTGQWLLDSDQF MAWRNGHQDSPPTLWCPGIPGAGKTVLAANVVDHLQQLFAQDNVAVLYVYCDYKDQTN QTDRNLFASLAKQSILQRSDLPFEAKVLYSSCQEVDTSPSSEQCLNLLKSSIDHYRRT FIVLDAVDEHLPSEEDKYSPHIPLLCELNNIQQQKLGRCSFFITSREIHSVQEQFQDR TRLDIRANDGDIRSFVESRIHDDKNFTFAAQVRGDPALAKEIIEKVVEKAQGMFLLPH LHLDRLGNQTRIGRVRKALNDLPSKLDDTYEDTMYRIQHQHEEHRQLAMRALSWISKA ERPLQVEELQHAVAVEFGDEDVEDGPLESVTLILSVCAGLVTIDAESKTFRLVHFSVQ EFFEKKHYKWFRDADRVIAETCLTYLSFDAFEQTVYQENDSLPLLLRQYPLFSYAANN WGCHVNRSRLGVEEVKDVALKFLGNERTVAAAMQAMWQKGDCNLILFRYAYQERVPGI YLVVAVQCLEFAAAWKLCGGNIDTKDPTDGSTVLQLCIKLYDLEMPRCLLDAGADVNE SRNDGCTALMDAADRALCEIVKLLLERGADPNVKDKSQLSCLHHAVANSEWKDFPFEA CCGCVELLLKAGADIEARDASGNTPLMSALFANNFYIGEYLLRQGASVDVANSGGLTP LYFTLKNSRTVQPVTTIRMVELLLQSGADVDAVDSHGITALRLAAVGFHRYAETEFLI QSGANVNLTDMNGRTVLMDTTRSCYDKKIIHLLLRSGAFVNMADRWGCTALMEAVGDK WVGYGVTELLLQFGADVNAIDERGETAVTKVVQGCLRLSNITDLSYRYMYLWNNDNVD KERLRLHRERYRDILSALLSRGVSEQNRKNALDLARSHRHPDREIVKILLTKESDDSW RGKGPGPFKLNTYDDRKTEDNNHGWFNQTTRGTPTTPKYRAQHASEKTPDATQKESIH KLTTNSTMTSDIGGDLFKQEHSPLIETSTPQNLTQVTIQPLRQTKKKKKATSPISTAR PSPEKMLLFTRTLMAPQKNTSTYLQYTTGNETNKQQQHTSKPSKPSGGTEATDYHAEN YMPVPDRHYGHLSRGSGEMLQNFEHTICFLDEIAKTPNSVDELEH EPUS_03042 MTVVEHLNFYARIRGVPDPTHNVNEVMRAVGLQPYANRMAAKLS GGNKRKLSLGIALMGNPSVLLLDEPSSGMDAASKRVMWRTLASVVPGRSLVLTTHSME EADALANRAGIMASRMLALGTTDYLRRKHGDAYHVHLVHKAAPHTADADMERIRQFVT AEFPGANVEEKTWHGQMRFSVPMNTRNASVVSEKDAIAVGDRAPATSMGASISALFTL LEKNKQNLGFEYYSVSQTTLDQVFLSIVGKHNVEGEDHRGDGGGNGVAYDVNGLRFGG FGWY EPUS_03043 MALIPRQIAALTVKNLLITLVRHWFSTPFRAFLLPCIFIAFISY SRNLFIPPSVYGIGEPTPVRSLGDALDLVSGGRDKLVLVNSGFTGGDIEQVINGIAEP ARQAGTQVDIIANEGDLANICRNSLRGTSSCIAAAVFYSSPNEGQGERWNYSIRADGA LGSKIVVDSDTNDVEIYALPLQHAIDFTIAGLNTSSDVTPLPSEVQKYPYTSETAEQR RDNIRIRYMGGIIDILAVAIFIGMVGTTYQLTGLIAVEREIGMAQLLDCMMPNRARWQ PQMVRIISNHLAFDIIYAPGWIIIGIILSAGIFSRTSGAIVVIYHILSGLSLCSFSIL GGAFFRKAQLSGITMVIVSLLLAVVSQVASKASTGAVAILSLLFPPMNYVYFTILMAR WERQNVGTNMVKPAPENPWTLPGIALWIFLIVQIIVFPILGALVERTLYGTGSKSRTV SESAEAPDAVILNSFSKEFRPSWLRKHFGFMFGSRRDTVLAVNDLSVSAIRGQIMVLL GANGSGKSTTLDAIAGLTKVTSGSIHLNIAQGAGGFGLCPQKNVLWDDLTVQEHVSIF NKIKCFGKPDSKAGLKALISACDVGHKLQSRTKTLSGGQKRKVQLAMMFTGGSSVCAV DEVSSGLDPISRRRIWDILLAERGTRSIILTTHFLDEADLLSDHIAILSKGSLKVEGT AVELKHKFGSGYRVHVYNLPDGRPLPEYPGIPSETQYDKRIFILQDSAQAAEFVSQLE NEGIKEYQVSGPTIEDVFLKVAEEVRSDSPEPLRAGSPTSQDDKDGAVVVKEKSVGAH TQGAPELVSGKRIGMPKQAWVLFCKRLTVFRRNRLPYIAALLIPVIAAGLVTLFLNNF ESAGCSPTETITVSDVSSLVSQVDFDLVVGPRDRLSPSSLEMFARTLPGGGGETTPGN LTGLMNSIHMVDTLAEFNDYIDTRFANVTPGGFFLGDSSSPPTFAWRGNRDISFATIT QNALDVLLTNISISSQYQAFDIPIPADVGKALQLIVYFGLAMAVYPSFFALYPTVERL RNVRALHYSNGVRSLPLWLAYVSFDFIIVVATSVLATIIFRAVSNIWYHLEYLFVVLF LYGLASTLLSYVISLFSRSQLAAFAFAAGSQAVMFLLYFIAYMSILTYSPTAKIDSHV TIAHFTIAIISPAANLTRSLFIALNVFSLVCRDREFATYPGEITLYGGPILYLILQSF FLFGLLLWWDSGPLLRRLRKRFRTVDAEDKSTAEEEVSKELTRVTSSRDGLRVLNVTK QFKSNLAVDHVTFGVPRSEVFALLGPNGAGKSTIISLIRGDIQPSRQGGDIFVEDV EPUS_03044 MGVFNRKSTSPPGYPNRDSSPLKTEYNAQAYSPESGYPPQEIHG IEFSEETRLTRGLKARHITMIAIGGAIGTGLIIGTGVALSRAGPASILISYTLVGFLV WIVMSALGEMAAWLPLSSGFTGYAARFCDPALGFALGWTYWFKYIIVTPNQLTAGALV LQFWVPRSRVNPGVFIAVFWIAIVCINYFGVKFFGEFEFWLSTIKVGIIVGVILLSLI LALGGGPDGDRKGFRYWNDPGAFRPYLSTGDWGNFLGLWNTMVTAVFAYLGTELVGVT VGEAQNPRKTIPRAIKLTFYRILFFYVLSVFLLGMIVPYNSDRLAFAVERGGTTAAAS PFVVAIQIAGIPHLPGILNGCICIFVFSAANSDLYIASRTLYGLASEGYAPRIFKRTD RRGVPIYALGLSSIIAFIAFLNVADDSRRVFIYFVNLVTIFGLLTWISILVAHIWFVR ARQAQGIPESALAFKAPLGVWGSYGALAFCILIALTKNFSAFVGRFQTADFITGYLGI PLYLIMLFGYKFWYKTKTVSPATADFYTGKDLIDREEEEFLAHKAERERLGGRNGSWF YRTFVAWLF EPUS_03045 MAPYFKMYDQRPGLDKGFRRRASSSAHPLRIRLQTYQVDHLPFY HAISYCWGDGPADRECRCDDDTSAGSLWLSDNLWQALKQIRRIDADVPLWADQLCIDQ HNEEEKTHQVQLMRRVFGRASQVIVWLGVADAQTALAFDLIDNVAKQVVDQVKKLGNN WKRNIRTEYYPLEISPADSPEWSAFRGLFSRPWFSRLWVFQEVVLSQEARIVCGQFST SIQKWTMICEVAEALDRRTTDHLANLKEVDGLAGYISVCNSMNSSWFKATLIRQAAPY CFELLNLMRVLAGQKVSLPQDHVYALLGVAEDVEPLTFPVNYSQPFRDLFVYITKFFV KRYSDLSVLTLVSIGPSSKVARSGPRELPSWVPDYRFEAHRYNERLTSGPKSVKHGLD RHYNSTGSSRASAVLERTLTLGVNGVYVGTIQVLSEPDNNLEDGKGIGDNVLNDGQWS QIVNSYAPDKIYGPTGEHISIAYARLRLTDYLPGENEAVHRSARLAPPTRIPEPNPSS LISIPNGEPLLRSDAGDFMTACIIQSTTRQRLFITDSGYMGLCHQSCVLGDQVWLLMG GDMPFILRELDNEPVTHQFKGESYVHGIMDGEYLLNKFKSGGELSDKEWLNSLADALP FETENLVLS EPUS_03046 MAKAAKIDGFAMNIAAGDPNTDAVLAAAYSAAEAVGDFKMFLSF DYLSMGAWGMDQVINKINQYKASHAQFRYNGKPLVSTFEGVSNTGDWSGIKSATGCFF IPDWSSLGPAGFASHLETADGAFSWDAWPDGAEDKTTEADEQWTNMLSGKPFMMPVSP WFYTNMPNWHKNWLWRGDNLWHDRWQQVVRLQPAMVQILSWNDFGESSYIGPIHQSSV PKGADYVVDMPHEAWLKFLPYYIDTYKTGSSTISSFNSLVASPEEGITFWYKRNPGKG GYSGGTTGNNPAQGQPAIAPELVSQDNIFVSVLVAEPSDVIVSIGGAGSSLRATHSGI NHFSVTLDGRTGMVNFKIQRNGRDIISATGPEITNSCKNGLVNWNAFVGSN EPUS_03047 MTPAAEGTDVSGAQLSNAGFLPYSSKLQDVAELLIEHIARLLGS SIDRVRHSYESLEPGFHLVFKRELCLKHDKLTLNDHKGKRPLQLIGHEKLVHAVADPS DALEILARLLEKGRVEAVKAARRAEKGQHATNPLVIDVSNLITQAELSSQHDIELRFN DQGMAASWKHPPNISLEGLQEITSLRDLHCHQNLALKQPMEFCTFMGGGGGSDVIQAA ALAKLFTKANRIMRVPAVISIRALLSKSTSAGERRSVWHEDDPKCNLLESSKGDLKIE LHHQGNARFVEDAIVDDFDNVRLVVDDKSQDEQRRNRYEGAIGENVDSIIVVDTGGDV LGGMDSHASKKAPDQDRRTQLATAQIAAAKNLNAIVAIAAVGVDAPPDAQRKLEASDA VYYRFTEGDKKYLKELYSKWHFNGTPENLKQHPEHYGKTPFAMLASFDLQPGQRGSFH ALPLPESVINDFDNPWACITWVAPEMSCLILVNQAKLLSVIAPQRND EPUS_03048 MERSSLPTSSSKVTGRKHCSAIPQKSNTDDVRGTAQCVDPSDLF STFKPLLLQYLPLRNLHWKSPNRPLRSINTLHVDLIKDGNETTSVPERRHQIPGLRQT PYLKIYLLRCDDNETYKATCRKQIREWIKSTAQQAESKTAASNQEKHDAFEWLIVHVV FPETPAAAQLRKSKEARLGTTDSSDSITNKSKWPGKGSSNVFEKLRADFNGSSKSAVE RVAQISVPNAGQQISVEMQEQFHDLVEKLKLTILSSFDLRVSQYEEDIQEKDSQRILP GWNFNTFFVLKEGLARGFENVGLFEDALVVYDELAHGLDIIIHEQLEVKGSDHGGRFL PYSDELKDKIQACLKDTNEGTGDNARPNLDLDADPAVRLQRRDYPLDASRSPFRELIL ANDISIFDFRLYIFSRQLDLLLRSANARSVADEPSESQAEHSALEEQFLPLADACQRT LDFVTNGTRTLRHDLELALEMNEVMSTDERHFRSSVISNFILSWMYVSSLQVLAQTSS PGLILPSAEAMPSRTISKSSSRFQASNPFKSAIEPSPRTFSRTPSPIKLDLTARSARS GNGLAQPSSTLASRTGAEELAGARAELYLLARAAVQQIGDQHGWTNRWSVPGLAEVQD VALETAHLPNGEAAEKVMCPVINGLQHLDLRSIFHSKKSFNMLFGCLTTFSYRHLLVA KRTNSAEKAIAELAVLKYEAGEYESGASYLGRIASYYSDAQWPALETTFLELYASCMK ELGRHGEYVSSLLRLLGQVRRSQQTYPLSSVDRYLAELWLFSENLTSSVSAKFSDFFS IRRLAPYIHHHQDRDGFFISMDLAFIGASVEVPNGLQMTLSSTHESTPSTITLHSHPI KIVNSPIRVELNSNLSIFGWYVIEKLELKIGNILFIENYKDKFSALVDQPKLNHHRSL RFFIYPPFRSLQATACPAHYLHLAQPRSIQIEMRTGWNEIQACTLSMKSGTPGLRLRL HDAKVQATDGLETPSLTGPRESTQAIQVTHCPADSEYQFLIPYTVENADIPVISARLD IEYSTAKGNFLYSSVVAINTILPVSVNVQDLFKENALFSRFTISPATLVPLRLWTYEM QSSEETYSIESHADNEDAMDVFPKQPASLMYKFTRLQPTSVLRNGEPPLALSVRFSCL DEVVLKVIEQHFIASVTAGPVASLAQPLCAHLLSTFRSQWSALDLEVICLCHEIEIWP FEDLGWEAVLKGFDITTSGLAKTWLQEWHQHNVIIPIYSDLGGSDPPSRQIVIPVDIP KPPIVVTASLYVDSRQTEAVKIGEALLADLEISVTRDWAAAESLTEKDVQLQISFEVL APPDNWTIGGTRKGRLLAQDPVQSMPVILLPQRTGNLLLPSVEVKCYKVETLERDGPS SEGTRVPCEVDLRSLAQSVHVVSGMKETVVEIEVDAEATNHTGSEKRTWLVGSKGRRP L EPUS_03049 MSSVAQPWLISKFFDPIFAICVGTTAAAIRIRREQLAKYPDQDN DFASLWKKAQNMGKGYITTYRDGKD EPUS_03050 MCRETLLIYPRCGHVKSSMGIIYHCPSWAAKGRTCLNDIGLTFK DYTFIIEDTRTQRFLDSHETSIPGDWKTEIALGYKGYCNNCVEGRTEPRNERQRQDFL DVYLGEYERWGREAEKRKKMAVEQERLIQNFRTQKKGETEACTTEAE EPUS_03051 MCVIREFSCEHTSKVECQNSKTRLITQSDMEAASAISDVEDDPF VDTNTKTPATNTKDIKDQRPLRGHFSSSPLPPPMFLLSPSKSGVEADHMAANLQDTSC GLGIKKKKIDSECHDCMLMRAREDTAVLEDERLAAERLREEVKQHEDVNRLAQNGRKQ TKSGTGTSGRAGRDGGIPVLAAERENPPRGPPRGLPPGYAARGTSARNSVMVPDMPPA NNIQARNTPHSYPNYLPNVYYDNTTTTVHQSTGVYHATRSAPAPMYNPMISGVGPYNH VHQPLLAPQPQHAYAIPILQYGAPAAETYPQFFNTQMPMLEMEMETETSMQYQVQVQD VYEQYGYPQMDAQEFWYVPRM EPUS_03052 MEKRTLGDSRATNNQVENFNVVTSESPWLDRKLKPRTYKARYLF TGKPLLWMTCLFGSLGDALFGYDQGIVSGLLVNPVFVRRFFSEHGGEEGDAAFVDPSI TGITVACLQLSAAIGSITAGHLGDVIGRKRCVAIGGFIYFVTAFIQAFAPNLACFIAG RTIQGLGVGFLSMTVPIIQTEIAAPHRRGLMVGVEYTFLIGGYMLSTWVDYGFYFLLP NNSSWQGPYFVQMGLAFILLSMSFVLPETPRWLARNGFMKESLQTVADLHSKGDIHAE NVQRVFMEIQQAVRYEQTLGQSSWKEMFTRYRKRTIVGITAQMFAQLNGINIISFYLP STLAAAGFSTERSLLYTAANALPYTAATIVVWWLADRWGRRPLLILGGIALAIALGIM CAFTEADLDVQTRANGIYAFVVLYNATYGFTWGPMPWLLPAEVFPLRSRSKGMALATC SNWVFNFIIGMSYPDAFAGIGGYYYIIISGFCLFSTGLAYFYYVETANHTLEEIAIAF GDKAFVENDDEIIANARLSVAGYNDSRKNSAAAV EPUS_03053 MPLLALPRELQLEIVERLDYESTVSLSTTNQHFRELITLKHFRQ AAPYISDKVKEARRTKCTAFCHNCGRVHQSCHFLKAKYRRINGYMATLPCLQTKMASN LAAGMVYHYSVLLTYHTRSRKRTGLCIAEGEDVGPPFHALPLESRFDAAAHLAFIAEN SEP EPUS_03054 MLHQRAVQQSIRWLAVANPSTFNKTTSLARLAPPAAIATGRHIQ IRQAATQPTSPSDAYSILASQRLHRPVSPHLKIYRPQITWILSALNRITGSVLSGGFY IFGAAYLVSPLFGWHLDTASLAAAFASWPWAAQLAAKMAAAFPFTFHAWNGIRHLTWD VGAALNNQQVIRTGWVVVGFTVVSSLALALL EPUS_03055 MSERKVLSKYYPPEFDPSKLTRTPRHLRPTGPKLIKVRLMAPFS MKCTSCGEYIYKGRKFNAQKETTDQKYLNISIFRFYIKCTRCSGEITFKTDPKNMDYT CERGAKRNFEIWRDGKGDEIDETDEERLDRLQREEAEAEEVEERNAMAELEQKMEDSK REMKIADALDEIRTRNARIERGEKGGLGVEEALAGVRDQVEEERLRAEREDEEMARMA FEEGNREKVLLEELDKEKLKGGNGMAGGEADRAAMPPPSFDRVKKARKVMVPGLVKKA EPSKPAPAPAPSLGLIDYGSDSD EPUS_03056 MDKYLRGWRQEALNRGQNEAAIYIGDKVLALSNDDKDAFWLAQV HFSNNNFARALALLSRSGLIVRSTSCRYLAAHCYIKQGKYELALATLGEQNPDHLLPS DHNSRRRLQHSNGTKTKHVTLRNGHTLSRKDRIEHSEERERDRELEKDIKFEAAMCHL RGLCYSKRNAFDRAKECFIKAIKIDVQCFEAFDQLMKNSLLKPNEELKLLEELDFEMI RTEDPALAQEAAQFTKLLYTTRLSKYASPAALSDATETLSTHYNLSNNPDLLLSRAET LYTQCRFQEALAITSSILNSQTTPSGDDTTTTTLGHTPNLYPLHLACLHETSSHTTLF LLSHTLSQHAPHEPYTYLAIGTYYLSTHRIPESRRFFSKASLMDPHSAAAWIGFAHTF AAEGEHDQAIAAYSTAVRLFQGSHLPQLFIGMQHLALNNMTTAWEHCVLAFQMSSGSL NPDQKLFSGEEDEDENVEDVDEEEQAEYFNNEPQRSRVVLPPSINYPATSAGIGTGGD PLVLNELAVILYHQANLPAAVQLFRQSLNLASELGWSPSAWVATRANLAHALRRLNRY RESLGELDECLRICTGGGSNSGRGRTSSVSGPSTAGMTSAGGVEGRNLIGSLHSTRGL VLLSLERAQDAVLALHEAVRVLGGDASGGGMAGTMTTREMGMGGNAFARTQQRLGKGY GKSRVNNEGVEVGPLTHEERVSSGLDGQAEGMLDRVFRRDRNRERGGGVNTNRNASTR SAATSSVTRANATQLGPRATRRRDPFVE EPUS_03057 MERSIDIYTARLSDPNLDAKTKANIATELRDSIETLCSGSSYPR FLAKLWPVFKKILQGEPVFMANSPDHILRNHILEILHRVHTNSPEIEPYAADIVDTLM ELVRIENEDNAVLCMKTIMDLERHQVMATASRVQPFLELIQEMFQLMEKVVKDTFDTP QGATPSISSTPSHASQNFQSPRPASPATTVSDSGAEKKDQALAPGMQSFKVLAECPII VVSIFQAHRNSVSANVKRFVPLIKEILLLQAKPQERAHAEKGAAKTIFTGVCKEIKNR AAFGEFITAQVKTMSFLAYLLRVYAQQLQDFLPTLPSVVVRLLKDCPREKSSARKELL VAIRHIINFNYRKIFLQKIDELLEERTLIGDGLTVYEGMRPLAYSMLADLIHHVRDSL SQDQIRKTIEVYTRNLHDNFPGTSFQTMSAKLLLNMAESITKLENKQDARYFLMMILD AIGDKFAAMNHQYNNAVKLTQQYAKSPTDSQSESFLADKEHPPDWDEIDIFNATPIKT SNPRDRGADPVADNKFLFKNLVNGLKNMFYQLKMTNPQGVNFDDANTPVNWSEVSFGY NAEEVHVFTKLFHEGARVFRYYKTEQPPSEGHYSSPVEFLASHYMAQMSREEKELLES FGTVFHCIDTATFHEVFHAEIPHLHDLMSEHTALLHLPQFFLASEATSPAFAGMVLQY LMERIHEVGSSDVIKSSILLRMFKLSFMAVTLFSHQNEQVLHPHVTKIVTQCVQLSVT AEEPMNYFLLLRSLFRSIGGGRFELLYKEILPLLEMLLETFNNLLQGARKPAERDLYV ELTLTVPARLSHLLPHLSHLMRPLVVALRAGSELVGQGLRTLELCVDNLTADYLDPIM APIMDELMTALWDHLKPNPYSHFHAHTTMRILGKLGGRNRKFLNHAPQLTFNNSADDD PSIDIKLVGSNKDRAFPINTGIDLAIAKLHEVPKLPAAKASDSFHKQQSFRLLSSQLK LNIGLDNLPDELVTLLRMQANELIANKTDSLIDVVDIMGRSDKEQSMQKKRDQEDVLK KLLKACIYACTVPELEPLATAFLHDVCRHLTLIELGRALAQARHRNKPFDVNSGEGES FLDPRVLADAFVECLASDDVHVREKTEEAIKVVRDTTRTIFGSMERASKFSFFHHLAK VFCHHCHEMEWFAKAGGALGIHVLATELDLGDEWLAGKQIDFVRALMFVIKDMPPDLP AGTRRRAQDTLEIILRRCNKGSTRETLANEKGKLYTLCGFFVFELAHMNRHVRETAQH AFAVLAEVIGVESYDLIEPVKDRLLLPIFNKPLRALPFATQIGFIDAITFCLGLGHDL VTFHHEPLNRLMMESLALVDAEDETLHTKPAEHSVAETIVDLRVACLHLLSLAMNFAE FASNAQQSSRARIIAVFFKSLYHKSPEIIDAANAGLKDVLTQTNKLPKDLLQNGLRPI LMNLQDPKRLSVQGLDGLARLLTLLTNYFKVEIGARLLEHMKVIADDATLQKVSFGLI EQNSQMKIVAAIFNIFHLLPPAATTFMEELVNTALTLEEKLRRTAHSPLRKPLVKYLN RYPKETWSFFQTRMQDEKYGRFFGQILSDPEAEPLRLGLVAETESFIHASFDMSEPEQ KNTAAINAIFAAHSVCSHSPTDDWLQSYPGLKDRLLSAGKDLEQKLRADQLFPKQRLR VEQAGDQLMDIFARCLALGPSDLDFLFKVLTAAAAKEIKVTLALYRYLYQHIISSDSV EYRRSIVSRCLDLYTQRNVSQRVKTFVFHYVMNPIFAMDIMRTWNIGHSSSTLMDKSM AELLHNRLWKPQMADNLEDSGQQGVDHSRMEILQLTALLLKYHASTVAEARKDIIRFA WIHIRLEDIINKYGAYVLISYFIASYESPQKVAVQIYVSLLRAHQNEGKALVTQALEI LTPVLPQRVTQAGDPKYPLWARWPRRILAEETGNLQQMISIFNFLVRQADFFYESREH FVPIIVPSLHKIASPPNPSNESKKLVLSLIDLISIWEEKRVNMFTTSQATSSLPSMAR DTTGSSALAPPSTKERPEYVIPLELRTAVIKYLVTFITSLQEKYPVPAAEMKLNAMQK AQQPGMSNDMCVKAMKLLRELLSPRLWADVDIDLYPKVMEPILASEKADKPDEKHITS MVNTLQVLRVIIATKKEEWIVAHLPAIRKLLEKPLRMENLEIQDCLHAEDDDKVSLRI KPLLRLVLDAIPQEQPEEEDAMDIDSTPNEFVPYLSSIATETLSANNLTSSITILWTL SRVRPAEMDQHIPNVMRALQQSLAKDHVNAYSPPQQIPQGYRPGEPNMKPDEFALGVD LIKKTIDLLSARMSHLGDQRRPFLSVLASLVEKSYNAELCNKVLDMVEKWIFDSEESW PTLKEKHAVLLKMLLFENRPDQSLLKRFLQLVIRIYDDPKITRTELTVRLEHAFLIGT RAADVELRNRFMAIFDRSLSRTASSRLSYVLTLQNWDTLAESFWLRQATQLIMGSIDT STPARLHNEDFRVQPVSALFSTSLISKEQKKEDVMVEDGLDAIVADQRRFNQEIGDVK VRDVLEPLCQLQHVDPDLAYDIWVKLFPLCWAALTREERMDLEKGMVTLLTREYHQRQ LNLRPNVIQALLEGAARCKPRFKVPPHVMKFLSRTYSAWYTAMVSLEDSAIEPIIDTP AVRESNLDALVEIYAGLQEDDLFYGTWRRRCKFVETNAALSYEQHGIWDKAQQLYEAA QVKARTGVVPFSQGEYSLWEDHWMICAQKMQQWEILSEFAKHENFNDLLLEAAWRNFE AWQGEGNREQLETMIKAVSDAPTPRRTFFQAFMSLLKFHANKETRGEFNHMCDEAIQL SIRKWHQLPKRITNAHIPILQNFQQLVELHDASLICDSLQQTNERNLDQKSQELKMLL LSWRDRLPNIWDDINAWQDLVTWRQHVFQLINSTYLQLAPQGPGNNVSNNSFAFRGFH ETAWIINRFAHVARKHQMPDVCIYQLSKIYTLPNIEIQEAFLKLREQAKCHYQNKSEL NNGLDVINNTNLNFFGPQQKAEFYTLKGMFLAKLAQRDEADDAFGTALYYDLRLPKAW AEWGQYCDRRFKEDPSDMQAAFNAVSCYLEAAGLYKSSKARKLLSRILWLLSLDNEEG KIASALEIFKGDTPVWYWITFIPQLLSSLEHREARLSRSILSKIAKLYPQALFFQLRT TREELLSKKKQAEQRQAIKAGQAAQQGKSASPERGGDATSSSRPGTAQGESAANGNAG SPKLKQEANGQAQTNGEGERPPREPLKKPEEYADEIMAGLKTAFPLLALSMETMTDQI QKNFKCPPDEDAYRLIVALLNDGLAYIGRAPQSYAQDVKLPPATEANICRFAETILPG HLRKSFEADFVNRKPTMFEYIQKLRKWRDKFEEKLDRRQPWISLETYSPHLSEFRFLK FDEVEVPGQYLEHRDKNTDFTRIERFMPTVDVVRGIGVCHRRLTIRGHDGSLHPFAVQ HPAARHCRREERILQLFRIFNGVLAKRKESRRRNLYFHLPLMVPIAPHIRLVQDDSSY ITLQGVYEDHCRKTGTSKDEPILFTMEKLRALADNKQNRSVEQMQILRTEILTAIQER WVPNTIVLTSFQKIYTSFEDFWLFRRQFSYQFAAVTFMTYIMHISNRYPSKLSISRSS GDLWASELIPTLNSTKPFFYNPEQVHFRLTPNIQTLMGPLAMEGIFAAALMAIARCLT EPSEQELEQQLSIFVRDEMIHWAANNNRVGTLKEEQLREAVGQNSDGIVRRALGLART PDQTAGQTTATAGGGGGGSVGGTGVISSLPASQTVIDMISKSVNPIHLASMDALWMPY L EPUS_03058 MKGFRQRVHEQLSRAKDSNKSSKKKDSASGTASPSQSNAPSGTD SRSPASSAQGTPTSSTTNVSDVRNRASIGSDGGSTGGQHTNSIHHATQNLPNAPTGHA FMPQPPPGSQSSVGGPGTPSRPGSHLNPSVVISPSAPHVPPPGAAETMPGDLAPPKAG QKSLLFAGLQTTPKDVPEGIRTPKRQHSSRFDISDQRQRELEKLPGFHEVPPHRRQEL FMQKIDQCNIIFDFNDATGDMKSKEIKRLALHELLDYVANNRSVITEPMYPRVVDMFS KNLFRPVPPPVNPQGEAFDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKAH IDHQFVLQLLELFDSEDPRERDFLKTTLHRIYGKFLNLRSYIRRSINNVFFQFVYETE RFNGIAELLEILGSIINGFALPLKEEHKLFLTRVLIPLHKVRSLSMYHPQLAYCIVQF LEKDAALTEEVVLGLLRYWPKVNSTKEVMFLNEVEDIFEVMDPAEFAKVQEPLFNQLA KSVASPHFQVAERALYFWNNEYFCNLVSDNVETILPIMFAPLYENSKGHWNRTIHGMV YNAMKLFMEINPQLFDDCSHDYTELQNSAESREQTRQSKWDRLTEQANKMKSGLRTAP MKPINVKYETDTMTHDSQQRLDALKLHDEGAIGSKSTTRRPRGSSDTTKGHRSGASGE SRPKGTRRNSGGSSNSTTTSTTVSRMNPANLPPQPAHT EPUS_03059 MPTIVLTHFNIITAVLGGFITVFGLVSYLFKERFYLSEALISLV AGITFGPHATNLIRPVEYALGSEENLTYITLYFSRLVLGVQLVLAGVQLPSRYLLREW KTLSLLLGPVMVAMWLCASLLIFAMVPNLPFLHALACGACVTPTDPVLSNSIVKGKFA DKNIPKDLQKIIIAESGANDGLGYPFLFLALYLIKYNGDGGLGQTGSTGKAIGYWFGE TWGYTIVLSIIYGITVGWIAKELLHFAEDHRFVDRESFLVFAITLALFITGTCGMIGS DDVLACFVAGNVFTWDDWFRLETLDDSLQPTIDMLLNVSVFIWYGAVCPWVSFRVNDV IPLYRLVFLGVLILLFRRIPTILILHKKIWQIEHLRQALFVGFFGPIGVSAIFYLYVS IDFLEQVTYHGEVREDAARLKDVMMVVIWFLAICSIVVHGLSVPVGKLGYHIPRSISL ALSASTENTESRNIDLRDYSGSASRHQPGRLQQQRDPQDPPPKIAFRLRGSKVMPKND NDLQGPTGEPSRPINFVSTLSTQQNTPAESLSQPDRSLTEKENEQLCHMKSGERVDQV TPPTQEETERDLPI EPUS_03060 MAKSAILSSASTSPASDDLPPLDHHDSYQKLSSMMGPPKTIPNR NIGNQPQRENKPIPSQQFLSPTNGIGHALNDTPLSTAPSSPQIHPSVSKTGTPKSRAT TLDIPGLTRSKVSPDGRIAQRDVGSKLVLVMVGLPARGKSYIVKKIARYLNWMQHDCK IFNVGNLRRMAAGGGQTQTDASLEGKLRASVRRLSLARGRPSSIIDHNDLLPPPAITT QIMVNGEVQGNVFEEVEQHAQHIPSQPKHTLPAPEHMDQDANFFDPENARASQIREQV ALETLDELLDYVLNQGGSVGILDATNSTLERRKTIMTHIRERTGSELNVLFLESLCFD ENLLESNMRLKLSGPDYKDKDPVEALADFKKRVKMYEKKYVPLGEYEERHSMPYLQMI DVGRKVVSHQIRGFLQIILSTYLLNFNLAPRQIWLTRHGESMDNVHGKIGGDSSLSPA GVRYAKALTRFITEERAAWEQRQVEKQQNCHWPPRPGDTTPPNPEYTRQSTGQEKNFC VWTSMLKRSIETVQFFSEDDFDIKQMRMLDELNAGDMEGMTYEEVKTKFADQYALRKL DKLHYRYPGPGGEGYLDIINRLQKVIIEVERMTDHVLLVGHRSITRVLLAYFKGLGRE DISDLDVPLGVVYMLEPKPYGVDFKAYRYNPSTDWFDYVPDFELRRATNPQPY EPUS_03061 MEPAEDVNESNPRDSITGQINHEDDGPLMEIHVYFHSKQFTVQA PPNITISQLSSSLAEMLSIEPQKQKIMITPKPGILKPPFPNTQVSAIYTPKTKITLLG STEKEISDLNNSITKVRVRSGNRLAAERAAPKATKHRDWKKIQEESTYTFHSIRPLPY LLNPERSHRFLERLAGDPGIKAAMRAHKFSVGLLTEMDPAEHTTQESRTLGLNRNRGE VIELRLRTDRYDGYRDYKVIRKTLCHELAHNVFGEHDRNFWDLTGQIEKEVEKGDWQH GGHKLSEDEFYNPGDDGYTDGGQHLDAGGWTGGEFVLGSDQVSHGSEGLTRREILAKA AMDRAEKQKKAQKEINESQGDDKALDKQ EPUS_03062 MPTPPSNEADQNKYFHEPGGHEPGEDDRLGHYDTRYFHGLVSLD ERTETLTHMVRAYLTTFRKLGLETWIAHGTLLGWWWNGKILPWDWDVDTQVSGATLMY MAENCNRTTHDYVSDDKEYRRTYLLDVNPWSKQRDPGDGANIIDARWIDTTNGLYIDI TGLSEVHPEEKPGIWSCKNFHDYRIRDLYPMRESIFEGVRARIPYAYDQILVQEYAAQ ALVNTTHEGYDVAHGFHGLNADTGTDIGGMKT EPUS_03063 MGSSIFFDAHFDAIVEQALKFYHCPGLSIAVVHKGTTYAKGYGF ANIESKTAVTPETLFYTGSTTKSFTAAAYSIIASEPSKYGGVDWSTPISHITPEDFVL ADEWATNHVTVKDALSHRTGYPGCDMAYGQVGTNMKDTIRLLRHLPMVAEPRVEWRYQ NIMYTAVSNAIEKVTGMWLGDFLRQNIWTPLDMASTFFTLEHALQYVKASKGHNVQLA TGYRWVAADEYGCRFLTGDNQAGHFVEEPYMNLSHVSGAGTMISSVVDYAKYLHCMLQ QSEPIPPLGHRALRQAHTLINSEVFPTFHEIYARGGGGPVEIYRHPGALYGFRAEMVY VPKLELGIITLGNGAPTATQVGQLLVYEIVDNLLGIDRSARFDLKKEHIAQEEALLKT LPLQISQKRLFGPFTGSVRPLRISMQDHAGKYSNAGYGEVTISVRSRDANYKLAELSD SAGQVTDHFLRLSPSPHTWRWAADLTHVSDEWFLASFVNSYPPLEFKQNPDRYQYGDE GVLETRVRAVFKLEPQGAVRRLGIELEPDVADAEEAKQRRGKGDKRTDGVWDWDIEKG MIWFERID EPUS_03064 MLIQESYVDVPTKAGGDGTMRIFLFHPSIPGYPKAKFPGVVVFS EIYQVTGPVSRFSRLLAGSGYIVAAPSSYHEFIGPEPLAYDVPGTDAGNEYKITKTLS AYDEDADLAIDTLLELPTCTGRIGATGMCLGGHLAYRCAFSPHIKAAVCYFATDIHSH SLGAGKSDDSLQRTKDIRGELVMIFGKRDNHVPGEGRDLIRKTLHEAGVEFSFYEIAG AQHAFIRDELSKGRYDPRVSGVCWAMLEELFDRRLKIDLGEEAEKEKVEDIC EPUS_03065 MLEVFANRTSIERGQTTHSEYEYDNLLTTITRIVDADLMPPSGN DNRSNIGGEGDRHSSGTLASHGTNHGSYFYNTRHQRVVISSSASRAEGDQQEIIWDDI ISGEV EPUS_03066 MAVRQTCARHGRSAVHASSKFLSSRQYATETSLSEASKMLASIE KRTKDLEKNQRDLRTEIFAKISERLSTAFDLPDQSTFSPSQSTKKSIASVPPGEQFTS KRAYDKKQEQLKQVAKKGSSLLVTYDPRLAFKNPPTASELTLPMLMAAQTHLGHATSL WNPRNSSYIFGIRDGIHIISLDATYAYLRRAARVVQEVARRGGIILFVGTRDNQEQIV VKAAKMAGGYHIFDRWVPGCLTNGQQILGNCELKVVDVQDKELRDMTILLNGPSGHPV VKPDLVVCFNPLENEVCLHECGLHTVPTIGVIDTDADASRVTYPIPANDDSIRSIMLI AGVLGNAGAEGQQQRMQAAKVGKATYNMKKVREMLDSLEDMSTIRVEAREGGS EPUS_03067 MSRSHGKDIPSIPVFSSPLASNSDVPVVSVVEPIYNAACYDPED LLIEFERAYLLGRSQQKTFTRALEYAISCHRVCAIENLFKIDVGRVRQLTQKEVNVNA IAPNVISRAIQGERTDVDILRLFLNLGLDVKKVFGGEGDALMWAVRIGRWELIERILE QRGSFDVDEVKYHKYDNAYTLLSVASDRIRVADELHYYEGHDTQEIPIWIDQKRLILE FLGRGASIKGTCLLPNLAADQQRKEQTVSFARMLIEEYGADVNERYDWNALMHRRSRR ERISTPLYEAVRNNFPRMVELLLEKGADATVKGHAGMTLVECAWNNKHGGMVQLLRER GVPEGPETKGKDVSTLYSSSTPERATTPARRAIVRSDSSSDELSWDERRWLMYEAIQP EPUS_03068 MASTGGLTRRRGGATVHEDGDESPSSRVASPAPKQQQPERGVET SYSNGENGHKIAFDPRDISESVERGKQPKLTLMEEILLLGLKDKQGYLSFWNDNISYA LRGCIVIELAFRGRVSMQKDSSRRRFPLSDRIIEVIDDTLTGEVLLDEALKMMKSSEK MSVSSWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATHPV VDAAAKDEIRKRVRNVCSNRTVVLPPSQFLPEELEFRYLRTISMVCASYAANVLENAL VTMSHEARERAFAQVDELLAEYSQWPFGKRAGGQQGIGTNIGQAIAEEVERGKDKELQ LEVVAACLSVFTRLDSLL EPUS_03069 MPDELEERLRSHADAFNSLLSLIPAKLYYGEDVSDQWQRKKQTP EQKRAARMAKLDPDNAKSAKDVMDERAAAASKRKREEEGENDDDGPTEKQREGLKLHD QQQKRRKTSKEQSNAEHGADNKENGTSVEDQKRRKAEKKAAKKQRSQARKLKAQQKAE RKKAQKEAALSNEPDGGINGGLDEMGDGEEDLELVDAVDIAEKNINTTGDRQSTASPS PEITSPMQSPHLHSGTSSISSILPPSDHPITPKSTTINLAQPIPPPVDPSALTPKQRL EARISALRAERKADGPDGRPPRNRQELLESRRRKDEERRAHKKELRRKAKEEEIRKQE EEIAKRFSPGGSGSLIASPRSPMEPGNNFSFGRVAFGDGTQADSSLSSLLGPPKKKGP SDANTALQAAQNKASRLSGLDEAKRADIQQKDIWLNAKKKAHGERVRDDTSLLKKALK RQEGEKKKSEKEWQGRIEGVAKSQEMKQRRREQNLSKRREEKGGGGKNKKKGSGGGAG KKPKVKRPGFEGSFKTKPGKGKKSA EPUS_03070 MSGRSRPAGVGGSTDRGREHEAGPSAESATDLTAESVAGSTAGS TKEEVDLIHLVASNQANIDQQKLFKYKVENDMAFHAAVLHQMKEYEEKGASRKRRYLN TALDKCDRAKRRATDPRKSRAEFEKLRDEFDRLSTRPQLMRNQLEKDRIDAYRIGLIG QVASNTGTAEQMKSFEKLLRETEFHELARKQLEELVEHQAIEKADRLYLALARVLVEQ KPLPPQGPVLLRGDVPGAGRSSTSQSPSPEEVERDRSWHSVATGTATSNEIRAFRHNL LTSSEFVEAVFLARETCASRAPSEFEVNLMLRLDQQKQAAGELIDAIQMEAVSKVGGN PDIRQAVSPEEITSGRRDRDPTGPSRGGSLIQARELLAHALPPGWAMTFIEMIANRRS SVEMERLFHHKFRTERNFQQLVLAYDKRYRSTTLTAGYEKAVALRVVGTMGSTELLDL FGDNGSDRRRLVLLEQLLRVRPPRSRNIITVTMPPRPDGSPWIVRGGQRPDAPLPQTM TAASASGRGPASGARGNRPGKSTNKPQRR EPUS_03071 MISSQLRDPSGMPLRSRDVGSRSSIRSRRRYLRSSSSDDNDQYA ALYAHPHRHRRSRSRTQSPIRGHQGRFNSRVSRPDFKKDILTICRRGEIPMLDKMFYD ASLVPARPDELPTTDEMVLEALDAPSTGMLSTIVRRDPTYKWSDLAIIKAIEKDKAYD PPLYLDAFLYLGLSPYTAWDKDVEHHDALMWAIRSGSAEFVAMLLCAGADPNGGKFRK VFSHIAVATGIWQIARRPQFAIAYNLIKHGANPNGTGALQWAVGMLAFEKIKEGRVPW EANKIAQMLIEQGHADINETPRKNPEYPTLRHALGEATFYENVEFIEYLLDHGADPSI RPEKDGLTAWEIAVSEDGLPSVIDALVGRGVSPATLWGILRP EPUS_03072 MAKGKSPVADHAQQKIQETSLEAESRKMAGFDDIEKVLPKRWAV SEMGFLDKLTGRLGRRNPFYKPATPDKNIVTLLDTIAYRPVHPYPHSPQPWQAEVVAA IFYKGRKDVGDVVSTIADTIGLDGDVGSNEEAKARIAERIQPFIDEIAPAKSVEIAIP QTTGAPIRKMLGPSNASGITSQTELTGGNDESDGTNVVFTAPAFPEAKAHIHYAAPEG WGIISDVDDTIKVTQTTNPIGILKTTFADEPQPIAGMADLYKVVHDQFNNPVWFYLSA SPYNLYPFLHEFIRTHYQPGQLILRDNSWMFLGGLLQSLTQGTQAYKVDRLEKIHRWL PKRKFICVGDSTQSDPESYAEMYRKYPKGWIRAIYIRKVTDVSNMEKKNEQARFDRAF EGVPRQVWKIFEQPHEIEEHLRNLAGET EPUS_03073 MASLLPPLAVPKRNPRRLAHGGLGGCVQTGQQDRFYETYNRKMS LSRRPLRGKKAPLAVNINVENRDIALSTMTPTSVVLHDDSSYGEFGNPFADQMKAPPS DEKSVELVSPIEQHESGRHSPVFVNRPQTPPTPEQTATLPVELPGSILLPSQGFPQTN PPIAPARDNFKTFASDDESRRSSTPSLDYSSSTTDSDMDMLKNLTSPSKRSGRANTFP APQVIKASKPIPAMSADELMRCLPELNMSIITHSWVPAMENELKRIKALLQEAAEVQV QNQADLGNFGSVSLDPCRSISWTNLFQDVNSIAVSARNISRTYFDAIQRVRPLADRDA KAITDQLEAAQAELRVALAVVEEKKNIISDNENLIQALRETIAANARTLGGFIQDHVG SRLNNIRDIRTEEVKQLLEDYQQTSSGSEAHTPCHYVRIPEQQYNSHLRTLHDAQQKV ETYSTIAHDQLELIKSQSADLDKRIEGYGECLAMLEKRDSRIVELESKIGAIEKELKE AKETVEAYCILKQEHENLGQHCKDLGWTLQSIKDGCQKQMEDRDTEIFTLRQQLGDAV MEIAAHKTEAKAAIPQPESASLASRFRNPLHLGRHATAQDRNLPINARRARSSGKVPA SQSMLSLNTSEANLIPAKFSIHRSQNTPSPVSDTTRQSAESPSDQGSSSGNRRWLSGL RLHPPNTAPLTPSSNVPELRPRKGYLSTKRNPSRTTGVVVGEGARPSSVLVDCEKALP CPPVCESQDFQLHHENKNQDEEEDGSKMEKESDTPHSPSAAVPLHRSPLTVSPLKLSP VNRRVLSGITERSVEDATSPDSTTSSDKNIFRSSIAMLDKFDEMDGDEPNTPSSASQA GVFDYARRSGDEMSSPVRSTRDVREAVRIRERQKEKEKEKEKEKEKEKEKEKEKEKEK EKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEK EKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEK EKEKEKEKEKEKENEQAQAVRICVSIYRSKTGIK EPUS_03074 MNNHASTTSPPPPPPPPPPPPSKNSAAPMPDRTSLSGSRSPHSR NPSLHLAHMPSPASQHRQSFTESLRGLPPSPRAQRQPSLSQLAIQDLIDNPPARNDPA FAGRDWRTVTVAELVSPEDVKFAEVNTGVEAATNLLIDSGAPVLLIRESPSSRSVTGT FDYADLNAYLLLVVGLAQPDEGHIEDFRELARKARTGAVIPLKDVKNLGRKEPLAKLP NTASLIQAIETFGSGVHRVIVVEQHTDEVVGILSQSQVVKFLWENGRSFPVIDQLYPQ YLRDLKIGSHQVVSINGDKPLTEALTLLMDEGVSSLAVLDNARNVIGNISTVDVKLLT KSSSLPLLRNTCIHFISVILSTRGMQDGKDSYPVFYVTQLSTLAHTVAKLVATKSHRL WITEPASPSSSGPTTPLTHTATMVPTSSHSSSISPSTQASLIAPSPPPSSHGVSSNSA SPFIAPSGPSISASAMPGASISGHLVGVISLTDILNLFARASGLHPTDPNEIRKGRRR SSSSSVRKSGELGIRPRGSVDSSRGPAVGDRSGDDGSGPGEGRRDSFRLIIVNDMKLR VTPNLYDSLCFIRRSKLSQHHFWIDAICIDQQHLDERSAQVMLMGGIYERADKVVIWL GKENAHAGRVLRMVLRLAHSWTELQAEFGLPRNANAEAFRPFRDPYGYGNPVVFRRLG LEDTTQPDWLGLFLFLQRRWFQRQWILQEVVLSRQVEMFWGPYWIQFEHIVKCANLLQ ATSMAVLSVGGIMAVPVAFSIQSIWSLIYEPIDVWLDTDLQAYSSVMHGVFDLHGNPA ILLDLFIFNATAHTSTDPRDKIYGLLGMVQKVNSFYVLQQDMLKVDYRKGTVDVYCDF VRAIMQSTKTPQYITKVWGRECVKSDVHNLPSWMSDFSIPQITTWAVDVMVNTKTSFS ASKVSPTPTLTFTADGHLLRLHCVTFSEIVQFGEYIDDNFYTDRFEESVLHLMLETPG PYSTGQNVVEVLWRTLNMRL EPUS_03075 MLPLELDNETGILAYIGHRIQSCLPKELFDQLLDIQVDSLPPGP DDVLPIFNGESGDLINAMPTPYALRLPRSKLQKLLTTGIEIHYSKRIREIESNRNSAT ATFEDGSKETANLIIGADGARSMVRQVLLGPQKAALQMSPLLASTCLAKLPVESARLL AEKHPRLNLTIHPARTILWICVHAKYNDTKPGDGVYTFIMSWVSDRDPKELQGAAILS DMKERATQFAHPFKQIVLSIPDDTVCSHNRLSYWPTEPWDNRNGTVTLAGDAAHPMTF HRGQGLNNAIDDAAQLVQQLQGLTDRTSHQEITAAINRYDEEVQQRGREAVESSLENS LMLHDWDRIMQAAILTRGMQKDAVH EPUS_03076 MSQNGTDPVSPSTTERASKGHAYGSLSDTPEHSRQKIRLARIPE IDTGLQFAECEEDVEEELLQASLEQYQLYLHQLDTAKLHLDTLLSNTAATLDLLSEVS TSFKAVETQTSAFQKQSAGLLQEQEQYEAAADGIKRNLKYYEPLDRITKRLNAPGAGA MVRSEAFSEMLVQLDQCIDYMQTHPQQREAETYRSRYRLLLTRALTLVRTRFVGSVRD TSAEVAKRIADKQLNDTTMSALLYAKFRVDAADMKELGLEIQKRANPPADAEPGTEGE YQSLMDELHTTFAASRGRLVLPIVRTRLAEIAQAPSTSKDLVAFARSSISYVRGICLD EFELWGEWFHGQRGLYDFLESICEPLYDHLRPRIIHELKLPKLCQLCTLLQTRYMNDG EDEYETLDLNELDFAQLIEAALEDTQSRIVFRAQAILRDEIENFKPNPSDLDYPNLLK LTKVPTSGRKDSQANSQANSPRSESTDGLDFTQQRWPLSTTTSANLSTPYPTLTRAIS LLSLIYRLLNPTIFDDLAHRVVHATTLSLIQASTLLLKSRKKPQTPRPDSPANNPPDP TSNAHLFLLTQFLLLKSQIVAFDIEFSPQPEVTFDFSNLTNTFYELQAERGGLFNPLN LVRLVGKGRDLLPRVVENMLDAKVELDGRLRKVISDFTAWEARKMMAPLRRSGGGKGW VVNGDEETTRKAVIEMRGAVEKEIEPLRRRLEEWIQDIRTRETLIIAVREAVLQGYEE FLGAVESGQGDVKAKTRSKGKGREDEIWDVDAFAEWTERVFGVTDQAGDDVDTDADEQ SRSLSRDGSV EPUS_03077 MAQTTRKTTIRRFQLLHLRTRRFIHNRSPSTAEQKIWTRSSAIT LAAAAGIAMLYSSSGLRQASAEVAPTSVEMTIEKSKRKTGASKEENRDLMSSQHLQVK RSWENPGLYAWGSNTGKVAAPDSEEIYVKTPRRIPYFNDILLRDVKLDRNFGAAIKEN GDLVQWGKGYSEEQPQPAVTLRGKDLKSIAISRDRIVGLSKNGSVYSIPVSRMDQEAG PKPSEASWIPFWNGHSNISYRKMKPKDLSYNEKVTAISGGLEHVLLLTNTGRVFSAAS GTEDFPSRGQLGVPGITWSTRPEGPYDMCHEITTLKGFDISKIAAGDHHSLVLDKEGR VFSFGDNSCGQLGFEYRSESPFIDAPSLVPLQKLYAGTNQIPKVTNLSAGGVNSFFTV DATRVVGPGENASEARGLGKVTADTWSCGQGIKGTLGNGRWTHLQGTPTKVQSLSGLF EYDEIKKQVIPIRMAQISVGSSHAAAVMDNVTYLDASENSSENDTNWGADVLWWGGNE YYQLGTGKRNNVTNPIYIRPLDMAAEVQAGRKEEHRFHITPRHTVNVQGRKIPGHQML FRRPLQPPLTLFWPSSIFLSPLSQFDTSQKGTSQKRDLLPTPNKRTPFGVHRRSVAVL SKEDAEEGEEDAEEGEEDAEEGEEDAEEGEEDAEEGEEDAEEGEEDAEEGEEDAEEGE EDAEEGEEDAEEGEEDAEEGEEDAEEGEEDAEEGEEDAEEGEEDAEEGEEDAEEGEED AEEGEEDAEEGEEDAEEGEEDAEEGEEDAEEGEEDAEEGEEDAEEGEEDVEEREEATE ATEAPEAPAARLFPTLSDYEYYDSIVTTQEVMQTFIANSSLQLLVLAAIPLIFAFRRL LLRDPFPPKTPQPISNDYPIVGAFDFFSRRWDFFQQAMANSPTGNFSFHLGKIPVIGL SGLDARRVFLESKQLDLNQGRKPSRRSSRRRLLPMVQHPDNYHDLPQLLADTRSRLEE IAVLPSGIFDPFDNVYRIVFQLTMRTVGCNEIANDPHLLREMLGLYETIQGSATMATI LFPWLPTPARLKRTIAGIRLYMIVQPIVAQRKKTGKREEDALQYMIDQGDDVTRIISF IIGALFAGQLNTGINAAFIVACLATNPEWSARVREEVATIAERYNRGSTAPLIDQLAS IPVQA EPUS_03078 MSVVSLLGVKVLNNPAPFTAPYEFEITFECLEQLREDLEWKLTY VGSATSSEHDQELDSLLVGPIPIGVNKFIFEADPPSLARLPSSEILGVTVILLTCSYD TREFVRVGYYVNNEYDSEELNAEPPAKPIIERVRRNVLAEKPRVTRFAIKWDSEDSAP AEYPPEQPEADVLDDDGAAYGAEEAELQAALERDLEETEAKLATVKAAPTTTTDGDAE MAGTDQMEVEPASRADDEASEAGSEDLEADSSGSEDEDEEEEGEGEGEVEGGDGDEDM EMGDGEVEGKSAEEGAGTTNGEQKQPIQQSGHAEVMVH EPUS_03079 MADEAEQSFLAAQKVAMQEQGADIGEMEGSADTPDSDDYDPSAT LPQDFSVPTEISQERSASTRPVSTLPEDASAPHALLSPSQNRPSSTPTGQDLSSDTQF PTSKPSAQTQPRLKGGFVIEDEDEEVEDDEVKDGDVYDSADGMEAPITETATAPQTSL NSFDSPQVSIQGDVQASLKANIVSNGAASDVPSSTIVQSGNALPRDSSATPAQSLPNT QVKIAQTSSSADTPTSTLPKARLAHDTIGILEDRIKEDPRGDMDAWLSLLGELRSRNK KDEVRSVYNSFFKTFPFAAEQWASYATWENENNNLYELEQIFARSLLQVPNVQLWQIY LNYIRRRNSMTTGDTAKSYKIISESFNFALDIVGMDKDSGQIWQEYINFIKTGPGVVG GTGWQDTQKMDSLRAAYQKAIAVPTSALAPLWKEYDGFETGLSKINGRKFLQERSPAY MTARTCYTQLQNMTRDLNRTTLPRLPPAPGFEGEAEFMRQVQLWKEWIDWEKADNLVL KDDDPKTYRKRILFTYKQALMALQFWPEMWYDAAEFCLESGMDKEGLDFLKQGIAANP ESCLLAFKMADRIELTTSNDDGNDPGAKTRMAKVRDPYDKVLNALYDLASKTKAKESL EIARIEENNKSIINAILPTNEPDAENEDDPAETESKRAAVQAQIDAIKQDAAMQTALL QKTISYAWIALIRAARRVQGKGMAGEKSGGFRVIFGDARKKGKITSDVYVENALIEYH CYKDPVGTKIFERGMKLFPEDENFALEYLKHLIAINDITNARAVFETTVGKLTASPAT AFRAKPIFAFLHEYESHFGELSQVARLEKRMRDLYPEDAVGLKHFAQRYGSRNFDPTS VRLIFSSTQIRPSAFVYRSVEGDQSINNSPPTRVTDTMATNSPKRPLPIDDLEDNQPR KIARAESPLKGAAGRRMNQQQRTLGANGNFQPTPLSHVQMPPPAPLPGHLAFLLSIIP KASTYEFRRFDPAKMVELLRNVQIPSSAPIRQPPPPPQQGQQWPPHASQPQQQHHPQP PMMPPGMPPGIPPGVAGMVPPQYTGKN EPUS_09150 MTLAASTAAEIPSFGSRDVAVVTGQEDEILVIRETPPPPEELVA GATPLPLEDDLPAPWEENPFILPASTAPALLQTNARPKRARGPTLDYKAIHEGKQNQP KRGK EPUS_09151 MEDLPERLSIAILGCGKLGTSLLCGFLSPKRSTPTLSTFPSLLS SVRTPLEISNISVTVREAASVDRVRQAVLSLQSTSSPSRPSPPQVTVGLQDQNARAAQ EADVVILGCKSYAFADILCDQDVRTALLGNERRKTLVSVLGGVTIAQLQSCLSDSNAQ EFNDKQQRPGITDPECLCTIVRAVPNMAARNRESVTIISSSDPSIPPQDDNNRQVNRL FALLGPTKTLLESQINHASAIAASSLAFYAKIIAGAADGALDCGNGQGLSKEDAMWIS AQAVRGTSGLVAAGQDPSCVVAEVATKGGSTAAGLKVMEERGVIEAVSAAVKECARAT EMLSNASTR EPUS_09152 MGSINESERYVNLLEYLRSRTQVDCDSLDIQIVTELGPFVDCTS NQADSYFELLNPRRAALLKKSADLSRHILPEYPDVSFEELAVEISMISLSLTIAPLIP GNIHVMANPSLSYSTPKVIQNGQRIASLCQRLDPSFDLSRLCIKVPATWEGLQACRKL KDLGIKTLATTLFTMEQAILAAEAGCISISPFVHELRVHFDEEYHDTDPIFDLCVKAQ QYFERYSYATRVKACSLISVDEVMQVAGVAALTLPPTLLHPLSEMTEPEAKVAALSLF NHNTKIEGQEMERRSFLDEEARFRETFAKRDGGKGRVKTTQAIDLFCEYQLKAEALMK DTDTTIVA EPUS_09153 MLDLLLDAASQLICSNALNRGSGFQLLSAREDGLFFSDVKTSPL GSTMHLVTKETSEDLILQQCHFPTSARRDVTSHHYETISVSDHSRFRASSGKSFGPLD EVVLAAWAILLRSYFRRSIVSFAVLLGSKGPKYLGNFTDDILLQGDAEALVLRYDIFD GCLIQDVRASVCRISRAGLKKTQISTAFNLLSSAPLIDGYSKGGNVQLAHEDILDDGF DFILNSEAIESSIHVSMSYRCPAISRQYAQAVANTLRNIIEKVVSDPGLTIDKTDFVS DDDKEKMISWNVKVPYAQMTCMHYLVESVARAIPSSEAICAWDGTVTYAQLNALSSIA AQQLVRAGVGPGTYVPFAYEKSLWTVVATFAILKAGGAFVPLDPAHPRARLKEILNSI NATVVVTSDLFASSMQGLAEHVIVVNAKTVSRGQSNETKGFCAASVGPKDPIFVLFTS GSTGKPKGMIHEHGAICTHAITHGEAMGYHGARVLQFAAHTFDVAIIDIFTTLIFGGC ICIPSEEDRRSNIVGVINSMKADYAILTPSFAGLIEPSDVPTLKTLAIGGEALPQDRI QRWAERVSLIQIYGPAEVGICLIMHMHSRTSPETVGYPLPNSSCWLVDPDNPHCLVPI GAVGELVVAGPSLARSYLNDEVKTRSCFLENLDWADQMGLKCGRFYRTGDLLRYNTNL FDGAYDFVGRKDTQIKLRGQRIEPGEVEYHIASIPGVAVSMVTRPERGCFAGELVSVV QMRSTESSRVLSKPISIALDQSLSIEAVRRNLVGALPGYMIPTVCIVVDNMPFVPSLK INRKLVDQWLTNMTSRPSEVVSATLNDLRASLLDPGEATANLLSAKIVELVARKDEAR ESMLKRHDFVLQKSGIDSIQIISLSIFMQKEFGIRIPMHQLLNSTTTIRDLAYLIDHR NDSSTASTIHTTVNVAQEVAIWSEKLFRDIKPQGSNGDSAASVAIRNVFLTGASGYLG SAILQQLVAKPDLRIFCLVRCATESAGFQRVKETGIRTGWWQDCYGSRIQVWRGDLTE DDLGLDSRQLDCLCGTTSREDLHIHAIIHNGAKVHYSSDFLTLKATNVHPTSELLKIT AQAANISSFVFVSGGRKPSIKPDNEEDPESHRSDAANHIDQADGYAQTKYVSEQLVRN CVDHTYFQSKCLSTIKPGYVVGSRQAGIANQTDFIWRLIAGCVEIGAYNHDEAAHWLL IADVDCVARRVVSSICNDAASHHSGRVEKVLEGLRFAELWEMLARDFGYDLERLGYDE WMGRLKGAIMKKREEHLLFPLIHVLERDGGTIGSREMPVGVDSEGMKEVIRSNVKRLI EVGFLPSPQKSP EPUS_09154 MHPLGESEIQHGSYKIKRSTGEPVPLEIHPNLFKFSNLISWALW FLYITAEFHLAWSVQVGTPKIIWRMWIILWAEVCLSFQEVVLAINTILALFGASDTRV RPCYRLVGSSAPTVDVFIPCCGEAIDVIIDTAAAAAAQDFPSQRFRVFVLDDGHDQGL RQAVDVLSKQSAEKNGPVLCYLSRDLRPGDQSYFKAGNLRFGIEQTQRLGSSDYLASL DADMIPEPDWLRRMVPHLILDDAVALACPPQRYYNVPSTDPLGQQAEFDIWFTIFEPL NDRLDAAMCTGSGFVVKRSALNDIGGWPLAHAGEDLMCSAALSNAGWKVAFIREDLQL GLAPDSLRAHVRQRMRWTVAGIEVHKQFGFYLAGSRFTSQMTWVQRGVGVLQALRDYA HVTNVLALVLLPIAIHPIPHEEFAAMATVEDLFWLRSIFPAAFLAHKINNYIMYAHIG SQRLASFQSMDVYCAPYTASRCLLSLLPSTLSPPTFEVSGSILSPANERSALRRKPLP YRLLTLDMLIYFSYIIFASIPLGLRFLAFTSPDPAESSGSGILFFPFIGALLKLLDAI LKAAVPLWYMLYPPTVPERDELMETDEKGLRRPKNRRSQNLRGEDRGWLGMDVLEVGF VCWWFWW EPUS_09155 MPSAQIDKASISQNPPEGAHHNAQTVSRDDRELLDDSESPAARQ DPHNIRFCNQLSSIPSTIPATLGYPLPLAEPPLWTEQGPETTSKGERNLLHDQQFDFA GLPRRDSHSSGTSRKRMSVRGSGISIGFGRTRYRDEESTLSPTATYEAFERTALLGSL GPPEGDESTPENTNKRWQNATGPTKNQTDWQREAKVLAKYSRSLILTCMLQYSLPMAS IFSVGHIGKIELGAVSLASMTANITGYAVYQGLATSLDTLCAQAYGSGNKVLVGLQMQ RMIYFLWVMTIPIGIIWLAGTQILEAIVPEKETAALAGLYLKIVLLGAPGIAVFESGK RFAQAQGLFSATLYVLLVCAPLNVFLNWLFVWQLGWGFVGAPIAVASTANLMPICLFL YIRFVDGMECWGGLSREAFKNWGPMVRLALPGLIMVMAEYLAFEFLTLAASWISTTHL AAQSVLSMLVVLACQLSFPLSISASTRIANLIGATLSNTAQVTARVSLIAACCVGVCN MVILNSLRHRIPWILTNDTDVAELVARVLPLCAAMQLLDALAANCSGILRGLGKQAIG GWVALFSYYAIGLPISLGTGFGLHWDLYGFWAGSAIGLVLVVAIEGWYIHKTSWEKAV ESAMKRNTMG EPUS_09156 MTGAIGNASKAVPNKQGQGCTSCTTLTGERSIGIARHDNDLLPS VNLGSPLPPDMEMYNSAQADDQSVSPVENVQFEQVGPNDFPSSSGYMTPSKIPVQGAG CQNRAFLIPESFRESNPRVSIPVQTSQVLQQHHLPILVRCVSFSESCQPWSASFSAED ALCMPDNVTPVPVATWNGNLEKWQQPLQEFPPGLDHDDPWSSLGHHPISSPILVKNTH PHHEGYGSILKAQDDDANVGTITQHQKLNGLPGNIYEHTADENFEGLASFAASKSNPS TFIAASDCWSSDPSAAPSPKPPPSAQPHVARSLPGGTQFDFDSRTGQQLTASRAKRRQ SKEEKASSQRIRRRGGPCDICRHGHRKCDPSHPKPGSLSSSKLGPGRKAKNQWHSKVN IAEGNISKPGMQRSKLVRSGDHGGAASQPCHESKGSYLPRGPTSSNRTSPPGNFPASQ SSVLSDSSFFPFGSVAPPDQHAVTPLPMRAASPNPFGVEEMCGSTDTSLLPHSHSESA HTRETPPSSVDYSSLWGNMDDEAPSVDHLNTHRYSYVSLEQVELPASIFAGLDVDFTS FEMDIIGAGEQFIGSSVTPTSIRQASQSTIPSL EPUS_09157 MDSSYDSSSYEKACIPLLKGTEDYFSWSRVMKARLDRLKAWSPI VSDPPVNRGRTKAPITLARFREYSTPNTLWEALEQAYVAPLITEQLRALQNLLSLRRS QYPDIRQYTTAYKTSKFLEKYKNGTQLADPEELYTTLYRLREDPKKDTKKPALTANIM TGKRKRNDTP EPUS_09158 MKKRFRTSGYKKDEVDILSDLCWIYIKSATAQELEDNCKKLIEE LRPAEKKYIREIWVPKEERVHLLVLRDALSSTDQAYIDDEIAQNDRRILGLAQAAYEG RGVPVAYPLPVPKQKWVRKAAHGKANARGLTGAEIAARELNAREREQRLRERDQLAIN MTRSASTQVQMPQFGPRDVADIAGQQDEILIRATPPPPPPEESVTRATPLPFEDSLST PQQEEEEEEEEDPFVLPASTAPALLQTNARPKRSRGPTLDYKAMHEGKQNQPKRGK EPUS_09121 MTRLEDEIRGRLAGELRLSLSGSFDFARWSQHSQASEQPVETTN DSTELEKPSCVPKVLIQSIFLGARIADSDGLQSGPQIGVGSSMIVHEGFLDGEKVAIK KWKPQRMGGDGEQSSLKALSLELRVLLSDFIKPHPNIVDLLAVSWIEETQSNGESKLL PLLVMEIALSEARTLHDLIPIVDPLNFELKGFLISDILSGLDAIHRDRFIHGDLKPEN VLIFRQSPGDRYTAKLADFGFSDDVEKWPFGVEGNPAGGTDYWNAPECINPNAGLRTC RQPSRDLYSFGLVAWYIVASRLPFGPDRGSDWAGAYETVNATKILDGAASEASEFFCA ASGRLGLDLKAGWGEALHQYLGASDNTQPDNPYNPNVFVGVVDELLWRQHNRHAAPGY TANRVFGELSPTMTAALRRSIVSEMKKSTTPILESALRSLELYSSGYPSTEAPSMRPV LLEEMKSWATRPTDDPETISIRSKVLASMHYGHGQDPPTVLNPKAECEVILSGLCLDL YGFEKRSGKHALSDSFRQLLDELVGVFLEEAQLRILRLWHSAYRLAYVAHAIHWSRNI LYSRPPSDPQHDPDWNRIAQAIRRDDVRVFRQLLEKKALPEQISLDTAKGTQNLMLLV IEQHLPNILAYLVEQEAVDLNQPLIICDNLMMPVQLACYQGNLDAAATLLTLGADPCS LFEFGFVKECIDSGKMESISFLLVVKEEYAAPRSKPSLKYRDIQTFDFSKKEYDTEIG PRTASPIFIAIAKNSWSTFAELVSIGVDINSPCFGQYNPLQVAVQFRRPLFVAALLDA GADPNVQTIGMTPLHDFIEGFSTTSGKMKWLYGDITWVDSEEQKAVDAERHDQIILEL LLRHPKTNLNARDLTGKTPFAAAMEAGQIAWAEKIIQAGGNPMLTMFDGESPLHILAL RGMGKEARWLLERWPDMVHSQDYSKRTPIHNAMEAGRDKMIELLLETGYDIMSQDSLG YTILHRTLTVGQIDTFHMIWLKIQEPGKDKLKDILTIQDIFGRTLVHLLAEVSVMRKD DFQPFIGFVENEILRLVNPTEHIDHRGLTPLHFAAASTARVCQMFIDAGFGIDAKDCH GSRPFDLASLASNGATLPLLNPPDNSAPSIRRTSDDGNNSGRVVTILNDHINRVSLAH AKRAELAISELRRAEYGDIAVVLVQAIQVQRMGLLTHDGLFLPYCVFCNAVPVEVEGF GCPLLACVFAAFQLQEEQAQRRNWFVSRAGKLNVRFFNRVKSRKKAGDPSKVDDMGKT RATTDAKPHDMETNLAKPDILAENINKVLSGVSESLKTIVTVLSDPAKIEKVQDGGRV DRICALLSNPDGIRRRALIMSGVWESGLSDEAVKYLVGRPGKV EPUS_09122 MDIFQTIVTSGELIYHFLDAYSAHSKEARSLAARFKWDIRVLQQ IVQHFDSRRLQNEGKLTEPDQKLLQETAEYLQSLAARAGASCARVQTQEWLSRASSKV LWFHRRKELQSLEQELFEWTSRFDIRLVGLPPELKTIISSISEEQDDAGSSKALCSNR RMHRVQALAEEARLAESRDLFLENVPKTLRKTHLRTGSEYSILEFKSEQVILESRYFA LASDSGPRQIRVLTQCHRQWHPERPSFNLIHSLPFPPSPATATTLKSLVAAQSGSRRM PPLHSLDQRYRVALHLSTAVLFLHGSDYLHKNISSESVLMLFNDQNDSSLKFPYALGK PYLVNFELIRSFALGSHPMEPSSDWKARIYIHPARQDPRPRSFIKSYDIYSLGVVLLE LGLWRPLERFDAELSAADPDEMQKALNRIAQELGITMGKRYREIVQWCLALYGDQDVQ PAVLVREVLSKLEDIVEAIG EPUS_09123 MEPDDDTVPLVDFPSARVESSEARPSGESHYLHESMQPESQDAA DSTSPLSSAESNLYGPSPKLPQLSAAVGSVVEVGSQPDARKRYVQANLAALGILVILI LITIIFSTWLGIAIKRKIVMNDEGNAIFSWSMNQKSAKIIESMWNAVISPVIFFFFDA FLFRVARVTMISEKTSRTVALRPLVAASSTDAGTYNPIKIWGIVRKSRSRLLPFAVLT VLSGISLGSISNVVAYEAYTKTAGETSVLLRTLNGIESRSTLILPPSQFSTTEQLANF TGQVNGMLTAISYQNADDKLESDSYVGVNATTASLNALSTEIIRLYNVPGYKLSIGCQ AQPPNTFEPAQMGGFEVSIALIASDGYLFRARYPGQLTTMESEWYDPFRFIGFRPDKM ELYLGCALRFNQPAHKTPYGEMTFKSFEMPQSEFIGTKKLQSVSGLRCKVTRQTGWHN FTREKDLSWKRTASVWDGPNVDTPLTIADWQVALNFHGPANSTGVGLEPGIGPAFWAS AKIPNSAAAAFAANIDYRILALNFLYAAGETERVSYEVQSGANFGGDAAIFEVQATKS GIFYQLTYVPVLLVIGLLGVISVCLVCLAMVGKNWRSQTFKEWRKVDSLRLLVDAVDV LRDEPNVKDLQDASNHDLSQWAKRFNVRYVKSVHDGRIVLQH EPUS_09124 MDLSSVISIGESSTPVRKRHVKNRHSPEEWEQQKELIERLYLDE DKTVEETMRYLKEKQGFVAGERKLKTQLKNWGFDKNIRSSVMQLMLAKATKRKFDEDK DTKFRYKGRDILPERLDHYAKRSHVKNGKRVSPSASTPSVVSYCTMRSNISSLSSALL RVASMNGSTASIASTVSAFRHGSLALRRSLSDLSGRTFSTFKRRNSHHSDRRPMSLAD LVDLSFSCPSYERAVLTTEHLADQMSKKVIHGCQSCQTQVANTVREPFNKLRSHGVDF HDGSAWETAKEFLQTILPLCRSCRIISCTFTLSMLYDVAMDHFLKERYQESIHIHEKI LQTCTEENLYDCSFIMFNSIYALVDIYAYQGLLHEIEQKLLQTVTENEGVERLSWFCN RLLLARILTLQQRPQDALREYGCLSTSCIEYYGLHSAFMHLIVLSCAEACNALHDYRT STDLYRRLLAEETRTDYALRDRILRALIGLSDAYRYAGSYQNCKKCAYQATELLQSFG SACQLSHRLLSYLVRCHFNLAISFDNEGNSPEAESYFAQVIAGCENLNEQRVSSDAVS VLIALQHHYYDRPRRIDRLDARIDSNHLAHLSDAMSIRPATLQRLLVTILWEAETFSR SRQYQRAEYLFNQAKGLLDPSNLHLQCFFTIQIAQHHHRKGEWKCFLRCLENAVMLSE QMYGPTQACTVFFKERLAACREELEKRGLSADAMAQSLSLLTFSSVGTGNTGSNESDE LDFLEDGMVWGQPPSSLLRFL EPUS_09125 MSICQNLMSLDLVVPPQRTVPLKRERPEFSHFSLNGTTASCLPP LKELRLNVNPRRIMVQEDECLNLLAGFQWSSIEKLDISSDRLTETLLPRFGAEMVKLQ SLSIIVLYLKRNGLWAASEQSLLAVSDLLAKRSFVELELDGFNKTLPIRHVASVHLRK LRLHMIELDHTIARANLRSAAEIQELAELAPNLEHLMLDVAHVGKLWHPSAIPGVDVD VHLYQVLGAFSKFRHLKTLRLFPRYYCADENGQGLWQQAIDDDGQAVRIFKYLKSISP SLELLVLSSDHLVAQFADIDPMSWTVCQFGDCILLRLQQANKNYEQKQIWQGQRRLRT EIKRYSHSKLRWTS EPUS_09126 MSVPAEEHSAKSTTPDKILLPATATVSSSPEYAQRLIAHVARSF LTSPILIGLITEVDDIKQPPFTPFTFERRVKHFESGSIISSARNGALIAEADNWTAAS LWQPPGFTGGMDAPRYHNPLPLLKEFITKAAAVRAKYLDPEFRERYWHLSYLARDPSK ISKGAVSAVMRPFLQRAEEDGVPAWLISVDLHAVQVYEHYGFRVCEKIIIGQGVARPD GWPEEGGEGFALWAMVFDQHLGR EPUS_09127 MTIYAAHSPRCDHGFLNTRTLEGDDMPSTKSSIIDFPCPDGELG HLIVRKYKLYEPSGEPLENRAWALQERTLSPRVLAYGSWQVWWKCCSALLCDGGTVDN FGRKGASLVSLAGDLDQEWSWEMWEDLVSDYTRRGLSVPSDKLPAFSAIASRFQEIFH DDYCAGLWRSKLTEGLQWSVNEPELFRPEEYRAPTWSWASVFGDVLFPNKPPNEGIFD IEIHRTEIIEWKVTLANTANPFGRVIDGTLRMKGIVKKLDWDGAQRIPREGFDRSAES EHSIAYFLSTIASAYPDCAAQKLYNINKVTPESDSPPQETIFWMGIEEGKTFMTRPIV CIALDGQLAIMLEELYDGTYARTGLMVFDTSKDMKTYFEACQVSEVVIR EPUS_09128 MDPERVRVIREWEKPNPGDVRAVRRFLGFVNFYRRFCLGFSKVA RLLNDLLKKDAGRTWDNRCTEAFQALKDLVSKEPVLAHFDPEKETVVECNASDHTVGG VLS EPUS_09129 MFAIMIEDINEHIKKQIQPELDPKEVLLVEFREFADVFSKEVSD TLPEHREEYDHKIELEAGAELPRTQPLRRMSPDELKVVKKYIKEHLEKRFIEPSTALF ASPILLVQKP EPUS_09187 MASGLEIFSAIAGCVALAIAVHGFFQDQLQDQEADSVILSIRND IAILHDFARIFDSAAKDDRILISDKLLLNEICLTLQPLLVSIQNWIVRRQMAHLTASP TRKVADKILGFLYKQAELQKVAMQLFQWTERYHIRLGLLPPPLKDRLLTSSDGVDSLS SSGLRVLRDTFAKLTMQSQYIPDTGLRKAEREVVLRSGPSASRMFATLGQETILVEFK PHKSTLAGQELSIFESEVTKLVKLLSCAEAPLCRILRAAGYFHQSSRSCFAYLYQFPK NVSVSADAISPCTLLDLICTTRPSPRTGSSQTELLPPQHALEERFEFARKIACAVMYV HMMQYVHKSIRTSNIVVLSQKAAATTPETGCFPERLGEPFLCGFETARQDKATSDQRG DAHWRYNIYRHPKRQGLHPQERYTMNHDIYSLGVVLLELGVWRPLLSTGLAKLKDSTD EEVAAAKVKDYLKKMAKERLPIVMGTKYCETVLFCLDVEGDGQIGSSTVIEEVLRKLE ELAVGMQ EPUS_09188 MSKIHPPGGYDMSSTLSSPPNAFAFQSSAWDLIASFADSSLSNA SEHFGAPTVDDVVTFAQQLQATGLAICSTDDLEDINAGEPIGCGTTMTVFKCRWKSRK KVVAVKRINLGVPLGKSMLEIHEEEYQELLKSLFLELRVMNHPWFKAHPNFVDLLGLS WDRVEGDLAISTYRPSIIVEIADQTTPTLKDFISWYKRPWSNEHQQLVFDLLTDTAEA VAMLHATKMVHGDLKPENILLFPAPRRLVAKLSDFGFCSPFIENRERIGGTLYWNAPE CMVHAPPAIKPFAKTMTRDLYSYGLVMWYALFPDMPYGPESSANESVIRDWKLNQDTT SLLRKHIITHATGLSELNSPLIEHLKDNSTPHDMLWFQIWTAMAELLRMQPNKRDVSL GTMHRILKTPNR EPUS_09189 MTALVYSLLDGTSLPIPAKAKVAWLKSALYAICFQGHKGPSATA VQDRFRAAIDAVPDEFVEVSLLHSFIGTCMTEWEVLNGNFDGAAGDPLFGLVVKGDVE GLTATLSVHRALLQRRKDGYTLLHVAVDYCHEQIIRGTDVNIVMLLMFAIQNTDFRSP ELIQKFSVSPNVLSDCGTTPIEVAALTGSIECLRLLLSLGAEPRPLAEQDLFTTVAMT GARESAQHSPAANIRTLLSLICGVVESNHLDESTSGKEAQDVTAAVAVQTLLDGRYGV KVDDLAACGSPLELCISVSNYTSVFSLLSLGADPNAFNYHREPVLAALLLAYGADPNG RARGDYDQDTPLHQVDTTSVTAFYDPPRNRVATYAERVADAVEAVDTESDAAVAARAK ACIAVLLFGGAEIEARDAKGHTPLRRRVVDRDLGTAEYLISQGAESEDCQFRDQQDCI GEDDAPS EPUS_09190 MSFFTHSQNTRIDKRDNKQYLVAELATGSAASGDKAGWYVDTIC LDDYIRNDHARGPGGDTGGGGWRDATIDLGERLANDHGRFKYNE EPUS_09191 MDTNKRTKHDKDLMFQLGKACIATDWAENPDWRRILHYLTEDLH VASHKHFEAYFVKVQSGARQSAPPASPHPKPTSAPPASDTRSIRPPSTGATGFHSRSG SMQRAPSPISTSGDLSEAGSQFENSDTSSSKSAPNDANEVKYWSYSRQVWRPCICIEG DSHENWISMRIAEQTGLEIRPHSCGRPECRGVLPLMWHPRHSIKTVEGEFHVTVEDNM PDLVVGKDKMADATMAQEAGRSQEGLERLLQHQGLSGRSRSWSRPADLLTPMATPSPG RAGLSLSDTPRDTPLSLNAVSIPTVIKTSESVSGISDTGSSRPNGKTTDSTNYPPRTR LFSQATHSVVQGASAEPPSAVHERSKQTEIDARTISRIKHLKAGVRFPAKNMLCV EPUS_09192 MHRQLSYFSGAKSFLPSPAPDELSDSEADLISVLEEKRREIDME IEAFQSRKEEEFKSFEHELRSRKKRNNHIVFPHPATSISGLSNPSKKELAMNAMNGAA YKERKKKAEDVGVGGLMLTGPSRPSVSVDRVTINGLTTPPVSGTPPLGKNLFPSPTLL SATPPRDPSKRGTGKSPTTPDRENEFHGLFTPGYLQLLDTKPSSLPQIATPPPISETK RAVTAPTLPSTSLPSALRAASGAARKRKHVTFRLAHSVVVEPSSSYEEIPSPSEDQYD RDSDDAGTDSGIEIMPDPLPIGIPQSDLSEDVTSPNKTDNEASFFSFDEELDEKGDEL SDDPNDLEDDEIELDESGKQAESPTFESPTFSSGSLPINIVNPSSSLREALSS EPUS_09193 MGSDALHYQHSSLWPTREQYLWLLRTEAACRGLLFASKKIDLPG PYSCDKMSERYDGDLMPEEWLDVSGSAVQAAPSGARHDQDKDYAQITDSGGPQTVLKT YAWLYLKGIRRNVDEELSEAGATKSELVCPVDAILHDLGTIWASKVTRSHKQEKPQAR IGIFSDIPQEETSVEYVQIPYGMTFDNFHTQAAALGIVRYLTTKHHPALAKTLFLEDR VVYHHPYPAAMESSDPSYAHEIYPITRDYDQKDNGWYAHIVPLDPATAATAGMYDQTR DWRPINTEADWKSLLDLLKISTTARKAFMRHKSVDDRMEFIKRHREREELEIAKTGGF CTNVFLSRHMEGMRAKDNFEVPFHPGQNISGEEWLRRVTDQQRREGEVFEDEVDFFAH L EPUS_09194 MASKILPIAVRHGRRSLPRRSFLQPRSFSSTPSSLSGTIYVHRH TPENNPSIPFKFTAQNQTIISEILKRYPPQYKKAAVMPLLDLGQRQHGFTSISVMNEV ARILEMPAMRVYEVATFYTMYNRQPVGKFHLQICTTSPCMLGGCGSDAIVKAIEDYLG IHPGETTEDGLFTFVEVECLGACVNAPMVQINDDYYEDLTPESMVTLLKALQDSARIA GASGMGEGLAGKGVGAITGQDENVKAGDVVGKQATRVYAGPKGVKVPAPGPMSGRRSC ENSAGLTNLTSEPWGKEKLRTDGEL EPUS_09195 MKFGQQLRSSLIKDYYWHYIAYDDLKDALRTPYVSEPSDSNPSP ERRPWNEDDEKAFVQQLEGELDKVFTFQKLKSEEIVRRIKASEREVKMVVEKQDRSNK PKDKQDPDLEEDFELLEADLSDIIADVHDLAKYTQLNYTGFQKIIKKHDKLTEWHLKP SFATRLRAKPFFQDNYDAFIVTLSKLYDLVRTRGNPIKGDSAAGGGQQNFVRQTTKYW VHRDNITELKLIVLKHLPVLVFNPNKEFEEKDSAISSIYYDNPETWELYMGRLQKTEG AEAIRLRWYGGMENETIFVERKTHREDWTGEKSVKARFTLKEKNVNAFLAGKLAVEEA FAKMRKDGKKSIEEIEQLEQLAREIQYRVITRKLVPVTRSFYHRTAFQLPGDARVRIS LDTELTMTREDNLDGRQRAGKNWRRMDIGIDWPFSQLPPEDVERFPYAVLEVKLQTQA GQEPPQWIRDLTASHLVEAVPKFSKFIHGTATLFPDRIHLLPFWMPQMDVDIRKPITH RFGIERPGQTASYSTSDDPMDDDESEDEQNNNDIDQTTPREEDDYEEEEEEDKHLNRL RAARDALNEYDRDRERDANAAHQDSGEDEARGFSRPNALDVEERIAAQPLQEDPGNCP LYDSEEEDSSDELEEARRRGGWLYARKMAKHRVAIIGDSLAAGLKKLFLTTKPTPSAT NSGIGGVNPIGGDVHARKFKAPKGKRIHVPVRVEPKVYFAAERTFLSWLEFSIILGSI AATLLNFGDKASLVSAWGFTIVACCGLLYSVGNYYLRVQMIRERRASLGRYYDKWGPT VLCVMLFVATVINFAFVIKEEGWFDRRDEGEGGSGMGMGIGRLVEMGELK EPUS_09196 MKLSLVTAVYSLIACSFCLSDLNFTSPPTSQQVLRSDFKPPPVF QNLNLVRNTNLDKGYVRETINVVIENVDKESQSEYYLPFEYDVIAKVGGIEVRDKKNT EKGRFDVRMAAMSAVLREDGTPTKLVSSHHDRPSLMACRSTQYYIIRFPEPLPSKAQL TLAISYHFLSSLTPLPANIRQDETQYLTYSFSAYVLSVYTTLKQKTKLKLPTTDVPEY TTTAGLKSGSDPEKQGTSFTYGPYETEDIPPGTAHPVSIRYEFTKPVLVCSLLERDIE VSHWGGNLAIEERYWLRNDAATLSDNFSRIKWATQSYYNGPTSALRELKVPLKPGSVD PYFTDDIGNVSTSRYRPNRLRDASLELKPRYPVFGGWKYSFRIGWNNHLGSFLRKLKT DHTYILSVPFLEGPKMQEGIQYEHVQVRVILPEGAENVRWELVGGTGLPTLQSEVSLH KTFMDTTGRTALKLTAMNLVDEAREASLVVVYDYPYLAAFRKPLTIFVGVFGVFVAAW AIARVDTSIGRK EPUS_06531 MENYNHEQHKPRRNLMRRIDRAFRAYASLLQAAQSLTHCNRPSS GEYKSVENFVNNRHPLVPTEEEFCYRKDDLITLRPGREHAWLDCSVEKFLRFSRCRTI QNFFRSKETRQKTDGNEMYYTHDRIERFVVAIIVTVILALLIIPIYLLYRLTNGVESP QSYTVCIGILLIFTLSFSACLSLFTRAKRHEILAAAAAYCAVLVVFLTNVGGNGSSRR DVSL EPUS_06532 MFSLMPPTPSLGPSSRSEGPSFDNHILLTDSPVEERGLDPEVRR NLESVNIQRQLQWEKHMKDVDLQRRYRKVSVLLIHWEKEGTDSFDAQAEVDRLGRVLD QRYKFRVTERKLNTQKKAQLQINMHLANWVYEEDEENTLLIIYYAGHGTPDRGTGRLL LANGNSSAPDEISDRLNHIVWQEAENLIHTASRPDIFLIFDCCHAGRLLNMRQKPACS DRIFEFLGATGPDGTTPLPGKESFTSALIWALEQLADEHARFMSNELLEKILEAPHFH LQGQVPCMNGRGPHCVRKLILEPMDLGEEKPRANAQGRHEEASEIFKYCLNLQFLLPD APNDEDIKRMCGSLRELILTDRFCARQILWQGLYPKEHARAEFPRLVREFAARWQNKT LKKKLRILSPHETFKEEEAQRGTAEVRRNALNDNEKAVPTSSHSNGDPMSSMYQIRR EPUS_06533 MVFYPPTWASKLPIDPPDTIPICDFVLDERYGRLPFNRSLDPYT CGVSGKSYTAGEQKQRTESLARGLAKELGWNVNQGTEFDKVAGVFAFNTIDIMTLNWA IHRLNGISSPANAAYSVEELMHQLKDCGATALFTCLPLLPVALDAASKAGIPKKRIYI CELPEQAQGGLKADDEFKTLGQLIKDGSSLPALEPIKWGAGQGARQTAFLCYSSGTSG LPKGVMISHRNVIANTLQIYNYDKPARDSIRKDYQDVALGLLPQSHIYALVVISHCST YRGDQVVVLPKFDIQQYLNSIATYKINTLYIVPPIMIAMAKNKPILDRFNLSSVRTIF TGAAPLGAEVAHLLQKQYPKWVIRQGYGLTETCTVVSSTSPDDVWFGSSGSILCGIEC RLVTLGGEEVTGYDQPGELLVKSPSVVLGYLKNEQATKETFVEDGQGGRWMRTGDEAV VKQSPKGHEHIWIVDRIKELIKVNGLQVAPAELEAHLLTHPAVADCAVIATPDEAAGE VPKAYVVKSQLVGLEENDRMVMRDIQKHVENTKARHKWLKGGVEFIDMIPKSPSGKIL RRLLRDKDRESRRQKGAKL EPUS_06534 MGRREYLALLGSLFLVFLEVPVRIITLLLPQPIIRFCYNRSKNL FNRLSSQDSIRARSERSSISRPIAHASDFTDLCALFGYRAEEHVVQTADGYLLGIHRL PYKKGEEQEGHLVNSGQRSITKPVVYLHHGLLMNSEVWVCITEEERCLPFVLAEHGYD VWLGNNRGNKYSKKSTRFSPSSADFWNFSMDQFAFHDIPDSIDYILATTSQPSLSYIG FSQGTAQAFATLSIHPPLNEKVNLFIALAPAMSPAGLSNGFVDSLVKASPDVLFLTFG RKSILSSATFWQSILYPPIFVRVIDIALCFLFAWSCRNITPHQKLAAYPHLYSFTSTK SVVHWFQIIRNKSFQMYDDDSSRAFSIGASDRYYKVAKFPTRNIKTPIVLVYGGSDSL VDIKAMLRELPKHTMAFEVKHFEHLDFLWAQDVDTLVFPHVLNALGYYSQNDTNSSNG MPRLPGLSFANANTARLRAGSWSASEDERSPSDFDWAMDSPTRIENRKSAARVARERQ YISATNNSPLRPDSPFVPAWEIGNPLPRKAVACSSNGHGHSREKGDALGTAVPSNTAV TATAAPPPLPPRMQKQTVESWRPNTSQSGSDSESRKPPWNDSLRSRKKTRDRAGSGSS RETASNSPGRGPLAARFGNHGIRLGTSQPSVSVVGSGGMEVEDEVFTTGPRRQQQQQQ QQKPADHVKIFASCLSAALRKIIRKSGKLGSKHQGQAIISRGDDSRTIVRALHEA EPUS_06535 MSASNPSQHAPLEEDDNEELLNADDAAEEIAKDDDHPMEDEDDA EDDLHEEIQLQNDSAAHFDSHTDSIFCIAQHPLRPSLIVTGGGDDVAYLFDASIENDD PRPLLPTSYESDPQPKGERKSIPPLQKLDGHTDSVNAVAFTQPSGQYIVTAGLDGKLR AWFTSSTASSSSTPESPPSYTFLSESSEVQEINWLSPCPSPAHPNTVAFGANDGSVWV YTVDAADTASPLTINQAYYLHTESCTAGAWTPDGNLLATVSEDSSFHVYDIWGEAADA GITSSDSGQAIISLSATDQRFAVEGGLYCVTISPGGTIAAVGGAGGNIRIIGLPRVGT TASASTPTTRAGGAGGPKNKPSAGARKPGPSTTTTTTPSSSSPGTLLASLNSQSDSIE TLSFSSPPLILLASGSVDGSIALFDVAHSFALRRHIADAHDGFAVVKVDFVAPPPQQQ GAGKRGVIT EPUS_06536 MSLQPRREYHIVVLGAGGVGKSCLTAQFVQNVWIESYDPTIEDS YRKQIEVDGRQCILEILDTAGTEQFTAMRELYMKQGQGFLLVFSITSMSSLQELAELR EQIIRIKDDENIPLVIVGNKSDLEEDRVVSRARAFQISQAWGNAPYYETSARRRANVS AARSSAKTCTELRCGIKRVGLEEEADELAVAATGQDESVGETSVSSSKAGVCLLGDQH DIDVSAWRFGLAENIEMTPYTPKG EPUS_06537 MNPATRVLSLQVLRSIRAPLQYKKPQTCCSLAIVQRGAATFTHP QHASAISIIPTLVDKSTEEYKENAKRLGDLMSRYTELHKKLALGGPQKARDKHVQRGK MLARDRVTVLVDPGSPFLELSPLAAYEVYEEEIPAGGIITGVGTVEGVQCMIVANDAT VKGGTYYPITVKKHLRAQEIAQQNRLPCIYLVDSGGANLPNQANVFPDREHFGRIFYN QARMSGMGIPQISVVMGPCTAGGAYVPAMCDESIIVENEGTIFLAGPPLVKAATGEVV SAEDLGGGRLHSSISGVTDYLAVDDAHALVLARRSIGNLNWPGQASAPKVFEEPLYDL DELGGIVGTNLRKQIPVREIIARIVDGSQLAEFKRDYGTTLVTGFARICGHQVGIIAN NGILFSESSLKGAHFIELCCQRNVPLVFLQNISGFMVGAEAEKGGIAKNGAKLVTAVA CADVPKFTVVVGSSAGAGNYGMCGRAYSPRFLWMWPNAKIGVMGPEQLTAVMETVGKT VDPELRDRIEHESDAAFSSARLWDDGVIAPAQTRQMLGLGLQAALGGRRDEIVTKFGV FRM EPUS_06538 MASQRLLSRATRALRFSLASRQLLRPSSTSSKHPSGFTPPTTED LYELRERVQEFTRREIPEEVAAATDRNNEFPNAMWKKLGEAGFLGMTADEEYGGLGMG YQAHCVVLEEISRASGSIGLSYAAHSQLCVNQLCLNGSEEQKGRILPGLVSGDKVGAL AMSEHSAGSDVVSMKTTAKEADGGWVLNGTKMWITNGPDADYIVVYAKTDPAKQSRGI TAFIVEKSSKGFSCARKLDKLGMRGSNTGELIFEDVFVPKENVLGELNKGVTVLMEGL DLERLVLSAGPLGIMQACLDLVLPYTHTRKQFETPIAHNQLVQGKLADMYTKLQAAKA YTYTTAKMVDEKGEVRTQDCAGAILYAAERATECGLDAVQLLGGNGYVNEIPAGRLLR DAKLYEIGAGTSEVRRMVIGRAFNKEYARQAV EPUS_06539 MSSSQPFITSSPIVAGPRRKSKFTFKHLSLLSQSSTSCPLRVVA HIDLDAFYAQCEMIRLGVAEDQPLAVQQWQGLIAVNYPARSFGLNRFIAIPEAKKLCP QLIMQHVATWREGDDKWAYRPDSSKSINTDKVSLDPYRLQSRRILAMIKETLPPAPLQ KVEKASIDEVFLDLSEHVHSILLERYPELAGPPPYDDPLENLPQPPSTVLDWKADALV DLDSSQTEDDDPDWDDIVMLIGSEIVRDVRKAIREKLKYTCSAGIARNKMMAKLGSGY KKPNSQTVVRNRAVQHFLSDFKFTKIRNLGGKLGEQVVSAFGTDQLTELLTVSLDQLK AKVGDDTGTWLYGVIRGEDTSEINPRTQIKSMLSAKSFRPSINSTDQAEKWLRIFVAD IYARLVEEGVVENKRRPKTVNLHHRQGGQTRSKQLPIPSGRRIDEGSLLDLAKTLLGQ VIVDGRAWPCANLSLSVGGFEDGVTGNRGIGGFLVRGDEAKTVNSAQRLQNGHDSTDE EFGPRKRRKVADDPNIRRFFSKSESTGEYEDFDADSGFQTPEHNDATDPGHQQSSKPE QDDRPKEQELHQQQLETYFCKACQKSIPRIEEAEHQDWHFAKDLENEDRHQTGSDTIA RPNSHSVVRSKRGGSGTMRPGRGGKPQTGQKKLAFS EPUS_06540 MTLLASTNMSKETTLLALLVLSPSLSLIQHHSALSSSKIRHVLL HGTLFHQSSSQHSLHLLRPSKPLHKPPLPPPTPDLASSNLPSEPIRNPSANSSTHSLS NLSNAPPPRRPTSRTQSTDSLNNPLATTNHHSRSTTDLSNSNNTNGDIVARDRSGRPD LPEIPLLPPHFRLSSSGPRGGGGSGGGGGDDANNTADEEGVDMDDTEGMELESAMREK EDQERIEKSLVDMMYRSRMRGNGHHGHGGVGGGGGAGGNKGLGQENEELLALVQASLR KKAASLEEDRWMFEGETGEESCYGFR EPUS_06541 MSAKIAKTIARQKEKIAEGNFYEAHQQLRVVASRYVKAGDHKNA ADILSNGAVLLLNAGQGGSGGVLSMMLLNDVYNKGERECDQENKERLVGILRAFPREE PTRKRFIQEMIGWSGKFGELERGDPELHYAAGAVYAEEGEAYEAERHLLLGTAASVPI LTDLHYTWYAADSPHLAATYASRSVFPYLVLGNLASASMAFQLFTSRLTSTNPHLFTQ SIESSKSETRVFPSLPLLNFISHLLLACPKGDSGLFKQLAKHYAVHLKETQDLWGEAL ANIGEIWFGIRIPKGGNPLFDMMGSMFFGGGGTPKPSTPRSSTPKPKPEVKKVEEPAP PPTMDLD EPUS_06542 MRISIALIWPCAFVILCACTADVGPNAVARRQEVEATIPETSPS STSNEPSETQETSTSRFSTSTGAATSAIDTGNAASSFSTSTSTNAVPSATSTVNGPVQ TSSNVTDVAEGKHPGGLPLPPKITPVLSIAGVFLIVAGFTYTLIGIKNRWIQIFLSSA FLTSLSVTVLVVYVMNPPVRMAVQGAYLVAAFMTGVIFGAGSLIFKEVTEGLGCLLGG FCLSMWLLALRPGGTVSSTEGKVVLIAAFSTTVYALSFSRYTRPYGLIGATSFAGATA VALGLDCFSRAGLKEFWLYIWNLNDNLFPLNTNTYPITRGMRVELAFIVLICFLGVIS QLKLWRVVKDRREKRDADRLENERERNQMEEAIGRRLEEGNERERAQWEAVYGDQEAS KHTAAIDSGLETEEDNSLQKASVSVREVEGSSAPAEVMNDKDAAKIPVGVWQEKSSSS RPNPNSFQHAGDSLDDSTNAPNTVNTKEAELLHSPLSPILQRPFAISSAKKPGAHVRV EIISDDNENLMFTSLGDEDDMNPGGFYSKDSSLAATVDEEREELISLSYGQEHAIPFE NKSAAEVDILPDVVITPFFDSLQPLEDVKASLADIPRSTADDSDPEEFQRPAVVSPEP QTSKALFPSNHNDARFKQATTSPHLRSSVPESRTDDSQAQDITPKSNEALSIDAVSNT ESLTKGALDKVPSQLSHVVLSYRTNEWAKHISTADEPEFDKLEVSTEDAVAEVPAHLV EQPAPVHAEKVQRIAKTMAISPMLAQDESCAPAPSKLTPIVSGKSNASSSTVPSGRSS LDSPVHPDGFQASSSQLRQKSESAAGLTESASAASLLPPPGARALRSCSTSMPGHNLK TSPIDENVEAEFRVSASCGSLAPLAGSTLLAQRDSLLRNKHSFITKSTAMPPDITHAH PPFRSSSRLSLIEEAQPRSVSPLSNLDNVEAQEPLRSASRLTLLALNDDDMPLSQRKA LMQQKPGTLLPETRLGAANNLDAHLPQWSSVAMTPQKRESMLATWRQSMRQEMALTSV PTEAVETRRAEMLIEKRQSKMSRDYSEATKVHQENAFAEAVRSGDMQDLHKEALRKMQ ANANKHIS EPUS_06543 MTSSHPPNLYAPGQFMNPGPAPRPPTDRPRLNLGASTGSIPQVT SFDQMSLGPNSPAPSTTSAPYFSSNSSSLSLISSKSQSSTNVIKEGYVRCKEDKFWGG WNQRYLILREFRLDFMKNEQGNLMHSILLNTIAGVSRSEDTRMAFEITRTASAKKGAK TITCEVRNDDEIYDWIDKIYVRCPKMGGVSNPTNFSHRVHVGFDPQTGGFVGLPVEWE KLLTASAITKEDYKKNPQAVIEVLEFYSDHKMREQHPELFAGSASGQNAPEMSQNRPF GLGGVGTSIAPPRPAPPSRAETFPTNYQEITPPRSGNNTPKPSSQRVPTDKSGYDMEA DAARIKEIANQEQQRRQEEAARKEELRRVREVQRRREQDREREEKREREEEQARRDLE AYNASIPKSRTPLAQQEIGGYGADNSPSRYNPARAAPQAPGRERDRQPQSSSRQPTTS QRAASSTPDGATSTSRSPARPHFEPSAGGDGRVPDGARQESSNDAYRTDPRSQQSQTR HPPNGINGATQSSRLPAPVQQVKPLNVSNKQNQVPTKQAATPDARQQAEIALTTKKPT EERSKEVRMSSMSESEVMAKLKQVVSRDNPLESYSKQKKIGQGASGSVYVARVKEGAT SSIAREIYRTQGPKGQVAIKQMDLRNQPRKELIVNEIIVMKDSKHPNIVNFLDSFLQE QNNELWVVMEFMEGGALTDVIDNNPVITEDQISTICFETCKGLAHLHSQDIIHRDIKS DNVLLDRVGNVKITDFGFCAKLTESKSKRATMVGTPYWMAPEVVKQKEYGPKVDIWSL GIMAIEMIESEPPYLNEEPLKALFLIATNGTPRLKNPNKLSRELKAFLSVCLCVDVKS RAAADELLQHDFMRNGCSLASLAEMLRWKNNSGK EPUS_06544 MLGLRSCASAAAVLAALPHVLAITPEQMLAAPRRSEAIPNRSGT LAAFTSTSYSFDTHNTSTSWNLLDLNTGDISLLYNGSEISEMIWIGPTDTSVLYVNGT NREVDGGVELYMVASIPAPLSGLKAAVTQSGAINFLMNGQRYPNGTAYNEALAETPAS TARLYDSIYVRHWDRWLTPETFTLFAGTLTPASDSSNSSSAWSFSGELRDLLAGIEGQ AETPVQPFGDSGDYDITPDGSTVAFLTKAPELPYANYTASYIFLVPHDGSAVAAPFNC HNCSATPENARGASAAPRFSPDGSSIAYFQMDGETYESDKNKIYIARLDDSITVTELA DDWDRSPDQLRWAPDGQSLFVAAKDVGRERLFSLPIDAGADYVPTNYSDPGVIAAFHI LPDSSALVSSSSIYSSRDFYIATPEDKTWLFTSNSTDPELSGLGPEDVSDFWYQGNFT TVHGWIVYPESFTDTSTYPLAFLIHGGPQSAWLNSWSTRWNLRTWADQGYVVVAINPT GSTSYGQAFTDAIQNNWGSYPYDDLVKGWEHINSSEEFPYVDTANGIAAGASYGGYMV NWIQGHDLGREFQALVTHDGVTSTLNQYTTEELWFMQHDFNGTLWDNRDNYERYDPIR YARNFATPHFVVHGSKDYRLPVSEGVFLFNVLQELGVDSRFLNFPDEGHWVLNRENSR VWHHEIFRFINAYSGASGST EPUS_06545 MAPPIPSAKLTLSYPLYASDFDPKNAGFLLVGGGGGEGRSGVGN KITLLNTSRREQVSEVVEIELSREEDSVTSLAIAQSSDTSVTAFAGINSSEADQKAGK NQHLRSFRLEYPPRRQVDGDGEAEKSTTYSGKTEALGHTSLFSKPQGEKDGIYQRTIR ISPSRNSSQRPVAAISTGLAVKGEVVVFRSDPSLTNPEVLGRINLDKEEAAGLDIWSG EEADTAVLAYCTSLEVFIYAISLSQSSALSDPISVYSIPAPDPFKSPVRPKLRSLRFL SPRHILLLANRPSRAGADLIVLKLDRLGSVGNSTLQKRLNKSTKAAVGLEVCFLSTSP STGERQILIAVAGQDGSIELLTMEYSPKQGLGKFKPYTIIRDIHPASITNLAFSTFIP PSPASIPSPTRPQYVNDPSMAAASASATPSHPSPASPQ EPUS_06546 MATSTTKTGAPLDRATFESLLRRRLFYTPAFEIYGGEKGLFDYG PPGCALQNNLVDIWRKHFVLEEDMLEVDCTQITPAAVFKTSGHVDKFADWMCKDPKSG EIFRADHLVEQVLEARLKGDKEARGQTVEIDQAKDAKRKKKKVKDVQAVQLDDAVVKE YEETLAKIDNYGGEELGQLIKKFDIKSPETGVDLEPPRAFNLMFQLTIGPSASTPNGY LRPETAQGQFLNFQKLLEFNQQSMPFASASVGKSFRNEISPRSGLLRVREFLMAEIEH FVDPESGKRHPRFAQVKDTKMTLLNRNVQLEGSTKAEELTIGEAVASKLVDNETLGYF LARIQMFLLRLGVDYSKLRFRQHMANEMAHYAADCWDAELRTSLGWIECVGCADRSAY DLTVHRNKTGASLFVRETRAEPLKIEEYQVDIDKKRFGPKFKKDAKAVEQAVEALSQE LREKMSLELEKEGRITLDVPAVGNGKVELEKDLVKIEKRTRVEHVREYTPNVIEPSFG IGRILYSLIEHVYWSRPEDDARGVLSFPPPVAPTKVLLVPLSGSDDLRPYLQQLTYKL RRMGISSKIDDSSASIGKRYSRNDELGTPLGITVDFQTVKDNTVTLRDRDTTSQVRAS EDEICAAAKAIVDGEETWEDVAKRLPKFEGQEVD EPUS_06547 MPSKRLKLSDKASLPFRTDNKQRRQALHVKRKRALDAQRRDERF ARKKQEAKDPRLREDRLKHNVPLTLDRKRVWDDVQEDVEDGLGLSVDVERIKRQRLEE DEALPDGELDGKSDEEDKDSMLDEASADEDDEPIDENEVVSNPLPTPKQIRTATQRAT SPNRSTTSTNLNLAPEALAAKFPTLFGTDPPPDPKILITTSLNSTLHDQASILTTLFP NSHYIRRTSHRYGHKFSVREISSFASHRGYTALLVLTEDLKRPSGLTIVHLPSGPTFH FTISNWIEGRKMPGHGNPTSHTPELILNNFRTPLGLLTAHLFRTLFPPQPELRGRQVV TLHNQRDYIFLRRHRYVFREKKETEKSVIGPDGKEVKGVEGIRAGLQELGPRFTLKLR RVDKGIQRGSGQEWEWKGKMEKTRTKFQL EPUS_06548 MNVSGPAVLSAWRAFVKDHAGAPSTDTVGLGLVVLHDELEAMPG TLKVRRGMGGSVKGHNGLKSVISSFRGAGMGKGDMEARFVRMGIGIGRPVGRSSKEVS DYVLGKVVVAEKEVIEGLVGKLVELLDEEGKRIAKTVR EPUS_06549 MRSFALLILSLGIILTGNLGFTSPVPDFIKNLKALISADNNHNI ATRSVANPVTDLAADAEEGGTYNPFLDWHPCRKHGMPCPFVKRLDEADTDDIANNGGD IAKRDTTVAAVDLGPNSKGGSTADGWRRCRWGASCWKPSDVGNVVSDRDMNIDHLAPS TSSDTLFERQLVDPMIVEMNKPCAKAIFTKYDHTDLTTLSAADKKALVDAIMDCHSNL SKDTTTRNLHFREPTNLTTPEEVTAQRCTNVQLIQAYLATHSAMLDESHKIPHWSVLL RCLQYERNSPIIKMPKPLRARQWEIFDPEEMGCIMDVQENFKGDRNNPEDVAVMAAEV HEKCGFKDKSDLGSVVTKTLG EPUS_06550 MSHQQTSTSPEPMPKMSLAGDDHTSRLQSMYITDPKQRNSLITR LRTASSASRPYSQPLSISLLAETETSSSRYSWGWRQDSTALRHDRPESAAKSILARGG RMLKRHGSKLNLTSYLLEEEPNNSNMEVSETCQRPQQSQRTSKAAGTRKKAISLPFDF HHVTHTKHRHFERLERGTRNELINEFVAIRAAQRPKSTLQGIQATDLQDATHMRRSAG GLQLTSSTASHSRSPADEQRFQAPAQTLPKTPEHPRCIRSTRSIENFSRPAPWSARSP ISPPPRTSSRSVCEHPARSMQPRNSFPRNTTGGSNHQLLDGTKAARRSHYHNNEPNLA APEANLTVNGCDRPSTSPALLPPHASKFKSNVGNSTKTDRLVLADIGTLTTIPLRHGC SFPTVKTLSQNDHRGLSINDQQFTEMRSESLQQDYSQPDSAQPDPLQPVSLIQPASMR HWEEVVDYSYEQAAEADCNFDWSQKTVYVDEDIESIGTATLEAQPVDDSASSNKNDLE ISDNPVSMRFSRNPSQMHATTKNNNDPEHTSQRSIWELEDRLSPFGRHQSSSEFRGYQ HLPQKSSKSSLDLCVFMKGLYIDPDSCIQEEAYDCARFELPTAPMASLERSLSQASSL FGGLHPLQNKYSSDGSLLSSTPSTIRTYRSSNSVSSLPELIYSLNNSRESFGGEKQPN TEVTTKGLHLSPLRSPSDFQSQLELEKSRGFQVIFAESAASELPSISPISPIRLPEIS CEPFDNSNNDNRGDLSKAKAGPVAARKRSATAVTPGRLQPVRGSYSLFPPQQSPDRRP EPUS_06551 MAPLTNGMANWSQDSVQNNQSIQSSRFSDIPPAIDIPVFGEDDQ AVEVNLEELLDDTTELCQVMENEKSAKNLWITIAMAYAKQQQNDHAIDILHKGLISLG RSGPKDKIGLLGCLCWLYLLKSRHAPRVPPESQLVSEAKTKDHFLREATTAINDASRL NPAFPPLYLARGVLSLLRASLQSSSKSGPGTGDVERSESLRQALKCFEDAFKSSGRRN MMAVLGRARVQYLQGKYADSLQSYQEVLSKMPSLTDPDPRIGIGCCLWQLGFKENAKL SWERSLGLNAKSKVANALLGIYYLSESSKYNASDPQFGPLYRKAMTEYTQQAYKIDKN FPLSCATFANYFLLTTRYQTVEPLARKAIEQTDVNAIASDGWFTLARKEHELEDYSKA NDYYNRADQARGGLDKGYLPAKFGVVQALIQMQDLDGAKFRLEKLLQSSKSLEAMTLL GCLYAEEVFAAQTSPTKEDKSAEAKKAINFLEVVRKSWNDEKIKAKPDESVLLYLARL YEVTQPIESMKCLQLVEQLQLEKIDEADKPQLTDDAALYLSQLREHLPPQLLNNMACF HYASESYDTALETFQIALNACVKTTEKQEAENADPNNPSTEADNTDTDALVTTISYNL ARTYESLSLLPEAQKVYEGLLARHPDYTDASARLTYIALRESPTSEGPKRMSSLYQSD NTNVEVRALMGWYLNRSKRRTNNIAEDQEQRHYKHTLQGYDKHDKYSLTGMGNIYLLT ARDMPRSTDVEKDKRRKMYEKAVEFFDKALQLDPRNAYAAQGIAIALVDDKKDYSSAV QIFARVRDTIRDASVHINLGHVYAELRQYQRSIENYEIALKKDGRNSENAQILACLSR VWLLKGKADKSYTAMTTALSYSQRALAAQPEMVHLQFNIAFVQFQIAQLINSLPETQR TIEEVQDAAAGLEDAIQTFQTIAKVKQPPYPRSALEQRAAMGKNTMRKQLERAERAQK EYEDRNQEKLALARGRREEELRQREEDARRRREEEEERQKRVGEERRRMIEMTQRITE QQVAEQAAREAAEWTEDEATGERVKRKDLKKKTGGKGKKRKGGDDVDDFIDNDGDGSG NDLRSEKSPSRTPRSDTAERQPKGSKRRRLDRKGKGAKTSKFKSSEIVVESESEGDAA IAATAGTGAITPADTPGTTNYNDGGRGGSMNEDEDEDAAPVASRPPRKRKQHRMIADD EDEEAYELGRGGHASAALPEVDDMGEENTASSTNIDIANAAMAAMAADGNGDVDTPGS E EPUS_06552 MHGHIYIILKEALKYVPVIGPGMMFYGFVFMARKWQSDMPRLRH RLEKLKGRHSGPLSGLHTLDPMWLLIFPEGTNLSANTRKGSKAWAAKQAVPDMQHQLL PRSTGLYFCLQQLRGSVDWLYDCTVAYEGVPKGGYAQDYFTLRSTFFQGRPPKSVNMY WRRFPIASIPLDNATEFEKWLKQRWEEKDQLLEYFKESGRFPADGAATAEKTKGFIDT EVRLVKWYEAGQIFVVLATVALVVNVITKFSQVILLPLSK EPUS_06553 MAFPTTEHPAREKGMFFRGCQLTQRVCLVGVPWQLSPSLFCIKE FTDASISHLHNAYPSVSILLEPHTAHEIHGDLPFPTYSGEISMWSPQIFHDKVDLVVT LGGDGTILRASSLFASSDNVPPMLSFSMGTLGFLSEWKFPEYKRAFREVYMSGAGVEA RNVALDDSMSAPAGPADNAGPTGWSSIRGKSMGPSRAAKVLMRNRLKVGVFAADGTPL TSAGAATPSSISLHAMNDVIIHRGRDPHLAVVEVFVGGRFLTEAVADGMIVSTPTGST AYSLSSGGSIIHPLVSSILLTPICPRSLSFRPLVLPAGTPITLKLSDKNRGREVEVSL DGVRRKESMSVGMEVRVTGEELKRAGSRIYSGVPCVMRRGGAAGEGDDGWVGGLNGLL KFNYPFGEES EPUS_06554 MPKRKASFSNQDSNDTYGTKRQARGTSSQPTSSQSTKRSSLSGQ PLLKLSTTPLEGDEDIVSSTSTSPFLTPTTTNDVRQPPTLQQQSAQGVGISRNIAARA FSPNPTTDRFADPSSAYSALSAPYPGYTFAASGILPSNSANNAGAEGSAPQMTYQTYQ TLLRNLGHVKGTPGPARNTPGHVNLPGNNLGPRFDRKTDFRMDQAEWSVDNLPDILYV FESPDDYYHANMLREPPLKPYTVHGVTVRDIPILPDRISPDPEGWLYECWCRFDPRIN YKRDIEPRICPDQRPDYPTRFSQSRLRFRQDCHLLAWAVKGKHYDKDRNTIINVATNN DVDVMATNSTRGLTWGLADPTKGKDSRRIPIPDPIRPKNTRDTGPPMRGVLSASESNR IIHKGVAAPRAPEHHPVARTAAPKVRPRYKASVQQPARVTLGKEMSDTDAQAQKSATS VRETPPVIVEVREPGLLHCDSNMDFLNKDKFADPTSQQVKSTPVRPTEYNFEQKAKIL HQAANEAVMNHVGLPSQATTITTNKLVEDFYQRDNIQLLDTTGNEWESTRLDYIEFYG RVDYNPIHFHEYFPRLMEAVKGYIWTMLKRSSKTSRKTSWPDSRMPEERELYEKVWNF ERRRWNWYARFGSGIERF EPUS_06555 MSNPHPATPSFGGAYTGYNFADAGSQHGGLQTLSRGDLPPPPNG GGYSVPTATYPTPGVNNQSFLANATTSSAAHSTPPHLPPPPFQVSADFFKQFANSNLP PPPYPPVPIPHLGFSQFPPPQPNFAATFTPPNTVLTKSSHTQQYNPPPLPSTQSSPNY QQNTYVVSREEGELSDGELAANFTERIVEPSQSLRKDQTFLSMMPRNVDSPQEDTSLA AQPVTFIAHSKPNTIKPIIEEILDASRPVFPGADMGNVFQRQTEVEEDKVPSADSDSI SSYNPPSASTRDPEEPDVRLTDPLPNTLDGAESYTPAATKQNGSVTNYLREEAKDALR SLERHNIRYKELVGEGVDPYILEHLYEDLGEHIIEKPPLTPVVVEQKVAVAKNVALKY SQTSKELAGGSPRNTSQGTEQAFNTADHNPSSIPVSSASQVQAILGVSDSPKPSPAVL VNIEKASGTQPSSTVAMERKDRIAQLLAAKTGRTIAGRPAVEKQPDLPAENSRSPSIV DATPKPLSLPDKPPLPSSEPLIKPKNKAQTELIRQKMEALKREALAKSQAQGTPKAVS VPSSPASSTPQHAAYPPKPGSNDLAAEIRSDGPQSHIPGLFMTTAPHPDDLQSLNEAS EPPIMGKVDEPISMHSTATSVSQDTSAELETNYVQSPGGHTIPVRLPQKRPLASDSFD ESRPSLKRPFGRKESHDKVEIVLSATESEGEVEDVEMELDEESDEEKQTHQSDIVPAT SVRHNNIRNLPPLTDIPSPNPARHATSVINTPTPTAVQTPGRDRGKEELWKAKHQEIE LMRKKIAEMEERRKAKQNATNVNSLKIGGKPAVPVIRTSLARSVQPSSPGHARPPVAV DPEAPRDAIQSPVLTASRPEELPSTPSTPLYAIKEPIRVEDLRQQLLRRKATREGTPN AADIGLRQAQLAEKRAKLAELKREAERREAEILAESKLLEAQLHAELNGEDVYEESQS NVDSDMEGGPGSRDRAALDTLLRKHKDAPNSVVGGTPPANTDRALSQEQLPVEAVSRP SSPDFDIGSRPSTEDGADKKMASTGRTVTSLRGNTDALREVQGVQEVQEAQEDPLGRP MDDAIEMVTVPQQSESPAMRVIETDQTNLESPFPSHEDRNGDDGGMDNVESNIIDEDG SISMSDSASEDYEPAEPDHMDDDKPDNDSELYEPADVAEPIGVAELQVSRPDEVTKPV EFDQPVARGPTQPTSPITEQPDNSILVDDAEDGMQLTEPDTINKPQIMSQSQEGESKS KIPTSSSHFTPYETPRKYFRNFRYHDHFPEVVSSGYKSMTFSNNIDPRKPFCPTELAG ETCQDPACEEQHFRQVALTDDKILAQMSTANNLKTKAEHERWVAGLRQVIADLRSKGV KDFHLVASALAAYRRRFSEELSTPTSASSQS EPUS_06556 MTTNTLNGSSLRRNPFSRGSLSPSSMPSPQASVAARPKSMILNS PPTQSTGHSRNQSFSPSGSSPSISLHRRPYTTTSRNSSTSTFAPQFIKTESMKQGSEK VDGIEGENDFSGKRYVWLGDPETAFIRGWVAADLENGNFMVHCDDGSQREASPDAVDK VNPAKFDKANDMAELTHLNEASVVHNLHMRYQSDLIYTYSGLFLVTVNPYCPLPIYSN EYVKMYKGQSREDTRPHIFAMADQAFRNLVEEGMDQSILVTGESGAGKTENTKKVIQY LTAVATTDTPRASRSPTKQFSNLSQQILRANPILEAFGNAQTVRNNNSSRFGKFIRIE FSRSGQVAGAFIDWYLLEKSRVVKLNSQERTYHVFYQLLQGADHRMKDKFLLSGMNVE DFAYTRAGNDTISGVSDKEEWSSLIEAFHIMDFSEQDQTAILKTIAAVLHLGNITVTK ESTRADQASLTAEAYEAVDKACKLLGVQSEPFVQGLLHPRVKAGREWVEKVQTPEQVR LAVDALSKGLYERGFGDLVTKLNRQLDRGGMSGDDTKFIGVLDIAGFEIFEDNSFEQL CINYTNEKLQQFFNHHMFVLEQEEYAREQIQWQFIDFGKDLQPTIDLIELPNPIGIFS CLDEDSVMPKATDRSFTEKLHSLWEKKTPKYKPSRLTQGFILTHYAAEVEYSTDGWLD KNKDPLNDNLTRLLAASTDKHVAKLFSDCGEEVDDISSPRSRVKKGLFRTVAQRHKEQ LSSLMAQLHQTHPHFVRCILPNHKKRPKQFNAPLVLDQLRCNGVLEGIRIARTGFPNR LPFAEFRSRYELLCRNMPRGYLEGQKAASIMLDRLGVDKAVYRVGLTKVFFRAGVLAE LEEQRDTLIREIMSRFQSIARGFTQRRIAYKRLHRAEAARIIQRNLNVYLDLQANPWW RLFMKMKPLLGATRTAGEVKKRDEKIQQLEGKMQQNLAEKQRLEEERRRADIEVQRVQ QTLESERALALDKEEIFKRLQNREAELNEKLADAIADQETLEDQLDDMMEAKRKAEEE LDLRRTQLEQAGHIITRLETEKKDLQQEIEELDSQLDEVAKNHTAEDGRIEALDQEIR MLTSQLSLKDRKLQELEAKLLKTDQDLDVKLANTTKDLNVSKKQVKDLLEENGSIRQQ LSELSSTSTGYEDLVRRKECELATLRSDLKRNESEKRSIDTERNSLAARHDSMQSRLR EVQAEMDAMKSQKANLEREALDAKVLLERRISQDIESGESRKMLDKQIADLKSELFEV QAELSRERQSRDDVQMLLDHKLTELKDQYEDLNESKIIIEKEMYVQQDTLRRATEARA MAEQNQKELQSELIKLRERFLNAENARAEADNERALWKQSQERQAALERDLEMRAKQA EEMEAENSRLSVEVQRLKTAMMESDNFRIHHDQHKERLERELVTVKGRLTASENDNKA LLNKIQQKDLDIARTNSRVGDRQKVRLTQLQSEKSKLEEENKKLSRQVNDATLSITSL EKQKERLALSLEDLNHHIAREHMTSRSAEQAFSAINMQLAKVNRDLESEQQHLSA EPUS_06557 MSPRRSSRARTTQPPPPPAHTNSSTSLSSLTRAERNTRANNKPT SPQDSATQSSESIEDAERLGRGEPPATRRSKRSNTNEKEEVTKKAQQPDVDEEAESEE VTRCICGNAEYPGPPPFARDGGRPHSAKAGIKEETAPKIPAGSDGLLDDTGNFFIQCD NCQVWQHGGCVGLLDESMSPDEYFCEECKPEYHKIHRISTGPRSSQYLPVLEASSPEP SSSSSNRDKTRRRDGKSRQSASSVSGKRRATMNSRDAAYDEDEMLRRAIEESRETGSL GKRTRDDSEDGKPNSKRRRTSSDSLPPSKVTPSPSQVNSEEDSSKPTANGSNVVKRAR GAAARNPRDKEHRDRQKDLAAQRAEAASKRNARSERRRGDESPPPTPTLSPSKTAGQV PNGPQKSSAPDTPSHRANQPTNHRKTGRPPARRGRLGRNQYTRDQPLNGGGGGAETPL RDTSRGPTRRSSPVTAMNGFSNGINGESGRSSRPKYHHPNRTSMNEMKRRVAAILEFV NKMNGEKSSQNNSHGSSTGPSGSRTPNGITQSSGSGAHGNVQPTALLKGVEASLSAAQ VLGEKAEREFRDMASGEMMHVLTRELVGWQSMYGKYGEK EPUS_06558 MPVAMGPSYLSSPDMQSAVFPDRLIRPLPKRSLKSRLSQEAAEA IPFPPNPPSSSFPAYNQYGEHGEYVNDSKVLVQQDDEYCDHDHDDDHHHHHHHHHCHD HDHDDHHYHHHHHHHEVDEDVESIEDEDRNPAAVRRMMAYRESPASSRATRHSRHAAS KASYSGSDGYDAFENTNNKKKRKIPTSGSVGLHQSSLSAELAHLGLHSSRSDLAVAQD DGAADGQYQASASYPGNTLSGSGRARYGKDLGRRVGGRTPLGVSTNNSNLRPSSFSGT SAKDGIRSSDQGIISAAIANATALLRKPLQKGQENIGVLDQQLKASPTNTQFTFTCET DAAKGVTFPEQSLYSAGYAQRAANIPTTTSASGHVPGTPGTYANSGTQSANGPMSQST ASQTMPHVPGQGKKPRRRRGDVYVLAARQRRLQQEYANLHHPPGSEDIWICEFCEYES IFGVPPLALIRQYEIKDRKERKRLAEKRRLLEKAKMKGRKGKKQTKNAAKAANGVNQQ HLNQQTYDSRPLDQLGGEDYLDDGYDDDSIPLPAPPPAPLKQSMPGAYDATMGRYQAA AGSGKGIEAG EPUS_06559 MGFGSSGSSRFSLQNILNLVLRFLQIVFALAVVGLYAQDLNKAD KVDKYSDSRWGFATAVAVLSAITALVYAILPLLMSSFTTAILFGWDAILFILWTAVFG LFGSMYINVDPGSDGGISRMKNAVWIDLINMLLWFVSAIVGGIGFWRWRKGSRSLHTG RATL EPUS_06560 MSICQASRQATRPAIRQRISTIIPRHSTPSAPRSVRWLSSTSVR ASDGSKADPYRSSSTAAAPFDKEAHEGSFSRTDRGVSFEYPAEQDFPRSQIVQGRGGP HFKRTLPSFSLEGRVAVVTGGARGLGLVMGQALVASGADLAIVDLNNDEAAHQAKELV AHFEKENPASEGDDRAKIPNGKKSGVSRTPKVTAHYSDVADPDSVTSALSEIIKEHGK IDHLVTSAGFTENYDAVAYPYDRMKKLWGVNVDGTYLFSTGVAKHLMERNAPGSIVMI GSMSGAIVNVPQPQAPYNAAKAAVRHLAASLAVEWAHANIRVNCISPGYMLTALKILD DNPNLKSQWTSLIPVGKMGRPEDLMGAVTFLLSDASGYVTGAELRVDGGYTVT EPUS_06561 MTISEGGNRVRTTPVPTPLATTPTQASTFLRAAPPQLAAPQTLS EESERPVARHSTTETGASPGPSTDQSRILLPILKKSHAEFDDLPEAAKVPSPKADVQD LYTNPRRRQSSESSGALSPQTGAADKGPKTAGKKKTSFAAATGTRKARPGAPRKRSSQ TSGSGEQRKGSQTSPSAGGQPGRTTPKSAMGLPSLLTAANFALPSSSWQDVDSPASSR ASLDTTLSTPQQPSSWLVDKNFRGKFVENQKRATSSINLAALGKGQSVRFLDEMPEHR KSAAKGKARMEEDDDDEEEDEREEEVEASKSAERSPPSAATAAIAEDDDEDEDDMPTL PRTKSQLSMLIDKERKYSGSANLGPQLPKQDNRGRKNEKENNEDVEENELLVMARADK KGKAKDPDQPFKAAAKKGFLRSGGGGGGDGGAGGSGSPPPVF EPUS_06562 MPEKMTCSLTSLFGKTFGHKLPPPSSAYALPPVQVLLSSRDKFS EFYPRQLQHDTPLATVYRIYVAILGGRHLTLRNEIEYFWNQHQWRVCDIPEPPPSVVQ ERDQRAVLATIPYLLVKAFNRLIDRGLPRDTPPIIHDELMAELKSRPKVFEKVPRWAE LVEPLDRPLVIPDARGAAPRDANDRDVDGEMRKKNVLLSLHLCTKTEKRGRRGEPDAL LQGSLLTFNEPFAFQSREFLRELLVGKVITFETFYTVPTTKREYGRVNLPNGPSFPDR VVAEGWAKLRDDAGKKEETEDAAAYLEKLRNLESSARNAAIGVWSSTGAKIETSYEVT DPNALVEEHKGKPLDAIIEKVLTGDRLIVRLLVSPQKHIQTILVVAGVRTPSTKRTSP DGKEIPAEAYGTEAQQFVEERLLQRKCAIQLVGSTPQNQLIGSVIHPRGNIAQFLLDA GLARCNDQHVTMLGNDMAAFRQSEKAAKDARKGLFAGHAAPKAGGAPDADYTVVRVLN ADTIFIRPRAGPEKKINLSSIRQPKPSDPKQSPFSADAKEFLRKKLIGKHVKVSIDGK KAASEGYEERDVATVTLNGKNAALMLVEAGYASVIRHRRDDEDRSADYDSLLLAEEAA QKEGKGMWASKPPAVKQYQDYSESLQKAKMEASVLQRQKKVPAVVDFVRSASRFVVLV PRENAKLTFVLSGIRAPKSARNAEEKSEPFGQEAHDFANRRCMQRDVEIDVENTDKVG GFIGTLYVNRENFAKVLVEEGLAEVHAYSAEQSGHANELFAAEKKAKEAKRGMWHDWD PSRDEGEAQPSEPAPTTDTTNGDSTTAPPNSATPKAKDYRDVLITHVDPETGHLKLQQ IGPGTTSLTELMSSFKAFHLSPSTKPSPLTSAPKVGDIVAAQFSLDNDWYRARIRRVD RDAKTAEVTYMDYGNSENRPWSQLRPLTQPQFSTQKLKPQAIDAVLSYIQLPSTSPTY LADAVAFISESTDGRELVANVDHVAPDTGILHVTLLDPKNSNSVAESINADVIREGLA MVPRKLRPWETRGTGPEVLKKLRELEEEAKEGRRGMWEYGDLTED EPUS_06563 MPSSCKEIRAALANCLQNSDCILVERHTPVECLTPPLLDTLPTQ CQQLKRGLGQCKRGLVDMRKRFRGNQPISVSQELEGGGGTQIKGAGGGGGQLYGGRPA FKAVKERSGLEPENQMDPEKTRGL EPUS_06564 MIVPLSTTLLAASVLTASPTALRRAVQQLDQAAFEEAQVRDDTA TRAFSDTAIKTSGGKCLSVDQLSGDFRANLTPVQVTACNSTDGQKWDIITSGKHNNQK RAMLVVSTLTQACLNFDPRRAAGNQVNLFSCGGRADGGGEQTDSQIFDFAGGAGPLAL ALKNDAGSCLAVKGNVLDQASCSEGDATQSFTFG EPUS_06565 MPRATILPLSTPYRIAFRSPVVAGGPRKQISTSAPQSNVDPLDS ILIANRGEIALRVTRTAAQHGIRTTTLYTNPDAKSQHAHSSQWAFNLGETGAYLDQDK ILHVAKSQKCKAIHPGYGFLSENAAFARRCTEEGIVFIGPPWKAIEDMGDKARSKDIM NKAGVPCVPGYHGRDQSLDTLAQQAEEIGFPVLIKAVRGGGGKGMRIARSAAEFSEML ESAKSESKNSFGNDDVLIERYITTPRHIEVQVFADKFGNCVALGERDCSIQRRHQKIL EESPAPHLDPRTRNDLWEKARAAALAVKYEGAGTVEFIFDNDSGEFFFMEMNTRLQVE HPVTEMVTGLDLVHWQIMVPEGRPLPQNQEQVEAAIGTRGHAIEARIYAENPAKGFIP DSGKLLHVRLPTQTENVRVDSGFIEGDEVSSHYDPMIAKLIVRGDTREEAVRSLTLAL DEYEVAGPITNIEFLKRLCKSEDFLRGAVETGYIDKHRDELFTPKRLDDEILAQGAIA SFFQSSFSKSPSPLRASNALAWLSPYSDFQSRVFHFLSADEEPKLGVKPHPYSVHLRQ TSPGRFSVSILESQTFRDVACSANFDISTSTLTTYFPSTRLTSRVIIPSSAIRSSATL PIHIFARGSLYRLLPAPPTWLSAALGVTENPNSLLSPMPCKILRVDVQAGDVMKKDQA LLIIESMKMETVIRSPGEGLVVKRVVHGQGEVVGSGVELVEFEDVGEKLDS EPUS_06566 MSDDGYFYDDEADDFEGDLFWNDDGEIVLADDLAEHTLPSPVYA EDGAYETMDGYSDWEYYSDDYYDDDPTLLKDNPQEGSLLRTNKSNSSNGLPRGKKRKL AATSDIQVYPSVVTSKSTGPWDPPPKATGWRSSSVENKEKKLYEPGMGERVALLGNWR EVFRSSQPFGRQQRNSELGTRSKSDRGYGKSLPIPTTKTTLPQNVELNGHTEEPDANE DEGAAPKDYKRRQTSYLQGPPVSRHHKVVVEIPVQRVNGVKKDRPGNQTGTASKPPAG RKRKASDVEHENSDGALPKPPAKRAASGRVPLKSKKKAKSPPLPSARTTRSRKK EPUS_06567 MSLFKTWTGQSQRSQLSQQSNHGPQEAPSPAHNQTTSPSPPQPQ RYSSFRNDLYQVTSNRSNADPFAGHLNHLTPYQESQLEKFKSILHQQGLYRPASQDQP ASHDDPTVLRYLRARKFDINGAYAQFRDTEKWSKENKITELYENFDVQFYEKARRMYP QWTGHRDKRGIPVYVYVIKHLDSKNVNTYAKETAAYKAKLPYHSSLSTPAKMLPLFAL YENLLRFTIPLCSSLEGRPNPEVPITNSNNIVDISGVGLKQFWNLKSHMQESSVLATA HYPETLDRIFIIGAPSFFPTVWGWIKRWFDPVTVNKIFILGHHEVKARLSEYIHPDDF PKKYGGNLDWDIGMDPHLDQVTREAVERNGGKGWIGGPCLWEQNQRVPVGTVNGKPRR PAKLEPVAAPAKVESTIAPAPSTIEPAPLPVETPSTVVPPLSSTTIISAEAAQAPPPS LNPAQAEPLDSSNPPSMTHSRSTASTFITTPPAETTTAVPPTAINGTTKPPEESESLV VANGEVLPAGTGKPPMERFVTAAEDLSTLRTPVNSVS EPUS_06568 MTDYGKLKVTELKEELKKRSIPLTKLKVKQDYIDRLLEADAADK ASNSPAATTTVGDQATSAPNVAAQDDDKAAAEEAAPSQKDEVVDDQPATENVQVSEGG PGKSAADRDGPNEKNDVVDAESQSIPATAFQDTIMMSAPSATPVEDRTSEPQSQITEA AGNVRDSSSAGPTEQPTSHSSSGRVNTPQSQLARASTTSTPSSGPVPSAEFLEDSRKR KRRSFTPPPSTDEVAQKRAKAGDGSPRSTPKEESTAGDVSQPPEDVVSNGHELQERAM EEAPRIEEGVYSQSQVQPSERDRKVEHKVGRSSASRSPIRRARSQQEDDHLPDARQEE QRSLPKSTARSKPHSPNLLKRRSPSPPKLPTNEDRVVTPALHAATSSLYIRNFKRPLH IPSLRSHISAVAASPNTDATNDSITSFYLDSIRTHAFVAFGSIAAASRARSALHDSRF PDEKTREPLWVDFVPDEKVEEWIETEQQATGGGRIGRRWEVVYEDSPDGVGAVLQEVG SSSTSRLAAQRRTSTSIDRREPDVSGTSATIAGVHPDRARLVPSEDNVPQRRVSTLPQ EQRPEQTGTGFRALDDLFPSTTAKPKLYYKPADPRIAEERLDMIKDLRSLAGAKSGDP DMKRYSFELDRGREEWVDKGPEFGFGPRGRAVERGTRGVYRGRGGIGRGSDTWRSGGR Y EPUS_06569 MEAVSQAPMPSPVASPRGFKKVLSNRSRTSVQEPGSDTSSTHGL RKSADSARDHSPKDLSRISSRDDSSKSGSSGFRKLIPGHAKRKRRRMREAGGLLPPEG ESTDNNDNNNKLTIKTANAPAPISRNHSSTSLPQDDNSSLLTEDESEPASACPPLLTH DSHAGYLTHSSPLINTTAVDDTVSTSQTESQPAQSSEEPLQSPTLGASGAPSIIHSPT LPATSSTSALNSPTLFDRANTLGPPTESRSARRKSIGTSIRGISPGRKLKEAFQPGKS TKGPRTSPDRGSLRGTRSDLQAADATTNETGPTARTKAPAPPPILVGAKTDSSNQPSI SSAGISPLNPKTRPQTPPSAASTAPLTTVTPPTPVDSRAPTPRSPVRNSESPTRSSDA SGIKVSPSGNMISHRRVHSESGSLHQPSKLSNAISAPLTPTIEEAKTPSARSESRNVT QGSGFFSSWVSAAQNAANTITNTLNTQTRSRSGTEDSEPEKPTTTERRSDLIGKLGEE PGLPKKQLAVETLGAGDLKLSHLGIGTESKENGSTRPDPIDARKDSTIQRDEAAAKVE DMLAKRAVSAAYEKPSEDSSKTPLAELSEPMNNMKHSSTFNSTVSGELQTTPNGSILD GETGSVRRTNSVRSRLKERRKRGSSTATGISSVGTLLAASASSLANPAAGPKLTGFAV APKARNRTFHQLFRSVPEDDFLIEDYSCALQKEILLAGRIYISEGHICFSSNILGWVT TLVISFEEVMAIERETTAMVFPNAIAIQTLHARHTFRSLLSREATFDLMVGIWKASHP AAFKKSINGKQAEEETAQKTDKLAEIESESEEESEDEDEMYDEDEEEEGVGSFVEARS IAGSDITDAAHAAVRKASAISGAAASAVVAQSAAAGDGQAAEKALAAASAATVDFPGP ASHAPTDCTDSATHYDKVLKDETIPAPLGKIYSMLFGPSSGIFLTKYLVEEQKVTELQ YDDDKKGLGEQNKTRSYSYIKPLYGSIGPKQTKCTTSENLDAFDLERSVSVTCTTQTP DVPSGNVFSVKTRYCLSWAAGNATRLQMNCTIEWTGKSWLKGPIEKGATDGQQTYGND LVKALRSAVSARPRAATAGSKGLKAGKRRRKGEKSSSPSPQSQVVKREEESWGLLEPF RGIFGPIVSIFKPFAGTMAVVTIVILLCVIWFRRPVRGPAGGIGYPEYPSAARLAAYE EMWQREESELWSWLEDRVGIEGLGLNDHAKQKGKKASALTARAKAKERQKILAGKDVE ARLREERMTEREMEDAIRVTQARLDVLKGVMAEKKSARQGSRAEGKGSE EPUS_06570 MEDSPITLAHTHARNAVLETRRSNPVAASEEHDLAAAEFAHAAQ STHDAEALRTLSLLEEHHKKLGQILKFRHEHPQAAVKLESHDNVDEGKSKQKGTEEPA EDTSKHLTGLDALQQPPRLARGTRATARDLSSSIASNLASARGIPGGHRRGMPASPTI SAQHVPGKFAKDQAKAKGVQETSRASKLQSDFFNSNDRSHDGKPSWAPPNHVTNEEPA KTASPAAESVASTSDAPFQQFYHTLESLMSKLTAPLAFAGLPLTSNAKPTAPSDNSAE SKKPSPSAPEKDLDYSQMISKAALRAVQDGQPASANPAESFYVVPTTGGTTSYADIMT RADRTAASLRHTRHLSNLSEDNMEDFVDAKETLQPATTTKDPQSPDLRKLNPSSSQPH KNNHPAPPQPNQPHLLEELTLTNTYLKQTIDKLSKRLHIFEASAQSSSAALAYSIRSL TTTNHNNDNQPTSSPLGTPENSAGKPSSHPNTDEKAAKRIAELEEILRKSDRELARRE KENVKLNENLRRYRDKWESLKKGAKARREGVSSNGNGKGEDAMGIE EPUS_06571 MEMGGGDERWDAQIVRVGASPATSLAASACFLDDDGAGLGLGLG LGLELELELALPELLLPSFPLLLRPTSPDKLSLISCNLAFLASTLACPPSTSRTSLPG SASSIPLNNGS EPUS_06572 MWIPQHFDPSATYSLQHGDPVAAVPNGWVDVFSELRQWSSIIGI VTAIIGNVLISFALNIQRYAHIRIAREYHESNMPLSSGGRNLGNSATTQEQIAEERAK INADAPGPGKPDNNQAHSRHGLHGYRDQAPHESSPLLKTYHSGSTITTLEKQSENGDE RKSYLRSPYWWAGIILMTIGEAGNFLAYGFAPASIVSPLGVVALVSNCIIAPFMLKER FRMRDGWGVLVAVAGAVTVVLSAKTSETKLGQDELWDAIMRWEFLTYVGITTGVILVL MFASPRCGDRTILIDLGLVGLFGGYTALSTKGVASLLSGTLWMALTFPITYFLVLILV FSALMQIRYVNRALQRFDSTQWSTRGRCGGGRRQHRRGRGGRHTFG EPUS_06573 MDDDSDLEDGKLRSFFPSSFGGDENGTNIDAQIDRTKRPTGTAQ VKREKSVTKSSNGGSSSDEDDSDDDSDYDDEYPTSHELVFKTQEKAVTTITVDPAGSR MITGSTDCTIKLHDFASMTPTTLRAFKSVDPTASKTSANTETHPIHVAKFNPNSPSQV LVISATPQARILSRDGEVISEFQKGDMYLRDMNMTKGHISEITAGTWHPSNWDLFVTA GTDSTLRIWDVNSRMRQKAVIVHKSRQAGSAGRSRMTAVTWGSQAEGGNSLLVATALD GSLMLWSGEGPYNRPSAEIKDAHESGTWTSGVDISPDGRLVVTRGGDDTIKLWDTRKF KQPVNSVAHSSTSAQYPASNIQFSPNGASVITGSETGHLHILNPATLKPEIVTPVTPQ SPLITVLWHEKLNQILTGSANAETHVLYNPKISTKGALMIVSKAPKRRHFDDDPTLTT DLSQGISGDMIINPGTGLAGIQAGNSYTSRHPTIGLTASGKSRDPRRPHLPATTPFSK NQPDEASVRKNIPLSSMRDEDPREALLKYAEKAEKDPMFTNAWKKTQPQTIYAKVSDD EAEEGPQKKKAKT EPUS_08706 MPRTEPPFIPSEYRPPIWVRVLPALENIFYGANLSNILSAHKTV VLDILAWLPYGIIHFGGPFVCAIFIFLFGPPTTLPVFARTFGYVNLIGVMIQILFPCS PPWYENMYGLAPANYSIQGSPAGLARIDKLFGVELYTSTFTASPLVFGAFPSLHSGNA TIEALFMSHLFPRCKPIFIAYTLWLWWATMYLSHHYAVDLVGGSLLSGVAFYYAKSTF LPRIQPDKTFRWDYDYVEFGDTPAEYGYGRAGLDGLEAGADEWTVGSSSSISSGSLSP TEESQPPFRDLQTKMDR EPUS_08707 MDEDEGQRVVELFRYLTYEEDTSRLRWQSHTGDQDEQHLKLPSH APKITTANRTVQTSNPDITLLALCQFAATRLDAQVVGVSLLGRHGQYILAQSTQSLEL DGAASDKESKDGEWLDITQGHWHYNLCQKSIHTKVQESAEVPLYGIADVSLDAGLGML SCVKGPPFLRSFWSTPVHTAKSHKIGVLWLLDDKVRQEPRNGQMRFMRAITSLVMRNL ESRKESIERQKVLRVVQAIDYFIDGAQENHDARNWNSPARDASKGPAHLHSLDAANQS KGDAQAQLQGGSSSNSYTPTVTERSQVAKRTREDNIVVSKPETSADEEMMDSKDKHDR DLVYARASYLIRDSLAMQGCVFFDTSAGFLDLLTVPHSHMNASMILHAEVLGFALHNS SSLDGSRPQDADEFPSLEEDFLLQLTRKYPNGTMWLFREDDSLSTSTDEGGCDRPSAS ESASRSKRNGQILFVPLFEAELSRATAGCFAFTTDVTRILSAEAELGFVKSFVNSVGA QVARINAVAADESKNAFIGSISHELRSPLHGILAAAEFLEDTNLDTYQKSLISTQVSC GKTLLQVIEHVLDYSKINSFEKDAQAASQRGSSVCSDRIQFGSKMQNLYANTDIAELC EEVVEGSVAGKSHMQSDHGVIGSSAESYARLDQGEWLSKQRGHKDDTYGFNKNVAVIL DFDYQKDWTYVTQPGALQRILMNILGNSLKYTASGCIRVRLGVQNFDDHIGELSSIIT LSVSDTGRGISLDFLRRRLFDPFSQEDHLSTGCGLGLSIVKSLVNNLKGSMEVGTTVS LEIPLSRSDQNHSAEHSVPSIKPTEAENLCLSDKDMRVGFIGFKSDGRVKPPAFEGRD GTIKESIRKSVANCMVDWLQMRPYPESDEHVHDADYVVVLVDERITDFIQPYVEDVQK QQPRVIALLASEMRRREVEDMLGRSIRAFEVMSAPFGPRKMARAVAACEEAAAQWKGQ YRRPPPLTILERLKALQADLDVESYLLQREDPVIIPRSSNEHLPDTSQSTKTSHIADT PQQRKPPCEHEDAAKASSPSQSDSGHKQGVSPPNPRLLLVDDNAINLRLLETFMRKRQ YDYTCAEDGLRAVEAFRDAQQQQKEKPGSAYRIIFTDISMPVMDGLKATRAIRKLEQT QREEYEKEKGSSEAEGAAITSSPPPPPPPPALIVALTGLANSQDQTSAFASGIDMFMT KPVKFKEIGRLLDDWFQGAEGAGVGTINRGT EPUS_08708 MLFKMLFKMLHGLANKIMFDTPPTSTTTTTTTTTTTTTTTNNNN RVTSFLRKTSFKMAMDISRLLDPEVQAHPILTETYRTIPRLEPRPSTPGIITITKALK SLIRDTEQVTFDANSSSTPATTRYTSRKRTTTAPKSPARNPKSKQSTSSPTASVPSKP TPPPRSPISPP EPUS_08709 MSSRPELKVDDEGGFIRQFRQLPSKDDTTTIRIFERGDWYSAHG ADADLIARAVYKTTAVIRYLGKSDNALPSVTMTTTAFRNFLREALFRLGKRVEIFENN GKGAWVLGRQASPGNLQSVEDELGTGSADASQAAPIILAVKVSAKAEARTVGVCFADA SVRELGISEFLDNDLYSNFESLLIQLGVKECLMAADGQKKDAELAKLRNIADSCGIAV SERPMAEFGTKDIEQDLVRLLKDDKATGTLPQTDLKLAMGSAAALVKYIGVMTDPSNY GQYQLYQHDLSQFMKLDSAALRALNLMPGPRDGSKTMSLFGLLNHCKTPVGGRLLAQW LKQPLMSLQEIEKRQQLVEAFVMDTELRQTMQEEHLRSIPDLFRLSKRFQRKLANLED VVRAYQVVIRLPGIIGTLEGVIDEQYQGPLEAEYTTKLRGLSESLSKLAEMVETTVDL DALDRHEFIIKPEFDDSLRIIRKKLEKIRRDMDQEHRRASQDLNQEVDKKLFLENHRT HGWCFRLTRNEASCIRNKKSYLEISTQKNGVYFTTNVLQALRRDHDQLSTSYNRTQSS LVTEVVSVAASYTPVLESLASLLAHLDVIVSFAHVSVHAPSAYVRPTMHARGTGDTIL KEARHPCMECQDDISFITNDVELRRDHSRFLIITGPNMGGKSTYIRTIGTIALLAQVG CFVPCTEASLTIFDCILARVGASDSQLKGVSTFMAEMLETANILKSATRDSLIIIDEL GRGTSTYDGFGLAWAISEEIVKGIGAFGCFATHFHELTALADQYPDAVKNLHVVAFVG GGETNGAVKSDGVDDAKAKRREVTLLYRVEPGISDQSFGIHVAELVRFPEKVVNMARR KAEELEDFSTDHEAKTDDYSKEDVEEGSALLKQMLVKWKAQVEAGDMTKDQKMQAMRD LVKTDEKLMCNKFFHSVKAL EPUS_08710 MPTNEEPLKKRIGRKLSKKPKRLHRLPSSHVPERFKYGEDANED VTAPARAGASAPQYMNQSIFSMIAAAGSRTDFNARFDDSSDSAEEDELGMEESAGGVS SQSSAARLSETSTTHPSNLDISPRKLSNEEKVHKRKPSEQRLLKSLPRLSLRPRKEKH SGTQQQANIGDEHSLDYSDKANYLTPRDAPMMSRMLEAQAELSASDLGTEATMRSDKV EKGRGQAGSSPATLLATRLMEIFGFEEPEDVISEYPCWLLQTVLLQGYLYITRKHICF YAYLPKKSASVAKTGYLAKRGRQNRAFTRYWFTLKGDVLSYYSNPADLFFPAGNIDLR YGISAFLCEDKDKQKTCKDFSLTTDHRTYQFRADSHTSAKEWVKNLQKVIFRSHNDGD SVKISLPIENVIDIEESPVIDFAETLKVRVVESGDTYAIDEYFFSFFSFGKDALDVLS SLVDHAATHNREASSPGSHGQHESRTASPEPRGSLDIRSSNTRFRSATPLRDSVKATF LPPPTPNSGRTSPRLSLDAGRSDKELKRPSIDLFRQEYGRASFDRGRRPGSQATTGRP SVESLTSQPPGGSDSFVQSMDRDTASTPIIHSPTTPTLESASQILNRSDVFLSPTISR LQDSHVRSSEDIRQTSESDERFASVAANIRQPSPSRTSHAKPAPHRALLQNDPLTSDT ISRDGLQHKTSSPNLQDIMKAGSYPLQRAAGFAGYLKSSSTRMSKLLATESMGYLEKV SGMWAGGRRHYGEHEGIMPEDRLVDPEDEEAASGYGDRFRAHFALPASEKLRATYFAY LHRVLPLYGKVYISDRKFCFRSLLPGTKTKMILPLKDIENVEKEKGFRFGYHGLVLVI RGHEELFFEFNSSEHRDDCTVTLLQNLESIKYLVESGLLAQEDHDEAQVAKTEHQMLQ EARQEGHAGHEMKLPGDDPKDAGTEEVPPILFDDPRASIINFTPTKSLSITCLTIGSR GDVQPYIALCKGLLAEGHKPRIATHAEFEPWVRKHGIDFSPVDGDPAELMRICIENGM FTYSFLREASLKFRSWIDDLLSSAWKACQGSDILIESPSAMAGIHIAEALRIPYFRAF TMPWTRTRAYPHAFAVPEHKIGGAYNYFSYVMFDNVFWKAIAGQVNRWRKKELGLRST NLDKMQPNKVPFLYNFSPHVVVPPLDYSDWVRVTGYWFLDEASGWAPPLELVEFINKA HADGKKLVYIGFGSIVVSDPAALTKTVVDSVLKADVRCILSKGWSDRLGDPAAVKTEV PLPPELHQIRSAPHDWLFPQIDAAVHHGGAGTTGASLRAGVPTIIKPFFGDQFFFGSR VEDLGVGICLKKVNVSVFSRALWEAVHSERMIIKARVLGQQIRKENGVQNAIQAIYRD LEYAKTLINARTVGSKDARSGAVHNDDPAVDIEESWTFIGDESDPEIQKRIRDRDIST YKEPRASVDRSRPKAIEALKPSIAGKGSPE EPUS_08711 MNSDLPPEAHHYRRSQTPESPRPLHLPEPSNIPVLQNQMDPVFN DTTTYDIALDTSASKTSDLNQEDHVVHKIPLNMIHAPAQPDGYVEGQDHANVQNSASS SIALNGNTESQANSTNSYAHTSNATAPDLQRTLDSLAQFTENANANPEQEQLASSGEA SHEDYVLPNPEVTASGPHAQPDRVVRPDSPDGVSKEGVNYQSLLDTLSQSTATAPAAD TLTAPTTASATEETIIPQTGSEKSLPVVPGLPPRPPPQEKPAIHPNYSPSESIRSYHH LPPQNASSTSYQAQTTSFRPAAGLGTGSNIPPPQSNARAANGLPPPPVATFQQSPASN APIQSPSVQSVKDLGGKGTKSPSQRHESESANDEEQPWGQEIQKKYDQFLHDERIYVT EGVWDRFPPGSRLFVGNLPTEKVTKRDLFHIFHKHGKLAQISLKQAYGFVQFLEASAC YDALAKEQGASIRGRKIHLEISKPQKGSRNSGPADGKQPPRRRSRSPDRRRSGADRGS QFERLPFSDFRDEPARRRDDYRPMRSPSPRGFKTRDEYRVRDRSPVAFNHYGRPRSPP YGRDGGRYRSPSPRGFDDEAALPLPRRDPRDVPDVQILILEDVDQHFSRFIENGFKHK GLRAATIHLNPRITLGAVVKRQIIEGVQAVVKLTRHTQFNQRIPLQVFDRGTGATSVN FNVEYVDLDVSTAADIVIQARQKERMALPASATPVPAQPYGHSQPQPQPPPYPYPQPP SQPYAQSYQQPYQPPHPPAISSYSAPREPSYGGPGPLSPNGGQNLQELLANLRQTPRN QAPTQHMSAAGGGTPDLGALLSNVARQQNQNQGYLAQPYHHQSSNSQFPQRPPMQPYT NPAGMSSYTGNQGMGTASQQGPAQNVQNIMDQLARWKQ EPUS_08712 MAGASDKARFFLEQSVPELKEYERKGIFTSDEIARIAQKRSEFE HKLNARECTPPDYARYTEFEINVDALRRKRVKRLIVKAPTHTGQRRVFFILDRATRKF PGDLGLWLQQIEYARQQKAYKRLSQILTKALRLHPSKPDLWIYAGHIAMEEHADMMEA RSYMQRGLRFCKGSKSLWLEYMKLELLYIAKITARQQILGIDQYPEPQNENRAVGDED ADVVGLQGLTAEDIHPSNVSMHNIDLASPQKLAKTPALSGAIPIAIFDAAMLQLENDD EVALKFFDTVQEIDPIPCLRSVLQHIVDHMLSSQPSNWRTLVCSVKVPCVGVAVTSPE FPKRFGISLKRLQEALLGRSCCIGLIESIREWLEILLENDSLDIALRQVVVSTLRQLK AVADSSHAA EPUS_08713 MAPKHRDGDVVFSLNGKWIGWAHTITAFSGFLGALLVGCWLHYH KIVQNEYHGYPDEWFPSVSATIGDRYPERSVFQFFIAITSGPRFALVLLWYTLTARPH STLPKIVAGTGLFRTLSCGGWTYITSTDDHNWHDIFMISYLVATLPWTLGCLALSPPN PTAIKYRKILAGLFFGTLVPLIYYFIQHKVHKVPGAYTIYAFFEWSLILFDVGFDAVT ALDFESFELVVKDVKGLSRGVKLLKDDVLEKGKDKPLAQPFEGPYSWSVLLDAAADIY TGFVFWSILSSLGILVWYFPLWHMGISGYEVMVMSTVSPLLLGVPFLRSVFVNNVRWV HMSSLVGLLAWQVKDPANRLFTVGFAVWMTCLAWSVTWYAERGQPERLKARILAWAAG LVVSSIAKFANHTNNPLWPILHSGNGGWNKTGLVLGVLAVLRATQRSNINGDTYSPSS KRQGSAALAGLGLAGLFFAMHSLLSDSSTMILWVWEGYPIRSPLAVPHGALTILAMSL GLFFGLTFPGFLGSWTAFGIGSIGAAVLTCYKNWTGFYGALVLATYIMGIAPTLIASA VHHSPGRTFGLAFFVYNIMVLFHVWVVAYAFVPGGPLVREHTDWVMAATMLLIGAGVF SALVTNATSSAPRRRPASKGRKLSSYYLHILFALQLLSASIAYLRFPTNDYQPYHKDE KVLTAGIWTIHFSLDNDMWSSERRVRDAIKELELDVVGLLESDLQRIIMGNRDTTQYL AEDLGMYVDYGPGPNKHTWGCALLSKFPILKSTHHLLPSPVGELAPAIEATLDVYGEQ VDVFVFHSGQEEDAEDRRLQSEYLAKLMKDSPRPSILLSYLVTKPLQGNYNTYVGEKS GMRDIDPSDWDRWCEYILYKGLKRTGYARVSRGTITDTEIQVGRFVIGQAPTETDERI SEDQVADGLQFPALFKGEGVRGHRYHVFDEPRYFA EPUS_08714 MSLAAGSTTAQNEGAQTSPKIPMGRPAVSGGQRKRQFWFSFYAH LVLFPRNAAYWDDSSREQFLRGLGTTFPMRTSFKHPRAKQTGVPGREQSEGKREWKVH EIHSLPKPISMPDPSYQHRIPGWHTKLLSPDILFNERSEWKNEIQQSWQAYIQSQCTL TMPMEMVDQRSGVKVFVEPDYTVADLKRIARAVIYFEDPLNQYFFTFPYDGNRRDRTN NRADNPAFKGKDLRQILMLIEYFDKATVNPTTYWNRIFPVPPASKGYWWSYTKTRQQG GCIEMAKPAAWYGWEHAVKWVESTVYFVQAALACPSSQRLRQYEISEKGLGEFVQTAN GRDLETIHRDTMDACHKRDIRRDGPLNEARNPAAAK EPUS_08715 MAFSTGGSSNDRPSRAVKIPHRDSMQDYSSTPVRQRRSGRHNVH DNLSDRSDNGASSLSSESSSSASSLFPSTMLTRLKPNSSTRKRHEDRQAEHHLPGFST TSLSSSEDSYDTPVGSSKQKAKVIDASELPNTMPSLISARSSPLGPSMLSSPTYKSIN LNGPDPDEPPRLITFTKAGQGFNWNEELFLPSYMISRYSRSRRKQYDVADATRAKLFS RAKIMDLTSDLKPFQDRVTSSLLDVTRTAGQISAEDLSFHRSSNRSLSRSLDAQNIRL LQLTQRLLTAATTTGSSVTPPKVQDVEAVEDNWRSLVDVVDDLLERADACLDEYTGVI KRLSPAAQDGAMIPTTVNDGRSKRKSSTFAARQLPKPQLLFENSHTNHEVAPFKPLLR TKPHSVVDLDKSIGEGGDDGYNHPYAVEIEQASYPERVYQKAEPLSYKSPANHDATFV DTKEGIEEMLAELREASEIAVDLEHHDTHSYVGIVCLMQISTREKDWIIDTLVPWRED LQILNEVFADPKILKVFQGSTMDMIWLQRDLGLYVVGLFDTFHASTALGFPQKSLKYL LERFCDYHANKKLQMADWRIRPIPDEMLEYARSDTHYLLFIYDSLRNLLLEASSPDNN LIDYVLQESKKEALQRYDRTAYDVEKGLGPVGWFAPLARRSIRFTPEQFAVYRAVHEW RDRTARALDESPMFIMSQGTLFAVAEAMPTTVPALISATNPVSRATHTASRELVEIIK RSKEVGRDGPTLADVMRENEAFLPRRRNPGKTTERASQDQGVGATLQRLNENGDIDSS ADRSFTSRFWGTVGKSLVTTASRCVAPLNDAWRMVLPLPALNDDSFTVPAQAISVDEA VGVSTSPSPHLDRSGEKVRADDVFILKELDKASKRKATEAGLDNDVVPSLKITGELDA NTDYSPIGNYQKGASEARAEAKVRSREEKRRKKALGKEEEAIGDVLTEPFDYANAGSI LSAQSDRQQRNGSAAIRREFNPYAKALDGPQGLKRARKETAGRSFTFKK EPUS_08716 MSVATMLQPASRTSTSSSGSFQPMTRQNTLSSHDGRSVRQSKRI SMTALYVSMSAKEKDLEISDDLARAQRTLRDLKAKISSQSKKNFVLEKDVRYLDSRIA LLIANRMALEEQNEVASHLDDATEIQEGSFPNDEKTQKYGNLLFMLQSEPRHVAHLCR LVTMAEIDSLLQTVMFTIYGNQYESREEHLLLTMFQSVLTYQFDHTPEYSSLLRQNTP VSRMMTTYTRRGPGQSYLKEVLAEKINSLIELHDVDLEINPLKVYENMVNQIEQDTGE LPPSLPRSITGEAAAENETVQAIIEPRLKMLTEIAEGFLSTIIEGLEETPYGIRWICK QIRSLSRRKYPEAQDQTICTLIGGFFFLRFINPAIVTPRSYMLIDQTPSEKPRRTLTL IAKMLQNLANKPSYAKEPYMAKLQPFITNNKERITKFMLDLCEVQDFYESLELDNYVA LSKRDLELQITLNEVYATHTLLEKHSEDLAQDQHSRLNLLLQDLGPAPPQLPRKENRT ITLPLFSKWETAIDDLTSALDITQEEVFFMEAKSTFVQIMRSLPHNTSVTRRPLRLDK IAEAAATLKNDVVMVRKGIRSMELLSQLQEMRVIDRSDDFSLLRDEVEQELVHLGSLK EKVVDETKKLDEVFKTIRDHNTYLLSQLDTYKSYLHNVRSQSEGIKRGKDIKTKELGP YKFTHAQLEKEGVIRRSNVPENRRGNIYFMFRSPLAGTFVISLHYKGRARGLLELDLK LDDLLEMQKDNQEDLDLEYVQFNVSKVLALLNKRFARKKGW EPUS_08717 MASHLKNHLPGQKSSKETTPRTSTTQAPSNPHVDLDPKYDDYDF PTTAPETQSGHPGHTTREQDAQVYQLRQMLEQAGYTERLDTLTLLRFLRARKFNVEQA KTMFMDSEKWRKEFGTDDLPRTFDYNVNPKYSSIIRNIITKPIEFDGRPVYIEQLGKI DLTAMYKITTADRMLRNMVVEYEKLADPRLPACCRKSGRLLETCCSIIDLKGVGVSKV SSVYGYLKSASAILQNYYPERLGKLYLINAPWGFSGAFNFVKGFLDPVTVQKIHILGS DYLPKLLEQVPKENLPKQFGGDCECDGGCALIRNN EPUS_09223 MGTALDALNAPQSLTARRHAASNLPAFELPPPPLSQFAASVAQK YSSYPVSNAPQQATSAVSVGNLLTPPSNSNSDSASPAGGIHNNSNQNNQGILPYTPSS YWPTGTGTTPYNTGFTPTWQGSNAFFPTRGMFSPSLGSLVRNSNNSPSGGEGQSLPPP PPPPPPPSSTYDMNVLPPFQSSMSMSAPPNSAVAFQQQQQAMSNAMISSQNSTATTSH SPPISSSEAMSQKSASAPTLYGGSQPSSTPQQPSFPYGGPSSIQHSPHPASAPPTSRI SPSMGHSPVNNTLSQAHFVRPPYPSYSLPAMQGAIMTNVHSPGNQMSMVGNMQQGMMP QFNSGYAANAQNMYNSNPQQQHHQSVPNDRPFKCDQCPQSFNRNHDLKRHKRIHLAVK PFPCTHCDKSFSRKDALKRHILVKGCGKRGEESSSKGEDAVMRTESHSDDADDSTVAS EQA EPUS_09224 MTSLEAIGSGLNIRVGMVGDVVKELLESCKKSGAIEVFGLWMTS EEGVEEKCEEQDVRRVVEADGNEFRLWVDEKYLIDDRDIPHSKASDLPDVFTSYRKSV EPLRNAPRRVLPTPTRLLPQPPDVPSQSSPFSIPTSLDSIISALHKPITSAPFVKNEP SSIPCGTKSAHPFHGGSKAGHARIDHLIRSASMTHYKDTRNGLLGTDFSTKLSAWLAL GCITARQIHHDLLAFEDGTDGGKWKGIQGYGKGENPGTAAVRFELLWRDYMRLCTRKF GPRLFHLSGFRNDDSYPWKMPSKAQPELEEMLTRFLNGTTGTGLIDASQRELFLTGYT SNRARQNVASFLSKHLGINWMLGAEWYECLLVDYDVSNNWGNWQYVAGVGNDPRGEAR VFNPIKQSFDYDSDGDYVKAWVPELRGLDDLQKIFQAWKMNDEEKKEHELEGQDMIEK PLKKIDFHVGRRGGGGGQYGGSSRGNQRHDKAGESGRGKGGGSFGARGGYRGRGRGQK GRGQARQGMMAKAGQFD EPUS_09225 MSTPRCFIVRHGETEWSLSGKHTGSTDIPLTSNGEKRVRATGRA LVGDDRLIVPKQLAHIYVSPRSRAKRTLELLGLGCRDPYPWQKSRNESEPERTDAKVT VTEDIREWDYGEYEGITSKEIQERRKVEGLGPWDIWRDGCPGGESPEQIVERLDRLIS EIKTLYHAPAIGKPTSEATSNCDVLVVAHGHILRAFAMRWIDKPLTHTTFLLEAGGVG TLSYEHHNLDEPAILLGGGFVVGESK EPUS_09226 MDPVLKRRRTLALTAGVTVITVSGALIGATLKSGQQISVHEERK KKQGTGELNVEQQIALLETTKVQLAMQRAALENKIAEVKEKANRREELERVRMEKMKK LGSGTAVK EPUS_09227 MSEPPIEEQGVQLYQHDPSQRSVVSSGRTLLPGTIASLVTTFTQ TASFGLRVGTKLGGFAIAGARETTLTSLELTRAAVEAILTRAGKDVSERRTDELGREE AESTLERSISSLHSTIASISFFASSGFYLSTAVLTSASALSMQGLSTLNAVLGSTESS RAVAAIIELIKDEFKKPEMGRESEFVGYLDLFSGTVGFVLLQRWGRRKTEQEFRGNGG EEVIWDAVIDDRGFRADVVGTKKSTYFASGHPAGEEIMRRGRPVSFLSPAGDEAIEAI ERGTIHEMPAVSLSTADQTRLSDAEIREHILSQLPKGCHAVIASETITAKTIRVDLYN TTVTEVTPPPGTVMVREHFNHTDANSSTGEQHIPSQTVVFRTALRRTSNADVEPTDRH RLTSLNHAHPDTPYDSDDAVTMGDMTAPQQTRPMPPVRPANQVISTSNPGSESEEELD YPATQLSRLARSSVIPIANQKKARQPVPSSESSFDAVKQAMTKTSMTGSIKSSSPTHG KQKKVGPFMKALKQLSPSASSARIQENLSARSSKPAELPIATKASLAKSTYKSQIPRM TSSQNSQNKSLPQVPAQQSNRHSAGRNVLSPQIPRSPSPPSCYAVRERRHDSMVHETE TYSTHSFENRPGSPTVVRTHSTSMSGLSKARSDRDVTMWNAGEGFPIASETNQHRRSK SFISSLYSMASSGSETSLILAPKGLVPRPSIFDDHEVLSALAAEGKVPGIFPNDHLVR NVRRYARFSSASYGSHFLRFMGLTAADANLKISAELEKADIHHEHTSFCRHTGLPPDT ILLSSFEDPHGGIDYTRSTSTALPLVHFISIDRESKAVVLTCRGTLGFEDVLTDMLCD FDDLYWRRKAYKVHKGIHASARRMLCGKDSRVMATLKAALEEYPDFGLILTGHSLGGA VACLLAILIAEPSTIEESGAQFTTTTPQKLIAHPDLAHNDMPPPITLPGGRPIHVYAY GPPATLCPSLRLATRGLITTIVNSNDIVPSLSLGILHDFRTVALNLKHDTTGAIAALK SRVWDRVRHAAQSAFYVDSGVPPPPENTAGDGLGEDTWAWAALKTLRAGMKSEKLVPP GEVFVVETMRVFDRQPQHNSPPQGGVLGDSATERVFKSLGRPATRVQMKLIRDVEGRF GELRFGSRMFSDHSPARYEASLAALGTGILES EPUS_08602 MAEVVGTIASAVTLAALFKLCVEAFDVIHTAQKQAIDLKKLTLK LNIEKCRLYTWGEAMGLTAVVRPSARQPLDSCPYAEIVHEILELILDMFGDSQKLKTK YGCVTIDVKNEVNMDTTLSQRSALQQLSTSFDNFSIKSATQVKKRSLIKTALWAIHDR KKFETLVHEVKGLIDGLQDITKDLGSLVVQEQMIRSRILRINDVRTLDWVAEICESNH PAISDAASLKAESLSEASTFRRGIQHWKENVEGFKDSDGSDTSDFETVIGAMEDMTLS EIKQITFHLLKDMQSMKTYNETQLSAGVNSDADLAVIDPSKLDFYRVLYDYEPGSKVE GGDLKVNKGDLVAVLSKKDLKGNETEWWYCRCRDGRIGYLPSNYLLIIRRRDHRQQQS SSNVTENDELRASNLEQESSAVTDQWWAELGRRADHQFTETNAMLHNIYESERSPQFK KHFGTVENWFKKLSESEQCAALVSLHDLLTQPQMCFLNLHYSDKLPLLWL EPUS_08603 MTVQQTATYRVERSVKEFNHAAELATIVSEPMLVMVTKVSSTSK SFSNPLFCLLQIVGMVYTAGCTDESYGSSRCPSKCNSGKSLGQYIAEVTADPSRLLDA YWVGHSYCNGTSNQWSCCDNQFGDSSNNENFPFFNGQPCWCPEENANIAFAAPSKIPD IANLVLDQPGRISYFPGQAPSMTINEPPNTNSNPSTSSDFDSPTTAAADQTQITRITV SPSATNDSPARNTASPSHSSSTSQTPIAGSPASPPITPSKDPSLSTDSKIGIGVGVGV GALILAALAWLLLSFLRKRRQKAPPPIEHPFRPKSYGSYAGISDAHSRDSTNGDLRSP AWSGHKPELPADERAVVAPRDVSMIETT EPUS_08604 MTYLPSPHQHPPSTTHDPVSAYHRILARERIRASEYLSVAAQPL AHERNIVREKEAVSARLRAHEQVIAREQGEALCRAEARERILCGARQG EPUS_08605 MDLKKDDDFVYDRLPTADSFRFAYLKPGVGQDPIECELVTRTVK EEVDLATPQWEALSWLWGAHGNLRAIIVNGRKFSVTRNLFIALNHLRLPERPRLLWVD ALCINQKDIEERSSQIRQMAFLFHRAKNVIAWLGGGDTGSQVVMRLARYLHDFESGNL SYCDANMIYILALTFGVPAVEADPSNSVFNYWKIHKYENWNYIDRIVDRELFRRSWVL QEAALAKSLVVTCGTETIPWDAFFRAVSLRFSNDRRARDYPTVHQGWSAMQAIENLRQ LVATKQRPPDLLELLWACRDYKSTDPRDRIFALLGVSDLLYGHGPRQQLGFEIDYSKP IHDVFASFALSTIAKYGDLRVLATRRSNRAFDNNYLGSWCPDWASLDDGVSLLYRQRQ WSGNIIKYRACGDLSPIAWLAAGKTPSMGVLEMGHLVLRGFILDRIAALRHSSYFVIE SDRLFDWHNWAVWPDQRGQAKITQPKDQADPQRQDAFWRTVIADADVNGNRQPVYLRA QFYRWYQKVIESSSTGNDSTAETLAGIEDKDFLNRMRHVTRGRCLFETSEHGLLGIGD KDYSAELGGAQLRPGDLIAIVHGGPLPVMLRAVDGPLQKGAERTYRLVGDAFCYVHGM VDGEALRLGTKMENFTIV EPUS_08606 MDGVDSSKLHFKALPHTMDQPPKDMACWRAHMNVIQHIVNNGIS SALIMEDDADWDVALKLQLVQYARGSRYLLFSSPEEMPTSPYGDGWDFFWLGHCGAWF DELDTRRFVIHHDPTVLPPKNRQYAGHPDMSLWERQDADNRTRIVYKSEGGVCAAAYA VSLRGAQKILYHMSMLPYNRSVDWGFHDMCKDRKSDFNCIATYPALFGVHEPAGNTSK WSDIGHGTDESQSRVEERPSSKNLAFSARMNIGRLLSGTTLFESQFPEATGGEMDIAD IGRGIGHPEVLPKMAVVEG EPUS_08607 MTGSLDELIQFLLGEIALSGQRGSKPADILGFVSRFYQSTNENV APNELVQARRYDKPTVDRAFQEQVWRWLTKHPDVLVGRDGKGNKMSLSAVEAQYRNVQ TPVTLEATKDICNLNTPPDLNVQQHHIPLDTVRPQPTKGKDALRVYVTEERMWLAICG HPKDLTKVFETEFVLLSIIAAHRELGILQGDLVKESGQDKRSVPKRTDSLRNKGYIEK RAVHLKGLKTSRLVLRRFASNVAHNSVTPQPVSPGTHKSVRDECLETRALITNLFTIL KEKNIVTRDDLKRELNMSTRWQARALGKITRRLEVIGCLRRVKAASEASKKVGYYFDC VKLIHEPSEQDLKTFDTSGPGITDEHAVEEPDLENEDDAFQTMAGQMPGKLEEVGRIL PQWNPDRPLSNCLLNVVQQAGTQGYTNRDLRHHLMGIFFRRPLENLLTRLTATWLQSQ PSHLRHLAILRDTMLSGTIPLYVQYSFPNFEARVASGHAFWEAVREPTSDSGKKGKKK ATFNTSINSNSPELDTFGFPANHALTSQFKGARATLGQCLLAAHPGDLPIANRDPVLD RNSSGTNVIRYDAAETSVILVRSNREKAPVTGSASPSSRPQDTTNQPLQQRQPGAKPR GRPRKFLRGTEKFWQGQFAMVKAQKTPDNHENLDSRAGMMSDPAGLALFAKRPPQFDA VLVRALQGGLPVPAMPKDIAQEWVDKTLQVLDRTAAGVYVTPKGVRFRGTKKYRGASR LLVVRSSRLGELDLSKKKDIPAVRFLISSAAHTFVDLQNGVVGGSLDDSDDNTLRSSP SLVRGKNPARGLEPGSNGIQDRLHEPLPHTLAPGSITTPLGQKADEGAASSKNSLQNR PSRKPRTVTFNEALLHKDTPLSHNRAGPENMVWQASPTPPSGLPPPLTTRSLLRRRQS DSQQTASEISEASAAGAVDKSDDQVGALLDSINPPGLPSASTQVDQVLNLEDTEKIEV RSEKPATFMISLNGSSLNGITNIQATRHSVLAAHGPIPNRLESGSQSLRPSVEFHAPP LGIIANHEKYDASATRRKDADTPVIEERDSEAFRLVQELLAEGDDQIDPPNQDTLNGE NQSGVSADASSQIGGQITLPEMRISDPDSDHGPPQKRRKQEGVGAGSIAVLRRKIMID LMEACSGALPYYQSPLCSAFTTAWQKAGQSGKPDLRTIKAVVKSLCQNGSAKQIKFSH RNKKGAVVTKTILAKADMAISHPNILETQRRMIEADPHPYLPDALRDDLDLNREMHRE PSAVWPAVYDEQTVEPSETPAKVLRLQLRETLSLARKRQRNKDPEVQDANEGHDPQGR DIVPRTRLVGIRRKYTTASAPYKRPKLSVSHNTRSPQRPLPDQLSHTPNPLQFRTENP EKPLTFDAFALPAPRPFKSRTNALSHSSEDANFASQASSIATTPQSESTQLTNIIWKE IGVQPVLPSSVQEILLDDRRRKKPNYTKEKDPNYREFEWNIDGVTLWEQRSLKLFDSK STDWVFINHFVGNSFQSASGSSSSLVFTGLIWYDTQGREHTETRFHVHDQDVSSLPPE NRSAVSPRWAQNLTQAQLELPTPRPLRKRKRDPAEVVEAAKRRRRKPTTVTQPQTITD SAGNVIDVSHLIGAKYKRPRGTQHLRTMPKHFVYKLTVTIVVVRALAGGLEKHVDWPL VMCVFPDEEEQFLKDRWKTLSNKHRRDVDQLIENFQDKFPEAYAKGEVPQINLDEPES IDWKTVVEWALNSLDKPVMHEIPDLPATMSELNETVNMKIEASHRPYRDLLAYNTAVT VPTKEVAISAIPFAVPLPLSPPKSPGKPPHLFDPTDDTSDYASKLAKSWALSTVATPL ETFDPAKAHDKLHSLAPTVKESEKLVDSALKSLAASRAVVKKRDKSVDPKGRSFDLSR VFNDILDQRRTITATMLKQALRYKTTVLDPAFRKVQRVKFHPVFIEDGDMIAILNLTA NGRIKITIGDDVPRNRWGVDPTLRYQTRKIKKENLYFTVMMEHIPEKYVFGNPLLERD AGIPDLGTGARDMIPIWRDIHGNFQHQYWDLAIAAVLGIVATRSGASVREVAKTMNPS LAMWEVECLLEWCWRVGAVKKTGEEEGGWTGGWEVREWWWLVLGCGRVENSPEQVPGS SKM EPUS_08608 MSLGTFLQDENYGSWADEMEDMPLPSTESRSGYGGERRAFSSTT GMGNGYNDRRDGYPPREQLPLPTEPPYTAHLGNMSFDTTEVEVSEFFKDCQVTKVRIV EDKMDRKPKGFGYVEFATLDGLKKALSYAGSSLAGRTIRVSVADPPKERQDGRDFSDW SRKGPLPDLPAQQRRVSDRPSFPNKSFDNTSDAGSDRGSRRQFDQGDGKVRDFSNWER KGPLSPAIAAVPPSLSEGGRQRSKDGPAFRKSSPAWGEGTGRSQDGARPSRREYQEKP PVERPPMASELDNQWRSKMRPDAPAKSPTPSRDPSNPPSPAPATRPKLNLQKRTVSEA EPGSSPATTTLALESKASPFGAARPVDTSAKEKEIEEKREMAIRQRREAEEKAKAEKA EEKRLAKEAKDKALAEKGSKEAGPTADEDNGTEKNPAGKHLEILRRASENENGMEADE EEAEGDAPVAPTDDKAVKPKEIVRDAPPARGAGGAWRGQHAQGSGPSAEDTAKSMDED GWSTVSNTKPRNSRRTNQAARAVAS EPUS_08609 MSVPPAKPEYIQRAFIDPIFPALTVGAVSGAAGLIGGGFGGLVL SKHPVLFATAAGLQCFGLGSTFWYVRNVGIVASTQSKLSNRETVLHSTFAGSMAGAVN GAIRSRSNVLPGALMYGILGMLGQGVYNTFQRGGDEVGDRVPYSQRLLDSRWVPLKRL SDEDYVEMLNEKTIKIDAEIAIIDDKIAALQKSRTKGG EPUS_08610 MARLGITTISGLLLLLLYISSFVLFAIIRITTGFSIQRLGYLSL RRIAYTPKAGIRIEVRGLGLSLHRPTFVQPTWISLRLDSPRVILDLSVLNGSPKRTNG RIQMVDKQSQHSAKSFSAPNGSTNGPRRHRSQLWRHLTDTKEGIKRLHRKVHWLRLLD VVAVDAAIEVVDVGSIRIGHFTMAVDTRRKTVDRGRLFRHKKDPSGDQRPAEWIITTK SVLLMVAGSEPGEVLDSMSLNIHGILYRKREGLRDTSIAIKLGRLHIPFDDLLTISSY ARAITISAREAAVRSPLEEISFTDVVEELDRPGSREESIVQTVADSKEFISSILRGVQ EIQMALSFIRVSRQITSLSHLTAPLTVNIVTHEVGIDLHRLDQGSPAHRMYFSRSDVA HQALIAAISVSVSLDDAEHSPSKIMYIPMATTTVKTTLPSKTVTFAEERDATERNANI LFANLVVTSPSVDLDPRHLAQILALLRAKGENPSVRQDGNHRLISRLLPKASIKLSIH EPVVRFVLPINNSTSTNPDDYDLIISAVSSISLDVESTHSTEGEIHYSLNSVFRLSSH QLYYQTSSGIRHDLVVAEVLELKMELDATTEVRMLVSGSLKSFSIHMMREEVSNGIYN IVRNFRNNFKLDEQALTLVAGRPNFLRRLPVWLIELHLEGSDFSIEVAGIDKEISHQT RGLAMRLDSWTAEYESQRALKERRPSSVGRRTSAKSRRDESSKQGLDPAHEKTSHTQS DGRRLTIHVKGLEAFIIESTRLWEPEPSMRLPRFEVTFSTSRDLQGPILHINSAVSAI HLCFSLYRYYSIGVAIMTLKDAFQGPVSRAPTQELKSYLSTGKAQAAEHAVRSNTMAG AELVAVDIKVAFMQVQAGMAADPPMLLQIYDVVAGRHRWSAPFLKSHLLRLHVEAPHL RRVWARLISMNSIRVNMRQSRKKVGTSFVTERSIDLATEFIRLAVPHGLIVYKVFDNF VNTVKAMEQLHHRFRTRKKDYVLEKSPEGPKRIPKISLRSKVIMFEIEDDAFEWKLGT IYRVGLAEQKQRMARAEAFVLKAKRLGDDRPPGAASRHRAHSYQPQTHPRPSHEGPDR SHSEEQFSGQRSSSRGPHGHDSKSIRYNSDGVCSLTGSAKVQVKAASEKLQEHNARSW KRKIDNVLALQNNTVKDVRRMFSGADDPPHDAEDEETILAIPKRPGLMSAVISDLHLV LDKPSFPLSDYPKFLHEVGKGMPLDMQYSLLLPMNISLDMGEAQVMLRDYPLKLLHVP AIRPGQQPRLPSWSLRTDFVIAEEYRNAESTRNVKVDIVPSGQHEDDCSELLGFSLDV RRTVSPVKTYSKVMIDVNTSLPTTISWGTSYQPVIQDMMMIIEGFTKPEIDPSDRVGF WDKIRLSFHSRMTVKWKGGGDVHLRLKGSRDPYVTTGYGAGFVMCWRDSVQWAIHTTD DPKEFMTVKSARSKDEDGISTSSTQKNSAIFKKVIMKLSGNVQWLAGLVFERNSSGDN RLFDFKPHYDVVLKNAKYLDKHTLADYDAFGGFRSHHIHLSIAVAAPYDREWSLSNLK PSDSYNAVHLSPRFFTHFFDWWSLFSGVMSLPIRQGRLWRGPEKTSKKFGRHLATLKY NLLLSPLFVAHVYKHKDAEDYGEDVVSATGLKLRLDSFMLDLHQRREWFDTVIKGKSK QTRTSGMRINEGQLDFINADMRAVSANIGGTNADDLLRASDEALSTYQQPVPTGDMSR FTITDHDVSWIDMDDFVELDWVLPSESNPETKILPLAFTPRFTYFRQTDHGGAIHGDE TRTSPFGDEPTHYCIMSHDNDPRRVQMELVKERIDSITRQIESHTRLMGEQELLVIRD GDQDSGLKRHYDILVQQNKELHAKHEFLVAGLARLTEQRSSANGSATQSGPGPASETH AYVHYSEAEAKAHDALDYLFPSSQEEFPSNFNNRFMVHNIQFKWNNSLRNIILRYGHQ VSQRRGFVYYMSRRAVKFILDIVDEQNRSKAHLSPCTEQDDKSPRPRIESETDDRDQE ALVKDRIDQLLQDAKRFVDADDPMRGGKQRDVRPSTASSENQLAEDFVPQNSYHVRLI APQIQLQSEKNNKASAIVAAKGMQLKVVSIMDKARISDDVSGLVQRQFSLDMDGAQFF VTTKKRLYKFLHLYSGNKYGNPPGSSWPPWVSLEVMFDFELNPFGFERVIQRTSATLR YEKYNTLRLKYNEEVARAETNPKGKSARAESRIDHLSVDFPCIKATCDSAQYYALYII VLDLLLYSEPREKVRSERLEKIMLASDFSDLRGAPEIASSLQERIRQLEDIKNHFQIN ARHLDRQGWQDRMSLEKDLASCEDELFFIMKAITTSQSQRKNDDRQTTQSKGLLRWYL RASEVVWHLMRDKDEALLEFQLGDAAYERIDNSDGSNHNAMEIGRIHGLNLLPNALYP QMIGPYQDLNSKSSLQRDDQKMIKVHWYMLEAIAGIPVLEQFQVTLFPLKVQLERELG KKLFEYIFPGVQSSAFENKNFSPFMIRNMQPLDDGTDSEADEAANALLSDSSAQTLSQ NSSINVSETAAIGRRMQPTLALSHDEPLPHANHKPTGLGISSEHHRLGLFRHANSSST SKLVTSGASHRKGSPDSVRLPSKRSMDNSSTNLSAMSMDESRRKFGLPRSSSKDRRDK EKKDKPSDELSQMMSRASNYMTLAHVKLDSVVICLSYKGKGDRNLEDLHDFVFRMPAL EYRNKTWSNLDLALRLKKDFIRALISHTGAIIGNKFHHRPNKQQQLRLRKAASSSQML SNNASPTNTPSTSEADSLISSAPRMERSISPRRSFASTNRRTSPLMRVDSSPSSIHSS RPSSLIMTPINPPTEPTRAQTFHGGPSLITNALSKHFSRDHNHRGRQPVEDSAEDSDQ ENTKKKAVLLLGKKILESLS EPUS_08611 MSLVQSLPSQNLPHTVCAQCGSGISHIGSAEEAQRRILELEAQI RSLNTKAAAAGKSIPPHPLFPGLCLLTLPKPTSWPITKTSSISCARMLKINDRAQVPH LASFLHPSKTAIASLLKPNPPHRRKDPRVCPPCPRSSPAAAPPVQSHLAPTITNNTST HSLPTVLPNNTTQPPGSPDPASETLTTMLQAEKKARLAAESSLSQAHNELEELTAQLF SQANEMVATERKARAKLEERIELLEKRDGDKRVRLDRLEKAMGRIERVRGLVT EPUS_08612 MALTTTTIDHFPLSDPDPPPAAPPPVVITPCDPWPRPYYLSEGL RRVAPYHYTYNTFCKERWRGREILDIFATEFRDRTREYYKHAIETGQIAINGKPCEDT HTIVKNGDVVSHTLHRHEPPVTAQPIRVISETDSMIVIDKPAGVPVHPAGRYNYNSVV EIMRAERHYAFNPLPCNRLDRLTSGVMFVSKDKKEAEMISEKLRGRTMRKEYVARVKG RFPDGEGEVKGNLGGVVKCEENILQISPILGLNRARASGKTAKTLFRRIAYYSPKQTV SNDNSTNSSTPIPSDPSPAPPTSSSKHQPAAATPAAPLPPIESSSGYSIVHCLPLTGR THQIRVHLQYLGHPITNDPIYANRRVFGSKLAIHDSSAAQDEEIIRRLAKMGKTEVAD AIDYDNTADSGDAVERVEVEQTMQICAPSTNSTSTTTEQNPSEASEGTSENGQKGALP FSTPAEYASFVSQHSAMVSDYKRRKGERMTGEKCPVCDTDLYSDPGRVGVSEPGAPLG FASSSSFFSEAGEVGDEEKEGREDAERETREILARGWVYDGSETPPVEMDQDRLNREG ERRNKRTP EPUS_08613 MVSAKKHVPIVKKRTKRFARHQSDRFMCVPTNWRKPKGIDNRVR RRFKGQAVMPSIGFGSNKKTRHMMPSGHKAFLVHNPKDVDLLLMHNRTYAAEIAHAVS SRKRVEILAKAKSLGVKVTNGRARVTTES EPUS_08614 MPSWLSLRGKAKRPKSDTPAATITAGSCSISTSQSGKVFPSGLK LLHQPDAAVVDIVFIHGLTGDREKTWSGKSAVTPWPKILLPKELPQARILTFGYDAYI ADWRAMVSKNRISNHSKNLLAALATHRENDDTVVPMHSAILPAYVSIGIHSNHMGMTK FETEDDPGFISVTGELRRWVKELISMPVTTSRTSQVPFMVSFDRDLQFIGRDDIIGNI DQRLETKRRIPLAGIGGVGKSQIAIEYCYRFRAQNPSGSVFWVHANNASRFQNAYKNI ASKLGLPGWDDPKTNSLKLVSEWLSDEDHGPWLMILDNADDSETFFGTRSDGLSLEAE HPAPLVSYLPRNSKGSIIITTRDARVGERLSEREKSITVLPLVVQEAEHLLRSKLQQD AEWSEPDAAELLKLLGCLPLAITQAAAFISENMITVAKYTDILQASDSELTDLLSEDL QDPRRDLDAPSSVIRTFKLSFDQIQRQKPRAAQMLSLMAVLDRQGIPEEFLHREDERS TEFITALGTLQAFSLITAETGGKTFTMHRLVHLSIQHWLELQNTKAEYQDEAVKMLSE LFPSGKHENWKICEALSPHARVVLGYNYTSQSSMLHRATLLYNAAWYDWEQGRYNVAY KGGLESYNIRQRLLGENTCKSLDSLALMALVLWEQGKYEAAEEMSRQALDGYEKVLGK EHPDTLMSINNLALVLNGQGKYEAAEKMHQRALDGYEKVLGKEHPDTLMSVHNMASAL SGQGKYEAAEKMYQQALDGYEKVLGKEHPDTLMSVLNLASALRGQGKYEAAEEMSRQA LDEYEKVLGKEHPDTLMSVNNLASALSGQGKYEAAEKMYQQALDGYEKVLGKEHPDTL MSVHNMASVLRDQGKYEAAEEMNQRALDGREKVLGKEHPGTLRSVYSLAYLLQAQRRY DESSILYQRACAGFKKVLGFDHPTTVECSGNYNFMFQEMKRQSQAN EPUS_08615 MSGSTHNASDPPSKSLPLEIHAATRSYHTSLNRLITSRLPLCLP PCAWEASTYLHGISTFAEIYLTFESEWISIVSSTASTDVSLRAQNLLRAPYQPSLLRS ARLKNDLSLLSHQYAKHERLLPLTNLTARIRTRIQSRPHTLLAYTWIMYLALFNGGRW IRAQLLSAANCTSHESFWPDGHSAEECLTFWHFDGDQDGEDIKNDFKTRFQAVAAQLS KEERQDVVGEAVEIFKMCGVIVEDLDQAFADQDGKEERRSVTPSSTALFMTEQLYGIL TWICGLVWAAVNIMERGGGPAGHVMVSREEVGVERR EPUS_08616 MALPNTYFDIVIIGAGPVGLLLSVCLSRWGYKIKHIDNRPVPTA TGRADGIQPRSLEVLKNLGLKRKIMAHDPAKVYEVAFWDPSNNGIVRTGTWPSCPSFL DARYPFTALLHQGLVERVFIDDLARNGTRVDRPWTITRFENDGRDNTYPVSVHLKNLD SNVVEHVHTKYLFSGEGARSFVREQLGIQFQHKDPVAHVWGVMDGVVRTNFPDIKMKC TIHSDYGSIMVIPREANMVRLYIQIASSTDKDWNPRKTATVEEVQQAAMNILKPYEIS WDRVEWYSIYPIGQGISEKYTVDHRIFMGGDCCHTHSPKAGQGMNTAFHDAINLAWKL HHVEAGFADRSILETYESERKLIAETLLNFDAQYAKLFSQRHPSASEVGHANNHVSSP STSKEEKNQFVETFKANCEFTTGFGVAYQPNLFNWSSSLPSTTSSSLFNPPGNKCTPG RILPPATVTRVVDANVVHLENEIPFNGSFRFFVFAGKPQTSKQALKDLSLYLQSPQSF YARFSTVSAESADLHHELHNPHSRFFSICLTIAAKRADILIADLPELFQDYSSHVYAD DIWDKRVPDAQAAAHAKVGLEVENRDGKGAGGVVVVRPDGHVGCVVRLVEGRGTVEAL EEYFAGFVVGGRKRAEMKDWSAEEEKTLGKFEGGVRAHL EPUS_07095 MFTLGAEVDILPPVQVHALKPVHPDTSPPPESLRTQDEFNGLPR SASYTHLPGVQEAQRPEGIKRTFSDNVLALSPDGSPNNRQSPYVPSKELLRSASRNGK TKVAVRKFTLSPEDFEGAKGKEANEPAVVEVTEKPRPSPGRSVSSTFRSLARRSWRSS SSRSPSPSPKAARKLAKSHNTTPDKKQSISTVSKPGSTPQPTAPCPPLQISNVEDGKP NASPEISPAPRQRPPLQNKFGRRPLSAIMHMNKSETELKLPKKPSLQSLRSKSSLDKL PNLLSMKVPPIPSSLSSDRLSSASLDTNKKKDPLWSVFRTLEGDFQKFQSKSSALKAN VIRTSLLPFLAKYADHPSNKTLRAEDLDRRVMILNKWWTGLLEMLNGRNNQSISGTDR PSFLEGVAGVMVRPEWRIPPYPIPTQAETPPVQRFGMPISTSTSSLESGGSDFLTESV HHNVRNIFVQNLLSQMAFVVDKMSMRSAPASLVAYCGKACAYAFFFCPGVADILVRLW HLSADTLRRILLELDVPRGFKLRATSVEVASHFPPALRSLAITSHAALVRYLHHRTPL PFGAAYIRWYGPWMSRWSGRDSDLFFGFTKQFHVLVADILPGVTEKRKRACIPGLVPV HAQILTVLEATLYRQIGQPQGDMFASSAVDDLDSPDAAATMPLTTANAARSMAENRLI MLLRDLLADQNPDSQGLRELYAESFADIVKAATRKISVYNHDACFVLCDFMEEFLAIM SRYHQSHPETEILDWAFWFQVCQQMMDSHNTLTEIRLIAFVYSTWNILISNEDRRKEL CLDWLLEPSFFEKHFSHWCPMVRAYYLRLLCWRTARYDGDASELDIAIYETLADRLNS SWASYLYLKDEAEEHDTTMPSSAPCSPAPGRRLIIIRNDSQPIPVSMFTSFDKVLSQM PSTQPTTPSIAISNGAAGRESIRNPAKKRWSFMKSIIPFSTPGNARPGEVTPPRSPDE SSNVISSDIASLSDTISIRSGAMPNDTPPHRASTPPHQPFSFKFSLEWLERPNWPSKN RRLCPPKLPPPAQELIQLRQEEKGEELKEVEPRRPTPQSLGNARYAGRALAEWAQIVG ECQGFFERRKEEGVPMNRLVETPTLGVESFRMYG EPUS_07096 MTPRSLRASSSLAARIARNTTPLNRRQFVAATAIRQPDIIQDLY LRELRAYKPPPAKPADAEGHVQKFTMPKPPKSPEETSITNQVQEYENSAVEVEGQASS GEAQEPEGDYFEDLKDLDEEQPAAH EPUS_07097 MSGIPGIPIDENAKPGEQAPQPYRENLSVIVTCPDCKEYPPNLV NEGATLVCASCGMVLEDRVVSMESEWRTFNSDEGKGDDPSRVGEAESELQLGDHLETR ISTSQGSSKASRMLAAAQNKQSSEKTNKSLASAFRQVEFWGEKAALQKNIRDNAKRYL KQVDDAKAFKGKQQDAVVAGCIFIACRQAQAARSFNEIHQLTQVPKKEIGRVYKHLEK FLRNADDVKLKRIEAEGGIFDSAAAAYKNTKSTNASDLCARFVNMLNLPVKVEAFARD IANKIVSINELAGRSPLSNAGACIYFASHFVGLGRSAKEISQVAGVSDTTIKGAYKFL FNAQDTLITEKFLKAPYNGDPKNLPQVQN EPUS_07098 MVEKSRGTAAAFPDLSNKLSNPPSKKSLFERQKAEAEAKRIREE KETAAVYEDFVKSFDQDDENEELLTAVGHGTSGRGAFGGGRGGIFGGGGGPGKRHFTA RGGKNSGPGSLGPGPGSKSSGPGSLGPVPPAGGGYRGQKRPFNEYGRDRDHERDSGNG MFSYDDHDRSSSGAVSSLDAATAFRTDENEDQKAAVEKAAPKPTLHLSSLPPGTSPAL IKSIISNSSIPVDNVRILPSNHSSHSSADRKSTSAIVTLPTDTPAADIDTLVSQLQNK YLGFGFYLSLSRHLSSTTLGTGGVTAPSTSLNNLPFGARSVPQHTNLSRGAPPPGLGG HRGGFAPPASYTSSSPYSQRGRDQPTQVIVNPPSDLKQLKLIHLTLEALLTHGPEFEA LLMSRREVQRDEKWAWLWDARSRGGVYYRWRLWAISTDADLRGRRGASNGAQFVFEHS SPWVPPDTGLKFEYTTRMEEFIEDEAYDSSDEEDYDDDARMQRRRRADQLAEGMGGGG GGGVDATAADADGTGYLNPLAKAKLVHLLARLPDSNTKLRRGDVARVTAFAIEHAGEG ADEVASIITANVRRPLSFTSANPERQQDQHQQQPQTAAAAAAEQETEENAKSSAPLEK RDTTAASLVALYIISDILMSSTTSGVRHAWRYRALFENSLKLQETFQYLGGVDRELGW GRLKAEKWKRSVQSLLSMWEGWCVFPQASQEGFVEGFVNPPGLKQMKGEAEMEEERKK KNVGGVGKWKSVEDGGGVAKDEKGDAEMEDVDGAPMVDEDEDAELDGVPMEDDDMLMG TDEDLDGEPMIDSSDEEAQTTEPIAEVQGGEMGLKEVVEGRPSENRSPADSKQNPVKR QRPKAVDMFADESDEE EPUS_07099 MSSITKVALAGATGNLGPAILDGLLNAGFKVTVLTRSSSTHSFP PSVTAISVDYNSLDSLTNALKGIEAVVSALPYTGNPADVTTNLRLVEAAAKAHVKRFI PSEFGSDTLNEKARTLPVFAGKVAVQDALKKEAATGGMTYTVVCTGPFLDWGIMVGFI MNLKGKSISLWDGGDRVFSATSLQAIGKATAGVLKQLEQTKNRAVYVHETATTLKKLA TMGKHATGADGWQENVVSMDEQLEMAWAELKKDQPNPDNFAYNFIKASIWGEGFGGHF ERLDNKLLGIKEMGDAELQDLVNSLATK EPUS_07100 MSLHIPNVPLSSSNLWVEDQHHLFQGLPGSENSSRESQTREQAV QEIGDTKSDNMGVQEPSEPNVISEEQPQIPARPLGTILPQGNALLSSQTAARLQPHLP PHEWSFWTDACLRGPKPIKLDGCGFCVVHRRLDNSNPSDRDFPITWWQSCFVARNAHQ IGHAEMLAVAQALEMAVDQCERISGTVVTKARIKMPGAAEKIKRQAATIHWPMPKGVN IFTDSQQVLDSLDSCWLSGRTPGQRSPMRHANAMIEALSLLGVRCILNRFQAMPATSV IVGLMKELEMRSNRNFMGGKCTRGKCTVFTGGFTRIKLWRDHPQLLVRKEREPAAQ EPUS_07101 MDTVVLPRYSLPRKARTYTKPQPDNKRELRGTLSSSAHHGIDLK QSCSKKFIDVFTRASQTQVIASNQIESPLDTSPILAEIGDMITCSQKAIDRTYGLEKF IFPIAAALGLYEDQSAKQIINRSFLTIHVGSVFRKAAVGESGHLGDEIRTPIVCGASP NSDVASEEVNTISMLFAKLSPAHQDASITLAPGDGAEDGRQQEHREEDEDDGENYKQP KGRKSRGKGPRKQNEFACPFFKHDPRKYGGRGGCREYSHEKVGNLLRDHIGNKHLRDN DIDATMWLRLKETKNLRGAARYREMYALLFPDDQAGIQNPYYHEQEFSASLPGRGHNL PIAELTAAALEQVENIKLLDMERAEKETQIRVHAELDLDQIQIDLDAQILKSKEAARE KKLHERLACNEELDEVRREMSQRRQSIENRLESILHGQGPDMSTETSIEYAEAGGRTG IAIDENQSVAGDQRGVSEMGRAIPTTPSSTIASNIQSSSGIGAGNSWTSNGRLLAMEA FETGLQNTPAFTHQASRANALKGHSGNDVGNIVVVQKAKDNFNEWQHTRNDFDGAVVG SQLKATPTSSRQFEESRGSAVAPSKQVTSSSAHHPR EPUS_07102 MSTTAGPQIPPRPTRSQHAGAASTSRMPEIPPRPAHKRLDRSVS PSSFPHSPLYDPPSSHNLGRSISNDTSNLSLPPRPPSVTLPSIGQEGSEYADINYDTP TPAESVGPEEVGQMRNIGGDLKLHAPKPSLPQASAKAQVKAVTRTDDQQAAAHGFGKA GSPSHEESDPFTRSPTLRATSIPSGSQPSGPTSRRHSIAPGEEEQGPAELGLRVPINP NMGDVQAPSPAPFSALSDHHGPGKRRHHARTKSGREVYLPPGSYGLHGHGVPTHDKFD KDWYAKHPDQLLHEETIGHGHYSATGSGRGEWALSSDDLNKIVRNTASRGAGLGTNEG VMSYPDEQIGYLASDQYSSRIASPSQGGGHLHKLHSNSSQHATESPLRKTSFPAGLFQ KSEFDKSKGSLSGRSDDIDSEAAEDDVIHVDDPARRYNKVTGGEETINELEDLGPNGG NAPDQGGYIDENGYGVPILASDEVAKDIGAEYMQPAISPKQERRSSGYYGGDDHASGN ITPTSRPTSRPGSIHGFSASLSRYMARHEDREDLHTPLEDVDEYEPLFPDEDKNAKGS LNAADRFKLRPDTLKHRFPSQDIWEDTPSSAMHVATVSSSDVATQNESTDAESSSKTF EDSGAESARKNEVDEAEKTKLSPKEERHAKSRFAPHLRDDMPTRPNVQQRFPSRDIWE DTPDSMQLVTTVATPPPPSEEAKSPEGAPPNKPLIPPRPMNRSKVGEGSAVPSLSPSD DVKQPQVPARPPKRAHAVPPPTNKFTDITVPPPQTSEKNISPTEAKKGPSLPDRPKPQ IPARPTKKESLEALTKTLSTTSSGSQDTVTSTTLPKPKPAIPVRPVGTKVNLPTAFMS DLNQRLQLGPRPPVKEKEPEPVVAKEALPLSDARKGRARGPQRRAPAKSPSSYVETPS VTFPKFSIATPMPLWSIGEYDGLTVHSLERSSSNPQKPLPKVLPDDGDLNATAAASAR PADAPKAMGPTGLALNTAGDSADPSPMDSAIATPGTEKSDPLSKSIGTPVHENKEGFS SLSKQVVANSGTTGSSPLGRADSKNEETGSLGPDNNENNTVSAVEHGALSKASTARLT ASGEPLQKAPAQEPHIGTVKSVGEDVLATNASAEPTSEKEMPITSVETSSRFENDDVN VSAGQGKESEHVGTGESEMRIESGLKTKIPHKKLEEMTATADGKGHAAEEEGSAKSG EPUS_07103 MPVCSQTPHCQIFGLPGDTVNDLTDISQSVSGFVLVFIEIPLLL RICPTSAKFDAFIRRFTTNYMRAAIYGVMSLIQWLSLIVARSGSSLIAAAVVLLIAAA FYALAGLKHQQFVGSKTLGGQGVAQMIV EPUS_07104 MSLAPYSYRPATPPEAAAVDQLCNATHSRNTSGSSYYRNSFSPE SSYTNLTTPSKSPVLRQHGPTLLPKIRPQDAVVTSSSAGGPRRNHRRMLSTSHTIKSF TPYTTSRPSVQRSVTEPLESTTLISPISSSSTYFGHRASSTFEPPVTFPASHNKKPSV SHSRSGSASSIDESMLNRYGYPTYRQLPVYCSEASQTQPLSIQASSSYLPYQPPMPSI ETHDFAFPSTYPTPVEMGFNSRNSSMTPPPSVDLAPSGSLLTYLTEPTQPINLVRQLN IAPGRGLNNYFWWDVRNVRCWDTFSLDTMSSIPGLLPLLNFAVDTTAFPQGPSSSSTV TPASEVDLANITNKIYFPRVNAAIQLSQGSSSLSLYQAPSTDRSTGNPHFLANYTHDA DRTLNGLPRGRVVGLVKSFDRWNTGMRRESPARKVDYLNGLSHIQKCMRDHSCRYGFI LTEIELVCVRAGCDENGQPYFGYLEVSEAIATKNAAPAPQFDADGGTPEPVETPLTVT LALYYLLMLAKSTPLPGQPSSFMDVGGPGALTRQRIWSGIDLAENEKGKDGKDKWIPE PQMGEKRDAKRIRGWVWPGDPWHKREGNGGGKRGIRT EPUS_07105 MYSIALTTLSSLLSKYSIDPASIGRLEVGTETLLDKSKSVKSVL MQLFEKSGNFNVEGVDTLNACYGGTNAVFNSINWVESSAWDGRNAVVVAGDIALYKKG NARPTGGAGCVAMLIGPDAPLAFEPGLRGTYITHAYDFYKPDLTSEYPVVDGQFSLRC YTEAVDACYKAYNARAEACKPQMNGSAVINGNGVAHGEDSKFPLDRFDYMAFHAPTCK LVSKSYARLLYNDYLSDPSHPLFADVAPELRDLEYDASLTDKAVEKTFLGLTKKRFAE RVQPSIQVPTMCGNMYCGSVYGGLVSLVSNVAPKTLQGKRIGVFSYGSGLASSMFSMK VVGDTSEMAEKLDLQSRLDARRTVAPEVYDDMCVLREKAHLKNNFIPVGSTDTLAPGT YYLTEVDNMFRRKYEIKA EPUS_07106 MASRPQNIGIKAIEIYFPSQCVDQSDLERFDGVAAGKYTIGLGQ TKMSFCDDREGKRARHRVWRT EPUS_07107 MPAFILFKPLLAASSLPNWEKVAEEGEFVSTSAASQRWGRLYKI LIGDEKSSTPSKGKGSTAKNGGGNAKQKSTTKKKASTSKTSKKPVEEESDEVDGDTTT SPGVVTPKKRARNSNTGSDVEEDTPTKVPKTEVKDEGDD EPUS_07108 MADASPPHRSHSNVQQESPTIPVFLCINVLRLFRIHIRSQRDLG AFRDPPTVSLLGLSLFLWGLGLGAIIAAPVSEYYGRRIVYLTTVPVFGLFILGSGLAQ NFATLAVCRFFAGFFGSAVVSVGGGTNADIWQPSLAGLVYPFYFVYPSLGPALGPVIG GFVSENADFRWLEWVILFVITFNYLYSLPQSETYKKIVDACYMKYQGLRRHFFGHDGQ RVAYFNRLVPQDNASPTPDLIVDSLNCREVREAVEQWQPELTIVSGTKYIGRKLTERA GLMINLHIGHLPEYKGNHCIFFALYDGAVDKVSATLHQLTLQLDGGDILDKVFPSVLP GDTQETLYSRCIHMAMDRSGQEERFGTATDGGQTIGMTQSVCMVTNHAGRVVQPPAAI FQSESFSDVRAAGILQGFRVARVDKT EPUS_07109 MTSGSSWPGGSLAKAYSLSPRNVALHLDVGPTLLLLSAVATSEN TSRTNMVLSFILIQNRQGKTRLAKWYAPYTDEEKVKLKGEVHRLIAPRDQKYQSNFVE FRSAPSASGSTAIARQNLSSTKIVYRRYAGLFFCVCVDANDNELAYLEAIHFFVEVLD QFFGNVCELDLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE EPUS_07110 MGFGLSDIISCATLAWHIYNIGFSKYESAKQEYADFGNDIRRFA EGLASIRDAVEKAQEQMPSLRSHEWDLSTFQSIVGDFAGTTKQCEDLVLRNSKFERDG LGFVRNISWSLGLAERVRSLRIRVSFHCSKVIFLLKPLELKIYADIQQRIILMHGDLV GRLNEIKGLLLGDVREETGTTRLPLSALPLVPEYLSEKFKATAMDTRPIEPFPLAEGI DAVAFYFEESTRKFRSFAPLMLTPEPTQYLNLMKCVWIIEKIKSHPSFDLACKDILWK TYVHELESKIISETERFATAESPLQAPQPSDILREPEGNFNIWVDFGDADPFPTLTEP DGFEQKIFEAPLPDVSQSRKQDIMVFRLDETRLRLVRVVTSTISKHKEVEGHEIDAQK VHFVPFYAFPKKSDSALSIGWRATGCDQRSQALTFNHISHLHQLQQAITNFGVVHDSE GVAEVRIKESSFMSGIKTIGTSGRVQIWTHRAPQSPLRFPLVADTVLSHGSSPQDSIS PSSAQRAISPNGAGHDSTSTEFSSQAPSTDSWAKTYAASENPSSEIQQAGRRALLRYP VSSQIVIFAASMVDGKETTRFISIPVTEITRLSPGNCDCNKPSKSCLRIVIKCANKLD VKIYDTEGHDERWNLAVLRSPEHIDVRQGLEVIKGAKFVTLDFESAENREDFTNAFET ASQIMQNRVESYWRDKGKLSKQFVKPHYNIAPR EPUS_07111 MDDSGRSTAAGRKGPLFNQEELARVERARCASHTVVTSRPQSLG YLSIACIIINKMIGTGIFKTPTVVMQGTSSVGIAVIFWVLGAVVAIAGVLVFSEFGLT VPRMQVEGQHEKESVPRNGGEKNYVRESPLLVFLTSNSFWKLEYLCPKPHFLATCLYG IPFILLGNTAGNAIVFAENAIRASEREPTNVEVRGVAIAVITFACLIHAVWRRGGVYL NNVFGLVKVAILLTLFFVGVASAAGAIKSSAASSGSVAKENFDPATSFKDRAVGSYKY TEAFLSVMFAYDGFNQANYVLGEIDDPRRKFKRAALSTVGFVSAFYILVNITYMLVVP KSDQNKTSSDVATSFFQRVFGEEVASRVLLVLMAISGLGNVLVQTFTAARVKQEIAKE GILPWSAFFARNSDLRFFRRGPRSRSQTHAGETPVGAFLLHWVFAVALIVATSAQNPS DTYRTSVYLYSFTVDALFGFVVGLGLLYLRLNEARTKWSTKSASKPWLSISAATIFTL GNFFPLVGIWIPPGSGSVFVPTANWFVTGTVGIVVVVAGFVWWVGLYCMVPRVRGMKL EVEKEEVLDNGYGYWIMWHEIVRFHWVVK EPUS_07112 MKLKGRESNAWHKNINVAAGPGKPTDVDFPWIKLRNHTGYYLKK KGFLSQCQTDERLLDLIRNHSSKGVAIAACAHHITTRALRNLVVNDLKVEPNGAFGLH EYSQAIVATNHANPEAVSDIEAQWARYLSENPAHSGSSRTLGQQLQDMLPLLLDQVAS GLLLQTETNSLACRVCLGFATQLEVLRHRNRWEDAYVAAGWLSTISSSGLLLIPNLGA GQLLNTHLPTWEAWAAWRPSVVRLHAWNDYAVRAPLSLGDLLALEGPDFASMIGSAQA SLRNGLIAQGIGGSQTTLHWGNSHVRFGRNPFIDSGNLNGILDRLTSVVDFACSAGGD YTALLVHLCVGKTITHENLQILEETRLLDKPPLTRVILQAFTLPSQYFVSGIEEIIEL LLALRDPRLDGLRERIKGYPFDWIANYVRKLQNTFLQQIDAENGWKDSARELLTFYYR LQEQTWLVAEFDHSAQDLIASRPPWVTIETLGVIRNSIWSVTSSAATPLRSQVDAYCR ALLVPGWTVSPHVRGLVEALIDLWKQNRDEKRLELALLVADFPNTSCQFRCDCLGDLT TLSYPLVISTLRVLKFPNGDPDTGVFTLIRLMASENMLSALERWRQILLVVIEQQHET LLRHAVTNLGAEMWLELLNCIRAIYKGSEVIKERDSPKLLSLEVHGWSQQMAVYLPTL TRLQSVLKCGPAMEVLLLGLSAPKNSQLLRVLNFVKDSEFSHHGRLVDIIIACLHSGN AEDVEDVLSAIRQTSSRGAEACLRVLGSRHHESPRFVEIVLASELRAGDHSQQDRLAL TRVASLFGISLNIERHPSHLTEVADTLHKQYLQLMTEAQRLENLRLSLRAVCPRDVSK LLAKLRIEASTIVADTLASLPQSLGSLVEEVSENEIELRFPAAGLTRMQRLGIGAGDA EDFLIRLTLRHDGVPIKFCVHLSGESSDQMNSEVTSKRDGHTAWEVFKADEPPHKQYC RGRPNRGIYQLSRMLWHHLRRNFKSLQQTHAHIALKVSRLGQGCLVCGLGQRCLRRGT TCSLQSCKSTFSEADDEIQLVEIWQDPPVMDLLLTMICAAALTGKPELLGNLSASNAT TVLGILDALPPISTLAKHLKHCLNVFGDSFHLAQALLGYCNPSSKSTSLACWLRWACN SYRGFLVSAPRQQRIPSFGIHQFLLVNAAPDLEIAFSRHMPAPESTSQILFHGTSLDR LHAIVCQGLRAQSGTSLQRNGALYGPGIYMADEPRVAWSYATTSKGGWKSTKLKNLRV LLGCELAGQKPPRQGSGIYVITDATRLAVRYIFLLENDAQMPAAKNADLRLDSRTAQI EQKIDGLVALLAQPENARESLQSRRSSSVPGQPHHEMEQKTGLSTSASANAESRQRER ESESAHNDSSPFAQHHIVPRESYERGVYPPEQRIVPSANSPTARVATVNERAPAYSSD KDLVDIMCAESLLNDFRPMSDLFPFVVISHGLTAQTLRSEKPMLFLAILVTASGKRRS LQITLEEQYRNELATNTIVNAQRSLDCLQSILIYLAWWVSFFPESQSFCGSTLLLKVG NRYHQHFKPQAQQIYQLLQLAISMAIDLGISHKPDKPVMDISAGNKTASVSPNEEREG QRAFLGCYYLSVAFSVALSRPNMLRYSNYMADCCKRLEADQEFPSDNILLHMIKLYHI GDQIHAAFRSEDAGAVHADHMHADHTRSRMLLQMFALQLKEWKRHLPSDTRRSAAMDL SYAFVDMELHSVGIRMGASPASSILPSNTPPANRYRFDLLLSCLEAGKAYLDTLLATP ISHYSLFSFIEWMRLPYVLIIVSKLSFPSDSYADTHWDIRTAQEQVRLDLYLESLCYR MSSITTFNAASQPLPDFFLSLRMILERTRHWYVRKTRVASVGDAANTAATGDLADEDS PLEVIRDPHEGTTTGAPTQHHSPAEQTSEPPGKPAPVPTAGMSEATQAQVEATQFPAM AGFEEMDDFMGNIDDTFWTSRLFDPAIFPEFELSS EPUS_07113 MPRSWPGPRLASGYWSDESEGKQDDGEEMPYLEPDLVLISELLE NIERSPPALEARVLLMQLYVGCGWHDAAKEEAHQVLAIDGSIKEAQIYLGDRCKASLR RDDGGENRKATAKPASTGTKSKVKGKDATELRHQRAQPPTWRPRLGKITSPAVSLQKL EDGYVALMRNAQLLLGETKLLEDLNVPHCEEQILDLAAMAEGHLSSVVRARRLQSVKI VAKAIVADSKDGVQNGLNTAVKDLDDVARWLRKSKDTAEASGKGMSRSTGNDDKDEIR EALVKRAKALKALLPQPLQPLADLAMMHAEHEFLNRKYVNDETMSFDPISSIPRANFW TSEDGYAWDMEELAGAIRSGKGVMRNPLSRQMFTRADVRAIVQHPLGNGLQALQLEQS KLKHGVRPQTIDELDTLAKVFISDMTENGKPSRVAVEAFVSYLETLPSHEQEAIDKLK VPAKDSYTGMPFDTTIGEAVEDMQGNRVCLHKTGDFLAQAVRYLR EPUS_07114 MAAVAPPQASMSAVPSSTESVDVRQFTALEQKVDILVAQLQQLF PSQARPGQFNLKKQKPNALIGGPIPSLPLSPDISLPVTPALEAQEPTVVVPERPSTKI ALQILDIIQRYGHNVGSKNAPGPWTGKSKFVAPVEAHVLKNEPVQMVLPAFPFKSPNR KDKTLGNMPDLGEELALMHLNGLCESVAEIYEHGATVVIASDGLVYNDLMGIPDGEVW DYSTAVRDIIQAKELHHIRALRIVDLLDHPNTENLTREEYLVHAGCYRRELIAKYSPA NFDAREAVKKDTDICLTYRGYIKFLTKDLKYSHVAEEAQRQENPKKRYKDAIESLALK MITRGKAFAAAIESKCKDHVRLSIHPSVGQKKLSVPLIPQVDGAIMTPWHSSVAVGID GSFKTVHAGDVRETHDLVLRNGRPYHFREKSDLWDFGNIQVEFEHLYPCGLIIRPAPE LESKPSLRDVDVTKLRKLAEVQSPVVLRGFADTTDRELFISKAYEMGTVLPWTFGILQ EVKDHGRNDKDHNNVVSSEAMPMHYDGMFKFVTQKDEEGNDMKDEEGNEIKVNRPPGF QYFTSIATAPKGTGYTLFAASRLFWQYLPAPYTVERLEAVKWRMDNNGFWNAKLQDLP LVVRHPKSNAPCMRWHQPWDATKTKFSTCEVTIQNDSQDIVAMVDQMLYDRRVCLRFT WEQGDILLSDNTAMLHTRTGFTGDCDRELWRIHWGGGWAGGTPFYQEHDKSKLDLVGI GMRWASLEVLQVLR EPUS_07115 MAILTYFGGVVGALAILLYRLLRIPSNLPKNIPAVPIYISLLGL WSDMGQDEIYDRWLRQPLEKYGAVKIWFAGRWNLLVTRPEYLSDMLKHEDVFAKAGSQ KKIPWSVISSLVGDNIINSHGDDWKLYTSVMKPGLQKTNFDSKPILDKSRRFVDILLN TQKDIGANNGFLVNPIIQRFAIAAMGESFLDIDFECLERPNARIEVLQSIIKRTIFKP LYFNFPVLDMYPYIFRSRRRAFAIMKEFEDLLYDLVRNRPRKLLRKEPVKPEDELVVH MMERALDEGIINEQQFRANLKIVFLTAHENTQQLLNSMFWQLGSDQTIQDKLRAEVLA TGVTNPTSETLNKLPYLTSLICELLRVYPPVSQLINRVSLEPYVLGGEINIPKGTWVG WNAPGVQSSEAAWGKTARQFIPERWGDKPEEIMAKARRETVKGRYIAFNAYNRKCLGQ GYALLEMKMVLFELVRRVKWTVDPQYRLKLTSGGILAPLGCKIRFEELDSSRLNADSV T EPUS_07116 MATAVTSNATEDSQAKDLTPTGHVASKPGPPSGPMPQYTAFSPG RQRFILGIVTAAGFFGPLAGGIYLPALPTLREAFNASATVINATVSVFMGVLAVAPLF WGSQADYGGRKPLYMVSLMIFIVANILLAAVPANLAALFILRIVQGFGAASVLSLGAG TVADITAPKGRASAMSIVLLGPQMGPVLGPLLGGAITGSASWRWTFGFLAITCSAVYV VLVFCLPETVRSLVGNGTLYKDSSWIVRPKWRQSPVVDPAKFPRPPPPTLLGLLKLLR YPPIVIVSLNSAILFAAYYAMNVTYPTFLEDIYGFSTTEVGVAYLAPGQPAALNFPFL SPSLS EPUS_07117 MATMDALMVEAVGQPIVKGKRPIPTPSEGEILVKVTVVGLNPYD FRVRDWGLYVEGRLPVVLGNDIAGTVEKLDQLPEKPLGPMRPARILPARRLQLRQKIP ENLTDDDGASLVCNMVAPFWAIFGAHGLGLPFPFPSEEDAAQAAFDYSSQTILILGAG SNCGKYGVQASALAGFGTIIAVADARRNGSDLRSYGATHIIDRHAADDDVAAQIRSLV GDELVYAFDAVNVDHTLGVRCLSNTKRGTLACIVPGKVDEASLAGAKKAGFDDKFIQG QSSNQPQLGRKFWDWLPVWMREGRVRSTGWTVLEGLDPVKVNAVLDAYRDNRWPEKQV HVHL EPUS_07118 MSPPPTKEKFDAYLKAFNTHNASDYGQYYAVDFHVHLPGVPPTK SRAETVALFETGLSFCRETLHPTWLQFTERSVAMEACVRTEALVEIDFPFPFTGKTYK KGEKFTYPIIVHYEYNDDLFITTFRSFSEVLNPDPGYGIAKEVLPGYD EPUS_07119 MTSPASFTDFAETISANARIIDEFLNSNSTIPRPSFAPDGPIGF PCPPQITPIHAAREALLDASKKLYQLALGPLESLFELAVRCHDSACLHAIYRFDMAAK VPVDGEKSFAEIAEAIGVDEDRTRRVLKFAMTNGLFKEARPGFVSHTSVSALMAQNTM GVNAVVGHVIDDTYPASCKLADTMEKYPVPKNRLIETPFAMAYQTGEPFFDYMKKHPH RINRFQEAMRAINNSGPYSGETLAQGYDWSPFESGTLVDVGGSSGHTALKIADASSIS NIIVQDINVKEVKNAQKGLEKKYEGRVRFMEHDFFKPQPVKDADAYFFRLIFHDWPDQ ECIEILRNLVPALKSGASILICDSVLPEPNTVPNRLEKEARICDLHMLSLIGSTEREI GAWQSLFAAADPRFKFIGAKQPSGSVLSVVEAKWEANGALANGGDTNGVNGS EPUS_07120 MSSGNEPNGDVGEREKVLPVASEAETPGQKTERSTKGIKWFLLV VGILSSAFLFALDNSIVADVQPQIIETYPGSIDKLPWLSVAFALGAASTTLVWASLFG SFDYKPLYLIALVLFEIGSALCGSANLMDVLIFGRALAGLGGAGLYLGVLTLLSALTT ASERPVYVASTGLVWGLGSVLGPVIGGAFAESSATWRWAFYINLVIGGLFAPVYLFMI PSVDPRPEQRTVQKAAAFDYAGSFLIIAAFSLGTVGLSFGGSLFEWRSATIITTLCLS GLLFVLFGIQQCFCLFTNLEHRLLPVQYFKSRTLVLLFVQSAASGAPIFVPVYFIPLF FQFTRGDGALDAAVRLLPFVCFLVFFSLANGAIMGKEGHYAPWYIGASIFIIIGSALM YTVNETTSTARIYGYSILLASGAGCIVQIGFIVAQAVVPRSEMSAAVAFINLAQISGL VIALTLANTIFLNDAQIQIANILPNASPDEIDSAISASRSAFLQSLSRDVQQQILHAI VVSISKTYILCMTSGALILVLAFGLKWERVFLVM EPUS_07121 MAKQLPEENISEQHDVVIVGGGPVGLFLGLNLAQKGLDTVVLEA EADINQSPRALMYFPIVLNEFEKFGILDEVVRAGYKNQEGLCFRTTASGSNKVLASIP PGKASQGSIDYGVQLGQPRLAEILRRHAQKYPSFSLQYNTRFVGLEEEHDAVRLETST PDGKTKYFSARFVVGCDGASSPVRKALGIPFEGYTWHDWRFLAINLRYDFTKYGYPAA NHVLDPEDWAVIVRASNVEEGLWRIATGISPDIPVEEIEKHIPAKLERLLPGPRPLQY ELVAVNPYWAHERVAKTYRSGRVILCGDAAHVNNPLTALGLTTGLVDVAVLSRLLPQA FTPQHASSWPRLLDKYSTLRRNDFVNQVQKLCLDGKLRLHSTDPKVVAAREDFFNMLN KNPGFGDFVAGTMVEKLPDDLDPSLA EPUS_07122 MPSTPQSPTKCPRTATSEAQDHRDPGEEFLQVAGLVERRRLQNR IAQRNYRNKIRDRLEALEALVSSTDKAEVLNSRTAKPPSNPKRSLSGNVSHVKSTEDD TRPAVQDEPPELPPDSSDPWGDMDDFLDSSARDLDNQLQHINPNASQHNSLGHYPHLS STSPLSFTDNNDNPHSHPSMANIPSPPMTSNTSLYMPHLESAATPRDPSHPSDPTAQI SQTEISASQNSSPPPQGAFNYALSEPYITSSFPLYPYPSRSRPSTSSTIPGPLRHRTP SLISSAKQTANFDTTTKPHTHPAIHQQQQPQSYFQPPLLSTQRSQHPHPNQQQQQHYV WIPVPVPVLYMPPPCCPPHSTTSAPIQQASMQQAGLGQSSQPRTGYHSGGGGADDR EPUS_07123 MPDIVKKPGWNRQFKSHDKVNKRFMTGTEAAEPLFVTGSTPLAG PPSPPPPPPPSPPAAAALVGLECILDTPPRGFAAGALVIPITTATTTVDRSFPTPGNE EEEEEEEEEEAIDEAFIPPPSTAPAAMTQSRAGRKRAPTMKALEAEMAPKRGTGQGRG RGRGRGGRGARG EPUS_07124 MPYGALRSSHARAIDYSSPSGPAVSASPPSDVLVKTPGADTAFR PAADSPSDSRLSKALKVRHAVERARHFVQGRHHELVKSMNKLERVIMTTWLYQYWQFS PITAKYWGKGPRNWTAGTP EPUS_07125 MAKALDEIAEIAEIMENPENVNSSEASAMDTKVGAAVTILVRSL HPRLTRQIGIGSAHNGTVHAAEPTAIDCTVEAIQEEATDQTLEETELEKRHSPSSVTA N EPUS_07126 MLATPPPSPSYSASVAPENRLGCLLAGRLELTSILGIGAYGVVY TAIDIHTNIPYAVKALTKTGLNARQRRFQQREIKLHHKASQHPNVVSLVRILDNPDCT YVVIEFCPEGDLFSNITERGQFVGNDAQAKHAFLQVLDAVRYCHSVGIYHRDLKPENI LVTDQGNTVKLADFGLATTEQFTSDFGCGSTFYMSPECQQSASRSSYCYESAPNDVWS LGVILINLTCGRNPWKRASPEDSTFRAYLQDANFLRSILPLSAELDSILRRVFECDPA KRISLQELRDLIVACPRLTTSAYDTLPPSPVVQPYDALDPHECANLALPSSPPISPRP HYCRTQSSVCSLLDPTSKQSSLCSSSSDGSEYHFDSHCPEQTPFVAPPFNFYGNVIPY SDVDEKSFCHQQTFTPTLVPAY EPUS_07127 MDNDKSASLDKDNSSETSEQWYSLVQWDEVPHWQQDNHYIHGSY RRPSGSYHRSLGSLFYIHNETVNIYTHLLPALAAPPTALALYKILQPRYVQATTRDVL AFSCFFLGAAICLGMSATYHLISNHSPAVNKFGNQLDYVGIVLLISGSFVPSIYYGFW CDTTLQRFYWTMICSLGAGCTSISVMSRFRTPMWRPFRAGMFVLMGSSAVFPVLHGLR LYGASQMMRQIGLSWLVLQGGLYILGAAVYAARVPEKWSPGRYDILGNSHQIFHVLVV LAVVSHLTGLLKAFDYRHGLQGGICF EPUS_07128 MATTPQLTRKSSTPWERLKPAKHDPLQSMGFVSKGDTRLLDVKV QESFYNKIVARYMQFCTHHSTDMESAFASLSLEDDVSASSDPARNPPVAPVLQGQGRP ITPSPPKRVSSSPLQGGAPSTPPPPAQELSIILLALRKLREALLATSSSAPSPIFSQR VHVFCVRLAILAFHPPSYHPPLMHLLFVLHTPRSPLPAPELSEMTTYLILDLACRQQE LASAFSLRSNSRLQKDYRSRNVDEIIRAVVTSNWVAFWRVRRNVDGYVRALMQWSVPS LRRNSLKALSRAYLSCDLEWVLQSATGAEMSWEELVKIENIGWVLEGSKVVVRKPKPK SNP EPUS_07129 MKYLHDLGNSDINLAGGRYGTALQSSCVAGHLGAFNHLIEWGAN VNAKGGEFGTALNAAAAKGQEVMVKCLVNRGASSAFTDAVERTPLFLACWNGHLEVVK LLIEQQAEVDVKTTDGRTPLHGAAWNGHLEVVKFLVKQQAEMNVKTTEGRTPLYEALT KSKIDINSKDAFYRTPIHLAISHGQFSIARLLFEHASNPSILDGYGKSALDWACMNPN IFTLMWEWRSIYIPTSQMMQIQSLHQSIRQLSERLLETKLKGTSPGFYELGHCLMFLQ EFEQANFSFQQQVVEYPQVDKLRACQNHEFLEVSCPNPPILETKSIKIISNDVEEWLR RLAARYPE EPUS_07130 METVSLAAAVPGLLTSCLDLIERIDSYKSFDVHSRQLLLGDKLS NEHHARLDYPEVASVVKTILSSVCEIFQKSEHTRTRLRLQPEQANPVASPWPVFTRKN TDMNKAGRNASSAKIGIAWAVKYRGQFTNQVEMFEVLVDKLYELVPPNRGEGQIQKRN DHGDRIDAITNMNMFSNFQRDLDMLMKETRRNAITQAYKAIDEWLDATEFDQQYDKHV SARLEGTCDWIFDHPAYREWISMDYLDKAAKFFWICAPAGHGKSVLCARLVQRLAETA AFPLAYVFSSSHVQAGGQPDGIIRSWISQWTKHNQIAFDLVRDNLQSGKVSRRASQAE IWVLFETVVSQVESCTCILDGFDEYNQFDDNRRDFLRMLKKVVAGTRTRCLVASRGET DIELELYCAAGSSGKQTMLECRFSKNKIQADIDLYSKTVVAKKLPSKDESFQQELATH MAKKCEGMFLWIKLQQEQLRGGKSKKELRNIVKSMPSGLAQSYARNWTNIMNLSETDR HRAISILRWTTFALRPLTIAELTEALIVEPEIGSANLAVDDLPEEINQEYIDDEIKSL CGSLVEIRSAAPTTPPGSQTIYLVHVSIKEYLLSVLPAPLGLETISTSIPWDAVQNID LAKVCLRYLNFKNV EPUS_07131 MALDSDIIERFFAEFEQLRSQYAVDSQDIYNMDETGFQIGQIAS KYVVFDPAMGGPAAPASDNTQWVSIIECMNTERATEHHMFPEHEELPDVIWAFSPKGW TDEELAVDWLRRIFVPVASKQGKHTILILDSQKSHITGEFQYFCLENNIHPLYLPAHA THKLQPLDVGPFSPLSSAYSRAVEEYAPTGTTALNRSIFIVLYVRARQEAFTERNIRA GWRRAGIWPTNKQKLLDDPEIQNFGRTTPEYQPAPVKEGPNHLYSTPKKLNEIRELQA QIEAKVTPRTQRAVRKPSHAAIQEHAGAQLLQNELNNLRKQSHQQEVKKRSKRMVKER VQRSWNLEEVRAAKEGRAPSRVQITHRTEDSLRIRILSDRLK EPUS_08845 MDYLKDKANKAVETVQGKGAEANAEADKEAFKGNAPGLGLKDQL NAGKEYVVDKKDQKSHEAKADAHDAKAEAR EPUS_08846 MAFIQPRVVFRMEPEKPVILQALRNISYGHAMQESEIAAIRTSL QNVLDWIMPALKLATPNGSAFLSCFKSSTNWPVVMAILRRCEAVIKYCLGHDGMEYVQ QWLGTVRIIKGNAPKALRKSCRFAAAQAEKTIWLYEDWWEAPSLRNTSGVRPKKGHGP KIVDALLNAFMQTKEGTLLHEVEHLSSIGQMKRLLSLAYPHGRLPAMLWRGRDGVIDA VLHEHVNMKILSESIINAKYCRHGKGGDAEWEAAYDLDGVRDWACLERGDLASVLNAD SYNAFAAMTLMQRVESTFANARNNLAERVDIPNLHHTAANGLRQAVLRNASGENLALK GDKAVRDKQSQPKYSCLHSIAARNRDGRFSDLHCWPTPREAATQYFCFRKRRRRAYGY EPUS_08847 MKSRFVLLNSLVPIEEIRPGGLVSELLSPQTNAFAGNLPPESTD VYIDENDNFGVLLANSSEGAFRSNLTKLLRTSSTIGNEESVQLSSARSRSIELRQPRK VFIQLCKSSEVRQWLEDGVREGAKSYLVVGFPVSTIASGGADILGLGAALDVGVGADH SRSHSDSVYFHARGEKVWAIRYQKISFKLFKHRSTETAYLEKPRWVMLSKNRAASTGE EEVELDLDDLEQDDIEENGLVNSKLKGSEGNESYFYSRDNFKITS EPUS_08848 MAKQVNGGENLLALSPDDQAFEFALRYQDISGQYEQNGDPADLD EAIKQIEQAVDIGKGHVHTAGYLNNLGRILRLRFERTFQDEDIRRAVDASRRCLAVMI PQSTHKPLFLANVSDTLAVRFFQKGLYGDLEESLQLARDAVEASSAIGQYRGPALEAL ASRLNELHLYDGGIQSLSDAISIGRTLVDEITEDETAHAVYLNNHACGLSSRHSMLNH IEDLEAAIWHTQKAIEKLPAGHIMRVRISANLNRYLHRRALQTKSLADLDQGIDGNEK LLRDIPFDHPQRPIIMISLASALRDRYRQTGSLEHFNRAISLVEQATAIKQMLPNFRA TALLIQSELLEIRNEPGDLATALTLNRKALKIPVGCLMDRINILVGLSNRLGTVFSST GLLSDIEEAISLAQEAVDLVPPSHPGRPGWLHNIAQHLRSKAEVAEPAECLGKAIDLE RQAIGSVGIDHVDLHFLLGGLSSCLSARYRMTGTTGDLEEAIHAGRGAVAATKKGSTD HLAYLNNLCNRLVTRYDESKNPADIEDAIAAISEALTVSPNDAVYVSTLGNALFRRYE VAGDKKDLDESICYAKKAIQLHPRTDVRGIGCLHNLGLRLAFKFTLSSKKDFEALESA LRIAQECVDATPTGHPALSGYLYQLGLCLTHQVQRDISIDRLEEAVRAYDMALSAFQR SFSAAGATPRARIRAGKALAAGLLGNKNWAEAYQVLKVTVELFQKVSSRSLAGEDQQR MLSGFSGISAWAASAALYAGLGPAPALETLEAGRGIISSLAMNARNDVTDLASVHPGL ASEYEQARAAIASAGLNSEDIGEAGLKAHMCDLAKLQQQKVARLEQVEADIRLLEGFE RFQLPPTSGHLVDLAKHGPLVCFNVTCYRSDAFMVTSYGIQSINLPDLQLATLEANVQ RIMGPDRLSKSSILERSKSNKDMRLILCWLWEVAVQPVLHKLELLAPDGVSQLPRLWW VTSGYMGLMPLHAAGDYEKENSKDCTAHYVVSSYISNFKALVYARNREGKGRHLDTKH LLVVSMPTTPDVRWKPLNVAGEIAAIERSHRGTQRTQIELTLPSARDVLERLRSHNIV HFACHGDPDAENPSESSLILCRDPGAIDRLTVKQVSEITNTEAQLAYLSACSTAQHYS SGLMDEAIHMASAFQLIGYPSVVGTLWEADDSASAKVAEAFYEQLAIVGDHVKTSDIA ARALHFATLTIRDRRRGRVRRPDHDAIGWAPFIHIGA EPUS_08849 MPPPKGTPNILEGPGDYEVTSTIHNDTYPAIHPANVSFAGKAVF ITGGSKGLGRAMALSFAKAGASYIAVGARSDMSQLAKDVEAAAVSANRSAPKFLPIKL DVTEERSIEMAAAEVEKEFGKLDILVNNAGILGKHGLIADSNPEEWWQVLDVNVRGPY LVTRAFLPILLKGQDKFIVNVTSVGAHLVNPTLSAYQVSKLGLLRLSQLIYAEYSAQG VVSFCIHPGNSPTDIMGGPEGVADHLKPVFVETPELSADSIVYLTSEKRDWLGGRYIN CTWDLPELMAKKDEIVKDDKLKVRLVY EPUS_08850 MTITNLLETEDRTLHLPRLLCLHGGGSNARIFRAQCRVLRAQLR CHFRLCFAEAPFPSQPGPDVLSVYGEWGPFRRWLRSGPEHPLIAPEAAVGMIEKSLHA AMREDDRQGATGEWVGLLGFSQGAKMCASLLFRQQVRTEKLGKQGTGSNFRFAVLLAG RGPLVSLDPDLVMNPALVDASQIGLANFPDQKCLERREHLLRLPTIHVHGLQDQGLEL HRQFFARYCEGGRGARLVEWDGNHRVPIKTKDVAAIVQQIFIVAKEKGVLKM EPUS_08851 MGHNQIRFPQVNEHDVETAMMFSTEAADPDGERRPLLAEQGKDP HLVVLTGPHDPLDPLHLPPWRKWFCASILGAMTFAATFSSSVFSAAARVTAQEFGVAP ETMALATSLYVIGFATGPVLMGPASELYGRKTPFFVGYLGFILSQVPVGLASNVETVL LFRFLGGVASSASPAIVGGYLADFFPPIERGVAVAIFAATTLIGPSAGAIIGAVVVQS SLGWRWTAWFSMIMGVVFGAVGLLVLPETYVPVLLKRKARQLRFKTKNWALHSKLEET PVDFRDFVVRYLRRPFLMLCLEPILLLMTLYISFTFGMVYLLFVAYPISFVQQRGFDP VVGALPFIAIIIGIIIGSVSVVYYTLTIMRQKLVQNGQVVPEDRLPPMIVGACLLAVG LFWFAWASSPTMNPWPQIIAGVPIGIGVQVVLLQSLAYLIDIYTTNANSAISGTVIVR SLIGGGFPLFAVPMYQRFGVFWASSFLGIFAVLFVPIPILFYFYGAKIRSFSKYVPQL EPUS_08852 MNDLARYQDVIGQLSRLKTYTHVLLCFPMPEGVSRDSVAKLLET AALKLTSTFPWLASKVINEGSRPGNSGTFKLAPCSLFAAPNTVIRVKDCSRVCVSYVK ITQARGPFPLFDGKILGPVKAFPEIYEESESEPAPVLVIQANFIQGGILLDAAAQHNF IDGGGLFQCMRLFAKAIRGEEFSDFETQQGNRDRRSLIPLLGPNEPMLDHSHLRRPPL SEYPSQQQSPTTSRPPAAWYCFRFSAPKLAQLKGLANDDISRGGCDPSIPFVSTNDTL SAFCWKRVGAVRLHRRQRPDDLSKFSRALDARRAMQIPKEYMGQMGYNATCRLSFREL EKASLGTVASILRRTARDVNNEYSVRSWVTFIANEPDKSSIMFGGSFNPDTDIGLSSL AHVELYSAEFGILGKPELVRRPNFHPLESCVYFWSQTEAGDFDVLMCLNEADVQALKM DQEWKEYAEYIG EPUS_08853 MFFHVAFLFILPLLAASYHQNASDIHALFGPSLSSEAEILLPSY ANYTEEVQQRWSRWAEPSYIGAIKVATVQDVQNIVKIASANKIPFLATAAGHGASLTY SAVHDGIDIDLSYLRYANLDAPNNLVTIGGGTKFLEVWDELQSAGKEIQTGTASCVGT LGATLGGGIGNLQGLHGLMIDALVSVKLVTASGDLITVSGQENADLFWGIRGAGFNFG IVTEATFKVYDTTNNGQVMEGDLLFPASANRSIWEIIKSYDDTLPAELSLVTVVTYNR TAGEPRIILNVVYYGPQEEAMPYLEPFLELNPLRTNITMVPWNRLYAALFFAAPSTAC LENQYLNIAGQGLRQTDVSTYESFFADVATFYRQHPEINGTFVASRFPNQAVLAVPDE ETAYPYRDIKMHLLYQNIYPNNSTLDQIVDSFLVSSRNKFQTTSGYESFTMYGNYGHG DEGPGVWYAPRKLKRLARLKRKWDPDQLFNFNNPLPLHWS EPUS_08854 MTWSESRPGHYQRRIGENEKFIKAIGDRAHASGREHWSITSQAS FKVTEPLQGDDLLTKLSRAWKNLRFEHPSIASTAEEETLNYVVPDTNALDRWANETFF VHDENISAGDLIASFKPSPYMTAHYIPKSSQLILHTAHWRTDGFGALQLLNAFFEAFC AATSGRDPSSLPWGEEVGRLVPSVEEVLNLPITVTPEIKATADRYISTLAHVTGAVGV SYLGDKSTLPSGTRSARLTLSQPTTRAIFEACKARDINVLSAVHASVAAITFAGAPAD SKHKHYTSTMRFSLRPYLPEPYNTPRFASGLYTGGYMFRIPASQSWTENTRRYNDEYH LGVTREFLLARRQYALDVQSLLRKNPPVDGPPPSEVDISSVDDAELLVCPVHKSGEED GGSVEILDVSIGVETLTRQMYCFVWTFRDQMKFNLVYNEAFYDAIVPLELLAKLKEVL QTELHI EPUS_08855 MALSTTATSTSREHSLEQADPVRQQEHSTAPSNDSSQKYTHDVK QQPTDQVSTEEEHKWVTGVPLITIMGAICLVCFLMLLDISIIVTAIPQITSDFSSLQD VGWYGSAFQLASAALLPLTGSLYVNFDSKWTFLAFFAVFELGSLLCGVATSSEMLIVG RAVAGMGTSGIQNGAFTIIAECVPMPKRPALIGFVMGVSQLGLVVGPLVGGAFTEYTT WRWCFYINLPIGGLVAAALFFVKVPRPVAKPKALTVFRTLPKKLDLLGFAIFAPAAIQ LLLALQYGGNQFAWNSATIIGLFCGAGATFVVFVAWEYYKGDAAMIPLPMLGKRTVWA SSLVYGFLMSQMFTTSYYLPIYFQGVKDVSPTLSGVYLLPMILSQLFLAIGAGTLVGK LGYYLPFSLLSGVLLAIGNGLVSTFTPGTSTGRWIGYQILLGAGGGVGLQMPIIAVQN TLPPQQIPIAMALIMFSQTFGGAMFLSFSATIFTNSLNSLIAQYAPLVDPETIIAAGA TGFWNVVSGDDLANVLVAYAESVARVFYLTASAGVCCFVFAWGMGWKDIRNKENEASK V EPUS_08856 MTLTPSITSFLGQQAANYAPAFTLANWYFAYCTLSPRFAKMAVG LDHNSAPREDLSKYGEAAVQTGKLSRRRLEQIKRLQAAHENSVEGFTLFVAAVLFATQ SAVSNTAINGICIWYTLSRVAYSIAYVLIESERLTALEGRLVRQQNAASFDDLVDETV ADNILTEDLIQSPSGIPTPDDEAHSQGPFLGMKTVDSLTGLPETCISDLMQADLTQLY FDRVHSFVPILHQRRHHSWNRQPAKSESRTCLQYAMWTLAASVSAQFENVGDSLYRDT QRMLEVVESKATNIESIDIEQVQACILLAIYDLMRTDYRRGWMTAGRAFRLVQLMRLY EIDVPNSMATQTDWIETEEKRRTFWMAYSLDRFISIRNGGPLTLSEQVIVIRLPAPEM EFQSGQPTLVGFLSEAITADDQSAMSPFTECIILATISGRALSHRHQSLVENVYGNVS QDFWDRHQWISTILTQRIQILSLKYPHASQHVDPMLLFTSMVAQTTVIYLYQTMKCVS PATAENGAVRMEYERRSLVAAQEIVYLTKTLAQLSRFKARPLDLLLS EPUS_08857 MAEEKAIEIAIIGGGIAGLTLALGLLSRNINVRVYERGRSFREI GAGIGFTPNAEWAMRVLDPRIHAAFKRVAAQNATDWFQWVDGYSQNGADPSDVHEDLI YKMYLGKRGFEGCHRADFLDELVKMMPEGRVEFDKNLASIDDRCDGEKLLLTFRDGSS EEADAVIGCDGIRSRVRQLILGEDHPASHPGYTHKYAFRGLIPMEKAKAALGDDKTST RHMHLGMNAHALTFPVAGGALLNVVAFVTDPKDWPYKDKLTAPADKTEAVEAFSSFNP AVRAIMDMLPSKLDKWAVFDTYDHPVPTYVSGRVCISGDAAHASAPYHGAGAGFCIED AVVLTMLIASAAKMMAHNSSKCKPELICAALDTYNAIRLERTRWLVESSRFVGEMYEW QDPKIGRDAEKCGHEIDWRSHKIWDYDIDAMVRDANGEYKRKLKG EPUS_05011 MVSGRENQSGWTETSPLLADQATNERGHEMHLRDAVVDHQQENA SKRDLISIPGISLGQVYDSSDA EPUS_05012 MFDPQFDNKIRQWHSVCDKRISSSMTTPHVSTITATYDFGACNR LQLSCISADYETNKCSNEYMPTASLSYISCICQPPVYSLFSECQYNGNISCKRTTAAE SNIMGYSVCSYFWSGSQTLSSIDLTSFLGITGTSTNTASDAELSQTVMDIARVNGASF ITRIKPAPSSTAMIAVQTANNQAGVGVLPNEDLK EPUS_05013 MAGNHSDESTQSARHQSTESVEPSSNEPGSIELAAQQAATQSAR QQSTPSAGISSNEPRSTEPVAQQAATQSAATQGTVSQRSVDQSAATQSAATQGTLSQL SGNQSAATQSAASQGTVSQRSGTQSGPQATAVKPAQNPPPGQNTRKEWKSWKIK EPUS_05014 MTLYRTVWETAITAFAERQPYIDLNTSTGGPPRSTIMLDYRSEP FIYSWWAAIRNKHFLLAACMFMSVVLAILTVPLTSFLFTTAEYASNTTFPLSFETSFN SNLMGELPNLPDLRLPLDSAAAMRIQDASRPPWTDGEYAFAKFVPQEEVGDGIVTLET TAYSAHSDCRYIPESQYRKTILTPNETGIPALSIGINADDRGCQISHFINLGLSTDHP VTMLRVWPTTSCSADAGWSRFSILTAHYTDASASVTNFSLISCAPSYRITPGTLVATT GSIPPSVRTFSPHTSNTSSQIRPDALWRFFETEIQAPSCVDPMTNVKSNEFGLYVYKI ASKKNLASPLLPETIIDAAETLFTTTFAVFASTVLFKPTSSPLNGIVTIAVLNMSLFS YARQESMLYEEPVGLLSMAGILHNSDVNTMVEPLVQNPSFKGKTREAAKRLDKFNQRR YCFDKTERRIVSQRGIQICSEGAAIEDGPFELQISSSQHD EPUS_05015 MALDNRLSLGYQWRSSRWFIISCIAIALFSENFLYSYIAPILPA MLEDRLHVGKSETQAATALVLSVPPFVSMVTGPLVGHLADKMPNRKSSLLMSLGAEMI GTIVTMISPSVPILLVGRGIQAIGGNAAWIVGLATLADTVGQENTGKTLGTISSFFAS GLLFGPITSGMLLPLVGYWITWMVAISVLVVDMIMRVVMIENKQSREVYDKKNTLSVE VPNDIEAVQANDPNEVNEQTALLHVPSSENEDENYSKPQSDMATNFKPISVPELTTPS ENFYTFILTNPRALTALACQWTMAVILLSLDTTLPLHATRTFGWDTAGVSLMFLILQM PSLLLAPSLGMLKDRVGTKIPTGFGCLAMAFSLWLLGAAANGSLFFASIENKAQTITM VALTGIGIARTFVNGSGIMEITNLMKDVQREQPNRFGPNGKMSSAYSLTNFTWNLGML MGPVISGSLTRTVGYYYMNMVLGTFIPPSSSFPDASLLETELHRARDVDF EPUS_05016 MSANIGESTTQSPFPPIDRARVFEIVQNELSQPQPTETSPEVKW DTIHAERVGRRIIYYTDQGRADIIGERCEALRQAAQNERCHVAELNTVFSRYVETHVE SPKPRVQSVTDGVCAILSRLPQTPSVLQDPPLSVPQVYFDELRTWEDLRVVKCVPHNF IRLVASLVISQMRFPARGGVLIAENFFEETSNGVGELFDAIHAKESNAILHGDSKPYS WFVVRAFLWNFWQKANLLYRYYDLKLRLDFGFQSMPMSAWTHNFLVSPGTSLLERSTQ LSWEQKPENRCTWSFKMVQVNPLCLGLDSRLLHRRFSGAFHGTGARCRSDSESACEGT GPNCLRHRGALISDQSAHASSCSCKTPNEFKLAWNESSYLQVDGPRAVSVNETNIQND GLHYCCASDTTLAISHVWSHGQGGRPETGINKCLHARYSQIAKSMGCESYWMDVVSIP GNHELRQEAISHINGVFSNSRAVLVCDRDLMLLDVSKLTTDTKERILVATLFSDWNGR AWTILESWKGRRKIFLLCKNDSIVDFREAVFDVFSNGRIDIAVFANLFPHLLPSAKER NKSLGFTEVNIAIAGSWLSHRPASRTSDEFVIWSLLIDEERSPCYNAEDFWRAQSSVS TGYLMSSIPRLNVKGLTWAPSTPYALAQPGQPSQTIDPIQPPIIGWQDDGALIEKDGL WGKWYSYEFRFRFRTAKQILRGLRHPTSGVDSSIERELERIQALLDIREKHVVLLQRV TERHLKFMSDINGRRRLVVVCESDKKERKRQRDRILGSNNSFPISYRWQWKGVYEWPE NVAMPQFETNSTWQGTTFWIT EPUS_05017 MATQLPHLPEIERVSTSVMRVLGGNPGVSTLQGTNTYIISPPNL QRDPQFLLIDTGQGSACPIWRRSIAQVLASESARIGKQVHITQCVLTHWHYDHVGGVN ELRQLCAEEHASAGNAESGKEKKRGEELRIYKYPLSSAPPSEIYSSKDPCLDIETQLL RSANDDQDIGLIYPLHDGQILEVGDSTATDDEMLKLQVLYTPGHTADHIALLIVSSPT DPAEVGTIFTGDAVLGHGTTVFEDLALYMRNLEKMKNAVEKILVEEGGSRCEGGDGQV EQEKRKVLAFPGHGAVIPDARAKIEEYIRHRAMREKEVSDVLAGQDGKVGSERKAWTP MEVVKVIYKNVPESLHEAASRGMLQILQKLEGEGKVEKVDEGVRWRIIQKYHQQEQTT NDNSAPEPRSAL EPUS_05018 MVATKHLLAASLLELITLVAAHGHGSQDETGLNPRPMSNGTVSP PTDLDNVWQSPSYSGLEAQSGSMLAHIIFMVAAWFFLLPIGVVLSIARSRFAVSTQLI FLALNGCGIFFGIVYNVNTPDLYANNAHHKIGWIATWVMTAQGAMSLLFAFSRWCKKE DAAVSAERVAFLPISMEAMAQHQRFHDPHPSAYENTRWSRDSGQGTECATPSQHSRDL SPNHTSESGQERERFLKPEAGDEEDVQQVLPWRRHFLAGTFVHKFWKQRVPGPFSQRA LKLLEAVYDSIDHIILLLGFIALVTGGITYAGIFRANNVFNGLAHFIKGGIFFWYGLL TLGRWMGCYAELGWAWNIKPSKSEIGSWKASLPTAEFTESFVIWLYGCTNVFLEHLAA WGGAWTAQDLEHVSISIMFFGGGLCGMLIESRRIRDWLSTSILLLHARNDMHPEDMHP EESSLIHTPPKMYSFSLNPLPALIILLLGVMMSSHHQNSIVSTSVHKQWGTLLVGFAL ARAVTYILFFLSPPTSVFPSRPPSELVAAFCLISGGLIFMASTKDVIHIMEDHNLMPM FIFTVCMGFTAFLMAYEMIVLATKGWATRRENAVLRSFPATIRYGR EPUS_05019 MSNISTQASSAESPPSTTATSVSTTLDATPGCSHLASHVQSGKD AKKEFANGLAKARRRAQKVDDSQSRALRYHCVQCTEAGGVKQIEIHHAKTQHAFSFSS ESSAVYCALCRDMTYDKMAKRPSLAASSSSSDTPKKRKTSEANGDDSYITANSAQRPC GREGARGLFNLGHTCYMNAVIQTMVHNSLLSSFFLGKGHPIHTCSKNEDDEEDVPCVA CGFTEIFSESRVAENTQPMAALSLLKASWLAIPEMQGERQQDSHEWYLQIIDKLHECE KPAYDSKGVCRCFIHKAFYGRTSQEIACNKCGFVSRTQEQMLYLALNFQKQLAATTSS NTSGNRKKSEPTPSTSSSTSDPAPAPPPIPTMAACLEDLTTLENLSDDTYSCRGCDKK GQMTKTVRIRKLPAILCMQVNRFQNEIRGGNVVQKKIKGRVQYPLEIDMKPYTTRGGG RRGKGKSNGKNGKEEGSRKGEVEGGGGGGGDDGGFLYELESVIVHEGKAIEQGHYFAF CRAAPPAENKSPSTETGRREWYMFNDAKVTVAAENLVLEQEAYLLFYSLKRICGAGGE KR EPUS_05020 MEATSSQSRARSVSHSRPTTPLRPPSRSSTTQNPAHPHNSSTSS SSTALDTLEPQFAELADSMADLEANFMHLQLMHESLARFGESFAAFLYGLNVRAFCVD FPETPVQESFERWKAGKMDNSGEREGEMTFMTTDTSFVEQGSTSIVSKATTTTQTPKR EPPPPVTPRLTNTNNTRGTGPGTKGLGGGGVGGRGYTRGVRGSGLARPRGRGVR EPUS_05021 MDAAQAKIQTGEAIIGYTFNDKHLLWQAIQTSGVGAVPKNTRLA VFGETALTKMLCSRWFELNLSKGDWTTIRNVAQNSHLTRVGFEHGLNACVLLNGGTGA VSGKTMASTVEAILGAVELDGGSEALMEVATRLDLVHPMLISVTSSLSFPPLNETIHT NNWVTSRALPLAILPSSTHGSFSTICYRVFARRLRKVRVLE EPUS_05022 MPRLSASWYAQRRAFRSIRRLSRQNERPRVEHSPTVDQASLTNA EASESIKTESFEKSKPASELTADEKKDILLAEQALSNKEQRKVDLAILKEMAKYLWPK GELGTKLRVGTALSFLIGAKILNVQVPFYFKSIVDSMNVDFMAVGGTAWTVAGSMIVA YGVTRIGAALFQELRNAVFASVAQKAIRNVACSVFQHLLRLDLNFHLTRQTGGLTRAL DRGTKGISFILTSMLFHIFPTFLEISMVCGILTYQYGPQFAAITAATMVAYTAFTITT TSWRTKFRKQANAADNQGATVAVDTLINYEAVKYFNNEKFEVARYDKALKAYEAASIK VTTSLSFLNTGQGVIFSSALASMMYLAANGVASGHLTVGDLVMVNQLVFQLSVPLNFL GSVYRELRQSLLDMETLFNLQKVNVTIKDPPKPKPLALTRGGEIQFQDVTFGYHPERP ILKKLTLTIPPGKKVAIVGPSGCGKSTILRLLFRFYDVQSGKVLIDGQDIREVTLDSL RQAIGVVPQDTPLFNNTIEHNIRYGRIDATRDEVRKAAQRAKIHDLVERLPAGYNTMV GERGMMISGGEKQRLAVSRLILKDPPLLFFDEATSALDTYTEQMLLQNINSILKEKAR TSVFVAHRLRTIFDSDIIFVLKNGQVAESGTHHELLNRGGMYTDLWAAQETSLGQDME LERNLVSKGEKPEEP EPUS_05023 MALPLLFAFLFSEASARTVPDQALVVNWNSFNALETVQPPSVTN VFLPPGFTEEQALAKPFHIYDDDFYDVIGPNPTLTVVASTGVDPIFHEAAVWYPPANE VFFVQNAGAKAAGTGLNKSNIIQKISLNQVAALSAERNASGLVDVITVNANPPIVNSN GATNYRGEIVFTSEGQGNSTAPGLWVMNPQEPYNTTVLVNNYFGRQFNSPNDVAVNPR NGDVYFTDSLYAYLQDFRPPPGLPTQVYRFNPSTGAVTAVADGFELPNGITFSPDGSY AYVTDTGAQLAFYGYNLSAPASIYRYTVEVDGTWSNRKLFSYTSPGIPDGVHCDTKGN VYSAVGDGVQVWNPSGTLLGKIFLGSVAANFRFAGKGRMVILAQTQLYYATLAAEGAD PVNEF EPUS_05024 MPSKPLSESDSAATQSSQAKAAGGASVPRSWPVQPGLKLIPQSR LDTRSDKEIVSALLQHHPVTSEKYVWAYWHSGLLQAPPWVQRTVINWARRLPSWTIRV LDKVPNSSLNVYNFFSPAFLPDAFNNNAVTGPHTGPHSADLVRLPLVYLHGGFWMDVG TLLFRDLDDICWHALEDPQNPYELAGFTLNIIGDRKTLFNSFVAARKGNEFIKRWMDI YLKVWKGVTEQTSMHSHPLLKHLPLPGSTKKLGIRAGKPSAENLDPQPSSQEANAKRP ELSATRSEPDEQELLFSKTLADYGLRFYCFTRLSHLEDPSDGFKCLLAQQLTGRDGNK QFEYLSARRSNANKGSLQDDLYLNAEMFAEALVKDSCQMKVSHGIKGNAVFLGNLWEK PGNEDADHAEGTFAAYLRYASVFLEQTREMKREPWGQVEKDALHAGVTEVGET EPUS_05025 MFSTRSVGRVCSLGVRARAVSGQPFSINSHAHDIQKIPHTRALA TVRDAPLDKKVEMSNWEKGNYINYKKMSENLSIVRGRLNRPLTYAEKILYSHLDDPHG QDIERGVSYLKLRPDRVACQDATAQMAILQFMSAGMPSVATPTTVHCDHLIEAQIGGD KDLARAQEINKEVYDFLSSSCAKYNIGFWRPGSGIIHQIVLENYAFPGGLMIGTDSHT PNAGGLGMAAIGVGGADAVDVMANLPWELKAPKVIGVKLTGELSGWTAPKDIILKVAG ILTVKGGTGAIIEYHGPGVSSLSCTGMGTICNMGAEIGATTSLFPYNDRMYDYLKATK RQAIGDFAREYNSELHEDEGAEYDQLIELNLSELEPHINGPFTPDLATPISQFKDAVE ANKWPEELKVGLIGSCTNSSYEDMSRAASIATDAMNHGVKAKSVFTVTPGSEQIRATI ERDGQLKTLEEFGGIILANACGPCIGQWDRRDVKKGEANSIISSYNRNFTGRNDANPA THAFVTSPDLVVAMTLAGTLKFNPLTDPLKDKDGKEFMLSAPTGDGLPARGYDPGRDT YQAPPADRNTVEVKVSPSSDRLQILEPFQSWDGKDALDIPILIKAQGKTTTDHISMAG PWLKYRGHLDNISNNMLIGAINAENGEANKVRNFQTGEVDAVPATAREYKKHGIKWVV IGDWNYGEGSSREHAALEPRHLGGLAIITRSFARIHETNLKKQGMLPLTFSDPADYDK INPDDKVDIMCTELAVGKPLTMRVHPKDGKAWECKLSHTFNEGQIEWFKNGSALNTMA KKNA EPUS_05026 MGSIRRSKTKRRTRDLDQVKADIASSKHLARYQSTKAAEDLPGL GQWYCIECAKWFEGEHNLVAHRKGKNHKRRARFLKEEAHTQKAAEAAVGLTREDRSYA DQTMDIEEEPQTKD EPUS_05027 MAASLIRPKVACIEAGKENIPPSKATRLSGGSTNSLDRLIKPFK CPGSSTVSRTSEKPARKRRKVNYANADGEAEDGDKPWTNEDRLALANREANRFPIFQV KDKESTFRARFAVPMVNKNAGGYNSSGPSRSLGMRTGASFIVKPLHDPSGEFAIVLYD PTVDGKPNTGPGDVGVGAKEKEKAVLDVPLMHKTLADILGLKKKVEERAKVPVVIDPK LAKVLRPHQVEGVRFLYRCTTGLIDENAHGCIMADEMGLGKTLQCIALMWTLLKQSPD AGKTTIQKCVIACPSSLVRNWANELVKWLGPDAVTPFAIDGKASKAELTSQLRQWAIA SGRAVTRPVLIVSYETLRLNANELADTKIGLLLCDEGHRLKNGESLTFTALNALKVDR RVILSGTPIQNDLTEYYSLITFANPNYLGSQNDFRKKFELPILRGRDAAGSDADRQRG NEATAELLRLVNKFIIRRTNDILSKYLPVKYEHVVFCKMAPFQLDLYNHFIHSPDIKS LLRGKGSQPLKAIGILKKLCNHPDLLDLATDLPGSEKYWPDDYVPKDSRGRDRDVKPW YSGKMQVLDRMLARIRQDTNDKIVLISNYTQTLDVFERLCRSRAYGCLRLDGTMNVSK RQKLVDKFNNPEGEEFVFLLSSKAGGCGLNLIGANRLVLFDPDWNPAADQQALARVWR DGQKKDCFVYRFMGTGTIEEKIFQRQSHKQALSSCVVDSAEDVERHFTLDSLRELFQF KPDTTSDTHDTFKCKRCRPDGTQHIKAPAMLYGDTSTWNHFVNSGGECGPLSKIQDLL LRQETTEDAVSAVFQYISH EPUS_05028 MDPAVQRLLTDKLYDKRKQGALELERLVREAVAGKEYERIRKIV EQLCHEYAYAVHQPHARNGGLIGLAAASIALGSEEVARYLHEIVPPVLACFTDQDARV RYYACESMYNIAKVAKGEILVFFNDIFDALCKLAADSELSVKNGAELLDRLVKDIVAE SAASYVSVLEYNEKRAGGDGLDPETSSADLPMAFSLAKFIPLLQERIHVINPFTRTFL VSWLTLLDTIPDLELVYYLPDFLGGLFRFLSDPNRDVYVATQGLLERFLTEIKKIAKI KRGIEESRRSRNSDRAKGSPESPAGSGPTGDSASADNAIEDSDSETARELRSDQEGDW IPGQDVQIDYSRILETLLQFVDTTTRSNTDPPRSDGVSREKEQEIGIVALRWIDSFFE ISPEDILQFVPPLLSQVLPALSAHSDQVRTTASRVNRSLMDYIVTLQDSTTSDDKLMP PPSTVPINFTKTTDLPDRRASAPTIKPLTASTESLERTKSQAGESTLKSSNPPSPPAK ADLDYAAAVNALTLQFLNEHEATRVAALGWLIMLHRKAPRKVLAFNDGTFPALLKTLS DPAEAVVTRDLQLLSQISRNSEDGYFTSFMVNLLQLFSTDRKLLEIRGNLIIRQLCMN LVPERIYRTLAECLEKDEDIEFTSIMVQNLNNNLITAPELADLRKRLRNLETKDGQMF FVALFKSWCQNAVATFSLCLLAQAYEQAYHLLQIFAELEMTVNMLIQIDKLVQLLESP VFTYLRLQLLEPDRYPYLYKCLYGLLMLLPQSSAFAALKNRLNSVSAIGLLHTPAASS FGAGGARSSIMPSNLNHPPGLTSTSSTPNIPGSLTSSSTSAPNNPTAPTLASAGGSEN VIKWGELLERFRQIQEKARRRNRALLRGDGDEEDEDEGMLDEGTNQSSRTDLGAGVGE RGRGNGNAIGNATRGGGEKALPDIPVMTGLRSAPLAGGAGRSGMAPHHPGGGLGSGAG AGAGAGVGREAGRFAGGAGPGYGSRLLTPGPSRARVPECRQQAQEGPVTGRVRAASPD SGG EPUS_05029 MGLLTKLQNRLELLRLEKRYTTRRKAGPTAQYVDGEYIYQTADS SSSNAHAIPQFTSSSSTYAASQHQHPPTSPTTVSFTSAPAPSSARTNPHHSSGIRTKR LSWHSLTASSFATSSARNSTLTAPDSPATSSSAISKNSRGQSWEPPKQGWGADGTDAF KEDKREFRFDVEKDRMSMGMVD EPUS_05030 MHSNSGRTVSIPSEGSSLSSYALLSPPASINPEPAYIAVSAASQ LVSSELETDGVTISACALTQLNSFLDHILFNILLAAKSTKLSLVKPATQSVLKPKLGK AALAAADEELREYLGDDEDDDENLETNLDPGMQREFDLELAWKLARLRCMVYSRLGDL EEDDEDEYIERENLDERGGRPRRFSSHPSRVTTAAAIFLTSVIEFLAEQALAHIAQVM QRKLSKSHASQGNLDPTAALSFFSDRVVVSDADMRHLGRDGPLQKLWRGWRHQVRIPT DPTSRPISPESLSFPGHSRKASMATLDATSAPEHQLSVAEVLHENDPARIPLPIAEND VNEIEIPGLAYAHDSGRITNTYRRSGEQNLQRRRSLEHLSSQTAPVTPILSTEGSHSS QVAEIRPTVQHTRSRSLPTSPTLSPRAPSSNVVNRALHATEALEKGAKVRDINAGQER GQDMLPQGPESSDKSIGSIDEPRSFSIVGLAMPGSFPAGYSSNETEVGKAIDMSVAKV DKQLSKLLPLSDRIPPPDSLEAPTSSMALSTHATTTLEPALPIIAHPPRTSSKVPNES APRHEQNYTIQLGTFTPAAAAHPEHTGAQPIALSQEIIGKSIEVPDETFPTTPTSEAQ KRQGQAGFSSEDGETKTRSNSKSSRHTKGSSSSSKLLGFDREAKSTMSVASDRAGVQR VYSGAGAAQDVNDHVVRPSTSHSTKDSRPGTASSRMSSLKQGSVTGASVDTPTSNGGS YKRNVEQDDKKARLDSLIQSEETLKYTLTPRTMRGMEAPETPRRKSPTRELADFFKDT APLGSVEPEAVRRKSSSPADGMNGLRASPPHSFARQPSAAVAVDPPQLGINEQKPPLS PVSKFLRNQQRPRDPKVEKASTRDLADFAKSTGPESPGQLPKAVSSLPAEPAQPAQPK RAKSAAPRFLARDPVVRGANSDLIDFIREGPPRAKGDGTHRIPRTVAPFRTTMDSDEF NSLGTPQNFDSPYSGSSNQGASVVTKSTVDSRTGLMDSTNRTALNPANSSNLGTAQVP KRASETSKQPIRKQTRVKDPYAIDVENDDEIDDGLGKPPRYDEESLIDFLRNTSPPTE SQTQPQPLMLSTKTLASQSPNLRRKTANGGFMDRMKRTASTHSLGRNGDARSSLQTSN RSPTSARPGNQPRPSSPHLVQSGSRFDSYKPTHTTYAAHVERNRQKSAAQDALKFDLL AQPPHSKNERCIRNFVNKDTLVVVTAIVDGSRGDGQVVNMHIKDSVGNDYGRPKDITG ETRMAFTSLADTAFDVCFENNLASSSYSRSPPSRHVELDIDIGADAKDWSGIQAAEKL KPVETELRRIEETVNEIVTEMEYLRGREQKLRDTNESTNERVKWFAFGTMGMLVGLGA WQIVYLRAYFRFATSEIVTVYVGKKRQAYRVHKALLVSKCPYFRGSLTDAFPEGRNNE VCLSEDVPEAFSWFLTWLYSGVVKKIETKQDACIAFETYLMADRFIMVVLKNDLMDAV RRFYARTRMGVDLLELLAKHEGFEGKLKSFGLDQLAYDLHEYCGNIDPDPYMPSSSLR SDINAFLAKGSSTAIDTFWAVRDWAFRKEVDPSSLEGCYYHEHPSGSPACKFAAD EPUS_05031 MPLASSPDCQIRAEDKALRLLFPTIEDPLTNSFDDYVNQALYDL SDDDKEDFFIGDVGDRGGSSQSPIGAAARHKESSPQPWRKGLWCLNQNESSVRCPNGS HNQLKIPSQPLNRADLQDPRSPSLTPSQKGTKRSVTSPKAATIRPNPYVRHTHSREVT LSPSPMYARLPNGKMPHHETWQQDFQNFHLQVQDDVLPLSPPPSGRPVQRGNATRMNA INVVHNGAHMQTLDLTMPSYDMSQPIPSIEQLDPDLYVPRPNPTFMPSGPTVLSSPTD QSNLASHYASRVQGQKIPAWHTEPVGTGHKSAYLYNSQSQMMEGEQTQAWWSPPPTTS NHSATSSFEQAHDEYYPRIAAPSPQRPVHQLISSSSHDLQLGGLMIQYPSGDSHAPKS DTHQPAEPLSAAGPPFSPTSVYPPLPSLKGDSYREAFSPTSPFTTPRRRHQTSPDRSA SISPTNTTRSTRQTSPTRSTRRKSMGNPKTSGVSKTPRTPRTPKTPNGGFEMNFVNLT AADSAKLLSDVAPSGSSKTRARREQEAREKRRRLSEAAVRAVRRAGGDVKALEKAIQT EPUS_05032 MSAVIEALYVYDEQNNCILEHVYHARPPSPKSLLSQFKACPVPR PALLYLSDLSPATIVASVYHSNTLFLCPSSTDQNALTLLEFVHRVIDVFEDFLGPPLL AHKIENNYDIIAQLLGEICDGGAICNTEPNALRENVEVAGLIGKLFTHVGLPGSSPAL GPSNNLVANLKAGSNLNSGPAIPWRRPNVRHTSNELYVDILEDVSVILAPSGRPISAV SSGSIAFTAKVSGIPELVLTLSAPGGSSSSKVTSIFRVMQSPVFHPCVRLARWKERPG ELSFVPPDGRFVLASYEVDLIPSYGDVDAPPSRGEKLFMPAMVDLRIGLGEKGSDFEV RLTLNTDFPGAYTSSKPRTPGTSTPSFSFGGSSSGSSSAPTLEAVVVSVPLPAGVRRL ENLRPSRGEAMFQQFAQSVQWKVPTKDGASVSGTAVLSGTVIGPLHAGDVEDEDEDAE TNNSVTTNPLLGYYDEDSANIPGKSSALVALKGNKVASAKDKILSAAQQKAAQANKAL MPRSVNLSFTVRGWLPSGIRVDSLIIDTRKSRGLGENVKPFKGVKYMTVSRKGVERRG EPUS_05033 MTEANDYPAGRSFLSRVDDLDGYQDTPDYTDSDTNPNTTASSVA GDFQPDGRKRRIEASQLRKSVLGKKHGRLDESKENDSIRRFRYLLGLTDLFRHFIDTN PNPRIKEIMHEIDRQNEEDAAKAKKGITRKGGAAANKRKTEQEEDAELLRDEKRGGAA QTLFRDSPAFIQGGEMRDYQVAGLNWLVSLHENGISGILADEMGLGKTLQTIAFLGYL RHICDIKGPHLITVPKSTLDNWHREFKRWTPEVDVLVLQGAKDDRHALINERLIDEKF DVCITSYEMILREKSHLKKFAWEYIIVDEAHRIKNEESSLAQIIRMFNSRNRLLITGT PLQNNLHELWALLNFLLPDVFGDSEAFDSWFTNQQEDQDTVVQQLHRVLRPFLLRRVK SDVEKSLLPKKELNLYVGMSEMQVRWYQKILEKDIDAVNGAGGKRESKTRLLNIVMQL RKCCNHPYLFEGAEPGPPYTTDEHLIENAGKMKILDKILKRMKEQGSRVLIFSQMSRV LDILEDYCVFRGHQYCRIDGSTAHEDRIAAIDEYNKPGSEKFVFLLTTRAGGLGINLT TADIVVLFDSDWNPQADLQAMDRAHRIGQTKQVMVFRFVTENAIEEKVLERAAQKLRL DQLVIQQGRAQQQVKNAASKDELLNMIQHGAEKVFQTKGSTGILDDIDEILRHGEERT KELNAKYEKLGIDDLQKFSSDNAYEWNGEDFTNRKKEIGISWINPTKRERKEQSYSMD KYYRQALATGGRTTETKPKVPRAPKQVPIHDWQFYPPELQELQDKETAYFHKEIGYKA VLPDGPEEELSDREAERELEQHQIDNAVPLTDAERERKAAMSEDGFGNWNRRDFQQFV NGSARYGRTDYEGIATEVDSKEPAEITEYAKVFWKRYTEIYDYPKHIKSIEAGEEKLQ KMNHQRKMLRKKMELYRVPLQQLKINYTVSTTNKKVYTEEEDRFLLVMLDRYGVDGEG LYEKIRDEIRESPLFRFDWFFLSRTPVEIGRRCTTLLNTVAREFDGPEKMTNGHGSGP GKGNRREREDDDVENDDEVAPVKKKAKNGVVNKQIKAVKGGAGSKTTSASSSRAASVA STAASKAKGKKK EPUS_05034 MDSMRSLNTSLPTSRSRRSQAPELLQAFKSAALSVTNLYKTTVT DQANTRHLGYQDALDDLLSFLDRENLGLQDGEGWRIRQWATERFEGGDTTGCSIDSDD DRTEIEKRARSSSPMTQSRPAHEGLEVRGHASSDSNAPRTESAPPPIRKEESHSPVMD RPSMFTFSAAHPLPNRDVQMQTSDTSSSDLHLPNDPPSEATPSQSPGSLRVEIVNRAA RMQNRHNSTRHNTRSTNRDTSFAGGTKRKLNLPEFFDISNMGNSRDGTNGGGKRGRFV EPUS_05035 MLSYLLASGKKPRFKAEDDDDPFPLHTDPNTLLKHEAYNDGDCL SCRVLGSTAFVALGGYSYFSGMKSLRERRRVIELSASRYKYGSRQLGIVSLSATLVGL GLDRYGHAFIEVPSTTYTNDDPREGKGRRSPAPENKIQIIRFQAQWHTICVE EPUS_05036 MKSPFILLPLFLSILNTNASPIPAPAPVPPNIPSGSTARSQLAA LRVAPVGSQTGYSRDLFPHWSTQSGTCNTREVVLKRDGTNVVQDSSCAATSGRWYSPF DGAVWTQASDVDIDHMVPLSNAWKSGASSWTTARREQFANDLSNPQLIAVTDDVNQAK GDKGPEDWRPPLTSYYCTYAAMWVKVKYEYNLSVNSAEKSTLQSMLSGC EPUS_05037 MALDDLREEALNTLQQAIEYSFEKQKSDGHWTEECVADVTFTCQ YVMFKRPERGWLLVSGPNAVGNASTTTEAYLALKILNVPADHPSMLKASAWVRKAGGV EKMPAELILMPPSFFLNIYTLSSWARSTLIPCLIIRHHDPVYALPNGRSADNDFLDEL WSNPRNKNIPFASPLSTMLWKGDYIEYGFTLFDNILGLLGGLKHSPNRGLARKKCVDW LIEHQEVQGDWAGFFPPMHGSPWALIEEGYPFDHKAVVLGLDALERLSTTDTRGKRLA PTISPLWDTALMIGAMCDAGVGRDARVQKAINYVKERQLLGPEGDWRIYSRNQQPGGW SFEYFNTWYPDVDDTAVVVMSLIKQDPYFIESERISNAVTWMLGMHNRDGGFAAFDVD NDKLGLHKIPFSDMDSLCDPSTADVTGRVLECFGLLLSHRKGSLDRKLQLRVQLASER AIEFLLAKQEPFGGWWGRWGNNYNYGTSNVLRGLVHFAQDSAKVQHMVDRATRWFESV QNSDGGWGETLVTYERPELAGTGESTPAQTAWGVTALLPYRPASYPAIERGIRWLIAN QTDKSLIGATWNQDVYPGTGFPMVLYLNYPYYHHYFPITALAKYLDGTQGLSFKPVEL TLRISGSLNRPCVLLMVVGSRGDVQVFLRTAAFLTSSCGYRVRIATHAEHQKLVESRG FEFYCVAGSSAAFAKTLTTKPNILLSTIKGDFGVLRQALILMVCGFWRASIDSNESSD CRKKLSHRPFVADSIVSCNSALTHIHCAQKLQVPLVLVSLQPQLSTSEFPNALIMSEP NYRQVTLGNYISFICLDILNWLALGYHLNYLRVKEYGMPAVSWTWAVFDLVKMGISHF CLWSPHVLPRLAEWDSNVAIAGYAFDEAPNYTPPKALESFLDTDKPVLAISFGSADIP YPVKLMAIVFAAVKRVGAKAVVCRRWSDIDAKIPVPDHIFVIDEIPYAWLLPHVQGFV HHGGAGHIGSFWAAKIQQLGLGPPPLNHRRLTAETLVASLEDLLSGKYRTHCMEMASK IASDKDGAEVVGETVVHTQNSVGKGLYCSIIPELRAPWKHTSLSLPLSGAVAACLVSR NILHWSDLELEPTFDWSDKTAPRSEGIVKVLKVLTQLFAMVTWILYAFLQWIKLRSTE HPEDTFITKKRDPVRQARIRQAHYDLEFINGHDLKMEDGASVEDNEHDESNKVTVRLY NAELPIFAISINLEKSFAPNRGRMSSLVVLGIIGLQLAIYGSGLSFHSSRLGSSTRCS STHAPMQPP EPUS_05038 MKASIFALSAFSLIQLVASQPHGHQQFHRRGVVVTDYATVTSPE VIVWVYEDGQTASIETRTPRNPAATPAPQKIVPELSAGPTPTLQSAVSDSAVPSEATP PSPVQPSAESSPTGESAPSPPTTEAPPEPTNTEAPAPSSEATPAPAPSPEAESPPAPE PAPSTEAAPAPAPAQSSEAAPPPTEPSSTGLGICYSPYNADGTCKTQDQVNEDFNSLT SYSTVRIYGVDCNQISTVISAASSKNIKIFAGLLTIADVAGDLGNMINQLNGNFDGTI TTISIGNELVDMGKASPGDVVAALGTARDILGKAGYTGSIVTVDTFNALIAHPELCAA SDYCAANAHSYFDPTIEAAGAGEWVQKQIDRIAEAAGGNKKVVITESGWPHSGNSNGA AVPSPENQAKAVESIRGAFEKDVFLFSAFDDKWKADGAWGVEKNWGIM EPUS_05039 MDFQEAQTHLLIILGRLSQEFHKLPGSAILLRYIRSSYQNDPIR SAVELFLVLFAVRYLLAPKYSTKPNYVTLSEEEVDELVEDWTPEPLVARVTPFEEAEI EKRPVIVGPTGPRSKLSNGRTVTNLASYNFYNFVSNELLKERAIQTLRTYGVGPCGPP GFYGTQDVHMKIEADIAAFLGTTACIIYAQAFSTISSVIPAFSKRGDIIVADRAVNYS IRKGLQISRSTVRWYEHNDTEDLQRVLAKVTKEQSKKPLTRRFIVTEGLFENVGDLVD LPKIIELKHKYKFRLILDETWSFGVLGRTGRGVTEHQHVDAAEVDMIVGSMAGPLCAA GGFCAGSDEIVEHQRLSAASYTFSAALPAMSATTASETLLILQTQPEILNGLRENIKA MWTQLDPRSDWMRCISAVENPVMLMVLKQEVVNLRRLGNDEQQHILQDIVDESLAQGV LITRHKTIPPNPSGTKNEVFTPQPALKICLSTGFSKKEVEKAGIIIRHAITKVMTRKR EPUS_05040 MSGQPQGHYDDGYGQEQYAHDAQYRDDHNQGYNDQYDYNQQQPV AADGYYDESGYYNADANNPYQQDGGYYEGHQQGYQDGYYDDQYYDQGAGGHQPPHQGQ RRRRGQDSEEDSETFSDFTMRSDMARAAEMDYYGRGDERYHSGYESQGGRGYRPPSSQ ISYGGNRSSGASTPVYGMEYGNALPAGQRSREPYPAWTSDAQIPLSKEELEDIFLDLT AKFGFQRDSMRNMYDHMMTLLDSRASRMSPNQALLSLHADYIGGDNANYRRWYFAAHL DLDDAVGFANMKLGKANRRTRKARKAAKKKAKENPQNEEQTLESLEGDNSLEAAEYRW KTRMNRMSQHERARQIALYLLCWGEANQVRFMPEALCFIFKCADDYLQSAACQQRVEP VEEFTYLNNVITPLYQYCRDQGYEIAEGKYVRRERDHNKVVGYDDINQLFWYPEGIER IVMTDKSRIVDIPPAERYLKLQEVNWKKVFFKTYKETRSWFHMLVNFNRIWIIHITAF WFYTAQNSPTLYTRDYKQQVNNPPERAAQWSAVALGGGLACLLNIIATVFEWAYVPRR WAGAQHLTKKLLFLIVMFVINIGPSVYIFGIQSTGTIANAIGIVQFVIALATFFFFSV MPLGGLFGSYLTKNSRQYVASQTFTASYPRLKGNDMWMSYGLWVLVFGFKFLESWFFL TLSFRDPIRILSTMTIGRCAGDKYLGSTLCYYQPRILLGVMFFTDLCLFFLDTYLWYI IWNTVFSVSRSFYLGVSIWTPWRNIFSRLPKRIYSKVLATTDMEIKYKPKVLISQIWN AIVISMYREHLLAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDNSFKTEFFPTQS EAERRISFFAQSLSTPMPEPLPVDNMPTFTVLIPHYGEKILLSLREIIREDEPYSRVT LLEYLKQLHPHEWDCFVKDTKILADETSQFNGDYEKSEKDAAKSKIDDLPFYCIGFKS AAPEYTLRTRIWASLRSQTLYRTISGFMNYSRAIKLLYRVENPEVVQMFGGNSDKLER ELERMARRKFKIVVSMQRYAKFKKEERENTEFLLRAYPDLQIAYLDEEPPLNEGEEPR LYSSLIDGHSELLENGMRRPKFRIQLSGNPILGDGKSDNQNHSIIFYRGEYIQLIDAN QDNYLEECLKIRSVLAEFEEMTTDNVSPYTPGLPPSGTAPVAILGAREYIFSENIGIL GDVAAGKEQTFGTLFARTLAQIGGKLHYGHPDFLNGIFMTTRGGVSKAQKGLHLNEDI YAGMNALVRGGRIKHCEYYQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYYLGTQ LPLDRFLSFYYAHPGFHINNLFIMLSVQLFMICLINLGALRHETILCSYDRNVPITDP LYPTGCANLTPILQWVERCIVSIFIVFFISFIPLVVQELTERGFWRAATRLGKQFASG SPFFEVFVCQIYANALHQDLSFGGARYIGTGRGFATARIPFGVLYSRFAGPSIYFGAR LLMMLLFATITIWGAWLIYFWASLLALCISPFFFNPHQFAWNDFFIDYRDFLRWLSRG NSRSHSSSWIAFCRLSRTRITGFKRKVLGSPSEKLSGDVPRAHFTSIFFSEVVGPLVL VAVTLVPYLFINAQTGVQDNPTPTGSLIRVAAVAFGPIAINAGVLLGFFGMACCMGPL LSMCCKKFGSVLAAIAHGIAVIMLLAFFEVMFFLEGWVFAKALLGMIAVVALQRFIFK LIIALCLTREFKQDSANIAWWTGKWYSMGWHSVSQPAREYVCKITELGMFAADFILGH VLLFIMLPALCIPYIDKIHSVMLFWLRPSRQIRPPIYSLKQSKLRKRRVIRFAMLYFI MLVIFVALIVGPVVARRFINIPNGIPMDLLQPTGQNNNDTLGRTQTGTALLGGGAAAT GGSGAAAGTADGGAPATTSSPFDRARFI EPUS_05041 MKASNTITTTLAATALLASSALAQLDPIVIKGSKFFYESNGTQF FMKGVAYQQDIQGGGNGSTSTDSSYQDPLTDAAACRRDIPLLQELQTNTIRVYAINPE QDHTECMQLLTDAGIYVIADLSEPRTSINRAEPRWDSQLYARYTSVVDLMSQYTNTLG FFAGNEVSNEMSNTNASAFVKAAVRDMKRYIRDQNYRPMGVGYATNDDAEIRSDMEAY FNCGPAEESIDFWGYNIYSWCGDSSYEESGFDQRTLEFSSYTVPVFFAEYGCNQVQPR PFTEVGALYGDQMTPVWSGGIVYMYFQEANDYGLVSVDGNDVSRLADFTALSSQIAAV TPSGVNMDQYTPTNTVPSACPTVGSAWQAASALPPTPDSALCDCMAQAVTCTVAESVS DEEIGELFGVVCGLPGNPCAGVSASPPNGTYGAYGMCEGRQQLAFALDTYDRQQRDAG NQDGCNFSGSATRQSAVATGTCSSRIQAVGDGTSATPTSGSSGSSSSSTSRGAAAGGV AQASFNLGSVQVGIYAICAIVSGAGMVLL EPUS_05042 MAVRTSPRKKRPGAAGRGGTNLVGAPYAEHSRPKHHGEEEGEVE GDDNDELPELSTLLGCTAPPTEEGRKGREQGERLLSPNKKKQGGVSAKMSTSSASRKE SRTKQLVETGDSISGPQSTAMLPRTSSSSLVLGATPSLVPTASATSASTTTTTITSSK SSRQTMQQRRRSPAKQENTVSPIKRSIGAFPLQDKDDVAYLESQSLISGSRAAPEGPP KTGIHGGANRRSRALKLQHVNSLLSPLRSLTLDSKEDHQSNRSTGSNSAEEDIFQSKP SNSKCRLTSGKGRGPEPSTSKRTLKARAAMFVLKEAVCKDDDGDDDEDLESEDDFTDL SGFIVGDDEEISFHGSETDSGSASDRESDGLAREKSRVRKRLMRGASQTGGEERSNLS DAVRSLSLEDTGMNTSTSKKKEDSPKCLADAISGLNLNDGDREQPSSSRSRSPEIEVI DLTSPVKPQLAPEVDHQKNNMPKRRRPLEPLDQQRPPPLRRRQQDRSRSSESDENAAN EVDDAAILRFSPPPRNISPFKIKDKSHRILLDSNDQSSPDHKLLTTPPQTPPASPSKL KSPTKLNSPSKKNPLLLSPSKRGAQIPQSPHRQSIDAFWSSEVINEWNDAYSPAKPPL TLSPRKRWKIFDEKEKENESEGDSGSGSGSGSESPSESPARRRRETGKSPTKTPARSP AKKAATEEKTLAVAAKRKFDAEKEKLAHDLLKELDERVAGGQLACLSHSTGGVKIIWS KNLRSTAGRANWRRTVVTTASLNSRPAAATVAVGVEKGGGVKEVQHHASIELAEKIID NEERLVNTLAHEFCHLANFMVSGVRDRPHGVEFKSWAAKVTSTFRHHERDMYRQVEVT TKHNYQIHHKYLWVCVGTPPNAAKGFLNLPPEEEGCGAEYGRHSKSIDPAKHRCGRCK GVLVQVRPKPKLKPKARRVAESGRRTVGRSPSGKGLGLERLEQAMELVSIDED EPUS_05043 MTPRESTKEVKTSGFEPTNLCEACKNLILDDRKIVENAGARLKN IQPLNEVFGFNKLNFRGVPGANVIVDTLDFHQYDELPHLPRLAASAEAGCHFCAFLRT AISNQYPMSDSDCNDARMEIAFHYIWQRSKQAQMLGSSLGIWLRALVVDLTISLHSGV LGFEKIIFLTEAPPDPENPRLVIVKGHSPRNNFNYRKVKYAALSYCWGPPEDAESMLK TTKESLQSRLSGIVKGEMPLVFRDAISVCEKLAIPYLWIDALCIVQDDEKEWQQEASQ MGKVYENAFVTIVPSASDSCKEGFVQRSRKTLEVPFRSTIRQEIHGEYYLRQVTSLDL DLHLAQYKYYLEDNFTRWDSRGWTFQEKALSRRILKFGPSMVSYDCPKWRRSEMEDKR RESSGGWSKPPVLSLTAPESYTAWNDITGNFAKRHFRYRKDIFPAISDMAQRFAKANK DKYVAGLWRGDLFRGLL EPUS_05044 MRNKPGSSMQKTYDECYLICSTAVYFEGQNNEAEALRSWRSALD QIYYHNAYKLPTNYVPRSETEKALQDSLKQLEIQCKERVDLLEALKRSRKEQARAEKE AAKEARKLEKGKETVTNKTPTPGWIGEGSIPPVQYPDLSRPPALPPRPSFFTRQSSES MASRSPNPPPLYSSPLPTPPTLPVPVKKTSRSPSPDHKHKKTMLTTLRSGDRKSSKPR TTRVGSQGPAPLKAAGLAWDTTSRMSGAQIAQALQKQNPHDHTIQSRVEATASRRSLD QLGITSSEDELKRNNAAQASDPGDHIPTASWRDMHQGATTPLQEQPENVNVAPERESR TTNHEVESAPLNPPYDVPIQSTESRLSPRIPTKLTKPPEISYRKEFPISTLKSPPPST ANSMASSAQQRSPDKAEAPPPPPVSRKPLPNSSTPRSGRNRTMTETSSGSGEEAASHH QRRPINIAKMRRTRHADHRESPNQSPTPPSSSEATEDHEQEESPEDIAFERRKTAVLA NLPKNVDLVTATQILNEVIVHGDEVHWSDVAGLDAAKKALKEAVVYPFLRPDLFMGLR EPARGMLLFGPPGTGKTMLARAVATESKSTFFAISASSLTSKWHGESEKLVRALFAIA RRMAPSIIFVDEIDSLLGTRGGGGGSEHEASRRSKTEFLIQWSDLQKAAAGKEKAMSG KGGDGDPTRVLVLAATNMPWDIDEAARRRFVRRQYIPLPEAETRRIQIGTLLGHQKHE LSEADIEVLTQVTEGFSGSDITALAKDAAMGPLRKLGEALLYTPMDQIRPIRFEDFEA SLENIRPSVSKAGLKAYEDWAREFGERGG EPUS_05045 MSKHNAVPAPVEEYDYEGLPPDYTLGHNMLAGAFAGIAEHSVMY PVDLLKTRMQIINPSAGGLYTGLTNAVSTIYRIEGIRTLWRGVSSVIVGAGPAHAVYF GTYEAVKEFAGGNKDDHHHPFAAALSGACATISSDALMNPFDVIKQRMQVHGSTYRSI SHCARSLYRTEGLTAFYVSYPTTLCMTIPFTATQFMAYESLSKFMNPQKEYDPFTHCM AGGLAGAFAAGITTPLDVIKTLLQTRGLSQEAEVRNVRGLFNGAKIIRSQYGWSGFFR GLRPRIITTMPSTAICWTSYEMAKAYFKRYRESESYAASW EPUS_05046 MESEDEFLSDVTSQEDDDFDEGTQDSDNGSLLGQDFDDDHEPGF TYDKEAMKPTRRPYEVEFRVLSPTDIHSSQHKQIDEVCQILGLPPESVAILLRYMRWN KEKLIEIYMDKADELLHEAGLGPQFAMTPKTERVKGFTCEICYEDGRRLETYAMICGH RYCVDCYSCYLVQKVKEEGEAARIQCPRDGCHRVVDSKTIKLLVNDEVKNRYEVLLTR TYVDDKDSLKWCPAPECIYAIECGIKKRELSTVVPTVHCACKHSFCFGCTLPDHQPPP CSLVKKWLKKCEDDSETSNWISANTKECPKCNSTIEKNGGCNHMTCRKCKHEFCWMCM GLWSEHGTSWYNCNRYEEKSGADARDAQAKSRHSLERYLHYYNRYANHEQSAKLDKDL FLKTEKKMTSLQSQSGMSWIEVQFLETASQALQQCRQTLKWTYAFAFYLARNNLTAIF EDNQKDLELAVENLSQMFEKPIPELADLKVDILDKTAYCTKRRVILLSDTAENLKNGE FFHSLAKPSNNITGVWSFNVKV EPUS_05047 MAPKPPKSSSSKGKSQGDEKEDVLQAVVIADTFETKFAPFTLER PRCLLPLANAPIIEYTLDFLAQSGVQEIFFSAGSHTDQVEKYIDESKWRLKSSPFKKF TFLKSAATSVGDVMRDLDQKGLVNGDFLAVSGDVISNFPIAEALAKHKARRQKDKNAI MTMLLREIGPRQRSRQRSIIPTFVMDPTKDRCLHYEESRPGRGSGLAFDPNLLSQAEL EIRQDLVDCRIDVCTPEMLSLWSDNFDNQSPRKDFLHGVLKDYELNGKTIHTHIVNDY FASRVGDLGAYDTMSREITSRWTFPACPDVDAKYKLSRQGVYQEDGVTLARSCRVKPC SIIGRGASIGDRSVVQDSVIGRHCQIGRNVHIRHAYIWDYAVVEDDVKILRAIIGDEA VVGKGCSIGEGALISFGVRLDQGRHIPSGSRITKARSSNNGSTPSDKALVGDAGEGYC YVEEEDDEIKPVGLGRGTSGLVPHEYLRVSVYQREEFAASNSSLASTDSQDSEVHSGY GGSRSESFATTGSDDDGTDRFHHEAVHSLFERMKIGTHQDDVRVELMGLRFAQNATED QVRRSVVNALMKYISGQAEAESFEISVAVKQSLARYGNLIQRDQSQEATAAGVGFLLE AQKDLLHRKGGEQILLHLAKELYDQDIFDEEVFSDWWTDERSESTDELRGIKEFSRQF LDWLAAADEESESESETEDEEVDEEVDEEDEE EPUS_05048 MQSPSETLAWDLSPAIDLLKTLSHCEPKNSISTTPRPPERSAFS DPPLVLSQADDEELSLGNFSRIWEYLSLPRNGGNADEGKSFEQDAERVAKEVRWRDEV SGADLEDNVDSTYVASTRTRKRAARRSRAKQRAEKATSTQINSYENGSDSASFNEDDS GEELRRLCRSPDRRAVIQEILQRSSKDAVDLPSPPTSPSPPKQSLRVLKKDWPISNPF QWSASTNSGSSRSQLLPLGGLSQEQRRSKLIARLAERYPSEGKYLKNKGLIHPEFIPL NTSDIGVHVFVDISNIMIGFHDCLKLSRDIPVSTRVRRVPMSFHSLSLVLERGRPAAK RVLVGSDKFPTIQQAGRIGYEVNVLERVRKAKDVTGRAKTNHNKGGMSAPSSGSETPG GPEKWVEQAVDEILHLKILESLIDGDRPSTIVLGTGDGKRSEYGDGFMKMVERALNRG WAVELCSFKMNTSGVYQHKEFRARWGDMFQWVQLDDFVEDLVDDQDN EPUS_05049 MASNLVSRLLPTGNGSPSIYETLRQHDESSDGSDIEQRASMALD EENLGSPFHDFEVEDAQLNRSQAAGRRFSKNNRRHKLQGSRMRRPGNLEAEDADDEVP ASLLTEGENQKSSPRGISHPLSPTGIPNNPIPISGPTTKAARNQWETTQANQLLYPRE TAQVTGLSRPSTELRGLSLADPKQKAMWRWANVENLDNFLAEVYVYYLGNGFLSIMLQ RSLQMLTFAFVAGFTMFLGNCINYDKLRRSGNMSQVLVPKCASHMSGGANLATWVLSF FWVLKLFQYLLDIRRLRNLHNFYKHLLGISDLEIQSISWQEVVSRLMVLRDANPTTAT VLPTKHRRFLGSQSKQRMDAHDIANRLMRKENYLIALFNKDILELTLPLPLIGKRQLF SRTLEWNINQCVLDYVFNEHGQVRPLFLKETHRKRLSDGLRRRFKFAGTLNILVAPFL VAYFLVHYFFSYFTEYQRNPSQIGSRQYTPFAEWKFREFNELWHLFQRRINMSYPFAS RYIDQFPKDKTVQISRFVAFVAGALASVLAVASVIDPEMFLNFEITKDRSVIFYLGVF GSIWAVARGILPEENLVYEPEFALQEVIEFTHYKPAHWEGRLHSDQVRKEFATLYQPK LLIFLEEILSMIFTPFVLWFTLPDCSDRIIDFFREFTVHVDGLGYICSFAEFNFKKHG NATQNVPPPSQKAQTGFTTGANAPLQTLREDYYATKDQKLEASYWGFMNDYARNPKTD IRFPYASTRRRFNPPPPFPGPLSPTLPIGGKSQAFTAARQDNSDRSAVCRRPSRNALG HDLATASTPKSGAGVELATGAANEITSPLTSILLDPHHQPPASGYGQSFAIPRGRRRE SKPHRVPKAAELDEDEEQEPGVHEGPHQDVEISGDGNLGSWKMEQDDAGSEEEEDVDA VIGDRGAGGVLGMIRQFQKAQVEGRAAA EPUS_05050 MDIEFSERQKRHAGRPRSQIQSIERRSSKQPTSPIKGGKTLREG SKSKTIRAVTPESPTEPLTARGAREAILTASPSSMGREALNASSCDQSASVSSAPQDT AVRVKPHKQKSNRKASEDASLSASPTSLLSKTRVRINSITTSNPFFTRSSEDSSHSIG FPSVVPPPTFSQSEVKSHQVGRKLQRLVKPAPSAALGGGAYYPEGMDLRSPPMNSDSD TILDLMKTTFGRMHGLLYFRPANARNWASGYCAINVTYGSLVYQAKGEPPLAKTLIPD LRGCRVRSLYDPEDECNYLSVSTASSGLRVHLRPPVPETFDSWLAALLCWQPIRPKGV QNKMTKPQSISIIERHTTDKRRASEASISRSPAIIKVGRMLLWEGSMDTDASHPTTSR RISTYKQLRAISNSWQRISCTLLDNGHFKLLTESDAQLISAIHLSSLSRYAIQQLNAS VLEDEYCLAIYPQYIAHPNEEIQAKPIYLSLESRVLFEVWFVLLRAFTVPELYGPEQP ISDLSSAQREPISNAGSSLCAGLFRVERSLSVKITEAKLTSRAPHENSAHLEASLTAQ GAQGGFYAEVVLDGQPRGRTSAKPQSSMFWAEDFLFEDLPPVLSSAVVLLKVRRADEK EWTTVTTGLYTLSPADNNQTSTNNEISVSSHDTIIGKIELQLDDMEQGVEIDQRWQVV DSDSSPIGYALMKLRLTETIVLMKEDYSPLLDLLRSFSNGLTVTVAKAIPSELRLISE TFLDIFQVCGNASEWLMTLVEDEIDGVHQEASMQRLRYNSRIHSNDSYESAEERELMV RDLGRSATLEANLLFRGNTLLTKALDAHMRRLGKEYLEETIGEALRDIDESDPDCEVD PSKVKAPQALDRNRSNLITLTMNIWAAISASAVRCPTELRQLFRHIKSCAETRYGDFL RTVAYSSVSGFLFLRFFCPAILNPRLFGLLKENPRPRAQRTLTLIAKSLQGLANMSTF GAKEPWMEPMNTFLQTSRPEFKAFVDRICSVPSERPSQAVSASYATPIQILGRLPQTS REGFPSLPFLIDSAKSFASLIPLWLQKRPDNLDAKMEKDHPLREFHELCVQIQRRTKD CLSKAEPAERPSGGLELEWQRLLVDRERYGTFEDDLVSPTFLPASDVVSGSSRTDSAS RRTSTSLFMRPLTPPFVPRANHEWEPDRPRGPTSVLAEHTRPAYSCTRTGESRKSASS SKNSSSLSLETAESSRLRSNTGNRDGSSKNRFKDFVSASARRRMREGVHPPRSEERSE F EPUS_05051 MPSSRGKKVVASRRRREDDGEEEGSMAEDLEDDSLSEGSIISNG DDDADIEPSDISEEDVVQAQNNRSGPAQPDPTLTTTKPNLEESSAKATSSKFVTLSDT NTMMNGLKVSEGKDIPVVDSDQVVAETTDSVVESSMERAKISVDPPAERSRREHLEYL KEKMENPAFVPNRGGFFLHDNRAASSGQNGFRAPARGRGRGGFIGFQTSRVPPFAEPT DQPWAHDLHESISTTDTKKPTASQTSENAKIRTTTALRSSSQDSPNRSFSTSILLGKV PVIIYLPGMREKVTVPNVAKKKHTLLPQHRPPLRRDKPVRISLPNQQPRYIFPSTERS FIFIPRALRPNQQGFGRGRGRSSFNPSRRTSVYGGSTYTPSIAMSRRSSLGGIAPGEG IRSPGGPVLSLPPNYVAEQGKPVVRFPPAAPPISMLPQATPHHVNGVPTAPGSVQPII QSHDKQEKVPGALTMHQPRPQKTVSVADIESPARLTFDPPQQQQEQPFHQQMPVPVHP HAYADDGTGYHAHARRMSHASRPSGTPLSQIPERAIYAQPFHPFPLPAQAQGYLPSPY APGAVFYPAMPGDVTGYGPSLGPSIPPAFLTGAPAPPYMLPAATMSAPVPVPVPVPAP APAAPADGNAPAGTVAHESNGMVYYYDSSQLPPTTGAPYSASFTGASAGGVVGMGGMM TPPNHFFYPPASNGIYYTAP EPUS_05052 MATQAPQSAPVGPDTLITVKVIIDGTNRRFKLALRDLGAHVLPQ KLRFLLAIPPDTDVVFERFSDSAGSYVALDSNNPAIYKQLYRAAKAKLKLRIKTTIVQ RPTLTEPVPNLMDEQQPHSNSLRLHTPKTLPASRHSYLETVLSTPRDEESQSRHYETS TVPAIPSSIPAATTATMSLGNTTNDTLVTPAELDQAKAAKNETSSKMTLLRHSDLGCG PDLLSSAFCIDCNNCKKSIPDEHYHCGICDDGDFDLCSSCVAADVTCDGEGHWLIKRR IQGGILVSSVTETIAPKKWQEPKVLENEKEDTHATQYAARTCNSCIDGVPGEELVTCT NCADYDLCMMCFSLGEHGHHPAHRFEPVSADASKISSRILSLCEAGRGLVHAAICDGC DKHIIGVRHKCLSCPDWDYCSTCVLSAPQIHPGHRFAALYEPIAEVGTHDEVHFGIYC DGPLCASKPRKQYIRGTRYKCAVCHDTDFCANCEAIPSLRHNRTHPLIKFKTPVRHVS VTTLGEKNNGQSMGQMGDRPSARNAATETTPVANSVSTATQVQTVAEVKPVEMVVPQK STEGDVSKKTVSELQAWFECDSTPDGSKLTPNRLFTQSWTIRNPGPDAWPAGCAVYFT GGDHMLNVDTKNPSSVTAMAIATKSNFMENTVEPGQTAVFTVLLKSPERQGRAISYWR LKTLDGTPFGHKLWVDITVATVPLDLLTPKKAEAVETAQEAQKNVEVKGEELKKTETS TMIFPKLDKESPVSSVHGLPTAVAAVEVKAEDEELAEDLESLHFEDEDETDDGFMTDE EYDILDASDEDLLMEAQSAAQK EPUS_05053 MTPSEPSTPPASQSRPPVLRTISSPPLPAASPLGSLKLDYLQQP SSLKIVTRLTPPTSPELARPNINSDTSKGAEHPSNSLICILQKQGKPILCEDSLQEGN VPRIIECPFEVEVARDSANRPQVFGQGAWSKVYQAIVRRPNTVSSASSPHSILTPPPS PQTSVPLLVAVKTPLSNASRTVLRNEAITLSHLTSTPLHENFIIPFHGYVSSTSSLVL APVPLSLSDHIGSRARLARENHPERDYTDPVLGPGSWLSLAGKTTTALAWLHDVGGVV HGDIKPGNILLLPSRSSDDFAFDPLLIDFSSSHILSSTSPISNTLSALTREYTAPELL SPSVLRDPSAVAKTASDVFSLAVTLIVAATGELAVYSGSVLRRQYMATQGWRILEFVK NGEGGMRVPSGGVVERVVEDAVRKVDDARIHASRWRDLVRGIQKEEVPHREMQDG EPUS_05054 MMKDDGSSPVLSPPPTEFPYPTVEDEKPVDKDMRLQSDTTLHES SEAMSDGRVCAAARSDPPRPDLNSRRFETERPKPVGHRASIFAIRRRATGGEGIKQAQ PSTERLENESTLSSSASSLEDEKARRSWTQPEYQGPGMSRLNQVQRHKRRRAGSKDQY GQFALGEKRNKSTGKVRSDGRLAITVNEKVNSGYLAKALGLTLQHHLRPLHRQHEEEK TVSPELKAPATTSKYGIPRLNIVIMVIGSRGDIQPFLKIGKILKEKHGHRIRIATHPA FKKFVQEDIGLEFFSVGGDPSELMAFMVKNPGLIPSLETVRAGEVKRRRESMFEMFQG FWRACVNATDDEKDVANLKMMGDRYPFIADAIIANPPSFAHFHCAERLGIPLHLVFTF PYTPTQQFPHPLANIKASNVDTDYTNFMSYPLVELMTWQGLGDLVNRFRVKTLGLEPM STLWAPGQLFRLKVPYTYLWSPSLVPKPPDWGPEIDIAGFVFLDLASSYKPPQELVKF LEGGEEPIYIGFGSISGIEDPDAFTKMVFGAVEKAGVRALISKGWGDMGKGMDIPDNI LLLDNVPHDWLFPKVRAVVHHGGAGTTARGLFCGKPTMIVPFFGDQPFWGAMVAAAGA GAKQCLPLKKLNVDRFAEGIKQCLTEEARQKAQEIAKCIEKEGDGAENAVNSFHRSLP LDGEHSLRCFVFPGRVAVWRYKKTQVHLSALAADLLVESGKIQWRDLRLLRHYEWNDF QGPGEPITGAGGAIVTGISDFISGLSSIGGRAKRGLQYREKQKRRKQRRTVANAIAIP GAIAHQRFNLAAPQADRIQSRMDNMEEVNLHGVAAPPAGKLQSFVPTSTNPAPEGLAT SGDITIFAGEKTREMPDPPSIPVTVLKAAAQGFGHSGKALLRLPVDFWYALALGFHNA PRLYGDGSVRPAPHTITGFRSGMKAAGNEFAYGFSDGITGLVRLPRHDIEEDGAFGLL TGLGKGVGGLVLKPISGVVGLGGFTAKGVETGLRKRVRDTGKTDRWIRRGRINQAQKD IRELREKKSSQGKEVVLEEARSQALRAWAMHEEHQVETAAKEKEKEKEKKKSRKGKGK GKGQDRGTQETPEA EPUS_05055 MAEYIWIDGSNGVRSKTKTVSKAVKSVDELPEWNFDGSSTGQAP GDNSDVYIRPVAMFPDPLRLGDNILVLCETWDPDGTPNKFNYRHEAARLMEANAEHEI WFGLEQEYTLLGAEGWPYGWPAGRGYPGPQGPYYCGVGTGKVFCRDIVEAHYKACLYS GIKISGINAEVMPAQWEYQVGPCVGIELGDHLWMSRFLLHRIAEEFGAKVTFAPKPIP GDWNGAGLHTNVSSKEMREEGGMKHIEAAMKKLEPRQVEHIKVYGEGNADRLTGKHET GNIDKFTWGVADRGASVRIPRSCAREGKGYFEDRRPASNADPYQITGIIVETIYGGLP PEQ EPUS_05056 MPSLPTLSGTNPTSSPQASVSEALYTVQAEAIDDISEALERAAL NPEAAAGAQEGKIGGKMEKVEQKTAVLAPPSLAPPRGVPGPRFELERSPFLGEDDEDD EPUS_05057 MDLEVSYDFEDAEQVWNELDEIVSRECPSQDLIDDALRSFLTVA TSSREKYISSEHDIARCSYILLSSPLFEKHEDYVRRQIVHCLLQDDEPDALLFVTSFL LFEIRETESTAELLNREGAFTRLLDLISNPKQNADATLHRMLMELLYEMSRIQRIRPE ELVHVDDAFVRQLFALIEELSNDVNDPYHYPIIRVLVGSPSRKSLKEMLNNVQLVLNE QFMVTAHEPSPSGMSPVPLTNKVMKALATQGSSFKTFGENIILLLNRESETSLQLLTL KLLYLIFTTPSTQEYFYTNDLHVLVDILIRNLLDLPSDAAALRHTYLRVLYPLLAHTQ LKHPPYYKYEEIRKLLAVLAGAQAIHDCGEDDLGSGVWSHFEEADETTKRLVSRCRTV SWLENPETTESEIQAALNSGTATSPVDVVSGEKEPPVPPIPRKLRKRNASRTASGYLI PQLDSARESALSVLEVAAQREKPGVMTPSRKASLRGAASKKQKPLPPRTRRSTWGRRA RDVEHSTEEHSTSDVTASTRTEDQKQSGPPPEVSTAILFSPKDEHYSPPLTKQPPPQA PKSRLLHNLPP EPUS_05058 MLRIRRYRALLVVAIVVTISLYHITRIRNWDTQSIGVDKLKKLG HQVASTSSPAVLSSSSIPPPVDLAVPIPNLSTSPLRQSSYSPTPVQSSTLLDFSEVLA TSTSTQTSRRAQITAHGGDVLAGNEAERIADGEVISDELKSYGQGRLEIDPGSAALEQ PHWVPQKEHFPVPAESIIQLPTGRPKRIPAIQHVFAAEASSAKMDNRQKLDAIKEAFK HAWSGYKEYAMPHDELEPVSLGFKDPFNGWGATLVDTLDTLWIMDLKDEFEEALMQVK KIDFTTSIRKDIPLFETVIRYLGGLIAAYDISSGRYPVPLEKAVELAEILMGAFDTPN RMPVTYYHWAPSYASQPHRASSRVVLAELGTLSLEFTRLAQITKEHKYYDAAARITNE LQTWQNNTQLPGLWPVAVDASGCKKANYLSTQVAHSASKGPQNLLPPIQNPPEAAVHE QEKTSPKQDSDSATLYQDRSKSKRQLDDAGFSIDEEPAYPASDNFSDGSTSSAASVAL EEKKGSTMGDVDCQPQGLASPPHVGMETFTLGGMSDSTYEYLPKEWLLLGGLNNQYES MYKSAMNIVRDKLLYRPMTETGRDILFAGTLKTSGMPPDNNETRSSAEIMEYDGQHLT CFAGGMFAIGAKIFGIKDDVDIARKLTDGCIWAYESTTTGIMPEGFHLIPCASRRDCK WNGTKWWEELDPYRSIREEQAKTWYQHQKLLNQAASADEEEQAELPLHHNLPVLPTES AKLDLEHSLLEEDANITINRSVDTLRKRQSPERNMDAGAGDESGLTPTPTDSDSGESE EDDEVPAMSQFSYPAYTPKPIPTHEEFVQARIKEERIPPGFADITNRKYILRPEAIES VFIMYRVTGDEYWREQGWKMFTAIQTYTFTEHANSAINDVTSEVPFFSDSMESFWLAE TLKYFYLLYSDPDLINLDDYVFNTEAHPFKRPK EPUS_05059 MCVASDSHSNHYGGIGCLGSPLVRTDAASIWATSRTWWQIPPVA RVNFVGTLPPGVTAEDIAVALNIFFKSDVPNHAVEFTGSEETMASLPVDSRLTIANMT TEMTALRFVSFSLSGLFPVDKTLERWLRNKATEATILDDRTTRLRITHEKIDELFANP LTADRDAVYAKQLYLNLDTLAPYVSGPDSVKVGTPLHELAPQHIKINRVQLYIAAASA PEQEAAEAVGDWQTLLDAGAQALPAGCGPCIGLVQACWLEQGRVGHRDAQTYLASPQV VAASALKGVISGPDTYEVPTNWSGVEYGYGTSAEPTTETKLSNFVQQMESLIEQVESI ETPRSEVDILPGFPEKISGEIIFCDADNLNTDGIYPGKCTYQDNFSKEDMARVCMENY DP EPUS_05060 MVLSEYTYIFAIGTFFALLEAYNNGANDVANAWATSVSSRSVTY RQAMILCLIFEMTGALAVGARTASTIKNGIIPISAFNDNAGVQLLAFTCASAGAAIWV MWCTRHNAHVSSTYSLVSSIAGVGVATVGARGVQWGWNGGQGLGAIFSGLAMAPVISG CFAATIFLLVKWVVHLRTNPVPWAVWTSPCFFLIAGTICSLSIVYKGSPNLGLSNKPA WFIASVSLGTGFGLFVLAAIFFVPYVHAKVVKKDYTLKLYHIYQGPLLFKRPAPPDAD RAKVPNYAVLQHGGEDEKDDSSSEHSVTKVHTDREKSAIKGDDIVTAAVPAKPRTLAE EEGAAALTPHEQYQLLLKKALERHHADLRKKRGPLGWAMRTLHANPMGAGSIHELHNL KALCIRLPAYVVVALLYGLYYDIHKAQVGVLGTPEGRRMDGVYAHATKYPNEVEYLYS FVQIITACTASFAHGANDVGNAVGVWAAMYSAWSTSTAIAAKAPVPLWQIAVTALAIC IGFITYGYNIMKVMGNKITYHSPSRGSSMEMGAAITILVFSQYKLPVSTSMCITGATV GVGLCNGTLKAVNWHRVGLLFFSWLMTIPIAGLIGGCLMGLTLNTPSF EPUS_05061 MCRWFVYISPEEPCLLSDVLIDPANALSKQCSEHYLPQLLPQGQ EHELDDAEDALLKLRNSLLNMDGLGIAWYTQAAANYVKSVEGPRPALYKSQSPPFNDF NFRSLCGNTETKCLLAHIRATSGSIVAQVNSHPFVFGRHAFMHNGGISNFLDIRRDMS DLMSYDAYCNILGTTDSEHAAALYMTNLTAGGTKDTWEKQYPISDMFNAMNKTVVQIM ELQKKQLGEKATPNSLNFCTTDGSKLIAIRFRNHVTQQPPSLYWSEFAGRTLNQKFPG NPDAPQKVNEEATKSDKDRIGKHTIVASEPTTYDEAEWHLISRNCALTVDEQGNEKEV PLEYDDSLNIRS EPUS_05062 MEFPGSTTISIDEINGNGDDDENEDGAFLWVYRYHVLSDGTYAK SFQESSSNACNSTLQQYRDHSVDSLLPELSIAYHADCILANTTETIKANMASAGVVLG LMPSLLSSLGPSLVVSTTLALERPFLSFLLAIAAPAFYPFRAFDYQDPLGPLKQATAT MPRITPLPRWAHILISLLQYLLALAAASNVIITSLNLGLKTVVTWKKDQCYLPLMWVA LHLAIHLCAMIRLHSFGEKVRTLPWNMIILAPSRLSDTNQQQASPKSPSKATYSTAIR LITAKTRLCALREGHDFSSGNETCLAAVLGVVLSVFSVVHLFMGTMIFSSLLFIGVND AWRIVLCYSLPAAVAQLIRTFEIAGLLHAGVSTGRVDRD EPUS_05063 MAERRAAEDPRPPRDASPPEQVVEDSHLPQSASAPWQAARNMRP SPSAPPARQIARSARDGDGLRTHILPASQSVPSPGQAAARPRASPSVSPPRNAARSDR GAEGPSTRGQQTFRNDVAEQQVVPRRQATRSDLTQQQAAGSTEGGGSPGFYGTHASPS GPAQQQTVTSSRSRQNQLAHCTQAGHSASDQQQTAGSAGAGDGSRVRHRHGSGIGASS DIALPHRDLATGCTETPSQGLGSRNRITQNQGAGSVEGRQDLHLQSLRGLPKRGTQFD PRITHHNNHRQARLPLGEPCSREETINPIPNNSKLTKQEQRRAKRHHRYYTCLRTDII LGPKTDSKDGALAGALTAHEFAVCLVSKYNRELPRGWPGMKVVDDAEDDVSNGDAWLL EMGIDDHDEEQPWPYWLVSPALRVPCTPTRSEKPGETREGGIDWRKVTAFTWDHLSKN YVLDEAGTSFTTISTWSRGNRYTPGAEKLYVSRLKRLCIAIIHFEDVFHRVMEHTDPP PAWYIDDPHFQRNWRDNPNLGRLPLTQAQSIYQIAAIEDKPENMERLLQSIEPELHDP DNLYCWAPKRSFRDHGFDDDHEITFFISRTCTSAANALNWIETVTLFVRAAFACPAPL LGNRKYPPNLQGFARFLRGNHRPDGTSYRRDSSGDSSSSSGSE EPUS_05064 MSTSPSMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDY RMGTLIDRFEEHDGPVRGIDFHKTQPLFVSGGDDYKIKVWSYQTRRCLFTLNGHLDYV RTVFFHHELPWILSSSDDQTIRIWNWQNRSLICTMTGHNHYTMCAQFHPKDDLIVSAS LDQSVRVWDISGLRKKHSAPTSMSFEDQIARANSNQADMFGNTDAVVKFVLEGHDRGV NWVAFHPTLPLIVSAGDDRLIKLWRMSETKAWEVDTCRGHFQNASACLFHPHQDLILS VGEDKTVRVWDLNKRTSVQSFKRENDRFWVIAAHPEVNLFAAGHDNGVMVFKLERERP ASAVYQNQLFYVTKDKHVRSYDFSNHVESPSLLSLRKFGNTWVPPRTLSYNPAERAVL VTSPTDNGIYELVGLPKDATGVSDPTDIKRGQGNSAVFVARNRFAVFTQSTQLVEIKD LSNSTTKSFKAPGGTNDIYFGSTGCLLLISPTAVVLYDIQQKKQLADITVAGVKYVSW SNDGLHAALLSKHNVTIVTKSLELVSTLHETIRIKSATWDNTGVLLYSTLNHIKYTLL NGDNGIVRTLDQTVYLVQVKARNVYCLDRAAKPKVLTIDPTEYRFKLALIRRNYDEML QIIKTSSLVGQSIISYLQKKGYPEIALQFVQDPQTRFELAIECGNLEVATQMANQLDR PKIWTRLGAEALSHGNHQTVEMTYQKLRNFDKLSFLYLCTGNEEKLTRMAKIAEHRGD STSRFQNALYLGSVENRIQMFRELDLYPLAYLTARSHGLTEECESILEATGLSEDQIS LPSLGSPATTPVTIVPTYKENWPMKAASHSSFEKALAGEVGDAIEDSTTDLLDEDVPP ADDPSVKAGLGDEEEDAGEGWDMGDDIALDAAGADSDFVKVDNPDDVVAGGSGSSEAD IWSRNSPLAADHVAAGSLESAMQLLNRQVGAVNFAPLKPRFMEIYTASKTFLSASTGL PPLVNYVRRTVEETDARKVLPMIPRDLETIAANDLQEGYTAMRMNKLADGVTTFKVIL HSLLVNVVGSQSQVEEARSIISKAVEYTLAMSVELARREMASASDAEQTLKRQLELNA YFTIPKLEVAHRQLALTAAMKQAFSKKQYSSSLSFANRIIANGTPAKLVENATRVKTQ SERAGSTDQLDIEFDPFAEFDVCAASYTPIYGGSPSVACPFDGAKYHDRYRGQICRIC DVCEIGAAASGLRLWVRGL EPUS_05065 MNQHHPLNQAANGGQTSSDLHIYEILDDEIGYDADVETVRPDAY EEPDSEKSEGATSSSENEERWQDELVKQMKTLDCSSNATTVSNEDGSSRGRKRRSKDA FGSSAVQVSTGLSESRLEITEVAEIADEQEARPRPKRMRRRSRRSKTIHGILCKSLGS QSEPGEHERWRTGSRAVTEDSVATESSPQDPLDDAMELG EPUS_05066 MAGTRSSSRLAAQSSSPSSSQGKSSSPKASAGTKRKQDSDTSPA AKKGKTTSKKKQKTLEETVGSNDADQAGENEADTKNGDSKPRIDNSDSNGKEDATETP TADGKGDKDVEMKDTIKRNEAEEEGSKKDVNVEALDSKPDASGEPEDGAVEPTEREDE TPASILEKGIIYFFFRGRVGIDDPSSVDDIARSYMVLRPLPHGAKLGEGEIGDAGNCR LLALPKKVLPVSGKDRFMVFVEKTKMTFKDLKDSFLSASDYATKTAGTRHTPAATPVG EGIYAITTTGRESHLAYILTIPAELSEVQQDIGLRERGSFVTSTKNPKYPGPANANLP KGPDYPKDVLDEFRSLRWMPLQPKHLDYVNTQFLVIGEGQGDIDKATQPQAQDEKEGK EKPLEELENLEGEDEIRVKHLNGDDAVFADLHISSKDYPKVQTTW EPUS_05067 MENRSVPNFPHLTPPEFAPFLTEHTTTAAAAAPPSPPGPLSPNP KPPPPHHHHHPPQPPRHTPGLPARRRTTRPLDLDPPAQHDGATYPPSAPPALQSKTRQ FLSLFSELYPCWVCAEDFQDWISRPENEPRVRGREEFGLWMCRAHNEVNRKLGKAEFD CRLWKERWRDGWRDGRCD EPUS_05068 MSDSDLSDAPRSASIPSYSELEQALRTQVRDALKAGRGDDASIT VSSMRKAAEEALGLDAGFYKSDEKWKGESKRIVLEAFDEYGADQGSPVKSKSPKAKPS KTSKPPPSKKRASPEVDNDAPKKRKKTQAGLSSKEALSTPPSEVSKEEKPKSNPKSKT KKPTQKSIQPAKATKPEKKPEPVQDHTESEVSDAPDAPATVNDVEASDSELSVLIDED PPPKKKRKGSSAAAEKRGRKPSAPKAKATDADINDPDQAEIKRLQGWLVKCGIRKLWG KELKSYESSKAKIKHLKEMLADAGMTGRYSIEKASQIKEARELKADIEAVKEGAERWG KEKDAKGADVDSDGRKKPKGRLVRGSQVLDFLSSDGEETD EPUS_08359 MRDPWEEVQHPQKAKQHFLAYLRDDVFRFNFYRLHMFYFIVVIL IFSIIVYGEGLANGSTKVNGTKLRYIDALFLCCSAMTTTGLNTVNFGSLTSFQQAMLC VLLLIRNVVFVSTFVVVIRRHFFRRKLAHVVEHSKSGRKVLRDIERQERYQSSRSKRS PSTELTPNGQISSHERRGSLRKRLTTNPAESRNRSSGYDQRYHHQNGYGWMPAPWEIA SVRNAFHHPFKKLASWGVRDQSYLAFDVNLDEKGRFRALNEYERAELGGVEYRALGAL LWILAAYNLFWLALGTAFLVPYSYRSSVVNVLHSTQLGSLNPGWFGFFACSTSFANGG LNLVNANFIPFQSYYFILTVCGVLSLAGNTQFPILLRLVIWIMSKISPSGSNFRQTLT FLLDHPRRCFLYLFPGKETWYLFAIQLMIDCTGWILFETLNLGLPAVMAIPTKVRVFD GLFQATGLRTSGASIINMSYLAPALLIAYLIIMYISNFPIVMALRQTNTYGERSIGLD KGQTGRGLAMHLQKQLAYDLWFQLLAWFLICIFERGKIVAEQPGFSNFNVLFEVASAY GTVGLSTGVPYDTYSLSGAFQTTSKLILLAAMIRGRHRGLPLAIDRSILLPGEELMHK MDTEYKEDGELHSQDEAEVRRDEELSGRNDMSWGEGAEQDPERDNNGNISGDRDGESG SKTPNQNQKEKNRVDFQLS EPUS_08360 MFVLSHVEHKRSVRPSVLLNVYLFFSVLFDAVQLRSLVLSEGSS LVSRFFAANIGMKVVLLVLEAWEKRKSSVDAYANLPVESTIGIFNRSFFWWLNATLLQ GSRVSLSPEDLQKIDTALEPRAWAKTLQEAWIRHSIPNASRRALVWASIKAFRKPLAA MAFPRICLIGLNFAQPFLISRLLNLLRDPDSIKNHNIGYGLIGAAGAIYLGIAVLNGW YKHQLFRCITSFRGAMIAFIFDRALHLPDGAYNESKAVSLMGTDTDRICVSIERVHEV WAQLLEVLIGVSLLGLQLGWICVLPVAIVLGSTVLSSKVATIVPAKQKIWAAAVQKRI AITTSAIGSMKTIRMTGLTRAVTRILVEQRTRELRLMAGFRWVSLWLNSIANIPTAFC ATVTFTAYVVQAHIQGREGLDVTRAFTSMALINLVAVPAGRFLTAFPVLTASLGCFER IQEYMLAPSRLDSRILSQTMENAVELDSVKFATNTDTRTRPANITLSLRRGALTIITG PVGAGKTTLARALLGEIACEAGTICVYTTKVGYCAQTPWLLNLSIRQNICGRRTSGLF DDDWYQTVLQACALDVDMKHLTEGDETMVGSKGTKLSGGQRHRVALARCVFARPEIVV LDDVFSALDSETEQIVTERLLGPWGLLRRLNSTIILISHSVHHFRMADQMVVFGQDGS IKHCGSNVQVADVYAVMERPVSQSISRQVEGDFSGATSQNRNTSEKSREKVSITSGST GSTSKSSTYRYYANSVGWRKGSIYVLFQAAHIITVSVPQVWLMWWTTAGSGRLAMFLP IYLSFSFLQLFNQGVAIWSILVWIAASTARSLHWVLLRTTIEARQAFFASTDLGEIIN RFSQDLTLVEQALPQAVMMTVGMFFMLCGQLALISLGSSYVAATLPCLLIAVYFVQSF YLRTSRQLRILELEAKSPVYSHFMETLDGLTTIRAFGWLEESKAIIFSRIEEAQTPYY LLLCIQRWLNLVLDLIVAALAVIVVAHAVSLRSSTSAGRLGVSLNNVLGTSTILSYLI NAWTQLETSLGAVVRIKNFESDIVPEARPDENHVPPQSWPQCGQVDIESVCATYKEGP FALEAITMKIEPGQKIGIGGRTGSGKSSLVSALLRLLDLHTGSIIIDGVDISSVPRSF IRDRIIAVPQEVFLLTGSVRLNLDPDSLQEDEKLIEILKRIDLWRVIESRGGSIVIST QTPFRKGSCRF EPUS_08361 MLSRFLQSSWKNQHFALLPNNDDVEEFNGKDEVESLNPAPNSLL RRIDQRTWQLVRTRYLPHILGAQPYSERPEFGDCGEQGSLERPRRQVAYLILSLLSRK PKCYDAELAAEFVGKINHKFYRNETQTAENEVPLEERHAGDRPYILRDESTTICIAIT LGEAAPSVSE EPUS_08362 MPAEKSSSLDNRRALRESRLRPWLSSIDSSKAATRLQELRNRRQ AREDATRARSQAPSRMALEKASTTQVRSQAIRQPARSQATTTAAPDKASTSQARPQIS RVQEALNRIQVRRDTQQARPQASTQAAPVVASRFRPVGESRTMEILAKKERAHARLQE AKNGVFASRLRRPQAVAKAHHSESKLVVPEVLPNKSAKLPSSASSTLPSGGQSCSNTQ RGAGAANSSARLVSRSSRSSTRVADSSLPAGVYEKVLRKGSHGPAYTLNKVSWKCRNG WENVFSPPDRPVVIDPEPEGTETHTSNVALFISPAPRYEEIGKTSVHPDCARPRRRPM APPLPVPPSDPSVRGPERSETRLTSAGSVAKEGPSEKRPGYSSKSRFFHLRHKLGPMP SGEPAHRSAAPRQSSLKTGPSMDNKTRGRLLGSGATTNNQPLGPPMARSWGCFCLEGR Q EPUS_08363 MASESPLSPKPKSAVPSYLVNGTSQSGRPSRKRERENLTSSIKS TYGRRLAASPDSTKEGLSVKRRKETLGVENGNEQLLSLPARDPRDEAPITPPATSSRP ASPYTLQPPIDYDGLSWPSVGTRERLEATPEQAQETLQKLAGAVKTILKCVGEDADRD GLEETPMRYAKALMYFTKGYEENVRDLVNGAVFHEDHDELVIVRDIEVFSLCEHHMVP FNGKMHIGYIPNRQVLGLSKFARLAEMFSRRLQVQERLTKQVALAIDEVLKPRGVAVV MESSHLCMLHARCHAIQCQNSRGVFEPTESSLNKGDLTECSYLLDVAIMHESNSSGLG LLKAIKAALESLQHTNKRSQRNYHSFPNRSKRNLMDLDQHRQKRSRLRSADGNNDLSQ RDAATEDDAMQAGPSSPAQVLAATNALRNFSLQDSQPPVVQTQNPTPPASFITQPSLH QNGVPSSKFSFNNNNNITFNPTPPPSFPVTTNAAHNARPHPRTPSNLHAPSTRPGPGL GAFDLPLHGSRNLQASGREAHNPPPQADSPRNQLATRPGTQQMLSLPQGAPRPQPLYT PSPQKEDVKSFQDISALSSKETGRSLLRTSNLPEGTSKSSISSEAARHEPPEYERSSH WVTTIQRRGNTPVYKITADDKWPEDRLFPRSPPAHPLNHYTSPLRVENAATIASRKRK RGWPALPPPAYIYKRTNSPGFNVFGGILLYPELCFALAANLPVNDLVSLYAISKDFHT IIDTRFATVIRSQAIRKCPESLRIFPARCYKYLCRLDPSPRIPHPHPAKQAAGEIRKV PSFRWLKMILFREKVCHEIMALMAEDGVPLPSRCELALKKMWFLMDIPDNARRIGYLH TTKLMTDLDLYFIMCFVVKLDMRFHDPMATNRYHGLRRMLLTQRGLLPLWRALKRTDL LTKSELLMMYVTTKSASVPDEEGLPMFGISEEKIGKLRMEYWGERSTHDTGKPCTFLL RPDQLFMREIVRRKMVFSKHFIRCLLWGYVNILTMKDYPSRVWDRKILNLDDEYQDDD ECGGYCDLRSSVTDELLDLAAKKPVSMLVTQREQSGQSGAQKEAFLEECMKWYLEERQ RT EPUS_08364 MPPTLPSTLLHTISSHNGPVNALTFSSLGGTYILSGSSDRQIHL SRSEPTSTSTPVKARSTTASTAPIQKYVAHGYPILDISCSADNQTFASVGGDRSVFLW DVQAASTIRRLGGTQQGHTSRINTVSFAGAEDSVLVSGSDDRTVRVWDLKSRNANPIM VFEEAGDSVSAIVVRGEEIIAGSVDGRVRSYDVRMGLCTEDTMPAAVTSVQITGDGQA LLVACLDSKIRLIDRKDGTSLRAFCGNEFKNEELRIKSCFGKGEVVVMSGSEGSGTVN VWDVMTGQVVGTIEAAEKVISVVRWRKKGRGVEGIWASGGADGVVRVWGD EPUS_08365 MAPATVESASPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGK TTFINTLFSTTIKNYADHKRRHAKQVDKTVEIEITKAELEEKFFKVRLTVIDTPGFGD YVNNRDSWMPVIEFLDDQHESYMLQEQQPRRVDKIDLRVHACLYFIRPTGHTLKPLDI EVMKRLSSRVNLIPVVAKADTLSPADLARFKNRIRDVIDAQGIKIYQPPVEEDDEAAA SHAKSLMAAMPFSVIGSEKDVKTADGRIVKGRQYSWGVAEVENEDHCDFKKLRSILIR THMLDLIHTTEEMHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEENLRKRFTEQVKV EEQRFRTWEQKLIGERDRLNKDLESTHAAIKTLEQELEQMQGSAVRSHGRR EPUS_08366 MKTDFKFSNLLGTVYRKGNLLFTPDGDSLLSPVGNRVSVFNLTR NSSYTLPFAHRKNINCIDLNPQGNLLLSIDEDGRAILTIVPRRISVYHFSFKSPVTAL AFSPSGQHFVIGLGRKIQAWRTPSTPDTNGNSELEFAPFVLHREYGGHFDDVQNLSWA GDSRFFVSASKDMTARIWSLDPEEGFEPTVLSGHREGVKNAWFSSDQESVYTVSQDGA LFKWEYVTSLRQNGVDNEMPDLHEERWRIIQREYFLQNNARLKSASFHASSNLLTVGF STGLFSLYELPSFTNIHTLSLASSPISCIATSPDGAWLAFGSSKTGQLLVWEHASESH ILKQSSHLDTMTTVAYSSDSTRIITGSDDGLIKIWDVRSGFHIATFTEHSSAITSSQY SKRGSILFTSSLDGSIRAWDMLRYRNFRTFTAPTRLSFTDLAIDPSAEIVCAASHDSF DIHLWNVQTGQLLDRLSGHEGPIATLAFTPDGSILASGSWDRTIRLWSIFSRTQTSEP LQLTSDLLCLAIRPDSSQLAAATLDGQLTFWTLSTSAQSSVLDARRDISGGRLLTSRR TAANSPETKQFTTITYSADGSCLLAAGNSKYICLYAVSTLTLIKKFTASVNLSLDGTQ EFLNSSAILSNGMPRDMIDTDGEASDLEDRIDRSLPGVRRTGNRDAASRQAKRPKVRV TSLEFSPTGRGFCAASSEGLLIYSLDTQLADFDPFELDIDVTPQNTRTVLAGKEYLKA LVMAFRLSDDKALIKECYEAIPYQTMPLLVRDLPRVYVGRMLRLLGEQMDESPHLEFH LRWLKEVLCVHGRWIKENQNAFGADLRSVLRGVDGVGRMVRRLAERNSWEIEFLVGQK EMGQWEASSTNKAMAIVNSHGANGNGDARIDGANGTARSEMDDGESEDEWIGLK EPUS_08367 MSDRPMPPAQRLPLDTSWPDEDVFVQSLLSFATECELFRNLCGG VHILDFLTREPELYATVLPQEWRNWFDQVDVHDVLQLLLREDLDPLLSSQQPVSWREG PDPPGSLIRYAITIRKHCLLRDYNSPTLGSDSGVMPRRLVAGMKPKKLHEVQHFSTYI DRLSCFVAEETGEPVSGFVDFGSGQNYLGRTLASPPYNRNVVAIERKHHNVAGARRKD VYAKLAKKEGIMRNKKEYKRQLMEGGSIDECVSVASDAQSPGGGTGNHTVYEKSDVGL SGSITYIEHEIENGQIEEIIYPPACIPTMSCASSSTARDYLAPSTPSGLAQHAMSRPN LMVISLHSCGNLSHHGLRTLEPSLNPSVSAVALIGCCYNLLTERLGPATYKHPLLRPR HPRLESTGSAYDPQGFPMSRRLEEFSNPDGTHGVRLNITARMMAVQAPYNWGREDSEA FFTRHFYRALLQRMLMDVGIVKQSSSTTSSQDVVGGSISGRDEAGTPLIIGSLRSSAF TSFRAYVHAALEKLSRDPLIDNLIQSKTIGFNDDEIASYEMRFASARKQLAVMWSLMA FSAGVVESIIVVDRWLWLKEQVGWIGKCWVEPVFEYKYSPRNLVVVGVRKRREKQETE KEELNTGSSETKEKKIGLN EPUS_08368 MSLVPPHPAAYGPPPPRASAAPFVPPPPPGYGQSGSPYVASSSV GPRKRLIATCDGTWLDADSGLRNGHRQPPSNVSHFGWAVKDTSSDGIPQVVHYQAGVG TSGGILSRVVGGTTGEGLEENVRETYSFLAINYREGDEIFLLGFSRGAWTARSVAGMI GALGLLTRQGLPMFPEIYMDFERRSDLKYIPRWPDVPFPDKPSFDDPAYVAELQRRGL TRTNIPIKAVGVWDTVGSLGIPVPLPGIFGRLGNGRLSKEYSFYDTSLQECIENAFQA LALDEERAPFAPALWEKPRRSTTNLKQVWFPGVHSNVGGGYDDADLANITLAWMMSRM EPFIDFRPDYMMQLYQKNKQHYRASGQRSRQWSFGEIPDSMMGIYALAGKRTRTPGNY FRTDPHNGRTTSKRLKNTNEYIHASVRSRVGLGGPGVQDRGRYDCKALKDWSFELDPQ SADAKEPMVVWKNMSGRKEGQMVIPEAALLETERRLLEMSSKVEDYVLDMREPRKSRS RR EPUS_08369 MAQAGGIPSKVPLERTDDGRLRFRGCSRIQDYDFLGKLGEGTFG EVSKARSKRTGAVVALKKILMHNEKDGFPITALREIKLLKQLDHVNILKLEEMAVERS KDPKKKPSMFMVTPYMDHDLSGLLENPSVHFTEPQIKCYMIQLLEGCKYLHENKILHR DMKAANLLINNNGILQIADFGLARPYDDEPPKEGHGGGEATRDYTCLVVTRWYRPPEL LLQLRRYTTAIDMWGVGCVFGEMFKGRPILAGNSDLNQAQQIFELVGSPNDDNMPGWS ELPGCEGVKEFEFRRGNLPTVFREQGSLAISLLSELLKLDWRKRVNAIDALKHPYFHS PPFPARPGDLPQFEDSHELDRRKFRGQKQALPPAPAGGSVGMGPNGQWAENGRPPPNI QRGRGHQPYQNGQGHHHRPPPPYSRPYQPGPDIDTYRRPTLHPDPSLPLPPKPPPALD QSGAFRNNNRVLVDPQYNGGYRNDTRGPGIMDQRRDRSGGGAAYGGDRRRVPEVAKVD TYIPNYSNVPERAAPRRDEPPPSRDGYPPRESLPFARDSIPPSREAYIPSREHHLLPP RDPTYPLRDDLPPPRREDDHRRDGLPYERGRYEDGRKDAGGYDRRRSRSPRGRDWDRP PMRERDSGDRYRR EPUS_08370 MECMKDSFVKGQLLDGRFRTVAPLNHGSFGMVFLGEDLKTGREV AIKCSSKQMNADGAVPQSPDDEELYCHQVLRHDHLVNLLHHFETGAHTYLVLEYCSQG DLYEAIRLGRGPLETEHVRDFMLQLVSAVEYMHSQGLYHRDIKPENIFLTQDGCMKLG DFGLATRATWSYEYCVGSDRYMAPEQYDPAGTGYSPCKADIWSIGICLLNILFSRNPF ITPTESDLLFADFRRDRQSLFDVFPNMSQDTFEILTHALAIDPEKRSLSAVRDAIVRT VSFTTDDESLDDFCTEERDFVRASANREPLRTPSIQSPVLNQADSFPWAKVLRSSPPP KARQLSAIPDTESYEEDLFPGSEKGLTSSWFTGYHNTPSMASVLDSGLGASVKSTAIR RPEKRYPPKSDPVQITGSLPARAPAKLIPSMSTVFGKKDDGVSKSWSDLWDEEAEESE QEDLAMRQRREQNSRSFSHDSEDDDVTIRLGEHPARPVVLGEKSHSANNIRLSDFKSA VPIGHSSHTDENGSFVAADSRPLKHAPGKHTSADKWAVLGNKRRNYQPAKDSLPAAPK KRSMTMSRRKDWGLGSSGYDYGVPHKRGSRGPQHARPVWLDQDWRRDQHPLSQHRPVY DSADDDLEWVGGWHAFHL EPUS_08371 MATNGAHGTTHPPTSNEQVKTDIVTLTRFLTEEQAKHKEATGDF TLLFHALQFSFKSIAYWIRRASLANLSGLAGSSNTTGDDQKKLDVIGNDIFIASMRGS GRVRMVVSEEEEEAIIFDEHPNARYAVACDPIDGSSNLDAGVSVGTIFGIFKLPDRCV GAGKKVTKNDLLMPGTELLAAGFTMYGASAQLVITMKGGNVNGFTLDAALGEFILTHP DMKIPKKRAIYSVNEGNSLYWEDNTKKYFESLKYPVEESGKPYSGRYIGAKELADTWY IITGSMVADAYRTLLYGGIFAYPTDKKSPKGKLRILYECAPMAMVFENAGGQAVDGKM ARMLEVQPEHIHDRSGIFLGSYDEVQKVIEAHK EPUS_08372 MSTFGGFSGFGQSNNQNTGGFGSTNNNSTTSGFGSGFGTSSTTT NPFGGGGATGGFGSGGGFGPSSGGTSLFGQSKPGFGATTSAGTSLFGNTATAGSGGFG TGSSPFGGTTVNSSPFGGGNTGGGFSFGQSKPAFGSGGGTGLFGSSSSATGTTGFGNT QTNPFGQAGVGTALGQGVPPSEGTAITPFNPTNEKDPTGNGTNSFQSINFMPAYSKYS FEELRLADYDKGRRYGNGSGQAGGFGGGAFGGGTFGGQTSTAFGGTGSSNPFGATSSS GTGFGQTSTGGFGSGGSTSFLSTNKPAAGGLFGAQSTSTTSGGLFGTAPQSTTSGFGS TGGAFGQAATSSNPFGASQAQSKPGLFGGTSGGLGSSTTGGGLFGGAGSTGFGANQST QGSNPFSAFGTQNQQGQQAQDQNKAGGLFGSGGFGTTQASQAQPSGGLFGATQPATGG GGLFGSGTTGQGSTGFGASGQSTGGGLFGGSGTQQQKPSLFGGTGSFGGTQNQGTGGL FGGTGTTSQPQGGGLFGGTPANNAGGLFSNTQQQQKPSLFGTSQPNQPAQNNSLFGGQ STNSLFNTSQQSQQTAQQPQAFHSSLLDGNPYGQSSIWSGLPEATPQNSGPLVTPLSA SQRLKESQMKAIPSFRLSSSKLMTPPRRLGYGFTYSTYGSPNSAASTPSGGGLSSSMY GRSFTGGSFGRSMGKSFSASNLRQQFAADGESVLSPGAFAPGSSRYSSGSIRRLTIDR NLKTDLFSRSAPAQPTLPAPSSSANRVANGATTSATNGDNTNSNGTTEQPSKLKKRVS FDKDATGGTQDGSINGVNGALVRTEDESPEPSAEEQGFLRSSRRGNPTDGSASAPPQM EQVRGNELAVVPEDRETDSVVSKMRLPSDAPAAVDPKPGEYWMKPSRGELSKMPRERL QHFKGFQVGRQGCGYVTFEDEVDLTTVPLDDLFGKIVEIRIRSISVYSDAHNKPPRGK GLNVPSTIHLENSWPRARGAPSSATSGPLFDRHIARLKKMPNTQYVSYDTQTGVWTFQ VPHYTRYGLDFDDEDESGNESLDSALSDLPEDITEPGQTSRAEHAADSMMDVDGHTTE DSSPEEDTFEFRKTSVPGDFDRHSAIDYHQDESALDDVAASGVSGSDKSYESDEQDSG EEMNMAGSFPQPMHPTDALDTPAKSAMKTSQHPWDTPGRPLIDLDGDWAEQLQRTISP RKQNREALREVQGNVLLHRVSSPTKQQTVNKQNEIRTNIDLMNSLFGQHEERMALSRQ KIEGEHVFTGSDVEPIHYPYAKRAKTFDANAEMTSPDQKWHNSFPVKWTPTGSLLHAW EGLSPATAEHAWTWRDTNLNISRKRDIVESSLIGDGRTSYNNSEWGWHNAKITVADDV PLAKQKPLKFSTFCEQITQRDQQVGQTDESKSELDIYELAHVLFDKYDDKFSKGLNPS QKSKFAERIRKDRLSEFWAALIQEKHAEQLQSTPSEENAIALLTAHQIHDACHRLSDL GSPRLSIMIAQLDAADEQFQSDMAGQLQAWRDQNMVSEMSEPIRALYELLAGNTTISE GKSQGPLENRASTFSISERFNLDWTQAFGMCLWYGKAKNGNIEDAVKEFAEKLASKQE SAVPFPDGGSGGEQQHPLWVLLQLYAATKGAQEDAPTLPQALAPLTRAFDNRIPFQLY HALVANIPSLPISSELADKLAIDLSFQLSASSFPLGAIFALMHISEPHIREYHIRDVL IRHAATLPQMLDRKAAEIDKQWQILIEKLKIPATWICRAKAFYFRAIHQSLNELRYLL LAQEWVEAHECLCRRVAPRAVIEEDWDVIKVMVGQFGNQIKERVQDWGTGGGMYEDFV ILIDSENSTIETREGSQEREARIKRLQSALEEVGRTFKKRGSNLTAGGLEELEQRVAC QEMARRVADLVARDQKQSSLLELPLTADAKLGHATELARRHYRAVMATAR EPUS_08373 MSTPHGLKEKQDGKVRRRKFGSKTKTGCQTCKIRKVKCDETKPF CNRCTTTGRKCDGYPSKTAPVARKYTPRIVWVPTRTTGSTPEKRGFQFFLINTVPELA GYFTSSFWEHSVLQASTAEPSLRHAIIAIGALHEEFRSRRLMSTSYGEKTSTRIDEDM GTAFAIDRTYQCDRTLSAKVITKLANSLEYTKALSHLRRSLSSGKQAPLTALMSCALF VCFDSLRGNFESAFLHLKSGIRVLRDIRMGKLQAEDHYIIQKNVLPLFERLSIQAYLY IDTRETEARVRFSREWGGIQSIKGVEEKEFRSLEDARDSLYRAVGGLIRALFACHGDL PMGLQSIEVLRLFKTYSTLLHQWDQKFSTFMAQNSATLSLRQIRGAALLKIHHTITQI MSLIAPISPENPDHMQTSITVSMNAPSAFIPHTQKMIDILNLSRSLLTATDQDSKNRE PSLTFSSDLGVVGPLYYVCTKCTVLEVRREAMELLRTYKRREGMWDSDTGTKIVGEFW ELEEQHNALQREAGVGREGVTMRERVRLVLESEAGWRWTMCYNEGEEAISDGNGGDTW WGLLNGQGGPREGEILLVGGGDEIGRSVFLDTLSGDDPFGTPVNFLHE EPUS_08374 MGPGPSSTQRSPKRSPKRKVLHERSQSQTNRIPQRIPNDGVKPV IPSSTPYPTKAAHVLLPSSIKSRDGRSDISGASFAARIAKGKEKAHPGASQIHLGVPS TSNPNALGIKRSVSELRDLYENKPGSRPSTSHSGLSSKPSSLISSPALRGHFLGERLS NHGKFLPSELEEVSSLPSPQHPSCSIKKITSESSLPPPPTPPQSTLSEGSLEVSSSVA EGLPTTSSSPDLITPGSSSSAPDEAPALSSSPNFISLGHSSSQDFNSQAHVLNLNIAP LKLPSSPTFNPILPFSSKAIRSEHSSSPRSEPAATSSSPNVIALGSSSPNYVITKYDD SPEASVDSLRTVKKRRNEVVHEQPSTSTFSTRSEQFSSSPPEPRRFVASGSTLDSPLA SGCHLESSILSENSGSRAHAELQAALGSSPVPEIQYPVVLAPRTGTWEDLVVPKRAPR FACEDDPPARWNPHLSTVLSEWSEENQLGSFHTVGTDDSSDTHSIPEMPQAAYTRDRM IDNSTTSILHDADRREATDTISALRVPQLHNKTSGLLSILSGSSRSNSMRSIILRRTN SSGSLHSVIRFPAWARRYYSRGPSDSFYSLPLDISSSNLCQPSLPSTALNPPTIQPPS HSLFRPRTRGGKNARESHMLPGIGPLVSNPSQHRLSSLALHPADPRSHWAGAERSALQ GELNHQPPVGSRFANPWSPHLFPDNRASGRNRWLAPSINDTGAPIFTLRNAHMLGFML GFVFPVSWFIAAFLPLPAKPVMKEVVQDPEVGGLTLQEQLDRQTTIGEEIRYTSLRWW RNLNRFMSIVGLVVIAIIITLAVIGTRRGFSPR EPUS_08375 MPPTNLLNRLKRYYDANSEKDLPIVSPSIAASLSTLFTLVYVLP FYLFPSTRPSPNLNRDAPTVIRARIRTVSFACFISTLSTIYLIHYQSTKTANSDNFVS LETLHLLGYWPLFQNFTTSLLDPLLLTSILFLGPLFEKLVVQQSYRHWLTGPASLPTT LRSSTGFRNYVAGPITEEILFRSVIIPLHLLARISPTKIVFLTPLYFGIAHVHHFYEF TLTHPYMSTLPALLRSLFQFTYTTLFGWYAAFVYLRTGSLITVILMHSLCNWCGLPRF WGRVAAGEPIGPPPGFVRVEGSGKDDDETDVDAIHVGGASQVPGLAWTVAYYLLLSIG VYGFKAGLWRLTESSNALASFGET EPUS_08376 MPSILTRRSRSANSDDEESLPRAQTPSSVAPHDSKRARRDGAGG IESPEGTRRSSAHGLQKRPRVRKAVARQQVNGDAKLVIRQHQPGAIVRVKLHNFVTYS SVEFFPGPNLNMVIGPNGSGKSTLVCAICLGLGWGSQHLGRARDVSEFVKAGCREATI EIELQKDHRTRRNPIITRIIKKEGNKSIYTLNNNPVSGKGVQELARSMNIQIDNLCQF LPQDKVVEFAAMTPVELLQSTQRAAAVPEMLEFHDSLKKWRAHQKELLTTSKGDREVL KNLESRQEMQKDDVERLKQRDEIKRKVAWMEKCRPIPRYQDAKAKAIAAKERKKILTA ELRSLTAELAPALRRVNERQNYEIQVRKALQHGKEISEKADRSAENVLQKVMDLEQKL KDFDGMSEAEIRSIKSKREDKNRIQGIIARIKKQMGEEPEPFDHRAVNDKMAQLNSRR RQITDTAQEINEQIMDLKRRGQAKLNEKVADQERLAGLDTQSGQQEHKLQQLSRDSYQ AWRWIQEHQDQFEHKVYGPPLIECSLKDSRYANAIESLFQENDFKAFTAQSRNDFYTL QRVLNREMRLQDVSLRVCSNSSMDQYPAPLSDADLRGCGMDGWAIDFLDGPAVVLSML CGEKSLHATAITLLDISQEQFNQLENSNVRSWVVNGTLFQAVRRREYGAAGNSTRTRT LREARIWTNRPVDPAAKDRLQQRIREIESDIATIKEEMVNLTERRRELATENKAILEE TEALRTDKEAKQRALTIFKALPTKLTQEEQKLATIDEHLEGVRRRLDNIQKDRDKVLL EKVDTTIKYAEAVAEFRKTHDALLELEMQHIEAKSDFETLKSRNEHVQATLEAKQDEE KAAVEESTRQSAIAKEFIIHVKKLAAEAEELAEAGDTLWKDYLSEYGRKSGDELEADI ESEKARLDLTHEGNSNILKEFEDRQQRIDKLRQGLEKFVQQKEEVQSAIEEIREKWEP ELDALVAKISDAFSDSFARIGCAGQVAVYKASSSDHEDNGDQPAGSDGLDFANWAIHI SVKFRDSEPLSLLDSHRQSGGERAVSTIFYLMALQSLSRAPFRVVDEINQGMDPRNER MVHGRMVDIACGEDDSNGDKGPGSQYFLITPKLLSGLKYKRGMRVLNIVSGEKMPAYG VGEHRVDFAAWVRRARELGLGKMMGGIGRGVGVEERRLDLGMHLNGNLGGASHGRTDI GDGTSDEEQMDSVRSQSRLAEVGA EPUS_08726 METISSITTVARKSVFGENATATQSGTEPPTGDAPGKGTPDVPY DPGNVPEQVPTESGVEPPSGGPPGKGTADAPFDRGNEPEQVQITGGITNTTSATDSVP SNTTPANNPDHSNPSEIKAAVASNDASNPTSTTKLPNDTASYDTESNPQPTSTVKPSS HSALFGLGKKEDGGASDTIHPPKSSRELTGTIAEAAEVMNEQAEKESKGATEDDTGGY VGLKKAAMEEEEKRRNGGASEGTGWAPGAAVTAGEREPRSIEKKDSLGREISPGEMPD GTHRKSIAEGMPQGHHFGRKNTGGNGEKSGGFRDRLKDKFRSKK EPUS_08727 MSAPDLEHRPVKKRRFFVDDPDDVSPQDAPNIPSAASSSRPSSS TSTINPSKSSETDATDSGPLGPTDLSARFDVKTFAAFIGEDVPIDKLQKIRHAAGDDM ERAINMYLDGSWKVAASSVETVRKVDPTAGQPSVGVSDSGGSNSAERRKSSPHRLQPG ESPVSAALKVMPKERYVGAFGVGAWSTKSGTGLISHGEKVRIERSKIAPKTKLGRGGK VIQVIPRTQKSDVVTRFTNSRGQEVGRLPEETAKWVSTLLDQKLCRLEGACVYAPDRL RVNDTIYLQLRCYFLRTAFEGGAFLRPNNDNRATGIFEEKESREEKDLRLRQVALVKL FDEINLHPTTTNEMMSRHKKDGILKAAEMAEQYDKEKPKKSREDGASSPPSEDEDGQE LEEDQLNTLYQKAQSFDFSMPEAEPADTFALSLRKYQKQALHWMLSKERDIKSSRHDS MHPLWEEYQWPSKDVDDQDLPTVEGQAQFYVNPYSGEISLEFPVQDQNCLGGILADEM GLGKTIEMFSLIHSHRSDVAMAASGAAITSVNSLPRLPHTSSAVEPAPCTTLVVAPMS LLAQWESEASKASKAGSMKSLVYYGNDKTANLQSLCCTANAASAPSVIITSYGTVLSE FNQVADLAGNRGSHGGLFSVEFFRIILDEAHTIKNRQSKTAKACYEIEAKHRWVLTGT PIVNRLEDLFSLVRFLKVEPWSNFSFWKTFITVPFEQKEIARAINVVQTVLEPLVLRR TKDMKTPEGEALVPLPPRTITIEEIELSRPEREVYDFVFMRAKRAFNASLEAGTLLKS LLSQTRKMRQHDMDLQELIDRFTATTTSAESEGAWTTQDSALNFTTHALRQIQTESSG ECPICAEEPMIDPAVTACWHSACKKCLEDYIEHQTGKGEKPRCFSCRETINPRDVFEV VRYGSALSASASPASSTSSDDLYTSATPVEDSSVSKPRISLRRLNPLSPSASTSAKIA ALTKHLVALPSTTKSVVFSQFTSFLDLIAPHLSRNNLTHLRFDGSMSQKQRAQVLRAF NAEDASAPRILLLSLRAGGVGLNLTAASRVFMMDPWWSFAVEAQAIDRVHRMGQTNEV HVTRFIVQESIEGRMLRVQDRKMAVAGSLGVGQSQGEGGEEEKKRRRIEELEMLLG EPUS_08728 MTKLFPSLLAPDVAYSGLAMSSKCKARRSSLEARTYFLRARRAF SSTSQKRSPVNDRPKDVKSAREYCSQLVRKYDSPSYTLQRFIPPHMLSAYLAIRAFNI EVARIADLVSNPTIGAFRMQFWRDTINSSFDAQPPAEPVAILLASVLEHYRLNKSWFL RVINTREKYLANPPYTNLNDLEAYAENTYSTLLYLTLSAYPLNSITMDHIASHIGKAA GICAVLRGLPILAFPLPPSHHPSASSGLGVPPPGSRRGAITLPLNIMAKSGVREEDIY RQGGNAPGLKDAVFEVATRASDHLITARSMLRSVQGYEDVDHEYEHEHDEGHDYGNHT GVRLSSDTRRKEYEQGFGIVMGPAVSTQLWLDRLQKCDFDVFNAGLRRPEWKLPFVAW WRSRKQQF EPUS_08729 MAIQKKHGKGRLDKWYKLAKEKGYRARAAFKLIQLNKKYGFLEK SKVLLDLCAAPGSWCQVAAEVMPTQSIIVGVDLAPIKPIPRAITFQSDITSDKCRATI RQHLKTWKADTVLHDGAPNVGVAWVQDAYSQAELVLQALKLATNFLIEGGTFVTKVFR SKDYNALMWVFKKLFTNVEATKPPSSRNVSAEIFVVCRGFKAPKHMDPKFLDPRHVFA DVEEATPNNEAKVFNPEKKKRKREGYEEGEYTQHKETSATEFIHTTDPIAILGSVNTL SFEQGPNGDLALATLERLPETTEEIRTCCSDLKVLGRKEFRNLLRWRLKVREKFGLSA KNKKQEPEEGEEVAEVESMDEEMKIQEELQRLRDQESSKKKKERRKENERKQKELVRL QMHMTTPHDIGLEQEGPNGDGAMFNLKSADKAESITKVASGKMDVADEESETSEDSES DLDSNEDGDRLDRELDTLYENYQQRKEDNDAKQRAKRARKQKETDDFKGFSGSEAEDA SDNDADAEMVLPLRPATAAEALSNRASMFFDQDIFQDLGIADVVGDDDSAIEMNDEED DRKNEALAHEHENSIKEKPNVAINTSKATHASVESASASSFNKPAKDTKQTKNAQNPS SNGDDSKIEQVTASENDDPRTKNGQLDIDIITAEAMALAQSIATGEKTSADLTDDGFN KYAFRDVDGLPDWFLDDEGKHSKPQRPITAAAASAIREKLRALNARPIKKVREARSRK KFKAAQKLERLRKKSALLLDEEGMTEKDKASTIAKLMSKAGKKKPKPKVKLVVATGGN RGISGRPRGVKGKYKIVDRRLKKDVRAEKRLAKKKK EPUS_08730 MAPNDSQEHSTPNLDGAQNSISLEEPSQTGSIATPETSVVANSP EKTKRSSWYNRTWPRKTAPITQNARESISSASNTASEVVPSVKRPAKPKTKRTPSLQL TLGKATSSRSPPADATTTRVNATSRGSISSPATSKPNTVVEEQAEIDGSQNSRFKRGG NEEIHPKNPKTPDPPQPNDSKGKEASEPEENPTSIQANGGPGWLGWLSRTNGQPEQAS SSAPPSLRSPNPPLPPEELSSSCSQTLDANIKALPPVPGDPMPTPSKRSWLQMWSGGS AKEEPSTSKEMQDKMDPSDQNADRDLAAVETSANDFSVSDSQILPTKSSAPPTLQEDG AKSSGWVFWSRDRKADDASRTPNEPHVGEIAVSDTPSQKRPRRASISLERPKSANISK ESQTPVATGRKTSKSADLSALQVKDTGQANSMQNTSEAQKDGTVTPMSAKLPGAEVKA SKQLQSAIPNLLLPSFNETFILQETPSLLQLLSRLFNYNRPSEDKHLHKIKEVPRLRS ALAIGVHGYFPAPLIRTVLGQPTGTSIKFADMAAKAIRKWTENRGYSCEVKTAALEGE GRIAERVDLLWKLLLNWIDEIRKADFVMVACHSQGVPVALELIAKLIDFGCVNSAKIG ICAMAGVSLGPFPDYKSRWISGSAGELFEFSNSASTVSKDYLTAVETVLRFGVHVLYV GSIDDQLVSLESSTFAPISHPHIYRAVFVDGRIHAPNFLVHLVGFALKLRNLSVHDHG LIRELSGPLAGSLYTGEGHSRIYEDSNVYDLAVEFALETNTIVGAPLSQKPNSTPSSN PYILPWAVRGILEEDFVKSDLRDEANELLRQFDDWKPTTKVLKDVKFRLEGIRSKL EPUS_08731 MSSSRFPTEEQSSELGHYAMDRVGDIELDQLLEDEGASTQEPER SASAISPPAKVVSGITLRLYISHFLSTWNSRVFEFGAVLFIAEIFPGTLLPVSVYAVV RAASAILLSSKVGRYIDVNDRLKVVRGSIGKSIKECSP EPUS_08732 MTTLAVERDWVVVIAGSGTNESTLHVLNSQMRRIDLLCKLIGPL AISLLDGLSTRIAILATLGLSVVSVGVEYLAIAQVYHRVPALHFPGNEGSRESAEPQV STMATDQPQSNSEARTRRNSSNFILYFRHRDILPSMALSVLYLTVLSFAGQMVTYLLS VGYSSTQIGIIRTFSVAVEISATWLGPILMMRVGVIRAGLWSTSWQIFCIATAVTLFL VVRQPFIAASGLIAGVIGSRLGLWVFDLCVQTIVQEAIASRAMRLSCVNNWLLLGLGF AILEGSAAPPAEDIDLAQPGPAHDVPQDINPETFVGACPEYAQYASHKHRPYSEGPLA LPFQRPHAYCRTFSSPAVDRVIKEVTSRMRDPDLARIFENAFPNTVDTTVRWHVDGVN THASKTKLVRDLGKWEGAQSFIVTGDINAEWLRDSTNQLAQYQALAKTSPELSNLILG AINTQAEFVIESPYCNAFQPPSPSRLKPTHMGTDDIVHPVYEPSIVFECKYEIDSLAA FLSLSNQYYKSTGSSAFLTKRWYLALETLLAVLDRQAQGTFDADSKKFVPNEYKFTRH AWTGTETLNLNGIGNPLAHGTGLIRSAFRPSDDATIFGFFIPGNAMMAVELKRTSQLL ESVLGSNNERMTSISQALLQRSETISRAIYDHAVIEHPTFGKVFAFEVDGYGSHLFMD DANIPSLLSLPLLGFLDPSDEIYQNTRRMILSSQGNPYFLSGSAFKGIGGPHIGLRNA WPMSVLVQAMTSQDEEEIKWCLESVKNVSLMGLVNESVDVDSGWEDMTRSWFAWANSV FAQTILWVAETRPGIIFTEDRPYKVGEGS EPUS_08733 MAPYEADPRFDAFSIILPYTADAEWAEEGWDISEGDTTVFPPTA SSIDPATSTAQGPGPALISDTTRDATREKLLRIPQLMRWKTGSDQSSDALHELQHILE DCGDFISIDIDRLLRYAIGIGNLEICNYLIKHHAANSRSRDTWGLSPVIYAGAAPRNN IAILKYLAKTMGTEAFRKELDHRDSSGSTAMHYALYLRPAHVLHFCYMQGGDTEFRNN DVNTDWRSRIPDDGNMLIVLLYTKFRALLEVPLCLCGATLGTERPRRGECMIVRLDDP DFSVEGMMGFSMQWIHVPFTNAAMIYAILCRMMMECEGRKRPPLYWIPESFRRASAVS GGSHLSYRIPSCHSQTISETWYSDEMVVASVVFPCLVLQSTEQFETSREHLLKQQESA AGAWRQIGAFFQIERTLDETYYPSLNREALNHRNGKQVVTRECKETADGKDVSGDMRP ILMVPQLWIWLAGSMTISAFTPLGKNAGRATGQEAPQPHEGAALWSSRGQDQYSLFGA RYPEEFVAELLADQVRRFGNVQGSEEFQSPLDIFELGVVRVLSSVAKYMENNTLTSED IATEMELVEAIADIRDELAMVGEILRQQDTVLDGFIAHIKLVVLRNRQAADEDVEIPE GLADTKAQIKIYRDRVSKIDRDAERVDKTVQDRLNLKRTFASINDARTSMSDAKTSLL LAVAVIGFTVITILFAPLAFMTALFALDIDELAKHKTGNGDDAVYTSGYIAGTFFAAE VTTIILTGLIVWYCLHNKDRLAAWAEKLAKKPDDASTTTAGIIKTSNSSAAPPVLSPT TKKTQTTTALANDQAAASARSSVLSQRRTRPVDATDVEERGYGTKRMAVSHRQWLAAQ VLSDQQTISYRTLARAAKVHVNAAKRMLYDFHVHENAKKPGSVHATYLLAGTKKLDQK PAARNGQNGDHNEDEPIPSSPPPFTSSMPQSSQQDHEAEEAEISSIRTITLVREESLN AVKSSYETITSIHIYSLSAYRLQDLQTLSDVGRHLYNTYFVKEDPLEHNAIYGVIGNK NVRRRTRRGPPPPSVASKPTIKAETTSKTASNPAAKVITTPQPPVKKEETSRPSSAGS TTTTSTTTKQPSLKRDTSDIFKAFAKSKNAAAKPTPNLSRNGTGSSIGNSEDKDSNLP NTTDDEGESEEEALFLDTKTRAPSKKRASTGKAEREAKLRKMMDDSDEEMEDAQLIDP EQAGYGKGDQPKKDGKSQKAAILTQGAGQEDTSSNEAEGVNWSDSESEQRPPDRKEKA RAEAEAEAEVEVDPTGKPSAPPKRRRGKRKVMKKKTMKDEEGYLVTREEAVWESFSED EPASLPAAKTKMKPAAGSQSQSQSQSQGKGGAKGGGAAAERGKQKGGGGNIMKFFGKK EPUS_08734 MDDGVDWNERERNIWITQMIESWSKGDTSNRHTRQYCIDQYGVN FVKEELPSLLRRDGLPEDEVVRLTKDSLDMEPPPPHPYWEDEDESDSDCEESEDSQGE YDEDSDAGSDEDSDDELSDGWQTADED EPUS_08735 MAKSARSSVRKCNNATLRAKVFGPVDDACTARLSAKLLEIAATP KRSTERRMDVDTVEEEEEAQRDVAGSADDMDVDGLAPRVKGRSAKQIASRVTKKKNTR RKARNLVVCPSIKTKKKTGFKGPGFDRGR EPUS_08736 MKFQVVLALVALVAAVPFEGGQLHKRAGEKIHVGYRAVHKAEAQ AIEANGRKAVRSNERGGRQIGSGIYISPEFKYWTEAYSDPDAPPWDCAVFVDADYWNG LNKAWIPRSFKFPEDDANNPATCAPLQLWKMFPAVKRNRARFLQHLDPSFTIENTVRF SLVLNHEPKIQALIPQEIIDEQQFYLEPCAEDTAPDNYKIGELGKVDWKIEGLKGYGL GSDYE EPUS_08737 MWFSPSSVSAGTVAITLMLSHQATAIEGGSDQLSSYLAAHPVPT KSAGPTINSNDTIAPKLADLALSQCPAGCDESGSNPGNWTLYPRLGRLSICNETMLLD FTLYNSLRSDETIRACTATSTVGASSAGNTTNDGSCLPNGSLTQVQESLQLAFNHTSI PATLEDFEAASKQLAAALSQRDSNCTDVTSFSYSNSVALGLFAGPGVQDISASVLQQF IKKIKGTGFSNSVVAQLCVKDGRSSKYSFGIAASGDRDIPFVQDAVATWASGECITSY DNAEPWQAITLSVPDLLSNGTAGNSTAGLRNSTAGTFPLTPRNSNIFHRRAPCSTIQV VSGDSCSSLAAECGISPSDFTTYNPSPTFCSSLQVGQHACCSAGEMPDFRPQPNPDGT CFSHYVEPGDSCSALGAANSLTNAEIESFNTETWGWQGCSNVQAFQNICLSTGAPPMP APITNAICGPQKPGSTQPGPGIALASLNQCPLNACCNRHGQCGITKEFCTISESATGA PGTAAPGENGCISNCGTDIVVGSAPAQAMSIGYFEAYNLDRPCLNMKISAMDLEPYTH IHLAFGHVTTSYAIDVSHIDIQWQLFKQIFGPKKILSLGGWSFSTEGPTYQIFRDAVK PGNQDTFVANIVSFIQQHNLDGIDIDWEYPNAPDIPGIPPGTAADAANYLTFFGKLRA ALPSGKSASFCAPASFWYLKGYHIEEMAALADYIVYMTYDLHGQWDYANKYSIDGCPA GDCLRSHTNLTETLVALSMITKAGVPSGKLAVGVTSYGRSFQMTTPGCTGPLCTYTGG VSGAYPGPCTGTAGYISNAEINAILGGTGTWKTQSGALQPISSYSSYFDSDSQSNVAV YDSTQWVAYMDDSVKADRKALYGGLNFAGIVDWAIDLQGFNGDTIGSGASSNIVYPPP SLWTSPNPLVGCKPPCIFVFPPYPLGVTHTVNWPALTTTLLSSGAGGVYVKTTTIPVP AFTMTDVSLQPLTLKSTDTASYKINPVQSITPSSFVYTLPPNHATFPVTTPTPGTSTG TSTPLIIIPPVTFPTTSFPVTIQPQPTFSVDYPDPPTPLPPVTVRPTPIPPVSCTGPG CGTRDCGIFGCKPGCGLFGCDGGCGIFGCGGGCGVFGCVPDCPLGSCGGVGCLIPGGC GNTQGPGGTDGGDNDCETPVTASACTYVVTSYKAWYMSSSTRTTETNCATSTACNGQD TATTTTPGSPQCSVDPDVEAAWSFGQAADNTVINGKQIPLEYVPTNPPGYDGSAFTLT QFGLTNTITVIATSTETVNPTTTITVVVEPTLRADCASWITAGGYWTFQVYNIDGWST DGGASLKSEERGCFRSTSTCHS EPUS_08738 MKSGCVERAIVSAGGPKLSCDFKGYDIGVAFLEAKKRSLATDAP PPVKRQMVRRQMASASASLPSRSSYPSYTYSTSPPTYTPEPWGPGNTVILTTTVESIS TSTYTTEIVVANLGGSSTSSSATPSGSSNPSTDGRCGPDFAGKTCLGTPYGNCCSIYG WCGSASGNCGYSVCNPLYGTCDPEPQGPAVSQDGTCGANGRTCAGSAFGGCCSQYGYC GDTSAFCGTGCQTAFGTCGASGPPVSTDGTCSAASNPVGATCAASGFGNCCSEYGYCG ATNAYCGTGCQSAFGTCA EPUS_08739 MNAEEFRAKAVSGEVPIKGHDQVLRIAYLYMHQGDWYGSNNGVL GEVEKLHTRGWSFGQGELAFNRSLDTFYVAQIAAGIFRWSDQMESELPSADDFDTFYA QHHHSLLSPTRPTRPPQGTGHLTRLPRWAYNASRTAWRQPTLPAASQTQIALSTLQQT ISRQRGAGYPSVSPYSETQARFWLERMGMYSARAAPKEAWRRYNFGAKVAQGWYDMWK WEAYYSRNRWDSVEARNAYLEPDLDGTRKSEVTWSGLPDGGTAFMAWTRGWDPEVGSE EEIAFLAAVAAKETEGVIEVDNLDYAMRSHMLWGVMRLAFESAERERLMEDLKRGIVK TGRIDDETKAEQWNSTGFDGDGAVCAEVGCTMACRCGGSD EPUS_09489 MLQAAFPLALPPPPSHQNTAKSKDEARLQDKIASLSRELKRLKI EAKKRKGQKESDREESFAEDEDSDEDSDDDLDEDLDEDSDDDSDDDSDDGGDSGESSE DEGGTDSDDLADSKGSKLSQGLLNRLQESRKGIRI EPUS_08691 MPFPLPSFPYPPAGDGFWSPVTATLNWCEEDYYATVYSAEIINT LTNVYFVYLAVKGIRNCRQNDHDPACQVAFFNMLFIGTGSMFFHTTLNCNMTRKGVYP KTKFVPDWMQLLDELSMIYLTSTTFFAMFSFGQSRVVKILVLLFTSSFSMFVTLYYHY LKDPVFHQNSFAILAVTVIFKGVYEMEYLLRPSKRLKNPSYSEKEQKRVDERDLSILH TMWALTICGVASVGLGFLIWNLDNIYCSNLRRWRRDIGLPWGIVFEGHGWWHLFTALA AYYNLVWLSWLRYCLKDKQDDVALQWPSLFTSLPLVYRKENLPARAAENSASDVKLE EPUS_08692 MAGAAVLLGLTPSILAALGPSVEETSSLFIIARRPLLGMCLAAG TPSLYPFRTVDYKKAVENLQVRNPHARLRRFTPLSQYLVMIFEFILAIGAVANNATNS RQLGLQTICVFAPQLWYLPILWAFLGIIAHMYCSWVLWAHINCERPYKTFINWLSIQF TPVAELKPLRVEPCEETLFSVVVSWFVSFNIVCHLIFGTLAFSSLIFITVRDAVTVIS RYLISLLVCRAILGYELGVLRAKYREERWRPEPDQEFLALQTESDLSDGAVNVMVT EPUS_08693 MGAYVSKEQCEGFHSVELNCTIQNVTVTNPYRVIGGLNHGEFEP NPDIAGIGILYAFFSVAAVALLISLIYLVLQTTPLMNFTGLHSSNHQLRNPSRAREGH SYRTTWADVFEGIILSCSDQQLFTSGAYALTLRYAQGCKISAYHYNVVANMMLITCAT HLMSITVVSQYWKHKLLAILRILLVTALYIVTALLLSNQNAGLELQWPTATPKQNETE TLLVLPAACFQSNRGAVDKTLKDSFGRGGQHLAVNAIGKSSPNNHIVGWNFFILMVLW YGAAIIAEGWRFWLHWYHRRDDIRGNAPSRFPRLRAFLSISNKWISRLFWVYQAVGTI FCMVAIVMTFLYIQSLRGWMNRSPWLKKEVDGTNPEYDATSFGQLVPLLLTLLTVFTT LQLIGDKFSDFWHQEKGPDTEAAQHLNAVQDTISQEMPEKKHDTTLQDSQQKKDQTTV EVISVPPTPLLELNEPEFLSKSPRPTNSKTLTAPFNITIIAASPAGSVLACSLLGTDA GGLVFEAEDSFDARGQDGTWELYEETGSLTLHECGLYDEHLGTPFPRRDHQGLNVDED LNLHFANGIKRGFDVGADGTWSKPPSLLTDKKPYYSGVFGMFFKISDCEACFPDVHSF INRGSIFSSSNSRSITAQQMGDKSIWVGTSSVKPADYENTCGYDVYDAEQAKKAQQRL HIGQIPLHMLPVGHRWTRGPGVTLMGDTAYLMTSYAGESVNLAMKDAINVGRALSETQ VASTKGGLDKKVKVFEEEMFVRAAKVRAMIPMLLGKGAQRATIGKSIVINMTDEEVPW FAMPIFKVWSIFFFYKMVY EPUS_08694 MISSQTAPFKVVICGAGIAGLCAGIGLAKQGHSVTILESAQELA AVGIGIHIPPNATLVLRHFGILEKFSEDAIHPTSFVFRRWADGSVIGKVPVRRPAQDD SAPYWSIRRSDYQRHLYEAAVEAGCQVRLGARVEALNEDIPSVALTGGEILHAHLIVV ANGIKSKLRDFVIPEEDVSMVINPLSSYRAYLDKADLLSDPITAPVFQETATNVWAGY GRHVIVYPCGGNVFTLGATHPAKELEAMEWNRSANPEQAQEEYRDWNPVVSRVLFHAK DVKIWRLAEVPRLPRWTSKSGKVVLMGDTAHGMVQFLAQGAAMATEDAAALAECVDRA KSSSHIPAVLRAYERSRKWRCEIVQAQSRRNGDMLHMPDGEEQENRDRKMAGLAQSGM WEADTGPMIDADFRKFLYGHNVVQHTRKILDLLKL EPUS_08695 MFFAPLAFLRSRSPPRTTTKHQNPCILADSLDRSFATEFYTPTP PGAFFSKQVFPHNHRDRRDGGPSLFNPPAHYHLFQDEHFKVESGAGIWRLWGGKTVHL GKGDEIVVPALRWHCFESAPDSDVPLRVLIRFDREHAEMEECFFRNTFSYMGDCRKAG MQPSIFQMMVFCMHNWMPLAVQVPGPEWLNLVVSTILMFVVGFVGEFLLGYKASYPEY YSGNVKTE EPUS_08696 MAGVQQKHACTRCRVAKLRCLRDTLQDHGKCRRCHEANVECIFD SIAPRQRRKRTDTRVAVLEKQIEDMKAAIDNNRSTQSTNFGNERAICHEHGFDDHTRD KRYGYDRSSERQSGPSQNTTGACFSETGERTTPEDEHLGPNDETIPGLVSSSLLPVDV AVNLLADFVCHVLPEYPILAITDREDFSFLRKAKPRLLLAMITAASRASDPFLFAKLH PRLIGTLAEEIVVCGHRSLELVQALLIMEVWYDPPDDMRRLNFYLWIQIAGTMIRQLG LWPASGISSPARTYVPTEPDDRPMMELRTAFAVYLSMSNVAISLRRPMTMTLTKGALE CLELFEQSSMCINDKRLVAWIRLQAIAEDVEALRVKLLKCNEEPTFSNSNSVHQDLVQ QLEDRFGSWRYAAQAVMNFSLRIHFFHCRNKLYELAISVNQNPGTSLTTLSLQNETLP LTHKNKATVDPGYIRVVMSLIQSSHSVLDALLQIEPSTYLKCPTVTTIRALYALQEIG TLWSSVNCQQTHLLGLITEEVLSLKLYARQMKDFFEAMSGPEGYQIPNMALNVLSNIT NHVLLPERTNQRQTRQIPVPQQSRGIIKEASGAPNNDLEVILTTGMTDSEPSKRSLSP VEVQNADSPISDRATRNVTPSGTSPAIHDGSNDTNNEASILSEFDLMVMPDAAIDPNW LFSSEDYDFGQYRFG EPUS_08697 MTSICLAILLALALAFSPASTARPSQANSSYKNGGIDANDFVYA DNLRLYDGVGVHYITGLNYWACMNLAADDSVGGNYSRLVTELDQMAAKGVNHLRVMAS SEGASTPQPFRMNPPLMPAPGQYNEEIFVGLDTCLAEMEKRGMRATMTLNNEWQWSGG FGQYVSWANHDAEIPYPPSWNLSAPPQRSNPSTGWGNYTVIGVDAAPWSNYTDFANRF YTDTQAQAWYRDHIDAVVNRVNSVNGRKYNEDATIMAWQLANEPQAAIVPEEFLGPYG LELPPAPEDPLIPWVRETSEYIKSLAPKQLVSVGFEGKQGKWYWQAVHNFSTIDYGTS HCWVQNWGIYDMLNSSISNIEKAKIFARDFVANTSDWAVEIGKPIFLEEFGMARNNWE NEGKEYQYLSSASTSNKDGYFQTIIGAAVAMFKDPQAAYVGTCPWAYGGVYRPETQQA DQFGIVWAGDPPHESPGWYDLYDTDETMNIIYRQQQEIEAFLDKEQGE EPUS_08698 MDSTILQHLTLGWEKTSFLIQAASNQWKLLLGPSLLAYLCLVSL LRFRRAKGLYLRYSHLPKDAFSGMTVDEAFAIHNNLVQLEFPTVVSIATVFALFMTYG IPSVSSLLVTTGQIPADGRTGTKRMADTGALLLEAVLNPPASDRAIAAVARINYIHAG YRRRGRISDADMLYTLSLFALEPSRWVGRLEWRQITELELCAIGVLWKSIGEAMEIPY DALPSSRCGWRNGLEWLRELSEWSSADEGGHMIP EPUS_08699 MVKQFGRRRNRRFECHQDVANVVCQGVMMGVWQLDWDYQKAHRG VPPDPYMKHWENCVIDAADDDEVLQLQDRGTVIALVGLVKHRLDDDISKIKDAICAHY DSVSKSTLKLSDQQAELALSFATKLWLHASPDLSTRGPSSFRLVIKACLPERSDPNKI SGHLTNDFCAKHLWRKGGIRIVWTDEIEKHLTSHKGRTLHVFRNSSMLRALQQDPNSD PFPDGFVSETLQTLYLLFRPLDSKKARRNIRYMEKHLDADLELAMGEYPNVDLQQYPY WHEQLAEIQRMYDHKTPSGLVQWWFDRRDRVQWTTFWVAFLVLVLTVCFGIISSITGI WQVYLAYRS EPUS_08700 MSLSRSFRWDGRGEPQPARVQIHDDRIIRESDLKWENDLRRMCR SSNVSWEVTDKVIQAHREKQEKKMRIRCGDASTEEIIIPQYEREHPVHREREVDREEI AIPRDQRNIRTYLREEIVIRRYEDDARTCREREPVIRRDGHHDRDDGLVEEVRVEKPF RSSTTTVPRPPPPATGPEFINAPTIHQEAITHHRHIDHGFELALKTEEDPKEDHTADA HEGRDAYGDEQVVTIEGTSPSSSPSPSPTPAPEPHVIKAPAMHQEVIAHHRHIDLGDS KADRMVQLLETILHTLRDEVTVTPLNKSTGEADTKAGKSVEELQAAEQTTKAQKALPP SAKKK EPUS_08701 MTDNDTSRGRSAGRKPAKKRRLSSKRRFSFTWTSSDPVPTSETD SIDYTPFLPSPPSVSEAPRPTSEDIFPLHNRTDRFRPPSPPLLTPKQSAQRYLRVARL ALLYRLGHHHPLMTRVRLNGSLKPTAFQTYGGSSPTGNMLQRPRPTSLAEKTRRGAQR RSKLSNEVRGDDQDNSLELSFDELLVSTCSTTQLVEAYIKQAEKEEMERMKAQRKEAK RANAQLEKGIRSLNEILHQSAVLTGEMEEDMMFPMEVGIAI EPUS_08702 MTFPIPPSLHPYIPPSFSVSSLIGGKCNDVAATELSELSELSES TKFPDFLRFYMIARGLRVTETYLLPIPTTNRHRPFLSLRLTPISCVGILRRSLGTRTT RPELSTVGTRPRGFPTTLTRKSSSSSLIVTLGAALAAAILLGPLFRQPEHSPDALTPS QDSADLPHPQDPNSAPPRAPPYSEMPIPPGHLGNLTPEQELKLRDFWSVTLKTFGAKD SSPNPAALGTPATTPPTSEPNTDTESLKSSKKNNSSSKKRHSLFSSSKKHHDDNTETN NSNPLGPGTGDADDKYGQTREFQQTLKEVSPEELRTAFWSMVKCDHPDALLLRFLRAR KWDVQRALVMLISTMRWRSKDMHVDDDIMRSGEGGALADSKSGSDSFVKKEGEDFLSQ LRKGKSFLHGVDKEGRPLCFVRVRLHRPGEQSERAMERYTVFIIESARLVLRPPVETA TILFDMSSFTLSNMDYAPLKFMIKIFEANYPESLGAVLVHKSPWVFQGIWTMIKGWLD PVVAAKVHFTKSVEDLEQFIPRSQIVKELGGDEDWDYKYIEPIEGENNAMKDTESRQR LEEERSIDVLEFQKKTFAWIARASNDADAVQLAKERDQLAARLNQNYWQLDPFIRARS LYDRQGMIGRGGVVDFYPEQRKGAVNGAMASNPNAGTDDVD EPUS_08703 MQPLRVVSRNLRVSASRPSPITSRLLSTTFPRSVDHKDRLHSNV EGYRETQISKANNPHLTNTTSTIANDMPSVGADKPSPEFLSTVDPKFTPKDSVPENVE RMTGGTQKGVPEDGANAELGVGEMEGAQFKVEPLRREGEDPATMRARLLYQSRKRGTL ESDLLLSTFASAHLHTMTASQLQQYDLFLDENDWDIYYWATQSPANTPTSQETAEGSV SSATNTSQTTTEYQSPASPGQAQETDGWRQGAPRSGEWAQTVGAFKPAYRPVPQRWRN SEILSLLRKHVREKSAGGVLEGVNVGGVKVSGQGLGRMPEVQTFE EPUS_08704 MVAADPWVKEDALKVIIVGGSVAGLTLAHCLYRAGIDYVVLEAR EDIAPKQGASIGIFANGARILDQLGIYGEIEEWTDPPVWHEVVTGKGDVVSKLDPLAL IQTRLGYPINFLERQQAFKSLYTQLPDRSKVLTGKKVTSVVQQAEGIIVSCTDGSQFV GDVVAGADGVHSRVRQEMWRHVEMDGLAKSLAKDKKAMSAEYRCLYGMSSGVPGLEEK HHYRAVNKDWSFLTVVGKSDCCYWFVFEKLDRIYHTPNIPRYVEADQLEFIKPFLDRY VSHDVQFQALWERRTAATLASLEEAQFSRWTHGRIVCLGDSIHKMTPSIGQGGNFAIE SAAALTNRLYALAQSHRRSRPGFKEVESTLADYQDRRHQRAQEVYDAAAITTRLETLK TPWHRFMQMQVVPRMGDMLVDVHCQVLIQAPQLDFLPVPPRSVRGTMPFQTPETALQK ESMLWRVFRAAPLLGLCTVAGLAPGPSMSAPQYLQDFGFLGALISLQVIGIIECIRRG NYFTISTLWPFFLTFALWKDSLASMIPIFHFLHYVQSPLRNYAAADNRLTVVGYAKTI VVSIIFGFLVPTILYAARLGSCSPWPLMDEISHQALSLYTLFWQLSPLWVMLTQRILV LTTVVDTTARDRIQSPRADLSYLRWAYGFAAAASGIPHLYEWAHAPRPITGYMSSLVG NRVTGAAATLQVLFSQFDQTLLSPYHLGRMVLSISGLIWVLLHVRDLKRAKRTQTSWL RILAVGSITTLLGGSGTAMVVGWAWREEILAAAGSQGDLKE EPUS_08475 MYWISGTGPFDVSSSAWGPDHLSKFIVIPAVLKASIAARSLSVC QRRLWSFIKASDRLEVDLPAIVSMTSRMPLDRERQHHECTEEFCRFNNVNSTWVRQLH KCGNKACSETFFPPAEVERAVLQNLLTAWTIRQAPEVNCHDGTYMALSHLWADGTGIG LEKPGKLNRCLIDYFVGIARRLECDGIWWDTICVPIEPGVRRKALSMMHKNFERAKCT VVHDESLINYEWRDDGSPCLALALSPWFTRCWTALELYVSTKVVVLFKNPDGKSSQPL LKDLDNDILANDNAYTSLPHRLASSVIQRLRRRKRKERLHVEDLLAILRARNTSWATD RMIVAGLMAELPEFDSGESQSQITRKILTKCGLIPSSYLLHSHISPNEYGPWSWCPTS LYDLHSDLASKNSQLSLKIRHDGTATGDWFCNTLTEEKAKLLQLHNPQMSVKLRIMKT MQTPRSCMILRPWPWLSSEVGNQCLLVETVGLGYDETRSKVIECRYIGTVTASIHLGW QYVSIRIGLDQGKEEVDAQEMVSACSLEENAGQMLDEGINRVISRMRADEFASIEDVR DLTWALTGLRDQSL EPUS_08476 MATSSSKPMPEPNRATPSPKSVPKPNKTTPSPKPVPKPNMATPS PKPVSKPIMTTSPQKPVLKPKIDHKSLTDLAPPPQKPVTKPQVDFKSFSNVIGGELRK SRNTFSAKNPATKQPLWDVPAANKQDVEQAVRYANVAQKEWAKKTWKERQQICLRFKD VYSNYVDELTDLLTLEIGRPRVWCRREVESCALHASFHAQLPLPGGDGFDAGDREIMV RYTPLGVVAAICPWNAPLVLCFGKLFPAVIAGNSIIIKPSPYTPYATLKMVEIAQQVF PPGVVQVLAGDNSVGASLVEHPNIHKISFTGSIPTGKRILQAAAPTMKRVTLELGGND ACIVLPDVDIDEVAPKVAISAFLSSGQICVSSKRIYIHQSIYTPFLKAMIDFTSQIKV GHPDEEDVIIGPIQNSMQFEKVKELFEDSKRKEYRFAVGSKTVEESMGYYINPTIIDN PPDDSRIVTEEPFGPIVPCQPWRYEKEVIARANNTKTGLGATIWGKDIKRCERIAHEM EAGSVFINSAARPTPLAPFGGMKESGLGSEWGPSGIKAYMNEQVIHNFSGR EPUS_08477 MALPIIKTALDCSDFSNTVTPFLHQLRPLLGLLLESATNPTALK QIYLDTNPLISAFAFSLALSPIFLIVSEINKNYSQVDRLWSILPTLYNAHFVIYAHLM GFETKRLDTLLAASCIWSCRLTFNYWRKGGYTIGSEDYRWAILRTKISPTLFFIFNVL FISLAQSILLLSITTPTYLVLLASRLASSSGTPVEPWTFADLIFSRLMVACVLTSFFA DQQQWQFQSAKKSYQETAKVPPKFNREDLDRGFIVTGLWSWSRHPNFAAEQAFWLTLY QWGCYTTNSTYNWTGIGALSYLILFQASTWLTERISSQKYPEYKEYQARVGKFVPRLG TDPKGGWKVPGKVKTVAEKVGEEVEKDAKIARERNLIWGLFRSRNIISLLAHISCEIV EILENDENEANRFFSQIESGEVPSLIENLPAEITDRIVDIAGIALTLPSDIFEGAEAI FRDTIELFNAIEDGSIVDRLQQVPGMIVSQFTSGWNDFTSGFGDVIDVLTCAFVDCST IEPAGVCGNTITYSGENSTTADTGATPTFSSSWSWSPTPTPSLSPSPIPGWSPSPTPT RTYVPSSTAGGLLRPVLTVLKPLLDCRPHKPPKSLRAWLHRCS EPUS_08478 MAPQRILCVAEKPSIAKAVAQHLGGGRFITRNVRGNTFVKNYEF DFSFAQWGNCSVTMTSVSGHLTSLDFADRYKKWQTTPPGQLFEAETVIKIDPERKPIA DNIQQQARYAKVLFIWTDCDREGEHIGSEVREQARKGNARITVKRARFSNTERTHVIQ AAQNPVELDDRQASAVATRIELDLRIGAAFTRLQTLQLQQLGDLLADKVISYGSCQFP TLGFVVERYFRVKNFKPETFWSIKVTYLKEGVKVNFSWNRVHLFDRAVVTIVFEKCLD ARLARVTKVQKKPTSKWRPLPLTTVELQMQGSRFLRMDSKKVMKIAEQLYQKGWISYP RTETDQFSGDFNLRSFIEKQTQDNNWGAYAQGLLDGAFRQPRQGRHNDQAHPPIHPVN YVTSSSLPDDERRVYEFVVRRFLACCSEDAKGEATDIEIEWGEEVFHTHGLLVLARNY LDVYVYDKWESSQQLPHFEVGESFEPTEANMTDGKTTAPGYLTEPELIGLMDANGIGT DATMADHVAMIKDREYVAARPKAGGGRNAVQEFVPTRLGVALVEGYDNVGLDVSVSKP FLRKEIELKMKAICEGRKTRSEVVHESIEEYREVFGRTQRNIGVLKRSVRKYVFDEDV G EPUS_08479 MTNFLPRPGSLARRSRPVAECALCTGSSLSTVSRSRIPSRLPKS YVRRYATVNDDDPKPDKNTKDAQVANRSSKDGEKKIADSASKIPGKPPPGFEETFDKN NRSSPLSAPSGGSEPDKHDTAKPPPGFAPMDEREMQVLDTIAQSVKKGLPQSQTKIID DAIDMIKRGGVPNELREIMNEKMNNPNFKMDLATAAKLVRVFTKMSRMNVQQIMAHLE KEQNGKKEKDEGEPAFKQSYSQKGKGGQQKGPDPSKIRIGEFKLDTGTFLISAFLSYY LYRSLYPGESSRDITWQEFRTTFLDKGLVDKLTVINRNKVRVELHREAVANMYPESPA VRANFYYYFTIGSVEAFERRLDEAHQELGIPSSERIPVAYIDEVPLSAAFFSFGPTLL FVAALFYFSRRAASGAGGQSGIFGIGKSRAKRFNHETDIKTKFSDVAGMDEAKLEIME FVSFLKDPTKYQSLGAKIPRGAILSGPPGTGKTLLAKATAGESGVPFYSVSGSEFVEM FVGVGPSRVRDLFSNARKNTPCIIFIDEIDAIGKSRAKQNFGGGNDERESTLNQILTE MDGFNTSEQVVVLAGTNRPDVLDKALMRPGRFDRHISIDRPTMDGRKQIFLVHLKKIV TSVNMDYLTGRLSALTPGFSGADIANCVNEAALIAARANATAVEMTHFEQAIERVIGG LEKKSLVLTPEEKKTVAYHEAGHAICGWFFKYADPLLKVSIIPRGQGALGYAQYLPAG GGDVYLMNVKQLMDRMAMTLGGRVSEELWFDTVTSGASDDFNKVTRMATAMVTEWGMS QKIGYLNYKDDEQRLHKPFSEETARNIDSEVRRIVDEAYKQCKDLLEEKKDQLQAVAE ELLKKEMLVRDDLVRILGKRPFEDRGDFHKYFDNQEGKSAPPPPPTEGTEGAGPEIPA PAFRQHDVRG EPUS_08480 MAKLSNPLAFTPGPVTFFTSIAYAALIIALIITHNTVPPAPQSP TPINGVNLTEAWQDLQVLTPTYHPYNSRSNDQVRNWLLRRVNNILKDNNVTDSRDAGV RSRHYPNRTGSATKPAAYIFDDDVSNLTFSSAGSTTSTAGVSVYFEGTNIIVYIRGSQ DDDTEWWNDPKGRPNGKGGVLVNAHYDSVSTGFGATDDGVGVVTILQLINHYTTTGNT PKRGLVALLNNGEEDFLNGARAFSQNPMAKFPHSFLNLEGAGAGGRATLFRSTDVEVT SAYRNSPYPFGSVISGDGFAKGLIRSQTDYVVFNGILGLRGLDVAFMEPRARYHTDED DTRHTSRKSLWHMLSSALATTQALTSDSTLIFEGKSTAKGGVEAGHGHHGVWFDLFGR AFALFRLHTLFSLSVTLLVIGPVFLGSTLVILYQLDKLYLFSGSRLYHVSDGDERIPL YGWRGFFRFPLLFVIAAAAPVALVFLVFKLNPFIAHSSEWSVWSMMFSSWFFTAWFLC CFADWARPSALTRTYALTWLWTLSWAMLVADTVFQTHLKLAGGYPVLFYSMSLFLATW ISYLELFSLPTKAKYCRRKMESPRRDSISSTQLPGSGSQEAPAAPPDDQRGEDEQADE RTSLLKKDKRTTFANYTHNETDENEVAVVDEDNASEKKDSYVYGDEQDWSEYLPRWTW LLQLILIVPINVILLGQISLLLVSALHQTGSDGSSMFLVYIAMAVFSILMLSPTLPYL HRFTWQIPIFMLLVLVGTLIYNLLAFPFSENNRLKLFFQQEIDLDLGNNTVSLIGLPW YVQEAVDSLPSSSGQSLQCESAVPGNRLKCSWIGLPPRVVHTHPQLPLAKQVRTWVNY NVTRVSSKNSARFTVMGRESRACKLTFDSPIHNFMVHGSAPTDRRFPIVPEGGSKEIR LWSRTWNRAWVVDVEWEGPADQESEIVDLEGKVVCLWSDDNREGVIPALDEVRHFAPG WVAVTKAGDGLVEGYKKFKI EPUS_08481 MSTQTPHSPSTSSTSTPFSSPGPSPFVPSVRTKQPIHKTPSSSS SSSSSSSSSSSRSATSTSSPFHPGKAYSDPTPRSIYAPSPTSYPSNDPVTQDFDFDDL FTFAPHSHPTIPPTHPLSSRQASSRPSPPNTKAENATNLTSQPQPELRNWSKFSFSHY NNNKSVSVPRSGKSTGTVKPRR EPUS_08482 MDSHQTASPGPLTPTSPAMSELPYDQPIKSRRRQRIVQGLQRIS SSPSLAKIGRSRATSEYRPGGMGSISCMSLSSPFSSTIFSPPSSYTSQLSAGFSTAPT SPGSLTGANCYFGPHPKIRIVERDAAGNEILVSGSVPVPSEAQSCEDFGARVTKSAAK PFPRRENFNFWADLPHEIRVHILQFLSPKQIIRLSSVSKLWHEMCFDGQLWIELDCQS YYQQITSEALIKIMLRAGGFVKNLNLRGCVQLRDQWLSLGSRMTNQECRNLENFSIEG CKIERSSIHFFLLRNPRLLHINMPSMQNINNATMKIIASHCPLLEFLNIDWCSQVDTK GVKKVVQSCPRLTDLRASEIKGLDDKEFMLELYQRNGLERLIIQHCDTLTDDALSILT NGIEPEIDPLTERTIVPPRRLRHLDISKCRSLTDKGVKSMAYNLPYLEGFRLCQNHAL TDDGLIDILSNTPRLTHLEVEEVDQLTNALLTAFAAAPGASSLEHLSISYCEHLGDVG VLPILKSCQNLSSLCLDNTRISDLVLIEAAEQVRKRGSTTNAKNRPKNGLSLIAFDCQ NVTWAGVREIMSQNTRVLQHRSLFQHKTLSRASVNEKTGEVSASPAITSTTAVPVLMY PKEIIHLKAFYGWQQTVEEHYKRCMTSRWSAAARLEGKWAEWMLASEEVGASVVGMAL VGVGEEGGGERERRRTGLGMMKMVVTLVPRHQLYSIYAPGTPAIYMITKDHSFQAADV RLYFAANSLLTTWKRNEQQHTLVLIDFFITTPPQTPPAI EPUS_08483 MPRLYEPGRKFMQDNARIHTAKKIINWLQEEGIELMEWPAYSPD LNLIEHLWAQLKQWINDHHPELINMGKSEEDYQRLFRAIYEGWDAIGEEAVANLIKSM DSRVNAVIAAKGWYTRF EPUS_08484 MTLTDRFYEPGIINTSINPPADDAFEIPEHSLYVQRNKSTGNLL SKTQETTERGRVRYSIDAGTTQELNMAKLVTDHAPPRAVPLIACTNRTQLLEHDNTLG LSGLRRIRGQPLTRAPTMPSSPDSSFIAPQLLSASAMPNSATSSRSASLHIMPTPTSP GVEDLQRFPSESLHSFSFAHQSEDFLHTRQNVLKKSIEFMRDRLGWAASSPALASAQA RVSGDAELQSMMELLKKANLLGVDTSRAVEDRSDYGPLTGPPDLKGLNIFEKSFSQKP GRISEEAVIDNEPDVDDDANDFGLDEGGLKLMDAEPRARRSSLKRTFTDISSLSLQAK LMEALAQPYSENGQKSSYSLLSPTPLPGALPAAQAAAGPSVHSHSSKWAPAAQAVFRT EAKSPWTILAANDLACLVFGVTRAEVRTLGILEVVQEDRRSWLEEKLRGPGPDSAEKA RVAANLSLTNGTVKKSLVGSRGGITAQLLSKAPAREKTQRRAQTDDGSGGYYKPRRPK NHPATRSRGVLLCGDVVPIRKRNGSTGSASFWVMEKRGGLIWVLEEIIENVAVLKLDE EGFVSEARGDVEPIWGHERIKKGTSILSLFGHLPSDCTGGKKGDLISTISNLRHLTAR TSHGINVPTTNSAMSGLGDIRVSSFPHIAGVMVLSPSSLRITSSNAVFAAALFGQEHP EGSLIMELIPGFDDLLRFLTEEDKVDLVDGIVVPEHSFRRARALMLLREGKANAASIF LRPSGLTARHRDGAELMVDVQMRVVRSESVFPVGTQKTIEETEEGTDDEKMAVTEVVY ALWITYSRQLHSAGLGTTGTEGSRVSRPITPPSQPSPGQTIASASPDPSFSVHSSKSA DSSQLSLTTQQLQESISTTISDEPLEHPGPNVVNPSTEPYKKKTISDFVILEEMGSGA YGQVKLGRYKKNNSRKMVLKYVTKRRILVDTWTRDRRLGTVPLEIHVMDYLRRDGLKH PNIVEMVDFFEDDINYYIEMRPHGIPGMDLFDYIELRTNMEEGECRSIFKQVVDAIHH LHTTALVVHRDIKDENVVLDGEGRIKLIDFGSAAYIKNGPFDVFVGTIDYAAPEVLQG KAYRGKEQDVWALGILLYTIVYKENPFYNIEEILDHPLRVPFIPFSEACIDLIRRMLD RDVDERITITEVMEHAWIVGE EPUS_08485 MRTLEASKKRWNICFSEEKIGFDLREAVRDLEDEPSPCQDGVRS VCWKAFLLYGPLSYTPWPKKLTESRDAYSSLREHFLRYIDHPDDLQSAVDPLADDANS PWSTLRQDELNRGEIFQDVQRCMQDNAFFQEPSTQKKLLDILFIYSKLHPDIGYRQGM HELLAPILWVVHCDAIEASTIPNSKAEDDLMLEVLDERFIEHDAFTLFCAVMQTAKSF YEIGDSTSPIVDRSRRIHDEYLGEVDPELANHLQAIQILPQIFLLRWIRLLFGREFSL ADTLSVWDVLFAEGLSLSLVDMTCLAMLLRIRWPLLRADHTEALQLLLHYEPPTPPYG PATLVQDAIFLERNRTFEGGTCLISRYSGVEGALPEQRLPPVKRPPALQLNQRYKKNL NTHSRSSGSPGRSPARFLSPQKGLESLFQEVSGSLQRRTEGWNISKTVRAAAGEVRRN VNNLHSEATSPRHSLDSAPAPLPHESTEQEANEGLRNRLSMLEQRNKALARMLADALE ELRSQKESSIREQATVAESSFNIALAKMQFVQVYLADCEIPIPGQEALVNECSHESQP RMTETNHSGRGLLPEDSDELALAADQENTASDRPSTSLKATSGNADSSSRDEGADARA IIQVEERHKANAESSKLSSSKPRPPLAQSPLSWILGEGQHRSDFVSSSTPPPEQRRDS IPKVKPKRLFPDVKDSEGRNGSESEDDGFTMSSLHGSRARN EPUS_08486 MSTDLLAEFGQTGSLTGKDGRKAYEPTSDSQQDKDVLIAIVPDT PEHAANPDKGDSLPGNIWRTDNSGADVLFDASIDQYDLDDDFGDFEDAKQEIAQGQPM NLLDGTVSKESSSGATVQTQSLLGLKGPNDSLKFSSSETQNRDQEFEWDPFLVAVTKE RTKEVSLHASTEASNNEQAFTMDETAEVEEWEPFEYGETEASVQNTHATQIPTQSDMA GTMSELNSSPRRPPLGETTPAVALKDERRPVNIPPPAILLQILPRVFENLVDISRTHE PARCCKAMLQTYTVTSHVIAGRSLRWKRDSILSQNNKIGPAAAGGKGGGMKLTAVDKT ESLKEEREVADVVEAWERYAHVFNSTLHKAGFRRPLMTLSERTRPRPVKGPGVLTSQY ACALCGLKREERVVETDINVDDLFGEFWVEYWGHRDCEDFWQENQALLPQR EPUS_08487 MAHTLLTLLTTLLFTTIIYLLLSTLQIYVPFPPSSFTANTTYVS HNPYLPTAGNSNPEYLFKIGNLHIYGIRSLFIAVVVGVSFLSAMGVLYAIVALKVGVV RWDGSERWRGGGGTKRRGWEEWRTDYSSPPPARYNCQSRVRKPTRTVRDSGMQGQTRR AVSFRWRRTSGKHLPLRGREALMEHANTAQPSSELRRVASAGTLSGSISRYEIPSYYL AEPKRQSEAPLGTTSADWRSSGIPSYYFTERDEDGRSVHGERERPGSETRKLKVLVVE AEGSMPDHLPEPLSKGGNGVDKSECVGR EPUS_08488 MDQNKFPTAAMEEERETSPSLLVEPYADCPMESINRTNTNFAQL NILTLRYLFPHEGYAATCRASGHGRRCKTPSLGTLWSEMNESPKILVRAILSDTDFLL PTKLLCERSAWFSTKITELEGCSPIVFPFADSHVFESVLRFMCTGILQVSDCHKDQPD AAFEMAMVACRLKMEDLEWCAVGKLEDYFDINNNTYLHQDSVEYVLRNTASKSHLREW LADHVGGSLSTGTLRAETIARFMATAPDFAIKIIMSMQTTVVGTTNALLDMDISDIDW ARHEVMDRDYGGQGFPSYEDVDDSDEEEAGDDPEPIYTNMESSDNRAIGTGKEDNEDD DEEDNEHDTENEDEEEGSDEDVTDDDKENEPPSDDEIDNDKENVPPSEDETDSEKEDV LPSEEETDNEKENVSPIEDDEDDNEL EPUS_08489 MIFHFHPPHFWSIISPSKPQTLFERFSTVASSSLSAWVSSLSSY FDIFASNVLPTRVQSLINTHVTPLLKVSAAQPPPSLADRIALLLTDHSTVSYTTLVAA LLALIYFLYSMSSYGRSALDTWGGRRSPYTSAAGDRSITDYDFEYLGPEHFDRSRSYL YDHRPSVARSHGYDDGEGPDIILLRHRNTQYELNFKPFAISDGILLVGDIRKFAAEAL GAPDPSRLRLLYKGKQLKDDKRPAKEYGLKQQSELMVVVSETPPSRPNSGSETEESGS AVSHSSRRGKNRSHKLKPQPSKDNLAPPVTDNRPPSRTGSRRGSRPPSPPLRSPPSHS TPPQSPPLQSPKCDVARGKVDQLASTFHTQWVPKCVQFLFRPPSDPKLRDQEHKKLTE SILAQIVLKADDIDTEGDVQARMDRKKLVDEINDMFKKLDAAAKTHEE EPUS_08490 MLEQMATVHSVNLSEVNGVKEEKGSLGGPDESYQENPTSFSLRR PSKTITRAPLSNGNNGVYVNRKSAQNSDPSQQLPMSESDSLLNLYTDSGASNPILALP KDEDQIPENMYRLEDHDPDIEKWIHRDKLARIEDEELQAAGFHLATPRATSTRRRAQS RDRLGEETARIEQADHWASKRVEKRPRVSSITNERAESPESRDWDLRTPEEIAATDSA RSTKTYGLLTVRKSGSKIPILTSSPLPIPSERIDRDTPIPRKRNFSGSIEDDENLGSP RIRTRGISVGSQNLADETDVNNSTPTSTDVTRSILSPAEATPSAVPNPGGKGVITTTP SPPSATRKGTPAGTRKTSNTHKPRVPSNSQNAATLQHPPTRSTDPDRPRTAINRPEGE APWLATMYKPDPRLPQDQQIIPTHAKKQQQQQQIDKNGFTHADHDQEGEKRPSLSQPS PSPPTPGVEKGGDPNVWPLKPIGRIRSSSTSRPGTGGSGNGGYSTMPKVISSPLNSPP VGALGSPGPSSVPQSLRRTPPPGELDKKKERSCGCCVVM EPUS_08491 MEKAWLKPYRTDIILYTPDLSNVSDKGSIATSLAVVFQKVPKQS SFSSLGEDHRGPRTSNPGRRPMVELEHAITGCTGSPNSAKGIFEEEFSTAELPHGAYN THNFLFCLTGNSLIEKAPVLSVPDSTHGSNIDWTSLRAWIRACEDDHPMCRPKGRLFS DKGDIPIRCVDVKTSTIVHIDADTRYLALSYVWGAERHEIMEHINHCLVGAESTIIID SLPQTVRDAIRITEHLGERYLWVDCLCINQGDARDLSEQIGLMDRIYEYSSLTLVTST TTNVYSEIPGIRSLSRVKSACDAMIDGRSVKAICAASVVGEFFGPWSTRAWTYQEWLL SRRCLLFSENQILFRCQFTTGLESFAPPRAAQFPNIDAMPRFWPDVRSASTTLSRLSL DRTSWNFETYAELVRGYTERSLSKDTDVLLAFSGLMSKLEYSSGMPFIEGMPTRDLLN ALLWTVDDNAVSRLKEWVRRQRLPSWSWDGWTCSVKYPCWQILPSSDIAQDFARRYEE IHRTRPIESKRARKWAHRRRHTPIPVIVSLLTGYVDTHRHLPYRAYSLKSAHLSLPTS IKNRGASCLCVSSETRSLLIEVSSSRDKYKQLIRTVKILHPLSKDIIDGEHVLKSLIK LGKRDGSLRDFPTSSGIFKEDGSIHRDAVLLQAWKIEGDDGEWYDRVIAMIIDRLEDG AVERIATTAFCSEDWYSLPLVNEVEELELV EPUS_08492 MADAKSKADGLIHDNAVMIFSKTWCSHCAHSKKILTAKQKEYEA KGTPFSLDVYELDQASDGDDIQDFLKEKTSKRTVPRIFVAEKELGGRDNLDEVVATKG PKGFDEYWLKNAVHYTATGTTLPGFLSESEA EPUS_08493 MKCVPSQTPRALRVSQTPILSARNVLTTGSCPLQTSRARFSTTH PRRNGPFISTYGSNEFLSRKHRIRTDPSPSSERARLIRRSKIAGFGIIVCAVCIFGII KSGAIPEPSKNKKRQTPSETQLDGPASIVPDTSLQDKAEQVATGTSTVPTFPKTIHLS SLDAPANASVVDKGKQEYQLVGLGIRTVSFLGIQVYVVGLYIAVPDIATLQERLVRKM DPVATTLVPGERQKLKELLMDPVKGEEVWDEILKDGGIRTAFRIVPTRNTDFMHLRDG WVRGITGRTQARTAAGDQSFNDETFGASVNDFKAIWGSGARKSLPKGGTLMLTRDAQG KMAAWIEEKQGSLRLGVVNDERISRLIWLGYLAGKNVSSEGARRSVVDGVMDFVERPV GTVAQQVV EPUS_08494 MGIFNDDEFDKYMDKAGKPHDGVVVARAFLVESTISLATPWQHT ASALSISNSLSNVKPTRKPGLNAVQRAVRLAFCLDHINWTLEDWKRVIWSDETSVILG QRRGAVRLWRESGEAYENTCIRRRWKGYSEFMFWGCFSWDKKGPCHIWTKETAQERKK ADEELVELNQALEPMLKMEWELSTKMRRMNLRRRPAGKKPQWKFTKKNGKLVREGKAG CHGVDMEASWGLDGVVVQLSLGHLDKD EPUS_08495 MLLAKNADVNAQGGEYGNALQAASLRGHEKVVEILLAKNADVNA HEKSGNALQAASWRGHEKVVEINALQAASSEGHEKVVEMLLANNADVNAQGGEYGNAL QAASLRGHEKVVEIWLAKNADVNAHEKSGNALQAASLPRHEKVVEIWLAKNADVNAHE KSGNALQAASWRGDEKVVEILLANNADVNAQGGFYGNALYAASLRGHEKVVEMLLNKV ADVNAQGGEYGNALLECVGSGPFAAVHSAKVHSAFESWRLEKHTERDEEDRVTTRRKQ ESTNINARSCPYYICLTYKQVGKRGSGVFGFILSIRDNTHTHLMAVNALRYKKHVKTL PGYLPAMELGKSLRTANISYSVALQVLEQVGFPLDRNSYYNIRSRVASAEQNEFAALV VALEEAGFIFECRIEEEFDSESGITISRQLQQIWFAHPQQIRYAQRFIADFALFINGT FQTNALNLVLIITASITNCNSTFVSSLSFARSKAKLSFDFILKSLKKHVFCPPIPVPR IIISDQAAGLKASMPVSLPGTILQFCDWHAVQTVLKRLADKGYSKEIRKEMKSLLWSF VKSNTQLELEAIRTAIHSKLRPDKIQYLNKYWGPKESQFLRIHTRKHPNLGAHSNQRS ESLHPGTKDILNKQLNMEEATRRLGVTVKSKLRQLSEQEAQNGSLGLQVLEAREDLTG YARTRYDRAATNAQQGLVEFAQELKEDDLNTRMPDPVKRSGWNRQFKSHDKTNKRLMT GAEAAERDASNREQAAAREARQREREPYTLTFGREPILQMVSGALSPPSAPEIMPAAS GEALREPLEEEEEEDDPFIAEIGASHAMLGTMLVP EPUS_09336 MAEIARLEKDLNEGRILPGVADGERMEICHFAIKSGGHDPNPGS NNAEDGVTIDLVRLDRVDVAKDRKSVKIGAGLRWGELYLKLERQNLTVVGGRQADIGV GGLTLGGGISFYSNRNGWACDNVISFEMILPDTSIQTITQQSHPDIYRALRGAGPSNF GIVTSFVLEAYELSNPIGLWSGSKVYEWNKFSDLANLNHQFYTESVDQNPNVVIVNTW FQDGGVWLGNVFCVHLTHTDPLTWPEPFQSYEQIEGFPNTTFASIQPLSNITIQQVQP SNRSQRNVVGTFTYRPSAAIEQEIANIVREEADAIETRLTDFTPVSVFQPLSRNMLEK MKVRGGNALGLADETVEPLAIFVAVWVWHLSSEDELVLQAHTLALERAEEAAKKSGLW HPYKYINYARGDQDVWSGAVEENLRELRRVQRQVDPSGVFTDGGLASGGFKLNEKLE EPUS_09337 MPSHLSNNQPNKLDTLESQNPPPENAARSHPTLKKSKKKNQVSQ EVAEVSEQYHASGHPSDNQNGTQDPRNESEDQRHDGSFTTVRQRHKTSNTQISDPMTA QDDRSISDEKASGQPEERFLLSDMVEDGYRMTKTALRLPKVLYPIWKWFLLGYIIWMA ITYLVASVYRFATTAMAPLCSIPFIAPQIPLCTVLSEPKDRSINALKVATSQEVLTVV MDQVGQNFGLARDMIGHEFAVRDLRIRVAASSLPRKHEFTRELESLIVSTKQTARDLS RFTARFGKSIDTIKTLDDYAVKALEDIPKRQRNQPNLAGQIISAFSPFAAFELAKNAG HKQEQVKHVFINTAARISDKVKLLIHDSFNLAHDLDIIQGTLDRIKELSIDEIGDLPS RDVLGALWTRLARANDYDRYKSHTSLLTELTGFYESSSNAVKVTMAALYRVEAELGEF RDDFAIPGLILKEFPFEVTIALLRNSGKRLEAGKRKLEHIEKGGRPQGNDFLKASTTT VSAT EPUS_09430 MLHFTLSLCFFLLAIALCQETAFLYPPPSEESKLSFSVGDFLNA TWVNSSDSPVLVLWCSRPNDGYASTFNSAGTSLQATGSLLVRLTSSSGDASNLCHLEL RPDRNTNGTNSVNFALKAAADNMSPTIWGLGSSTESASSSTPTPSQTISSIADSTAGS TSSSTAAITPGASPSATVVPGQASSGLSASAKAVIGLGTGLAFTVGAVTVAIFLLVRK KARAKSRLMLGAEEVRYEQAPKSQLSGFTTATEYRPITEIDGASPSELPGASTMTGSR KP EPUS_09431 MQAVYSTSSLKQANPTPFKSLTGKLDPGILKALDAMQYEYMTPV QEKVLNSLPSLRTDCLVQAKTGTGKTTAFLLPALQSLVTSDSVPKGQVAILILSPTRE LAMQIAKECNQITAHLHKRIECHTAFGGSARATALSSFMNGSPSVLVATPGRLKDYLS EKPTQAKFKNLRTVILDEADTMLEKGFLEDVKHILRLLPPKRSGWQGMCFSATVPDKI KDVLEVVLMPNYATVSTIDKFEPPTHTRVPQYHVVIPTVKDTFTALLSLVEHERQEGE ANPKIIVFGTTANLVALYAEFFRRSSSLRVYELQSRLSQPQRTRTTREFKEATSGIMF ATDVIGRGMDFPNVTSVIQVGLPMNGEQYVHRVGRTARVDRDGRAVILLTHAESFFLR SNQNLPIRPYVHEIDTKDNAAATEAMATIDEKSKQKAYSAYLGFMKGFMNKLQLKPEA LVAMANELAIKALHCPGVPPMEKKTIGKMGLRGVQGINYGTLSDDESPSKRMKPNVEQ RQTFNSAPRGGRAARGRGAGGGGRGGMKNIA EPUS_09432 MYPHTILIVLLPAIAGIASALPQGNTASAVPSSVAIPSSAPAPS SVAVPSSTPAPSSVAVPSSAPAPSSVAISPSAAAPSSAPAPSSVAISPSAAAPSSAPA PSSVAISPSAEAPSRAPAPSSAAVTVSAASPAAPTAAATASGTA EPUS_04210 MESAHDPLNDGSPEAELVAIPNGLLRRQSNTVYLDGRKAEAVEA EPAKFDIREAIKEPTRIARAASINIAGLQRARETGIRYPANVLSAIDERAEQAGSLYR FAGGPPVLLENVFPQPPERVLTNSSRGRRRPRPTSAFVSPSVWPSGANTNPSKQDRAA PEGPINQSHTFRDLSSFTFGQRQKISNRASLLYSSGDTRTSNDRERFGSDGPRTPRRT THESLSLSPRVSRVMTTESLQLAPWQDAPPPQDAPARRPTVSSLRVNAIRRASVVVAD TFERVITRVRKGSMADLYEKAKIRQEQLKRSTWVQYSFEYTMYLLLIASVYLVLVGMP LWRGAVWYMYILIARKFVIVGGSAIFLGLAALYAFGPLVCFFEPKAPIQFRPHPSVLR SWGADATALVIPCYKSEKIIGATIKAALEIFPPQNIFVLANGNSPTPLDNTESVCTTY GVNHTWCPIGGKLIAQFVGARVAKRFPFIIFIDDDCLLPPNFPVVTDRLNARTKCIGY TIKSTGPNSSRGTLCHQAQDLEYKLSGVQRLFAGKIGSATFAHGAIGLWDREFVVETF KQHPGFSVSEDWFFGHVARELGSRIEMCSSIFVETETPPVLFFASRGAARGGFGEMTV FKQRFKRWNFFFVNGMYYNMKYILFSWKLGWWEIGAKLFVFQEIYETLLYLITPFVLP ISFYVQPLFSLYLFAGTAGLYFLNAVLFNEVHLRMRNERVTTLCLFYYMGFKFVLTFV NVASCYWSIWKYAKYFAKRHPKIIEDSNVVEVVLKIEDDEEEEQETFRTSGDSGEYEK GPKGRRMTVTAVGVKVNGTGNDEDVEMREGIKTQDFAVTVQADSRT EPUS_04211 MKFSISPLSLLPLLLFAPISAFAEDSTTQYLSDVHSIRQTINLY PLALDFKELDRLDALFTPNATYSIPITFGTVYGVDAIKEKIREITGKAQTQHLFASET LNVDSKAGTATGNVYLLETVFGLLGPNVTNTFNGYFNDKFVRTRRGAHWPELEWRFSS REFVPLVSHATFPGAGWKGNNEDIGKGKRSPS EPUS_04212 MARRPLTERSAQGWNQQPGRRMGGSQKRVYGKGSTASSRAVLSE QFLDENEVDQQNVQGGHGKAVSPLSSSLSSALSYTLSLEDGAKHVSPAPAGSVSEKGK EVQNLLVVDSTAATYISPLLAECDKPKGLYDFLTWAEKAAKTFKVTKVAEGSYGEVFK LEKKDMPSERSVPTPEHGQQAQGSSIFKLIPLRPKSSKSAKQTSLESLVREVQMLKLM DPVPGFARFKGLKVLRGSYPPSFGEAYYYHKARRPSDSQNPRPSTYRDKQLWALIEMD DAGEDLENLKSPSVYQIFDIFWLTCCALSYGEEEAAFEHRDLHIGNICIKPTTTRSST TKTPANTLPDANLPPTTSFGRSSLNVTIIDYTLSRATTATSSSSSNEASSPNSSKSSS APPVTTKPSIIYTPLPPNSSIFTQSTSRHPVPNPTLQRQNSTYRAMLRHAQSVEARSR AEDPSRENRKRDMWQRFMPRTNALWLAALLWTLLRRFEQGAERGGGSKSVGSGGNDDA GEEGRERLVLAGLRSLLDLLDGEEMLDSAGAVVLAAIERGLLQRRDWDGVRACLDGDA DSGSCSATK EPUS_04213 MQRWAGFATKRHGLCFQITNFLYLGNKIQHDVVPRISERHGLHQ RRAGRIQSRSFTQQGLLSSVSQYSSNPNWQSDLESATLSDDRFADLLSDAVRYFIHDV EALDFQSSVTSVVGHNTRLVDQPRYQFDFELWAILLRYRMRAYGGAGIKTIWEYFTRR DRVRLLPANWTTEDYLWGVFVTLGLNDHEFLYAIAEHARQLWQERRMRRSTLYVEVVG GLLESEDSAIAPKFSEIMHPGVSISSEELIQLFEHACRSANPKALEHFRWICDSVSNY KIYGQIISILCEQDRVVEAIEMHRELIRRRDCPTTFKEVEPLIRRLAHDNLGLAAFIR PLVEADISFSRQAQKLYDSAKDTPFGIPREEIDLARNKTFGVKRAKISDAFAARFFAT KSFSFEFALHGLHMLGLDEVGPLSLRQIALQAGNATLFRQRLAQVDSMELDTGASAYS RVIRKLVREQRDSMLMDVINCDQHPDVFEDQQVQFQLRNKYHHEKDWRQLNRTLAVLS LWGDSKQHALNGMLRSALLRKDWPEVSKATAQLNQNKSPVSKANIILMYQSILRPRTT PWWVPVRGKRIHDLVFLITLWQNSLMSGTDIPTNAWREPLRRLGMMGRWNDLTKACQW LCSFYAPDITPMTDNINDNLAVLPVKPGTRKQVDSSILNEILHPGFQRAIVHWGFIAG LRAGMVPSRHEEVYLGKNRNLRQVPWVCGIELLRSFQKLYGLRLHDDEIRRACQQRLR QLFTPHGNSRRWYNRQAKAINRHKLNYYIDWINMVYGDTLIDPLDVRTMAYIYKAGQT ESCRVKSLGSRSRPRPEPLTGKEHDASSGGDVDGGDDFVMYRDLFHASWEDYQPAGHK NKK EPUS_04214 MAQDDLNHGNDTQAKLGQAAGGTIYLIIIQIASRALTFAGNQFV LRYLSPQLLGIAVQLELYSVSVLYFSRESLRVALQRQISREDGSTSTDSNAASSARAR QTQVIVNLSYLAVCLGIPLTVVLGWLYQQGQSGNIDIQQSPYFSLSLQTYAIATLIEL LSEPCFVVVQERLLYKSRARIETTAAISKCAATCLTAFIASQRQLRPSVLPFAVGQVV YASVLAGGYMAAVLPLTSQDGVSIFPTIVQRSPEYLLFRFYKPLVSFASTLYAQSVFK QILTQGDALILGFLASLEDQGAFALASNYGGLLARLLFQPYEESSRNSFGMLLSKLNK NGQVDPTRLKAAIRQLGDMLHLYSIIAVLSFCFLYTLLPLLVKILVGPAWFTPDIAGI LSTYCYYIPVMAYNGILDAFVTTVASPAELRQQSLWMGAFTAGYVGAAYVLLHVLDLG ARGLVFGNIFNMLLRIVWSSWFIARYLKRNNGSMDIIRDVLPSPGIFVVGAAAAGIMR RNTIEDKQSIAGVLDILVVCATGGSIMQVQERLVQDLSS EPUS_04215 MGKRMRDDGQLTKEERRRLKKTRKEAISIEDITPSSTDVTHTPN SEAQDDSASYTQHPGLNALPQTEIDNFLKSDAIQIADPQTSGLRPITSFSFLPPSFAS EYSSIFKTFSAPSSIQALAWPFLLSHRDVVGIAETGSGKTLAFGLPLVVSLSGLKKKK GVRAVVIAPTRELAIQVFEQIETLTKVASSSLKAVCIYGGMSKEDQRRSLSSANIIVA TPGRLKDFMSDGSANLSKVKYLVLDEADRMLDKGFEDDIKEIVGKMPSSKKRQTAMFT ATWPKSIRELAATFMTEPVRITIGRTDTEDSGELRANTRIKQSVEVVEQHEKEGRLLQ LLNQFQKGDKKKDRVLVFCLYKKEALRIERFIRSRGFRVAAIHGDMSQRDRIASLEAF KKAEVSLLVATDVAARGLDIPNVKAVINVTFPLTAEDYVHRIGRTGRAGADGISVTLF TEQDKGLAGGLINVLKAAKQEVPEALLRFGTTVKKKQHEAYGAFFKESEEGKTATKIK FDD EPUS_04216 MDSPPPDPLASVNACWSRISASPVYNFLFSDIDIVSASVGSMLA RLTVGPNHLNSKGSLHGSVSATIVDWAGGMAIATHGLERTGLSTDIHVAYSAGAKLGD ILTIEGKTTKVGRNMGFTTVTIYKATGEEAMGTVVAHGTHSKYILKDTQESK EPUS_04217 MSPSDICVTCYEPLLLEIESDSEVDEESSSQSLAPTAVPDDVEF PCSCHFHWECFLEAYTVTECPNCSEPLSSLNARGEQQVLCTVRNEGGVQENFDILPSA TDEAYLRTYPEERKGHAYLDFCRMGDVDALVHLIQDGNTDGDSGKSQEDLDILRYTGS FEGIDGSGMHVAIRNDQNEVAWLLLVLGSSLDWCEFPPAVLHAMESMGLSKEDRSAQP DIRNLKDSEGKTAAMVAKERGGIWSDWTKSGRLNPPT EPUS_04218 MDATRSAAPAVETAVTEDLEISKPSTEPISEQNETSSTSKTVRS LTDALLNFLASASNETLGACAVALCASTYLVLGRVGLVLIGTVIGVVLQATWEGSSDE ADGTHNGQQKSTSKRKELGIEVAKRLLDWKDRTRGLENGTEDQDVKVEASLATKPLDY SEFQPATAAALTAFTDAIIRDYVKWWYTPILPVDNTFPLACRQTLTSFILSISNHLSR KRPADPFLDFVTNSTSIIIVFLSELSTALRIGQGQSAEDAVHQYLRDEPESNLANVLS QQQQEHKLRLVADDILASFLDTKAFNFPPSKTFLREILAGVVLEMTIRNCSKPEWING WIVYLLEDGEPEIMNAIDAGVESMGTATQNMPKSPVQIDAEKKHARRMSRAEEAMQEA MSEAKRLSEMIAEEDARRKRNPPSIAENDDAISTATTEGMATPTSSDSDRNRMHERSM DSSMDLQPTTEEGASQGTTLTQANTFTDFGQLVPSEVPTALRTSHTPSPVTYPSSSSV LTLHNAAVTIMDEGDANDRSTLRSKPAVEYLLQIEPAVSKFPGWMMVRRYQDFEALHE VLRRISIISGVPEFVEKHAVLPSWKGQTKHYLRQNLERYLQHALRYEPLAESEAMKKF LEKETGLQKAPSPTKNIFPFQGPAALENMGKGFVNVLGQAPKGLAGGGKAVLGGVQGV FGAVGGGLKKPPPGTARSTKSASVVSLQNPDSQQPGRASQDSVSVSLSPTEVKEQRPT PSRQSSDLRTYSGQASPRRSGQGESMENLHLPPPPSDISDDYGQSETATTHIKTSSIS HAASSESQPTLPSPAISGPVQSEASRTNTASSTRANNNRPITEEETRASIELMFAVIT ELYTLSSAWTIRLSLLAAAKTFLLRPNNPQLESIRLLLQDSIIDANFVSDTGLAGHIL KLRENTLPTEEELKQWPPEMTAAEQEKLRVKARKLLVEKGMPQALTSVMGSAASGEAL GRVFDCLQVEEVARGLIFALLLQALRATTQ EPUS_04219 MGKKVHLLDYVAGNIRSLVNAIEKCGYEVEWIRSPEDVKDAEVR DTVFSYSIDEALSISFYICTLRIVDSVHKLILPGVGHFSHCLTSLSNANYLPAIHAHL ASGKPFFGICVGLQALFTGSDEAPGVAGLGVINGQLRRFDESKTSATNARGAVKARKS VPCIGWNSASLAPAVDSASTSRHSFYGLEDWRKYYYVHSYFAPYGPGCELEKQGWTVA TARYGDETYAGAIGKGNVFATQFHPEKSGEAGLKVIKAFLEGRTWEAVSHPAIANGTQ APQAVKVESSTNGLTRRIIACLDVRANDDGDLVVTKGDQYDVREKASVPTNNTSSSSS STSTTKHLAGQVRNLGKPVHLAEKYYRQSADEITFLNITSFRSSPLTDLPMLEILRQV SRTVFVPLTIGGGIRDTIDPSTGRTASALEVAKLYFASGADKVSIGSEAVTAAEDYYA AGGQLSGKTAIETISAAYGCQAVVVSVDPKRVFTEPEAKASFHGKWLVRSASCTTINV DTIEEALSNHVSTRKAHETPSPEVGSDPSHGT EPUS_04220 MPSLSQGFDDFNGRYHDPAGYSQMQQHRPPEYLVGPSGMPQYPD VPTPYPGQTLTSQQFDSYQTSSSLPSIREMPGRREGAGTGHGNNGNVRASYYQNESLG HYPGLTPDAEHGAYPSRRPSLYDHNSQSHTSYPALGSRHMTPGGDFSRYGQPTYDTAG RAYPSPYGEVDYSTQSLSGPQQPNFGVLGDANDPRSKRRRGNLPKEVTDILRAWFHDH LDHPYPTEEDKQMFIARTGLSISQVKHHT EPUS_04221 MTEPEDLEEDLFADLYDGDDATAPATLASSNNNIAPNKNPPAQS FSAPEEEEPDTTYVPPSFEPVESHQTNGRDAQNGAAGISGGRGQDRDDVSMNNEPFGS GIKEDGKMFIGGLNWETTDQSLRDYFSQFGEVVEGTVMRDGATGRSRGFGFLTFRDPK TVNTVMVKEHFLDGKIVRASISDKPLLSPSKHNIAAGSPRSPHSRKEDPIPLSTPTTG DSGAVGLRENVKIDPKRAIPRDEQEKTSKIFVGGVSQEASEQDFKEFFQQFGRVVDAT LMMDKDTGRPRGFGFVTFDSEAAVEKCLDQQPLEILGKMIEVKKAQPRGKMGEEEDTR GGRGRGKFSRGGDDRYNNDGGSHSQQGVQNTQQAQNSMAGATAGGMTPQMMAQYWQRM QQYFAMMQQQMAASAMQGGMGGMGMNPQMMAQMQQMQQMQQMQKQMQQNQSQGSMSPA PQSPGAQGLGGMGAAMQGMNPQMQQMMQMMQSQQQQGGGIGAGAGFGMGGGMSPAAPP GGARPGFNAQEQMAFEQQKYEQQMARQQGGFQAHNRQHHGPPANFNQQQPQGAPGGPT SWEGMYDDVPQPNAGGRASAGGGVVRNLTPMNGKPGGGAPKGPGSAVGAANSPQPSAA PANAPTGPKNAGKPGANFRGGRGGGRFHPYGR EPUS_04222 MSSPYILPPSRQQSANSIFKMNTDIGQHVLKNPGVAQAIVDKAG LKQSDIVLEVGPGSGNLTVKILEQSKKVIAVELDPRMAAEVTKRVQGTPSQKRLDVVL GDVIKTELPYFDVCISNTPYQISSPLVFKLLALSPAPRTCILMFQREFAMRLFAKAGD KLYSRLSVNAQMWAKIDHIMKVGKNNFKPPPAVESSVVRLVPKNPRPQISYEEWDGLL RVCFVRKNKTLRASFLGVTSVLDLLESNYRTWCAQNDISLEEGSAESGLLETENVPGP AAEDGEEEEEWDGIMDIDDDDDLPDFFKEQAIAKANKVNGTKRKKRGKVTELVREKVR SVLEDKTGLADKRARMCDEGDFLKLLWALNQEGIHFS EPUS_04223 MASRRDFLNQQAPENYVAGLGRGATGFTTRSDLGPAREGPTPEQ IQEALAKRAQQLGAAPPTAYGAPDKKKEDEHDDDDERFQDPENEVGLFAYGTYDRDDD EADRIYQDVDEKMDRRRKMRRLVSPNPVPHPSCPFGKTNGLSFPSFEVQIADLNLLDT HREAREKLEREEYERNNPKIQQQFADLKRSLATVSDGDWANIPEVGDLTGKNRRAKQN LRQRFYAVPDSVIAGARDATQFETSIQDDGTQTNGSSKDQADGTMTNFAEIGAARDKV LRVKLDQAAQGTSTDAGTGIATNIDPKGYLTSLSQTELKAGEVEIGDIKRVRALLESV IKTNPKHAPGWIAIARLEEIAGKIVSARKFIAQGCEQCPKSEDAWLENIRLNDNHNAK IIAANAIKNNDRSTRLWIEAMKLEADARAKKRVLRQAIDHIPQSVAIWKEAVNLEEDP ADARLLLAKATDIIPLSVELWLALARLETPEKAQAVLNKARKAVPTSHEIWVAAARLQ EQMGNDKINVMKRAVQALAKESAMLKREEWIAEAEKCEEEGAILTCGAIIRENLGWTL DEDDDRVKIWMDDAKQSIGHGKYETARAMYAYALRVFPTKKDIWLAAADLEQNHGTKE ALWQLLEKAVEACPQSETLWMQFAKEKWQAGEIDSARRILAQAFKQNIDNENIFLAAA KLETDAKQIEAARQVLSTAREEAGTDRIWIKSVAFERQYGTNERALDLVNQGLQIYPK AAKLWMMKGQIYESQGQVPQARQTYSTGLRACPKSVPLRLLAARLEERSGVIVKARSI LDQGRLAVPKNAELWTESVRVERRANNIAQAKVLMAKALQDVPNSGLLWSENIWNLES RTQRKPRSLEAIKKVDNDPILFVTVARIFWGERKLDKAMSWFEKAIVLDSALGDTWGW YYKFLLQHGTEEKREDVIAKCVVSAPRHGEVWQSVAKDPQNADLGTEEVLKLVVAKLE EPUS_04224 MHLLQASSLLLLPAALAADVLRTQGFSTCLDNAQIRVNKMDIEY DKASNQVTFDVSGTSEKEQKVIATLVVSAYGREVYQREFDPCDEASKVDQLCPVPAGT FSAKGSQSIPSSFTDKIPPIAFSVPDLEGEGKLELKAKEGGQNLACIESTVGNGKSVD VPAVSYVAAGIAAAALGLSALGALSASGQPGASTPSPSFTEIMFWFQGMAMNGMHSVD YPPIYRKFAKNFGFSTGLIPWGSMQTSIDNFRQSTGGNLTEDSYEYLRNATLVYGDGS TANTTNDLARRGWELVIRQVETTVNGTDDSGNSTSNTPKFVSGIQGYVEQYSVPEANT FMTVLLVFAIVIAAVAVGILLFKVILEAWSLFGKFPKKLTTFRKEYWRIMAQTITNLI FLLYGVWTLYSIFQFTRGDSWAAKILAGITLGAFTGILGYWTFRIWQVARRFKKSEGD AGALYEHKETWKKYKIFYENYKRGYWWLFIPVIVYMFAKGCVLASADGHGLVQTGGQL FIEVVMLALLVWSRPYDRKSGNWINIVIQIVRVLSVVCILVFVTELGIAKTTKTITGV ILIAVQCTLTVILAILIAVNAIITCVRENPHRRRRKEAENLRDLDDLTPLDGRTSILM QPTGYKSQGQKRMSSSLGPGVYEPYRERGLVYQDSNSNLMKGAAPIVRERSRDSYGSY DSRSPPPVGRQATLPTVDLDGRRYSAIAR EPUS_04225 MHTRSLPLLTSLLYLSSTVTTVHAAPSSKRGIVYVTPASPSDDE IWARPSNDLSWYYNYVYTPTAALASASHLSFVPMLWGAPPSPTSDDTSFLDSITSQLS SGANITHILAYNEPDGSSATGGSNLSPDAAANTWLRNIKPLRDDHGVKVGLPAVTGSP GGIEWLGNFNGPCAALNADEGCAADFIPIHWYGNFEGLASHMGQVRSLYPSLPMWITE YALSHSELEETQAFYNMSAEYFDRLEYVERYSYFGAFRSSKSNVGANAAFLTQDGELT DIGSWYLGGGATGNVPEGKAGRRLEASTLSVLGVVSAVALLLVGC EPUS_04226 MPTPATTQEAAPPRISPTRALPVSSTAQHMSRPHVTTWTSTLSQ AHMANSPLAPSHAERGSRTPQGASTGLQAAHSATVPGMEMLKFSFKQELDIRMRRVEQ SADSIGSFLDQTITHDNDRVDLNTHPRMGPTGSKWWVDSRWQMRSFDLGNGDLDVPTT SVSRRILLYSPWFKDDGPILGPPECVQHIRATWGYLDTHHKIKSSWSSMICVKQAGWG WNVSELKQIAQAAIYFEDAWVQLTSMDGTMCQRNWQDNPVLAPQSRSQAMVTVGAMNH TADLIQLFLANKHMWALQDRKSKAHRLSFVEPLSRFGTVREVKFGSLTLHTAADAVHW TSFTLNLV EPUS_04227 MPKRKREETDLDQDHKDDDRALRIRKSRLVAQVDQGNILLHRAL KVARGFERQKLGRRQKAAKENPQALLRLKEEVIVLKQLDLAETAQNYLFKQLVRTKRI REAPAFVALHGEGLESKVKGARAGAEANVVGRLMNSNPVKEVLPGIMSKVYRCLGLAE VPVATKVKNEIEGDAPPGHLEVRRFGSETDSRTSSNWEGLSPTGADHDSGVSAAKSRE RCTAEYDDLVAASLDSQFVDSNGGMARYGHALASSSASGHSSDGEEDETSRNRMFDVD RRLPADRAPSLSPSSSLSLSRSPSPPPSNPTTLPHLKPPIPAPPPQPRTTFLPSLTLG GYFSGSDTDSKSNSSSNSDVNQFRKRNSQGPTAALPQPRRNRRGQRARQQIAEKKYGR AAKHLQKQQQQVHTMRSRGQESAVSRHRDEGWDVRKGATTSEGASYGRSRASGRQEGR RFGLGSKGPTGANNEAITGKDRTQRDQNNVHDSTRVNGNAEGPLHPSWEAAKKRKAQT QKVTALFQGKKITF EPUS_04228 MSMTISKAILLLSIAWAVYVLWIVPYQNGLLTAALDMQKPGTNL PGSKLVPARHHYTGVRVLDNQIKTMTGFFWPALDGSRADVSLVFLEIATQAMATWILV TIESLRVGNKGKWYINSVMIAGVLMQNLGYGLIVPLWLYLHLAASSTINTATAAPLIS SNPLPLLTLPLSTILAFFLPNLLMSLSPPHRFCPDNSTKQLYVAICQFWPVLMSVSQF ILPIAISATTPGINALSERDKKLKSLKYLRRSYIFAFASTTLSHFVAVGIPLLAYLFP TLFSPIYMRQLQLSNVFVPKSPLPPVQSISTFADGALTFMQWDLIIGSLAVLVWALTL AIQAQRTRLWAFEWLDGLLKAAALVCVSGPVGAAVVIIWERDEMVFGLDLAREEERKS R EPUS_04229 MIYLWQYFLEDNLDAFRHYLADATFNVGSHKGPGSHISHKGGSS TLPSTSPATTFIKSRKSLENTGRASRTSWKQDQKSYQVNLTRADVNAKDAYGRTLLHL AASSSSPSAFGFVEALLQTPFIDLYIQDLESGWTALHRALYHGHVSIAQALMLRDIDD PTDYASTIVPHVSGGLVKIKDHEGNSPFEVFALTIAARDLQHPSLAIPPPNPEDSISV NSFDADDDAGVDDRSSRHLVKPKINLLGDEVFAFGSNKNLNLGLGDEDDRQFPEQVTL TRPEQLLHWLHIDAQIRWSKDFWDQDLFPSQSMTLPANRLPAIVKHQRVVIQDVIMSK LHTAVITNDPESNVYVCGFGPGGRLGTGDESTRFSYVCLQAGGLSKKHVVTVALGQDH SIAVCEQGEVFTWGSNRYGQLGYSLPQSSSTTNDYPTQLTPRQLFGSLKKEMIVGAAA SSLHSVVFSSVALYAFGKNEGQLGLMDADARSLEMQVIPRRVGVSILQSPILMVSAID RATTILLESHEVIVLTHFGWTKVVFQLEGFTNYFLNGSSATRYDPAGNFISKITSGGN SICALSTFGEVFSIDVSKKQDALATNQSTTNPSKARNALPQPSKLWSIGKSHMSARDI AIGQDGSIILCTASGSVWRKEKRTKIKQASTKGNATKMKDYKFARIPSINRAVGVRSN AYGAYTAIRKDCDVMREQIIVDPQSIWDTMFTMLPFKDLAAPSHDEDSDMENPRPRFW TPATSSSSPAHIKQAILVSTDIEGQVQKLLARHELMVESQYDLWITSSVGDVRIPAHS FIVKARSRVLRHALASFQQSYYFSIPDVLSIEYGPDGQINLIFLGADFLTILNYVFYL YTENIADVWHHTQKRPHMATKYRQVRLDLMKFGATLEMRCMERAARVMTEPAKCLHMD MEIAFNDPTLFKDADVRIELANAVEIKAHSAVLSRRCPFFEGLFIGRAGGSWLRDRRD RANENSDLIRVDLKHVEEQIFRMVLRHIYADTGVEIFDEVVTAGLDEFIDFIIEVMAL SNELMLDRLTQACQKVLGQYVTTRNVCQLLNAVAPCSVNEFKHAALEYICLNLETMLE HRLLEELDEDLLMELDQMVQENQLACLPFARSGRAEAELFDQYPELVPQIVQGRQRMV DSLRLRSRLLESEDRVLSAQKSRGAGLERASLTSPSSITRQSVKVDKHIAVADPATAS KVHGSDMLFEMDDGHEFECSVESPALSSTTETKYRNDEALPDNVPPLPHWQHAPRGPG NSVHSKPQGPAGSPSGSSLRQDRLSTSTLGKHPGVLVDKSAGTETFVKAWDSPLAGPV KTNFQDIMAQTASKTSNLTLAINHRDAQMKRAPQRQSQRERKKIQHQQSQENVPGPPN EVLSNPDSPISSHKPKSPWQIVPKGPRSPSSQKEPPHDSFSQHASPRPAMTMRQTVAG SSTTPREITPAKPKPHPRSVSIPSVGRSSEPKPQIQSIRHTSATPTVSSSPLSAYHSM ADILAQQQGEKTAIKDAVAKRSLQDIQQEQEFQEWWDTEAKKVQEEEQASAAAAARAT ASRGEGGRRRGGRRKGSGRGGGGASSNDPEIRTAVTAGPSQGDDNARGRSEKKARGKG ERGHAVDIDDVARSKKQEARSKKQEARSKKQEARSKKQEARSKKQEARSKKQEARSKK QEARSKKQEARSKKQEARSKKQEARSKKQEARSKKQEARSKKQEARSKKQEARSKKQE ARSKKQEARSKKQEARSKKQEARSKKQEARSKKQEARSKKQEARSKKPPNSVQSRCQS QLPMALLFSNVTLILR EPUS_04230 MHQHPRPPPATASPASSERTNPERTNNQRDQDRDTPPAENFSRP SHERAGGSDDSNSSSNSAAEVARLNQVIQNFHTKAALIILRSRFDLAPAYTKGSNSKR SNKWFNVELDETEDYRDEIRTWKNCDHKIHRPPPLTIEVILNTDELTSKQKLVIIDDQ GKRWDVQDALASSHTKSRRRRGRAEHGVILERWTIQLGEGPSELTSDLNAILPLVYKK SIVLFRSLFTYSAFLPAWKLSRKLAKTRSNNFLKLQYRITDGSQSGSLSRSDNLTVPL YDSQDETVSDYSFGVTDSPAGPFSVKVSYRLNCEFGVDDSESLLSSRFMGADDELFRP SISNDDVSLRRQTSTQEVGSLPSGRRDVVSRPDLGQAYGSLSTFHQIGAASGTSPITA LRNAQDLGSASPAASPPTRPMPAQRSSQNPRSSLRAVEGNAGIGRRSSISFQPFKTPS LSASPSLVPPVSNSLRPSVGRAPVLGALNEARGMPPPAVPASAARKITQTAGENTITP SASNSPKPAPITRYSSSFSHRRARLSSGGAAKIEDDQGSSGKTSAASSANQPGSGVML DAAVSANTASVHEDDENIAEFISMLELKRDLLTPTDASAAEASTRRTAAALNRFHKMR ESNAALSESMSMSLHRSSSSSSRQLSSVPPMVAATSVSTSSSPGKPISPHTPHTPAIP SRLSANSIIDYSHRDPVDSGPSLDDHGDLSEEAPSDGTVVGPAASHVPPIDIPTSPRP FMPSYRRSSSVAQRRIVADDEDVGELYGMRSASMGADRRNVSALHTAPADVPTDDAEY DPDQVAGRLTHRSSLEYHRRFASGIQPKTAEGAESGSGSGSSSVHQVYRSRLFRGGGA GRGLTPPHGSESGGSGSFERRGGSRQSFSRPSDQRNLLDEDEPLFLPFAISDIGASRR SLEEARGTQGSTERDRGGDTKRGGRRGGGYQPWGS EPUS_04231 MSTSTNRYQVRDDDTPFEVIKKIRCSRGMDVKGKVISDTAFQTF KMLGSALRLLADMNPQPAHFVLEAVQNADDNDYLNVQAICTISESTKTDRPDCTGEKG IGFKSFFKIAVAIHIASRGFSFKFDSRRLCGMIDPEWAEFPQQHERPGTTQFLLELAP DAPRARIQSELRAFDPTQLLFLRRLRTAEVSVDGEKMMVRREDFPQVNKYNGEMRRLI VSKPGSHEEVVTDYMIVKKRIPVTHGSNKHPKAKETEVILAFPVASDCPVIEAQNAYN FLPVRKTSFFFLIQADFILAANREDVDDSHEGWNQMLLRALAQAFTLAALRFKDTPLK FSWIRFLPVDGEPCFALSMLPKLIRQNLGKASILLTSHETPVSGSEAVFIPQAFRIGD RNPLIDSAISLHKECDSSDFTRLRWLGVTTMSQQQFLSHLKTYVEVESGRQFREQKAA WHASLARILSDNQEISAAQIAKLPIIRLQDGRWVATKNNVITYEVADTNIMDRVPPGL DHIQLVHHSVASNPYGLRLLQKLGVKRFDSRQVCNLIISQHKRSTKPSCDMSVLISHA VYLFQAQHNLRGLNTCEGPMLWLCTSNGAVGRASALYVDDPQLDDPVSRHLAEGPAAG LVLHKEVVEAVGRGGCPWFQKH EPUS_04232 MSSYLEPDTPTVSRLQQLLLALSCHLPELPPHEARRKLQRNLEH QPIIPVYRMINGVKETKLMRLDWDFWFYADNKRYFEAFDGTEVWLAAFTHGDWSDMRH LHTVGSEVFGRRERRLSKAAKETKAGGRYLKLSEDGTRLLAWKGIYLRRIATQAAHTK TPSTAILQKTKALLNLEVWGGASLEARPYVEWRQKAKVTPIYGKNVPCRVSIEPSPDG AGLRICFQEGLIESRRLGGAYYDLARDLAEYFGDRRHSLLMGQVLHEDEPQQIEELLT KHGVGPCEDERAYRDVTIQLAAVTEPDETGVPRKVARPNERSSKTETADRGSHAMTHE KEINSERPASHHPAMNIETEADTESVPALQINARKALSSSVKKAKVAGTVPSRKAIKD ADSSYANDDRNVMGERSSRMQIPGSLESANPSIEDRDVGLQGEYFMYRMLKAILGNSF DAATNWTSELRQFAGREFSAWQPAPGEEDASDFTFYDKEKVLLKWLLEREINVPSIWQ QKPLTFHIEVKGTTRSCDEIFHLSRLQKMKAARLTTPSDSDESLPEDLFVIFRVYKLS TDPDGEPGLQIYIDPFRLIAEGVLQCEAEGWLVGPA EPUS_04233 MLFIPAALPLLRITFPHLPWFHHMLIPLFITPPYLFLHLSRSTS STITRSNHRAALKRYPYDHTIFHGSNDLRAPCIISPTSPSPLATPTCRTCQLAKPARS KHCSICRACVQKADHHCVWINNCVGRNNYLYFLVLMLSLTLLLAYGAYLGYQILHVQI QLQRSSSLPSSSPSSASSLNPHWSKHLSWSSYTQQWSAMIADHPLIAAPALLALLSFP LALGLMLYHVYLIWAGMTTNESGKWADLREDIGEGRVWRGKVEELRGDFREWGLESGS ESGRGNGGRSGGDDCGFEWPVMPTWVVVRMEAAGRGPRVRKRRGKGNTNMDGEIGPMD GNSEQWEEDERWTQVRRLSEIVNIYDLGFWDNLKDVLLNRE EPUS_04234 MSSEVAALEGEIREYELQLETVVLGLQNDPENEELKALQLELDQ VISLTRSAIAELKPATAPVQPKQSSPQPQKWDKSQHPAFQAGYRKIEAEPATEESQTP VAFKVNDTVLARWKTGDGAFYPARITSITGSSSKPVYIVTFKNYSTTETLEGKDLKLI ADSKKRKVDVSPPPNPNVISAAANVNPALASQARQEPSKVSDGPPRPAKLPRKVKAGK ELEAGKAKWHDFATKGKVGKVAKKESMFRTPEGVNARVGFTGSGQQMRKDPARSRHIY NQSNDEEA EPUS_04235 MSPSELQYHLSPDQQTLLVAALASNRLSSSNKASANDRTSKPSD KEKNDEHSAKQNGYQNNTNGHSNQSAQNTLTSGLYPEPDDCPFGFDLDVDVDDKFDFD SSGQFIDDFPGDVSQIDAGDLHEKRKSIGDKDDEEEGGGKRRESEGGPGKKPGRKPLT AEPTTKRKAQNRAAQRAFRERKERHLKDLETKVEDLEKASETTNNENGLLRAQVERLQ VKLEEYRKRLSWIGSSGQGVSPSLGSGAPGAAARNSLNSNHNDFQFEFPRFGDPPTNN LPNKATSADKANNRSLQLATLPSEPSSFGVPGIVGRTSRTSLPSSSPQMPGPSHRSTG NSPTSASNLSPSVPNIQSYASASSLDSFSGLFSPSILEASRQASTGYFTQNNSNHSNQ VSRNNSDQHISPVANVRQYSTSSLTNSNSPASSYESQQNGSSIGTSPEPSLSSPAQKM TDYGLNTINEENQPQIHFGGSFVTPNFDPNSFNWFAQQNGGGFDPVLFGDYREPQDAV ASQDFGAFFNDAFPLPELGSPEHNFNEVGPSPAKPDLMAQVEAAQDGKEEHATSEDGS KMIGCNQVWDRLQSMEKFHNGEIDVESLCTDLRSKAHCSEGGAVVHQKDVDNILSSAR EPUS_04236 MCRNVPQVVLALIVECQQPHPQFQNQGYLPNGSSGPVPGAQALL PNNGRVIQSGATRVLCVADVRGNLRSLNELAKAARADYILHTGDFGFYDESSLDRIAD KTLKHVAQYSPLLNDNIKRQIAQVAINQSIKNRFGAENLPLSELPLLLNKQLTLDVPV YTVWGACEDVRVLEKFRSGEYRVENLHVIDEASSRLLDVGGVKLRLLGLGGAVVMHKL FDNGEGKTTIAGGQGTMWTTLLQMGELIDTANRVYDPAETRVFITHASPAREGMLNQL SVTLKADFSVSAGLHFRYGSSYNEFSVNPTLDHYRGKLAASKASFQDVWETVRTEVEQ AILQNDAQKTLLENALDIVNRMPTVANGGNPFGGPVGGTNGVGQVDESAFKNMWNFNL ADAAFGYLVLEVDGGRIGTEMRAQGFNFAHRGGGGAGPGKPPSTQPVPLQTQAPQSIS GAPASAPTGPAQNRSPAFAAPAQPFPQPPAQAPRPQPTPAAARPSPAXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXNQTKPLTPQSSAMNVNLPGNEDASASTAATTNGT PAQENKPVEAPKPAPSPVAEKKPATALYILNAETEEQIRDLFPEEDKSKVKSIVKFGK TTAFVVNFENHEECKAAFERQPSERKNRSKDPKMVNIRYFEDRPGNRGFHHRESQGGA GTWQGSNRGGANTNTRGGYQSGGASDSDGGRGGRGAYRARGGRGRGNDDRGGRGGRGG GRGGFANQNQNKNTDGASDSKPAADKPAASGGEP EPUS_04237 MSHQSDSHEVTHLTSDDQNASNVEHPLQSEGRDEAAQGSLPVSR ESADDQDADRETEPQPLDSWAWMYDVDSEECFMEYDAEAAAKSSDFGNDGYTASLTNW HELLQLTAPEPKCGLIYIRGDFPDTSDAILARVQNQDLAGGKGTFGIQLLHGPGDEFQ LGEVAANGFINYRWPYTQYWLYSETFNPGTFETCSFVDNKIVYQIARVRLGRKKSTGR PAANADATAVPKLTARFRIGGRIRFGCLCTNALGSVPKYCYNVQREEDDKTLRCQVSG EFTCETQQRCQYHLSMRLYIDGQSTVIKWNANAKSYRSADTNNDGVPANRQDPGTGNL SPSEWVDISAEHDIELEANKERVLIFALALDSGAAPRIPEGPPSSENIKGILGVTDAY ANRTSRMWLARGQKEHEQVDVADTHAIARCVEYICSVTAMPIRCENHEPPASSRQGST HTGREPLSGSKTGKSKELPIGKSTPPPAELPAYQSPVSPDCEPPKSDLESVRILTTSL LSQDSRTGQCISPQQSSAHQLEDGQNSPLHVPLPASIKSTSSLNDVLLGGDEPRDAED INLGLEKDGSDELQAITLIRNMMFDFSVNLESTFWQLRLLIKADKFLSQRYKENVSTE NVGVNEEQEDGADERSIATYQKYRSRLQTVIKGVLVWMAKIPWGTRPSMRHSRYSDKE DPYSSIRPISHHYDQLFRVYPPLWLLTTPPPDRPPWASHWQAACFVSITIWYVLNNCP EITEKILAISSLWENITDLPVGELQPEAEPKSALLQWYHATCVYNLSKMLPRKSSSGH DGMSAYIKNLEQMCLRLTRPAKKPTIDLYTIKDEQLDRLLLICNEVIQEPTFANTRFD CMTNVRAKIERRTPTTIINPGFTGRKSNLSEEPPWELSCLNHHTAFRIALEFVDFTEV DDFKQACYDFRSTEFTFHPTWDRSQKHMSRQWWDVDASAIVCATLIHPHIQKANKQRD MQASTKPVTVNLSTQSNIAPFDWRKNVTPKVFGDDWVQSLEDTPDRFRSSQTKDTNLR HGLRTYLEGAGSSNNSNKKHPDYSRESIDQLVPADDLLYLSLFDLGLDKVGDLDLEYS WRRSYLDEFKEKFFSDIDQIQDPAGIIAERLWVWVLDGSPWESFRNPKDVKEELKTKL KLRTFRQDLFKAHQHEGLRRLSDSMVDLGVKHRILLAQRLCPSIVQAIIYMWHPTAID TFDSYFGSLSTFSNVREDESWITTITLVYWAFVPRSDVLEPNPPSRPENRYTEPRQRG KFPPSNMLQQFQNERDMRGDENIQNTIEERSSSLVITGDPQGFLWTCSVWSSLIEDSD LNVSTMVQDLQLFKHQQSTGRSLAFLSILGTMCEKLSAELDLTLKGCDEYVKLGQKVF LEGYDWEADNAVDRLRSMLWGLEALKMFDDRLDDSLKSIDKARETLVHQMDNERGERH GELKRTYKDALEKFGKQRDFLFAVHAKIDLKIKQVTGLRDGLSTVTSVADSRTAVKQG NNIRILTYITIAYLPLSFVASIFSMGHNIVPDEAGTTLFAILIVVAVLTTYCLASSLE RVIKLQDILKNRWEGGYDLVKRWKVQQPKGPQTSTKPMHRNGNPEEGGEIKNVNNANS SSVHSGENTPPKHRLFRGFRWRARSGSGIAQLRHLGKSEIV EPUS_04238 MKFIDKKHSEYVEKMKAAAPGSDFRVLVQFQPVTQSMVKHSVKS GGNVLGLEEIVAKGPTIMWLIAVTVDTAENQALTIEYRDAVNKYANSIGANKNWLYLN YALGDQDPIAGYGAEVVEFLKATSHKYAPKGVFQKLRGSGFKLPT EPUS_04239 MSSSPEQKPGNNMATPRQRKMLQGKKPELWLTTPKGEQRELLLT TPQGEQSELPRRKQQSELDLFNTLQLLCFVSLLTCAVSIPLVFFSFGGWNHALAAGLA LAIETGIIIRVYGILADRWA EPUS_04240 MLVRALQLKNHIQVYVNSCRAKRDHKGKIADEAIQKHAQLIEDD WITLQQLCDALEPFNEATNFLQSNNRGAKYGFLWECLPAIEWLLTTLETLKENRGIED RIGLSANNAWNKMKKYYEITDLSPYYVAAIVLNPAHKWRYFDRHWKSNTHWIPEAKKK MQELWNTYKIRHEQAVEEEQLLPSNSSSISPKLSPKKGSFKSFLACGQAAGNEFEVAD EYKAYCQLPALKSTPQNLIFWWREQEPSFPLLATLAYTILAIPAMSAECERLNGLNAS EIDISSSLASSPPSSSSSSSSSSSSIKKPK EPUS_04241 MLRKSRGKIYISFDIWTSPNGYALVGIVSHFVDDDYQVRAILLG IREVYGEHSGENVGQTVVDVIREFQTESELGAFVLDNAGNNDTAVRYILNELELHVTH EEEHCRLRCLGHIINLAAQDFIFGQNSEKWLREHAAIEDSADIEDLQRSWVSQGIIGH IQNLISLIRSSP EPUS_04242 MSSELEPMNNGLYPLDCDIVLPFFSIFTFVHPYPSAALPSDPTS SATHTTSNSPKPQKSINISQNHPKTLTPPPHNMGKSSTKGNGENKAVKALLDRPVQIK KTSKAEAKPKEEEKPYTGPQTRSRTKAALEAELEQK EPUS_04243 MPFGINNPLPSSMRSECKKAGKILASFVDPRQAFGPDKVIPPQI LSNARGLAIITQFKAGFIGTARFGSGIVVARLADGSWSAPSAVGTAGAGFGGQIGFEL TDFVFILNDAAAVRAFSQQASITLGGNVSIAAGPVGRNAEAAGAASLKGVAGIFAYSK TKGLFAGVSLEGSMLVERRDANEKLYNAKVTARQLLEGSIRPPPAADPLLNVLNSRVF SGNVNTSSDAMYNDIPIYDDAHDNVVWEGRTGHGYGSDIQDIIYVLLRWWAYAHSTMW PKKSLFVE EPUS_04244 MATVRIVVCGDEGSGKSSLITCLVKDTFVSNRIQPVLPQITIPP TLGTPENVTTTIVDTSALPQERPNLAREIRKSNVILLVYADHYSYERVALFWLPYFRS LGVNVPVVLCANKSDLAANGTPTQIVEEEMLPVMAEFKEIDSCIRTSAREHYNVNEAF FLCQKAVTHPIAPLFDSKESVLKPAAIAALQRVFYLCDKDKDGFLNDKEMEDFQSKCF GKPLNKVDLDHIKQTISRISPNAISTSGISPRGFLLLNKLYAEKGRHETVWAVLRTFQ YTDSLSLQESFLHPNFGVPDFASAELSPAGYRFFVDLFLTSDRDNDGGLSDTELASLF APTPGIPSSWLEDAFPTCTVRNEAGHVTLQGWLAQWSMTTFTSPKTTLEYLAYLGFES SDRSNLTTTAALKLTKARKRRQRPGRTGRNVVLCHVLGASGSGKSSLLEAFLARPFSA TYHPTIKPRVAVNTVELPGGRQCYLILNELGELEPAILENKSKLLSQCDVVAYTYDSS DPDSFSYIPAVRAKYPHLEDLPAVYVALKADLDRTTQRAESQPDHYTAQIQRMPQGPP LHTSVTWHSIQELFVTLAEAALEPATAFPRSLEEENDAKWMSYAIALGAVVCAGAAAV VIWRRATSGNAA EPUS_04245 MLSRASLLPKIARRRYRSHGAGIFYPPSSGVAPLIESESKSRRR FHKQHTTADVLLASTGTSNSPSVKNALRPYSCDPAAESSMRMTQPLSSQVITTLSPTF QRFALKDKVAIVTGGARGLGLNMTQALCESGIKAVAIFDIQPTLGESMAKALSTSTGI PVKYYNVDVVDEEGVDSAVKQVAEELGQIDILINSAGVAFSNIPAEAYKMSDFEKTIN INLIGSFRIARAVGRHMIAATPTPTNPAPNADLPDRSIILIASMSGTIVNYPQPQAAY NASKAAVIQLSKSLASEWAKYGIRVNTVSPGYMDTALNRVPALEGQKEMWKERTPMGR LGAVEELNGLVVWLAGEGSRFCTGADLRVDGGYSIW EPUS_04246 MPMTSFRVPVTSEMRSSCISLRVVSGWENMLFSWPALHALLLAL VSIFLSHRHPQVQVQVRVRAGVHPANISAIYPPTHIHTTQTPIPSNKPSSATRIPGSL YSFPSPPPPAPQNNTRTAQPPTHHKMEDFQSKCFGKPLNKVDLDHIKQTISRISPNAI STSGISPRGFLLLNKLYAEKGRHETVWAVLRTFQYTDSLSLEGGQRGC EPUS_04247 MNHKWRVPFNAVYLTSFIGGIFCLINLGSTFGFNIVVSLTLLAL LSTYMISIGCVLRKRILKEDLPPARWSLGRLGLPVNAFAFCYSFFVIVFSCFPTELPV GLDTANWAPAVWAGVLLIALAAYIMHGRSHYTAPVLFVEGRKAAGVGLQST EPUS_04248 MASEKYQQTERKIPGNVQQQDTSFNQTPPMDVAAAHEKGWSNTS HDMADMQRLGKKQEFKGANEWWPISLGWMSSLGWIASISSSIYIVSAQIRAAINVTSP EYAFTNWQYTLMMLAFLIITILFNTWGATVLPLLESISLFGHLAGFIITLIPLWVLCS RNPAAAVFTEVVNNGGWSNTGTACLVSQTTVIFCLLGSDSIVHISEEAENASLNVPRA MWWSYLGNVLMGTIMLITMLFCIGNLDSVIDSDAPYLVLFTNTGSTAVALLLSVVLFI LIFAGNITCLATASRETWAFSRDKGFPYSTWISKASLGPRIHTICLI EPUS_04249 MSSSSRPTQAADSPAKMPYPPRRLDAQQRYDDVARSEENYKRVL DFELQWADSLVRTTESIYPPDNDTAGGARSHRDGMLRAQQRHAESRQERMNKSRGKLE LQKRFNEEATLMSWVETESGSDAVNIPEAVAEEIARHNFNTAVWDQNLAGATFYPPES ANEAAMANRRLVAIADAREAARVSGRDSIKIRSTAHYRAGPGGGLGTGTPHIVSYNRR DPAEARVLSPEEATIIYERQAAVGQARQAAIREARESPRTHPRWAVEMTPRETSYTDP RGLQRVASTNPNWATRPDPRQLTYDNQEVPSIDPRGATITAPQALWSTNPRKASSTNV KRAKKGGCFSTCLGEPATKP EPUS_04250 MPALPLVPQQPPVAPLNAEAQKALADLQRQQKITKRLNHHLAQA ADQLANVAVQLNDRGTNYTLEYGRKRRRAEANGEEEDGQEKMVYEDFRKKVEDLTRMM DNGVRSVVDDQTWVDTLPEIMKRIARKAEAAAATAQQETQNIDGEDDEDNEATAYPAA PAPEDAPSTLIKRAAEDVATKWCSKTLTERYSQHNTYVGFYRGVYDAKNPGEDGPPIP HHSLWFANEEDINGSYIAPGTQPQTQRTRRQHRGASSNEEDPGHSSDIEIAREKISIK CPITFLPFTDPLSSTKCPHSFDRPGVMDMLQRTQTFLPLTEAQAAEVARAPNNAARSR KTAEFRIKTIPCPVCSIMLAEADLKPDPLLLRKVKRIQAAEAREMEARTSEADSNSDD SDEDEDDDEIVAPGTQRKRVGPGSSPPRTGAKEGKRKSALEIKMESARSKSRGVSVVP QTQLDEDMEDD EPUS_04251 MSAETVVPAQAESCKLDSQKTMEATLPLDFDRFGHSELSDEERL LYDTCKSLSSSPLAIDEYDQEYLEDAFLALEDNISSHVPGSADHLNFNLPQDAQPKFE APDSEFGSDPFAPDDAEHQDQDHIHLSSPINSCRIPSSQSAQIDFLSPQVDLGSMLPP SYQTPRKSSSTANTPIRLPDPLPNTPDSGPIHKHALPKGPSTAPFLRPSFPRPVAARS PISNLTSETRILTCFRTAEYLRAISSSSSASGLLIELYAFVTSSTRVGRAQHFTFADL FFPQRPPHLHGTCTTWQDSELHEDDTRPFLDTTRGHGRLCRAIVRPRRLTDPYGVSSP LVKRSPVEGGSPGRENGTGTGNGKTGRPSALRSEIEVLNIWEAAWDDVEYVRGIVCA EPUS_04252 MPFERILICGAGVAGSVLAYWLAKHDFDVVVVERSKTEQTAGQG LEIEEPALMIMKSMGVLDKLNQKKTGEMGFNLVDEQARSHGILEVGGISPTGALELLR GDLTQILLQSADGSTNVTYQFETTIQSLRQTQDKIIVDLENRNDKTIATEEFDLVVGA DGVRSRTRQLVMGSPEELNCFKPVGAFVAYFSIPRED EPUS_04253 MTSVYLIHVHDDVPNLRRANAAGDRLKQKEAFAEIYIGLGWETP RVIQLMMRAENFYSDELVQVKLQEWSQNRVVLLGDAAWAPSPFTGEGNQLAIIGA EPUS_04254 MYAIETKKRKFDRILETIKDHANSRPPPPGTPPQTSVSTHSLVT SNSAKKRRMDHLTMSSKNSSTASMPKTANYLPSSREAFLERLETFGPITKWHVPSTEE ISAVAWAKRGWWCIETDMVGCRACSEQILVKLDAEEEATATESAGQDIEEEEDLTTAA MLRHRLLVEKYSDMIITGHAQTCPWRNRGCDESIQRISGLLNTTSTIQTLKARYNSLE GLDVPSVHVSVGEFEYPTEGVIKFRFDEKQLEIPHQDTLRLAMCGWQSSSDREDIVEC RACFRSLGLWLYRGEQPIMEKLDAVESHLEYCPWRSASAQRTETWWDEKKTMVPAWVL VARTIREVAHRPTVDGTAETQQEEPTVLGEQERETKVKELLRRVKELKKPFNVKALLR KKPASS EPUS_04255 MSSPTANRVKDTAKSAATKVENAATEAVNNPKKAKNDFLHQPIV RAALPFVNGGLSGMIATACIQPIDMIKVRLQLAGEGVRTGPRPSPLTITKDIIAQGKV LDLYTGLSAGLLRQAVYTTARLGFFDTFQNILTARAEKRGTNITFAERAAAGLGAGGL AAMIGNPADLALIRMQSDGLKPKDKRANYRSVFDALKRITATEGIGALWAGAYPTVIR AMALNFGQLSFFAESKAQLRKRAPSLSESTRTFGASAIAGFFASFFSLPFDFIKTRLQ KQSKGPDGKYPYSGLLDCARKVIRDEGWLRFYRGFGTYYVRIAPHAMVTLIVADYLKL ITA EPUS_04256 MSNFAEASSKLFLNPGVVEPGIEVLLSTPARCKKDSLDPILKKT IEPPSDLSRNTREKRAEVQEQWDDSGLPSLTKRPETESQWMVLNEASGILSLLSAMFG SLLREAQIPSSFRNILLYFGRRDFEVEIVPPRFQNWPLGGPDSSQRRGFECMYGLRFV EQNERNTNRPWSLRQTAVYHRYDAPGTTATCIFVAMSPVAKELLSQQVADCQKPEDIN PFAPHVLLLSFAAANWRHYLVHLTTVAEKHSQRVLLANGEGVGPVDYTHCGARQLIKI LQDDLLDVSLVLSSTIDTVEQLLECCQENEQFQRPAHYADTKCHLRRKRGDLVALQKQ ADHLRLKVRGTDKLVASFLDLGNGFALRELGHDAREETEEIHKLTESSAQDAAAVKLL TMIMLIYLPATVVSSFFSTAFVDADRSPGRPGKLVILENWWIYVAAAVPLTFLTFFLW WSMGRVSPNVWCACLSGVRRIHRRNCNEENPRSLEEGT EPUS_04257 MPFSNKFNKGVSLWIRCWRTRSDEESSHPVSTTGQPIPTKDHDG TTQSIRSESSSPAQDSNQISRASADGEPPIRSTTSTTLDQAAEDPQTTHYSQLPLQAP RKPRNILINDAVRDGTVRRVFSNTLTSSEHSLLLKNETVRSSRRKKLYSGGCDWETLV PSRLLCYLIIEFESISNEPFKDERLDVLEFDLNWQDEGAYHMLNNEAQRRLRQYPTTM ERQTYRKKGTCKLFRDEQYCFSTPLNEEAKWLNLLSNMIVQFIAKNEMVKFHLEITWT YTASEPPQEASCYAEKVRQSIDAQLVSRKNFIGESYIPSNVLTEIMSETTTEHLINED DSLKDVESRRYQQNGQFDKRGFVRDVKTKASILLALFVYNKLPLKYLLRLMESDITNV HLGTLPLQRDHCPEGADSVDFGNLVDHQWRFCAHKFPKPETASAHSYDLHRHTILPIQ YCNEGKKIGNGAYGEVYKIRIETDHHEFRTVSEGYPYQYQDKCEFFALKKFRGSISAE EFKNEAEVLKELSKQRHKHIVPHFASWSQDGQFSMLFPFADGNLREYWSRPPQRPEVN AQFVTWMLAQFCGLADAVRRIHMMGGYHHDIKPENILYFSDGVSELPTLKIADFGSAK IMQVRSGGLLESYLRIGCSHGTPAYEAPDFFINKGTSSPYDIWTLGCVFLETLLWTFG MLFDEQGSYSRERHMVNDVTESKDAMFWYLDGESYVRWKPFVQRRLDALESRCRQYGV YMSILQTTTKMLHLDPAQRPRAHEVHNDFECIIHRAKDLDKKDWTNLCMTDERTPIST LSDVSGPTNPKGTPVSAKYGYILQGNLYEDERAVLRESGSQGNLSAGNPRPQEELSSV GYNEFLKVPTPHIVVNGELGNTRSSQATQPSDQRPLLSYNQGPLEVRHQPTTPSPCAT ETSD EPUS_04258 MADKDSARTQCTAPELLLKVVLAGTLSHYETRGMIDDKRLEHML HAGKQILYKSHQESDVRQNLGFSPTVWQGLTDVLTRAIPALEVQSFEWKNPLSATHNG SSSDLIALNYFTLVKDIERLNDLCTIARNLLATTKKAQNLAAEKGFDQQILKLIDVCV RVTAQGFDGEANARNEERWQKIVNLYKRLLITCLQFLHNFIMHNEHRKLVLWLDLFGN SQQTEVEAPPAVASTETAMSQTAREARIKATVDKLPNFGTFTTEGSLGYNIDDVHALF DLMNTERAPDGNTQGAARMLMDKIRTDMERLSGLSASQLDENPEALLKVGAALRAQLP TKDFPLRTHVTNPSPPVRKAVVSIDRNAAAGQARGMNWTDLPDLSQYGDATTMNTEIT NDDISMPRSSQSAAETLQEAKDELMARLQEATPMMGANGEQTYDLKVNTESGVGESER SMDGDADSLEEEEDEDEEDYRNPGDQERGLLTDVPLVLGPTEIEALPMIIQAGIVDSF GLKGGERNGAKNMQAVRCHILLAQQAGRNVLRELLIFIAAWDLPDDEFYFKMMVQIME AILQNGLMSHAYSDFGQAKDIISPAQAVVIKILTHIFRSKYSPAAVVDSNGQARTPRA PATLTRVDVLTVRYIFTVFRGNIVPETCALIYLQGQIRAGAALAEDFPLNLWDMERVY EGVYQFLEFFAVLTESNDWKGLLVDWEIVYDLVTLIKELDASIPKAALITELPPLQPT KTTNGVDTASHAAANPASPAPVAVERPYDPTSMDPGLGASPEEPLPPAEGDDHTQSPP LGTEDPAEFEWRNLKKLIVLVLSSLVWKSPTVQNQIREHGGVEVILGCTQYDAHNPYI KEHAVMCLKFLLEGNRENQGLVESLEAREVARGGREDEVLKGFGLEARVGEGGRVRLV ETQREKERGGGKASGGVQQPGQGQGGGSSKDRERRRSMGSVGTGSKSSAVDLAIRGRE RVVEVVEDT EPUS_04259 MEFPLGLTEEDTPHSDPICRNTKRVMSKLLGEREAEWAAVTKSA PLNLLDLPVDVLKVIIREVSYCILSLGVAKVFQKVTHTNDLTSLALSHSALHALAIPQ IYSRFDIVWPDGHTTTDNRTGVDALTYGLATLVMARDVFGEAPNQKYSHHHIHRCSQC GRLDQCPHPARPASRWQKTRRGNDFAQYTRKFSLGNGPADWVQEYLITKEGGKMLGTL VALAVGRMRNLETFIWDMPTGVLRDVWLALGSLADRDDGHESRLERVWVRWHDNSEAS SPPGNPTLIPHNSQSGNPLAPTSLPASLFQIPPYPRVEFPTFSILSPLKSLSVLDIDE LPYVQEMSILIERSFLRLRELRVGLAQHAQYDTWASLPEDKIHVGPPTTDNASSSPGG LLGILLGGLNETFSGNSIVDSIETTNEDARTTNETRPLQTTSSQSTGIPSKGLDFASK VQETHDPSIFQNISTIKETLENSSTPVVNFDIKMQELTDSLASQSILDSSKRDCNAES SRKASLSPLKLKLDVLELERVSISIPVLSRSIEWTGLTSLTILGCRQHEKLWGALRKK FSPQTEFGMPTASTKTSLKSPRTPSTPKFAFPPQDYPLKLKRLHTDCVSYSLINFIRD TLAPDSLEWLFLQENKMYPSNVTIDQIYRGPLRRHRASLRKLLIGSGDRTDDDGAVAA GGGGPSSRNRRWTLNREVLTFILSGRMSCLRELAVAIDYKDWHFFLQRLPCIPHLRSL HIPHVSEHVHGRNLDSRELALQIVDVVALRPELELCYLGIQTKCFEILEYAAGHKPSV SDLASASHGSGINGGGSDDDSDDADGAHQHHHTSSDHGGIGEDGGSEIGSAREEGDSD VDDDERSENGRYTRSKMAKVFKLREILFYDDKVSIFRARHGRL EPUS_04260 MPATMAGRKRVFDSVFPSSCLKDLAPTPVLSQTEFDRSVRDPIF SPTLRPAPEALTTYPEHVIWDRAWHAATSFLTLPERDFVERRDRRDLDAFAAAYNKVP RDAFESIRYVILKHQEERAREQKTEHENLVEWYTNHVRGHFLAHSKAPLLRQFYGEDA GQVLLRIRNSLDMIQSVYIYPLMQCLLPHLTSFEAKLSKSNFQRDLHALAAYALPQTE IEVLLTRFLSNCSRKILGIGDEEFELSAQISPYPQHDLDTEMQPAVPQVTYRSYYPSC VSMSELDEANDIFVEPNDVKQIRNELLALMKTWSSVGLGGDKARKIFAAVLDQMLTEF VNWSYAGIDDDESGVLPHLRYWIENVFSRYAVQFLNALRSGETVFFDRSSEINLSDVQ NWQEMALTRLGALRVEELFEIVMDWDSTKSRIDDLKYYITNPSTRTYLTSNFIAVLSS RLLQPGVSTLHILRLYISIIRAFRRLDPKGVLLDRVARPVRRYLRDREDTVKVIATGL FSDVEDVEGEPLPPDAEVLNEAASELKEHGIDNAKEDEGDTDWNNLNWVPDPIDAASD YRKSKNFDVIGSLMSLFESKDTIVKELQTMLSDRLLKNRKDFNQETTVLELLKIRFGE SVLQSCSVMLRDIKRESININQTIRQEQGLEPSKNETDREISSTSQPDLHAKILSHLF WPTLQDQTFKVPMQIMAQQHLYEKGFSALKQSRNLTWLNALGQVEIELELKDRCFRGE VTPWEASVIHAFDSDPATTSNLSISRTVEDLATELEMSPLLVRSACKLWLSKSILTET TPDTYEVLETLPDGTDAAHATSTITTATPNHPTSGSLSSSSAAAAIAAAETAASLAAH SASESLLQQKMAVYHQFILSLLTNQGAMPLPRIVMMLGMVVPGGFPFSNEELKDFLGR MVRDGEVEMGGGGVYKAVTMGQS EPUS_04261 MDAAKTLIRTVVRSFYSNPKQILIIDALLIHSVLHADDLNILLS TQQKEIRKLVAPLRKAQLLDSHSKVEAKVGQTRGASRDYYYIPFHPAIDAIKYRITKL TDRIKDLYKPETERKDWRCPRCKSEYDAFQVLTFHVNDEGFACEKCGTTLEKTPQAKD AAGMVGHEKHSRLMDQLSKILSLMQQVDRLQIPENDFDTAWENKKEVPRERGQHARRE YVDVPGSIKVAKAGRTGPEKIKAESLGINLTSGEDHDAADEARREAKKAALAKQNMLP VWHTQSTVSGVSDGVVKAEDALANGGILKKEEDIDEKKPIVDEQDDLAAYRAEMQREK EEAERRAAEEDLESDEGDEDSFEDVLSTGVGTPLPGTTTPASSSQQWQQPPMTTNGVK REFDSDSGPSSDANTPAAGTPSANDGSEREIKRVKFENSVEAANGGDKAGLMTVKKDE SDEEEDFEDAM EPUS_04262 MASIFTYDPNPPRVSSPWSTPRGATPQPSVDEADVGQQHEAIST ARPSSPVYLSETGITRLEAEPQEGSTEYKLHLLLRPRRIFSSTSTSTQVSGSQHSAAY LSKAGVRSNSLSPTYPVQPPSMQSRQTRLQQLTTQLLWRLQQSSPFHSSSMADPIIPG LPETTTKLGISSTPATLLPGLEESQGALYEIGVSDDGTFVGLAQDELDESLANLKTMA ASLGCVVQVLRKVIVGHCEWSDTRQAVDSSTAQNHVDNLWVAEALVRPDLETYTQTLG PAFTSNPAQFRTVETVHGLETIEPDISRSDVVQLRVSLIGATSSGKSSLLGTLATSTL DNGRGKSRLNLLKHPHEIASGVTSSVAQELIGYHKRGTRDLPARTKGPPVVINYASEN VSSWNDIHASAERLVFLSDSPGLPRYSKSTIRTLVSWKPHWTIACIAADSGASDVDGK AHVLTGPTAAGWTNIVESATNLDSLFMHIELCLKLGLPLIVAMTKMDIATKVNLRQTL GKLLSALKAAGKKPIMMSTLEGQSQCSSNQPMDLQCVSIADEVEVQRVTTLIDEMGIE VVPIVLTSAVTAQGIGKLHGLLRSLPILEESAQGIAAAPSTSLVQNHNNDVSSKIFQV DEVFAMPPSKVYNASRAVHEAGQGTVLCGFVLSNSIHIGDSLKLGPFLVETIHESTQQ DTSLHRSISFPSSTINGRPPSHLYSKSIPAPGPSSMNKAKEPTVAALWHDVRVVSLRN LRLPTRSLLAGQIGTIGVEPISSVAPSSCDLRRARKGMILASFEGGEPSAYRSFSASF PASDFWAANSPPLILGGHATIYANSTRSPVKVTSVALAEHDDDTEPSSRDDSGVFAFD GDDQNAQEGKEIKIKFSFLSSLEWMRLGDRVLVVPNAASVGPITGGFVPATGGLSGFV GRVCGLNG EPUS_04263 MQTTTRPRDAGGPYVARRGHAPQLSISDDNHHVTEVLGHMYEDD NNYATRRESASQNHHISSHLSSTLDHRSPPTVDWHPQNSPPVTGRKMSNDHSAPTVNG QMRPAATHRNNSWERQNGASSPPEFRGRAPSDTATTQFPLSDIDYESSPAAVAQELSN LQALRRMSMDVTATGDPDLPSINAAGMPAAPSASDSEDDSSRLFWVPARLHPELAPME FKSFLEGKADQIKRRSGELSSSASGEWSRSSSLSSQGGSSGGLQRKKSMLSRQIDNSN GRGAEGYQDGAERLERKRSRSARSQPQDPNLEELESLVTDAVKLKRLSLENADSPGED VILPSVPGQSLRRSTRTQYRRGSTKGQPTRLSYARRVGRSGAPDAVDAVEDVPAVPTI PDVPALPPSQLEQNTGISRSNTEQMSAPARTTNFSRPGGRSPSSSSHTKSDSVRSFDS TLYTGEPADRSSAPSQPQTRQWQSRLSTHGRSSLQIPPENQTIPQIVLEAPKTPAEEE PLSQTLSPAPSTSTSTSIHNRLPERTSSREEARPISPPPQRGTMKQASLLNNNRGMPP GSRSNQSLEQMSSHPSPLPGNDTNTSNLSFIPTFSDERRGDDRKPRDIKKDDSKKSSW GWLLGKEESENKDRRLETLHSKPKAKISKPPEKHDTTRLDVLQNSIEGGKGRESIVLD RNNLKLEEERKKESARKTSGGDGKKEKDGLFSSIFGGGKKHKGDRDSAHKKFSRGLSP DPPHKALKPDVDYNWTRFSILEERAIYRMAHIKLANPRRALYSQVLLSNFMYSYLAKV QQMHPQMNLPTSAKQQKKQQQQGKDQPDEFTQYQRYQQQQQPTDQHKSPYGGSAYGPG EDHDGDTSMYDYDGDGRRPSSRGSKHGLENGGLHGSGYGGGYHSSSQHSDFGSSLQGD DDDDDMW EPUS_04264 MSRRAGSERAAENQQTIKNLLKLEGNKVCADCKKNKHPRWASWN IGVFICIRCSGIHRGMGTHISRVKSVELDAWTDEQLQSVLRWGNSRANKYWEAKLAAG HMPSEAKIENFIRTKYESKRWVMEGPMPDPSVLDEDGDDDVPLSLVQEKAKIERSASE KQRAVSNQTQSQRRPAPAIDLFDEDNLAPPVRPSTTDNASIRPQPKSAIPTTSRQTKP GDSLLGLDFFGNVPSSGPARPSSANSNPVGSTGQSRPDLKQSILSLYSSAPKAEAQPQ PHNRQPSFGGTAPPIKQPQDAFGGLADAFGGLSFANPEVTASSRPRQQAKSSFSATGA FAASAPVKSAPSAPQLSSPPPLVGGGFFDSLPSRKPEQPKSQAPAPRKPSHGPDFALT QPLPSTSRPQEPTSQDHFAEDDFAGFASADPPPVPQPAKIPSSSPSSTFNSAFNLSAP PAPPPKPNAASKPPPLVSQNSSAIFDPWSSAHDNTAWGTSEPTPAPSKPKAPTVDIGK PPTHITPNDISSGWGEPITSSQKPGQNPSITADEDYGGWTSASNNQTPTASAAKPSGG FAGASDPFDNPWG EPUS_04265 MTYPDQRTNQEWQAVLNKEQFRILRQKGTEAPGTGEYDKHMPDS GVYTCAGCNAPLYKANHKFKSGCGWPAYYDSIPGAVTRHTDSTFGMQRTEIVCSNCGG HLGHVFKGEGYNTPTDERHCVNSISLKFSKDDPVDDGSKAKV EPUS_04266 MDDTKAIIEKLRSDFCPPIDEPAFYAICLDYDLGNQEALGTCLE NLEIIKAAALEADTRAFDASGTGGFVARDLETSVESASSCNGTLSGSQEVESITTGLS DLAWDDDSAEGRDLDDAGDEAKVAWLRAMFPNITEQNVCYRLQKCHGNLTRTIDELLN LSFIDQSEPEGQSTIPKGIDGFTQVEDHGRGRKGKAKRRLRTSDSTRSNSTTSMYAGA QHEPYNVWASMADDVEFIRARSVLRTQYVRSIYHAQNKSLARTISLLASQEGANFSSA ETSSSVGKAQFAELRTEFPSIPESQLYGLLVMSGNKTSAAQELAGAMITAPMEISASQ SPIIAKYAPVDLTSDIESSKTPSSTPWNQVSYLKAESLAAAKAAAGNTAFLQASNAYR RGKSDHLIGGAAAYYADVAHENVRAAKELSAYAADSLVMSQSTSCMLDLHGVSVADAV RIAKKQVAIWWDNLGDTKYASGGGGPVREGYRVVTGIGRHSRDGTPRIGPAVSRMLVK EGWKVTVGQGEILITGKARRS EPUS_04267 MIGTSLLEYIFIRACIIGLQSVAPLSIIYFSAWVTSQVMDSPIP IEAPLPFKVWTSAEVVFYIFVNFIYREKLQYEAVHPAAPSRNERKELFQLCNSNIPDP EAYFKKWFLGATTDEIKRDNIKEFFLWAFFNRDGPPGEDDDELEEYVVATEALLGRKI PDGRGSAVCLRLTIDGVSMAHRSILWYFCVGFVDFLTCLRLHAYGFAFRRTASPYFFM IFPIRPFTLFMAKRSPATRLTYWHRPHTSRTRRPVVFVHGIGIGLYPYVNFLRQLDSH LNAGRSADDQVGTIAIEIMPISFRITHSAMTKDEMCDEIQAILKYHEFDKPVLVSHSY GTVVTTQLLKSPKLANQIGPVVLIDPISILLHLPDVAYNFTRRKPQRANEHQLYYFAS MDMGVSHSLSRCFFWSENVLWKQDIGERAITVSLAERDLIVNTKAVGNYLATCNEEKV ARKEESGCDTTNGNIPMEVISENELGSTALHLRSNAYTRCGISGLSSPKTVDRNSCNV HLDVGWQDQKWRGKGGDLIWFRTLDHAQVFDKVETRKPLVEAIHRYSVQSC EPUS_04268 MQFTLSASAVLALVASALALQVTAPAEGSNLDLSTDNTISWASV STDPSTFNIVLVNNNVNPPVRIEIASDVETSTGSYDLSSVPGVTPGENYQINLEGTSA SNQGILAQSGQFDVADAGSSSTSSASFSSSTPSTSGVTSTSTVTSTISSSGSITTITS TGTSTSTVSASSGSASASGSASGSASGSSSGSASGSSSGSASGSSSSAVASSTGAASS LNTFAGAGVLAMIAALFA EPUS_04269 MAAHQQHSDLPSRTNTNASEEDAIPEGDPSNTTGLLLERLQAWK HMVSYLEEYIGAVGKGQHSEAKEQEKILKVNHAHPQSTAHTNRSHAPQTLSKPLKQGH HFDQENRGVAGLFENIRSNTQAIANLHDETAKNISGTVLPMLERLHKEIKAKGKELGS GAAKQSKAVDKARNASQKHIELLGQYTANFDSAGGKVDAAHDPYVLQRGIYHRLNQQI LEENNNRNDLLTVQNSFQQFEAHVLTIVQNALGSFSQFMGGQAERHRAMYGDMASTAQ KVPLDFEWRGFIKRNENLLINPDAPPRSMSNITFPNQGHRATKPVIEGSLERKSHGIG ALTGYKTGYYALTPAGYLHEFKDNNDFQKDPIPELSLYLPDCSIGGVDGVKFHIKGKD VSGGKLTSKMHLSSEYQFKAHTPADAQQWHSIIMSQAGKTTDSVPASPMESRVGGGGV AAAQEPGSIGTNVQQQQQSISGTGATPTSAAAGDKMMSPTENTGYIQDTFPQQSAGAT GPGSHFYGTPATNEMEERKY EPUS_04270 MAAARKGSEACVQISREICPASVNDVDHKDQTALHHVSHAGHVR TPKELLAFPTINVHFKDHFRRNALSLVSQQGHLPVVRILHRRNGDAASTDVTGRNAVS WAANSLKATAYT EPUS_04271 MSYVLANASRLRPDIRLAQAVSQFEADLSSEQKNSFRTYRSQSC DSPPDPSDVMRLTAEIDCRVSGKVGGGRCFGPRLTNFLQGVQQFAALGDIIVGGSQNL IACGVWSLVRMSLLLIVNFSSYLEKLSTLLMTVGRSAPRYQRMALLYSRSKDLQSHLS EYFIVVVRLCHQLLKFTQKSMFGQIASTLSDSDMKTYQSDLDLWAKMIKGEVNLLMAK KIEEEAEENSRFRALSSKVSKSVSLRQKIKTNLFVLNSCSMYDYEATWKQIRKVGNAT LFNRTAEYQDWKGRTDSCTLMYTGKLGSGKSVSLANIVDDLNLHVRKKDIVVAYFFCR HDVSESLKARTVLGSLARQLLLSVPDLTMVVELLGETSPALDFDGLFRLLQRALPPNC KAYFILDGLDECNDAERNIMISQLRKLQEIFALLLCVSLRLEPNNASKMCSEQLTAAR ITSIPYDNPDIAAFIGAELESCIESKKLVIGNPALILEIQDALLKGSQGMFLWVVLQI ESLCAMKTDGAIRQALADLPRDLSETFSRILRKSEGLGKPYQKLILELVTVAHRPMTT EELREALSVVPGDAVWNPAKLLNDIYLTLACCGSLVTVDEEELTVRLVHHSVKQFLLD GFKDSTNISFTMDSAETKMADIIVTYLNYGVFETQLSTLVVPQIMTGLVPSRIICSTL DSSNSVRSLALKLLKFSKRPDYDIGKTLAETSTLFSSRSVDDFHFYAYAKLYWLQHIG YISEHEPGMYDLLLRLFRGNAVNTNVTDEDGQTPLSSAANKGHDAVVKALLDSGRVDP NQRDLTGQTPLSSAAKNGHEAVVRELLDCHRVDPNQRDSVGRTPFWWAIEKRHESIIT VLLNSNKVDKNTKDQTGRTPLSWAVEHKHKDVIRVLLNSSKVDANQRDDRGRTPLSYA IKNGHKAVIRMLLDSGRVDPNQRDLTGQTPLSSAAKNGHEAVVRELLDCHRVDPNLKD LTGWTPLLWATKNGHEAVVRELLDCHRVDPNLKDLTGWTPLLWATKNGHEAVVRELLN CHTVDANLADDKGQTPLWWAAKIGYETIVRMLLDSGRVDVDQEESERQTAVYWATKNG HETVVQMLQDHKRAESEKDSLFRFPWSARTTEQQEVIGLAY EPUS_04272 MNAAISFRKTSGGLPPRYRTPSPPPREAIEPLSPAAENVHHNWP PEHNAYPAQGLGLSLAGFDGPQVHTAYEQRLWVDNDGQARIDSFSINATRTNATRRST YDEYRTTAPTDGSLKREKKGHGRSGSSIDALATIALATSPTFSQGSPAFPPSPWLPSY TYGNGYQHDYTLDERPSKRARSEKLPSPQMGRKQTRPSTSHLTSFESLKDDAELLLNF AQPHNFPPLRKSTPPQHQHPQFDPESPPYRRLSASTTVSEQREQPALNGVQEDGHESP GPHGEHVALKQIEDYIAQSGVAEGKEPIKTKQEPGSASDDTSIHQGTSPELQTEPKAS ATLVEQLAASAAEASVIEEKKPRRIQPTTQAPCGKCNSLQAITGNEDHDGQISWIGCN GCQRWFHIICAGFKDSRETRTVDKYICADCEPVKGQTTYVRKSSRARTAIDYAGLNQG MIQSSEETAEHHWLKPIKDGRIKFQPDDFARIRPELLTTEYLEKTDGMKRPVVIPACW NPQFGVQKEVEANVEADDEFAGQEVIIDSNGNEVAASLLGSCVQDREEVLDCGQDLLD MVMPQNLTVRRVAELHGPDMPLDVIDVKSQQTAQKWNLKKWADYYESTGEKTIRNVIS LEVSQSKLGRLLQRPKIVRDLDLQDAVWPPELPSKAVQFYCLMSVADCYTDFHIDFGG SSVYYHILKGKKTFFFIPPEEKHLKKYEQWNNSPLQNQTFLGDVTGDCSRVDLSEGDT MMIPSGWIHAVWTPENSLVVGGNFLTRMSYEMQIKVNRIEIDTKTALKFRYPLFQKVN WYAAIRYLEDDPVPEEVLNEFEDDPEYVFLRANPVWHEFGELENSAEPGDPFYNARFY SRMEVEGLPALRDYLYRTALIASDFHVDGVTETTRTNVKKSIPKGHGEPMDLMRTFGI WVAWKTGCVTAPDWVRPDSPSLSSLARKAEKQKKSDVRTPGERTSSRVQLQLEHARAE SANTSIKQQTSSVEPEAAKEDTKKPRRTPKTSGLGPQRFACDPCRKRRIRCRHREGEA LAPSSESDRSRIYSSISVEIPRTVPAQGSAILDGPGSSPQARAHPLPDPDSVSTLINP QETYGSSNQPPPVQLQTEDSMLTGAASGKKGRSKACEECRKSKVRCVIPPTDLVSDTV QRRCIHDENGKVDPYKAAEPSRPRGSTSTKRPARLSDEMSSAKKPKVDKTEDDTILIG QAQSALAEAFHEQAVEDDDFNALIDPSLQPAIQQLQAAASVMTQSAQEMNEAAGPDQK PETSSIDALSVEAPLQQAKLTIHIDPQLQAPSTSIKTEAHPVAGLATSSSQISANSLV SPPDSLHNDGEDVFSPHITNHNNPHVNKSVEGINHHEDEEEGGSSNDSTTSTSNPLQT PKSAGSRYSSRQPKPVDRYVPDPLTVSQHPGTGIKHTPNATVANKKEGRRASSSGASL VTTSNSKSNSNFNLSRGHGSSGKPRRASSHATSSSTTSAAASTTTVSLPFVAAAQQRE QKEVISSSTLPKTDEATTATHGNGLGAVLGTASSQQAGQVGISPEIEADEESLRLIRA LQEEEFGLRRRRSMRA EPUS_04273 MSRSNKLAPEANRILFIKNLSYNLDPPSLFALFSKFGPVRQIRQ GNSANTKGTAFVVYDEVADAKQACDKLNGFNFMNRYLVVLYHQPEKMAKSAENLAERQ ERLERVKRENGID EPUS_04274 MDDLQVGVLGGGQLGRMLVEAAHRLNIKVSVLDAANAPAKQINA LTEHVEGSFAKAADVLALSQKCDILTVEIEHVDTKVLEEISEREPLKVQPNWQTIRII QDKYRQKEKLIRNGIPTAESMPFGTASPQGLKEIADRLGYPFMLKSRTEAYDGRGNYP VRSVSEIEKAIATLKDRPLYVERWADFQMELAVMVVKTSSAASTSSWETSTKAFPVVE TVHEDSICKLTYTPARGISKTVKLQAQELARKAVSAFEGRGVFGVEMFLLQDSSLLIN EIAPRPHNSGHYTIEACHMSQYEAHLRAILPNLSNTIIPEATSLLTPNTNAIMLNILG GPTPTSHLIVARAALTVPGAKIHLYGKGEGGPGRKMGHVTLIAPTMKEAQEKLQPLIN LVDAIRIHRSEGPSTSLETILATAHTLSITDSSRAPLKAKPLIGITMGSDSDLPTLKP GLQILDDFRIPYEVTITSAHRTPDRMLQYARTASSRGLKVIIAAAGGAAHLPGMIASS TPLPVIGVPVKGKTLDGMDSLLSIVQMPRGVPVATVAIDNSVNAALLAVRVLGVEDED VRARVEGYMMEMQKEVLAKAGVLEERGWKDYSGGSK EPUS_04275 MAHIYNSQLDAFELYHRIHETQGQGPSSLLSPKGTALPALGHAI AGSVGSATSNIFTYPLDLILTRLQIQKQLRENRTEAQEDEYRSVQDAAWRIYETEGGF SGFYTGLLQDTGKTVADSFFFFLVYNFLRNRRIAARSAGGSSAMVVLPVLDELGIGFI AGSLTKLLTTPISNIVTRKQTSALTSTQSINASSTDPGPLRHNSLKAPSTADIAHDIF QQKGISGFWSGYSAALVLTLNPSLTFFLFETFKRLLLPRSKRASPPPSATFLMAAISK ACASSVTYPFSVAKARAQVSSKPISSSDTSIPSIPEDTTDEKSCASSSTRVPKKAANT TIFSTLLSIIQSDGPGALYSGLILELIKSFLSHGTTMILKQYVHGFIIQAYYISSLIV ARLRSKGLRAGAQTEKLLERAREQRVEYYDLARNRAEERVRTAKERSVEYYDLARRRA GERIREAKEIMGAMTGLGDGLRGKAHETAELVADYVEEEAEEWRNLYGTGLARWFDEK EPUS_04276 MGCCGFRRKLPSVSAEQKWEYINLDDFHSTTCWTPLSYGVLYIS ILISIAVYAVDTFTAVNLLAFDRWSGQIKPYIPMKYSRWIFAGCIILSFVFLFFRWLR AIRVMKGGGVAESYLDPLAVCIQSVRVGKEGRGWKRFLVFAELSKGKRGADYVALFTH YSFEAWLRILFAEGPRQVINALTLYSVMQLNLIPTGDNAAKDGHTPIAQFFVNVRILA EKSGREQAVILFGMLFTLVIWVITLVNLMVAVVLYLVFLFHHIPSSDGGLSGYCRRKI NQSMEKIVRTKVEKALKKENAIRARQEAREGEGVLKRQPTLPDLDTFASTSDDTPPPL PRFDTPTALSEYGSRPGTISSSALTSPSSLERQPTLPDLDPADFHPGPLNRVDTNTSF ASNAPLMGGAGGMGYGPAGRLQSPGDIPSPQSYHSRSASNRSYASYSQTTQRSYTPGT GQRPSIGHPNRSAPGVYQMGSVSRSGTDVGGRPIPGEQSNPYFPPSLGHPAQGSTNTS GSGFMPSHTSRAPSSTRSITSATPSHSRPYAQPALPSLHTHTSSNSGGYRSYSASSYS PAQSTFTPHTPYRSFTQPNISATSPDYFSPLTPRSPASGYAAQQQQRHIPPSQRPGTA PPTNRQTAPVADNVMEDIMNGY EPUS_04277 MDNSRVKSSDQIRTEILVNNFWYILLDILMFVLKVAAVAGYIVV KIFRLDIICAMLWAWISSTELAKKTRSACRFIYNHVSPLPALFAFSFMASFPIVVGAT LEQDRAELELLALQIKSLTKPYLPAFSFVVGILFTYGVLRFLPRYNETCASINECLHA PRSAVDLLNHKTTPGEFPISPSEKLIHHLEEQLLTKNNEIASLRKDLEGEDEELAELL AEKDDLLEQQKKELANSQAALKEKEKKILDLTAEADRRARRAPKFTRDKLSGKLMRDD PPAKPMGNGPPEKPMYDGLPVRPESKLFKVFNDQLDILKNEVRTLKSQLVESGHASTS ALNSLEIKHKQQISNLEAELARRQHDGASAEKSLLPRDRQIKELEHQLSQTHLDTERI GKALAERDHQINELQQQLSQHRIHAENVQGSLVKRDQESKDLQESSQKIFNSNHERIN ELETQLSQHQLVTSNVQDSATAQRVAELEAQLSQRTTEVDNAQNLVHQLEQQVSHLQA QLDQSTSYAQQSISTAGIRITDLMQQFSQCKLELASAQEAITDLRGKWETDQLEFAHF HLDHNALEEKHKALEECYNACEAQLRFSQSKLADADKLYVQYVDLCNKWNHAQSIQAK VIDEGVERAMACVEWQLKGRTAEETEQKCKEMGERLGGRLRPILDMVRWQMEKREEAW KATAPGVVLERGVAGNDRV EPUS_04278 MISNKYLSQRPLVLGLARILGLCLTQLPFIQAAPLKVVEFIRTH KEDEPPNAGGASLWLYLGVAAALVLLGGAFAGLTIALMGQDEINLQVIKTSGEGAEKR HAARVLRLLKRGKHWVLVTLLLSNVITNETLPIVLDRSLGGGWPAVLGSTVLIVIFGE IVPQSICVRYGLPIGAWMSPFVLCLMYIMAPVAWPTAKLLDYLLGEDHGTVYKKAGLK TLVDLHRTMGDAGHQLNSDEVTIISAVLDLKEKSVGTIMTPMEDVFTLSADDVLDDET MDLILSQGYSRVPIHAPDNNENFIGMLLVKMLITYDPEDCKQVRDFALATLPETRAET SCLDIVNFFQEGKSHMVLVSDNPGADHGALGVVTLEDVIEELIGEEIIDESDVFVDVH KAIRRLAPAPRTRVPKGQIVADPTDAVASSQEGNLIDIDEGATLSPNDIQKKPKHPDC MPPKTNKHNYSSSPRPQVPHARRRSSGNSQVGDLKGSRRANNGELREHLKHLGPSNLA SRPKSTRWASVKIKPGSSADMQAMSIASGYKTPDRRVSESASSVRGGIGESLLHSGGV DAKDGVQAVQQGYGGTSLTSPRGRRPNSSASKGIQTSSSQDQSQKPDVDADERNGRSP GPSPRARSRPLARSRSESTIGSLPDRTRNGSKSPRYASRGPARSGSITENVVDSNGIR KVVLEMTSSSSNEDEENNGTNKAEQRQDSRSESPKSARLRGGGGEGEDEAEGEGASTQ PSKKKRRRKRKHGQTRGEGKPLLGNDH EPUS_04279 MLSARHLTKRIFTSQLRCRGYATAAFPSAKLNLPIDYAKTPILH HSASTLPKALGFPVSSSCKRMNLFQAVNAALRDALSTDEKVLLFGEDVAFGGVFRCSM DLANEFGSDRIFNTPLSEQGIVGFAIGCAAEGMKPVAEIQFADYVFPAFDQLVNEAAK FRYREGSTGGHAGGLVVRMPCGSIGHGALYHSQSPESLFAHIPGLRVLMPRSPSQAKG LLTEAILHNNDPVIFMEPKVLYRAAVEYVPSETYSIPLGRADVIKPGSSLTVISYGQP LYLCSEAIAAIERELKGVNIELIDLRSIYPWDRETVIASAEKTGRVVVVHESMTNLGV GAEVAATIQEEAFLRLEAPIARVAGWATHSGLSYDKFNMPDIARIYDAIKRTLNY EPUS_04280 MSPGKGNQLPGPYIPTLILHGGAGNITRKNLPPDLYDQYESSLL KYLDATRILLDSGSSALDASVHAVSLMEDDPLFNCGRGSVFTEKGTIEMEASIMVASV DPDRDGRDRGSMAAEQKRGASVSLVRETRHPIQLAREVLLVQPNGKASSMHCQLSGPD VEEWGWREKSLERKDHSWFWTEKRWAEHLRGLQKDQMRDDSRPHSALAQVGSKTSPIH DEDIFLPSQGTVGAVCMDSWGDVVVATSTGGMTNKKVGRVGDTPTLGAGFWAESWEEP VAMSSTAPTVLVHGKAVMLTMKERLTIALNAGFVELLQACWPSTPTADQSDELLLPTG MKTAQATNLHWTRSLGETQARPHTVPEMYYENTASSTKHKRASRRRAVAISGTGNGDT FLRTAACRTIAAICRFRTQGPTSNPTALATAADMTAGINGEMQRSAGDRWEATGEGQA GMIGIEVCSDTYDSEGGTTAYTALEKPRLAGTVVSDFNCGGLFRAWVDETTGEGKVMV FREEYH EPUS_04281 MAAAIAHTIAVVDKSGKVISTSKQLRNVFMEAKFAYQERKAEIV ADRKAKAGLKHDKDLRRAVKDLRIEDSPSERSSTSRRHHSHHRHHEPERRSRPDGLGR IHSGSSVGSTRQRAGSTSPRSPVSPHTRSPYAETVTSNHSHSAPTSPSLAQHRNRRSL DLVRRNTDMDLARLPRATRQGAPVRSMSATAIDMDLAYGDYHPSSIAPLAHKPHYLDE EKELLTLVDRAKLLLDEANCAQHSVKAMIEHLQKNPDAMAAVALTLAEISNIATKMAP GALTVLKGSAPAVFALLASPQFLIAAGVGIGVTVVMFGGYKIIKKIKAKNATNKEGSM DEALEIDGEEINRINAWRRGIVASNDENSDIASVGTSVDGEFITPIAASMRGMETREN ILAGMSERERRKVEKKREKKENKEIKERRKTARKAITSGGSGSEIGSEKSGSSRRSSK LGIMAEDSRRSLVKAKKPSPLRRLLA EPUS_04282 MSPTPGRGARQARSSDSGPSQAAAPSQAAAPSPARSANEDEPSN SMAPQASVRDLGLTVLVAPKKPAADVVFIHGLQGHPKKTWTYEGDEPRRPAGDRSQDK ETQGCWPFNKEAQISLFWPQVLLPADRKDVRVMTYGYNSYITRRGGQNVNKMTLHDHG RGFLNTLTSERIRSRCQDRPLIFVAHSLGGLIVKEALRLSWLAARHDNHRSVYTSTYA TIFFGTPHRGSQDAAWGDAIRALLSWTMIDTSSSLLRELNPQGGGSKLDELCDAFTEM LQDKDFNVVLKESAVLHLPPWEQVDYISANHLDMCRFKGRHDDGYQKFLAALNETMKK VRARQRRGQGGMVAFAILPALLSLILRR EPUS_04283 MAYLFDDQYQLDEYQGLVENQKPEGGSEVAVDVLEWPRDNPDPS DPLLKSIAVDQLPSLRQPSLRIILAPLDEPNARTLKVLTSLYRQFNVPPCFLTERTQS VTHSFGVREDDSASYSSWFHYLFKNVHVQRDGDGVPRILSPLRNPQSQSDQSWNKAGF FLQQSRPDGPVTLICFGPGKPLVRRLQRLASHAKWHDAVVDPYGLFVVVLNELFLQMD GLVWELSSVFGAMEYHALNDLGATPDFVGLHNVAKHVVFMKEGIDAALATHQSMLQHH EQRYQIKSPNAPAPIPKATHSALQHQGELFRSISIRLTSLDRRMQNIINLASWTRLTS LALSKN EPUS_04284 MVEEKPHLRNSQTHNSHSEGQQQSPGYYPGQINQHGQSTHYGGS APSQQQQFPAHRPHGGGFVPEPQQQAPAHRPHGGGFVPEPQQQAPAHRPHGGGFVPGQ HSPVSGNYNSTSAEQQHGQGQGQGQDRWQYIDAASKQAMGPSYERSSTSKGPSSDTMP KHSELKPSELGPSQTVLAQYVPPRKYQRGYWNIWNDRNMWRWKFPNAYQMPGLRFESY ELIRHRDVLQRVLDSPEDDRYLSPTDGHLSKLVVQAVDDVYDTRARSTWRRTFMRDVS PLMVRIANWAINSVPLDKAHWSGEDWALVSAKWLLACVLLMGVMPVPTPGEGEIRNRG WYDPFPYRFWGYAKYWRNLHENETKTGNADEEHRRAQQRIKNQEIGLEKELSEEDGGL NPVDDRLLQPRYLCFLDTSQTQMPATPMKVEDWKAREPSATQPEYMFIAYTAEQFRGL KDLQALAQLAERATREAGLSAYWIGSSCMPDEQELEQDVYRISDVIRGAKAMAIIVGH PVNNRSENFSPEDLLKQWGRRMWTLPEALLSPRGNSIKIYSRVTDEPWEILKANFAHI VWHDAPWTRQLMDHYEGTLILSPLELVVLALRCLNTRDVDRETHFPGDLSYVLMGLLR RRPRANRFDSAFQAFARLSLANDSNKLIERLVCIHPKNPDQTWSCTEDAWNVQLWDIY PTIQVAGVGHDDTVILDGAFGAAIRWKSFAPVAYASQTSWKRYTANLALRSSSLVFLT GTILLGIGARAGGTLRAVGVALMLFSVAVVLASPYLVRVQYGGKLWDTQPWFFGFEGY LDIETIESHIFGTYRGRLTWSPSSSPLSRHYSNEFGECIGLDPTSDVEVRRLVEEART AAYGKQKIFTLVDTFTLQVTLFSAVRPPITALLCGSEGGMQRAIMCSYDAKTQTLYRE SVLRMETLVLERMSRVGRVRFGFRRPLPRTQESA EPUS_04285 MCCIKNGSQPLDEISSFLASAANEALARIRRGSEEYIVPPALDS QTVRSESPTLPLSQREDWTQQTTNNATSGSTSLSFEMIPLVTDFSTDRNDLHLPCYIM PPQSRNRAFFGRDMVLQAMRKALLPESEDETRQETNDPHLSMFALCGPGGIGKTQVAT EFVHTSKDHYNAIFWVQADEFLKLSQGYTNIATKLGLVLEDSADAQDPVVVRDLVKGW LANPLKTFKQQENEPRLASWLLIFDNVDDPDILDDYWPFDYSGAGALLITSRDPLTKT YIYSDNSGVILPPFTTDEATRFLLKLTRREGEEAEKISGSAVAERLGGFPLALTQMAG VIERRELSFSEFLSVFEEEDTRSKFFKLQVGNTKVRSGYQHTLDTVWALEKLNKNGAI LLDVLSLLDPDDISEHILQSTLSKVAAEGYPQTEAAYQEARTQLLQSSLIARDRSANR IMVHRLVQDGARSKMTADRFEVVFSLAVDLVSSVWPFENFSFGHGISRWAKCAQLFPH IIQLHRFSSRLKPAQRVSEASLQFPMLLADAGRFNQQRGNSLESTLYSDTAGSICTSL EGLLKELGSQDVSMLRSIKSLSAEISHDLGCVATETNQPEAALLHFQNFNTTMMAFAD GHPQTQDMRLAVSWNELGNAYMMNEEWTLAEGCFIRSIDTLKQLEDYKPVDCSFPVIN LGFSYWLQGRLAEAEQTLHDILAIREAAYGINDRESFMTGKLLHAYGNVKASQGRLDE SLEFHLRGLQQYKSTIGINHHRTGDLCVKVSDHYARLGQCDAAMYDTPFSSLEYPPSP WQDVDEQTRSLLDQALKIYSGRKHFRPENARALYKKGKLLEKMGTDAQEHLKRALNMY QGLAGNPNLKQEIDELTDADFDRLIVFWSK EPUS_04286 MASPFLKPKICARGCDSRGAGQMAFQGWRFSGAGATCSTVEREL AKRMGKTQVAAQFVATHRNEFDAIFWVHADDVSKLSQDFKTIAINLGLISEDSVDAND LVFIREIVKRWLVTPLKDLSDDPKGEPTKATWLLVFDGVEDPDVLNDFWPYDGPGSVP ITSRSPFSWTTSLPLKPFTSDEATEFLLKITRREASEEARKEVIEIIRRLGCIPLALS QMAGVILHKQLSFSEFLDSYNERRSQQELLQLSAGELISRSSDYEHTLASVWAFDNLS HGQGLLNVISMLDPDGILESILTTKPGTIDLPSFPQSLEDYLLARNELLTCSLVRGNS SERKLSIHRLVQDVARARMTQAEFRANFMTCVKLISSMWPFEPFAWRRSIARWTMCED LVPHVFRLKDLFPQVAPSPAFSDDDYRFAKLLTDAGWYNHERGRSSDSDLFNSMAKDI CESLKARLYEQPEAMSSKAAIHKQLDSILAEIQHNRGCIATETNAPYDALAYHKEFNA MMVRDMGTDVPSNDMRLAISWNELGNAYMLNRFEKVSISLPLANLGLAYWLQGRHEEA NDILVEGLKDRVDRYGPDDRISFVTGRFLHALGNVKASQKAMNDSLAYHHKALLHYKS TLGNNHHRTADTFVKVAEHHMRLRQNETAMALLDHALQAYSSSSSSHAYLPEKARASF KRSCTLRSLGRIDEADAELQISFEDYKKLLGRKLRRSGGDDGGSNGTDIPTAAGVSPR QRKEDLTDQDFDDMIVFWSK EPUS_04287 MSVSSQQFNLHPPPTSVSCLDALLSTADTPSSDFDQDKNHNLML FLANGNLPEQEDEYTRAPQPPQPTSGDPSILFAPPPHPHRPPINAAYRHPLEASAALQ ALRTAEREATYACALQGLPTTRGRSPTRTHSPTFPYNEYPADLCPTFPDHCYASFSAD FNPSFPYHCYASFTAIERAENKQPEEKDEEVYVIPASQALFATDSEAQTRCGKCLFPL HWGDVLPSRCQQRECRAVNLGPDWAANERFRQAMGLAGWGGWDEDEDEDEDGAGRWEG RGVGGLGGLGSV EPUS_04288 MEQNVAEDAKLAEFGYEQEFKRSFSLLGMIGFSFSIVTSWSALA GVLIVGIGAGGPPVLVYSWIGVCILTLAVAHSLAEMCSAYPVNGGQYSWVALLAPPKI ARGLSWVTGWFMITGIVAMGATNNFITANFLLGMANLSNPDYVIQRWHTTLVSYLVGI IAACVNIFFPRSLNKISTAALCWNLLSFFVIIITVLTTNDHKQSSSFVWKDFQNFTGF GPAMASIVGLLQAFFGMCCYDAPAHMTEEMKNASKEAPRAIIMSVWFGAITGFVFLVS IFYCIGDIETTATSPTGVPLIQIFIDSTGSVRGSCTLTAMITIICILAANGLTAEGSR SLFAFARDHGLPFSKFFAKVNPQRRVPTNSVLLCLSVQLAMQSIYFGSYTGFATVIAI ATQGFYVSYAIPLFARLLARFTGHAKVLPGPYSLGRYGVWLNLVGFLFLAFASITFNF PSISPVEKDNMNYTSAAVGVIGLVSLLTWIFDGRKNFTGPATGTMVNDIEAEERNDRQ GAATKEGTNRESISDVAVESEKEF EPUS_04289 MADESDLSADGHSDIQDVSDLSAQLIAPPQVSYPDKQSLMAAVQ AHGKQHGYNVVVKTSSIPTDKKPGRSAKVWLRCDRGGKYRPRNGLTEATRKRKRTSRL IDCPFMVIGNGSSGVWTVEVVEPQHNHGPIMEPSRTVAHHKVKKGQIEAVPYDWPHDA GFSPFTSALVIIDMQRDFCAPGGYLDYQGYDISPAQSIIPRIQHVLHAFREAGFPVYH TREGHRPDLTTLSSRERFRSKNNATGLGIGSQGPLGRLLVRGEPGHDIVPELYPLENE PIIDKPGRGAFAHTDFELLLRIKGIRNLVIAGVTTDVCVSTTMREANDRGFDCVVLED ATAASEPNLHVGACESIKMEGGIFGATAKLDDVIEAVEIFKQATVRSVTKSERMPSEP MPHSPVTPGSMMNQTVPTSLPVPMGMSPHLPMSAGNIVAHYIS EPUS_04290 MYTRAQYLEIEEEDKFTPQQGNQAARAQKQSWHESTQLPNQTKS EMRLTSDPALLLPLGTPSCPSNRLRSSWRGNETGETQAAPDIAKALLFFCVSVRVWQL PLVHIVIVVNMERQHWPGRNNISTFRHQDIRTSPATFERLLTASIFISSENTAYRKDE TFIPSTTVTILRRSQSRPTALPTAD EPUS_04291 MTLSDGMPSSPPGPSTSASEAIAYYKAQYESLESELAEFQASSK ELEAELEKDIDAAEKRERQLKEKADNLHYEVDEWKTKYKQAKTEANAAQNTLQKEITT LRDTNRTLQMRLRDTEVANDDFERQQRNTESSLEDLESKYSMAIERSVMMEEEVKAGE QEREALRIAAQRLRDELSDLRIEAEIIKERLRKAEANVDHQRKLPLLETLATSASPRS ELSPTTTDSSPSFDTPPGKTVSSSGLSDTHTPPSPPMSEKSSNATKPLKTPSMPKTRM SITSNTTPRPSTTSLKPSSYARPPSMSVAAGRSTPSTNFRQSMSRPSTVPKRQGGLAQ SNSIHHLHNLQGKMQRLTERVHTAKSKLPGPINTPPRPSPRIGPTQASNIPATVTMRS NRKHANGSTVSGSDSFSGSVNQQADKQNSTTKSTSASISTPTPSVKPKPSRQSFTIMP PPASTPTRTQTQTQTQTAVDKSHPAFVRPSSRASGSTISSRASANPPPSAKSNIHNYQ TGHPRLHSRASMSISHTPLGKRGGQRFTPNASTDKIRPKSSLSSYGYDGAMDEEDDDS VLHEGESATPVPRRISIHFGRSGRLSDIGIGGGLYHVLARHVLANGPRALETQCRGR EPUS_09402 MASKASYPTITADDHKRYMEYAIEQARLSPPEPTKFCVGAILID ADKNEILATGYSKELPEHTPTDPESTHAEQCCFIKVAQKHNLPEDRIGQVLPKNAVLY TTMEPCNKRLSGNRTCVERIFRLNGAIKLVYVGIKEPEKFIGENVGRK EPUS_09403 MASFEASTRKAMDKATEGTATVTSTPVVDSDFSIGQTYHAFSKG TTKTEVEIYSENADRPTYFVTDAKTTFSSASDLTVYRESKDGPVVAHIRLPSSRSGPR SAAVITYPSLEAAIVRVPAGKEVLSSEQSVHIDGRDYIWQGEKRGDRPGLPIQVLRDE QGDTWAMFVWDPMGWDSRAQPGAFGRLLLVDIAINQDLLDQIVATAVARVFQQIKRET VNSIAGQAISACVPFVTDPIIIFCIANISS EPUS_09404 MPVTVKPSPELVGRNSDMTAHSAEELLKKTSEAWSPPYQRSDWR TGKTVEPENRPIIQSSFGDLDHATAVIPYGNGMVNGIIRAFQQDLHLVLRPDDVWLSI LTQFSMFVNANAEQLRAHFVDHEGKKKLSIDVRPYPIWDVEMGKFAQEMTLLIEKNVV DLKLRNWIIPNFSTTTNNDKSVASVVMMGTLQKYFEYSFMCGCGFPSVTLLGERADWE EILRRVRKLPKYGSQPTEWSLLLIPIIKLMVKSFDQPDSQQVKDFWLRACHSAGQDGS LEIETMSGWITAFCFWSEDGTRMMNYSDEALQGGRSMVPLADRKRLVLDNTAYPIIRR SGIPNGVVSVPVIIRDDAAELVYKTTMVAGSVGMTATAAGGGEGLTTVQPRSGWWMLQ DSVKPIEFDG EPUS_09360 MGRERQKAKKRSSIPKTKPSLRGRTKHGKKKVNFLGNAVVAENW DRDLTLLQNYQRLGLTSRLRAATGGVEKKVKRAGPGVVGSGDGGEEEEVDKLAMPGRK RTTKLVPGEVRVERDPETGRILRVIRPESGSDGLDERHKRKRLDDPLNSDSGCENEDA ATADPTRARRREPATDVVAALEAQAAEEAVQLAKRKRPRQQSKREEEWIATLVAKYGD NTGAMARDRRLNPMQQTEADIGRRVMKWRDRREEGSLVEAIVV EPUS_09361 MAIKRMSRGRGGITTLLAFVLFVGVLLFIFTPSSDPESVATRQR ISDAAAANPLSPPTSAFRKSSNPNGRRSPPPVVHYQLNNLTSTANPIANKERILILTP TTKFYQEYWDNILKFTYPHEYISLGFIIPKTTDGNKATTELQRAIAKTQSGPESDRFQ SITIIRQDFEPPLTSQSEAERHKMENQKIRRTSMARARNSLLFTTLGPHTSWVLWLDS DIIETPPGLIQDLTSFDKPIIVPNCFQRFYNTDTNAEEVRPYDYNSWRDSDTAQELAA KMGPDEILLEGYSEMATYRTLMAHLGDTDSRSDQRKIMPLDGVGGTALMVKAEVHRDG AMFPPFPFYHLVETEGFAKMARRLGWESWGLPNYFVYHYNE EPUS_09197 MTDRNYLRKIVNRENANLDIVAIHGLNPINKAFHAEATWTANNG KMWLQDFLPTRLPDARIFLFGYNSNVAIETSTAGVNEQAENMLNRLSFERKNVPSQRP IIFIAHSLGGIIVKRALVEAKLDDKYQSVREATFGLIFFATPHRGSDHAKLGDIAAKV ARTILRSPVNTYMDSLKKNSLFSKNLQGEFRHQYEDYSILSFYETLPVERLGIVVDKE SATLGLSGHRETAIAVEADHQTICKFNEAECPAYRQVEDNIADLAERAVCISRKRLLQ KTLQIPATQMVVELHKRTFFVPYTENADFVGREKILQRLIEILSPQHGRQRRAALYGL GGVGKTQIAIKYAYWCHENQPNTSVFWIHASNFERFHQSFIELAEGSNIPGADSPKAD VFEIVRSWLSQKESGDWLMVIDNADDFGMFFDPLEEHARRSLAGKLVDYIPDCPHGAI LLTTRDKKVGIQMLKSIRCLIQTPRMGISESTDFVQKILAGEEYKNEEEIKLLTSTLE HLPLALAQAAAFILENSISVQIYLQRYGDSKANAVDLLGYSADVPVADADARNAVTTT WMMSFAQIRERSPMAADILSLMAFLDRHCVPESLIRRQKTPFSDLEFEKACGVLKAFS LIDERPLNIHGEMHRAFAIHRMVQLVTQQWLELHVFPPEEHEDWKKCEALMPHVQVVL DSKVESQLGSLSRAALLHNASSYFRVKGYHRRAEQVGVEAVAARKQSLGLDHPATLAS HTNLSRILLEQGRLEEASQLHIAAMEAAEKTVQEDNQDMLRARAHLAALHGTQGRHEE AHQLQTRVVENSKKSIGNEHPDTLLVMRDLAVTYTNLQLYGEAETLHKQVLDIRKKVL GSAHPDTLISMIDLAETYKLQDPVNKASQAENLELEVVESRKKILGDEHPVTLQAMEN LAMTYEWQYRYSEADILREAIKEISQRGCEQTDNHAMSALRTRSPQILTYKHTQQQSR PPRYRSCPMRSESCDGVLLCDTAAESGRNVDIQGMSSIVGLRRSPIVTTSPNDGGVGV GVVSPGIHKELGEEGAVPGSVLGSRLTLSPLSSSSSPSTSFFRAMTSPVDDEQSRNRI PAPRLRRRLFSWQAPSNEREGEAVASGGDGVWRRRWSMGKKI EPUS_09412 MSREKEKELTRKLQPPSTPQAASTASLASAGGLTLNLNLSGGAQ QQDILPRESTNDEGRYRGSATPSRAITPLQPLVTPSSPIPQARDEDARLTAFICSRIK DRPAQRAEFERAFKKLAEHGVGLSDMASLTREDWKEIGIGHGIRVDLLRHDKIWHQRH PESVKEADSALYDEFEHSQSFEINNSD EPUS_09413 MTSCTGARPKPTARSLGSQSTPYRDSTARTRKAERPSVGHATGS LTRLPLLGTNNTNQIGLTTSNASQPSAWDGRSSIPRRRLQQCSPSSSTVQANLSESGP ACPWPRRSAAVQKIQPKNGQQHLSLEDETRKLLEWASTFPRESAMIEGSPEDLPDSRT GEYPVSLGNGEQQQRLAERFYWPSADRPLVMDEVVPWTRSEAPIIQIGMETEFKINIR DQNKFQPYIGDFAKDLAYKYNRRVPNKYPRMHPYIQPYLEQTKYDSWYLVEDSSILDS QVSPFGIELVSPMFSAFPGSTWREGVEAMWTYLHKYYLIHGNACCATHVHISLKPIYS LQEIKRIAQAAIHFEPAFEVLVPPERRWNWYARSNWIDNCGLALRDKSRPESIQLVEE TSSMSGLMLLLHPFYERNYCWNFASLVTKGTIEFRKPPVSTSADEALCWAELVMSFIQ TSIQCESMEKLQMVPPNVGGLRWFVSQFNVPGKNEPARLERLWQGKHPKAMLQPVPAG QIYPGVPLTPREKWHLARLARADRQRIERLAKNAREPYW EPUS_09414 MVRTQARLNPSAKRGAQADGFQPSNSPIPRPLRPGPSSISPAPN PFGDPGRILPDEEKQHAELHSIINGNEHVNTSHRGGEEVVLSRNRTHRHVEALKAKSD AYVDYTNKTLTPEQTDFMIQQLEKQADPRLQRTYVNGLAIERVISSAPVLSVGLKFLR GADKAQVNRSEQIDMEGKIPDQKDALWRVDTAGSLLTQFNVSPDLQIVQTDQTASIVA RAKNQAREPERIFPGQRRALASRKRPADTLTIDSERVTIVNDLRLGNWDLRGLLVEVD WSSLWDLMVNYRNLIAGGGPEMTFEETRTLLETMIEDARRLCIRSPILFRMATRVSII NSENTRYGINLGPMFKTLTTSKGIKEIISLSASYYNSLDPTGSDDSTQKYIRPRFLQM LVLLLSLLNNEDLAEMKDFLEATYKIKADRRDIELLILKVIPLLSINPRVFLNSLVPA IDGYPLPADSANTDEKHSDFWNIFVKNILEDGYLLPGTVDDLGRRSLSWIVKWPEDGF KSTTIFNHLQYAGRLRTINDIPPVEVHPGSKCTELTVVMTDDSKYIISPRDLDGIVNM TPGKYVVQATKGEKQCYDLLIQEKPLNDEIYKAIKQTNEIVHLVNYWLANPTKRRNAM INKILPKKSEPQPFLNEFRATGRLVEVLAFLNKSTLAVTYKLEGRKLSRIDAIQNLAR DTRQLRAFIDRIEQRGSIIEFGFNNAEKRQFKNRFDQVRAEIELAEKSREMIGIDKEL SNEPIVKCLYPAGVEMGGENVWNGYEARCTEQTGYLRVKAKYLTIHSVKWLTGEVIEL SFDQHQQSPKTYVTPLRPVPEGYRDDEARVDDLAILLGNYIAIGKRNENSSVPNEDLT MINYLRIVSMQEHNQSRRGKNQMQESHSQVCSFK EPUS_09415 MYIPSTSTLPFNPTPTSWIPLSFWATSSSTLRVSSSAELINIPS MTVTTTLAVTTTWAGTNTWAGTNTWAGANTWAGTNTWAGINTWAGTTNTAATSTVTVS PSSSPISSTTATPSASPSPKITDRKEFQIGLPVALVALVAFFVLGFLWRRRRKGPKSG YQTGETRDTENGDVQSPFMAHYNPTEPPVPHPYAQRFPVNTPPNDERGSAYSRSR EPUS_04754 MFDNIELCTARLQDWALSQGFAIVRRSGSEKKRAIFLCIHHGAA SKNTRQLEDHVERDDEGKITSRRKQESTSINARNCLYSIRLSYKQLGKRGSNRWGYVL YIKKEPEHNHTLAANPLRYKQHEKTLPDYQPAVELASSLRSANISYSKAVRVLEQSGF SLNRKSYYNIRDRRISAQVDEFNGLLAALDELGFIWDACIGDNFADDDTVVGQQLQQI WFSLPEQIELAQQFSADFVMLIDGTFHTNSLNLVLIVTAGISNCGLTFVSSLSFARSE AAVSFEFIFKSLKKRIFISSIPLPRVIISDQAGGLKAAVPLSLPVTTTLQFCDWHVVQ NIKKRVADERYPVEQRKKIHDLVWRFIKSKEADIEVARQELHSMLRQKEISYLSDYWQ PKERQFLRAYTRKLPNLGAFSNQRSESIHPVTTGDLHKNLTIEGACRRLAETIQRKTR DLTLKEAASGGKLPRTLDQYPFSKLADSVTCYAIDQITTEWEITKYEHSKGTLQSVLD IPCNSCELILRYGLPCKHF EPUS_04755 MPDVVKSSGWRRKKNRDGYTSGSQRLLTGPEMAEEDAVKRERRE LAQSRERTKEIQRQTRRRENKHAKALLTTNFENNKDNDQRPYQSSATATISHRPPLLP PVQPYQYSQETQALLDKTQALLDKAQARESSPPQPDSDEDEDKRERTPPVIDDADPLE LEIMESEGRQLFNSGGTAPPLWSLMPEFAGITRAARPGTERSERERKWKCKMTSPPPP PHPPPSAIPFPSSHFFKRPPWYAVPTVTTTPTTTG EPUS_04756 MSTERKLSLEKADHWEDWEQELLRKAAYHKILGIVKGLEEPLAE PPYPTPPSDIDAGPKPMPRMVPRTGTVTRSQSSASTVTPGPDDLVLQPPSEEEKRAYE QICGDISLERHGPKLLSPNKALALQPGWRYYYIV EPUS_04757 MDRIFAIAAYSLAELICFFVGIILGITRYYNGLRFHNIYKFSLS TKQFVGEFLVFVLAEGLIGCLIGLCERQKFKPAPTSLQPIIGLLVSTLLIGLICEVYI RWNLHWKSLQTFLASRPLSVFVAALYLIIILVLVPLGLWLLLSRYNEPVGRVLVALGS FFVHLTFVVIPLYLMWQSQRTQRLSIPVWKRSFLYPGLFGSFVGIVGIVLSVRLHQLH LFFLGENIITAIQLISWIPLLIWNSLAKGRTKNEGVKDETLKTPRSCLKTSSSCGTLD TTGRDQPQTKRDDDIPSGQGSQLDALIPFEDWKTEASNDENNGYSILSYRRSDGTTTH KRVSMPMTQSNSSEPQRLLPVLRPVHLKSTIDHKGQPDKEDEEDEEHKQIPIAGNVCC KFDQGVDIRSNWFPNLGLRGLTTVEKVSKVELSTLLKEHARDMELANPWDEEFHNFTD DGPAPWLDSQTDTRQCILTLFHLLRRGCEYSSLSYSRVSLAFEKTMQHMGDILQESFT DTEQPVAGVLCSASTGYNCKTVLNVLIMFMTIGARFMIQDYCGQVVMGSLEHVQEHSD DMVDLYFEVSDGPSVIFGGWLGWLPFMWAAGPKGPKITLSEDGLALPPSWFLGEAPHV SSSVNSDRDD EPUS_04758 MLRCTSAASHRSRSLTVIANGSSAVQPITSNAALRYMWTTRSES KASVFSRSSFHRSAAMSQVSRWSAMSYPSELYPSSELLSHDSATPPPQILGPEAQLAT TLACNILKGLNSKDESVVDDSTELVPADFGKHLLHLFTA EPUS_04759 MRRPKHDRSNFAEIEMKERKKSKKDSIIGGQNLETLLASTSLIG PLVALTAVLIGLVIDRRMSDNNSTYSLDNHTALPLGTAYFVNCSSTTLVYIASLSSTL ATLLIGPAMLLFSFSLARDIGKNSDEGAISKLPSPYQLELLIRLIDGKLMVLWSYLLY ICGRRGRRINIVPVLWHACSMLVALVLLAILTSLADLWLHLGTKSVLYLELRPEQLTP DLNPGRALHPSCLDGSGDYRAPKACIIRRGDAVKTPTLVNRTEFVMTATNYSKINQIY MSDGFTLLGPSNPPIDLDFQADTFGSQTSCRPVTGLCGANNTKGVRRDKPSDYNFACN ASVAGLNMTGNFLRVLAPATGDSIELNVTDGSDGQKVEDGFSPAFFVLGGNTIARNTY AIGFQYFHDRQKLLQRETPDSYYGLSWDDAKDSHLHWAVVWRTDFDSSFASYGEGDNN PNDVSAVGIMNGGSQGILSCDTNIYELTYAFVNNSMRIVSSRTPRTNASIPFKVGITA SWSYQQLQSGVGNSFVAADSSADFAARFAAIFDQTLLSIPAGVMQSRPPLNVSRRVTT QVTRVPRPPFVLLVLLNLLHALVSIVLTASAVMAIAKGRGVRDAQVRLSLAAIVAERF ENPALGDDAREIDELYAERRGLATRKVTLQRRNEGGRRYGLVVDQGTGEESIPLQSTR EPUS_04760 MSITTVHLHHSPSDVPFIRSPPSEGSQSEAKEARAQVRCMNHHV VGKKWRPWTLHPVTLLSTALFTLGIIGILEFLQRYSDANHGIIFATKRDAFSTGWNFL FLTFPTLLAVVYSTWWSWIDLDVKRLQPWFELIGNRTKKACCSLVLEYPVEFLAWVPI RAAKRRQWAVVSASLTMVLVLWVVTPLQSGIFNIGPVTTTQNVFVSPPARLLPPSLQS RSLDGSLLNTAYGVTWLGQQLPPFTQPEFALTPFSWREPRTQGGNVTLVANTTLYRAD LDCFAPASVFSPPKAVSGTLVVDDGEGCNETTRANNQNGFVTLLCKKYFIVWQYPRAS TNESHPKFTARFCTTRYYSQPVQANVSIPDARVLETIPQGPETAVPDDVFNATLFELV VTKGSPPNPPGFPTNFTSLQEKVPRPFDVSEITMLNHDFRERQRGYPPLASILADIAI GSHNLTFDRFVTDTGAIDAASRNAQQLLFALAISMMADGEGEAGSTHPAVITSSLQAI QLVRGITYSVQAVLGMVVLLNVLLAGWYYKKFLPFGSGPNSIAFLVALASRHNFLEYF KPLDREPDLVSRLRCRQATLQNHGGYLSLSLDSVDEQDAVQGSTKGRGLIKDRARTQE QVHLNYVWPRELKLSTGFGFLLVLCLALAALIFLETWTRANKGLALPSNRIITQQIVL NYLPTIFGTLIEPFLVVVCRYLCFLQPFEDLRNGSAKASRTLLQKYTSLPPQLTAGAA IRHGHFRLASLSFTTILANILTIALSGVFVIRETVIPVEFEAVQVHSPRLNRSIVDSL GSVEFGVASGNGEPIQLLLSNVTAGTSLPPWTTNDRYYLPLNITGAQNDSSNYNLSTL GFEGTTDCTALTESSSNYTYDFSLNSDATQIRFQTRETLPNGTEVQCFPPMGMADGTV TFSDGNHPTTQIFASGNSAGRNAIDTFMTPIPGFSIVEYKNRFGCADRFVGFWVRANI TLHDKLSIVNGPALTENLGYTTGPSANTTSVHLEKLVLGCKFSTYSAVYNLTVDPSGQ VLNAVELPNSTFAMDDSIADVFRVLKRALDYPGDSITWHNDTRARDWVSFFISKIAQS DSLLNPADPLPDATELGYQVSDVIRRLFALTLAVNSDAFLKLSEPLQVEGHKLLVVNR VFLSGTMYKIAVVVLCIDIVVILNIYLRIPKPFLPRMPTSIANNVAFFAASQFAQELG EDTETSLPPEEIVRRLQKSDKRFGFGKFVGTDGAVHVGIEREPLVHSLGNLPTTTRRR LRWKSGQV EPUS_04761 MFCRSAILVAIAVADLAFSATIEIVAQAAYDKPDPLEFAPNEII AAVGDVLEFHFAGPGTGVLGSNHSVAQGDFENPCNPAPNGFFSGYMAVNATSTEADMV FRVPVTSTDPMVFYCTQGTHCTRGMHGVVNGADDQTLQSYRRSITVNRNAVAPNTTGG GEMVPNNISRILPAETPGAACSAKASLVLILVGLGLALLIAQ EPUS_04762 MPPRLLPIGGAPPFNLRSLLQSLPAAASYAKNGICASCSYSFSA KGPMTYKKQHKSKLKPHRNPYRDAQANQRKAANQARQKLLQEQRAKAMGDPIRSLPTP FIESLSTGRMPDEPAEEELRNYFLGPDELPSSLEYSKKLSAPYIPKAEETAQSAEASP SPLAWAVPPPAPDSSTKELSSLSSSTDPPILPIERGDTSLPQPRTFPVDNPYTRSYSV PAQPTAKELVNLHEAAHRNATRALTLISSLTNGSSSDFTRHNVQRCISTFGRHNTDAY LPPRPTSIYNTNPSPPKLRAGPDTGSSEVQVAILTTKINVLADNLHKNDKHNKRSLRL LVHRRQKLLAYLQRRERGSARWKNLVENLGIQDAMWKGEISL EPUS_04763 MFPTVRIILVLFLAYFNISWAHPGHDHQVEAAERSEYLKHNTRS LSHCTARLKSRGHEAASLKRRQHLAHELRRTRGLDDHPFLKARDLDSVLSQSHRSNDT SINLVTPLQDIFSDNSSCILQPEVTEGPFYVSGELIRRNITNGEEGVPLALDMQIIDV TTCEPVDGVYVDIWHCNATGVYSGIIAAGNGVFSDNNNVNNTAFRGLQKTDDMGVVQF DTIFPGHYAGRTNHIHLLTLVEDATTLLNNNTVSGLTSSHSGQMFFDQSLQAEVETIP PYTANTQDLTTNAEDAILATEARSSDPMMEYVLLGERVTDGILGWISIGINASESRPV SAWGQYAPFDTDTQGVVPVVSRSGATRRGVFAV EPUS_04764 MSAQKTTDYTSWTNADLIARVADLERQLRQQNAQILARSTSPKR RRIRTQRANVFDATKYSTRHIALKIAYLGQKYNGYEHANNNITPLPTIEEVLWKALRK ARLISPTSDEGTEVVWSYAERSRRPLNINWDGCQYSKGGRTDKGVSAFGQVIGIRVRS NRPTIERPREASTNIEIDDETVNSDLVASSELPAVGVDDLGHDVKPSFDPIKDELPYI SILNSLLPSDIRVLAWCPEPPDDFDARFSCGERQYKYFFTNPAFLPTPGPIGLKDASG HETDLREGWLDVDAMRTAAKKLVGLHDFRNFCRVDASKQLTTFERRITHVDVEEVKQQ SGPVAFFEQPQLAKHTNPTHLKPGALPTSGPKVYSFTVHGSAFLWHQVRHMVAVLFLV GQRLESPSIVDELLDIENNRSRPTYDMASDAPLVLWDCIFPIDKVQSQDDGLEWIYAG DARNLEGLTTKGDGKFGLGGIVDEVWSNWRKHKIDETLASALLDRVVCQGDQSALQRG GFRDLETRTYRSQKLFDGSETARMAGKYVPVMEKPKMETIDIQNARYRTGKGSRQDTR RAQELNKDD EPUS_04765 MPREGSQSPSSDRPISPTREERRRSRSPRREGNRRRLNGGFKWK EKRRTDEGEQSRNRNGRLDRGYKDHYRARSPQRNRDQDDPDQQSNKDTELPSKEKKER KEKKQKKTTAIPAAGEAMIIVNVNDRLGTKAAIPCYASDPIKLFKAQVAAKIGREPHE ILLKRQGERPFKDQLTLEDYGVSNGVQLDLEVDTGD EPUS_04766 MVRNIVVLGGSSHPQLNESICEILGIPQGNAILSKFSIGETRVE IGESVRGKDVYIIQSGGGKVNDHLMELLITISACKTASAKKVTAVLPLFPYSRQSDVP YNKTGAPLVKSSTQFNKPDLADNGYTFESTPPTPHPDKPWSRGLTNGIDGLHKSLAKV HIDDYEISPTTHTARYAQYSNGVTSHPKRSDTSESSKSGDYFLRQQASSHGSLTNGVN DDNASVTSESLQSAFKPSPGYKQWVAQAGTLVADLLTCAGADHIITMDLHDPQYQGFF DIPVDNLYGRPLLKRYIQQHIPDFKQCVIVSPDAGGAKRATAIADSLGTEFALIHKER RPTKITDRQNATMMLVGEVAGKTAILIDDLADTSNTITRAAKLLKKEGASKVYALVTH GVLSGDAIERINASALDRVVVTNSIDQREHRLKCPKLEVLEVGHVFAEASHSPRSLRR KYQCALPVRLIGFASIGPELA EPUS_04767 MEGMFYNVNGGFVEGIVRGYRNTLLTAQNYSNLTQCESIDDVKL QLGPAYGDTLAALPPNPSTSSLANKTTEKLVADFRYLQAQATGSLAKFMEYLTYGYMI DNVALLITGTLHERDTRELLERCHPLGWFETLPVLCVATNIEELYNSVLIETPLAPYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFYHFVNNHPDMRGTPTAEVMSGVLEFEADR RAINITINSFGTELSKSERQKLYPQFGKLYPEGSLMLSRADDIESVGLAVSGVGDYKS FFDQMNQSGGVGAGNMAGGSANEGKSLEDLFYQKEMELSKLVFTHQFTHAVIYAWVKL REQEIRNITWIAECIAQNQKDRIGNYITVF EPUS_04768 MTLFILTETSAGYALLKAKDKKLLKRDDLASETESAEGICSLLK LKDFQKFDSAATALEEIAAVVEGKVTSRLTSLLDSIKDEKKVSLAVADPKLGNAIGKI PGLELKAIADSTTQDLYRAIRNHLPSLIPGLMPDDVNTMSLGLSHSLARHKLKFSPDK IDTMIVQAIALLDDLDKELNTYAMRVKEWYGWHFPEMAKILNDNLAYAKVVLKMGMRT NWENCDLAEILPEEIETAVKSAADRSMGTEITQEDLDNIQLLAEQVVSFTEYRQQLAS YLSARMTAIAPNLTALVGELVGARLIAHAGSLLNLSKSPASTIQILGAEKALFRALKT KHDTPKYGLIYHASLIGQASGKNKGKMARVLAAKAAIGLRVDALQDWGEHEEDIPEDE KAALGLEARFNLERKLAAMEGKPLRPRGVAIAPNGVASTQPKKWEIKEARKYNPDADA LTGEEAPAVEATPAESIKDKKKEKKEKKQKLIEEVKEVKEATPRSETEEDETMADAAE DEKSDESDSQPDEPIDEREAAVIAPPSKPATNGFAAREPSEDEAAPAHSDTISTPSDD TPPSPDSPTQQERNWQSSILGNTKEAKKQRKIERHERKLAMSAEKSKERKLRNEKLAR RLDRKERREAKAAQVDRREKREEDMFLEPARKAGLSITRYKRKLERGEIRFEEDGTPV AISKKEIKKARKRAEKEAAEKQKLKSSMREETINGSETKKRKRAAEDEAETEVMAKSE KKKKKNKEKA EPUS_04769 MAIINLTALFSRLVSNLPYTSLALLFCVAYVAVYRIYFSPLAHV PGPKLAAVSSLYEFYHDCIRLGQFVFRLDELHKQYGPIVRIGPSEVHIKDAQFFDKFY NVTTKLDKYDWFYRFANTPYASFGTIKAEDHRLRRSALAKHFSPASIIRLDPVITQAV SILCRRLEEHRRGKRVVDLGNAFRCFSGDVVTEYVVPDPLTLLEHENFAADYNSVFIR RFAIFGTFNRHLGWLLPVMLSMPRWLLLLTNPPAVLQVFSRIKLIEEQARIVTQSGGP PKQKKNYPLVLSSIYHSDLPAHEKTLIRLAQDGLVLVMAGTETTAITLSVATYHLLTK PHLLKRLQIELQSSFPAAADDTIISYRDLEKLPFLTACLNESLRLGSPVSGRLPRIDP NSPITYGDHTLPAGTAISMTLRDIHYDPSIYPDPRSFNPDRWLEDTDRARKLHEHFLV PFGKGARSCIGNNLALAGMYAILGNMFRKFEAIELFETTADDFEMAYDFFSPFAKSDS KGLRVLIK EPUS_04770 MASQYPPETHGGVVTSWVPITTAWPSQSGCDQSFWSYVPSTIAG WDPGYGISVEPTLSCLPEGATAWWNQARLGPNSNTKISIGPIVCPEAYTTATTSAQDA TSTLVACCPSGYTFARLLQDGNTGECVSELNPGQVITYAVRNQFQSWFLTTLSLESST TIAGIQLNGWNVATVSSSAIPSTTTASPTTDTSSGNTSSDISENTKIGIGLGVSLGTL GLAALFAGIYWLFRRRILSRVREAKAQPILSNVEGKQELDSSTRAELPGG EPUS_04771 MDSPDHSKSMENGKAPAQSGNVGFRPTNSGIVKVQPPRREDLQP SYAQTLVFETEAVHGWYGSMINALGSTPNPYKPVSQGNVGLVTKFGKFSRAVDPGLVK VNPLSERLIQVDVKIQIVEVPRQVCMTKDNVTLNLTSVIYYHIVSPHKAAFGISNIRQ ALVERTQTTLRHVVGARVLQDVIERREEIAQSIGEIIEDVAFGWGVQVESMLIKDIIF SNDLQDSLSMAAQSKRIGESKVIAARAEVESAKLMRQAADILSSAPAMQIRYLEAMQA MAKSANSKVIFLPGPSTSQTVQSQLASADQAGEGPSKYGANSPFSGDTNDGFQQAMNA RVIENI EPUS_04772 MAFAKPGKYASKLIDKKVLVLGGTSGLGFAVAEAAWEFGAKVTI SGSRQPKVDHKLSEMKSNNPAGDVSKLQGFACDLGNKETLEGNLKSLFDQATDSGTTK LDHIVFSAGDAPPMKPLAEVDVAYLHAAGLVRLAAPFIIGKLCAAHGYLKSSASSSIT FTSGMSESKPGAGQSSMLAAFGGIEVFTRGLAVDLAPVRVNCVAPGGVDTELSQAFGG ESLLNHFRERSTTKTVGKPEDVAEAYLYSMRDQFVTGTVIESNGGGLLV EPUS_04773 MKILDPQCAVLTNSEVLSFMRSHPPRKPDPRVGGYPVTDLRGLW SVQREFTDYTETITPHLLSYPDPPSKFMRTLLQRLQKFNLTKPEVLVMINLGVGVKKA PEARQEAIEVPNEEEEEMVGNGDGDLLDKVERHLDSAEGADRAQAENRAAGHEDVQMQ DEQEEDNSDITVLNTIVEEMYDRFTDADIKEILQICGEILGGSENP EPUS_04774 MSSPPPNSTKRRRAKANRTSTSKQSTPSMPHATADMGEPSINGD MPSSQFFSHLHSYPVVHDWVSTFMNNPYGARGIDLTNATYAKFVRPTLPYFKGPYSYV APYVSKADELGDKSLCKIEEKVPIVKSETKEIQQTAMDVALWPLNTLGRSKDYVLTTY SEEYKKCGGDGVIAGSKAAVTSSLVMSADILSWVSSLLKAKKDEARDTVKEKTGK EPUS_04775 MGRKAFVNDLRDAALPGRFAQVSDVTGGDDDGTIKFMFQSLAFS VEEIAIDAMVSDVSDYPSDHSYFLFTTSENAPSAVNEAVQSVQPSVSGRSISQMLLEV STAINHALLGKDKPDGDPESSDAIDEDDPLIEDDSDADMWSTHSPTSEKPTEAAFKDG GSRPILEREVKARIRSDLRLVKEAGFKIGVLGNLEQSGIVCVSVRIAKLGISEEALKA WGLKRNHYLIFLIRYGNGYKNIEDVKEETKSGISRTEFRVALCQQYKPTITEATNAFS QLSGQSKASNVVEQGQASSRSAAQTAVGTLEPLFIGRPLNELLTRLPAIIKYRIACSY SWTGAELFFNEIQSKAATSIDVTQASFNVSDPPVSQALPKMVTADHIGETLLPELMSF PLAAMQFVLRHFVRCTDFCLVCHCKIDTNFEALKPYVCSKPLCLFQYMALGFGPSIEW EILSQPYVVDLLVSFCYASAQGRRLKDFPIGIDLKVPILPQPAPTQITGYHHYHRPPL SVPASAPSTPATRFVPRPIPSRFDSTARELLLIDRKAPGPLKIGDWLVVTATCFEGAY HARVEENFFPTIRLGELIYVSGVARMPSDSGISNISASVGLVDAEVFVYDQSFDELSD HDKQSSITCILDTLPDVMQMKAFLESHPQDPSLKRFRHRISDTALNLLRWIIASNRSC IMQVDRPSSSASSAGGEDRVSGMEEWMQFRFAQGAPDKEQRFMDCVKEVSTRLQLGNY PTLFAWHGSPLPNWHSIVREGLHFNDTLHGRAYGHGVYMSPHATTSIGYSGMGYYSAD PSTSISNNWRNSKLCVSSALALNEVINAPNEFVHRNPHYVVNQIDWIQARYLFVKANN RELGQNFGDSTPPKHVYRQDPKHVALGVHGQPVIIPITAISKSRRPTAVTSPLPLGNK KVKVTGSATQEQAEKSEDDAASMITDASDIAILLSDDEDEDAVSPPPNHSRKGDAQPI PQTEPVKTDFVPGQLQASTLPLLAPPSSASTSATKALLTTLKQTLHIQNTTPLHELGW YINEDLITNMYQWIVEMHSFEPSLPLAQDMKSAGLRSIILEMRFSSNFPISPPFVRVI RPRFLPFMHGGGGHVTAGGALCMELLTNSGWSPVSSVESVLLQVRLAMSSLDPKPARL MCTGRLGVGPVGGKRSAGPARGGYGDAAAGGEYSVGEAVEAYKRACAIHGWEVPKEFD DFLKA EPUS_04776 MPARVLQESSPISITTPANDSSNLDFTATTFDPVSFLNESLPAP SLSLTLTPDQARPRDLSLQQVSTQTQAFLAQINAQNIRSASTLSQLTDEILRSGSRLA YEVEVLRGDANALHDSLTDALQEDIQKFTFADTTADGRDVAVGEEQLNGSTHSNEPAF ISQLRMLAQVKARLEEVINVFGEAMKWPLAPSQLSLTSSLISVSAPEPGSESHSREEK GREYANKAKAEIVELLNNTTGVPNVEAAARRVDAFRSLLAVWKGTAEERARTKFVDSL SKLVEEKRRQLEARSLSQPLQDTNAGAQRSNSTPGRPSAGQPRERLGNETGSGGGLFR NLQRLRDEIYLD EPUS_04777 MPSIRRDESRESRESRDRDRDSLVLKHQHSFSESGRASVPMWDS SDPERAPPPLPLNPGTSSSPAARPNTSAKIEEAAALITAKARDNAPSTYTTNVMSSGS PEKSLVKPHHRRLQSVQNSNVRGLSDSLERRSPEKGLRASRTSEFESRSAERSPTREG SETPTPTGRATPNYLSKPILGENTPPSATMLALQSMRSRAESEAPLSNITNSSSNMGR APYTFDAISSQILSLTSIATNLQREMAALSKRSKDNATDLISLKEATNSRDEDIRKSL KDLLLNLDAKFLTAPETSRSTPTPGLYLDNKAHNSTPARKNFSLPRIPSPNSFSVAME RELTASPSIVSTDGAASIALLEKVLREMGTKDGQEKILKTLERVKSSSKESRVDQSLM SKLEEILQLLKDSSASHALVRSSQSSRTTGLSNPDAEPGQLARAIQDLNVSENKKADE NTSTPDFINDEVMKILKRVKQSVSEGGGLTNEVKALVRELRGEVLGMGREIARKLEQA ESSYKSSRNDSQGPGREEIAQIVEDGLHELKQHMDQLIRENRRQSAASTRSIADTQEV VLAVQAALAEIPKPRQEPIRDPAAEREELLSAVREAWEDCKPEIALEHFGLERDEILD TLKEGLRSYQPQHPEIREVGASYEEVLDAVQKGLADFKPPPIDTDASLTREEILMSVR ECLESFEFPMPVPPTPLAKEPNLTRDDVVDAVKEGLDNQSHTTKEIEFNRDDLFDAVK AGLEGARTPMGGVGEQVLEQMHEFLASMKSEFKQYSAANGKDTEQVLDAMKDGLEELR ADIESYVDRAADVTGKDEIIDTVKDGFRAMQADIEKVSGNRGGHVNTPELLDAMEKEF EHLRDSLHKSLTRANTSTDSAEILDAIRDLSEERGSSLSSNGEDIARLVKEELEHMRS TLAGTLVRAASNVDREEILEAIREGLEAHQSPRKADGNESILSNTSELLDAFQDGVDG IRSDMEKLMNRPVDMSTSYEILDTLKAGLDSVRSDIERLYTTQRDLSDTATARGQEVV VHDENLISTEIEGLKVMITQLRIKVEALDVMPPEREPLHKDDFAEVRAALQEVQAAVL HFGDVKSSSSSDNMATKDDTDAIEILLRNVKAKLDDFSLPDVDGLAKSVHLDSIEDMV RETKHLVEQTDAHARGEHASKEDLSIVQLLLRDVAAGVEELQDKVTILSSDDDRVTKT DLQVVETLCLDTKTQLDEFTSVDFAALPTKDDFKTVHNTIKTFQESIEAENELTAQAF EARKIEHGGLATKIEDVKGILSEIRGEMIEKLDGSEEGIVELSKVLGHHHESMSKYAS AESISELMDLIRTEFEKQHEEQGKSRNDVEEGNAALLFKHDEVQAAISSELSVKIEDK FSELMAKYDDAQMSNENKLNTLEERDGKHLEALTTTKAVVDDLKLLIDTLGSTTTEAC DRISDDSKTVFNRVADANSKLDVLHQTSANQHALTREEVAKTLATAMRLEGSLLEHQP AVLGAIKEVMNIITQHYEHSQAHYQHSQSATEELRSNINAIPSTIPRSTMTANGAKEA LNKMEANVSDTRESLANMEKLDMIHEQMLATAGEVSSMIITQTRLMAEHHDSRATEAA EAGIALEKRVAQKERVEAEVVALTCEKNDLLSAVEALKREKEGLSGQAKRLTREVAKL ETALSIRQEEMREMDLRAEKLERRILEGVMDHAKSLNMIRPVAAGSKKSRAAERDRAM SLKRVVSTSSTATARTVGTVKDGTTTNSGTGSTLGNAVGMALKKRTPLSSAANSMVSS SRSSGGATVERRILSTSHVMGNRPRDQPATNERALMLAPTANANNSGLMNLKRSHSVK SNPSSYYGGRKASWNGRGMTDLSMVSSADKENEILTEEEEDEEEGSQGEDGSEAGTER RTSFSGTSAMYTDSLTYGTGSTLSRNTSDAGRSVSYASSAAGVVGGATEESIEEEVED DDDKEEEEDQAAGQETPPASMETRDGEGDATQDDESAKILALLGPPTSSSVVSATSTV SSSLTSADDDQDASSPPSPSPSPSVADDDHDHEQQQQQELTLATALSDLQPPKPAFAK ADKRGDVVTYNEGQQHSDSGLGSEPQTADVERMGAAAEYFQRDTKF EPUS_04778 MITTANLAEHNLLTNALHDVQGIGSKETASVDAAAQSNHIDDID IDIVNNGKEELKGPLPMERFLTEKEHNIIAKLMMEFPDLKRIRQNVDIYSKNCVDRNY GGHADFPAEIARLGKESQLVAADIAELSKKIKELEREIATANASQKSPTSSSQRSAIA IEEAATLRDALGELESRKASYDATAHELALALPNLTNSETPLKGGPQLISYIGHEPGQ VAPSKSHTSHVDIGTSLSILDFNSATLSTGWGFYFLINEGALLEQALVQYALSMVLRQ GWTAVAPPSVVYSYIADACGFQPRDQNDEQQIWQIQQAEKDALKPKRSLAATAEIPLA AMYAGKDIPEDRLPLKLVGSSRCYRAEAGARGVDTKGLYRVHEFTKVEMFAWTNSMDD SQDTERSMPVFNEMLRIQREILSSLGLPCRILEMPSSELGASAVRKVDIEVLFPSRMS RDEGWGEVTSLSICTDYQSRRLGTRILQKSGKRAKFPHTVNGTAMAVPRVLAAILEHG WREEEQCVIIPEVLRPYMGGQDKIQRARRDKQFYS EPUS_04779 MASATGIPEQRPAAPTEDEPLLGRPGDASQHEGQGLQYNLILGT GMVAQAGIWILVALVWSSVLMNKIIFFSYHPLVNSAGVLLITQAILVLQPTATPKQKT QGTHVHFALNLSGTILLIVGLIIIERNKASHPETRFKNVHGIMGLITFILIIIQALIG FVQFYVPKTVLGSVDNGKALYKYHRMSGYLILLMSLATICAATQTGFNNNVLHMQLWA VIVASVLVVVGIVPRIKKQKFGF EPUS_04780 MAFLFGANRRQKQPTDIARSIKDLLRRLWNEPPSPKVDEELGRQ MAQMKLIVQGTPEVECSPEQVQQLVQCIIQEDILFDLARSIRKLPFEARKDAQIIFAH ILRWKPANGRSSEPAISYLVSKRPEIIVELCRGYEHPESALPCGTILRQAIMQDSITA IILYDESKPGERAVQISEVDVRRQETCQGLFWNFFNWINKGSFEVSADAFNTFRDILT RHKTMVAQYLSTNFEEFFSRYNTLLIQSDSYVTKRQSIKLLGEILLDRANYNVMTAYV DRGEHLKLCMNLLKDDRKMVQYEGFHVFKVFVANPNKSTAVQRILINNRDRLLKFLPK FLEDRTDDDQFTDEKSFLVRQIELLPSEPADSRPQPVGGVGVGAVA EPUS_04781 MPQLWILTLLLSFITAAVAATQPGWYTYHGLTSQEYQSKHDELV AQSFRPTYISGYTVRNKELYTCVFEKIEGPRWISSHNITGPEYDSEFDKKVNQGYRLV FVNGYAGRDGLDKYSWVWEMRSSPSWVSYAGIGRTRYEAEYSKRLADGYRLTHLSGFE DYPGGEARFAAIWEKSPGPKSVSKVDMTTTTFERENERLSTSGYSLDVISAYEIKGAL QYAAIWLLKSEVDGLPQLSKWGLTSEEYHQQYRNNRFQGYRARTVLGYPISRGDGARY AYIYVNQVMKRRDLLEIDRLIKDFTTKYSVPGISLAITRNESLVFAKGAGFSDRKKKT LVHPDNLFRVASVSKTMTAIAIFTLIDKNKIKLDSKVFGENAILGTRYGSNKAYSEVM RNISVQHLLEHTSGYSYNVTNGEETDPTYQQLDLGVDQLISSIMDNRRLLRNTPPGSK FFYSNFGYMLLGRIIEQVSGQKYSDYVRENVFRRAGVTKMKLAASEEADRADDEVTYY PGPNNGAVYGKARINIERRDSAGGWIARPIDLLSILTRVDFLPAKEDNILSAKSQTEM YDSSTVPGSSYARGWRVNRAERWHTGSREGTNATLTGRTDGIAYAVVINTRTDGPQSL GELMDSIVAAVGNRWPDHDLF EPUS_04782 MFELLKGICVLLAETLYIVGGEFFYIREDGLAVGYPYNSTYSLD LSTSWSPKDVRFNMIDNGDSPVFNRPSLWPAPDGESFYSFNGDVSQAGHYTIRDPPPT SQLWQFTPDGKSNGTWSLAGTAPSLIQVQTARSTFGNGSAYILGGFTDWRTTRLYGYD NTYIGSGNGIVSYSMNSQTWQNQSMAGVAPTGWWYEGELHWVDNLGGSGLVVALGGVT AQPLPQSAGETLVPFDYVSFFNPVTGEWRNQSTTGAIPTPRRRACSVGVLGDNGTYGI ILHGGSILPADTHFETVPQATIDLDQVWVLSLPSFTWYKSNYQPANARFLHTCNVPGN PPRCQMVAVGGIIPQLEYYLEPRDPWPQGLGIFDLTEMQWRDNYDANADAYETPRMVK DGIAKEGMYPKEWDSPEVPWNRRQQKGRHRRRSRRRRGWCRCPAGWTENGPAKEKTTL APSLRSKNGYEKPELEAAAAPPGSTQTGAPQRAHNHAELNNDPLTAAELAAPNNRPVH EM EPUS_04783 MNPTMHNAYRQYPQAQGRSPAAARRPGPVPVGSHTQPHPSIVSQ QQAQRNVHVEHQLAQRRSKKPNDRNMPEGLEEVTIGDGVQQYKELRDVERQLDYAMMR KRLEIGDSIHRINKRQRTMRLWISNTVENQPWQERGLDSDAFDFGTGVDGTYRVKIEG RLIDDDSEDPTNNDDEEEEQGEDIPMPEAPEKAPKGKTRKRLSQFFKAINVEYDRSRT MSAEPSQIEWKKQPGSAEFDSIEFERKGDENMNVTIGLSRADSPERFRLSKSLSDVLD TDEDDKTGAVLGIWDYVKAMGLQEDEEKRAVRCDDRLRAIFGTDTVYFPQIPERLIPH LLPLHPIKLPYTIRVDAAYQSSPQPTIYDIRVTVDDPLQAKMLAMTQNPEYPATLRQI SQLDDHLAMTIQALAQSKAKHSFYKSMQSDPVNFVKRWMSSQKRDLEVILGEATRGGG EDGSAPEFQRGGSEGVWGTQVVKEAVRYKLAKDMTAKR EPUS_04784 MTSLLPPDIPSSEIPMVSRPEVADHSRRAGSVVSRTTRSRHHRG RSHHGGSSYQPQNEFPFFAQTGDVEIVISADGQEKKYLLHRLILAQCSGFFEAGTSAE WSQASNSAPRPENALARIGEDEEDPAPVRPPVDPQSINAPTPNRKRWRYELDWDHTDE DEDPMLVQKTPSSGSLFNSDFQPTLPPPRSKPSAAHSGGFFRSMANLTLGAQSTSHLP SIHHPQDQPITSPILRDYDNLFRIFYNYAPSLNPTYLPSAYSECKSLLALADMYDALP VVGPRIDHHLLRFSSRLFKQIAKYPPSYLKLGYLARSRIIFAEALVHVVGRWPLDRPH LQGDNIGYEVPESVIELIEDKVDELEEMKAKVEAKLFRLTLTTSRGERVNPGNDYLGW LAMSLFRQWLAENTTPPVQGILRSPATPPASRVQGSMQQHPSPSSSGQRPSHPPPPPP APSPMTNTGRVYRALGSTNSQSYLPRDELKRFLKFHPSSISSSSSSSALGSLYNRDNL RRFERKVDEVKNLARDVVKPLTRNFLELDVRTGDGASGGMGEGASLVQYLTCTKVEEG EVPWDY EPUS_04785 MEPLSIIASITSILTAAARISSVLTQIHDAPTTISALLTEVDHI RIVFTAFQNFLDKNAKISGARAALIQLDDIIVILTQTVLVFSELQTLVAPLSAKKRLS GWQRLNWPRNEAAAMRESDLDARQNATSLQRHVEQQIKNDSDLSLRLEELQPAPAEKR LDKLDFGLGFQHHDHGNSSDSESGLSTPGTFEAVLSATRAYDRVRDRGVDDRTSIATT RSHAWSALSGLSLAEISIIAVVQLPLHKAELQRFRQIASPSWNDSDSLRDVQVDGLYD CDLWESDEAAKILQSTGRLSDAFQRHYGLMSRAYDGKPSLARLKEELRDLEGYPPRLI TAGPMDDALERWQGTIQGPLDSPYRGGLFFLSINFLGTDYPHSPPRMHFTTRIYHPNI SSLGRIALDILGEKWSDALTIEKVLLAIYSLLINPNVVEPLVPEIALVYNTDRSRYEA TAREWTRKYAIASCGASFTPSMLDPIPPPPSWLSSSIASLSATLSFPTLPLHIHELIA SFLFYQFVQTVISPLVSSHLFPSIYPQLNRRTKINWDVHVVSLVQSCSINAAALWVMY VDEERMDMSAMERVYGYTGGCGMIQGLAAGYFLWDLVVTSRNVGIFGWGMWAHGVCAL CVFSFGFRPFLNFYGPTFILYELSSPFLNIHWFLDKLHLTGSKYQWYNGIILLASFFG CRLVWGTYQSIRVYQDVWQALHLPINPATGELDYLAMTTGSNVTRGAQDLFVPRDGRL CLGREECVAAQSEVMKFVGAGTRGIPIWLAVTYLSSNVVLNSLNFYWFGKMIETVRKR FDKKPKEKDEASRADDGEKKKDEKREAARRRKSSIVLDVADGLQRSMMDGSGDTEGSA AAVLEKMNAEQRRDLEDEMEHRAKSSALENHTAATTTTFGEGGAARRR EPUS_04786 MTTLSLTTSSNTAGDSIPTSSQVPTIALTLTTSTSASSANFSRA SGTVTDSTSHNRVAIGAGVGVGVLVMLAILGALYYFWRRRSMTVQNRISAQNDGYSKP EMDASNSHSVLDRRRTELETTGEVFEMPTKNSVREAVDRSHN EPUS_04787 MAEIAQDRLPPGYPIQLSGPLAWTGSTLESESERIYIRLSEDDV HHIDRALQHFKGLAIARGFASPETFPLPEELRQRLRGITKAVYAGLGFCVLRGLNPAV YTEEERVILHAGLTSHAANSRARNIDHIRDRTKDNPLNEKLKPPEQIVSMNFHTDIDV YDMLTMFTQSVPLEGGDQYLSSMTSIYNMLAVEDPEVIKTLFEDWYWERSHRPAIGEE VIRSFYRPLIALDDNGNIQVNYAGAFIGASATYPLTAAAPGLKPHQEHAMAVIQDVAR RVRIRLAPQPGDMLFINNYAVLHARDSWTDSPTDPTRRRYMMRLWLHDDQQGWVSAPA LKRNMGDNFDLPPEKQALMTGSEWDKLPRSWRVKSVGVSGNDCHD EPUS_04788 MTSTQSPSQEGHNEGIVPEQNTSQFFHVDYPVDPLLAFNDLGGV DYASTGTTTPPAFSFNESLEQNTADWDMPRHTNSQGTSALEHSGMTGEFQATDPTSIQ PWAMVLAPMTPPSNGISSGIDQSSDSWAIMTTSSNGEVSHWNSSAQSRGGRNSSSLHP SNATTTSEGWPTPNSGSGNVSARGDPSKEPPYGKQAEHPGTSQAVVLTQRLLAPSSAP YYSPPNDATEIARTAPASSATQERPPYTPPTLLPKRKRKGPYTEETRDRVRRVRDARC CIRCRMHKSRCDDEADCLSCAQGSAKLEWPPCSRAGFEGVIAFRAGNSRAGKSRYELI RPADWPSMRKGINSGLEWAPGSSVQTVWICYPFRGATDSSETAMPIGVRRFKPSVYQV LEEPWECPDGQVVPLRSEPYACVINKDNQSDLAKYVERWKDALLAEAIDQLKDRLIRE HYHEAVRFSREWERARANSEKDQPCAVTFALNIQAAAYFSCTRMVEKDSGGLKLRMND ENRMKLNGMFPISAHIDMQIDLMAIQYMLQQMDHINTRLKKAIFVKENYCNWYEIYLT SFLLLCSLETVHKRQTQIVKRFESSENPGKHASVLEKSNDMLRDWQKSAVVILHHWRA VLRGQLKFANPWNDEDRNRLRKSFKLDDEAVKAMDQLNNMIQKRKDELREAAKLELDN KEARPMAWLSHLWVEDYTCVPTLSPRAA EPUS_04789 MGVVATAGFRYSPLFRWERPYHKDYPADTILSYRTQLQDTIKSN DFIVIVAEDEYQPDENEKTEATIPSDDAWKAPTAGEKVVVGVASIKLEPGSKRKGEYK DNQGTFGYPSPWTVPALIHQAGEYPTLPENEGRDLNCKHYESWGTLVGKIREERCSGD AIMSMLVVHPAYWRRGYGTKMGQWAVELSKADDVKQCVSAAGMGYQIYSKLGYKDVCK IEASGDEDDPEGIFTNLMEPTEESKDSVLLCVIAIFVHGILDYLIP EPUS_04790 MEEKASAPHMVGQGNNAQASTWSSNLQRYLAENEDPVSARLGLQ GKMQSGNGGKEKKASNPDLASMGAQFWGERGNK EPUS_04791 MATPTSDQSPIRENVKAAPQTAFDTAAFDSSGPARTDNPVPPAE NDYLNPTSRAIRHLAFCILYLSLFDHGTTSQWISTYLVPSAMDVTPSL EPUS_04792 MKLHAVLAVFLILAVSLTPAEVSDEIHLQWSICDPNPQVVLQKL GEDVRSGPKKQSPITYFDTNPPVYLQQGRMFRTKTSHNEDLSLVKVRFAEKTSDIPDT VNCVWDRYGDQTFFTCEKRSSLDGDDGMSLWNEEQVRFAERYGDIVWEELVAFGPFLN AKWKLHLEGFRAVFDDVVVKSHHLMEIEIRVSTFEGDGVYHVVTKYLREHGLELCDDQ ESKTFRLFQVLGYRNEPALVLASHDLRRKVKALDLAAVGVV EPUS_04793 MELVVPQILNGGVDTVFVMPNLQPPITSIAKALDYQSCLRAIEP KVHYLMSLYLHPSITPEVIAEAAAAGIAGVKMYPQGVTTNSESGVPADFLKAYSPVFA AIEQHDIVLNLHGEWPGRPPSDDISLEEAFLPELKKLHEKFPRLRCVLEHCSTAAALD AVRACGPSVVGTITAHHLYLTGDDSQTDPLAFCKPIPKKPSDRDALIKAVCSGDPKFF FGSDSAPHPLASKTKIESGQAVPAGVFTQPFASQLVILALEEAIGRGVLEEDEVTQDR LEQFLSRSGRRFYKLPDPVTEGPPKIVLERKGETIPTSIKSADGTLEIGLSRSNAPVF SLSWLT EPUS_04794 MLEREKSFYEQRPMKVLSSLVVEKYSALLEVEQEEQIPADADHS MMCKFEADSEGILEKVCKRIGRIRRGAGTQATDQTVSYNQHFEVSHLLSPAYTEQDDI GRSLESSLALTEAPRQKVQRRFVLWGLGGAGKTQICLKYAQTHRERYWGVFWIDASTR DSIQRGLVQIALILQIDQDTDSVKRALANASKAWLLVFDNADDPDLALNPVLPGGRPR QHNYHQPQSRMSSVQHSRTP EPUS_04795 MTSVANEDADGHIEVLQPCGEPEYLTRPTLEPFHRMITRKKPAD SRLAQKVKSKDKQKQKQIQIQSDNLDVDERFGATEKRTNKNRNKNPEVEEDKWEAPEA KWMKEQIPQGRKEYKDLKAFQSGWGDGGKRSKLFMKLLAEELAKDKKNAKGKAATSKG GKNGKKVKKTYPRAHVINRLPKDGKFEPGEADESEGDDRFNASEDISEDEEDEDEDED DAPRRRKGSKNPVKNQGKKPTATKHKGRGRR EPUS_04796 MGGNLTLNEGFLHNASRTTNQTTDPEKWKVLMYQIPVPLGDCSI YVLMYDDVIQKCFIMDGGTSSAGSDAAKQIMDAWTVIQEELKDNLPCPDKNQHPLRFD SWVVTHWDPDHWRGVADLIGSPQGNNGGKSIGKYFTDARTLIAGAPCDAVLWKKAKGE KRTDAAKIKARKHLDFDLRGNYMRHNLIGRDLFSPEDQYTKDGSGKLKWAGDQGRPRF VCLGAGGYCIGKNGASNDDPSRNEVSILLLLYWPSTNRASYWTGGDGFPSLTQHLAEN IFGKAGFFDKPIKVVKLDHNGSSREFSNVANTNNISSTSMEEPFFTMTAKANPSKVIV TPGDDYGHPCWDVVLFWYCWCQNRKAMPANDYSASKKAPKKKLKTADPPDPRMKNLMV VSYCADILYTTKTPYWMANLKVGQKDVNITDGRAKLADKIIQEYLTHNDDVTMMNLYR ELWLTPRDLHHRIKPNFDRDNDPKAYDLALKNPESHDVIYDREDIEQETRETLADMTC EWWNWLSP EPUS_04797 MAEVSSATKRTTSSSVFVNGWTYIFLNRPDTDYIDFFKFKRPAD AKNSRGECGAKDGRLEARPGPLSALVFSDNSIRLYYTGPGKAGGDPVLREAKLANAGS DNADPSKDWLKPGPANKELAFESDIGWKTRTIDALSFVSASTRIDEDQNNKAVASVTY KAAGDDYFQYTYQSKNGWASLKL EPUS_04798 MPVDQRPESLSKVIHPRRESFHPSPVDWREEILYFLLPDRFSDE QAASRTPLDLNNTPFPPNTPVPIQWDKWAQSGGERWQGGTLKGLESRLNYLEELGVTA IWIGPVFKQRLNLNTYHGYGIQDFLDVDAHFGTRDDLISVVNAAHRKKIRVILDVIFN HSAWNWNYEGYQSDPPYRPWPGYYKDVCWLDKDGNETPRALTDADGAVWPIELQVANA YTRAGKGSLSGEKLDDVHAEFRRTDFDGSMRDFNFDREATLTDLARCYKYWIALTDCD GFRLDTLKHVGTGVARSFCGTIKEFASGLGKTNFFLMGEVGGPDLNAETYRTILQSNL NATLDIGESRVALTGVAKGLRPASHYFDMVAQWDRSLGSHRDAGLHHVVVLDDHDHVF GAKVRFSTDAATHHQVVAGVAIQLFSLGIPCIYYGTEQSLSGPPRAERQAYLPDFGSS DKYLRETMFGTEYPRKSGAAGLSIAGEDTSLPGFAAFGAKGKHCFRTDFTTFVRIKTL IKIRQRYPVLRFGRQYLREIRKSGPFTKSSPGDLFAWSRILDEEEALIVINGHGAAVS SAQIAVDAALNPNGGEAKLRVIANSAEVGGEARTSHRIGDMLVVKYDSDGRAYVEIRN VQPSGVLVLTNRPE EPUS_04799 MPERSVHKRRHPTPPSTDSDAAAQASSLTTKRLRKSMSRRRSPS SDSPGNGSTGHATAGQTTQGETVPMQLGQQALQANLLALNTSAHGQGQTEAQNGHRTA TGEETCPGPSQTSTSNQSTRLHGGGFIPQNHNQPMLLLGEASMTMPGPNLSSFMNEFV FEALELSPSCFPKTKALHRSPKNKLQLLNGVSLLPSGYNTQSSTPDTSVTTSVTSTYP PILSTYELGGGVQQQPSQVSLALPQAYSVPTGVYLQGGVQQAHFTPPNFISVGQPHPL NQAPQLGHLSQQSALPLQAGAFAETAISSAQAGPSQHQTGNLAPPFRQNAATYNNFLD PSAGYDAQVSYGQQEQPNSASTHTESTPHAAPIFSPHPQSGTTYVWPTLPEPQQNPLP RQLPPVQQDLTPQQNTAAQQAPHPQRTPPLHAHSHTNLPIDPQLASSSYLWAQLEATQ LTMVGILTALLVRTRNLNEDRGEEAGKAGGQGFLDGVEGLDIDIDEAQVQAITRNLIN VMTLWWLVRRERRWL EPUS_04800 MTLKVNHVLHHEKSKYQDILIFESSDHGIVLVLDNAIQCTESDE FAYHEMITHLAMNSHSNPKKVLVIGGGDGGVLREIVKHSSVESAVLCDIDEAVIRLSK EYLPTTSIGFQHPKVSVHHADGMEFLRNCKNEFDVIISDGTDPEGLAKGFFENSYFEL LNNALREGGIVITHTEDQWLRLPMLTQSRKLCIEIFPSVEYGYTTIPTYPCGQIGFLI CCKEENRNLKEPVRSWDEETEERLCRYYNKAIHSAAFALPVFIRKALS EPUS_04801 MRDVLRFLSKFILAARPISTMTEAFAVVGFATALLQLVEFGTKI VRRLREIEDCTSEGAAYFKGLRIRFPFMLDLVRKIMLQMEAGVVSDKSKEIMYPVVQN CITQAQQLDKLISRSLPLPKDNSWMRGKKAVYGVWSESEIERIDASLKSDLELLMQAG TFQKVNRSEHSEAVTFAPTFSIAPNIQLTLSQQQSQSAPLPWEELERQVHPTQSIFMV PFSRDSNFLGRQDVIDVVSEKFKKCQSVTLSGLGGIGKSQIAIEYCYVFRDTRPSAQV FWVHGTDPVHFEQGYQEIARKARIPGWDDLKKEKLKLVQEWLQDENTAEWLLVVDNAD DATMFYSKRSSELGRLQNGSNSLARFLPHCSHGRVLITTRDRRLGERISQRQGIIQIA PLSAENSNNLLRSKISEENWSEDDALKLVEYLSSLPLAITQAAAFISENSVTVSEYLE MLVNDEEDLKELLDEHLEDPRRDWRSENSVIRTWKLTFEQISKESPRAAELLSHLATL NFYEVPRLLLRNDKETEIGFRTALGVLQAFSLITATRGQNPICNMHRLVALATQRWLE LRGTLEYWQSRALSTVGRRFPGPGQQHFREFTNMIALMPHAQVVLGYQFTSTNDMLAC AKLLISTALFDLSRGEYQQASDKCERSLKIRESLLPPDHPETLESVQTLGETLLHLGE FGTAKTLLQRAIVGREKSLGPLHVDTLDSLSDITITYLELDDLESAETTGRKALERRE KVLGCDHPEYLVSLNIMAILYQLKGDYLHALETTERVFKERERLIGSECPNTVTTLNN LACLKYRMGDLNSANQLLDRVLECERSALAGNTYDLQVSLSNKAAVLAAQNRFQEAKS VLGDVLRMRESTLGPSHALTLFTMEALADVLGAGDDAEAAMKLRAKVGEDGTTRQSLP GVGVLLRAGLLFD EPUS_04802 MEGRAHPGKASTSSGQKNRMMDDLTSYSENLLRRFKQRLPPAVK NVPAAETETETETHRTFQVGIVGAGLAGLRCAEVLIQEGFAVTMIEARGRLGGRIHQS SLAGQLVDMGPNWIHGTDDNPVVKLAENVNCKLISPNESTYVYDSAGMHMNAQKVLSA DTTFWDILADAFKYSDEDCNGIAPNRSLKDYFIERLSASQLDKEAQSTVMELAEMWGG FVGDPFERQSLKWFWLEECLEGENLFVSNTHRAIINRTAEAALSCGDIHFSTIVRSIE ARHEEKGAGRVVVKTANRNFSFDAVVVTIPLGCLKMGTVQFVPELPQNVHRAIKDTSY GRLEKAFLAFSAPFWERSNMSALKDEDATRATENTFPMFTRFLRPSYVPEEQSSWTLE MVALSSPTIFGDDARPVIMFCLWGASAAHMTSAIASLNPSSDEYYKVMDMLFRPFYSR LPNYEEGHPDCIPTEVLATNWQNDEFAGKGSYTNFKTHLRGEQSNEDPAIDDHFLTLR HGLPERGIWFAGEHTAPFVALGTSTGAYWSGESAATRIIEAYMLSRQRQSG EPUS_04803 MPWFRTRLPAPNDSPSRSERQVDEVVRRTELSGVSGPGNAQNEN RPQLVQSTTPLSGSRERRPSIIPRRMKPSSQSLGGASRNPANTAANNQSELSKSKELQ SKSTRAPQNTKPPKSVEATRISEVSGPQQASSGAPGSREASKFTKPPKSEESSGPKNA PRSGKAATSRQGFGSEMPSEFNGVNGANMPLRLEDAPGPGKPSNVDVTNPGTKNDSKT GLCWKKAILLLRKEHPKEFEVLLSASEEKSLKEEEVFDCLGLTSKEQVKSGKAHIYVE RLWQALEPFQQLGMIASRVDPHGIAPFAVASLFLLVKLARGLEYRELVIEIFSDITCM MMRWYHFETRLFNQHDPSLINTKDQIDALKGRLTDLFFAALKLAATIYKYLDGHMALR LGRRLIADKVKWQNMLKELRAIEAQCKACKEVMDAESKFQKDNASILAWITNTNQKRD HELLRASLGIDKVYKDCGKWLFITPEYHNWKKGRPASKPVLWLKGTVGTGKTTLMCRA IEELREDFKYGDHGEHPVIHYYCTGTPCVQEEYDKHKLDNPVDCRFSTTECEELLKKL IPSDPKKLRITIVIDALDECEDNGYELLEILGNLLQSRPQSIRLLLSSQLHVRVEENF GDNITESLRVNSTVTKDDMHTFIETEMEKRAKTPGNRILDSRTGLYVEVAGELTNRAM GMFQWVKLRIGIFFPKPPLETTTKVQKELKELRTANPKDLNPTYRRLYANNVIQYERQ NTAKTYRLILSAYKPLTLKQVREAVSIENDGKINNEVDEEYIRRRCHSFVIENEDGYL QFAHESARLFLEKVENHGDKDFADVDDFSDTANHREMLEICLKLMMRPNHPMWIPDEL RFVQQNGGFDCSSRVTKTNYEQNCDMLIQCMQGTGFSAYTLKYLGYHCRKLGSTQLLG PGLSRDLSNVITEPNTALLWWYRFVITYLSPQEFKDSGNHLESYNQCRRFQKKVLVCM QNNRTPNPFLAVCIWDLAECVDELQARLFPKNEEWSSALQLPLDVCCFYGSSRTLSKL VDVYPAKADALIMEAHGFLGKIPIQVAVEQFRVDVTRILLEFERKRAHITKQERWTSN QLLCLRPLSKRMRILERPDAVPMLKLLLEFEADQTGARFPPSSEECWRGWDIQILSCS TAELTELEAALGRNDSNGGAVVELLLRKYPDHWNVFARDNYFKRPQLVQTPSGEGEKA IKIACRNRDYDLFDPCSPYQELIDVLLKFGAQLADAEEKPAVEKSARACSVAPPQTKE EYVEYAEDFVAKNINNFVDVTEESVKSIAEVAAAQADIVATELGLRPEVTPGLVKLAF YDFVILCDDSSSMTFDEQCIPALNDTLRQVAHFATILQPKGISVRFLNHDEGNARRYD NLTDAHDIAVKVSSVPFYGNTRLGSVLNDKIVRPRIIDKITSGKLERPVFVVIITDGQ PTDEHPESLRNTIRYCKDALSRQSYRDAAAVFLISQVGDAAHATTFLKSLETDPQISS MVLCSTENMAAKLAQFQSSQQDKQYTAWVSKSIPKKVPSLED EPUS_04804 MAFGAALARRSVQVAHATFSTAQNGEHPKMPKIPAWSSGLVLLT VLGFCALFFAVQYSCGFVVGTLTMIESNRTDAYVAVDTLPSNDEDDTMPKPPTSDALL EPEVLLVKNTPITSSIRRTINHLRARYGYFSRFRGLSLFLCLTIARLFIIQFVCFPKF MTNWIGFCFASVVADLILARWEMTWIHVVISEPTQKNWWKRAPAIKNWTKIAPAVALW SMASQIAKVMPIIVGMSFGAFKRMGNPDYQPSRRELDAAAAQSLLVFVLAVAFTILIQ LPATVIVVRVAASMLPEENETVVPFDRSFGGKVTPAIIGGQGKIGMVEAWKSFDWSSR IRLVKLMAKIFAIMTALWILVVVVMIGEAHLIIGSENIKVIMGALGAVLNKQQ EPUS_04805 MAESKSTSEAGWETPEETDTEIDFTKPPPSDEEMGWKPSDTFFA TNLDALDLSVPLEKFNEGVMSKVWKMRLRAGWKEAPSRPAVLKKSCAQLVGLRDFDNF SVVYAKPPESHVYTKTGTTIILPSADDRYRDLPPDSLAETDTDVSQLLKVPYTFVDSK ELVTSMLDVLPTSHSEQPQLSIDLEGLDLGKKKGEIHLVQLYDSFSHHLYIIDVCTLG PAAFSTPASDGKMTLRRILQSAWIFKLFCDVRSDSRALFQEFEIHLRGIKDIQNIELA SRRDPTGRQWRRGLPRLIDRYADLSKEQMNEFQAYKNNGREICEKWGFVQFSVRPLRK DLTVYAANDVLYLPRIYSNLIKDMSSERSQSADAATQESILRTHCLDYDPDQSGMRMS AADWHCDYPDDHLPNANIFWEP EPUS_04806 MSTASAPSPQTSRFKGPRRHVPRLATIKRTPGQPAFIRPPSLNA PTPSRSRVSLTCPNNACPDPNIIEDDGRSVCATCGVVARESNIVSDLQFGETSSGAAA VQGSYVGEGRTHGQSSGGPHPGLAHDGLSSRELTTLNARREIDSLINNLRIPANLADP AVNIFKLSLAHAIYDSDGNREKDRKNFVQGRSIRTVAAVALYIACRRQKNTNTIMLID LAETMTPQVSVFKMGNIYNRLVRAIWGNADGSVSSSGYVDPINPENLIRRFARDLEFG SLVGKVTEDAIRLVQRMDRDWMTTGRRPAGICGAALILAARMNNFRRTVREVVLVVKV CEVTVNNRLEEFQHTATSKLTVQQMRQGDHTAPSDPPAFQRANGEGKRPKKTGRKRKN QAPETAAEIENSEEENEERPRKKGRVDKDGFAIPDIPIDPSLRDAASQEPPSDEAVAA ALTAAVNSAAAQVEEAQGSGSNQSSTTAQTSAQQPDRPRRPGRPKGSKNRHAPDETDA EVALEAEIEHDILDTMNSEAMQRATPSVTPAPSARSTPVPEPSSNAAPDKFNQPPASS SQQTASVDTSNQPPGPNSNALIITQQEATHSKSTEVRMDPEILSDEFDSDPEIANVIL SEPEVRIKECIWVEENKQWLRDEHAKRIKKQLQDAADIAAGIEPGSSTANGQKKKRRR GRRLGDVSYLKNNDADGRAGSESTAGGGGEEQDESARARRAASIAMKGMLEQRGYSRR LNYEALSKMFPDDMAGVREASMESRRTAGKRKPTRSASSRESSVVGSSDPTTASPVRP SAASVTSSASPPSRPASAVSSNTNTNTNRPAAQLPTPSTSQATQHQQQQSQAPPPSSA ESAPSQPAVPAPAPASTPLEGEEELIGVLSENDEDSPLSSLQPSGTYNSPLIGTAMGG GFGGAGQDGDDDEDEEESEGDPEDYVQDDDDDGGDGDEVEDALSGRFGGGFGGEDEDG E EPUS_04807 MRHRQGQWQNSDGRLSIPLHRTPACESEDWDRNAASLWAIYANS YLTVAATASSDPSQGLFRSRSTATLSNGIVEVVAGNGKLRAGTYCCYDEDEWRALVDI APLNQRAWVLFECFEFKASERFAQGIPPRYGSSSARDWYPWASPSEVEPEELLPMWQA FVQDYVYMNLTYPSDKMVAISALARQVSTLVPSSGEYLAGLWEYSLLGQLCWESSLHA TRASEHRAPSWSWMSIDGPILSSFKQNATRVKAVARLLNATTEWTTSPFTSVVGGYLR LSAPLLRVDTMEPQPDRPDERPRRSTSGPDAELIEMIRSNDHPDYTGETMLSFGISQD GLPTGPNGSNIIGDGSQGWLDEEIELEKLVQLKPVFLPVFCIFDSTFGEWEIEILRGL ILAKAEDQGPGTYRRIGVAEINEYELAEFLCSLGNQEYEDDTENVVQQQSGSHDIVTA NLSASDRIKLDEFVPERWTGKELPLENMPRDFLCHEVVIVRIRFTPLLPFPSALKRYG ADFNPSTFSLALANPQFSTLSKP EPUS_04808 MSKSPLEVFTYQPLPTTSDGPIIRLLKLLPGPSDQKVACKMVPT CLRNPPSYEALSYTWGHQGKTTAIVCNDAHLDISQSLETALRHLRDPREPRVIWADAI CIDQSNEVEKTHQVSLMRDIYRKATRVVIWLGPASRNGPGELALDLVHYLAAASKEPA TAVEVLHQSADIRLLDHFATQIYEIHGIQAQPNQAFTTIYKAFFELLERPWFTRTWIL QEAAVASDAILLLGTRSVSWAEFLDAFAFSLKQPSLMTIMNPGKLEYAIGLLTVCQAV KRGSEQRLLDLLLQHRNCGASDSRDKVFALCGLARDAGADGLDVQTDYRLDTAEVYRD LAIKILKQSADLALLSVPRPSTSSNVAGLPSWVPDWSLSSHSTSFRARDFSGDYLFRS KASKDTIPDPKFSSDGRLLAMNGMVVDRIIKVGSLHDADTESNYLTKIPKEQTILNEW EHVSGARSWSKYFTGESKLDVYWQTLVGGCPAQSYEELRGQFHDFDRTTKRFRMLHWV GLQNYRKTYIAASYVMLTVSAMSDGFRGRLTSPLTGGYATWGFAARMAMAVRRRRMMK TEKGFVGLASGEAVIGDSIVLFQGGHVPVILRWTGTRWQLVGDAYVHGIMNGEAFNLD KCQMIAVA EPUS_04809 MHHSMVFVVGLLAAVNASVVKKRTVCEQDNCLRALLNPTRPVAS FCSAFLSTSTHPLPTFVTPCSSSLPRISSACDCALGRTSSPQPTTAPSTTLTCTAETT TLTIPGAGEITTVTVPTTVTLPGEDQVTTVTVPGAGEITTVTVPTTVTLPGEDQVTTV TVPGAGEITTVTVPTTVTLPGENQVTTITIDGKLTTVTVPTTVTLPGDDQLTTVTIPT TVTLPLDAETVTLPAQTVTLPGTTVTVPAQPCPTPEETGIPKSVPPYTYLQCTNNGGA QRALNGPESTSNNQTPAACASTCAGFTYFGVTQGNQCVCGNELNPRSNNPNDNACNLP CTGNNKQLCGGNAHIQVYQK EPUS_04810 MIESFGVSSDGIGKWTGICAASFSFCQFLTAITWGRASDRFGRK RTILAGLLCTMITSLMFGFSTTLSLALISRALAGLGGGNGGIIRTATAELVPQKDLQP RAFSIMPLVWTIGSMVGPAMGGMIADPAHKYPLSFGSSSFFLRFPYSAPNLATCCLFV PTIAVGFLFLRETLHTSQNENDVGLHLGRALLRPCSRQINLGQHQPSESVSGFNQQGA HQAVYERPTEHGRARNSLQKSEPPSQLQQFTWKSVFTSQSQINLLQYGLLAMHSVAYD QLLPVLMHHPRSSTAPMSHGRQNWFLFTDGFAMSSSRIGLLLMVNGMVGMVLQFVVFP PTVKKLGVLPCLRVGAIAFVFLYALVPFAALIPSATAREAALLAIMIFKTLLTVFAWP CSTILLTNSATSPGILGTLNGVATSTAAIGRTVGPAMGGAMFTIGLSKGSIVLPWLAI AMMAVLGVIPLFWLRPIRPSARKSEEVANRHDNEDIRVEDEETEPLLTSSKPGTDGRH L EPUS_04811 MGQKRPWGLTWRSSSLFVVGTVGIALFTDLFLYGIIVPILPYLL EDHIHIPHNQIQGYVSGLLAIHALASVLFSPIAGIIADRASTSAKLPFLGGLLALLLA TLLLCLGQTFAVLAIARVLQGMSVAVVWTIGLALVRDTVGPEKLGTTIGSIFGFVSIG QLVAPVLGGVVYSKAGILGIFWMAFAVLVLDSVMRVMLIEKKTAERYVSAQQTEAGVS GSEEDDGDALVRDEAREEEPLLERQELRAYKLTGGESQTVITWAFPLISCLRHPRLLT ALHLALSQAILLSAFDSTISTVAQEYFRFTSLEAGLLYLAIVVPYVIGGPIAGRIVDK RGPKGVAVFAFGFLVPSLSLLHLARPGGKDQVAIYCAILSLNG EPUS_04812 MSLQDVPDLPVTTPSLRFFQDDEKFQSEKPYHFSGPLEAHEESR RTNLVLATRENVPIRDIRECVSRPRLHNHGFQFEHFPSSFMDGLHESNVFKSYIEEVA HFVKNMEKAEAVICYDYRFRKSTSSPGSKVIGAGGSFDSPNEPAWQVHIDLSRDAGPK RLRYQLRKPEHEKYLNEHWRIRIINVWRPLKHVVRDAPLAFCDFTSVDAADLIATDRP SREYVGEVYYVRYNSAQRWYWLSEQTPDELSLFMSYDSDPGENGAALCPHSAFQLQGV QPPVERRESLEVRLIVITSKAAPIATS EPUS_04813 MFSPQVTIPLAGRLRGQYQLNYLPKELRVRCPYGPSDRTVGDPA PESDTESRLAAPLHDHIEATAPQFQYRPLESHDSIRILTLSPGRPNDPLRGTLEVVRI DSAGVYEPISYAWAEPGPPNCRYEIFLSDGDQERCLQLRGGNLFAALRRFRSQDTQRR LWADQICINQDDLGERNQQVQFMNRINANASRVLIWLGLDDEDEAESAFGLIYQVDGK LCDEAEEKKFRHQYTQDLDVQSSREWRPLDGLTKRSLVSDL EPUS_04814 MFWGNTKIDWGVVHRFCDKLKDYWHFRMKFNIRTSNIKYLFQRF VEANEKSYHANRFNFLYELHRARELQPTDPRDHIFAFLGHYSLRSKYHPNRELAVFEA DYNKSLPQVYIDFAKRALRGGQGDSALIALAVVQHGSLPSHRATENNTGPERSLSIQS KLPSWVADWTIYKGFILAEPISPHKAHGTSRPKMEIDDDKLILRIHGVQVDTLEDCSR VLRDREFHDTREHRGTESSVEYLWRKICQKKDFNLEDKYPNGHTAFFAFSQTLSNGCV QISGERVPSIMILTDSDGAIAPGLLELGEKADRKGGKEEWSRSVNAASKGRRFARTKK GLYVLGPAAMERGDVVCVLFGGKVPFCLRRMGSRHMLVGECYAHGLMKGEAMTMMAKD ELVEKVFELV EPUS_04815 MRIVTGALVFDVEDPNTPRILLLQRSANDSMHNQWEFPGGGCDD EDESILHAVARELWEESGLQANHISGPVGDPHFFTSRSGAKIWKFSFAVQAKTPPEGR LMARLDPTKHQRFVWASLAEVMAKKVANIELDFTTLELVATILQAFDHLEHK EPUS_04816 MSRHEKERRETDRGDLAAIRILVYFPDDIPRAVEAIKSSEIFEI PEAVVSFSRTRFDQRARDIAQHKKGVSPNYTDGPCEERLSSANDIIQRWKNSRYQAVH LYVRVRTRSTMENAIADQQEVEREKGAGPAIVGEAGEFS EPUS_04817 MWYPSAFSMLVAANAFSIMVAMGGQAAIDWFTTRFSQNLADLKR INAITLHLDVPLGPEMRQPLLTFTNNFLRTSSYETHKIAVALARLGCVITLSDELESL RKKPFNEPIFYGP EPUS_07467 MQKQIEPEDDHSMTIQDRFSSEDPDTRKARPMWELRLGYTTYQN GKWTQKQLSEMTLRHAAREVEEWDLRANKGGDDKDKWKTRTTYLLPNQTAYRLCPRLI SDSELRVDISYRDSHKNVRTDKVVENSFRIGYFTFENGSITSKSDSSSGDDIDTITSF QYDQTGSKAEISSYQVKESETSSPPLFGKFPKVQYDKSPAANAKSHILLVNDTGVVFN HTFIDPLLAFISKDNTAHAFDDFYDQFGKLAHSAASDDPFGATDDGSFNEKLSPVPQS LRPERNRRRHRSDRRKQALWKFEPFKDLANKDAKVTLEQMFLRLRPQTSNDDINEWRD NPFSPHSIGRNRPVAYMKWVAMKYIEIMIAYGDYFFRQNSLETIPNAIQCYVIASHVY GQRGQKIPKRGKTKPETYASLLDRWDAFGNAIVQLELEFPFSNQTSLPIGVSTGIVGL ANIFGFASALYFCIPDNPKLRELRDTIDDRLYKIRNCMDINGVVRHLPLFDPPIDPAL LVQATAQGLSLDSVLNDIGGPMPNYRFQLLLQKAFDICAELKSLGGAFLTAKEKKDGE ALSVLRTTHEATMSNMTLDIRQRQVNETSQALEQLLQSRNAPSYKLQFYRKLIGNTES ALNEDTDFNEIPNPSLQDPNSEGELLLNEPDVEALAAVFHAIPSSEASAKPWGVGAGL VWGGFNFGHSTNAAAKALQVYATWLTQSSSRAGIKANLMRQMQDRIFQANAIGMELKN IDKQIATHRIRLSTAEQETKNQEKQLEQTTAVQEFLKSKYTNEELYIWMEGQTRDLYQ QSYNHAFDLAKRAEKAFKFERPDQTTDFINMGYWTNARDGLLAGEQLHLSLKRLESAY QETLGHDFEMTKHISIRQWAPLGLVEFRETGSFEFDLPEILFDMDCPGHYMRRIVSAS QHSIRTTARASGKADYPRQLETEDDRFSTYNIPISAIALSTGQADHGRHEGGLTMTTS SERYNPFEGAGVISKWRFDLPASFRAFDYGSITDVVLTLKYTSRDGGDKLRDTASGAV ADFVKQMIDTSASGRDGLFMFFDLKAEFATECLCRKVAVGGSGTDAEEVTMQLSNVYE RLPAFTRMTKPNRLVALAMVLVVRTGDVFSSPPSIAPDQVGIDLLPNADQNAAFRPAS INLGDANPNLVGFSSEDLQLPMTNWGLRVKGSGIQALGNTEARAWLVVKYAMT EPUS_07468 MDSKLEEEASIPTLYNAVERFRNNLRLTRATSTKSSLHTLFTAT LQEEEASELAADSAASAEKAPSETKKYSNCLCGKKHAFSMCPYLIEQRRPSSASLKAG VLLIAYATLQGEEASELAADSAASAEKAPSETKKYSNCLCGEKHTFSMCPYLIEQRRP KGWSPIDRIQKEINEKLKNPALKRAVKRAQSAANKLQERSKKQKQQSELPEDSNEQHS APSTPLASFATDWDSYELRDTWILDSGAN EPUS_07469 MPFPATLNAKPKIIFFTDFDGTVTANDSNDYLTDHHGYGVARRL QGNLDILNGKVEIRDNFRTMIESWQLSFPECIPILCKNIKLDPHFTAFYKWSVDNHVP VIVLSSGMVPIIRALLQHLLGEEEGRKIEVVANMPIAKPPVNDLNQKNGWTIQYHDDS TFGHDKSLTIRPYAEAIAKMPRSEQPTLLYAGDGLSDLCAARETDLLFAKKGHDLVKV CEREGFPFTLFEDWSDILSKTKDIFEGRTDVRKVAELGRKEAQRGPEKAAHMNLHKAG RTEMVR EPUS_07470 MALAFLFQQLRGLDMAPDLDLTALIVDHGHRPDSGEEALAVSTW LKDLSIKSKILQLRWRPGQDPARLTNFETKARSLRYQQFAYASLEENIRSLFLGHHAS DLAETVILRLARAQKFISAGLGGIKPVNDIPCCESVFGASCSLDEASAVSDLVSARSK RPATGQNSECRSASSSDADDNSFPGRVLVSEPGMKIYRPLLSFPKSRLIATCAQNGVP FITDPSNFDPKTTRRNTIRWLLSNNKLPKALQTESVLHLSAASMRLGQARTRKVEALM NATRLIYFDTRSSLLRLIVPRDISNAYKLSEQDAAYYVTRLLSLVSPRTETPRSFLDN IDVARWMFPELYVTNPSILDKPRDPRSMTAGDALIERLPSLSGCCWQLTRRPFRANEY PETGFSSTSTYSKGVDRYWSGWRAWDGRFWIRIQARNHPLLEQFKLRPLQRQDMEQLR AREELLPLALKQKLRRAFRDAAPGKLRYTLPLLVHGEELRGLPTLDIELPSKDSLQGD VSSQLRWEVRYKGVTETLQHLNRAASPSHDLVKPLNGDLNGQFSSPRNLEQS EPUS_07471 MAETVSLKASRERPPPPPPIVPSLWTRSLLAGALAGLTVDFSLY PLDTVKTRLQSQLLATPRHAVRTLPPRHTPSAAPSAPCTRASPRRSSAPCPARPRSSS STTASNAPCSPAPNTGGPSNQRTQTTPSASASAIHMLASTLGETAACAIRIPTEVIKQ RAQAGLFQGSSLLALQDILALRQRQIHPDGGYGTVLRELYRGGGVTVMREIPFTIVQF SLWEYLKAAYSERQSRRFRREEGLVTAAESAAFGRARWRPG EPUS_07472 MTSKLVSMFYPGEDVSEKKSMALGLLASSLLGREANRRCTDKAN NISKAQDPPQGESSHPPRSSTPQAVRFASGVQEIEPVHGIHQMIDPSGQKVKSAEELT PEAKEEIRNLAITLQKSKLQESRMSNYAFEPMSLPPSRATSREPSPRGSPRHSLMPSA RPSPPISSIHSPPLTPHDSCSREPSTDAIVTSKQEAKSTAITPQTSPPQQPHGRSMSE SISPTATASRPPSSDQHSTRPTSVSEESWPDAPKRVPRFAVGPSGESSPGDPSPTPTT PSESSNRQAPVQSLTQPADQSRRHGQQKVPGQIDQRYQISRDSKRSSVTPAQALQNAH LLPRSGSSNEGKQEKKSSIFGGKKDGLAHVHDDGSGGLSEKKHHGSMSELKRFFRLGH KHKRGESPAPASRKPSTKPDSKTPPHQVPPSNVPFADDHGLEAKYGKFGKVLGSGAGG SVRLLKRSSDGVTFAVKQFRERHSWESEKDYSKKVTAEFCIGSTLHHGNIIETMDIIQ EKDRWYEVMEYAPYDLFAIVMTGKMSREEVACSFLQIVNGVSYLHSMGLAHRDLKLDN VVVNEYGIMKLIDFGSATVFRYPFENDIVLASGIVGSDPYLAPEVYEEKKYDPTATDI WSLAIIFCCMTLRRFPWKQPRLIDNSYKLFVAPPTPGTPVPDSEPRRVSENRSKDGFV IEDAKDSRRPTEPSLSQNGDGKDKSGHRHRHSHSHSHSEANQANGASDASAKTSTASD ASGKSEVVKGPWRLLRLLPRESRHIIGRMLKVDPKERATMDEILSDDSVLETPVCSQE EQGEVRRAPGHEHTLEAGNAPQPPGDKYTGGRDGAICAWDLNLDLHDTHTSNPFSSPE DQSSDSTPKSLNSTKFRRQVQAHTHWVNDIVLTQQNSTLVSASSDVTVKAWRPHSAQG TRAQTIGVHSDYVKCLTTPDPTATWVASGGLDHKICLWDLNGAGQKLQIDVGKSEGVT KGSIYALRSKGPIIASGGPESVVRIWDVRTGKSITKLVGHTDNVRDILLSEDGDTVMT ASSDQTVKVWSLTAGRCLNTLTMHNDSVWCLFSTHPQLSLFYSSDRSGLVAKTDTRNV KEIDEGISLAVLQENDGVHKIVAAGGHIWTTTSSSSINRWCDINTNADIEPLPLPEQS RAPSSLSKRSVPTSPSNTNFPTTNGVNATSKIPSAALLRLSVTAPFPGTRLKEFERST SQQTPSIRKASEAMIETDQHLTIPIQALPEETIEGQNGLIKHVMLNDRKRVLTLDSTG EVVLWDLLKCMPIKSFGKQHLEDVVPMVNTVESVANWCAVDTRTGRLSVMLEENYCFD AEVYADETDVANLADFREDQRINLGKWVLRNLFTALIEEEIKRDEVYRKELLAKHHRL NGLQRGNAPLSIMMPGSAMSSNDSQPTPRPSGGSYLIPGTPGLSIGVASPAGPPNHVS SLPPNHLEPTAEEDHGSEQDGTTNDQASTTGTRPDDYFSPNPTAQPSEASSESNQKIA NTLGDKGPDALPASPVDDKDEKKKGSLFGKGKNFKMTFPSMKLSRISGEAKPVVTPQE DKSEDASDKSSEKGERTFEDSFYGVIQRIRHDYDEQIQTHPDQPPVIGVTSSLPDETP VLRQPPHTLVLIQEDSPEAGGVVDLYGGAIATLGADADIVEKIAPAWLGDLLLRVNHI PYKETVKVSFTLQPLKDELPSIATADGRLNANRMLRAKKILAYVAERIEAQPTELEEN PMKVEEYLELYCHDQLVPPNMTLATLRTHIWRTGGDVALFYRANGKKVISPAPPEHPL AVANGHSSPS EPUS_07473 MATRDQIVKLIVGAGKASPSPPVGPALGILKEFNARTAHINPGV PIPARVTIRPDRTFSFELRTPTTAYMLLQAAGVKEIKNKIRGASRPGHESVGSVTLKH VYEIAKIKQSEPRLSGLSVQGLCKSVIAQANSIGVTVTA EPUS_07474 MNWFKQTLANVAGTQEPEYGPSAMQSVAVQAQSVPFTELSKDDL RWKALESTGIRTTCQFNSKIFNHEGPFKHLWCSDSLNNYGFDEEQYCFYADNLAVELN SNGTSFTIKSAVSEDSIVNITLTRAAPGFQIGKDGTSYFGTDPSHPWGSMRHVFWPRC DVEGSIITKEKKYDMRGRAMFVHALQGMKPHHAAARWNFINCQTPTYSAVMMEFTTPA SYGNTVVNVSALAKDDEIISAGASSSAKHTATNKDSENDWPEPKSVSFEWNGKTRDGR PVVAHVTGSLGPRLDRVDVLAHIPGFVKTIVGGVVGTKPFIYQYCPTDRLRLKIKIGD IEAEEEGILFSEATFIS EPUS_07475 MSNRQITWANLPVGVGHGQGLAYRGPSGLGGFSSSVPRRHRYKL CRDNIRGVNNPRSELTSHHHLLPFPPFPPFPLPLPLPLPVSLLLLSRLIFIFIFTFTN LSSMSRALLSLASNPALNKPGSTLALLAGSAAKTGAPALATAQLDCLLQQGGAHALSA VFLAHRPCADDRLDLRGCVGSIRSSIRSSSTAAVITPMALLPPTTTAAAAAPLHTTTR IHHTGKHQRGNDLVSEPRHQHLHLIVIMTIIVPISAQPAPRPHPLSASTRYTATIHLT VSSAAMVTPATVTVYGVSSSPLLRRARSSF EPUS_07476 MASTGADKIGEDAQAKPESITKNELPDRSDVPDEAGANGELSKN AAKKAAKRDKQAADKASKSKDKPIGTAEAKLPTSKAAKKKTEDTPLIGIDVAKEEDFS GWYQQVLTKGDMLDYYDVSGCYILKPASYFIWEQVQEWFNIKIKKMGVKNCAFPLFVS EDVLNREKAHIEGFAAEVAWVTHAGKTKLDKKIAIRPTSETVMYPYYAKWIRSHRDLP LKLNQWNSVVRWEFKNPQPFLRTREFLWQEGHTAHLTETEADKEVLEILEHYAGVYEQ LLAVPVIRGRKTEKEKFAGGLYTTTVEGYIPSTGRGIQGGTSHCLGQNFSKMFGITVE DPSAQPGEKKEPLFVWQNSWGLSTRVIGVMVMIHGDNRGLVLPPRVAELQTIIVPVGI TKSTTSDERNGLYKEIEGLVEILRAAGIRAEADFRDKPPGWKFNDWEQRGVPLRLEFG TKESRGHFVTASRRDIVGKDGKGKSEMPITELKTAVPALLETMQKDLYDRADEKFKSH VKKITSWNDFVPALNDKNVCLIAHCLTEQCEDEIKDMSARTAEEQSGEAQDAKAPSMG AKSLCIPFDQPDGVEKGVTSCTNPNCMNKAEQWCLFGRSY EPUS_07477 MALLATQAIANVVKTSFGPSGLDKMMVDDIGDVTVTNDGATILS LLDVEHPAGKILVDLAAQQDKEVGDGTTSVVLIAAELLRRANELVKNRIHPTTIITGY RLALREAVKYMNENISTKVEVLGRESLINIAKTSMSSKIIGSDADFFANMAVDAIQAV KSVNSARNETKYPVKAVNILKAHGKSSTESMLVKGYALNCTVASQAMKTRIVDAKIAC LDMNLQKERMKLGVQITVEDPTQLEKIREREAGMVLERVEMILKAGANVVMTTKGIDD LVLKLFVEKGAMAVRRCKKEDLRRIAKATGASLISSLSDLNGDEKFEPSSLGNADEVV QTRISDDECILVKGTRSFSSASIVLRGSNDYQLDEMERSMHDSLSAVKRTLESGSIVP GGGAVETALHIYLEEFAVTVGSREQLAIGEFAQSLLIVPKTLAVNAAKDSSELVAQLR VRHALAQRVQDGGVEAQQEEAKEMARRKAYRNYGLDLSKGRTVDAVKMGVLEPSMSKV KQLKSAVEACIAIMRIDTLIKLDPEQRAADGQENMH EPUS_07478 MSDLDKAIAQLRACRPIPESQVRELCYKARELLIEEGNVVSVDA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRITLIRGNHESRQITTVYGFYDECIRKYGSANVWRYCCEVFDYLALGALILGATTDL APTSSVSLEESTQSTLPADDDDIESEVLDSQGHVQAKTIRTPPLRDLPSTSTSNGHIS PAPDRDALSPAAERPPSSSLPNASSPTLGSTPQASTSQQSSSSSFPIHPPSSTGSILC VHGGLSPLIDTVDKIRLLDRKQEVPHEGAMCDLLWSDPDDIEGWGLSPRGAGFLFGSD IVTHFNRKNDLSLIARAHQLVMEGYKEMFERQIVTVWSAPNYCYRCGNVAAILEIGED GANGGVVARSNGDVGRRYGLGEEQVPHSSLPPPGRRYRVFEAAPQDSRGMPAKKPVAE YFLNEIRKTASKILHMCHVSFRIRHVSSQERERGTADPLALETYSAINTNTSSSNPPP RIVPS EPUS_07479 MPPHLHPRSSATTTLFASTLAASFIIVGIPHIFPCPAPRKGYLD EDGKVVGKRRRRRGSDGAAGVANTQAYDADTGPENAQIGLDGELKSLLGTVQLRGKSS SVGRPSIKGDAIAGEEEEEDDNDDMRALFRGMKKEEAAMELRSRECPVPKPRGKIGEL LGFGRDGRRQRVEDEDSGQRVR EPUS_07480 MESDLKRERNPPANPIDTSARLPQLTSASTAKGKSRTRDKEANA TAKRRCVSTACIACRKRKSKCDGQLPKCAACASVYLTECEYAPHTDHRRKGVYKKDID SLKTRNSTLQTLIHAILNFDEEDVPELVRQIRSCENLEDLAESIVAKSNGALEQDLSP DSPVYGMDVVPEVRQFETELSGKMGDLRVEGSVKFIGGTSNLIWLPTEHEVDGTAYSG ARNHLATPSEEAILSWTSVTRDKDLISHLINMYFCWHYAYFTTLSKRLFLRDFSSGCS TQYCSALLVNVMLSLGCHFSTRPGAYANPNDSATAGDHFFKEAKRLMYENDEFAITKI CTVQALALMSVREAGCGREGKGWVYSGMSFRMAMDLGLDVDAPDLNHDSKLDDEEKDA RRITYWGCFLFDKCWSNYLGRQPQLLVTSITTPKFDVFPEEESEPWSPYTDSGSVQAH KQPARTRAVALQISKLSELSSALLISFYHPTQLDKPASKQTELKKLSELHTRLEAWKR DLPHEMEPRDGQLPQVLLMHMFFQLLFIHLYRPFLKYNRTTSPLPSHVSPRKYCTQAA GAISKLLRLYKRTYGLRQICNIAVYIAHSACTIHLLNLPDKNAKRDIIHGVKHLEEIG EMWTCARRTLRILRIMAEKWKTELPEEAAATFSRTGTRWGSAEPAQSPTLSDQSPGPS VHPVPGAMVRQSSFDQQAPMPPQATPTIPYLQNGFFVAPPPGDQDTRQRRRSSGHHSL PPRSAAELGRASNRVRPSIGLTKAQQDAWNAHQAARLQANNNASTSTSVSGTRSTDPT VLFGGVASLVEESQEWWLKDQASLAMGFNNWNDPVSGRSAPQQPWSMLGYDVPTTMDD NDGSIYGYGINDFS EPUS_07481 MSIEKSRALAATADTSRIESPVTVKAYFMCAFAAFGGIFFGYDS GYINGVLGMRYFITLHTGLSPTLLDNPDTADQFAISASNTSLIVSILSAGTFFGAIVA GDVADFTGRRTTIILGCGVFIIGVILQTASSTGLGLIVAGRLIAGFGVGFVSAIIILY MSEISPKRVRGAIVSGYQFCITVGILLASCVNYATEDRNDTGSYRIPIAIQMLWAIIL AGGLFLLPESPRYFVKRGNIPRATEVLARLRGQPRDSEYIQQELAEIIANHEYELKVT PQGSYFASWANCFKGSLWQGHSNLRRTILGTSMQMMQQWTGVNFIFYFGTTFFQTLGT IDNPFLISLITTLVNVCSTPISFWTIERFGRRPLLLWGALGMVICQFIVAIAGTVDGS NPATVRAEIAFICIFIFFFASTWGPGAWVVIGEIFPLPIRSRGVALSTASNWLWNCII TVITPYMVGTDQGNLGPRVFFVWGSLCTCAFIYAYFLIPETKGLSLEQVDRMLEETTP RTSARWKPHTTFAADMGLTAEGTLAPEIVGDIERKGSAV EPUS_07482 MASAKRKNGVAPSKSGENGPNKRRRVDTTKQKRQPLSERNAKLA TVASASSKLSTISAVTDEPPAFPRGGAGVLTPLEKKQIRAKADRDVLIEQKRAQATDF FDLQDRDQSLLSEDEENDILGDDNKILKSKPKQSSKRRKVQEAELDLAPRIEGLNYKR IAPGSLILGRVAKVNPKDLAVSLPNNLTGYVPMTAVSERLTAKIESLVAKDVEEDEEN NGSADEEDVVELSQYFRPGQWVRVAVTSTVEEALSTSKAKKRIELSLDPVLTNRGLAW KDLVANCTLQASVSSIEDHGVVVDIGLSDAEAKGFIPSQELHHGLEISQMKPGMVLLC LVKSLAAGGRIVRLSTQLQNFGKKTTSHTLGTAPSINSFLPGTLVEILLSNVTAAGLA GKVMGHLDVTSDLVQSGARSACSSLEKVYTPGTKIAGRLICTFPVSDSKKLGFSVLDH VIQADTNIPEADMQKTAKHALHVSSVVNEAKVVRVEPGLGVYFTLGSESLEGFAHISR LSDSKLDALSADSGPFKIGTKHKARVLDHNAVDNLFILSLQATVIQQPFLRVEDVSVG EVVKGRVEKLITDEHGLKGLILHLAEGVTGFVPRIHLSDTQLHNPEKKFREGTTVSAR VLSTDVVRRQVRLTLKKSLVNSDLKPWTEYRQISDGAYSLGTLVKVLPNGAVVQFYGS VRGFLPVAEMSEAYIKDATQHFRIGQVLNVNCLHVDAEQSKLTVSCRDPSATPHQHFH AVSPGNVVKGTVFEKSEDDLLLRLTDTDIIARLELDHISDGSLKKRQAALNKTRVGQQ LSELLVLEVQAKRRLVRLSNRASLLKASKDGSLLHGFQDAREGKKVTGFVSNITPDAV FVSFAAGLTGFLSKSQIPAESLQLPDFGLTRLQTVTSTISSIDYKGPHPRFWLSLKAE SGKSIKGNGTVEQTQALTDVVDGSTTSIEDFKVGTVTKARITSVKDTQVNVELAKNVL GRIDVSEIFDKWEDIKDRKKPLRVFSTKQVLPVRILGAHDARNHRFLPISHRAGKRPL FELSAKPTYVNSSDPEVLTLDKVQVGSFWIAFVNNIAENCLWVNISPNVRGRIRAIDV SDDLSLVADLEENFPVGSALKVQVISVDVEKNHLDLTAKASGAANQISLKELSRGMIL PGRVTKTSDRQVLVQLSDSIVGAVNLIDLSDDYAKANPMVFQKNDIVRVCVVEVDIPN KKVLLSLRPSKVLSSSLPVEDAEITSPHQLHVNDVVRGFICNVADTGLFITLGHGVTA FVRVSNLSDSYIKEWKDEFQRDQLVRGKIISLDVETGQVQMSLKESVMKSDYVVPTTF NDLKVGQIVTGKVAKVEDFGVFIVIDNSANVRGLCHRSEIAEQRVDDARKLFSEGDLV KAKVLRLDPETRRISLGLKARHFIDEKEERLSNNEDRDTWDKTSQAENESPREDEDGD SSTDDNGGAGLDNEMSDDDEDILNIQHNGDIDSSASENDDQSETETTTKSGLVAGLQI GGFDWQGLSALPNTKNNSTPSSDTEQLHPKHKKKRKPTIQADLTGDLDTHGPQSTDDY ERLLLSEPDSSLLWLQYMAFHLELGEIDTARGIAQRALKSISPLGGQSESEKLNIWIA LLNLENAYGDDDTIETTFKSACQVNDAQEIHERLASIYIQSGKHDKSDQLFQVMLKKF GSADPKVWLNYAAFLFDTLSEPERGRALLSRALQTLPPTTHVDITAKFAALEFRSSTG LAERGRTIFEGLLDSFPKRVDLWNVLLDLEMRYGGDDQKGHVRRLFERILDGDTAAKK ARIKNKQAKFFFKRWLEFEEKEGDERSVEVVKKRAEIWVRSQGQTG EPUS_07483 MSETNGNCPASPPACSDSHRIPKKPIKPPTITPRRFKKFFTPTQ TSRIERNVRTSRRALQDITNPPGKPKHSPQLSRLIGHGDELENSPPLLKEARGSKRKL SFASVESPLLSSPLKPDPFFITSSQDNHEGSICNREDSAGLSTFHQESGQESVVADED RRATVYHGRPAVRPFHRLSTSSNILSSRLSGQTRRKEPQSSRIWQYETASFYSNANDT YFCGSQAHGHPALPFSSASCNTNSLVAIGDEEGGIRLLDAASSIVDGFSKAYLSFKPH DNAIMDLSFSDDDRLLVTGSGDQTCRVIDMLTQETIHTLSGHSSSIKRVLFQPESNNS IVASCSRDGNVNIWDLRARSFDRPAQQPCRSSMAGIEDHATKLNMPAVPLRDRIRAAH SDRDYSRKAAALKPKSTHGRGEASVTSLLFLGAGREHLLATSSEADATVKLWDMRTTY DTRRARPLPLSTTRQPDSHEMHRHFGLTSMALSTDGARLYTLCRDHTIYAYSASHLIL GNAPELTTTSLRPRRAGGCEREGLGPMYGFRHQQLQVSTFYVKLSVRKQKDDKTELLA AGSGNDCAVLFPTNERYLGCKPSARTTLDGRRPLLRRSRSSSDVALSSDDNIPIYQHG TALVRGHQKEVTTVAWASDGQLVTVSDDFHVRCWREGDEARDLRISGEGQGKRWMCGW ADVDGPSYDDDDDVE EPUS_07484 MVPSSFLNSSSHSVPRHTYDEKENSISNTRLYGKQATSKQRTSR PQARSPPATDPSPTTASSPVRIPKSNEKAHLSSHTRPASHGQSRAREVTQVHRTQAAS AAPLDSLLSSTAIPRRRPQGRKSQKLPVGDHVADFSKLLLEDVESIDLRATVGSLGNP QFEDLFGHSVDKGATWRNAAGSTPAETPVSIRSMSTESMPSLLVDDDLTESLSHGLSS PSQPSQRCSPDRRPRLFSTSEDCSDDHPLQHLNILDPLSSMREDTIILPVPSQSPPSE KGRASSLRSNLTASLRALKTAAQTVSSLATRPPTTRPDDFLTRSIFSFAPELTDDKRP PPSDDPPSPALRRYLNPHPKTATLASRSPAEFHSYNEYPNPSPPTRNNSLGPTSSRSR TTTKSIQLQTCIPSAVRSPNASSPPIWLGPDGTPTTHPDLPSPALPTPNLARQREPRE NAAFLRILVAEMNMRRSGKFDDAMESHACVWLPPRKAMDGQTLRSGVERWTVYTAA EPUS_07485 MDVDQTSQVISRQDPAALEKELDEKYPNRPHNHSKTLPFHVLFQ DLFDPLNDNKKRPSGPPINRRKQGPHGAHSNSPQEVRRAIIERFISRWRQEVGSDIYP AFRLIIPEKDRERAMYGLKEKALGKLLVQIMKIDKNSEDGFSLLNWKLPGQNAASRMA GDFAGRCYEVISKRPMRTEVGDMTIGEVNDLLDELSAAPREDYQRPILARFYQRMNAT ELMWLVRIILRQMKVGATERTFFDLWHPDAESLFNVSSSLRRVCWELHDPSIRLEGDE RGITLMQCFQPQLAQFQMHSFQRMVEKMRLTEEDPTFWIEEKLDGERMQLHMTADHSL PGGKRFNFWSRKAKDYTYLYGDSFEDDNSALTRHLKSAFRDGVQNIILDGEMITWDPE QDAQVPFGTLKTAALSEQRNPFSTGHRPVYRVFDILYLNDQPLTRYTLRDRRKALEHS ISTIPRRFEIHTYTEAQKAEEIEPLLRNVVAQASEGLVLKNPRSAYRLNERNDDWIKV KPEYMTEFGESLDCVVIGGYYGSGHRGGNLSSFLCGLRVDEGFARKGKWNPEKFHSFF KVGGGFTASDYAEIRHRTDGKWIDWKPKAPPTEYIELGGGDLQYERPDVWIKPSESVV LEVKAASIATSDQFRTGVTLRFPRFKRLRLDKDWQSALTMQEFLDLKSNVEKEKKDKE FRVDDERKKRKKTFQSRKKVLTVAGYNARTIANDEFANDAANGDSPELPTKVFTGLTF FIITEALHPPTIKKSKIELENLVKLHGGIIVQTQDAVPDTVCIADRRAVKVASIQKSK EKTIIRPVWLLDCIEQARKDRAMGLPELLVPLESERHIFSVAEDQDQDLEGNTDQFGD AFARDTSVMELKELLEKMGQGVERLEREAVVEAVREVEGEEQRKAGWLFMGTKLFFDP AAPNSDENTLSNATEEDSDQKLASLTARFAGATVTQNPDDNHITHVVLNRNSDTKAIR GRLAQHRKKIPRLVTRRWIEESWAEKTRLDEERFGAS EPUS_07486 MNTNAESLNAGDRLTSQSGLVSEHRQRLQSAAVRGASRAFTAGN DNQRPKALDSSTNGARAAAASAGLASRQKSVSPPNSFTLDSAVPPGSLSAQISQVQKL VQDFEQGSKSDKPQTPSVAARGTPGKITKRSPSQVAARIASSHQPVPPRAPLALRSGS EGSNASGDTNIPRPSHQVNESGFRFQSDSVDSAQPLNRNSTTSKPWPLQSVSSARPHL PPRKSEQIRDIVNDKTTEDSQPRRLPGLSSTLAAQAAVRKSAPLTSSSVSDPPRNVPK RALTPEDDSSEDLGRGRSSTAHRQGLEGNVVLPHNLRHTVPSERGSVAGKRELSREGY GKKDSNATVYGLSTSAQPSHRFASVANTQYLDERTGLTESTLADAIVASSLASSRAPS PATRAQAPIPAPPPPRRSSLSRALFRHRHSSDTDLPRHGAPYQGMRQTLRKYNSEDSD DGNDRRKHKHFIKHPNKHREGSRKRWKDKVTERERRRYEGVWAANKGLFLTTSSSQPN EESAVEPSTSDLVLDLVVRDIWSRSRLPMDTLAEIWDLVDRQGVGTLIRDEFVLGLWL IDQRLKGHKSPMRISPTLWASVVIDAEYAHRRKSSIVLNDAPKLARREADQRRASSCI VHQFLERERPHFDSRLHYIEEDQVRNGNIDGTSTPQEEVLVVRSRLLTKKQLADMAMG VRNLAKKLGSLKVKLRIKTVFLLTKIHDDTLIIKTREVVRWLLSKDRDAPYIVWVENV LEDNETFDAKALLAEDSSYEGRLKYWDNELTRKRPHTFDFVITLGGDGTVLYASWLFQ RIVPPVLSFALGSLGFLTKFDFELYQDTLTKAFRDGVTISLRLRFEGTLMRSRPRAEK TEPRDLVNELIGEEREDNRTHKPDCTFEILNDVVVDRGPSPTMSTIELFGDDEHLTTV QADGICVATPTGSTAYNLAAGGSLCHPENPVILVTAICAHTLSFRPIILPDTMVLRIG VPYDARGSSWASFDGRERVELHPGDYVTVSASRYPFANVMPPGRRSEDWINSISRTLQ WNSRQKQKAFHDWSKEEKDPDRPQDKAGEDEG EPUS_07487 MSGPSNVPPSFSDRVSGNGAQQLIPSRHPVPTQNPSSSTGLRLP SNKKTIYDRNLNRSRNAELGRASFAFLFMEMVIYAQNRVKGIQELEKRLNEQGHPLGL KLLDLLLYRSTPAGSSSSSSSAQATRPLRVLPLLTLLTTKLYPLLFSRPADSLEQSTT NPGEYMIIDNTPLTNQFISVPKDMSQLSCAAFVAGIIEGVCDGAGFESRVSSHNHATD VWPNRTVFLVKFEDHVLERERELERAGIK EPUS_07488 MGEANIEDVVRRLSDTRSSARKEALDELKFVLQQNKGTSRIDWL GDEGFHQIYEALFQSIRIERSAYISSSKSSKSSNAANRLRDCAHVFRLAVDIGVRKIT SKTANAVIDNVVETLPVTPDSYCDPLGDDYFKILRAVLNHAAHVEHLRDKKWRTLTDF LLHGISHFASEDNSPSSGPNVSMLSQHSRNGRVTSFRVSQSSVSHTARYESGRPVEDL LFCLDRLTAATNAPILLRAAAISESVINFLDSASAGGSLQQIAFSCMNNVMARIITED TKLAQTICLETIPIIRRFWSSKNILLRDEMLITLVLSSDIIKAVPNTKPNENVYSSLN NLLEVISAEYSRRNERDLLQLDEILFSEDETQQVMHLEKFRVRAEQTRGTFNWATVGL ISFLTLTVDQLSRVLQKSRTDDTPSKRRKLTSGVDDVFHQSLMASTLTKIRALQTVSF LLNDCIAVADKFLNFLVQFTNQILDEDPTTAAWTMIAISRRSALKPEMKAQWLQIWHL AARNLSNPNVSRPAAHLLNSILRSNLLQYTDTSTLIDTTVFSDGLNGPVGISDAALSL WYTIIEYQSAGGQLAPQQTIARFTNWLSTYYTLTPNIDRATSKQIALHATPRAVLELL LLCTNVEVHFHCVDRQVVSSSVFTVAFELSRNAGLSEYLIGGQVLQRAKVKTSSDAYE FNEKNRAARDTTNDRGVLEMLLVKLQNFREAWIALAKERASNVSADIVQIMVSLIIVG AVLPRLLGIERSHTCKELKHSVHQAWALLSSFIQRSEDVGSVNAGVAAAAVTAIRYTK DLTVGDPVLESLSFMLLPLLIQLRHTTETAEGCEKDDLNIVDIADSFASHSSQATSDQ ILPYVNRKDLPFATERHYFQRDMMLQLRINLAAVEAASLSEGDALPEVLDIIIDLKPE DLLTSRSSLMMFLESSSLSNSDACRLLKKIAEACIQQYEFERCEASLCLCLEVLTVIA ELWVNEGGDELHDVASDMYRWFISVPLGKGLASTRVLICLAKLLQRVLNLNLTYNNAS LPSSRTSLFEILRSGNNIVKFHVAKNISHIFERFILTEHTAILHDVVEGLPNDSTDLD GIALRLYVLAELAARWATLLRPSVYHLFETSAHIPLSVPYAQGCLHKISSTLGLESPR ALFDLFAPQLLYTWLETESLESIPFSIYGFQTLTELITDVQDEAVGQIVMRANKDLAS RLSEIMQAPFDTMLEASFPRAEAYSVARDISMPPSKDNASKSTESQVRKQLGTDKYLR LVSLSFPQIVANLFMTLSDEHEMERALTKRSELGQALTRLQSMYRLSASKIILPPGQQ PSFRAKYLLDELEFLCQRVGKDLSKMWTAPLVVHVCRNLFDAVIPALGSLHACSMLRK IRILVALAGQEALEGYAMEMLLQSLQPYLTKFYCAEDALGIFWYLLDEGRDYLRRNLS FLCETGLTTILSLSVFLSSPQDSTTQESHYLSTLSITQSFHKWFVQFLSSFPDKTHAA DDANVFKTIITYAGDVRPPGRADKDSAEGNLLLQLFEARTSGRKMLSPTAFERAVRIL CKRFTRPAKPEEDILGQDSVAIRHAKVLYGLFGEIDVADEFWTWCAEALGRAYAANGK VDSTLTREQPQELFDSSTFGSASSRISRSLVVDRLINLLRSDDYTAASLAEKTLQQVI THAAQQATLDDYEDCFDLGLIRALNWHPSPLPDMKKSKMDTFTTDEHATLNTAISLAN WASQFMVSLISAVEQDCLLGALPPILSQIPSLAIRVMPFVVHAVLAADNRHRRPHRQL VSQAFLDVLLNNSPSVANQRRLVLTTLLYLRCQPLRRETTIADRSSWLDIDLATAASA ATSCALYKSALLLLELHASQHASQSSRTSRRSLSAKANESPDLMRQIYQNLDDPDFFY GMQEEASLSSIIRKLSHEGDDFKNLSFQSALFDSDIKVHDNDDHSGLLRALVSANMNG VARAVQTQLSPDVGQRSVAMQNVSETALNLHQWELPASSTAANPSTVLFQVLRTANIS LNFTEIPRRLDAALLTLGKSIAQDHNTGNDLRQSMSALAALTEIKEALCLRGSDELAA YWQKLEKREEWHETENFGNISLILAGREAFFSTVKKQNYLAATMRLDPVDCHIFEVKC IRHSLKLARSHAALQPALNRAMYLSILVNESATLGLAIGDVALHDFAGVLWDQGDMMA AIQMLKQLKGNSEGSKQAIIVSRPALLADMGHRIADARLERPDEIITNYLLPAIKDLR GKGEGREAGRVYHEFAFFCDQQLQSADGLDEFSRVQKIREQKEAEVKDLERMVDKAQG KQKEQLTVHYVKAKQWYRLDDAEYQRLKRTRESLLQQSLENYLLSLRACDDFVNDVLR FCAMWLDNSGNPAKDVAVGKYLPEVASRKFVPLMNQLSSRLLDSLDEFQEHLFHLLLR ICTDHPFHGMYQIFASSKSKGGKDDLALSRHAAANRLSEELRKGPASNIWVAIHNSNI SFIRFALDHLPDTKEHKSGSKVPLAEIPSGQKLVQEILNLTTKIPPPTLKIPIRPDCD YGSVPVLKQFEPDFTVAGGVSVPKVITILASDGSRMKALLKGGNDDLRQDSIMEQVFE QVSNLLRDHRETRQRQLGIRTYKVLPLTMNAGIIEFVSNTIPLHDYSLPAHQKYFPND WKATKCRVEVGNAQSRSVSTRIQVFRNVCSNFQPVLRHFFFERFLNPDDWFAKRLAYS RSTAAISILGHVLGLGDRHGHNILLDEQTGEVVHIDLGVAFEAGRVLPVPEVVPFRLT RDIVDGMGLSGVEGVFRRCCNFTLEALRQDQYSIMTILDVLRYDPLYSWSISPLRLKR MQEKTKAPEGERRDDGAGEGMTALANKKAVNNPSEADRALTVVSRKLGKTLSVEASVN ELIQQAVDERNLAVLWGGWAAYA EPUS_07489 MESLERLQGLYQDLVAFSETRLANIERLWLELEASIEDFRQLLD KPLKSNASRESLMTGKLKINDEEYAINEAFQQTALQIADALDIDELEAAKLLLEVQAE SQLQGQASVLVVITNYHTRRELLVHGLRLVLRESQEHDDDGGQQSRMQDVVLHILDIK DGPPTNGSAYTRKCISAMNDIENWQIRVTEQAQKATVLGSSQGPEVLAILDSQRSSLS KQHEGLGSCLCYLFKGNYTIGEDLRKLHEVPKRFDRIDVGLIHYLPAFYAAFVQYGSV ERASTFEEAQSLNKAIIAGDLRSVHASLQPFQAVLWLWWVCEYSSWHRESGIKAAQRS LDHEVEERADLARAALNDGALHLLLSICTSMTNDEWHHPARQELVTLLLSDGNYSALE GELASTVFQNIFMECLESFSESWIANMPDSIRQLKNDEDDRRLQHITAMQEGIGPHLQ RDFDVPLHLECFLVLISFAFEHRTEAAEAFWADPDGHLFGFLHWASKRQTVPRASAFC EMLCSISEGQDCAAYGHRFLLDESASSGRSRKSPSMNYAQMFAELELYASKVNERSSS AQSVPNLRKILPTDMNELESPVMLSCYLRLISHMCRQSSEARRFILDHPSFNLVQTVL ILCSGPVPSYLRASIFSTLQSILVARSTAEGHWLWSILDQWAANGVSALTSNHAKSSP SMTSPTQSLRRTLEAIATSFDQYSAFTVLLRILIAPSVDQALQNPLPFPDDLGATYRM PGIEPYVDFVCGTLFAKKLLDLPDEAQIKVFRFNCLDFIAACLETFRESLVTTANQSR LMNGADGFVVDYAQRHPFSRVMEWLFNEEVVRGLTGAMHLHFDGIESLPPDSIEIISL QRCLDIFNMAFDLQPTYLEIVRPLVRKSGRYTPVVASAISSLEDIIAGHPNIVSEVAQ YAGTSHDQIVLRSLALLEKLSCSTKLNAPVVTTSGKMSKSRKIVDMLGNEDNSDLVSM ALAARMQVDVRELENGSDSTGYTIKDGVLEFLNTCLATQSELPGVAHLFLGFTRVGDS LVIRPGSPMDDGRSLCNAIIDIAENFPDGEDNTFVAWLIHIKTSALQILRQLWTSPLS SAVLVTELRRIHFLPSQFAKQTLISPNTPWNGRFLSDPAFWLEDSADALAEFLGYRNF LYDYAVTETRAAMHTKSTHLRAQILLTLQGTSVDPISGTTIAHASIFDLFDFTNIDIG RQPDLPELRALTLPDLSSCITASTDESTVVYDLQAVDDLVQLSFTPSSQEGQARSSNE EEQMKVEAAILLDFVKASNQWTLVRMARRQALRMWVEVVIVTVQCWPTDSAAQIQFAL NAMQLITPKLDTYLIDEPEETSELLRLADVLVASIASTPSSSMHGRMSTVAIDRQLQV FRICVGSISAPGKTPTLRGLFYGICAQYLNQVKTLSKNDSKARRYCADCIKAAGVPLI NTLSDDAENGQDNCRLPALVLLALFTDLTRQEKSAFVVDTLVNINMLEVLLDGVKLIA EDLLEADIKDRASVIKVLQAKLALLLEISRSRNGAGQLLDAGLLQAIRDSRLCQADPD LGFDVDTSMALQIYYELLSSVLRLLVSVFLSRGPQNQQSQYQMRNFLTENRLNMVGAF KRYRGIGGEVAPGSRAVLENVVKSYVALMSMADFIQFEESDHDKGPVRHGFS EPUS_07490 MQPSRKPVKRRKLTDNRHSDTHRQKSLSDLFRHQNCHADHPEND SRSTVANQALLSQPDQQQKLSPPSSSSLEMYNFPTTKPHMNGVIDLTGSPPSSSSYPR KASLSASSRPTAFTPHHGAKRLVVKNLRTTPKTDPEQYFQNVWDQLDTALATIFGDQN AKKSLEELYKGAENLCRQGKSPDLYTRLRARCESHLAGKIREGLAGKALQLSNVDLLS SYLEAWSTWSGRLVTIRSIFFYLDQTYLLRNPENPGIIEMGYILFKTRVFQDENLKGK IMQGVLEVIDLDRKSRGDERSSILLKKSIAMFHDLGIYFSDFEPSFSKLSETFFDKWA AEKTGNGDLSSYANDSHALLAREMTRCDLYSFDRSTRAGLAQQFDHLVVEMHHDFLVD EDSVLKLLEDNDATSLERVYYLLERIQKGNDLGPVFDQYIREEGCAIVFDEKRESEMV VRLLDFKKKLDHFHRYSFHGNETIGNGLHKSFEYFINKTKKTQANWDTDNAKPGEMIA KHVDQLLKGGVKAIPKLAPATKDTLGPVEDDYDDEKGVDEDAEINHHLSLALDLFRFV HGKAVFEAFYKKDLARRLLMGRSASNDAERNMLGRLKTECGAGFTHNLESMFKDMDLA RDEMVSYNQLQNDRGTKGSGPDLTVNVLSSAAWPSYPDVSVTIPPAISKLISNFESYY HQKHSGRKLHWKHALAHCQLKAYYPKGIRKEIVVSGFQAIVLLLFNDLAENATLSYTD IQSATSLPTAELDRTLQSLACAKYRVLTKHPKGRDVNPTDTFSYNAHFTDAKTRIKIN QIQLKETKEENRETHVRVAADRHYETQAAIVRIMKSRKTIAHAELVAEVIKATRSRGV LEPQDIKKNIDKLMEKDYMERTEGNNYAYVA EPUS_07491 MDDVVEARTKTKRRRLNKDDPAHPILSASDLHHLLTTEQSSQSD MKIAVKQFEEFLNAIRTSEHNEDRAKKLQILKIYSEEQVPSNQQVKIFPDIFSIWFSA LETNNESMLSSLPSVLALYINTISSHLQFRAIGLSLCKCFLLEAKYRRLIDRGLTATK TKEHLISPCLRLLTEIVSFDGGEVATLLHSKQDTTFRRLEVFLDQRSLHHDGTEDARQ KPTLRHIAQGYLLANLKFQSASAKADIIAQGKILRSCLQGLRNDPADIICDTLNVLEN EIVRSPTLTRGIKSRLFNSSNLSSLANLYSVQDAVNEKAPGRSVREQVDVLLRLVCTQ QELGILLPQNGWYPLGSNPDRNLAIATDPDKIDVTVAPFPGSNHKDRFAVRNGVLSTF ILSLRPERDKLQASLLLDIFRAAPELVADYFSKKSNFIAEPKDTSEWLGQSAFLFSVI QLPVPAYCGSQDIYPSSPPPSSVVVESILPRPLDRTNTTRSLNLNHEVITLFAVRAVT VAFQKLRRVLQIYHAASIHIAAWKQSASDLLSAFSRRCPLAKDVVSTFQRTSKSDEQL RGCIIELLANYYQVLPHLVLLEKFDTSMILIDAIKRVADDVHDQSLRSSRFSELEYLL KIAQMSPDTRWWQQPDSLRYSAFISILEAVLQADDHSIHAISSIKHLLGRIATEDGLV RDMKTFDSLMISLTAGQDWRASHKTFLFFDNCACRIARQPVHYEDLAAEMKGSGSAIC LLPFCVLEQWPFVTENEDLDDQKNIAEWISRLLALLIHAGEDRDVMQQIHSQILGSAK DRALRKILTKAYQMMTKERVVELQPELIGEAADVSDTLSDNGASTARVAPMVTFEPLP SRLESMEGLERLNREDIEEVVANGRLGQLCRTLSSGVEETRRQGFMTLQGVIKQIELS SYSEKGPLFVLMGEFAETAKLAGLSTPFASIFTEFAAEAVEILSLPSHFMYGKINKLL QKGPAWNLSKFATYWTEKILLCEPEDDNGHLPETTWLLNLLLRGLRDDQDMEVYRRSK IFERVLAFCGSKSCSDTHRKQTLELIHRCIKLGGGLTLITRLGIENCAPQEQKQRGLA PSRGRLDDHTNQSEQSQRRPSLIDSHHITKRSSTAPEDIILGPPKTAFASANSRNAPR SSGADTSEEIPASTKRFSFHERLPRDRDIVDGEKKEGKYNGANNRRQARDDREDWQSS RQQRHYDQEEGDRGSRRNGDRDRPRWEKQSKDKDSEGGADTKRLSRDAGRREGRGRFE QPWFRGDKVQEGADEATKALSKQNEWRREKGSGRGSEWDRPSKAEQDPEWMDATGSNE PRPAHTQEEFQRWKEGMKAAAEGRDKIPERQEMIPQPEIKKPEPPPMPAFPEIADVES GMDKFFASYGERKTSSEQKPAEVKAHRKPRFAALFSPQPEDGLKDASLTNSAEMTRTS MMPTPEVAAAPVDANKADQEHFQRVLQMLAGRSSNNTPQSGAGPKPSKHTANPERPQR APEEQQSILADILDERNQAKAQGILPEDRVSTGKSELLSPKPNETQPRVPREPTPNRD ADLLLRLMQQSRIAQDSEPSQVPKPEASRSTTESLPLPGSISRQLPVDRTNNNPPTFF DDPAISQMHRPDQAAPLPRRESQQRRPTNGLTPAFFDEPFFNNLRQVNQQAMANADSG TTQPRAPTLPPGMQRPPGFEHIPAPLPGWQNPVARAMNSAYAPGPPLMQPPPHQQFPP QQRQRKYTGDGFGPGWPLGTVPPPPGFMGAPPPGPPPGFPNMPPLGSGVRGVVVGPAG QPFGEGGNGMLPRHVMEMLASGQRGDGREGGGGGGGGMPGAHYR EPUS_07492 MVMSPPGSPDGVSKQRPQSLMYRPEKSKEGGSGASDEEEKTSVK VVVRIRPPLKPGDPGFELIPQRFQRSMVQSTTPTSLGVESPQGRKLFVFDHVFGEEVN QRGIWSYLQDSVTSFVRGYNVSILAYGQSGSGKSYTMGTSGPSEQNDPHVMGVIPRAA SHLFEVLNSIAPSSRQNSGLKIPTRYSLASMNGAQQNFAKADSDKKWQMTATYVEIYN EQLRDLLLPDSTPLHERATVTIREDPRGRIILTGLYQVEINSVDELLSALNHGSAIRQ TDATAINAQSSRSHAVFSLNLIQRKPRAAITSSKEKRMSMPTEAMVNGEPSVTVDSKL HFVDLAGSERLKNTGATGERAREGISINAGLASLGKVISQLSSRQAGSHVSYRDSKLT RLLQDSLGGNAITYMIACVTPAEFHLSETLNTVQYAQRARAIQIKPRIQQVNDDSDKQ AVIDRLRAEVAFLRQQIHSSEGSERRNANPQERMVRPSDREVELQNQLLDVQEGYTAL SQRHAKVISELAKSGDPSEDPTTNHIPGESAVDRLKRSRANQEQIEQMVLEYEKTIQI LESNLSNTRASLANTESNLLEKETKCAFTDTINQQLHSRVQKLMHRETNTETYLRDLE ARLDGQSSGEEKNGAIIVELHKEIARIRESESNAEDYISTLEERLAEADQDMEILQRE VERLEHVVDRQRSLGKLDHLLYELDHVQKNNRRIAAVEPLPTIARSSSYGLISKHSLD TLKEAEETALPEESDDDLLVSPEVEADASASVQGDDLASLARATACSRCMVQPSPAQA KHMAEKFENVTSELFDLRLEHENTLNEFDLLSAKYQEALRALAEMQDGVEEARHPPIA SVASPQPMSRSTSFVGEVKSIEPETSKPLPSSRSPSSELSLAEETSSVDAADTTQSSQ ELHPRDDVTSPDVDKLQRMLAEHEHGMDLVTQQYAQLQAEHQQTLATVQALKAEIQKN KIHPPPSPGHISPVIRLGTSQNIVVTDRAYRALASLKSLVSEEFEGKPNRMESAELHL NAASHELQSRLERIQSLEAEMKTVKREMEAKTTIISGLTRERNSLKGSSPVDLSMVSQ MRDQLIQNENEIRSLHESRASREEELLAEIRSLKHLNENGPAYPQPVKVGAGDDSMKY SELQKEISEWQAKHKAAVDFAQASEKKLLSTTAELEASMASLEAIRAEHGGTSQDAFK RAAAAKVMQVERERHTELIDSLKHDIENYKSSAARLEQQNSSMRQLITQHEKELYQKD QQLVSRSEQITHLQKEAAHHKAAVEAYKQGFKSLHESHDIKVEEMKLSHAGQMAEMDD QREELVQNHEQAVKLLNTQLEKSRTDLQSLLSRAGSVLGHATSVDMLHSHIQDLMEEK SHATEIQARLTRVNAELEKQLEEQRDQNPKLHRSSESTADYKARIRSLAEEIANHEES LREKDGIIRKRDAMIESITIEKQNNARIIEELEQQIETSFDHHHNRLSVIQQQGNQAL VEAQARIVALEKELDAQREGGNDVDPTSRTNTMKSAHRPQSPLPADSQNRSNSMTSNL RKSASVASLPSPPPAIPLPPLPALPALPSIAAVNNLPTSNASTSPPPSRHASKEIPAN GSQQIQLIEDQEARIRTIEKHLNAEKQLTATLEEALVDLETQSNKLRAEMDGWKKKAW SAEEEMQSLRREKKHARESIQAVEMERDKRREAEAARAQLEERMNQLSTRKKKKNALN CF EPUS_07493 MATSKPRTDSDDQPHIGRLDFDANRATVQSNASQHAGRSLLDED VDFMSEVAEGILERDRQRMRREVIRTLSLVCAVLSCLCAGSITSYSLYAPRFLTQLRY TQLQVNAISIAAELGLYLFVPLFGYLCDRYSPAPLSLIASFLFGGGYLLAAYTYRAGP PPSSGAPEAHGLPFPLMVLAFTAVGAGTACMYLSGVATCAKNFSRGKYRGLILALPIA AFGLSGMWQSQVGAHLLYERLPDGQKGDVDVFRYFLFLGILLIGVGLLGALCLRIVDE EELIDRGVEELERSGLLQDSELFSAQNGRGHHNYGTLNQDDSDDGSDVEADLSESMVL KKQQEEARLRRKKSWLLNHATSSFLSDRTMWLLAAGFFLVTGPGEAYINNVGTIIPSL TPRTWLGPPPAGHPSSHVSIIALTSTIARILTGTISDMFAPQPNNNNNTNNNHQDTEP NSTAPPPPLRTPTSLSRLTLLLPSAILLCIGFLTLSLPFLVPSNPALLPLSSALLGLG YGACFSLVPIIISVVWDVENFATNWGIVAVMPAPGAALWGIVYSVEYGGHAVRDGDGD GGGGGGQCFGRACFEGWAWGCAASVSRWRWCFGSGLGGVGGGGRCLFSWA EPUS_09491 MASSSVSRFLDAGIPSDMEMGHLFHPSKIRVDKLSLRRLAPRID QILFNNVQDLKEGLANSGDEVLSFIFLRQQDTWDYIQLSDQAFVYLMRTCQVFTPFWD LVRGFGIKFESKDENIVGLKSKLSAGRSELCYNVRYFEKHNRPGTKAIWSERQVALYH TFDLDDQSSLWIIIQPSKSMELKLQSCVHDIKDFDLLPSDHLDIHALFVSVLASNWRS YINYLEDQLSEVDEKALNSSVGTPCRLDYTVTFRDVQDLELIRRKLLKAALILASNAD VGRSLNAHIGKVAQALQKSEIPSSHEVLDQFAADLEMHAKVVTLLLEKVRGTKHRHEE ISLTTSVAIRNDGSQIRKLTEQATTASNLMTGLTRKMRNDSKFMKIITFLALLYAPAS LAAVR EPUS_09492 MADIAVLLGTLELENFEHKSFVPFNKLEALFTRDKIHELVRQHD LKFYLIDEIVDRVLDGGLRTFAILAAVQEIRSIERFIREDQFSEVSLDAKLPLKETDI SQYFSNPEKGRLFLRRQWTFLAPVFSENRSRRELNDRIILPFLHKNPIGEGGFAKVYK VTVDASHHTLSTSTNSSGLNVLGNAQQQNVPSLPLDLICKQLERPDDEGTLAEEFEHE VSILLALRCLQHPNIISLVTAFSKGTTYSFLLPAADGDLRKLLNTSHRLPGFRTETEI FGSLWGLSSALDAVHNYFFSQFNVRQVGCHYDIKPDNILLSNGKLMLSDFGLSRLRRP EDGSQTTFQTGNPLYLAPECESISEGFKHERIGRASDIWSLGCVLAEILAYLSVEPAS GPETVRNFVRDRRLKIGPFISSMFYGENEVNPAVQKFLENCKTDQTLSNGLKSLARIV GRILQFDPSQRPSAAHITRLLFHLTQQSRIATITSTFDKGFRPLELELEIEMERLSIW SETIGLCADLLDVPESTWFAMNHTFEEYENLQQLLIKIEEETGMIATELQKTASGRPA FQLYYRLQKLQDQLWDSQTLAVRHLMFDRLEEIMLSKDSLAKPREAFGSIQHAVVSSY SSSTEQFRQRFVYLATMRNVASAMLRQDRQTQGLKLDRKVIKGPWFDLGPHAVGILEP NCVHVLIEYLTYGETWSSHEDKLLERVNAIASLRKKSVSESIFPILRCHGYYCDPTRF RLGIVYQLPTEARNTVPINLLTVFEKTKSRSLQPSLTHRYRLASALVSCVLNFHRGGW LHRSISAFNIICFPDAFPNVAASLAKPYFIGFNHSRVNDDNEYSSRTDMEYQHPVYQS NTRAYADDTMRGIVRFRQEFDYYSIGLVLMEIAFWRPLSSITQRIVGSPEQMLAELQE KFIPLVRTYMGDIYGDAVQYCLTAYEEGHQPLESVRNGFNENVVLLISKCFV EPUS_09256 MVLLPRFHLAEIEDQSWCPSWLREHSHLALAQMWRSNASEKGPP AAQACDLLMENLPDTSSFTFVDACAGAGGPTPVLEARLNEKLLAQGKGPVKFILTDLY PHLSAWEKIVKRSENISYIEEPVDATAAKKLTGPNEKECRIFNLCYHHFDDPLAGKVL RSAVESADAFVIHEMTYRNFSAFMNTSIVILSPFITTMLWFWNSPMHLFFTYLIPLVP LFYAIDGYVSCIRTRTPKEIDDLLLREPGLDLSEWEFKSGERLVLPPFGYLYWYVGVK KTKRTSQALVEHSS EPUS_09257 MSPLQHCLLYEEDYIQGKSALISMANQARFLARVEVILEDLFIL AKQGSDEIETLQVHLLEAAGVKGLLEFVAQIVPKKIMNLLGSEDPINVYDLIALESDD SCKVDQSCGVYLVAGRNPAQGKPVVYNGMCNSLDGGLIRRSKCGRHTCTSCCHQLYNH SKILCGTVLTQKCSNGHNQSWQCHTGAPPVCSKCERDRKEALNRAQRTHQERLKREEK LQRHLKEVAKLDEEMEQINQSMKDARLDSEQMAILAQKRMDLAAPKQRANRTQDPRQE EPLGVYNDDHPNPGDLRLRKAAQPSPGPAISNQGQHSKLREHIETAVGHNKSPSQREW QRQKDQENAHNPATDKIMEMIGLEHVKAQVLKIKAKVETTIRLGTDLSKERLGLVLLG KTTFARYYARVLTTMKVLVGAGFIETTGSHLAHGVVTEVKNHLVQLEKLEGGVYFIDE AYQLAEGHNHGGKTVLDYLLANIENLTGKIVFIFAGYRKEIEKFFEHNPGLESRIPYP LRFEDYTDHEFLTMLQFQMKQYYKSGIDIEDGINGLYVRIATGRLGRGRSRDGFGNAR ALENMFARIRERQSDRLTRQRREGLTPDDYHITKEDLIGPDPLQAILTSDAWTQLQQL TGLKSVKDSVSFMIDLIKTNYERELNEKELVELYAKILADIRMLSNGEVVVKNPSDFI GNVIGQSESNTKAILATTVGKVLIIDEAYMLYPGSSSGGESSTDIFKIAVIDTIVAEV QSVPGEDRCLILIDYEPQMVDMFQNVNPGLTRRFQLSDDFRFEDSSDSELLEILQLKL KRQGLGATQQALSTAIDVLSRLRNGLNLAMVVGGA EPUS_09258 MPTADELLSKDHPFFRNADFIDDPKTASSRNGIPIDNQFRLLRE DTIGRIRDELKILTGKKPGHHKGIIIDGLSVTGVEMGTDRKRLPLGIVLKCKGELPHL KNIYLKKRQQFLQDSRHILRQGNMACLVIDGEPAAFPTIHRDEEGLAKTAAAITIQFA DDSTLSYSLSKMKTAENVKLVQLDSAIFAFDPFLGRLQEMNGLPLEDELVYWEAGKDI EGPSFQATKVVRGL EPUS_09259 MSNPDNSLQLLAPCRAEIQGDGWLAALHVKCAAKSLSKHISRTD LILSSPLRHGEQYLNCLHLQLSFEASTPTAKCLLIVVHTEVSIRFEEEDPGRGATNPS RARPPQTLPPPKPLGPTIDRIDIKTLSTEEDAPKIKDVEYVASYNWTSGKSPVILVPG SPPAWTPPVTDTQLKRDSEDVFRDINAARYASYPLEPTFRSIPFRFDVDVVGDTVLFV RKESSLTEVITNLQGYGHTFPEAYTTWDSEVAGSCSHQRIIHYEFGGLKFLVRTETDG YVKEAGEKASSSVEKSTSQPSLDDAFGTMTVTSTEVRQGQQLQLKTQGTRTARFLIAY HQFGLFDKPKVKDISQEVIQWEKDNSTLLARFHALIDRIVKVVRDCDQQQCEVSWDGQ GPLCVTKQIGEVRRALPPDLLHVLEAP EPUS_09260 MRNSISTHTYLAASVLTLVLCVHAEATKGASSRALLTPEQIGEG LEQCSVVQKLNAHKAATSPQTASVTARIFSVLFPSASPAINALLATAYISGPPNFLLA LCPPNIDPASLTIMVAFAVGGLLGDTLFHLLPEIFLGEDHPDKVQFVLLEPNRNLLLG VGIMAGFVAFVAMDKSLRIATGEGGHSHSHNYSDAKDQVTTSTSSGSSTGKAANGELK KRKPDADSNSAPSMQPSSTPRNPSVKLAGLLNLLADFTHNITDGLALSSSFYASPTLG ATTCVAVFFHEIPHEVGDFALLIQSGFSKRRAMAAQFLTAVGAFLGTFIGIFVNEYGG GGEKDGTGIGGGIWGTSLSWGDMLLPFTAGTFLYVGTVAVIPELLEVTGRTKSEELRK TGLQFLAMAVGAGIMLGISWS EPUS_09261 MTGVGEASAILTVAEAGFSLASKLKDLLDDYKSATNVIQSLRQE LQTTSSSLENLGKLAEQNGLQNQNGVSDTRALTARCQKTISEIENLLRLESAPLSSAL DSPGREMTRSDRMKWAFSKPKVKVLRAELAAVKLDIMLLYFTLMTFIAHTKSDQQHFK NKIPAVRKELQWANAKYEEMLSWAKRAEEKASKSTRRKEPFRKPSFRESDKRGMEGYL AYLTQRDAARRERRRQEALAFRQQQEEQKRKNDEEKERKIRDAAVLEYKSQKKEEEKK AEEARQQSEDLLQKLLTKAGVEEDKVGLILKDLASTAHPPIVSLKKAEPLIQSQPETD NHPIPAAVGIRGSVLSRLFRPRTDIPSDQNTKSVFLEPVRSGANHKQVAEKPTVLESW IIKQSSDTVFPVPISEELLSQLLGAQRGSERGPSLLRRYALLEAFYQRAITNLCVGRD KRPGVYWELIYLNELGERKRTGLWRRVKEIACVHIVVRLEQAQSEEMMKETITAGQGT SKAGNGPTTSHEQMTDPSSEVPPLNSTKPLIEHVPEHMAGSDPDLPHKPKHENVRESV QRKPRHYSGRKSAFGGPLLADIVERIIVDSSGVKGMKKVTTEVFKDAIDTRVVHESGY NFIEEDGTILIPEALNESELNKMYEWSKSIRRMDSQASGWDHVKYGDNRAVRSPHITW DIPYSRSANTDDLAYQYRPRNENQVTFNEPVKRTLSQPGGTGFIVRRSGSWDDPSSRY SLAAKSPYYDSTGKELVKYKSPNTGVKDFARNDERARSLRRQQDFEFAQNSSSMGYPT RGGNDSRGIILPNERYESPEEYTDPDWDRLAYQPRSTRRGTRSLANSAKRHYENSTQD DGPNTGHARSRHRGRLNESAHETHRPRSRERRRPMEYSLAENMRTGHDHSHMRARYNR TEVSAEREDDLSDSSAYDSTSDPGEREREDITLRFRKRSDTLESDTESTDNEDVMSPD KIIRSQDTMFDKGRIGIPVARFDSVIQLTDSEIIEQQLARYRDNQSAEATVDELAGTT AGDSLHNLDGAVLPTQFKAASVEDISAAVLPGPDILPGHIGDSAV EPUS_09171 MTSSPPFPSRREKGPLMKWVSSVASAVFRKKIWREKVASTAAAV GADLADQSSPSPSPAHPLFSSASASASASHLPSFSPSADFRHQPKISPSPSFTGLKTE ACTKDLSRASSTEDLEDSKSSGLVAESSPSFAVIDADERKQVLNTLETEAVGVESFSN EARARKEPPPESSSTWANMAAFRGSAVVAARPNMTSTSSKIPAANRNDFPIVDYSGRP TAHSPSTPQGARQASPRSLPQFSQPEEGIYRDTSIPYQVHRKGPVSKISRW EPUS_09172 MESNAIEALCRRCRSFGLDKYVEQGPPERSGRIVATVTPDSAND GCALCVLITDLLEEDPTGSGRLEHDNSTQVNIDRNAQSASAVVRLHVYFESDLGPNMV YLNIFSTGPRKSQRLFLLQKSLAKSAGTTSESGQDVDADSPDLVYVQQWLDKCRDSHA LVASRFTPLQTRPGAPLRVIDCRARTVRLAELYEPYICLSYVWGKYSPSSTFDGAALP NDLPQTIEDAIFVAVKLGMPQLWVDQYCINQEDLEEKMKTIQDMNLIYGGAELTIVAA SGEDASGGLPGIRGTPRQIRRLIKSGDKTFWMSKDISMSFRSSRWNTRGWTYQEGLLS RRRLVFIDARLYCQCDEGYYVEALNSGVEDISEFLGPSIRLFPPGAILTIPDFLYDRL RNYFPRNLSYGLDSLNAFQGVLNAFKSNDQGRECPVKHFHGIPFKYSQCSPHISMKSF LSGLAWRIDHAVTQQCAQSKEESSADFPSWTWASYKASHPNAMERLVLDYFPHSLYYQ KDIDVCISDQNAERVGIQEFVLRSGPEGDTTNYFPRIYITSWTINSCISGGSGERLTF LGLKGKEELVHLDEISVPWDGKEAVAVYLGGISLRRKHIAEGGIPLEHLRFVFMLVRE AGPETYSRIGLSLRNVGVSGPWSIPAAKQKTEPGDPHNNSGSDDKLPTPSQAYRTPAP PEPVQNDHPKPLQDEATKPLHKMRFKNSQRISTKKPQKHSCSQTQDADPLLQLLPDTL QLLPSLLKTRPACLLKSSPDDVSKTPKKWTGIGSLETKMTPWSIYANLDLGTNSLPED RSEISVSVVFLVAFNGVTLLEFPVNAEKHSTPTFQFTLKFSVKLVKKDEDDDHLPRCI KTFEYSKYEAFYSSADLVPPEAQVGKSIRDQLIVMDWYSECAELSGSQSPASFREAHK RSGKYPDSVFVALDIMESIFRQGGHVRLIYDVDHDFSDIIEDIAMPDIKNKNPAKIKH LLRALDDLGRSIDYFALDLCFTELQSSLNTLCAEGFNHVHCHGLLGTYDDGRAWMQLP ENFQRSKCLVSPGSLIGNFTPHEAVEFLSVYVETLRYRGPPSERHQVQPESLFIIGLD SCKTAETVHRAYNDLGGFNARFNMNSLEHANQVLGYKAFRTEEWTVRGEWDDRTRCFN QSLILVIDVMFEGICLKAGEKVHVSHSYKYDAIQKVQLWRQAGLKELAGWRCKDSYYG KTPSILSACSVVPGK EPUS_09173 MSSTKETTPSITEQDDRWTAVNHFQFPHLFPPSRPYHAAIEHAF KNSIDKGLEDISVAPSQGKFLAIQCQIIGAKHVLEIGTLGAYSTIWMASVSPDIRITT IEIDPHIAEIARENISFAGLDDRIEVFVGAALDVLPQLDAEIEQGKREKYDFSFIDAD KENALPYFKWVVEHTVKKGVIYIDNIVRKGLLANAELAKTDPIVQGIRMAVEGVGKDD RVDAVVLQTVGEKNYDGFLMAMVM EPUS_05668 MTAAEHSKQELKEQEKNVQAAEHSERELKEQEKNVQIASSQPDP SFVQLTTPLTPSWPSLYSIQLAARTPERPQSRPRRSPSPEDSPELVRPEEGKRKRSGA VWKGKENETGRNDGLRPAPVLRPKYSMAEYKEYLKWQSKKNALKEDALEKRELRRLQK SNGQAPPLWSTMPEFAGMTRAAIRKEGASTESSSSIQRQDTREEEEKEEEDEE EPUS_05669 MSGLEVLGGISAVIAIIDGSVKIWESARKDLKFSETFETVGDRL PILRDILQTCHEHFEPIKKSLPADTAQGLVKTVNNCKRKAEKLGTIFQETIPGEDD EPUS_05670 MHPSPVEAYQVGVMCALPKEMTAARAMLDEEHEPLKITDAQDTN SYVLGRVHEHNVVIACLPTGVYGTNAAATVASNMLRTFPEIRFGLMVGIGGGIPNLAK EIDIRLGDVVVSQPDGTYGGVVQYDLGKNLGKEKFERKGSLDKPPTVLLTALASIQSR PGTCRRRVLENVSAMIQKPDLADENYIFPGVDQDHLYCTWCDRSQWPLWWWMIWLVLF SLSPLWLCNVCDNGKIPRSPGRRDPQIRYGIIASGNLLIKNAAERDRLAFAKELLSTV SPTAVHVTRHATDAMNHRTHELLGEGIQLQKQAIEVQREHSHKSEVRHLTEREQHCHQ AFKTSTYERYKNINPKRVSGTCRWLLNHSQFRAWQQSRYHDLLWISADPGCGKSVLAK SLVDHEFGDADQHSVCYFFFKDNERQNNLNTALCAVLHQLFDHQPSLLRHALPAWDKV QDKIQQESEEMWRILLAAAADPSAGPIVCVLDALDECQDKDRQQLIAKLCDFYQRSSP ALSGGRLKFLVTSRPYDNVQRWFEENTSHLPQIRLRGEDKNDQIHEEINLVMDRQIDS LATEFRLSGNHQERLRQSLRQMEHRTYLWLYLAMEDIRTTYRDSPDPEEEPTNTLPIS VESAYERILERITEQQKSQARKILLIIVGVRRPLTISEMSLALNAASAHELGQSYIKK PNVQHLERHIRQWCGLFVFIKHSQLFLIHQTAKEFLVAHGSNFSSMSGCWKSTFSQTE IEGEMARLCVTYLCLRQQDRRPSDERRQGYKRADTRVPVVPRSTWEGQNKFFEYCAEH WTSHLREDVVTKDRKVLDRVLLLYATDTDQFHAWFPIMWKALYPYQRTAEVQIQHVVS MSDHAFVLNEIFHRAKFELEARDSTGRTALHWAAERGHEKVVEMLLAKHAEVNAQGGY YGNARFKILINYYY EPUS_05671 MSSRVIDWMAPEQMTSKQLYWFVVSRVPSAFAYTSAAGDRHEVE ENRLPYDRREYCEVRVRGVVWDHVASWCVQLDTHEAVGEPGMMEFSVDFASGVTVEMN DDKRHQAHPDWVTAFKVLNTSSWPIKQVVCRLKTGVATPEALQDVPFEGVLVPLRSTG DIEVPTLDSIDNVGIGRLAALWQGAGRHQICAYYHLGDFKMDPVLRAQGEEAEGLMLR RLGDAWVNGTEVSETDFAELEGLGMIRSEFMTLLRYAAWRFCRRSGGSDT EPUS_05672 MNADDVLDILYYYWVLSDEYYPEERQRVQHAALNLFCASTTSRA GTIVESIGYLKQNQAVEYRDIQLYALQDKGNPGSVKLGMLITLRLLKGRRNRGNPPLI KFLERQDVPSFCLIKVICGLALKDKAFASK EPUS_05673 MRLLEVLSGGDFRLTEKFLDNAIPQYAILSHTWGSQEVIYEDVV KGSGRDKAGYEKIKFCSEQAARDGLQYFWVDSCAIDKSSSAELQESITSMFRWYQRAV KCYVYLSDVSTRKRKMDDNSRDSWKRAFRESRWFTRGWTLQELLAPTSVEFFSKEGSR LGDKQSLEQQIHEITSIPISALAGSALSSFSADQKMDWAKNRKTTREEDWAYSLMGIF EISMLVNYGEGRASAVRRLRKEIDDASKDKECLRHLYVTNPRADKIRIEETKGGLIQD SYRWILENCDFNQWRNSQQSNLLWIKGDPGKGKTMLLCGIIDELEKPTAKTYNLSYFF CQATDSRINSATTVLRGLLYLLIDQQPSLIPHIQKQHDYAGKALFEDINAWVVLSDIF TNILQDPNLESTYFIIDALDECVVNLSRLLDFIAQKSSISPHVKWLVSSRNYTSIEER LGMAKSQVRLSLELNSESISTAVSIYIRHKVCELAQEKRYDDKTRDAVLDHLFSNAND TFLWVALVCENLKNILRGRVRVRLNSFPPGLYSLYERMMKQICESEDSELCKRILASI ATVYEPITVIGLTSVVEMLEEFSNDLESLKEIISLCGSFLVIRSDTIYFVHQSAKDYL LEKVSIDIFPSGQREIHYEIFSRSLKVLSRTLRRNIYGLSSVGCSIDLVKRPDPDPLM ASRYSCFYWVNHLCDWNFDCYADQLVGLQDGGAVDMFVRKKFLYWLEALSLCGNMSKG LVAMTRLEALIKESKGGPSLIELVQDARRFMMHSKLAIEASPLQAYVSALLFSPACSL VRHCFQKEKPEWVRIMPAIKDGWGACLQTLQGHHDWVILVTFSQDSTRLASISRNQTI KVWDASSGDCLLTLECYKSIRSVAFSHTSAELMSVSDDGIIQVWDVSSGECLQMLKAH SGSSVDSVAFSHDLTRFGSASQDRSVQVWDVSSGKCLRTLKGYCDSGRSVTFSYDSTK LASTLQDGTVKVWDIHRSQCLLTLNGHSDHVVKSVFFSHDSTQLASASDRTIKVWDLS SGECLQTFTGLHGRILSVIFVHTLKQLAFTELYGMVRVWDISNGKCLQALKDPRAWIS SVALSHNSNPTQIALASRGRVKIWNIDSGECLQTLGSYSDSVNSIAFSNNVTQLASAQ ENTVKVWNIDSGECLQTLKGHSSSVNSVAFSHESTWLASASDGTVKIWNVDSGRCLQT LTGYFGSVNSVAFSQDSTLLLSEASNPTVKVWDLSNSQCIQTFEGHNSSISLVAFSYD STRLASASKGTVKVWNIDSGECVQAIERRETDDKAIAVTNDLTRLALARDRALQIWDI ESGECFQTLKCPKARFDFIAFSHDSAQLASVLYDTIYIWNIRSGECLQILEGHNGLIT SIAFSNDSTRLVSASRDCTIKVWDINHDKYPSTLAQTSKGHNERVRAVVYSYDSTQLA SASNDRTIKLWCVSSGKCLQTLEGHNGMVNNITFSYNSMRLISGSVDGTIMLWDTQSG ERLWSLKGHSDLVRSIALPYKSTRFASVSFDFTVKIWDLNSGTNIRTFEDHNSYIDAV ALSHDLTRLALAWDDHTIRIWNSNNGECLQTLKGHNDIAVSVAFSYDSTRLASSSADN TVRIWDASSSECLQMLNIGKGLYNVSFDISGSYLYTDIGTFAVDAPLASNTIPEQIER ENSRCLGVGLSPDGEWITCNSEKLIWLPSEYRPRHSPVSVSGRTIAIGLGSGKVWMYT LQVTSFEP EPUS_05674 MLRPATPLTILLLASFILLLLSVLSTPIIKGVPIANFKDVNFGV FGYCTPEDCSGPRIGYNTDDLFGTSKGATDFSLPSAARHSLSALLVVHPIAALFNLVC LGLAGAAHLHSPSHSARYLLALLILLLPTLLVTLLAFLVDILLFVPHLQWGGWIVLAS TILITASGVVTCAMRRTLVSRKARKKRIAENAEMSGENFYNRQNSMKVDATSQRTSDE PKPPLVNGAPGSDTLPTFVTYNKEHGISVERFDLGQRTPSTKMNGGPVGYRGSNGDAL GPVRSRSQDQYKGARDDFESSLPSSGVMDAAPPNLSRGPSDPDLRQRPSRDRMDGTPG GPRGGFGYGGPRGRGGYPLRGSYGPPRGGMGGPRGGPNHAMSRGGYHDGRGSHYNSQM RGGAMAAGVGPGPAAGALMGRPQQGPPPGYPPQGQRFSPEGYGSQENLRRFPSQGYGA PGDLQQQQYSGVQMRRPSPGPTPPLPQDAPFVGQAVEMNAATGRISQAASRPQDGEMV HDTVTMRPGQPSPGNQYSSPEGPRSPSNTGEPTGPTSPSSMYSHAPEGYVPPRAAWTK NSRTHSPQRSLSRPGPSPAGVSSGSSSSNVNSNSSSGRVPVHNPTHRRTSSHYYEDVD PRFSEVHINATPPPDQEPTLPISLLPGGPSASHRQLAPPSGAGLLQPNPSDENLQDGT RSPTGSEISHFTSVSQRGVNPSWRPGSEDIRGMPSGPSGPPSAMRRPMPANAGYGGST RENRDVLLQGNPDFEIPGMRPPGRAGPHAGGVGRVPMQRPPPDMDMNGPVNGLTSTGR YPHP EPUS_05675 MDFLRPLSLVLAVILSLVAAHPGHDPHHGADHFDAPGRERGAPF AGIEPLSTPAGSTVVTSTRTSSGSTTRQPTGPTTYISLGTSSIGPIPVTIIPVPIATI CSRAMNMNPVVSDSLRSSSSALLDSDIEPRLPAAATALLRLNSTGGSQMSAPIPTFTA YDGRGCSTLYTRTSSAICSTVLSGFGSIPAPVTDCEQSVTFSTSTGSVPMPTTATTNN STQQSDATGSRLAYFVAAWYDLTDGQVPTRVLVRDCIHEKSGEACNTAAESWSVVNQT TSVEITKSLAFEGPVTGPALVSLGGGLFTTHVPASTTAVLSIHTNITTATPTVVPSLS RSVIGGSIPPFIGLTSTAAGALAATHTVVLEAVSHTTVTVFQTLTSTIRHAMTAKRNT AVSGQSS EPUS_05676 MSEQSSRKDSDESGQRHSSNRQPSEGASQTSNEPNQPSEPARHS SGLLSQPPAGPAFRVPKSPMDRPPAKAPKVAIPRLPNKGDSAAGGGIKSGGRHRVMHA CEPCRSRKTKCSGEKPACRHCQDFKVNCVYADGKRDRAKKAFGSLAEKFADFEATLKD LSSRVCEEDAILIKTLLDKDVVSTYGDSPGARDASREVDGVSEASGEESDASAGVGST GAVDRIEEDFNRNEEARTTGFMGKNSEVTWLQKLRQETKFGSPTDRDSETEFRKKTGG ASPLFSHYSPASEHRKTMPDACEGFSVHDSSYHLDDFSISMPEAIDRFEIPPRETADL LFNAYLESVHPTFPIIGKITFSSQYRKFMEGTSVSPGERWLAILNMIFAIGAKYAHLV QAGWRGDERDHLLYFSRARLLSMNGNTLFNHPDLQQVQVSGLIAFYLVATSQINRAWT IGGTAVRAAIALGLNMRNESVRTPDTSKEIRYRVWWSLYGLEHLLSMMTGRPTGLSDE ACTTPLPAPVDEDAFQSQQALSLLCSDMQRNARYPGLLYRSPNTSGTTTSLPDRSRSS SKPSSVSRSPSAPQHFDLEWARNVPPSTSLYFLHHILLTKISQSALNKLYTPEAMQTS WSQIQTVISDHDRRIAQWHSNLPSILDFKRKQRDQNYLHLRMCLGFLYYGTRIIVHRP CLCRLDRKLPNQSAKSQSFNRASAASCIEAAREMLQMLPEEPNAVGLNRIGPWCCLLH YLMQATVVLLLELSLRSCHMPEDAEDIFGATKKAVRWLYSLGEDSYSARRAWALCNEM FREAAPKIGREVDNLPEQPPGPLDQPSYSNPRSAESEHSPAMGGPMLMPNILQSGENF TVTQSDLANMAAFAGYDEYILYPGGERSTSFFPTAAEMEFIYSEPPQQDGDTDHCDGV EPUS_05677 MVATRRHPDGDFPDPNSSSPVSSPSKPSFPMTKQSQSPRSTSKS HPQSTASGYVHQAPYSLTLWLLFSLPLVLWDTLYVFFRPHTMPGGKFHSPIWTPYALY GTIDYVYGWPAWNEREGFTAAQASLNAVETVFYGYYLWVLWARARAPHGAGQRKDIAW FVGASEEGRRKIVENGGVAVLLVFSGAVMTLSKTVLYWLNEYYSGFANIGHNDFLTLV PFWIIPNGAWLVFPSYVIYSLGKEILDVLNSSSLKAKR EPUS_05678 MTDIADPMTSLQDSEYVQLIDLIDNLRLSGADRYVSLPQLVVCG DQSSGKSSVLEALSGVHFPVNNGTCTRFATEVCLRRTPTETASASIIPGPHASEAHKQ RLLDYQERNLSVDQIPRVIEAARVSIGISDQLQISDDTLRLDISGPDLPNFTLIDTPG IIHGIDDAKNQLVEGLVFDYMKRERSIILAVVSTEGEVEGQKVLNFVKKVDPEGRRTV GIITKPDLIQLGRQQQFSNLARNVFHTLSRGWHIVRNRDSAREEPFDQSGQTAAEQRA FREPPWNDLPPARLGIHNLREHMKKVLLEEIRQNLPDVVSQIEHEKTACDSELKVLGP SRSSRGEQLRFLLDIQSSFKTVVEDGVVGRYQSKYLNDKPDQRLRNTIRHLNDAFSQA MADHGHMYHVTDMMPAKASDYQGVEPPESITAADYIQLIQSTILEAGRSQELPNHYDT YLSCTVFRIQSQRWERLARKYLKSAFETTTNFLWTALESVTTPPNSALIWHRLIKPQL NKRWAALEQKLEEILKPYTEFQPYSTPRRYTTNLRHYQEKWTTLSSSSSVVRKIEQIN NGAACTELLLDMLAYYDNALATFTDNLISLAVESCLLNGLPELISSNLIATMSDSELS KYAAESEDTITRRTYAQQKQRTLHMSLEILQDQLWTSTSMNLNSRRPRASLNGEQVAG SSSNEELSSVRAAAQTHNSPQLKPSSRTRSTNGSNPQIATPGIPESGDTILERNNISV PNPQAPSWTSNTFDFRSEDVVRSRSRRPPASPTPATPSTSARGSEDSLTPGTEYSSLG SSPGASSGRRDWRRSRGRLSPQPKVVEPVPPAFGSESGFNNNNNNNNNNNNNNNNNNN NNNQQQQQQKQQQQQQQQQQQQQQQQQLCIN EPUS_05679 MATPVSKQERIRLNQQRSRARRQEYLQELEKRVQGCHFTCREAD LQRESYDQIKKENLILRSLLGSLGLSDAQIDTHINSSEPSNEQASLRNLRPKIQSGVV PAQPGSVARFENLTDFPVPTNQNMISCTGVPGTAHSCCASTCSPAHPDIGDPSLTISP TLHMPPPQYCQTFLTPYFEPTMGPPRENSILCSQAQDLTDQYSISEKDIQHISRRLVT GSTGEFDPGGGCRVDGT EPUS_05680 MKISGAREIVVWLAWFLSLPAWAEDTASPPIQFCKQEDTVSLDF CVAVTPYQNATSASTDLYVTITRTRPETDGWLGVGLGSEMNGALMFVLYDDPQDGLRT SIRTATGHHEPIELSAMAMEEGQLLPDIKVVKSAYDDQPPGSSSPPDARGKPRTGTAN ILIYSAPLWPKTSIDTTSASQPWIWAHNPTSPTPSALKMHSRDENSGYGFFWTDFATA LSNPPSSRPPFPRPDKSSPSHLTTTTPPPIYRLGGPSIRNWLFHIHGALASLSFLVLY PLGALLLRTSDSRAFNFHWTTQAFASVLLCLGAGLGWTLSRSIELAHQGVGLAIVAGV AGQILLGWRHHVGFLRTKGGTWMGKMHVWLGRGLLMAGWMNVMLGVSARGYGMLVMLA VAVVAHAEMVFMFRAWGFGMKVPGWGLGKRLVSRLWLGRRGAGRAAAAGGVGEEEGWG WGWERSTLNWLERMRKKMKMKTKIMMRMAA EPUS_05681 MSQAVRRNGTTSSPQTKASPEREASRARSRPSPYMLLVYPIILT LGVLFSVLSPSASPSTYSAPFTPGVASDINTPQTPQTLNYFAGKGNFLNLYFVKIGWF WTTLAFGLLQLTTTNDPKQQPPSSRTGSKNNNFLQALLRYSLITLTWIFTTQWFFGPA LIDRSFTSTGGRCVPRHHGKVDSLAEGIELSSVATHLSCKAFGGKWLGGHDISGHFFM LVLSSAFLFLEFFISETQTQAAHPHISPEAAARIATETTEEEKRAVGGWESEAVVKAR LWTQYFVWAVIVLDAWMLLMTAIWFHTLFEKVSGLALASLCVWGVYFLPRLSPEWRKL VGGV EPUS_05682 MSALSTFMEALHQASKETPPESYALHQGHRNHEKLVDTIPGSFT THNGLAQDHISEGNGDIRPSAAMAQGANRDAHATEGQQQTTSHAISVVEPVTRPPLPV RSSLDDLFSDVESDQDGGEELHMKEGARTYDPNAPTQFYEELDAQQEFGSPQISPDHP FYPHQQPWPRKVQTKDQHLEAQTVLSEDDQIAQFSEKAFRNIIRQTEPGLSKEQQYYA WRIAYQNAYGEQADGVVDHEGFEGRAQILDQNPPYQLGMEAVGCMNDVPLYTHANGNH ALDEIEGRIDQSLSRGHDSAEATRQEEEPGEQLDDTHAVSEGVRPSNPFSPHHDIPSP TAPRTDRAAREKMLESSFSKAIQALDKDTAERIAREGEVDEAHLRRLRKLSHRRSWAF DDGHLDNQDDEEPPESSTTARGRQDSPSPSATVIKIGTGRGNSPSKINPDDSFTTALE SPEKQRSDGETMRSIQAKMAEHHRQLYARHHDQADDEESTASPSRQIPGLYHLNPPSP LMRGDASTPSQLFTPPSPKSSSPDRDIRKSIEPETPRHLLNRECTPAFEDRSCTPSPR TIIADDGDEDADLFMIDASSPSSPSSQHQKDAGNAGTPAQPTISGMPNSRPHHPEPSQ QQQQQSQPPENQPPQPQTPPTLTHRAGSASPPKQPSIPIPTTTITSTPTGKASKASKA RPKPRRKSAVQGSGIKIEKPKPAKSTPKSRSVTTTTTITSSPVRKMTLAKKDLATGIK VKEAVERIEASVRETGEKKMKSKTKMEKGTPPARRSRRLIEKGERAGTATPEPEMELE RERERRLG EPUS_05683 MANTTPGAASAPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQE NKEPTIGAAFLTQKISLPNRIIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDLTKP TSLIKAKHWVAELQRQASPGIVIALVGNKLDLCTDAEGSGDAETAPSEGGGDAETGAE GAAADVEADTQTDNNARKVPKREAKAYAEEESLLFFETSAKTGSNVADVFTAIANSIP ESSLKSGRGGGGGAAGATQAALSGAANRAEEARVNLGERGKVVKDNCAC EPUS_05684 MGSFNRAPDSKETAPLTGSPSWDLPAEIWLQVLRYLLVKRPEQQ HGNALVVLQPLSDWTGQDSSNNRLSSQVLRTNRAFYQAGIELLYRENVFEMNGDDVWV LPNFLSRIGPNIARIRHLRLDHRNAGHEDCRGAPHRHPQEIVYVSGWIRDLWVDRLFE CFPTLRSLETLSIAVDLPSRKMRDSVARMETGLYVKESGLAHELCVAKQMQDRVLWNE LHGQVLFYINKLGIWRAANSLDQWFPKLNANVYHGVGEHGAYGIFSTKELEISSSAQK QRTAAHFLTARRLQVDFAEGKVSHWNRDLPFSNTTSGPLAFSPPKYPSPWASGSGEWA DAYARARDFVSQLTLLEKVNLTTGVGYLRLPLALAQTVVLNAMYLPGKADYVSAFPAG VNVAATWSRGLAYARGEAMGVEHRGKGVDVQLGPVCGPLGRIPEGGRNWEGFSPDPYL TGALIDPTIRGIQDAGVIACTKHYIGNEQERFRQAPEARGYGFNITESLSSNIDDKTM HELYLWPFADAVRAGTGAIMCSYNQINNSYGCQNSYSLNYLLKNELDFQGFVMSDWQA QHSGVGDILAGLDMSMPGDTTFLTGLSFWGTNLTIAVANGTVPEWRLDDACVRIMSAF YLVGRDTTQVPINFDSWTKNTFAPIHIAVESPIGLVNEHVDVREDHAGLIREIGRAST VLLKNSNNALPLSGNERQVAVFGEDAGSNAYGANGCPDRGCNNGTLAMGWGSGTADFP YLITPEQAIQNYVVTQTTGIIEVVTAQGAVTQIQSRAAQADLALVFVNADSGEGYISV DGNEGDRKNLTLWKQGDDLIQNVSALCNNTIVVMHTVGPVLVSDWYNNDNVTAILWAG LPGQESGNAILDVLYGHYNPGGKLPFTFGPTRESYGTDLLLEPNNGQFGAPQDDFDEG VFIDYRAFDRMNITPIYEFGFGLSYTTFEYSDLVVTPQNAGPYVPTSGTTSPAPSLGN NASTDYSQYLFPNGTIDRVSNYIYPYLNSTDPAAASADPEYGLPTSEYVPAGATEGTP QPLLPAGGAPGGNPGLWDILYTVSATIRNTGSLQGDEVAQLYVSLGGDEPAVVLRGFD RLTIVSGASATFTAQLTRRDLSTWDTVSQNWAPAPGPVTVYVGSSSRNLPLSSTLPSY EPUS_05685 MPPSKPRVIYWFRTDLRLHDSPALAAALDLDPEVFYPIWTWDPH YVYRARVGANRWQFLTDCQRDLSSSLTKLNPKQKLHLIREAPQTVFPKLFKLWRITHL VFEKDTDAYARDRDRGVMKLAEDAGVEVIVKMGRTLYDPDELVKHNGGRPTMSMAQTQ KAAAALGDPAKPIPPPTCLPDPGDTDLSSIDHQRPDPNPDLNAAHRDLSNKESQYTSI MGPNKDFSIPTLQEMGISGATTPNRGGETIALRTLDTIISNSDYTGLFEKPKTAPTAF SPQSTTLLSPHHHFGSLSIRLFYWRVQEIYQKRKRAKKPNSSIPENLHGQLLFRDMYF GAQAAIGAPFAQEVGNPICKFVDWHLRSNLNENNLLAGDYIVDNSQAELYFRRWKFGV TGFPWIDALMRQLRLEGWIHHLGRHAVACFLTRGGCYVHWERGAEVFEEWLIDHEVAC NSGNWMWLSCTAFFSQFFRVYSPVAFPKKSDPAGAFVRHFVPELKNFDQKYIYEPWRA PIADQRKWGCLVQGDGSATQTHEREDGLQVYPKPMFDFDERRKFCIEKMKLAYHVGMQ GDDPRVLDGSWKEVFGYKIERKGEVRDETNGVVLADGRNQEDDEDGYGDEAGGRKKKK RVKIAADPGREGDNDRVKNGKHATAENDKKKTLPKPPAKGGKGPKREQATLEMMVGTG RKKTKR EPUS_05686 MDRFNANKNLYSSLPIVQPGNISSYSSNDLTASNDLTASNDLTA SNDLNQFDVPGQNIPIDEYPQSYQLNLGSYLWVEDTTLREAYLPTASNADPFRSLEPW FESASFEWALYLKDIDVLELTPASAPAQHIPSSSDIKGTTVNLIYAKSLLINLDAQVL QLLQLNAGVDLSVDRVSLSILHVTAKVLLEARLGNLVRMMDDTLEITGPEPGACKAGP NRGRARRYRVSYELENPILYSTNDYSGNTRTPTVSSRQNGDVIDESLDKDGNAYNQQV EEYQTVVRNGQNLRELVYLYTPMAGISAVSGLLRERGG EPUS_05687 MTLTSSTLKTLQKGIKNDILPPTLKDAVTVTKELGFRYLWVDAL CKFQDSLQDKAAEIVKMCQYYEDATLVIQPTGLTSVQDSFVETDGNAMLVASQASTYP SEKQLLKMCTVPYVDTYGQRNMITVEQDPTTFCPWKEPLHQRAWVLQERLLSRRAIIF PSGGGLIWQCKEGKQVDGRIYASDLSDEGLEPLLSHTSQQPQPHQIDDSWRTIIEDYS GRQMTDSTDELIAISALAEHYHECYGSTLGAYCAEIWHKFLLKVLNWVHSEDTLMTRP IFYRAPAWSWAAVDGKHRAEVFEDGNGHFLAQVQKCQTIPASSNIPFGAVKSGSLEIK GFLIQGVWSEDSKSMEFRDSRIHADLSSVTITHYPDTLDDKPSDMEIFHCLPLRTRSE SMQDLAGLLLKPTDDGMYRRVGSFEAWVGLKIDPRTWFEQQEPQIITII EPUS_05688 MAITMRKRHAEADVYRPLMESRQEIRLLRIHASLSFKSPIKCDL IQTPLDHALDFQALSYVWGNKVDKSSISVLGTDFPVTRNPFEALIRLRQKTRTLIIWI DAAKGVLVWLGEKIGNSDLAFRLIRTWAKYALDNRPDTLVQLYKHTEFEPYVFDYRAW DAAKELFERDWWFRVWVYQEFVLAQEATFVYGPDRISWLALRHAMQAWTYVQSPTVTG YFTIAYRHLVENCRFEVARQFISDREKMVAAHIGTNPHLLALPWLVDNLRFLRSTDPR DKVYALLGVADTENLVIDIDYSKSVHEVYAKYIWAVAQKDHCLNVLAQAGLARDGRSS DLRLPSWVPDLRTACLATTKLQQAEHWPSGCETAKYDLSADLSTLTISGSTFDSIQEL EQELHSGPNTDLRVWRDLAFKSGLKTHPAGIPLHQAFFRTII EPUS_05689 MKNQHDGRQYVSQSTETVHGLPIGTWTSGSSQSQKNTAPVEPSQ SPTRHQTSHTGKDRSDVKSKLSEEKIFQETVNNEKVTIGATVFTDLPRYDDAARYGLD NDGQRDVLVHIEIRRLIKAVTTEEPVLFNDLGVLGGLTKESIRELSHLADQLLCNFAI LRLDNIILTLDNCSNESILKMCAKEYKTVSELLRKRFTKQGKAKPTEPVILKPESQNR EGYFALVDYITAAVVCHLVDCGLPEGQTTRVMATLSAWSVKLKTLYYNSAVNAQECLD NAEDAVQQLIQREDRKSVLVMRNSDGQEAKEVHIEFDDHEDPTRIYYLRSYIRRYNLK PIFHAAAMCTVAYETGLERSLVALHEDRDGDVWVSPENAAVGSCYFALDTVITNLEHM KRQSDQRSRPPRKQDLEIQNPIEKRADIEVVTIDWRRTKGNSVKSDTLETSNAAELIS IMVPLSLSNPRIADDVESLMQEGGLYDDTEDWELPKLVKVNKFQARCTLATSTWLAKQ LRVKDPKSQLGCIPLSRSPLHKRIRAGTTLDGDWIERSKKIVADELQSDEVLQEYKKE ASKMDSWVFHANAIELRCRGYVLTVMLICFVLIAGALAIPFTVGTRIAGVDPFQITAF SWLLAGVIIIASKSRYVSEWPWHDFIHGTVICDSVTDLADVTGVSEQMILTKLLHSEN GSMVSTRGPYNGMFSNRSDSAEGFSIDVPVKLSTMLASGFIVLKVLGDSGEHLLILDA RKGSSMDWANKSTHSASYLCCLDIESNEDYHDEGKDLAVSTHSRPLANDIHFVKKQNV SWSRLVGLYIADSWFG EPUS_05690 MSTEIYNKALKATKSAQDEKASVAPEYKPELADITELEDRWGYV KRTSRTADQRQYLDDDRYASYAMVLRRRLNDKEQPKFTQLEIRSPLIRKLLQVVCGDN DLVNTAASVIIISSPYLALFLYRNEIRKYVEECKPEADKIHVSILVAFINRHLKVVES ELERLLPSYRISFAIAWTLLRPGTIVVAQRDYYEECYVVETLNIVQTQTGPELHVNVR GWDYNGARFGPVARTMIIPYFPGVRQITALEIYPISFHQEKDGGDLSKRLTERGYKWR KMLNKTHKSYKGKLNLAWTTPFNRAESASVEGLVARHYSGRVMLDYTSHQQANPSQAT ILSESSAKAGTANNTKDSEAVDIPTIKDHHLSYPDDSTYEMTPDQAFLCPARIRGFSL TDKTWAFFLVDSVNDISWGENTLKRLEIDPEIKETLKALVNTHSKKEGRLNGTLDDII SGKGQGLVFLLSGAPGLGKTLTAECIAEELQLPLYSITSGELGTDVAKIDVQLRNLFS RARSWQAILLLDEADVFLAKRQTADLKRNALVSVFLRSIEYYQGILFLTTNRSKDFDE AFQSRIHFKIHYKPLDAKRRAILWRNLHQSLNGSNGSMWDEAVYSRFGQEFEINGREI KNIFNTAISVAEYDKEILKEEHVRRVYDLSLIWRQGANEEETSVD EPUS_05691 MSGAEAIFGVIAGGVSLVSLGIQLSGSAVKLKRMLSTAKNAPQT ISQYVSSLETMAAALQLLEHHQQYDAQSGALLARCITAFRESTLRIQQLVDKIEHYMT AYNRVGGKLYTVYKEREVKELFDDLERAKSSLELAYIIYMDAEQRRRHQAHDDTLARN STLLSDLQAEIRSGNADITQQLRRLLQSPTVPQQGQLVVTDSRIATARELMLRGEAET NPDDMSSSRDDHKQCGRPLAVKQSRRKNRRAHICIRLPSQLYRRVWEFSVTSSQSLWS LHLHTYNIVPENSLIFRYCELGDVAAMQRLTTSGEASLLDTYEEGWRNWTLLEAAALK GQLEVCRYLLNQTDWPDQAAVLSRALSWYVNLNDGKNAVEMYRLFLEAPGFDADLDDT ELGYYWLKLCPNTPCLHLILENLHSGFFSLPVEIRFDFVVRVGFHHTDIKPSGFLKCI GLQQSDQRLASLRSINGISVLHYIACHLSWVLRACAGKDELQGWLDLGISVLNNGADP SCIARRKCLWYIDGIYQNIDPHPSSKAKEELESTPLMDALDIKYWQVTDHSRAIIFET MQIWTGMLQQAGFDLRDYGDKESQVWKSLGIEEISKFYQASSYALRVEQLVYGPTPAN WSLLVRRPISIYKLKLHPPPGAFPEEPDLPRTIIWNPTDKERDEGHWEFVEERRMQTR YYDLRDGPSASKEPFEELVDCVQDDLGAIMLMHQRASRPRASASRSHSQPRQMNHREV AYDTKQETCGRNSWLGTYHLCPLDSKWRLGLIVSDRIDDEGDLRSCTEGISNERHAVQ ESWFWQDFSFLGRIARCQDKYREREYDWVWGRLPRSMRHTATQSCPLGCSKVHLDRIQ VPEALRDFHPRREYGEDLRVEDDHYEET EPUS_05692 MSSTSSSSISTWWTNLSIYARAGLVIGCLLAFLFLFSTIVLCIV RRGRLLTSRRRGASVPKPAYRSPQPAAESKFTHSTTVEVQDGDEGAYAGQSRSGFGAG AMPPLLGEEVALREYDGRGRGYGYEYGYGHAHGYGVGDKGDADGYAREEGVQREQRTW NAV EPUS_05693 MARGPAKHKDAPAASAVEKHGYEFGGPLGAAAFIFGLPVLVYLS LFLCNDVSGCPAPSLLHPKSLTLARLKTETPWPKEGWSGLIDAKVTAWVLAYYGLSLA MQLILPGVESKGVKLDSGGQHSYKFNAFPSALLTLAALAVGTLSQGTSFPVWTFIWDK LPQIVTTNLIISYLLAIYVYLVSFSVPHPGQTNLSHRELAKGGRSGNMIYDFFIGREL NPRIDIPESIPVIGGQSIDIKLFCELRPGMLGWIILNLAFIAHQYREHGTVSDSILLI TAFQMLYVLDALYMEPAILTTIDVTTDGFGFMLSFGDLVWLPFIYSLQARYLAVHPVH LGPAGIAGILAVQALGYYIFRASNNEKNRFRTDPKDPRVKHLQSIPTHNGSRLLTSGW WGRARHINYLGDWIMSWSYSLPTGIAGYLINKSKNPLTGNESREVVPGDARGWGMVFT YFYIIYFAILLIHRERRDEQKCRRKYGADWDKYTAKVKSRIVPGIY EPUS_05694 MIVSSFPLSLLALLLPSTLAADSKPPPKPCTIHSPSTGSYYDLR PLDLSLAQQSTPSKSKDLRTDSWHAKGYDYPANFSLNICGPVIESLADVDGIPASRWK NVSAFYRLASTTHSIGQMNSELVFRGRSLVLNYTGGSPCPELDDDGNPARAEDSNLRA RKIIDDDDDGRSKHPKSSSGSSSSSNRRKSSLISLHCDRSPALSTHPSLSFLGTTDHC VYAFEARSRYFCGGATSSSDEPGSMGPGGIFGVIIVIALLAYLVGGCAYQRIVMRQRG WKQCPNYGVWSGIVEFVKVSCWSYCLGGGRGTGGGAVRLPDLWDD EPUS_05695 MNQSRSLPHAKVLDSDDPKHAALPPVFEYALPDARHPRVDSLSH STRGSHYSPAAPSDSLFSSEYELAYTQSPKSIGHGTLESPQGTRTTSLPGHSPDISSV SGAMIMPPRHRSSVGSIGTQSYGHGHDSLGGSDIWSPSSSRGQGRQQSDEQLPKPVPD QLDSKNDKGEDGVKHEEEDPPPWSELKTKAGKERKRLPLACIACRRKKIRCSGEKPAC KHCMRSRVPCVYKVTARKAAPRTDYMAMLDKRLKRMEERVIKVIPKEDLPDLSATGRA VVKPALPVPTSKPSKISPTKKRSAEEAFAKELDDWTHSRSQPLPDDRGRVRNLKDGEK KLLTEGAEFLPPKELQEHLAEVFFDCVYGQSYLLLHKPSFMRKLKAETVPPVLVLAVC AISARFSTHPQVNTEPAFLRGDMWAAPARAIVEKRHGEPNITLLTVLVILGLHYFGTC EGGLSWSFGGQAMRMAFALQLHRELDHDPLGRKNDKASELSFTDREIRRRTMWASFLM DRFNASGTERPPFGDEDFLRIQLPIRESYFQLEIPGSTEDLEGNFPQPVSLDSGKVPD PKENMGVAAYVIRAVVLWGRIVKYLNLGGKEKDKHPIWSPESGFARLRENIDCFRNSM PPSIVYSTQNLQNHAAERVANQFLYLHIISQQNMLFLYRFAIPLSPRAQPPKDMPKQF LSDAGRSVVEAASQISHLIEQAAGHLLTAPFAGYCAYVASTVQVWGLFSQNPQLEAIS KENLRHNYKYLSKMKKYWGMLHYMAENVKDIYRHFADAARRGPPSTADSSDTDSSLFQ YGDWFDKYPRGIPGKEWDESSKNVGRELGSEAAMGQRSDLQSVEEFFASLSPPTKPAS TKKVARRHTKKASNVKSHAAPQNPPQRSLHHQQQQQQQQQQQQQQQQQRRQSQSQSRP RPPPLQNLAPSQTAHPHTFSTISTDYISSSPQQLYQTRTPQSSTFSLAPPPFHFHSGT SFFPTNSLHQLDRQLVFGAYSGMDGIIGSNTSNVDLPGTSAGYNIWEGVGMNMAAGLG NIGEGGGELSSAVSGSIELPDSSSAWFMPFNMDPPQIGDVDASLFMGAGTGTAGGGAG SFSEQGRMGDVGMTDGQGQP EPUS_05696 MVQYRGISISMISQHTVRKLPEYRRFISVDPSSIGGPSVPSEKD SSVSCYVPSSPGTQIWFDYSIENPHPPNAQYYFKLLHNGKGFTSWDCTAKHDYQGRTA FGLKYLGTHPQTGLPVIERQAFRFSDHPPSQVGPFDDCVELRVHRIDHRERLPLDAAN IATDEALVGEDKYQDGLRLVNGGLLGPNDPKRRYKYQLLDPVDKPYAIIRLYYRPLEY LRAMGVVAPSSRHSRQTSTASDPSCTSSESMSGSRAASIASSTRSPARSESSTRSGSS RSGTPPTDSVTAWLRHHRLQKYISILATLSFDTLVTLSDDDLARLGVAAKGSRAKLLR ELEIYQQQHHKEAGKTAQEEGKEKENATINRAPKPARSCLSKPGRETDNDSISPAAEA VLSSSSKSSKKARPSLTVQINGVEFDLEKEKKRRPLSPFTPGGRLRRLLSPLPPSAPA RITAFGPTIDDEVNEMLAEMESGGQGSNERVGNRRTTRAERGGGMLSRILGKRISRG EPUS_05697 MASETPLSPTKSHKGASSTKVVPLDSEIRQVAIHPELPEVKVPS TDMKPYHYHPVSCELLSSEDLQHHKLPQLQKQYTTPEAALKAQAEAVKEVKDKMAEAE RKTREINQQMEEMEKTREIERKIYMKQGKNLPDAV EPUS_05698 MEILMSELGAEESVPWSSPHPEPPSSAGDWFSAGSLQGRGFFSD LGAVRRKPSRADAEPTLSKSCTDKLSIKQVTSLLSFPTYHLIGVTPNAYLSSLILPAM KYSEKGFARAFSRNGRLSAIDEKSLPVGYSFHPFIITPLRKDFELKFPFSKPLATTIA PSSSNPLHPRDKEDPPTKAEQKTKATNLSALYIHHPRSPPHPNDPTTSNPVSETLLNG VHQGHTLTSPSPKKASIISRFRMLQLGRQLARSLLHLSDPPSTTGPDLHAPPRLLLGT ADEAVLAGWKGLVAARTYGEAKRAGEIALHRERAKGLVTRVLVAGARAGHAASKHAGS WPRNDADEDWKLPSEEDRSR EPUS_05699 MGSMMETSDATPSYPIVPKSESIHDGYSSSSVSTPEGDIGPVTQ DVVQVQKRKGGRKPIYATSEERKQRNRQAQAAFRERRTEYIKQLENTIKHHEDTLQNL QQSHRSAADECLMLRYKNSLLERVLLEKGIDVQAELRMKSSPHLGPMKAPPTMTSQAS PVQRAMMSRQQAARSNCTTVVPPLQPVNTTMQNGHESIYSRPSPYPRQPSQTPSPSTS RPSGFSVQGVLSSPTSNFPNQPTHQQQRSQLRAERNSFSHHSAVSRPTAGTSSSHPIS IPDQEFDAQGEMIDDAPGDDTGIDQFIPNFRLPDVSMSMQGQPAPPLPSMTDAGGGPF ASGNHAFDPYDPMLDADPFGLSASMHFPTPYTYDAQQNLYELLGNTSDQDSDREPSPP PKTAEKPNPRSGKRDAPKDAPTEPAHSGGRGGRGARRGGFTGSEDAFRDRNAGSINNR GKPTDDGLRQDRHSDRIGGGRIQGSYDGRGGRGGRGGRGGRGNRDDRHSRTGLAEHTK QADQSWGAPTGDAEWNDERAGEAIAASEVKEGGWDEGATQGAPGFSDSGAAQPADDGF GSGSGAAGAGAEAAEPAEPEDTSISYADYLAQQAQKKLDGLGLKEARKPNEGAKQDKK WQNAKAISRDESDEYISGAGEKARRERDRLRNAPKKVDVDLSWKTSTPDSHGGGRGGR GERRGGDRGGRGEYRGRGDGEFRGRGRGRGDGEYRGRGARGGGGGGGGGGSARGRGGS DSAQPVVVDDEAAFPSLGA EPUS_05700 MSTTEHSMKSSFSHRASTEHVRSRGSPLTTKNLNVFQKPPSASS RHRIHELSDIREVSGSSKEGTVLKKLSPMLDVFKDRKVSESMSQLTSQEYGDVENNLE DTPPSRPLTPSREMGSRAPSALSLLMKDVPERRSSASFLGKCNAMSRHSSVNDLPSWK LPPPSAAGQTIPSRGRVSSPVRNVMKHDPTASNTVRSPSKTFIRTTPPLDILDLGNTR HGRVDISLQTPAPLFVGGGTIEGHLLLTVDGGSTHKIKAKPLFISRLSIDVIGVEEVT DGRRWVFLSLATELFDIDHPPPAPLVSAQTPASGPELSWPLKPSSVVIPFCLNLPLNI GPPPYVSKQARIRYILCGTAHVRSAGKRALIRQSFEIQMLTVYDPEKALSTLPSPLLA TEQLSIPHGTVTQTIKLTAGLHRQTWVNGAMIFVDVHVMNNSPKTLKKIEVQLEKSTL WYSHAAAGTIEKRATHLRLPKRSDSEVVCISVFKKSKDWKGIPSHSSEVRTCDLEIPR GHVTICTGRYFEVRYFLNIILTVATFKTIAVQLPVTLIHINSLDIVPNSLAQVAASIE AKRARTVSVPYEALYPPFHQGQAFTAPRRQSLERMRRASGGLNMDDLAALTHDLDASP RRFARASSHGHRHESITSAAFPGENDPPSRVSMAPSSHHHHTHHNSCYHCHLQDADRP QHSRHKPSLSSLPGPKLPRLQLSTSGLGFSESEFEIAPDSPPRKVMLSESERKLILQE RELKLQRQMSQKKQSTQQLQRKPSLSLSTREAAEKERLNGPPGGYRGWRNVALQPMVT SATHNDQGNSGMAHPSSKAGRAMPLANTRSRSRTGPGRLDLNGPSTSTKMPGQDRGKA KASIDRGCGRGSGERFRVAPAGTGGGRGLRKSVEW EPUS_05701 MAVSAEGNIGTTKMKLECPSEAPHVKVYLASKSSLKDRRVLVDS AVPLEALTQLSGYFRDAFPVVTEASQESLIPNIGPDQHNREASFAPAQVAHVPGGPIS ITIMGGDALADETFKIILRWILDTYKSGETEQISDKLSFTDLIHCMGVAELLAVPVAL KDQFYQRLAKIADDQVPLDDVKALYAHATTDHPARSMVVESVGNAYLEKRLKNRNAYY EYSDENEEFKKDLQRYMAEKNTPFNISGTEPHAVTITNEAKGRDETQGTEANSMSWGP ATTTTPTFASSCGNQALKPSERSYLPAPIVSFDGPADEWSPVGGVAGDQWTACAPGWQ DTSAPAGGFGEDAWATTGGESTNNNGWGDDTGGGGYAATEDDNTCRRCKQSGHFARDC PEPRNDNCFNCGQPGHISRDCTEPKKPRGDDRTCRKCNEVGHIARDCPAGGAGGGDRA CHKCGEVGHFSRECTSSAFGATAGGAGGGMKCYNCQQYGHKSSECINEPVEREYGSDP RTCNKCGQQGHISRDCREDGGDSYSMQHAGGGYGEEYNTGYGGGYDGQAGLYDPGAGA EANVDPPREEVVNVTVTATRVRKGKKGRPGYVDVTQMLYDPRAYEGPEPTSSYGGGGE RRGGGGRGGRGGRGRGRGGRRNVGDDGGHGGDFANDPPAAEEIATVAVEGDWADAGDA GDAGIPSAPAASGW EPUS_05702 MKMNYTLSLYLGAPGEQASSNVAADIYFQYPDGSGMLNVWDEAG NLPSVGALVSWARLETKISECHEEHDRCRQKVQRVLPQGFRVIDITRRRIVETTDVAF IALSYVWGVDTRSPLLTATRATISGMKEDGGLPTLEMPRTIEDAMTISTRLGERYLWV DRLCIIQDDPKDKMNQIEAMSDVYTSARLVLIAAYGNNMDFGIHGISFTNIIREPEDD PLALWHTRGWTYQEAVLARRRLFFTNVRAYFECVQSTCHEDAYNSETDPNEFTSYELL MKEDRSRFEAFARHLRNYTSRSLSYQWDVYNAITGITKALYEGKSTFINGLPQVDFDR ALRWFADLGNTSLCRLETEEISCPTWSWSAIMNRADQVRYQETALYGTLALWYKKDTS SFAGGQLQAVNLHSETEMDDNWSLYMAIACEQGLVGSIPTCWSPTTDSFSTICERFNM RWPDYPTFCKEAIPSAKWPQWCQKTNSLLPALRQGVLLTATQSGLLRLRERSPKTGLS IIDAAGDIVGELCGDVSQLTKELTAPHHDQNASYEFIALSLSGQRLRPYIGQERETKN YFDVGSNPLDTLPIVNLLMVGWRGKYAYRRELGWVYMKDWIEVDREWKVVMHE EPUS_05703 MLDRAWLPHMAVEHNFDWKPANSPTKIERAAAPVGFPRSINAEM VWEGADFQRQPGLYRYELTKRHIQEVEDAAMEVEAQGLPLGQLCPENFCLATFGPVLR RLARDVAHGRGFFALRGLDPSRYSKSRNIVIYVGIASYIGNRCGRQDEYGNMLLHLTD LGSTAAPDNERQAPYSSVGQPFHTDTGSILGLYALEKATYGGESKIASSWFIYNQLRR VRPDLVRALVKSDWIFDTFGQNPPYRTRPLIHVEDGKVVFSFSRRPLLGSATSPRSKG VPDLSDMQVEALNMIQLLAEEYALVIDLGPGDMLFWNNLGLLHARNGFTDSHTRRRHL VRIWLHDDDLGWTIPQQIREPWRDAFEHDGREQLWPLEPITDRTYITTQQRSSGHS EPUS_05704 MAAANYDDPFGDYDVPALGQVGFDLSPSPADSGPNSIHPPLQVS LEASPYPYTPILNCNSKFDGLSPWAHIQTASSPSSTSTPLPPTDLSSTYNGLSRQVPS ESKRKSKLLNEAVPNKRPKSAHSQRHSKHTAMNRKTGACLPCQMRTNKHVCLPGPDQD GPCQACLKRAQTPSLSPVPCRRARFQDVKLIRLGPSRDFATTLRWLKDLKPSSDPQKA EWKKLTNMAVKKSRGTDHGYTELRLSQGHSEGTLNLRVQEFDPVESDKTVYQWIDNGI THVYRCPHFAIADRDHAADQVRQFIDSNSGEYVDRLLPASSEPRAAFARMAFQTAVNR AQKSSLLEMTIKFWVAGRLIEKPWSIQGQESLGMRLDTCPASPYSRRIPVTPIMDFQI DNIVIYDYLEVVLGRIRKAMKQRIMPTKKEDWFDIHLATFILLHHVDLTMKHDVDFAQ DHNLHKRFSNRPLIEMITFGANSLLSFHQQEKGHFPLSAPKWTDVESSYTFDETQKNY LLEARRLIQQIQLPQIPGTELFWTSQVYDRNWQPATVEVC EPUS_05705 MATQPSRRNLSAGDSQEKVGRRDYNTPGTERASASQQQVQHEQV YTISGVDLTNCVMEEKQYANMTPMERFLRDGGGSLQRKMNKSVPKALL EPUS_05706 MRRPFDRTRRQASTVAIRLCFWERTGRSRKLEVTNIFFGMKDGT MRTLRLNDTVLDSITRTSVISLAKRRAIEVEERDYYFVDFYDDVMHGEVSEVFGCGTA CSLVAISSFYYPSREAKTGSNKSAVEFDINGEDPFGWRYEIRVQDLPLFSWEKNVHRY GLSLPAISYAGRLTSKRV EPUS_05707 MSTAWFGIFLGALDSTIIVTLSGPISSEFNSLGLLAWPATAYLI ANAACQPIAGRLTDLFGRRLGLALSNVLFAVGNLLCGLATNQYVMILGRVVAGVGGGG MISIATFLTSDLTPLRKRGIMQAIANLWFGAGAMLGAVIGGLLHDHTNTGWRLAFLAQ VTSALLLVLAVWMLVKVLKKQSDKTYLAQTDFLGVFFTSPPNSSQSRHHWHASARRRL LKSSLLFFTLQQA EPUS_05708 MSLILALTAISLSTAANALPAQPGIDWQPCLELNRNISALVGVE GTPFDCAKLSVPLDYTNPESEPLDLDLFRVNATEEPVLGTVLINFGGPGGTAAENLPI LAAELAANIGEQWNLLSWDPRGTGKTIPFDCRIEDPRTTISTRKRDNDVLVSGNLTER FLNGGWDTAGVIADACYTAMNGTGSYIGTAFVARDMMEIVDALGEDGLLRYFGWSYGT ALGSYVAAMFPERIERVVLDANVNPHDYQIGHYGEAFVDADKALLAFLEECLKNKDEC ALAQYTNANETADLLNPINQLLTPVASNASTSTEAWSSFTGIQGIILQQLYYPFNWPT LAETITNVLNGTDTQTAGAAPEPMVEPYNLGDPWATVGIRASDALWRTNSAQEYLPQV EYQNTVSSFNFPYESLWTSARWKMDAKERYTGDFRVKTKHPILYVNGEYDPTTPLASA YNGSASFEGSVVLPHSGYGHGVLVSPSECLARHIQAYFKDGMLPERGTRCEPDLGPWE QARARAEGTTGGSGGNTTAEAVTSSGSREIISRVLPFAGALAAAGMSVL EPUS_05709 MSTSLSSTSSSNATKTDSTAPVGAAPVGTFTDDSTTFSDFVFKA LPTTFSPVGESATSTDSAPRLMATSSISPSIAVGISSASSTSVQISSSSVPPTLKSQR VASSSLAAAPSISTSCTTSANATSNSAALTVANTSAMPSANVSLTSNVAANHESIPII LSSFTAPAAPTAPPSLIGQAQHYQAIPSSMVAISGAESASAPTPAPAPSLQPSVSSRP IAVLSPSSSLLPSLAVDEAAANPAVPNKAGTGTADIRRVTVVSIPTASPMYTGVATAV HVPLRSELGGLVVAITIVVFMG EPUS_05710 MATPRRRSARLSRKSSTPDRLSARKTPLPHIQLGSLVERDETPE GGPQSTLDTIVSSPRAPADAKTHEQLNQLYAVKTPKTLPRLAHAEMHPKEVHQTTTNA PDSGLRLGFADISPTKTSNTLADAQNTPTKRAPRASLPHHLTAAGFDFKFASESHLSE EAQMLMNNVREDAERIKMHILAEKGTQARKDDEAKRLFGGVSATGRKMAKPQGKAGRF SDVHMAQFKKMDSIANHPSSFRAKPNFAQPTQQSLKRSGSKAGLDEAERPQTAGKGTL GKSAPLFGQTNTSSNPQKPLYSLLMESNRLDNDAPAKRRKSIFGDVSAGRAAMKDDED AVSRSSTLPRPKGGLPASLLTPTKASLARSNTAKIPLASPGKVSLVPRSNSVKSLKAA DIMNSSSDSPEQSSSPPKQVGLVRLESTKCMRPLPPLPTNALSSPAKSSNIPTSKPLP AIPSLALSPGKPSLSSRLPTFQGLKSILRPTRRGIGKTIQSPTKDTSAGDTPKRPNTA APSESDSIKKVDFTPSTKSRYAVKLAAASPSPSKLPQADPIGRSGPLSPYDPAAYTLS ESSNDDAGEDEWEDAESEVDYPVLPLAASNNNTSARDFTAKAKDHSRRESKEFKSIFT TLEHPSRSNTPTTLTDVNTKVNVRGAGVIPPYQQQKQQANVVARSPSSNVNNMRYTVS AKPSPSTIRKVRSSGVSELVQPFEDTIRTVPHGLPGKKRRRELDDEGDDAKENRRASI MPNVPGAWDNGHWEGKVVDVDEGEKRGGKRAKIQPKQTEKEVGQTAAGKVLKKPNKAR EAAAKNAKDRKSGFSGQTQAQAQKQKQNLGKGGEEKEKASGGGRVSGASGRGILSMSR LNMLSRPKERR EPUS_05711 MSTPVGITVPKMLPITGTWTLPLTAYLYLLSVRVSLLRIDSKKY VGNKTSEAEAAGNKPDPLEVAIRSHANFVENVPMALLAAAIVELNGGNRKILNGGLAA LLLFRILHVEFGMRGAHADGPGRLIGHAGTAGFLGGMSAYAVYLVKGYWGF EPUS_05712 MDLPHEKGIMLTEPPVCDRCIDSPDSIAKLEQHALVLREDPEAS QKAAANERLCKIRQPAVEIGNRRNTIPTRIEEKQSPISQLPFHKGQPSRPLPAWMSLL PSNVNPHVKPPSRSILSRRMSFPSYSSFSKPIPYMTLLEPPISHDDYTSSHPPTPPNV SLDGDITPRASAQRERHNSSNNVYTSSLPSQSPIASSITFTIPYGLHHHATSSEVRVP TLYFPTTIPIKSSNPEPPFSSGQPRQKCLNDVQESKTLPQTQSTLPQSTNSPPFMEEL SSFLTSRSAETKLILPSRALQKTRWKIGLPKVGVELGKERGRDGQEEEGCYAVGCGGE CALTRLQMTIGGEEKDAESSRKATEA EPUS_05713 MPFSWDQMIPGHQQTFKEKTIALYIDENRRLDGAGGVREYMKEH YNFDKSVSTYRREFVKWGIKKNDYPGTTNRSSQNSFDSGYGTGSNRTSSAATSLFERA SSFRRQSEQSLLRLSFPNLFSQTTQPPPRPQSSQLLADIPETGVTGGSSNHEDSTNRY TGGIHTSDSYIADRISHTKGLTHPSLQEHLNYPHYQPPHAPPSHCSRAGTSSEFSDLG LETCQQCGATKLHHLASISRRTDVVLFKEVVRSNLDSINETDNEGNLCVHFAAGAGAS LDQLAILKAANADVNRPNYCGQTILHLLDPQLYDCSIHAFVTFALQYGLSFSQRDNDG KTPLHHIFSRLVTLANVYDLLPLIDSAGRSMTLLDRNGNTPLDILGRNWEKVNQGVHL AELEAKLISYNIPPAFRQSPNSGTRSPAVLPSMSKLSITSHDEDTTDVLNIIKRSRHE PFCQNNSNQNVLHALAAFSFHANHQISCYMTPCRLWECLQHRLENITNVGVDVNQYSS DGFTPLHSFLTATFDISLDIPWLVPECVELLLQHGADPRLRDRDGNTALHLACSRGRF ECAGKIISHLSNHYPKQQHNQCLVAINDNGKTVVEHTEASMNSETMEANQRRRNCIGL VRAFLGEPIVSYMPAAYSSMATFSSTLGSPATIWSAQLPNHRRKRSDSPARPTSGHRR ILGWPSRTSSSLEEPQPEMRSAFDFED EPUS_05714 MFPNGRIEDLTLGLPNIKQVKAASRKDLPPVMWRVYSRASAGDN SEAAFLAHALSERVAHKYGLKAGSRSRRVEDVDQTEMRGMLSNHLGWHKKNGGFESRF ISVTSSPLFALQLAIQKHARMKREERLAKRAAEEAARKKMKRADKKSELDEEQDDEDS DIEIPKKKARVNVPEGDIFISIIDTSTLPKGTTIYKANVMLAAYENGKPLTQNPEFFV AEYLVWETLKVDSCHVSFDTLLNKGFLTLFDDFKQSPNNLQTQVQNLRSSLFDWGPLQ DKKRTAIPHRRFSAAMRLAASFADKWQLPMFVAFLSLLRRWHTDDSIILRAVQAIEDG FIGLPDRLDLPQKRPSRNSGVPEIAGYEQLMWTLCQYLKGEKGVQGEDEPSKGLPVGN LMARGKGMRGTLPYFVAPILKKRPPRFKLEPKLKVKQEVKVENNVDAGAKEEAQNTSS SSIPTDMPDPSKKRKSPEANDQEKQAGGSPQEVDQVPVLKKVKTTNDASNTQPLAEPA SAGSDATQLAPLYNESMGEVAAGDAGGLAPDQMIDQTAAENENHVSFEDCRGNNETGT SEWEGFDSDDDVEGGNQLSEAEDNAALGEGFAVGYDIQPANEGKLGTEKEDGKEDKTD QKQSDADHV EPUS_05715 MAAIQKMSAAKLASLKHGPTINILFRENDTTDLAMLREGFPKQV ATTFSALCRQTFPALAGTSMADISKSPANSVTIIGGRVDAHKAVLSWMLACCEGRGMR PFPYIHRRRFWHYAHALESAEILQIDILCEELWGRMKDIAKLQVHTEDIHAVYSTTEK GYPIRGMIAESIGRALLERRLAARPAYNMLRQDPQLKDFDEDVNQAIARLKKEYAESE QGRAARAEHQAARKAAKEAAQQRFQRRRNNPQKMTTAEVAQHLDVPTESVKSEDDGTF KVTVSTEIIRKGRNGRGQYVALPLRQAGVTAEAYRPAVSEAAPPIAKRATAEKPTERR MHGHKAVPNTTNSQT EPUS_05716 MSLTISAETPPAQLFNLKTEDSLRLLWRELTGSAPKTLYEFFPR KVATAFFKSFDEAFPSLKESSVAEQLEQRPATFVITGGRRDSYRDVLEWALSCCNGGK IRNFQFIALHQFYNYSMAYLAARHLGIDFLETQLMQRLVNIAGRQVHLEDVELIFSMI EGPHELKDMVCQSIGTAIWERRLHHRGFYDKLRRTEGFEEFEQGLSEVIEGLKAAKKS SPEHMAIIAEKKEQAKRMKWRLEEQEKRQTARDVANKYKVKPSAVTVRGTQGYTISTE GRVANRSNNACQRRVAVPHTNREITSESFRAPLPDFGSAAPGPTPKSTSKSQSSKKTK SKETVSMQANSDQANSSTAAPS EPUS_08740 MSSDSHNSPDNAGGRSLPADGTSPTPPANTGDMPGSAAGTVTTS SAVTSTGTVAASDPLSPENLEVIDGFGHVRPRRQQPQTQPQLSSGTGTSVTAAEAAIM QAAVNIPVNDSNTNLYRMPGTNITADARRHDPAPFQTVDPAWLNEPWLPSWDTHDTQA GELGVADRLEDEQQGWVMLGSQLSTGGGNGLGPGFGSGAAAGADAPHPSSTDFGGQQG VGLVGAAPRQTEQPITLAPIEGGEGQAQRTPVHVSGFGAGASMQPPAGPLGGGSGPPA PSINLVSRDGADEQNPRVGLIWDFEAGAATNHPQVVTNPPPRVHRSPAADHPRPGGRG RGRGRGRDRGTNINPPSVLTEPVHGAHGPGGTSLLPPGPTFPTGSGPSLGATTGLPPG RPHSRSQIPITRFSTDDEIRDRLQQLPDLINEQQRLQVEALNGYVAALPEFRNQPPEV RSRRVQDLWMNQTQFFERIFIRDQNVDNALLNAAFVRHGRPAHPLSRQRVLLEVVMRA ANGQPQDGLSPGEELRSRRVILEAQLLTMRAWTHAWSQRLPLGHPARIDTNIPFNDGI ARSQPRVAQTQAVAAANNVSVEEIERITAFSDFMESR EPUS_08741 MSALMFPEAPAPTVPITLVEDTDLDCLLVEFPNHLIIHTVMEHF CAPSARHPTNRGYVAKEMAQRLSVHNNAVNGLDMDAADRIDNLVDWIRKLKKSANVAR RKRQAAGQTVELVPFEGQCLRPGLEDEQNVDEADDNGNSGAPTLLGLRLKQQADEALA DLTKMRVNKARSDAEHDGDAVSPSVKKN EPUS_08742 MATPPKSTAGSNKRDFEEYQEQKQIGESEESVKKPRLEVTSESA HTFDDLFEDPPENWTEDEAVKMWGDLLNDPEPEGGAKFGGKEQQKFGERYGQGPALTA ASSHVEHKSVVIEQDMPTLSQSTAPEKTNEADALKEGESTVMGAISSTQNTSTLSNPQ PVQHSSSSVEKTLKPRFPPADTPLPEGISLDKLCRRWPHHLAGRTLRRFIEEGCNAGW IFVRLDYFIRRALDKQGALEKKKGWEVVQQRLDEEKARMAEEAKQAGLQAQNNMPAGS SNRPDTTSESAPEAIAPQNQGQGQQFRVFQTPQTSVDSLQSTSRTTLAQPLTSPQLPA LSADIPITNVRHTPASSREGGFSESQRQPPSAGTRDTRHLLPTSHIDRVNNHTREIQH ELTKHQNILRDISFADSSWAHKTPEERVQDERKHWMDQARSYEEHVVDLLGGDVVGID FQSTRPRDVLARQLELIRRVLTKRAPHPDNASVQDIRINNEKIEATSLRQQRDVLVRW TETLQEMLAGIRNAFSQSIETIEASSGTPRSQAAQSQAHGTHSLSPPHRSQSFGTEVL SNLPARAQEGQVLGHQRPESRPPSSPLDRDSTRMHYPIDLPPPNEPAAPTSRPEGGSV TARTDQSGQRPYYYSSIDGQYHAYPVFSVPQPQIGYQGIIPPTKASSAVTPVAGPPTS TDTPKRKRRGAPRTVMFPNVPRSNTPLSALAKVDNEEVLRSFPEHLSIPEVMRRFVRP NGAKTGGWQTRKMVNYLLGHENKKDYTGISENERRANLSRWVTKERDSCNNKIRKELG ISKKTTKSTIVQNQPSSTSSSILPNTQQITSLPPLAHSAPPYPQSGYSQLPQPHGGFP QPHGGFPQPHGGFPQPHGGYPAPSYVQSAFFTAPYSQDQHLTLQVTGAPPAGYDRIMT DAPSGLQTLETPQVRVPHVPRNQGGLSDALSPTSSRLQESLLNTALGANEWCDLEEAE GDWEPQV EPUS_08743 MKRSINDVEQDTAGLAGSARDPKRPRTEYNYEAVPKQFPKPMMK QPTKADTTVPAKDTLEGLVVHTAKELHTLISLEIDKQRELLCSRFYTSSLREFTQDMM DFWCREAQVFEDKTLAQWSLDTKDIESPRGMVLQMMKRQYEILQKAWHKQFPPPKDTR SFEYQHYREHAKRSILISQLQELRKWTARWQQRLRVEIDQPRAGSSRHRNDGLRQRPE RSATSQHRLRNNQEHRNRQLPLPARDQNLPVQGGARPSRPSMARDRPQQRDCSRMFID YCRRFSPPKTQHIRTPDLLTAQSDHLGQHTWKVQQPQEQDYQYGPWMSHANVPQSQPQ YVGIDRRGALGAQVSRRQGQASAAASPLLIGPFNTYDHNQCPVDSRLPDLVLDHSDSY DFDLGIERETRPAMDTIGENQCCWA EPUS_08744 MGHSALVLQQWDRLMQIVEQGDSEEVIIDGKSLDLAAVVAVARY GIPVVLSESTYVPVERSFKFLVGALERGDCLYGVNTGFGGNADTRSSDTQNLQHSIVR QLHYGIQSPGLRSRAGILGGRSNGISNGINGRSDHASDIFLQNRPNEDPFASTSMPES WVRASMLIRSNSLAAGHSGVALELLRNIVKLLKTDIIPLVPLRGSISASGDLSPLSYI AGVIQGNPYLKAWTGVFGQDRRLLPANEALKTIDMPPRCLGPKEGLAITNGTSVSAGV AALALHDAHHLAVLAQVLTAMGVEALTGNAESFDQFIASVRPHPGQLDSSKNIRNFLK GSKLATSHGTSIFESGMMRQDRYALRTSTQWIGPQLEDLCLAHSQIMTDCNSTTDNPL IDTEGQQILHGGNFQAQSITSAVEKIRLSAQRLGRMLFAQSTEIVNPALNNGLPPNLV ADEPSRSFLMKSVDIATAAYMSELSFLANPVGSHVLNAELGNQSINSLALISARYTHM ALDVLSQLSAAYLYSLCQALDLRALQTQYLLTVSSSLRESISAGLSSFVVEGHNIEEL ISLLWSHFVKELNTSTGMDSSQRFRHIFVRLTGVAISCGFLQEDAKLLPAIKVWANQS CEVALALFLQIREDYACQPHATPFLGKASQRIYSYVRHDLGIPFLKRERTIGPQAGPF DEGPGCEGTTGSMMTIIYDAIRSGNWIGIELDDDSGKNDGTVQGQRYFVCPPQRGMFV RPDTLTRSLEQPPSETNGKRADKSVHEAATRPRQSVMSAETARKRQSMMGPGSQRSVP GSRLSLRSPTKSPTKITPIHDDNSSRSSPRTNTPATPRTSDSSTRSRPSVGGRPSMGP PPPPTAPGRQRLSLGTALNKSTTTDPSARPSRLGARLSIASHRQGLSTGREQSQTRSL ASEETPMSPSIDEGEEDEEQLPEVEKSRGIHVESVQKPAAAEPPSNVPPDEGVEAPSP QLQTNGLGTARAKLDNSPALQKELEQMKVKYKIMEKKRMEDRDRIKALDTLKAERDKF ESTMQRLQRKCQTQSQEITEWRRQLADSEKRVEEIEKADAERGTEVEMAILDKEMVEE RLEAATAELEMLKDRCEELNLEVEVMRDENKELTSGMTSEERSSAGWLQMEKENQRLR DALLALRDMTQESETGLRNHVKELENDLSAFETLKSEHEKTKSDLTASQTAVQTLKQN LDAAEDQELVVAQLTEEKDTLIEQVGVLSKNIMALKEDADVSKQLQEAQDETEKLLQE DLDEARASVLERDQKMKDQAKVIDDLEYTLLRFKEVLDGLQSDLEELRVDKQITESET NELEAKSRAMMNLNLRLQSTAAKTQLKKLESELTQVNAEDTALHLAIIQHFVPETFKE ERGQILALLRFKRIASKAQLLRSSMEENMGHSPETTQGDPYALYDLMEKLQWVSLCCS RCHHFGMGCTVEDFCKFDAALDELEPVERAINTWVDATKSQEIDIARCAQDLQRVIAL LSDLAEKTVPCKPETYADMVTSWSEMVQVYSDSIGLELGLLEESIRSKTVLREGDEDV SQLSRKIQNFIGQTHTSQAVAAKVLHAIQERRERSMTLGEPHLTLFQAAEAVGKELSE FVRTLGQEVQQKFSADVVGNELTRLDNVLESMQEAATGWLKQSSYKSSKTASTLEILG DMLNAFHSKLEGLLNVVSNLSNFLEFERHPAPWTVRARTLKEQKVVDGQTAEELRKLK SAAREHNAALTAKDKAIEEQTLKLQLLEARSKGAKDHTIALQKLEKELTGAIAERDKA VSELKGLMREKQALEQEYEEASARLTMLTQIGDSGASAQLASLDESASQQLSIDIEQC REEIADLQSAVRFLKAENHRLLYPVSPPSLVTTGHVWLETNPLPRLEGIKNGRGAAVA AEAKQVLKRLLDVSSALKPLRLQDPSETNTGDKQSSWRPVRTTPMYLASQQREEYEKW AEWKDDLVRYAVRGQRRKDSMTTGHPAKGSKPCVTTPHSEVADVVEIVDSSP EPUS_08745 MTSNSLTGSAPAHMQPSLPALPAHQQSDTHLTAHLASRFHVSLP TARLSSQALICLNTYTTSSKGPNGRKEGSAMGEAEDLARRAWTRLGSRAENQAVLFFG ESGAGKTTIRSHLLSSFLSFSSTPLSSKLSLAAFVFDTLTTTKSVTTPTASKAGLFFE LQYDASSTLNPTLIGGKLLDHRLERSRIASVPTGERSFHVLYYLLAGTSAAEKTHLGL DNVTNVTTSGTGLARSASVAHKRWRYLGHPTQMKVGINDTEGFQHFKTALRKLEFPRS EIAEICQILAAILHIGQLEFVTGQSTTTAAEESGGYSHEGGETVTLVKNKAELGIVAA FLGLSTQDLETSLGYKTKTLHRERVTVMLDPSGARGNADELARTLYSLLVAYVIENIN QRLCAPEESVANTVSIIDFPGFAQSASTGSTLDQLLNNTATEALHNFCLQSFFERKAE TLEAEEVSVPATSYFDNSDAVRGLLKHGNGLLSILDDQTRRGRTDAQFLESIRKRFEN KNPAISVGQATAIMPGSNFATTNTSAAFTVRHYAGEVDYPVAGLVEENGDIVSGDLMN LINSSKSDFICQLFGQEALKTVTHAKDRTAIMQAQVSSKPLRKPSMARRKGDRPARFG GRTATEQSDPDDMDDSSSNAGARSVTGRRGNPIGFSQGAAAQFLSSLDNIIKSLNAPN LNSYFVFCLKPNDRRIANQFDSKCVRTQVQTFGIAEISSRLRNADFSFFIPFSEFLGT ADANSLIVGSEMEKAQLVLDDKRWPANEAKIGSTGVFLSERCWASIAHLEDRGLPGYA DDDETPGIPGDKSFGDSKVRLLHSTEGAPYGYSDDLKAGGYFGSKELDTRSEAGASAF NSGDMFRNLETREQMAEKGNERKMQEVDEVEVSGSRKRWLAVVYLLTFYIPDFLIKWV GRMKRKDIRTAWREKFAINLLIWLSCGFVVFFIVIFPQLICPRQDVFSAAELSAHDGK GKNSAYIAIRGQVFDLGAFMPSHYPSIVPQSALRRYAGIDATDLFPVQVSALCQGKDG SVDPSVQLDYTSTNVTGSANVISATDLNKKYHDFRHFQNDSRPDWFFEQMVMLKANYK KGDIGYTPQYVNTLAGKQQSIAILNDRVYDFTDYLRGGRRTLAPVGEEPPQGVDTNFM HPLVVDLFRQRAGQDITKYWTALDLDPALRSRMQLCLDNLFYVGKTDTRNSAQCLFAR YVLLAISILLCSVIGFKFFAALQFGKKNLPENLDKFIICQVPAYTEDEDSLRRAIDSA ARMKYDDKRKLLIVVCDGMIIGQGNDRPTPRIVLDILGVSETVDPEPLSFESLGEGMK QHNMGKVYSGLYEVQGHIVPFLVVVKVGKPSEVSRPGNRGKRDSQMVIMRFLNRVHYN APMTPLELEMHHQIRNIIGVNPTFYEFMLQIDADTVVAPDSATRMVSAFLHDTRLIGI CGETSLTNAKSSMITMIQVYEYYISHNLTKAFESLFGSVTCLPGCFSMYRIRAAETGK PLFVSREVCDAYADIRVDTLHMKNLLHLGEDRYLTTLLLKFHSKYKTKYIFNAHAWTI APDSWKVFMSQRRRWINSTVHNLIELIPLQQLCGFCCFSMRFVVFLDLLSTIVQPVTV AYIAYLIVLISLSTDVIPLTAFILLGAIYGLQAIIFILRRKWEMIGWMIVYILAMPVF SLGLPLYAFWHMDDFSWGNTRIVTGEKGKKVVISDEGKFDPNTIPKKKWEEYQAELWD AQTQRDDARSEVSGYSYGTKSYHPTAPSTHGGDFSPNPRAMSQLDFNGGGIHYANNNA SRLSLAASDAMLMGNGLSRRQSAIDVEMSDLTGLPTDDALLNEIRDILRTVDLMSVTK KGVKMELEKRFGVNLDGKRAYISSATEAVLSGQL EPUS_08746 MANRFSQYSNTSAAVGAARSAAQQSTQVSTTTLLNTLHTIYTSG QTYQLDASTSLAVNTWLTAANPNTEQRVGGTFDAELGRTAWEHARRRAEDGCIVLASL HDSTPSILSPFLGALPLSTPQVAFTALAALRPFLTCVTPHNPATSRHSSIATTYSITL TGRITALTISLSLSGIDVEKGLVNIPAQAGYRAFDAFYYLLTSASTPAEREFLGLKPA AEYSLLNKSGTYNPPGYLPAADDAAAAEDFRAALKAIGIRGSLYRGFLSALAGLLKLG ETTKCLVNDASLEEICEDVGGLIGVEPEVLAQKCTTTDREVFIAGAYEALVDWVIAKA NEAIANELHSMQESTSDDDNGDSVNITVIEIPGEALGKAVALRGVFDDNLGINAEMKE DGVEVIPAGHSVLKEMTNAVAEVEADLGISGGPLSREREQDHDRREGILEKIGVEAED GGFLKTLLYPVEGEGLNFGKKGRFDLPAVLGSSRVWYQLSLHPTDDSPATLATLASTT SAWSAGAVSRQLRAWRLPEWANRRNKNLDFTADFDVDEFQARYSRLGCKEGKDGVESF LLERGWSNGEVVIGKDRIWMREGAWWEAESMLDMKPVENEIGQDFGGAPNPFATGYSA NTPHNGSGFFPPMGDAASIQGSRENLLDGRQSAMGHSLMGGRKSVAPTMAPTIARTVQ TSGGDYGLGAKGDDKRDEVIYEEDLGRFTGELDPEFGDPKKIQQKTIPFGRRVWIVVV WALTFWMPSFVLRFIGRMKRPDVRMAWREKVVLVLIIFLLNATVVFYIIEFGRLLCPN WDKAWNQREVSYHQGDDDFWVSIHGKVYDISKFWKLQHSDTNVETNAANMQPFAGMNL DAYFEPPLSRACPGLNIDESVFMQPNDTNAVLYPQGLHRSGPRLQPDPTTALASWDWY NRKFLPKIKEYYKGDLVIATDEIYKQGQDEARQWVIIRNNVYDLTNYFYTLRRMDNFR TYEFFPTQVSNLVRNNPGTDITESFGSSAAFQNSLNCMNNAFFVGKTDFRKTPRCQVN NYILLAFTIILCAVILVKFLAALQLGSKRRPAAQDKFVICQVPAYTEGEDQLRKGLDS LTALQYDNKRKLICVICDGMIVGGGNDRPTPKIVLDILGVDPKIDPPALPFKSVGGGS EQLNYGKVYSGLYEYEGNVVPYLVVVKVGKESEQSKAKPGNRGKRDSQILLMQFLNRV HHRAAMSPLELEMFHQINNIIGVDPELYEYLLMVDADTSVKEDSLNRLVASCANDAKI AGICGETSLENEERSWWTMIQVYEYYISHHLAKAFESLFGSVTCLPGCFCMYRLRTAD KGRPLVISDKVISEYADCEVDTLHKKNLLSLGEDRYLTTLMTKHFPAMSYKFISDAYA STAAPETWSVLLSQRRRWINSTVHNLAELVFLKDLCGFCCFSMRFVVFIDLFGTIILP ATCVYLGYLIYRVATNSGQFPLISIIMIAAVYGLQAVIFIIKRQWQHIGWMIIYILAF PIYSFILPIYSFWNQDNFTWGNTRIVIGEKGNKQIIALEDEGFDPTTIPLQRWDDYAL HNNLPGRRGNHGVSQEKSYHAGYEGAGGMEMDDIHSTYSSVKPASTILTGFPQQQQGP YMAPPRASTVYGGGLPLNRASTMTGLTQYHDQPTSLGGRQMSMGNLSDRPYQDNPTSP YQSGAGMPYSASRHSLLGMPTSDSYNNNNAFRQSTASPAPFGAQHQQQRMSSNIDFRS AVGAGPDDGAIVEVIQNCLREVDLDNVTKKQVRALVEQRLQTELTGEKRLFLDRQIDN ELANM EPUS_08747 MASGIEVAGVVLAAIPLVISALETYENLLDPTKAFFKYGAELSR ATRQLVNYYTSYEQSIRILLTPIADPQELHDMMENTDSELWKDNEIEQALQDRLGTSY RAYIRTVREIESTMTSIAEHLNITGADKITQEGLEAIIAAHPPEIQHGKAPKFEFRKR VNFTMKRQRIKKSLDDLAKSIDQLDTYLEKADKLEEPYKVNRKSKFALPLHLIQQNAA RLYDVLSRTWCSAHSTHSAGLLLEQRLVTKKKRGMASRQRGQSSEKCDSNCFGILLLQ TPPTKKWLEVEFRIVEALLTEESSSSTVQVVVSTPPTASFSIPKVTLPYADPSQLQVV TNLCSVLQQSRHPWIGFCVDNNGHLRGAYPAQDRSVAYVENGVGLDEILANRTCSLSK EEVYNLSITLTSSLLQLSHTPWLQQTWHKADIFFLRAKDGSAVAVDVKHPYLACEHKS DKIQIVRHNVFSENDSSKLLALGTMLLEICCGQPIENLRRAEDLGPNNEPSELSNLSA ARRWLREQKSKGDISFAFYSAILHCLKCFVDPTADLENREFSRTIEEQILAPLEEEQN ILLWGPPSR EPUS_08748 MVNDQTNRSRSKISEKSEEMDGTATPSTPTPQEQQQHRPGRLLR TPPPIRPYDPVTAIKEKWLAEPSAQAETDKEAPKSAYIQEEPRTYLTGPPARRRDQKR KAELIAAAAKAAVAKAAAEAKEEEEEEEEEEQEEDRDELTMKDFKFNIT EPUS_08749 MAGVKINEEMAMVVFVAGLKQNFSKEAYAELHRQKEEVDMETLR QTLVQYATFEKTQGQTNKEKQVYPAEADRGYSQERGLSRGGFGRGGYRRVRVIPAHIY TGAICQKCQGQHRTENCWQQGQGPKDDKGGAGRAAQSVHSTILAGAVSYAEWLLDSGA SCHMAKERPQGLSWEGQERVITAAGHTLATYGLGQMKIGPLSLKNVRWVPGLDRSLGL CKGKEILRVEKKNGVYPLSENMKMSMTAAVQENSNRAAEVSAEEAAPASLPTKEVSAQ EEAAPVSALKKAPQRGAGGKWLQESLLHKRMGHLNRDSQPNLYSLRIEAGIEVATGAR LPFEKLPKPEDSDTPNKASEEEEEEEEPPTELGLHMTMITEILGDLYKLSFRIRNSAT RLRSLKPSLYKEVDEETGVDKFATYADFDKRHVNESVIQLRKEAAERMDMDPSRAAKS LGEDTFIIDRLATTLTERRKILRYWQRHAKKLKTGPIQTSSAAGPNDKGQTLDTQGLI EGSQRVKITPAPSVTAKTILSETIATEYDRKLDNMLDTRSAMSYASTSYDVQENAVEL PSPPTIASEQTEFLCPYCSIVYPSRHAKPRAWRAHVLQDLQPYVCTYPDCRDGLQLYN TRHAWLEHERLVHRRVWQCFKHKTVVFRSKFDLRRHLESQHNDDVTEAQVQDLLDVSE SSLADAREKCPICLIDRQFIEPLDEHMSSHLEKLATFSASRGIPTSDEEDSSGADDLS GKAQGLRSVYSAFSGILDFESPAESTAGPVDNEIPSKAPSLSDDKEPMLSLEHPDTLA GIARLASTYRNQGEWKEAEELEIQVLGMRMRMLGKENPDTLTSMTNLAVIYKAQGRWK EAEELQMQVLDTRKRVLEKEHPDTLTSMTHLALI EPUS_02799 MEGSHPPGEAVRTPQNQSSTVNYGQKIAYMNPTQRRHFVAMTGE FVGTTLFLWFAFSGAQVINTIVPVQNPSEAVQALQVMFLALTFGFSLMVNVWVFYRIS GGLFNPAVTLALVISGALPWARGACLFVPQMLGGMVAAALVSAMFPGPMSVATTLSHD TSVVQGLFIEMFLTALLVITILMLAVEKSKTTFIAPVGIGLALFVAHLSGVYFTGASL NPTRSFGPHVATRSFPGHHWIYWLGPVLGACIASGFYRFIKFLLYEEANPNQDAAHKS ESEYARMLQMTETNVTDNSMSV EPUS_02800 MSLDGVFPPLECHDIRSTLRHAFKRLTAIEEFISIRSNLYLDSG KIRLYKLGHDPPLPPLWPRLKRLALYNQDIDILELMSSMGKMQNLEVLVLTRPDGKQD ALTSNLLTAKPLKRVLIVNTARWLYHRPIFTDYPEKLVEGSSHANELAIVARIYLTID GKPFDQSTRLAEFLDYTPSMAEVRLCQGWTRDNAINGQLWDFA EPUS_02801 MSTSAFLNPIKEVVDDSNEEILDSIVEGYSEGNRAQETDEESVE DIPIRSEEAMQAIQLLQRYEEQQDDGSSGAWAVTGPLQQEWNNVLPTITETSDQLNFR EQLFRKDQGNCVIALPKWSFDINVARRAYKAFEDLKEGSLGTLLRAYKFYYAEYTIRP RGLRVIISSPDESVSAAGTTWTHSKIGGCLEINGKYYGLTAAHAFPDIATRAVASSHE ENDRSSSSTSKIGAGILELREVYAHAGIVENLYDNALLTSAQSPDTRMLLNGTIKDPE RASVAPILYNRNKDWALVPISNRRFATHNWVDLGSEQYMSVSGVAETLPEESVFCASG VSQPSVTKLSGTASAILLPGSDRLQIVWTAERGSDLGDCGSWVINKEGRPFGMIVAAS GDAAEGEVKSYCLPLAPIFADIQEDFNAPIVEPAARDPEAEDPNRFSLETHPLWDLKF DVREDARESSNDSTSSGSTESNIDKIRKVEGYGTDSFRASQSTRSTINVTQAQEEVEK ALRPQELSDTTRSNERQPKKPVRSHTVEIEDGEEPKPSNKTLENGDILSTVSDESRTS EYVESPAAAVNAPNLGRPKASDVHVEQDPEDEASDPQDCLTTDETQLTPRTRTSDLMD AARPTQGNRDHERASSGPVVLAHVSRRLKSPSPELEEKLEQEGIIVRQDEAESPLYRE DLDKGKKQSLARLNQSVLRIEEDIDEQTT EPUS_02802 MKLSFGAIERDEEAGDYEDTMVGVIEEAKRKADRQAKRPMRRSI NRSYIDPESLDQYGIEWRWESDDDWIFIKQDLTEERETELRSHTKKEKRKREDLEFEA KLRVRMSKAGFSQSHIGDLVRTLTDRDEGVELARRSDKLAIEIAYQQLDKQFESEVQE LLWEAGCPIDFCERILGENFNKEEYKSRDAISLTQRSGGLKTRTWSARPKPSPKESHT GQQPSKALENKRGPSTAKKDMSPRQQAAIQPQQTQAPQGEGRWAQPKNVATKRWDDND GELLEEAEYYNKRMLERARPGEAYLGLLKDWSLIDLPPGTRLVTIQGAGGAAQKISWQ QYNGCRRAKFFPRTNPAGKLETGRMDRMTRRDQSDFSNDDNPATAQTHSSPKQQDLGQ AQNDLGFPTVELKQGQEAK EPUS_02803 MHSFRFFTLVVTTLLTIPSLCQALELQEIRPRGSRVRGSNRIDK RDMSVLELKSTETFLWGAQDGSDATLGNLTVFMPGDNENILSMEKFDGMLTSAECNAQ GMTLGFEDDSSFAYAQRVWDWVNGAENHTFLMVAGKGDCGNNTYRIPYLVHSIEYDEE RNIARLNATQGEWKDLAHSYELRVGSVPMSSDLGLTRRDWTQDASMDMSADLQFKSKL KTGPVSGDLVCYSCYTAGKMKFEFVIKTKFQIPVGLKFRLNPQGVKASAALSLNVASD FNSKAEAFKESIGKIPLAGISIPGGILTVGPVLDIQLGGEVTGFEGSVSIKTGATATL PETAVLQADLLDPSNNEFSSWTPAIKTDDFTMQAKVSASIKLFLEPALKLQAEALGQG IETGIGLKMPYVEAKAEAIVSQGGGACEKGDQYEGALKLQPAWGFEIKFQAGKIGGKQ PVDVTLGSASMPIGKPLCFPWDIEKAGSPSSPSGPSSLPAPSTSPSTIDPKPTPSGGS PGGNSCTVKTTGKTGTCTTTAQCKSSGGNSTPGYCPNDPSDVQEPPKPGPSNSSNTCT VAATGLTGECISTSSCSSFGGKSTAGLCPGAADIQVRVDRTTSRKVVESERRADSASP QGLARARRHPDSAKVEIIFNVVLDDRRHFTSEDTARSPGRLNSLLVG EPUS_02804 MTRETSVDVPARPKSTLGVSPSGSTQLTGSLTSPKPSPDRYRNA SRPQVDPSNNSGPSRIQAASASASPSGSERGGKVPKHSDQCHPMLTMVAPTVFHPPGL RDPPVNILEHGLVTGVAVAGPMHAHSISKQDVKLVNVPPRSDASKRLTNPSPLSRPST TNDASSQPTPAPQESQNLGLSQSAQPKQESPAAQQLAAINKDAKKKSKLRRAFSFGSA NQLRRASAYNNSDQNVITDQISKRNSKFEDEMDPEQAKIAQKQEAGGLGESIYSGQGN FFTGSTDNLSIQSSASSASVMLRKMGKGVKKSTRSIVGIFRPKSVIGVPAADGPAAGT AQVSMVTVEAEREKVNINLNPHDQVGGGTGFPKLERNSIDAGTSVDTGRLSNDTRSRR SIVGGEKERAEVLAAVKKGILKRNDSSQSSPATTPRAYRVPEFSLPQIPRVNDSPNSS EPSTPSDGAPPRSGHRRTDSVTIEGSDSDYFSSITQFAALDAPGAPMTPQSSLVRNIS FSPRIQFYDTWPSMEYDRRGDIATCNRLTPMLAQQIKEELNTFKMEMEVHESSKVYTH FF EPUS_02805 MMTFAVDNVDAPSATGTHSQSQVPTLEGKSSSILQPNWHLGSGQ GIYWTPEIGHLSISEASMLYLIARAASEATLNLTLLRIVLAQMSLPESDAQEIARIYQ GFKLAVPAIMGKFQFYAYDESVYQRGLPRRDPFKAYVQKAMVSNDIGGLEHAGAVAAD CRGIAKTTRSDLLALVAAFLQLDEPVKALRYWNVLAQRGNPNVDGWRVWLDYAFQKKD YVAYEVVWNKLCTLFIPRAAEMWHQRLLLLHQTHQPSAAWSHFCTLVRYSGLNKTLVG HPVPLIAPSTIDIELFHMMIKAYLEGEDGDKARAKEVLQLMKKQAGLRVTRKTYMLFV EDSLKTGARHSAIEWFVEGKSLQIKFLPEDYALIFEYSLNKHGKAQMSPLAYFRSPVL RCFEAISAVMRLARGGRVSTLPGYQVEFSSSNIESALKAIPTEKDVDDDLPDPILKET QSLYAALMRYLAQDFAERPPSPSKMARLRLLLLLWDHCILTGNSASTEMESILRSAIH GMHPGLQEKLMTGVMFKNYDPEDTVSFYSYRSLRLIGRQWFSERIRLIASGRSRSQLE RLPWKGYDAVTEETLIDVGMSSQEDRHKILNQIKSWKNAAIMNREQYAREKRKAKFFD DLVSEAEVEFKEQPEQIEIRKETISKLQQGCQSSRDDKAITRRAVFEVLCSGNISASA E EPUS_02806 MKRPPPPYLQTSTNGNRTSNPSPSPSSASKRLPGSAAPLSANSQ NGVYGNGTGPRPNRQRKESQRMGEPTGRPQRLATRNGVVDGLPLERRSAKRYPEPYVP SESHILRKFKGHLPSLIVHLHPTHFRFDQQEGSFSYHSEMRMFIEHLQKRTIPHDMLE ELRKSDVRFYDGWLIVRVVDHKSVSATAGSASNTSSDDQYSIHNHNPYITPSPWRPYP LKNLLRAEGERKSPPLKQEPNEDSSIRPKSASSMNIQNGLHEASPKSRQPQPKIYHVA LRPTALSKHMDLVIDSMTPDPKAVNRRQSQAYPTARTPSSSMPPPTPLSAVPSTPSVE RGPPTKKLKMKIESKDLLEYEARIVNSTAPPLFLDALNSLDEAQNLLEFLKDPWHDEP PPSPKGRKRTIAELAADDALAKEQERFMLIMDERNIGSSATANATNADGPAAATLFQP RFEKFNALENIKSQHAENKRLEEERKSQQDHQRRNHQREEEARRVRAHEQQQQQQQQQ QQQQQQQQQQQRMEETRRQQMAQLQMSQRHAGIQATVATTGQQQQQPGTNHINGISAV PPNMQNHIAHVSQAQRSSPVVRTSTPHAHSSPLVGHVSHASQSVSMAPTSSNQGAAGS PPRPESGMQHGHPGVAMTRGPSGQGSSRHGTPQISQGTPAMRHSTPVVRQVTPTSRMN NASPHVGMVAPTPHMSQGAMMNGVNGMSGMAAQNAVMGANRQQQQHQLQNFNQPGNPH TLTPEQVAYRQRQQHTLHQQRAMQHQLQQQQQDGQNPQTFQQPPSAQNAFPHTTANYN AQLHKMTQDLMQAQAAAAGSPPQNHAPHQQAVQMNHHPSQSGMQSQVPGQQQQQRPAQ PTNPAQVQQFFTQRLRVVQQHLFQTLANQHYGGNPQLIQPAQREILQQRAKQQVMHEI TQRGMLNPAQRQGQITQQQMMQMQQAQQHGQGSPQNIALMRQQMMQQQAIQQHQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ EPUS_02807 MSYSYERVDRRRRTTGGGGYGASSRRSTLGYWVPLVMTVTVATI GLAAWIWSERQDDEDENSGEEKPPGSVPPPGYASMSGGLPPGPGPAGFQGPPPSAPMG PGGFEGAPIPPPETGYEGAARSTGAETQIPDDGTLMSKMSGALKRTPSPQQSYDWASK KVAAGVAAAGAMVGGALTTIREGSQDDYEDHERWSEEAESRDNGKEIKRGLKRRGTAD DYFSGSVEMPKAAVLKSRRRKNVAVVVSAIEIGSDAVGEIGQHASILAHLPEYINPET TRVFVLIYAPELKAHPLSGALASRTGVPMTQGSTTSSFSNISHGDTHTPGEFPGGSQA GLAIVDPNPVDESSSLFKTLYNQALAVAERDTMILPFTTRAGHLHLLRSLAPELVYVQ ESLCGGEGEIASSLSGWVKQTVVGLVDTEDEDGLSKARAEPWWQKEERTGLGRSVAVV DSLKIGDDWKRRTGEHD EPUS_02808 MPHALSTPQTGFQALILCGPGGSLNTFTTVPAEHPKALITLANR PMVWYVLDWCYRMGVTNITLITPPESETTISAALAQNPYLTSLPSPSPDILAPEGLDH ETGTAELLRLPQVQACIVSDFMLLPCDLVCHVPGETFLETWMAHLGGLGGAIDGTELE VPGPKRVGLGGEKGGRRGGLSVWYSTVEREESVKDEECDFFATAALDQEHDAPLSKND PDSRNLQGVLRKLVWAMPMSSLMDECEESKDRSWHIRSSLLARYGSIKCLTKFRDAHI YLFPYWVKDFARLNDDFDSVSEDLVGTWAKADWRKPAYRARHTGRKSCSVGRAMR EPUS_02809 MDPISESSAQAAPSVATTKAHPCDQCRRRKVKCDGKETCERCIS SGLRDIVRKRRGPKKGSGSMIAKLRDEDSQILQQGINILTMESPLSESSSRFTPSLSR SVSASSMHSSPVVGSFRDSAGPTSNPITIKNTPPMLQIPQHITSAPASMLPQQATPGS MAPTPDSPIPWQMADPMLPFLEISPSSSDFITVNEFAQKIFDGEDYPLMAYHNAPSLD ELGACRPPSVDRVIASTMAPERASPTVSSFPHLSLAVSHADEPLRYCTASEGSMQDGI RIVALAAEIGMSSVMMSQCIKQYFHHLYPIMPVIHEATFRRKLNSPEELSSEDTCLVL SMCAVTILHASPPSDLSLEAKKDIGRQFLSHFLNLRRASDWIEDSSLSTIIASYFASV SYFELKQPRSSHFYVREATGMALEQGLHLDSFHVGMNHVAEICHRRTFALLFVTERGA SILRNKPISIAKLPALPVDFFDDEDPSILTGFQCLCELFALLDEKFIEVWRSSESQTV RPSVEHIAAIQDNVSNLSFDPTDLPEIQRADVQITQQWLRLIFWQASMRQGIISSHSS NPAFTYSYPIHIAKTLCEQMSNMSINAVAVHGLGIFEKVFEVAYTLMDALTIAKTPWS ESEELRYLFSCLSASPNSHSTYVRMLETKLDGQKLTLHSISKGGKILQSPGCPEQQQQ QQQQQRHERPVQKLPHGRKRARTTDSHTSHPRIS EPUS_02810 MALPDLDGMNQLSIELQLDTDVNLFPIFSTTSTCSSLDPKFAPY APSSPIDFKCDHRPSIPTSETSLLLSSQGDSLRNSLSPMTPYSSDIMDMMMTSGASMG CTTADLSIYRMFQYSDPAPEEGSFHWNASESKQRLPGQSAAFNTPQQYSVKQQHPEVF LSTNQTLVAGAALESSLSRSIFHSRQPSDTLVAEHMTQWRPSMLQTPPRTVAPSATFQ PIVPSSPAYHLTPSTPIQNKYETPIASGTASTPYSSSPICSSSKQLVSIHEDSNCSIV QPTLVRNLRQSKCKGSGRSSRRTAERKQSGRSGRPSKPRMSREGMEYPEYIPENQWPC TAEDCVDKNGKRKKFKRQEHLKRHQRTCHTGIRPHYCWVPGCTTKPFSRTDNLNSHLH KTHGKNSPAARNRYIATLDPKSGVYDVDYRGPFTESGWPIRSK EPUS_02811 MTMYQPSSSTQLPEVDRLRYPIRRPIPTMKSYNLARKHTPQRIP TFLGRASSWSNTLGSGVSSSISLGSIDELRSNSFVSTQQRVFSVPTIPSRRSSLPVSP CLTPKRSPRTLSVCNVEDMINLSQLRDVPMFVDIQKGHDVDFIFPSSSSMDTPSISSR RPSIGSKAYSYRALREKESKTPLLVRSSSIKNGYAEFRGNEKIPLFVPSRIIKPAAGT LMIPERQSSRSSPDTRLGVEDAAQDSHSDRQENHSKEALSEASDWSTTVQFEAPKQEM FRAKPESMLPDPCLDIKQGFGLERGTSSANRNEIHDNVRPLGPYEAKDTAAGFTDRVR VQEVMAHYTESQVSTVYVL EPUS_02812 MPDPKDVDAAMEDDYDEEADSDFDVENACSEISSSSSEEDDKQA PTVRRSRGRLQRQRERKEGSRPEPLGLDSGDEATIRERDRTKRKQKQKGEDDQDENSD GEEQGWRARTRAMREKDQLEKRKSKLASVKGSTIDVDQLWEAMNKPGGLDGLPQPAAP AAPVLDSDSGLLVEQKENIQSSHAQSAGPPSGIACQNRMSQDGLNEMVTIDETYEFAG EVHKRKKTVPRSSAEATQWLAQRLVQNMDPRFPGNEPVRRPLRKISRFDPNLNNMDLF KKSWARSLADGKETKIQKLNTVEKSKMDWAAHVDQEGLQDELAEHAKAKGGYLGRMDF LGQVEQRKEEEARRMRVKG EPUS_02813 MGSLDEEVIHYNDWPNAQGFDVRYEERSPVELSVSGNIPAYATG TLFRTGLGPRKLQTDAGTTFRVNHWFDGFAQVHRFQIHAPAPGEPSVRVTYNSRSSCD AVLERIKRAGKDEGFTFGAKYDLCTSYFQKLQSFFRPAPKPRGADSLNMSVTLSANFP GLNTNGDRLNEAPERDKIVSLCNKTDISSFQMLDPQTLEPIGLAQQKSLHPSLKGPSS ATHAKSDPVTGDVYNYNLEYGRTGKYRVFTVSASTGQTSILATFSADASYLHSLFLTE HYVIICVWNAFFSLGGAPIFYHMNIVDALAEYDGTRPATWYVVDRQPVEAGGKGLIAT YDSDPFFAFHTINAYEEAGSQANQVDIIADIICYDNHDCIKRFYLDNIVSDSPTAKGF SDGAFARCGASIRRFRLPDVPSKPIDQRFKADTVFVKARDFYVELPAINPRRLMRKYR YIYGMIDTGKSTFFDGLVKYDIETHKDIRWSYHGQTAGEPIFVADPESEDEDGGVLLS VVLDGVEGKSYLLVLDAKTMKEVGRASVDGVVGFGFHGTHVPAGRDEVALQI EPUS_02814 MSGSSTQNGLPAAPPIKNRTIRGVAENTAMQIEMDLFERGVKEN RDFLILVLRWERKYAAASKYLYAERLRAALQRIHDGQPLPPVGRPFITEDVFPTAVTA SPATAAPVPRPARSS EPUS_02815 MAISRVYTSPSVRITPVPTSLLRFPPESLPSTLPPPSGEATLAH PFAIPPHVYNTLLSAELPITVALAYTTIVTILNYANTRREHKPWAISQTRAFRAFVIA HNVFLALFSAWTFIGLANAVRVSFDGATTEHGWVGAVDVLCKINGPRGLGDAVTFNAS TGAWGFTNRALKLAADNLPDTTDIGRIWNEGLAFYGWLFYLSKFYEIFDTLIILAKGR KSSFLQTYHHAGAMMSMWAGIRYMAPPIWLFVLTNSFIHVWMYAYYTATALGVRVPKG VKQTITTMQIAQFVVGTTFALAHLFVSYTIPTSVPYVYSLADLTSAISSDISSAASVA TASASAGLGSWLKKLAFRAAGEEGLAENVRNEQGKPFGIDALHAAKDLKAREEIRYRD ELRMIHCIDTSGQVFAILLNCFYLLPLTAMFVRFFIRSYIKREEEHRGQMSSKRHLLE ESGKDAVKEVRRELKQALDEEPGSTQDENGTKASLAEDKTRSSTGKQGSGDEGKGPAD SNESKQNVDGRESSGEDSRSGKSKDGQPKTGDDKDQGSRMEDDKDQGSRMEDDKEDRY DRHDRYDRYDRYDRYDRYDREDREDREDRADRADREDRDDKNDKDDKNVKNDEDDVEK DDLEILDSAEAVKDVLAEGLETADKFSKNLENSKAG EPUS_02816 MHRLLIQRFGLILSLLLLTTSISAQRPGPVHPPLCPYYSELAGR GRVFLRCWYDHSGVQSNGGYLAFTKSHMWDNLTVTDLTDNYPAPEGNGKKLPPFGEYA KSRVQFSNVHENYVPKFTEIFKYTLDGNLPSFGYRDETDCLTYHWESSYLGTLLKPYG GKPAGAKVSWKHTHSFKTHHALPNEDDGTGYLIQNATIKMDWSSWCTS EPUS_02817 MAHSRHTSKSRSRSRSRQGQGQGRDGVLPSIAALFVVKFDPRQG YEDLDFPWFITRGLIEDRYKLVWSRSIPDVQLEGVVDFKSLPSGLHNVKEDLVYFIHN SCAGISAFVNVPGDKSQRNAEMLAVGALVPLTYGRLGKSWRHAEGLQKLANEHISNVD RLHMLEEYWGENRLQEGHNVEETVDESPTLIPKPSSKSSPRLNGYRRPRAISDATAMM TSRQTLSPHHPAISLPRLINDVGPLIFPLYRAALLRKRILIVGEPPVEPNFYDISIIS SVPESLLPLLPVDSIPPLSLRPLFNVGVTDIPILENSPQHQSSTNTEPTSEFSPSWIA CTTDDVLATKPQLYDILVDLPPSSQSAPIIHPTPPSPPAAFKKHTPYPKIYPSSPLLS RLAPQHGIKATRRDLRRYLTLRSNLRNLPSAKPPAPAPNIPPERRDRTAPRPEDDDDI EDQDSTTSDSSLFSTFSRTSVIESTPWALIAYTSFIWWASAGEKKRGLGEDDEQQVSE DEQDRALLLSGHDEAEAEEGEGQEDEERFGGLSKETAIVGYFHRLTGLIFTTVSDAIS RVDGEGVRRGGDYTKYADDNENESAGRGQSREEAVHGLGLMSPSDEPADIAAGKDAGG REEGEDADTAVAAPSNGEGEDEPLLPQASSSKTPSLSSSLDEERPTALVEITSEDMAQ MGLDVWSSADRSFVEELVLLWWGREAVVRGGRVECCGVRVL EPUS_02818 MSAKKWKPSESRIKQLYVVEEKSIKQLREIINKEFGLIATERQY KAKIQQMKLERNVKTKERRTILQHIQHRKYSVGKESRHVRIRGHKITAEKLARWMKES IINHPVLLTSPLSPITSEISIVTNSSPGSPTMIPSAFSEYQAPAIEPTIQSDMKTAVI RRLFAHVHKLALEAQEARSIFKDFQLILDCKPMKIHFRKWASGWSYQENAIRKKIVAR VQDLMNLPRRIIVDTEVNNPHETTLGLSSRSLGVIPSRGRHRCISWMTPYGSVQLSLW ATSDRSPPNVGDEETINFADEAFTVRMAMVPSRKVAASSLIMFDFTPHLNLPAKITYR AMIPNHSEVFRIVKRGQVKELIQALEEGTARLTDRDEDGRSLLNYAVHSSEIDMCKYL LDKGADPNAIEIDEYGWSGPLHSMCRMLDSDMNEVATLEHILNIEGLFIGPKNTCKPT YWVDSEEEPLFQLARLCGYYFVAAFHIVDKAILLLKRKTNILGRLSNGDNVLHTLLKC QRYHEIEPRKPRGAVLFYEDRVMRLRENSFYLSVTEPLQLLLAFITAGADIYTINNRG QTPTMVARKYGREHEWAEALALCGIDPEEVFAQSDLAVYNDTHIQTSRLSFDQFCQNR QEHLRFKEKYFGEYCQSCGEKFRPEIVSLDERCPECGKRFQPKEVPLEGHYRWWLENY LHEKARWTKYYQEWLGSCLSDEEITDEDAAITDEDAEITDEDAEITDEDEEIADQDEV ITDQEVIADQDEVIDEGEATNIDGQSRIIRAAYKSHESMEISQEPADLDAEDMLWSEW SREQPEAGEFDDRGVCVDLQETTVHERELRDGFTKDANDQVMGGGIDRGNALDESNRN TGNPIADGMNTLDMNMFDDFFDFSSL EPUS_02819 MVRDQTERRESGEVRYPSLSNRRRSLDEAPPTDQDALRALIEHA ERATDDPSALPAFMALVNENQTHAAAFVLTLAAVYEEEKALRLEKEAEHREVLQEKET WEERYQDLDGGTSIEIEALKDRLRDKEEIIQNLRDKVTAIPKPQVRVESPSGTSTEQE SLPQRQASLEATPSPSTVLGTKTEKLPHPEVFEDGSPQKFRSWLSAMKMKFMVNAALF PTELSKVAYVQSRTGGDARELLRSYFEDFERAQISDIFADLSTRFDSLFRQETTHKEY HYLQQRQQDLAAFLGDF EPUS_02821 MAQTTGKLSHDQYTVGWICALPLELTAASVMLDKEHGSTRSLDS SDQIIYLLGEIRGHKVVIACLPNPSGTAMAATVATQMLRSFPSIKFGLMVGIGGGIPS AKADIRLGDVVVSRPDDIFGGVVQYDLGKKLHGERFQRTGSLNKPPTVLLSALNMIQS KHERRRPKLGTFCSETLQRIPDMREDYDRPKQEDNLYLSDYEHNDRNHGYDNCEKCDV SKLRPRPQRRSLPTEPRIHYGTIASGNMIIKDGVTRDKLCKELNGVLSVEIEAAGLMD HFPCLVIRGISGYADSHKNDCWQKYAAMTAAAYAKELLNFISNSDVEKAVAAKHIVPI TEQSPAAMGRPSMRTVTGSDPVLSELQPPLFQQGAFPSNQYPSGAGWQSTHQMVDRYD QFLPQPRQPPRAHPTFPPNQYPLALGGLPALSSPAVNQLTHGVAPSTHSQPRPTRKAI RHSDSDSMRPGGNAQGSGGTRRKAVSSPPRENVGYSQASKMVYPQPTRPNHSHSSRPP LDHYPNPPILLRPLSGQRHDSKHSSSQQIPDSRTTYIYGPPSVVTLNGAPKPSKEPSE TGSRHSFSNNSETTSDVSNSNASSPIEGSVGGEENSNVVGNYDHQSHGSTSDIDDGEE NGNGIGSFGQQSYNSPIEADKVEEEANGGYHGDDGESEDECCGCCGFCGFCGGNENND GDDDEDEENGCCVIM EPUS_02822 MAFGKKIHWQVLNHHVQLLKFLTNLRGGVACIFISVLLLLAVSI AAPESNSNAIFKVKANQNSSTPNISIHFGSFGYCVHDLPVGNDFLDNCTDSAIGYSPS EAIEPTLKVYMTDAARSKSTMFTRALVLHPIACVVALVATLQCCRTGFRNNLAGTFIG CNALMLVVTVIAFDFQLANTVRVAVTDQNEHRASVETAGIWVTVAAGLFLLLGVTLVF FTCCTGRRKQHKTSGSKKHIKGRGRSKK EPUS_02823 MVCTSKRRRFQAPITSFFTSESSSSCDPSDTLQAQQHGQDVSSP PLPHEIQSSLLTVGMRIRKSVPEGYKTHKMLHSSSLYDHSSYLLNSGVQDHNSTIPII LPSTTRAAYAELAPFCGLHKVGGMAVQPLPVVSSGSYRHVLGQNRAVRAEEPDPCSLP SSQESTDSYIPFPPSNKRTFTSDEDEDEDDKDEFGNDILSQERSTYPFSQTRMPPLNT LFPSPDVQSFYNSNTSIPRPFAIPRSRLPSHKDRKSVLDGQENHDLTPQALGMPASVI NSSERVVDFEDAEFLAAREDLDTDNLMEEC EPUS_02824 MAPPGRRRVPPTHTLLPFLLLCVLFSSASAASAVLGIDLGTEYI KAALVKPGIPLEIVLTKDSKRKEAATLAFKPSRLQATDPEALPERLYGGDAIALAGRF PGDVYPNLKTLLGVDVGSEWAQEYQRRYPGLSVESMVRNETLKQATVAFKSQVFEKTE PPFMLEELLAMELKNIKANADVTAGQGADITDVVLTVPAFYTAEEKRALELAADLAGL RVLGLISDGLAVGVNYATSRTFPSISDGAAPEYHLVYDMGAGSTTATLLRFQGRTVKD IGKRNKTIQEVQVVSSNWKKDLGGDAWNQLIVDDMVASFVDTPKMKALDVMPIHVWKN AKTIARLWKEAERMRQVLSANSQTSATLEGLFFEDVNFKYQLSRAEFEELAIFQASQV SVPLVHTLEAAGISVQQLNSVILHGGAVRTPFVQKHLEAVAGGPSKIRTNVNADEAAV LGAAFKAAGLSPSFRVKDIRADDTSGFDVHLRWTSDGKERAQKVFTRKSLIGPEKQVP FKTQEDFHLDLTQMVDEREIPVLEVSVSNLTASAAQLKDKYACTSANMSTKLTMRLSP LDGLPEVLSGSVSCEVEATKEGGVMDNVKGLFGFGSKKAEDQEPLQEDDSADADTTSA TLSTDEETSTSPSASTSTTDKATKPSSSIVVIPLSLTTRPLGLNLPIPVDQLTRIRTR LAKLDASDLARSRRAEALNTLESFTYRARDYITDPTFITHSTQEIRDTLEQKLNEASE WLYGDGVDAKLRDFQDRLKELKGIVEPVLKRREEASKRAGTIEKLNESLDQMKGMIKM VESSVEKAAEDAKQSAETEAAAAAAAASAASETESSASSEKNRDAEAEGDDELDDDPY STTSTSTASTPESSETNDPSVISPYNAADLSSLQSSYDTVSRWLEEKLSAQSQLGDHD DPVVLVRELEQKTGELQRVIRDVVVKNIRIPAQRKGKGPKAGGAGAGKKSKAKKGKQG KSASASASATRDVDDGAETATSTSTPTSSSATASSASGSSHSRDEL EPUS_02825 MKTSLVLLAVASLAFAGPVLRRDDHSSDYGYPTPETHPAPPEPT EEPEGYHPPPETHSLPETTEDPKPSESYPPPPPETHPPPETTEEPKPSESYPPHPPPT SSTSYETSETHPPPKTTEKPPKTTEKPPPETTTEKPPPETTTTTKKLPPDTTTEKPPP ETTTTTKKLPPETTTEKPPPETTTEKPPPETTTTTKKPPPETTTEKLPPETTTEKPPP ETTTTTKKQTEKTTEKTTEKPPPETTTKKTTEKTTEKTTEKPPPETTTKKTTEKTTEK TTEKPPPETTTKKTTEKTTEKTTEKPPPQTTTEKTTEKTTEKPPPQTTTEKTTEKTTE KTTEKPPPETTTKKTTEKTTEKTTEKPPPETTTKKTTEKTTEKTTEKPPPQTTTEKTT EKTTERPPPQTTTEKPPPETTTEKTTEKPPPQTTTEKPPPETTTEKPPPETHPTPPPT SEKPPPSPETHPTPSPTSEKPVSPPAPVETHPTPSPPSSTYGPVAPPASSAETTLVPS APASSATTSAPAQYTGAAVSNRPAVALVGGVIALMVLA EPUS_02826 MDREVEADGTPQTYRGGETLHSIARTRAARDTEAGRGTPCYTST LIEKLPEFAKREAAHSVGMMANVAILTTGSPLRAFLLAIVLHPQWHIAMRAEIDSTVG EEDRLVGLDDASKLPLLRAVIKECFRIPHELEKDDVWNGYLIPQGAYIHAVEWSLARD PAVYPDPDTFNPRRYLDPEWAEADLLVACAAIAWAFKLEKKRLPSGGEVPINDYDFTN TLITTAKPFEMEFVESYFTYSENEQPAVDHDVHFRGGLGADGSETFTPRTVIYDLKGG FGSLRKYNALYELSEEVPPANDLWQGKTSTQQQPPIEPTDYQKNLDQGLPTSQLTAVD VRYWSDFNRVFYHPRSIVQLNEYDLNSQLMPFESWNAGQDLFNDLDKESDLLDRDMRP FAEECDQLAGIQIFTGVDDAWGGFASSYIDLLRDEYGKTSIWLWGLEDGMPVSRQAET RRNANGARTLQATAAQVSAYIRLSSPPTSIPGYIQLDRSSEWAKSALLCTAVESMTLP TRLRDGSGRSTTLAELEGILNNTRSRTLFDLKAGVVTGKTPHLAGNKASRAPSLLTTT FDLEYSPRTSQITTLGRTPHVFSRAEIWRCMPRSQMPILAGGADQDAVVEIYHVNVPF PQLDTFPDTLFGGSSDLELGIGAALVASSETSTRLKDMGRMISRRIDVDTREALLNDL ADLGAAYEEGWQNSSDSGSDQ EPUS_02827 MATFHSPHSQSVTAPHSTCATTDRPSHLSSANDPAVQYSHHNYN ISPRTQDDSSATEENNEFTEEDNLRRNAETASSRSSISSFPASVAPNATFSPTKKPKL QDLSIGSPSDEANGRRWRQSPFRNPSSVRSIQMRDEDDAISHHGKRTSRISRNMSTMS ARSPGSISQTKRRSDAESLLSPKNAKVKKEFPLVLLHCSLLPPTMPIQARISDAVLMQ AVLPEEYWQRWKLLCDKITNDIEIQGRGVLIPHPKADYELLEERLLESLELAEPRVRS GHFFGNEDVDVVEESESDTETARQGTKCQDCGRRVVENTTRDREWEVKVYAANGLMRA GAWSAAWNEMEKVDVEVSVSLPQDIRREVEERCLRLGFDHEPEAEVVNGYEPSDAERR RREVYGTSKHDPQEKIDGLFEASGSHNDAHQEAVRSQLHYQHHVPPPTIELRHLFISY VSLLAQDRRNVVIAVLSLAVLFFAFGTSPPPQQGVGWSKVPVNVSVPPSEVVPHCTHV SISSVIPAPVVPTSILPADSTPTSKDCVRLTECNKPMIPVHSPVVVQEAGISSLKGSV ILAECNQPTTSAAGAGAANVQAPTADRNQEFVGETVL EPUS_02828 MNLLSSTLKGLPPSPGPQSLEESSIGHVHPKSRLGDRDNGIDKG RNEDAAPRMGPVRTTQRSRPISVPMLACSKIHCRRLLEGFFDILPYTSRFHILSPDVS TSEIDFMAPASLETGGKAAQSLLAVHPSTIMDSLSRFEIVPIVESRGNGSASLGKAST C EPUS_02829 MAEGQVNLPSRPKASPPDYQKYDLGDFKLQSGSTLPSAWIAYKT FGTLSSPAILYPTWYSGSISDNEWLIGADKALDPGKYFIIIIALFGNGQSISPSNSNI PLFPKVSFYDNVRAQHELLTKELGIAHLRAVTGWSMGAGQTYQWATQYPEFMDIAIPF CGSARTSLHNQVFLEGVKSALLAAKGLASAGVKEGRVEKAGSEVREWTEEEKTVGLKA FGRGYAGWGFSQAFYREKLHKKFYGAKDLENFMVNFWEAWALSKDPENLLVMLQTWQS GDCSRQEPYNGNFEEAMQSIKATTLVLPSKTDLYFPPEDSEYEVGNMAPGIGALEVFP SIWGHWAGGPPGNMEDVKWLDDKIRKVLADD EPUS_02830 MPQEQGKADAESSIKRNPHPDFAKVQASRPDYERRDFQFSKTVE PNWTYGSGGNDGGASLEKNHIDIDPYAEGRQAAQNYKLLISGIVPRPIGFLSTRSKDG ESTNLSPFSYTQVVSHDPPLFTVGFSGGMDNAKDSLRNLVESQECTINIVSEHFIEAA NATSIDAPYGVSEWALTGLHPAPCKDVKCSRVKESVFSVEGKLVETREFESRTTPGKK TGVMAIVEGVRFWVREDAIDKTRSLIDPNVLRPMSRLGGISYARVSDGLEIPRPVFAA EKKAGKLNESLMKPKVDGQ EPUS_02831 MAAAPVRQWGLTPAISSALPTPADVSLNSALIEELKRQNNYETT LQLLYKVTVEFVKEVCRKKGFSEASIAQFGGKIFPYGSYRLGVYGPGSDIDTLVVAPR QVKREDFFELFPTILKRMVPTKDIGDMTAVPDSFVPIIKMELCGIDIDLIFARIPTLN AIPLHLDLRDTKLLEGMDQAEIKSVNGTRVTDEILGLVPQPKTFRTALRAIKLWAQRR AIYANILGFPGGVAWAMLVARVCQLYPTATGSTIIQKFFFIIGQWSWPSPIMLKAIET GKEKTWNPQIYSGDKKNLMPVITPAYPSMCATFNISKSGKTIILKELKRGEEIVKKIV EGKSPWSALFDRHTFFTQDHRYYLNVISSSNNKDAALAWSGLVESKVRILVMKLEEQA DMIELARPFIKGYERVHKVKSDAEADEVKKGSVKHQVLETKTTDETHEPARVVAAETG TVVGDAKNAHAETNGNNEVQTIYTTTFYVGIELTAAATKNLDISFAISFFKNTCTSWQ NWQENLHEVNVVPIKCYDLPDDVFDKEKGDVKPTKPKKKAPLSKKAVNSTVQKRSFSD IEKLFYSTIHRNYCLWNEMRSMPSSQAERAMLVAPSKGSNQHRKYHGQYKAWLRWFFS DSWALEYLAMTIAGAALATIFTTLMLYNGKPLNSWPHSIQLNTVLSTLATIMKGFMLM PVCACLSQLKWLWYTRKTKSLQDFQIFDMASRGPWGALQLLFRLKFWQMASIGSIIIL LSLASDAFLQQSVSYPLRVHPQSKNIASIPYAQHFNLYDSLAGPPYTTQPLIAAVYDG VFSRNLTRSASSITPYCPTGNCTFPEYASLAVCSNCHNVSSLLRVTHEPDQISGISKY SLPNGHSLTSESTIFGYLSINASTGPSTLRSNHLELNSDTLSKYQSPGTITNVSVITG GDPSEPWAYTAWDCVLAFCAKSYQASQSLSYFNETPLDVFEGLQSATFSLNSTDDLET FFFDVPSTYLTTIGSRNRTFSVNTPSLEALQDSLGTTLLGDTGRNEMGQFAFTNGIAQ GFYNNGVKNVKTTFANIADALTNAMRVNSQEHVEGTVLVVEPFIQVQWLWLLYPFIVV TLSMVFLGLTVWRTRRSEVPSWRSSILAVMEHGVNSSIQEDTSSDLHNGINGDLATAA GKEKVGDLEVWAEEVSVRLRSRGLWGKGFGLTVT EPUS_02832 MEGIDPRLRDTAPAPAPQHYEQHQRPTVSYDRGASQSTGYDALP QSSTTTSAGQSQQHPYYGMPTPGSYSSNQHQDASASPYDIQAPQNSADPNDLKRPRAC EACRQLKVRCEPDDNSPTGSCRRCAKASRQCVVTAPSRKRQKKTDSRVAELEKKIDAL TATLHAQQGPESGDTSIDPAIAQAQMAELEHQRGQVYQQQPWPDRSRDGPGRRESNSL SSPVAAEGLTGCKRKIKNEGDLYNTGHQADQQQMQSKIVPNPPQLATLFNQHPPAAKE MKENAYIDVIDRHIVDAATAYRMFDRYNTEMKTLPIVVFQPGTKAEDIRRSKPVLFLS ILSAASNTIRPDLQLTFVEEVMRVLADRVIVRGEKSMEIVQSLQVITIFYQPPERYDE LNFNQLVHIAVVMGIDLGMGKRTRAGQVAWREHMSKAAHTDPDAAETRRAWLGLYFMC ANAAMAFRRPLLVRWSPYMDESLEVLAKAPDALPSDKWLAHVVRSQHIAEEVGYQFSM DDPPSDVSITQSKVQYQMKTFEKQLDEWRQNSTEDMPQDLLKLNEAIIDLYIHEIAMH HSHNIDDFRPPYGMEEENEEQPDYITPAHIDSLTICLDSIHKAFDVFLNLPLLTLRSL PTLFFVRNSYAAVALIKMYTAVSAKGSKFGSVFKPKDLKVEYYLDAMVEKMRRAADGG QSRIALKFTFIVDMLNSWQAKRVSGDLTSKDKGMLSVKSLDAGWAKRQQLLAQNQQDL KTTSWNATANNSNSNANVAKSQHSGLQVLSEVAMGNNNNNRSSCPNSNTADPAAAAAA ALAASTTNTNNTTTQASWSSQLAAYTEMQPQHQPQPQQQQQQHSMPNMQAPADFFSQG YMTDLDNFTFTAEELGALGNMMDDPAWLSFGLESGGLGLGL EPUS_02833 MRQSLTKGREIMLPKWQPDSEVSGCPICSRQFTFWFRKHHCRKC GRVVCANCSPHRITIPRQFIVHPPESAQSESTIGRSNSLVIDLTGEDGSSARPEIQPT WINSQRMSNPGLGGGEEVRLCNPCVPDPQPSRHATMDLAEFLRQGRTQNEAGTTLQQP QRRRSLHLDSGQSSLYSQHLPLSEARELRRQRGRGMIFQPAGEDLNTIQTRAEEIPDE SLPAYGNFNYTVIPSYGRRDGPPRYHSNLGPTFSPPGYTSVGTSAASAHSRERPHSGP LLPGTASAHLSRSNSNHLPAHSRYSSMDAMSRMTSSMSNRPRVRSMLDADPGFRRFMD QMSSSASARPHHPPGPRLQESDICPVCRDALPSIGADGDETAREAHVMECIAARDPSS PGSTSSRRRSMAHSPSTALVRMLPFTASEKDCVGEDGTTQECSICFVEYDVGDQLARL ECLCKFHRTCIVEWFSRKQECPVHKIA EPUS_02834 MGNGQRTGHLEEVNNPKGFGRGSGRGTPDRPLKCRSAFQDQLVQ MPMKNALSSLWRFCSSGALSSSLVLLSLSFIFFSPAGAAFLAFENCLSSAVQNSRDPR FLQFEPFRFWVALDTTHPDHTLNVTTYGNITGVATNESYPSWNNDTWFNSNETLGKIV DLSPTNNKYSTLFASFNVLSYTQYEAPPSRFCDATVGQQCPLIPAFNGSSSDITSLPG FSVVHALDSTYAFTSISTTLRVTSGDASNANLACVSAVVTPDLGPSLRNILTYLPLVV LLSVGFATVFAAMFSPWGSLDTFHWTSNWGRDEDLIRLVTPGFADCLQYIQFIVLTGS LTLNYPGFFRPVVSSVGWSALMFNESFVTNGDGTQSVQDGIYVVDTDGTYGLDQMSQL IGMTSVRDIWAGMIIWLLVIIAAVVALIQIGFLLRWAYRLAANVPQEDLRKKNWPFTA GNILRIVFNFFILPLISLSMFQLVAAAEGPTAGVIFAVFVLVVVVGFAGWLTYLIATI RPRSFLFDDLPTVLTYGPLYNTYSDEAASFAIIQIFINLVRGIAIGAVQPSGIAQLVL LAICEIILGLTLNAIRPYPAPTSMNIFHTSFAILRAITIFLSVAFVPSLGVAEAPRGW IGYIILLLHAVGLVFGFFLNALQTVVEVAARLAGAGGHGIGATRGGLTKVFGMRQLQR RSSRRDNTSHNSMASGVAMLSPEIEQKSLHFDHGRARSLSASSAILLQRNGGSDGRSS IQGSGSGVNVHHRASQSGQFTPEAGSTFSKTASAHRLHGAPAAILGLKQVDVSDPYYR PPRHRRNTVDLISPTARSRNSLASGEIQDVQNDADKGSEENAGVGPSISGRVTPIQAH ADNDDYDDLSHELNGNKADYYASREVDYYYGVRGPALSSGTRRLKTGPADPTGPVSSA TGWFRNLFGGKTKEKGKGFEVVRSARAPSQGLMPVPGRTAIGPEPYRDSPTDSQYADR CNSEPPTKSEGDVVGPNVFVEPYSDDVSEEDLIPAQKRSPIAPSLPAIDAGGDIELPS RVNSRASRVSGSVSDGPPRAPYVPRRSSKRKSGQVAADFPAARLSTVAASPPSSPQKP RGPGHKHLTSTGSTSGRLPFGVRNSPSKSSRYSAGAESTASSIGPLGDEENQVPSFTH ARHSSSALGQHAPDIKEDRPSSMGYVPQHRASDNIRKVDSGSSEFEGSTAEFVGTPTR RAGLG EPUS_02835 MLPPFDYFEHRRACQFKQKERAARIASLPEPYRSPVTSSDRVFL SRPISELVRDVHRHILQPIEILRTYGKTAVLAHKKTNCVTELLVPEAEKWVQDGEINF AGPLAGIPVSLKDSIAVGGFDVTVGYSRNAGKPYPSDGALVRLLKDAGAIPHAKTAVP ITLLSFESSNDLWGTTKNPHNTKYSPGGSTGGEAALLALNGSRIGIGSDVAGSVRVPA AWSGICSLRCSTGRWPKVGMDTSMPGQEGVPSVFSPMARTLDDLTYFTKSMIEMRPWK YDYTVHPIEWREEEFRAVSGTSEKKHLRAGLMLSDGVVPPAPAIVRGLRMTASALERA GHTVKEIPISSFPPAATPAYGLQLASTLLTSDGCKTFRSFFRTGERSDPGAAQLSFYM SLPQFLRWLYYAYVRYIKKDHLWASLLRSFGPLTAAQNWQYVAKREAFRATWFDWWDD EDQQFDFILCPANATPALPHGAMHDAVSSCGYTFLWNLLDYTAGVLPVTKVDAIKDAL NPEWKPPNGVAKGAYKHYDSVAMEGLPCAVQIVGRRLQEEKILACMNVVEGALKADGV EYEHLDID EPUS_02836 MDRASPSPSSEAADIQFWIGIPNGFRKRPEQERLARLGCCILEQ EGFRDMGYGLSIWHKLLPQLSTAIPSVNAAAAAFGALYEAIVLTGGSSSISKRRAALQ YGNAIRHVQQDVAHQLYGPVPLLLACALLGFAELLRCRQYNALMHLQGALRLLRSREE VLTKAKVLDAADPNRSNALEATTATPLEDNLSLMFMTLDIQKASYALGQPPELSVSHL QCQPHVSSSIRNVNEAATQLVRLIHSCYHFTAHASQFKYLSRAAIPSDLLLEQGRHIA NLSLWLDSLNQDFLLNQPDSSHKLLPEDYCHALVLRTQCLSTLIYLSTVLSAHECSYD LHRPRFQSIVQDAAVVLAQGSGTPSALRQFRPSPGIVQPLFFTATKYRHGDWRRQAID LLRRSGREGPFDGKLLAAIASRTITIEESTHRPPLTERILPEHITESDRVHGTGIHVE AKDDEESVPCATVMFSRCKNVEAMLCGSVSWEHERNWDMWNEIIEL EPUS_02837 MKIFSLVMSVGSLAHPALALDTRAIDRSRVPFSSGFRQPEPPMV EPEFRANWNQHKWDANVSHIASGFVYNSPSQRKVRVDEAYDSTFGSSLLDYNNVTQEG VANRLWTLSPAITSPPACFEGFTNPAFPLISADFLVANNAIYGGEVHDALAGKVSSWD ILYQGAIPVTVLLGEDNIIQGYDFVGPETRARAITRFFNIIVGPIRQMSSSESADQRQ IAHQYGERTKLLWNVEGGKK EPUS_02838 MEQEATERLTRTQQEKEALKHQIEELQISSHAVQTCIACPENES QNAAEPSKMFNGPDGQQRESLTNHSLNQLLHLPAVEPLSRQAIQLPNDDINIDFDKDG ESNGFWTPLGQWEDRHMRGIGDTDPRNVRIDEQQGPFPGPFSLGYQGLPAEKPCFDVM AVSPGTEAIQPHPECTFVADAWDNCPASRQLLTVPHQYSAMFTGNRYGPNTARRF EPUS_02839 MRPLQKAAASRNYYRLILESESSRRRPFVCADCRRLLQEERRAL SERPYAILGDRRFATDGHASGQHLGSRRSKEYHTSSKDAVALENHSNAASDNAFFIVD KPSHVSPNQHKEAVPSIRQRLRQWRKDNDTSATSTGSADPEYSKPPGDLSTQIHIPAP NSLVTGESQDDVAGADFIDHDPDPLREYRDDWAAALARLNPGDLLDLPVPGSAGISES LCIFVRSVEIQAQFITSKGYWYVATNFSLNSGKIVNFASSDDLAPIIPYLPDTALQQD HTQAISDYAASVGDMPIDIAAPIVSKLAQVEQEIDAFQRRYASAFDGMHEMLAHDTDL VEWDVEDVVPRVFGIPYSELTTAGRLALDRFAARANHDIFRSEYAAETLGVWVRSRRD VQMRKKVVDWARMYQESAAKAALGKDVKEDLRNNPLSAFINKAHRLILKSRKIRSPTT IGLLGPSAESGAGVTITPVETGETLTKNDRLIMRFIFETSQMLPPLVPAEAKSICSLI YRAIGAYPNLTLGRKVARLLLQELGVISPWSNRAVNNYQLRLPGLGIWPYQDRLKAKA EASCKDLSVFQDSVQHARKDWGQMSVYCIDSQSAQDLDDGISIESARNMPDCAWIHIH IANPAAYISPNHPIATMAKDALHTTYTPSRKYGMMPSNFAQELASLGAANDRPVMTIS TLLRADGSIADIEMSLGIVHNVIRLTPSAVQNVLEEKRTELATMVIGGARPAQEEDEM DLQKLKQALPDLLRIRQFLHARYYKRQSDWPAEEKLKRKEIAVRSDVWTSLREEALPI LNHKIRHWKGDPIIAIEADRFPRTEENFDFMLLVDHAMLLAGESAAKWCKDREIPIIH LAATPHPLFPVSKLNQLSESDYRLEPKGRTSVTPQPHWPLNMWHYTRVTSPIRRYPDL VNQWQIQAYLQAINHKFQDPESADPLRDLPFTRQELEDMIGKMRARLPMLKHAARQCD LHFLHQAFFRAFHFKEAELPEVWDFKVMGAIAQNARNNLSTGITGYLHPFQARAELLL SEEKWETSVARGQYLPVKIEVVDAEVDKVFVRAVGPPSDSQTTTQPIHIQSSKTSISP GKHSPQQQQR EPUS_02840 MSLNRVASIEGLERERSDDSTTTSYRRMSFNPVDHWAPDMSKKT SNVEPVRTFDEIPKGKRLLQCAIAVLYCLLSAGVVFGNCFLDLDVLIDEGVYSELCSK EEIEDGTSPCYGQEIRLNLMFTIAAVSTNVCALPVGTILDRYGPRACGIIGSILLAIG SALFAFGRDLPFDSHTSGYLFLALGGPFVFISSFQLSNCFPAHSGLVLALLTGAFDSS SALFLIFRLIFEGTGGRVTTRELFLIYLIVPIFIFVVQLTTMPSQSYKTVGEIVKLAE DEVNAPTPDDSSVANNAEVERRRSEHRMRRDSVISEINSLLETSTNSKRAKREDKKNK RSGVWGALHGYSAFEQIKTPWFWLITMFTVIQMTRINYFVATVRPQYRYLLHSDEEAK TVNQIFDVLLPVGGVLSIPFIGSILDKTSTPFALASLVVTATLIGILGVIPKMWAAIA NICLFVIYRPFYYTAVSDYSAKVFGFQTFGKVYGLIICLAGLFNFIQSPLDALTHKAF HNNPLPVNLILMGVAIVVGGALVIYVYSKSRNMQRQLLEVEAEGARESLMPDVTMNGE RAYGT EPUS_02841 MAGPDFGALGATFKICRVLQAMSLIAVIGMTANFVSEIVSAKTA PPAVLVGTLSVTSVAVIYCVITFILFMDGILPFLINTVLDSLLLIAVIVVAVTVGKPL SYLDCNVIGQVSGASSSAYAFTAALGNSLDQDGGKIDYNHWIGGTKSTCLEMKSIWGL SIALCILFAFSAICSTCLWRRGKQTAPKEVEG EPUS_02842 MSTPHRIPVDETPRDNTGYDSDEGAESSRSLASSSDIYRYENGR RYHTFRDGSYWAPNDQMNSYHEKIFHHIMLLTLNDKLYLAPIETPKHVIDLGTGAGRW AIDFADHHEDAEVLGVDLSIVEYTGHPNLRFIVDDICSEWTHNTKFDFVHVRALYGSI ADWPSLYKQCFDNMASGGYIEHVELDIQPKSEDGTLPPDSILFQWNAVGRAWAQATGK TFFVATEVKQQIADAGFVDVVEEIFKLPLGQWSSDERFKELGKYYQQFWREGMEGWWS MEQVKSFLLETRKAIEIPTQHVYYDIMTAYKGQCHCGQTTWTAEISDEKHVLCHCTAC KVLGGGEFTLNQIIPKENFKLTGGDLKQYTYRGDSGNSVDCFYCPNCTSHPYHHQHTM GNNIVIRTSLLKDTEKWGKPAAEIYSVQKWSWQPQTADNIFDTTPPS EPUS_02843 MPPKPIRVQRQSATPSSSQKSSNYFTAAYRELTSPDNASVVRSV LMFGGAVAFFSSSFSEVLLPGF EPUS_02844 MASIDDLFRKSTTTTSSSISITNKRKFPDHTLSPTYKSAKISAN GTPHTSTIEDGDDAHIEAGPSLPPTETDNAAEEEDDEEGRFFGSGVSADTRQALDYVE GAMDGELGAGEEEVIDAAWLRRMAVGFEKRITRNAELRARFEAEPEKFMTSEADLDAD IKGLSILSEHSELYAEFAKLGCVGSLVALLAHENTDIAIDAIEVLGELTDEDVEADET QWRALVDAMLDAGVVELLTQNLGRLDEDIESDRAGVYHILAVVENLSSQESIVEDIAV GNDGLLRWLLGRIGQKEKRVGQNMRYAAEVLAILLQSSPKTRRRFAEMDGLDALLQIL SAYRKKDPEKDSDEEEYVENLFDCLVCLVDESTGKGKFTEAEGVELCLIMLREGKMSK SRALRVLDHAMGGSAGGGVCEGFVEASGLKTIFGMFMKKQEREAIEHLLGIFSSLLRL LPGQSASRVRTLAKFMEKDFEKIQKLVDLRQGYTARMTVVGKAIRMEGEQLNPEERAA MADEWLSRRLDAGLFSLQTVDVILSWLIAEDDGARSRVEKLLKGRDESFENLKQSLRE QLDGIDAEQSSEQAEAKDMLGTLLQCL EPUS_02845 MAEYDDMKYTNGYYEDQNGFEEEEEEDQTIQSEDCWKVIGSFFA SKGLVSQQIESFNEFMRSTMQDLINEQGSITLDQAVPSYDDEPNPVVVKRHEVKFGNI SLSSPNMTEGDGTTTDMLPHEARLRSLTYSSAVYLNMQRKLYAAKEAPWDNQGEDQAA VGADGLELYWQEEDLGEAGEPMDVFLGKIPIMLKSATCHLLNKERFPTERDLHAWGEC PYDQGGYFIINGSEKVLIAQERSAGNIVQVFKKAAPSPFTHIAEIRSAVERGSRIISL CTVKLYKQVDGPESTKIPNPIRVQLPYVKQDIPLVVVFRALDIVSDADIMEKICFDQS DKEMMDLLMGSLQEGQPIQGSDTARDYIARRGNNPTLKHAERQKHATDILQKEFLPHI GQDPSSGSQSRKAFFLGYMVNRMLKCALGRSEPDDRDHLGKKRLDLAGPLMASLFRAQ FQKVTKDFYRYMQKQVESDREINMKVGLKSNIVTNGLKYSLATGNWGDQKKVNQAKAG VSQVLSRYTFASALSHLRRTNAPIGRDGKIAKPRQLHNTHWGYVCPAETPEGQACGLV KNLALMTMVTNSHNTAPLQDYIMDQGVEPLEDWEPRLSPQATKVFLNGVWFAVVIKDP KHLVDQFKKLRRTGYLDPQISMVWDIRDKEFKISGDAGRIIRPLFVVETDPALENNGN LVLTKYHIQRLDNTAVHTEAQLAIEEWPEPPFGWKDLLKEGVVEYLDAEEEETAMIIM TPEDLYTSKAKHNGYTTEETDDPLARYDPLLNPHAHTFTHCEIHPSMILGVCASIIPF PDHNQSPRNTYQSAMGKQAMGVFLTNFDQRMETMSNILYYPQKPLARTMSMEYLKFRE LPAGQNAIVAIACYSGYNQEDSVIMNQSSIDRGLFRSLFYRTYQESEKVVGHNIVEQF EKPTRSDTLRMKHGTYDKIDVDGLIEVGQRVSGEDIIIGKTAPMAPDAEELGQRQKQH LKRDVSTPLRSTESGIVDQVLVTTSGDGQKFVKVRVRTTKIPQIGDKFASRHGQKGTI GITYRQEDMPFTREGVVPDLIINPHAIPSRMTIAHLIECQLSKVATLRGDEGDATPFT KVTVTNVSNILRSMGYQSRGFEVMYNGHTGRKLVAQVFLGPTYYQRLRHMVDDKIHSR ARGPTQILTRQPVEGRARDGGLRFGEMERDCMIAHGASSFLKERLFDVSDPFRVHVCD ICGLMTPIAKLKKNSFECKACNNKNKISQIHIPYAAKLLFQELASMNIAARLYTKRAD ER EPUS_02846 MSGPAVQLSFTLRTSPNVKTVHLVGSWDGYKNQLPLSVVREAKP GSWKGTFRFQGSHALKLGSRYWYYYIVDGYHVSHDPAKDYTTEPTTGRKLNVLDVPDG KSAPSTPYGTKAPASNRYSREIPKGRALSPGKIQHPRPSKPYASRQLREADYSTSPVA TDDLADRFAGTKISDNGYSYPSSPASTLSSSSGATFSDSGSGGSSPSSFSSVSSTCTC ERYGITRSGQKVKLDCGGSRCGYTSGSASDCSSETSSESEEEYAKRKSRSYGARRQSA YYSSSRR EPUS_02847 MGVQKQWEGDDEDEDIVHLPPSNIPRPPAGNFFDPSSLHAVRPD FTPGSGSAWPQQQGQQTQSGPNPWQGARPLIPPASWRPSGPTPPSGGPSRPSGPRVYE VRLRPSSQAPRDSPLNGVLVLVNLFDPVTIQMWKVYLVVLVSMVLRIFLAQGVILVAG LVLLFVVLVLMLVLVALAVVVVILALVLVVVVALVAVDRGPADALTTAGGGKGNSTSF TGTSCQHRNAVASSDARLQSQGPSASDGCLYKRYTLKNLSTRSPFLARTVLRFQLELR TVHDKGSHRERYIVIEKTPIEYVTTIKPRKSSVRSPSPPWAPTLPDTPDMLHSSICLA TSLLLLLSGSAVAGTTEDWRSRSIYQVMTDRFARTDGSTDAPCNLREGPYCGGTWRGM INQLDYIQGMGFDAIYISPVTANLEGNTEYGEAYHGYWPTNIYAVNEHFGTEDDLHAL SDEVHKRGMYLMVDVVINDMGFATHGQDPATSIDYSVFTPFNDQRFYHPWCNITDFDN YTEAQVCWLGDNHVALPDLNTESQEVSDIMNEWAVSLVANYSIDGFRVDAAKHVSDDF LKNFAAAAGIFTIGEVYEGDQYKLCPYQNLMPSVTNYPNYFPMVEAFSAGNISALTQA NQITKKTCPDTTALASFSENHDLPRIGSYTQDLSLLKSVLAWTILADGIPMIYQGQEQ GFTGAGVPDNREALWTSSFSTTHPLYAVTPSPDNSTVAFRKGAEGRQVVSVLSTGGEQ TGAYTLDLPTAYTPGSLVTDVAMGGGAPKVLFPSAKMNGSRLCGFGDASLEIMVQGGA ASSTEKGLSLSLAVTVAAAVGLMGLGLL EPUS_02848 MLETVHLAHLPPDLPVYIALFYGVQNIPFLRHQLLASNSDFEYA FIDASLILSRTHVLAACFRALNDYLCGRLKSRNVHSEIVFALSPNNTRLFVYPINPWV GGQILEAFRTFGMQGTTTNLLVIKVPVPVSNTKPATIPQPAPSAAPEQPPSKEAIETH LLSSIQGTPILFNDETLRILCDTIKVRKTYKIPFPPAPPRKKAPPRKRGKPNAHAELN DNDPAQRSRLERSILGAMALRGAT EPUS_02849 MAFILRRPFAVSAGLKQITKSSPGIRLFHNSPVKSNTFFNSKST TPAASALSKSKATFQNAFRRTYMQQPAYAPDRGNLTQKLLYGAAILGGTIVATNLIFN RETREDGGMPPFEREYLNQTFMHTGLGIGIIGITAKALHSSGWSYRLMATNPWIVVIG GLALSIGTMYGTFNTAPDNYIQKYALWTGFNATQAAILSPLMFMSPALLARAGIYTLG MMGSIAFVGATAKQEKYLYLGGPLLAGVAIVAISGFAPLVLPATAVRTLMWSEKIWLY GGLAVFGGFTLYDVQKILQHARMAERGMVRKDVVNESISLELDFLNIFIRMVQILGMQ RRK EPUS_02850 MSIVGGVILLSSSPPRLLARSPTPADNTASSPALPSPETIFASQ RSKGSQNGFAAPWTGPTGLDRNRKFKTTSTFRERVGSGLSSSKKLPLKEKANKGNLPM VRRAQGLKKGLGSEKHYFQRPVSPEENRLGEKDKIKDVGTTRSQACDSSHHELKAQPK AAEAYRPREPSPLGLDKASSRRLDWTPPACHSNQNSPGTAPASFSENLLGSFGYNAAA IGPKDSLVPKDDSESNPTKRRKLDVVDVGALGTAAAMKASKLFPKPSRGSDEPARKRT RSPKKKSTTITGLATSHYFGEETSEKSPMMQYLSATQQRALGLDSDTAPDTAKRKGSA KNPKALKKAPRKSTLRSPQSAIKAFDDQDMLFGSASQLAGDESPMFIRDTVQAMKQSE NTILISDPVSTQITIPTSEPAETPRRHHKCGVSRFVKSKNLWSVAGRDVDNALLQVDT VDMFDSPDLRTAFAGKDVLLEPGAPRFRDSTSPEKQVGIGQDPMRTCIMTDDCLSMGW DEGNLSSLHDSRGILDIDELKLKTPCAMRRPQIPVQVRALHAAAVGRTCTGQARGPTN KLSEAPAERIPNPQPIRPSFTGLTTNQLATQLAAYGFKPIKKREKMIEVLNRCWDDKH PSVSGADSVATITGETIETSHGDFLTKLHDVSARPTPKTKKPRGRLKSEGAGASSPEN RSSKTSRTKKSAGEMINVDGNLPQEKTKKPSKSAQSRVKKAKEVTVTSLVKPQAKSPL KSRYKVNKATISDEPVMDVDDIESSDNSILDDVAQVQSCRTGVKRANTVRRHCSPTNP PSSYAFETASWLEQEPEASEPETTILEAAMPINGDTPKRAKTKVKPTAFRSSTATMTT PAAPLPVITANPSPSSPSQPALSKQITLAITTFQPPPSTLQHNSQQNPTFHQKILMYD PIILEDLAAWLNTEGFKCIGEDREVGPIEVREWCEDRGICCLWRGGWRGKSVKGARRQ GRGKEIEEHGLE EPUS_02851 MCKGPMHAFLQALPKCEHHLHLEGTLAKENCISLPPVESDPAFA SAASLLERYGSFVSLDDFLQYYFIGMSVLVKAGDFEALAMNYFTCAKRDGVVHAEVFF YPQCHTARGVEYTTIIEGFTTACERAKIELDITTELIMCFVRHLPCSSAEQHYELALP DLKSGRLAGIGLSSSEKDNPPELFKSVYQLAEKEGIRRTAHAGEEADVGYMRGALEHL HVQRVDYGIKLAEDPDLLAEFYSVPTLLTLGPSVNPTRPVFMRLFRSNKSQGSLNISA GRSPLQSPVDTPLQSPAFPPPQSAAHGPGPGGLQYDESADPSDAQRYYSSDEQQPQSA QFASSTSRDQNTAGHGYSGRPTVNVIPDPASENSLIPSITTSSTNHEERNQRNPIKNL FSLHSSKGNSSQSYSPSSTLGRSLSTKEKITRPQSQEGLIPQSTTVQYSGEGYSSDTH EENETSNEYTLSQAPAESDEQYNHQQHQFDSPQSQSSSHYSSHYQAEDSHSNSQQNFQ KPYQLRPPHTSNTSNSYLPYNPQADRSNQDIHDPYRAIRPPSQQSLGPPSPIVSVQQS TEFRSTVIQGRQVTQSNQSLHLQPEATMARGDGSNPSMRQQLAQQHQQGAESGHAQHG TSQGSRQLQQHSSSMTDHGRNTPPPTRSREDFSVQDYTALLQKHEELQAKYSKVKKYY FEKDAQVTALQNTVANQRLSMSRTTLDDHEYTTRFNRLDGAINNLSFNIRKDWKGVPQ WLAQMINKDAHNIGTKEMTAVGRACITKWVVDEILDRYFHPRLEPGLSTELKMIEKNI RRVGQNQGLGDEQREDLIVKLISWRLTTLEGLADSMVGEQGHEYKQQLTTMLMEKLTA SLQMNLNDPPPPGLESGVGMIIELAIGLAASLPQESRDVCVEYYMPYSLINETYMKME SGIPPLTMPGGNVDYLSAPEQQQGDQGSTHSGDDNSPGENEGDRENSGGSGLPNRADS LPVQGGNGQQQPIKKEDTRKKSIFGALVSSKKPSQAENSNGGLAPVTNPRTGSGTNVS AKERDSMEKAERDRRIRFAAFVGVEVRGKGKEGSNVLVKAPCYGF EPUS_02852 MPLSVQSESIGLKNGSGPFSVHERTLHPDIRGGNVQAQTRCSKS IAYSGSLDMYPHQDLTPVIGREYMDLQVTDLLMGLDRDRQIRDLAVNISQRGVVFLRD QDISPTQMREFCESLSVLAGCPESSGLHIHPLTEEGSELGDQISVISSEKQKRGGGLT HQLSDTSRYASVGWHTDISFEKVPSDYAMLKIHSLPPTGGDTLWASGYAVYDRLSPAM QVFLEGLTATHDAKFFLEESSRLGNPLRKGQRGSPLNYGDSLTATHPVIRTNPVTGWK SVYVNKGFTKRINGVSKDESDLLLNYLFNLVVQNHDLQVRWKWSKNALAIWDNRSQLH CATYDYIEARAGDRVAALGEAPYLDVNSKSRREALNQATTRL EPUS_02853 MADNSLLEEQRGGVLGPEKSHMSIVPVGRETLSNALPPHESYEG RHRWDPEAVWTEKEEAHLVWHTDLRLLSILCVMFFGLQLDRGNLQNSLTDFFLRDLNL STNDYNNGTTIQLVCFLLAEFPVQMLTKRFGFRLVLPTMMMAWSTVSWAQAWITNRAS FYVTRALIGACEGGFIPGTILFATYFYKSRELATRLAIFWSTLNVARVISALLAAGIL QMRGIGGKPGWFWLFLLEGLLTFLIGLFSLLYLPQSPVATKSFLCRHQWYTEREEIIM INRLLRDDAAKGLTAIKEPAKWNDIKGAWKDQSMWGLYLLGLIAYIPQTPVQSYLTLT LRNLDFSVFDSNMLSIPSAVLQIILMLGLAKSSEYFNERTFHCFIGEFWSLPLLAALL ALPARGYAWDPYFHPILSAWISENSFNVKKRALTAATYNVIVQIGSLIGSQIYRADDA PYYYRGNKVLISICALSLVVMLGQRWWLILLNKRKEKVWERMDERERVRYQEDIAQRE KDGNKRLEFRFRY EPUS_02854 MASANSTSSDRAPDQGGVLDANNPIAFHPRDPIPLFIIQAAVII IFCRLLHFPLSKVRQPRVIAEVIGGILLGPTAMGRIPGFKANLFPDASMPPLSLAANL GLVLFLFLVGLEVDLRLLVSNWRVALSVGALGMVLPFGLGCAIAWGLYHEFKDEPGLA PISFGVFMLFVGVAMAITAFPVLCRILTELKLLQTTVGVIVLSAGVGNDVVGWILLAL CVALVNSGTGLTALYVLLVAVGYCLLLIYAIRPAFLWILRRSGSLQNGPSQSIVALTL LLVLLSSFFTSAIGIHAIFGAFMIGLICPHEGGFAVKIVEKVEDVISVLLLPLYFALS GLNTNIGLLDNGITWAYVIGVVAIAFFAKITGGLLAARANGLVWRESATIGVLMSCKG LVELIVLNIGLQARILSTRTFTIFVVMALITTFATTPLTSLLYPESYQKKLDLWRRGK IDWDGNALVSDDNNDTDKDSLAVGDEMIVRKMLVYLRLDGLPSLSTFISLLSDHADAP RPDEKKHHLLADAQPKAEDATGKKASDHARHPRRPLEVHGLRLLELTERDSSVMQVSE IEEYGPRDPVARAFRMFGQFNDIAVAGDVAVVPERFYADTLIEKADELSSDFVLIPWS ETGTMSEQPSLQALSKPSYQISGPYISFLNEVFDRASSNTNVGVFIDRPEAPNHEERN NRALTRTTTGGISVHSGRGRPATPFNHALTYHIIVPYFGYADDRFAVRLALQLAKNDT ITATIMHFAESSSGVNVLSSSESPSPGSTAGTESSGPYEKESAADEAVSFFTSLRDNL PSTLSSRVVFQSYSLNLTSTSADVVAIINKSITATASDGSIEHIVITSKSYSSIFTTA ATGSLSHNNTSGSGNGGTTTNPPTPRTGGPPLPPTTPSRTLLSPMATALGIQAPNTPG GNDSAWSGESKVLGGLGCALWEASRSGGLRAGLLVVQAKKPKLGQTQTAKGGSRLDVI AASGES EPUS_02855 MADTVIFSPLPDMSQPDGADAVMNFFGALPDILANNPHQQTSGT YNITATYCPPEGGILPDRNEVQLLVHGATYTKEYWMGGAWHGSDDYSWTKQANKAGYG TLAIDRLGNGASEHPDPLQVVQLTLQTEVLNVVAQKIQSGEITGSPSKVIYVGHSLGS ILGTMLANRYPNSIDKLVLTGYTSDASNVAPTVVAGQYLPAQNVEPARFSSLPQGYST QSIESGRTLLSYAGDFDPSIPPLDFCTKGTLGLGEILGVGSAPASIYQGPVFILTGDK DEPFCGTNPNAPCEPLIQATALEFPRASTFGYFMPRNTGHGLNFHYTASESFAAVTKW LNSESNASFLQETVKR EPUS_02856 MRPTCTGLTLSKRMERGGRQKPSAPEATLNSLNSASKSLESAVP RFASFKPRPPTASRDDEKNDRSRTARSVAPEKRGDCHTEPRFSKHRKHLHKEHGRSGR ADKDLPTSASGVDLDLHHSPDRSGYRNLDDEDIAQFREDRRSDENNVRYGAPSRYTVP KYREAGRYGILGLSRDFKTTPELEPGRRLITAEHFHPADRRVARSLRTGLLKETGPAL VVALKKNDAEVDAHKSYLPLSPYPSRKRQKLNDWHSHAEGLPENLQQDNNYDHDPDKS AAGQNLESLSTSSDPDSESEHTPGSDSGAGNSTRERHVHLSRLVNEDPSNVNAWLALI QHQDLLLAESGGDFTQVSSDAQNHGHADVKLSMYKKALSKTKGHPLQDWLVHGMMMEG AKVWDNAKLTKEWKSVLNGLPDSLRLWVQYLTFRQTNFLSFTYESCRDLFKDCLNMIR KRQASSELEGIRSYVFVQMTTFMREAGFSEHAHALWQAIFEYCYFEPMSDQAGDELFS FEEFWDSEVPRLGEEGARGWRMSRRSEVLPRSDPFIPTTTYQGDIRAWSVQEQQKMSS STLPARTLDEVDEDDPFRVILFSDIQPFLFRPSGEEAQKQLLQAFLYFCHLLPFRPGP LNDRSLSEDAFINNPLRDVWEMSLDGWIIPSERSQPFDFPMPSFIIDTASLWSDNEYW PNPWRGLHSVLSNKVRCDWVQRSLQQLLAASPKDELLAEYILAFNTQVDVKEARKKAK SLLKHDSSIRLYNAFALLECSTGNFQAAERVWCTTLSMRPSFSKTSQQDVILIWRSWL WALLDRRDFSRALRVCLAVADEKVTLDDLSQSVAAYSEGHPTTKLRAHQYLSSHLNQN LSLNNPDLSVHYLDVLTVWTYLTSDHDLAASLAHYTTTSTHPTISQSPSALCLVHQSR ARLLHLHARTSRSGYRPSDITIPLSESIRLFPGNTIFLCLYHFHTRRFLLTDRIREVI PTLAMASSTNQLSTTATATASHMLNPLLRPIIPTLFHIYSELNRPTFAGSTSHSIRAA FEEAVASPHDRDPVSKTSPAGRHSPIIWKLYILWEVHVASSPPATKKPAQSPPMRPPK KSTSAESKREHAADRAVGVFHRAIRACPWCKSLYMLAFSVPDLRDAMGEEQLRGVYDL MQDKGLRVHVDLDLDLDDCTA EPUS_02857 MASSFAAQLKEIAAKSKNELDLKAHKTAHSQSLIFAKKIAGTQD FDTIYQLCLEGFEDLCKIDPRFQEYQRNLFSQQSKTQERDLMTAEQNATLDTVLEGCL GLLGGRLLLSPARKVAEWLVRRFRVHEYNTSFFLLTFLPYHATPFFRNLLSILPSPLA PQFKFLVPYIPGLTNPPRHAIAYGAINNDGFFAALNTYALKVCHAGSQHQTLLSFWAS IIAEAIAGRLDLAKSGRKEIQRQRQEDVLLKILPLLKDGLSMHEIPEMMTACFTFAIL LASKSQLANNVLDSLMEAVTGALKKETMDAGLICLSIMTQQKSEQFLNRRVITKLSKV NDLEKRLQAFNKSCDIEGFTFAIVQSALSDVRAKGQKERLDLVERLLWAELMDSERMI RSIASLIVLLKSASQNVDLTSFRDTVADLLRRLNDSERFSSLVPHAVQQSGVDSANLE ASLEIMIEEPQRKPAEVEEMDVDSDTPGTAPSPLDRALKHVPQRTVEEHSFLSPVPSH LFPPLLEAFTLAAQSKEGLEKFLELPLWKLSKDTDEPLFASFFVRVFSGPYSIQVRIA ALGAVQNFLSQLSNSDPQAILPYVLPQLADPAQRIRRAASEVLLAMEHALPSAFVDGE TFKQWGAKDLYGSGKIERSVSFQPTQDVSKILQRAVLPLLEECILDPTEIRGALKSAL RPSSGDRVSSKTKSIELKKYLRQSFFRLLLTHLSSTPLYSVKCGLLNLLSDVEKLGGI SKRKELMPLLLRWASLSYEDVETLAAAEHLDKKTVNVAMCSIISPTDEDAVKALLGLT FSSNKKRSDFAIAVSERIQSFWPQLKTDRQIAAADALLKTMFSEEAERGGHSQLPRSV FNSVELSTDVLIHLLNNVRSSMDEAKEHSPASKRRRTNESQAVPVNKSLKLAIISKAT FVLELIDNSSPESRPQLLSSLSDVFMALHQVKLQHQSDMSYLLSLSLGSLLSIVRNAA SHASKLEKLDVSRLQVDVIMDCVRTTPSPQVQNTALLLIAATAKLLPERILDSIMPVF TFMGSNVLGKEDEYSNYVVDQTMDQIIPAMIEAVRGKGQDLVGQTSDLVFSFVVAFEH IPPHRRLRLFKKLVSNLGDEVFLSILISSLTKRYPNQDDVNSFIVTLTNTFDADIQLE TCVRLVGGLRDALAGQPNQYKAVTDAENVNGSMSPLVVLSLLRTLDRLFSESNIKPKI ARFGMSVKNGKENLTSTWKSLLDQMIRLVQTPDLDQELSTTVNSVLSSLLRLVPITTF VSITEHFLVGGPDIIKERILRLLRFRLHAQNERTSALQAQLMAFLQILCKTLTEPNDE AIKHAALECIDCISELYGRKDAPAMVQTGRVISEACLKSSELKLATLALLCLSSIADS VKEAIVPITPQVIPKVFGLVQFSLQEEAEHIELHNAAFALLSGVLTHVPFIFSMEHLD QILVLCAESRNALLNTETHELLEQALRLVGSKIELAKIVGSLRRTWNATVENDLPAVQ QALALLLNSIQTSSKSLVANQADKISELLLQAFDLRRIQFTNISEDSYTNADVIKVEQ TVNAVTIEFIYKLNDTTFRPIFHQMLAWATKCPDVKPVNLAKAQKLRQTTLFNFLAHF FGTLKSIVTSYASHVVEPAMAALKEISSAALSSPPHTSTKAKKRHLTKSPSTQDQNDE KDTTQLYTAILATLRAALTHDHDAHFSNPALFHPLSEILTEQLHLASHPTYTPYIPSH TIPTIVRLAVAVVDTPEHLKRLNSLICALRRSEDAKVRSASVKLHLALAGAETLTPPP PPAGEGEGAGDEEVGIAEEWCGTVLSVGEGMVYVNEMLEDEDEEVERGIRRLVRRVRE VVGEEGIFE EPUS_02858 MADALKAEGNKAFAAKNFEEAVEKFSQAIELDPDNHVLYSNRSG AYASMKQFGKALEDANKTTEIKPDWAKGWGRKGAALHGTGDLLGAHDAFEEALKLDSS NAQAKAGLEAVNRAMDAEAKADGAGGGPMGGLDNMFNDPQLIQKLGNNPKTAPLLADA SFMAKLQKLRQNPSSLGQELGDPRFLQVMSVLLGIDMQFGGPPGEAPTASGSGDVEED LPMPDARPSSQPPPKPKEASPEPEPEPEDEEAMAAKKAKDEAEAEKRLGTENYKKRQF DAAIEHYSNAWELHKDITYLTNLGAAKFEKGDYQGAIEACEKAIEEGRQVLADFKVIA KAYGRIGSAYEKMNDLPKAITNYQKSLTEHRTPEVLNKLKAAEKAQIKAEKDAYINPE EADKARELGNQKFKEADWPGAVEAYTEMIKRAPEDPRGYSNRAAALIKLMTFPGAVQD CDEAIKRDPKFIRAYLRKAQALFGMKEYNKCIDVCAEAHEHDEGGKNYREIEQQQQKA LEAQFSSRIGETEEQTAERIQRDPEIMSILQDPVMQSILEQAKSSQNSLAEHMKNPMI RTKIQKLVAAGVIRTR EPUS_02859 MAPKKSTAPPTPGPSASTAKDLRVLTVNLYNWCRVNYDEEHILS QQDLLAGDVIPNKDPNLLLAATQSLINDRLFRTHDLRSGGIGWKIVSHVSAQKYKDLS SDESLVYSVIESLGRAGAWVKTIKGKLNLHQKNVDQSIKTLLQRGYIKSMSTVKFPQR KMYILAGLQPGEDATGGAWFTDGVLDHELLEELARTLERRISDLSWNQITNPAAESTG SKLGVSQKRKRPDDGFDSTTKDKGKVRRMDTDNAGDELSASSSAHADIQHRPTSKKQH VSVTLPEKIYVPYPAGYSKYPTLKYLTDFVNDNKILQSGKITESNISQLLEVMVYDDN ITKIKATPDGSAPTMYKARKNPTQIVADWDLAERLRDTTRENDSAMQVARERELSKLG SGGMTEIPCGRCPVFDMCEVGGPVNPDNCEYFEEWFLKIESTRIDQEHSLVW EPUS_02860 MSSKAIPSHLRTQAGAGNGASEGDATRKHHGKSQSHVAFENTST NVAASQMRNALNMLADTVKDPDEKKRFETEMDNFFSLFRRWLNDKAKGNTINWEKIAP PAPSQVVAYDDLPNSNAVEFLNKLAVVKLNGGLGTSMGCVGPKSVIEVRDGMSFLDLS VRQIEYLNRTYDVNVPFVLMNSFNTDGDTASIIKKYEGHNIDILTFNQSRYPRVLKDS LLPAPKTYDSPLSDWYPPGHGDVFESLYNSGILDQLLERGIEIIFLSNADNLGAVVDL RILQHMVETRSEYIMELTDKTKADVKGGTIIDYEGTVRLLEIAQVPKEHANEFKSIKK FKYFNTNNIWMNLRAVKRVVENSELEMEIIPNDKSMPADKKGEADISIVQLETAVGAA IRHFKNAHGVNVPRRRFLPVKTCSDLMLVKSDLYTLQHGQLVIDPSRFGPAPLIKLGS DFKKVAQFQKRIPSIPKILELDHLTITGNVNLARGVILKGTVIIVATEGSTIDIPPGS ILENVVVQGSLRILEH EPUS_02861 MSLNTQEVFRGSGVNPTQPPASQPPASQPPASQPPASQPPASQP ASPRITTSRITTSRITTSNSHRHQPGATLDPRSVFFASLSSPRGSGSTPSDSRHSHRH SEPPPEHSTHSSREPGASSRKRDADRTQEHPARGDKAQKTLGMLVPSSSQLPRASEGT PLPHANRAGAGQPNGGAGFFQSDTALGSAQLHRESGAFQPGGGLATVQSSGRRAAQAS GWVESAQHTGALANVQRPRMFSIRHSGGGLAAAQPERRSENAQPGGRFGAAQHGRGVE TIQSHPGFEAFASPSAGLTYVQSGAGRGIPPPRPPPGSPPPFRGGPGNTQSGRGFGDP QPPTGVPQTMQLARGYGVYLPPLVPGAPLSEAGTGGSPSRRASTAEEFPSGRRSGANR VSGTPENNLTRTQLEAGPLPGLEPFQTAGGRSQAVPYTNRQAVRLPRATGEVPTSRPV NRTASPSPNMTSGAATSQPATSGASPSQNNTRGVDTPQPGTSEASPPRRTGRSAATPP NAPGGTALSQPASQGASPSHTVARGAATPQQTAGAAAKSQAVTGATLANAEIVGIIEG YLFKMDKFLESAMPTPEALQQSRCLRQAVKGVREVRAKLEQKYEQSLTREARRIEIKL EIDDVKKLDQAARNEEKEACRKAEEARARREAAAAEAIRLEREHNAIGDSSSREGTPE AGPPGP EPUS_02862 MNHSPRTPFEDFYILVAHLWDSDFARQLQSSSHYHPLWGPLFSL HERTTRVKSGYDRRRPDTAWNKPFVHGGLSSSLNKFSELEADNCELEADNYKLKGKLD KCKDDLNKANYDLNKANDDLNKANNDLNRANYDLNKANDDLNKANYDLSKANDDLNKA NDDLNKANDDLNKANEMIDQHRASLAAAHETFQELGTQIDGDRATIERLRVRAADEYI RTKQYSLAVEQYEQLVVLKGKEKKEKAKLEDREGEREAREAEEAQLGYIFDCGKAYAL DGRYDDAVKELRFVLKEMERRGIRNVERADTRDVQTQLCDVLRRQGNTEEAGRLYYHA GWLDGLQNRNEADRSWALQNATSYAQLLVEQKEYEAAKAQLTKIWRNRHQATASKVED LEAEVCKITTMLEEKRQTRLVIDLLKMVCDNQNGAALSKQVLHNHAKLGILLHDRGKP DHDEPKDLDRHDHARAIPYLRQAWVRRQQLVLGIDQSTGWTLALLLVSRSHLLEAKNV LADLLCLTNGNKTSQSVSKDQILALLAHVQFYMGDFSVAESNAAALFRRLGVKNVFTS VSSSAVDFSTCHHADTLIRACSRQDSVEKFKAAKDVWAVIYKSRIDIMATSKAQLKEH AAAGATFADNWKRSAEKRKKRPTSAMEIEKQVKQVQAMTG EPUS_02863 MSGLEIASVVLAVIPLLVPALDLYKNGLSRTSVFFRRRKHVEKL IHALHLQKTLLTENVRSLLIRVVSDVDDIPEDPQELFELLRNNDDLKERVDAYLGIET NELYKHAVVTCEEVFRNIAAHIEGFLPAGQLSKPGSLSAFVQANEPSAGNSFNLKARF NLTLGRTDVDKSIQELDRSISVLERLSAAVAANRDATEESISRTATKFASTLATTRDN AVRLYSAIASGWTCKFHKFHEVKLSLDRRPPALTRATLKANQSMTKEPVFEVCFRCDR PQDDILWLESKVEMLEDPHLNPSATNVTQKSTKSSSRSKVTVIVTSNAKALPPTSRKV DCLCSSLHYAITANKMLHLYIASQTNIHCDHHQCLSPSAARKFDVCSLQEFLSIQGGH HRRIALRDRMMLALTLAIGLLQLYETPWLYDSWSKETIQFMKTPGGNGESVSAMDIDF TKPLISCKFSSQSYSSAKHPQPKEALLELGVMLLELWHEKTIEDQFPGTLVPADYWGK LRLAWIWLDDTTNPLLPQYRLAVVQCIKCFFGGGFCSPSWNDFEFRKALVKDMVEPLH ENCKPWLKSSFTHV EPUS_02864 MTYSVRSINRQDETTTVSLATLSSNALQLFANLAIIAPNDAEAQ INPTALNIEQQHERLVLWVANLGATHLGHSSLEYRLREADLVRNAIWTFLNDLCNSLL ECETSAIMFGWQFLTLPIGREFLLCGDLSAMDDVYASRHNEGAYNYPDQSMTAIQQSM LEADYGSETTGSAEQEFNLILESIAETINCLYRIATRIRNPATRILTKKVLGFKMMDR ESGVDLEGEYASLDREHLREVFKDYRSADILDRFGEQEISPQNLDSARREPLEFLAHR LAQANTLRRKQFAYWSRHRKKLDAASEATAQGIHVQQVQPQQASQTVFSTTRSFEEDR SVVPSLPTTATTLDPAKIDLGDTKEDDMRSFNNEQWGHGNALSQNALRHLEMIMSDQG SNTKVGQYLSHLGDSDFENQWLNQDLSPPRQTTRLDDDVASAVKYEASSRPHNSDRLA KELADDRNSRGDWDSADLNDLTPELDKLKAKWEERDEIRRKSGFQQRYSNIELSRESL PLEALMLSGDAPPDSAKPSVTPPQNRGKRTSSENFQAPESPHESEICFLCKGCGYILE EGKAFVLAGNRWHLECFRCRMCNRLFDHDPNLILLGGGNLICNNCTYSCSNCGNMIED FGILAGNQAFCGKCSTCRNCKRKIEDLRYSRTAQGLFCRPCHESLRARQWEEKDGRGQ RQQDINIKQPSKSVDTDVGQQDPAAHTKGTPMRYKYYVFTKKEDWRVADKVEIIAPQE ELERKVAEGRKTNSVLEAMKNMSADRRAQISRLLTEKNLANEHGDAVSGNAFLSTLPT QGTGC EPUS_02865 MSSAAAQSNSQRMQAPPSSYSPGVAARQYAQQSPQSRDPYYTNQ NPSASGTSQSPRRQDRQSAFDSQVASPLSQPYSSPSTNAYVSPGNTTYGGAQTSSRHH PTASDQYDGPPVPPPRSSSQQPSSPAVAAPSTAPLETRAATARQSRQTANDARLYEGR SPGQNSQMANDARDMSSSTTTSASHGRRRGPTTPDGPQTATSTREQAKHSHISGQARS EGGMVAVAPPASLTRENSEIINRVVVSNPVVDIARVEDRMAEARPAPVATDNTAGSGM ASMGSEGMDDGGRRRQDYSKQSTRRKDVQFGEYMLGQTLGEGEFGKVKLGWKKDGSVQ VAIKLIRRESVATNPSRLPKIYREISILRELSHPNIVRLHEMVETDRHIGIILEYASG GELFDYILNHRYLKDPAARRLFAQLVSGIGYLHKKGIVHRDLKLENLLLDRNRNIIIS DFGFANTFNPADELSDEIVYNLSNREFVKKYRLDRQDERGMRKGDLMQTSCGSPCYAA PELVVSDSLYTGRKVDVWSCGVILYAMLAGYLPFDDDPANPEGDNINLLYKYITTTAL TFPEYVTPHARDLLRRILVPDPRKRADLFEVARHSWLSEYHHVVSHITSSTTNIADIA NSTVPSENQEEMQALARSASVREPTKTTNPSTPSPVGALNQQANLARVDEAESSAQRG SVNRHTIQPEYVEPQSHTTRGEAPATSPATRARSGSQGPAGAATQLPTRSKPLPQEPS VANEATKVADYPMIPPSNGQQRMPPPTRPARDVPRSVSDTAGAFSVATSQPPMTKTQA SRPSTGNAPVTSASARSDPRLPTRGSYGQPVAPTVEAANAHGKVTQPTNGRGYNISAP IPQHGPSQSISRVPVPVRNNDTPPQVQTPPTKTHHRRSSTLSGLGERLFGRSSSVKSG KQQAEKWKKERKYPPTSMKEPYPIENPQRTSTDSKRSFSFVMGKKKSMDLESQQQLDE KPKRLSSLIPATFSFRGLMGGSKEEDTESESPAPEVGDFPQPPTSSTQGRPSTSQAPR PSGQYPLEQEPSRTRTQTQPVGQDGQQDQPRPPRTNFSRPPQYQSAPPQVPTDAYGGT GVYTGSGGAYLQQYGSSTNPTRPLYPEGFNSQDVSRPSTQQNRQGKGVLQKNNRNFNN SYDQGPSRHEGSSGPARKVMDYFRRRKVKPETYQ EPUS_02866 MSSGHSEPQVLYSINGIKAYHIQDGEEQPLNPSGPQTLSLLMVP TSSPFTDLSSTEPTSAAPEEDFYLHLHLPPELDLPLPATTQVFHQPPSSYIIPMHSSD RGALTRIEFPPIGRPNSPGKVTQEDVDTFETILAQCTAFLERASPPKGHEHYNPAHYA PGQGHAGTGDSKARPGQIVIVDEENGSVVGELQEGFNVVESSTIRPGSKNPVEIHLPA EGQGNNIQVNNVSDEYLQLSRHPAYQKSTIVQTSATASRLIVTGSTYLANALNSGADN FQKKTKPSPKPMTFTPATHARIRKINNFSQGAVGLSAKTVGQVSRYAQNIGAGLAGKG SKKVGYDKDGNPASSYKPGILNKSMIAFSTIADGIDQAGRQLLQSGSSAATTVVGHRY GDEARSVASDIGGGVRNVGLVYIDAAGVSRKAVIKSVAKGMVVGKMQNGQHLVVGAGD GGVAPQAAGPQSQGGYPSDMKHTGNSQNLYGGSSSGRPGTEPVGFGTFGTNPAPPTYT SGVGEGLYGQYAPEKH EPUS_02867 MNDSDSTDPSLSTRIRSWFRTKPHVSPTPPPSDPASTSTLNHSS IQGEHGFTESTTTAVPGSQIIEEGKDGQPTSQSTLADDRSPGLPPPAPPPTSEKAEGE VSPTSSAVSSKEKLPMRKRFYNDCKRIIFCSWINWLLIFVPVGIIVGVVERAQGESSA ISPTVVFAMNAIAIIPLASLLAFATESVATKMGDTIGALLNVTFGNAVELIVFIIALV ANEIQIVQAAALGSILSNLLLILGMCFLFGGLRFREQLYNPAVSQMSACLLSLSVVSL LLPTAFHASFSNSDIADRVVLKVLLCVYVLYLLFQLKSHAYMYESTPQHLIDEESHPG VLAEMLNASSSSDDSSSSSDIDSDGSSGSHTTAKRIKRALRRKRRKSSVSSRDAPSVP STYHVSSPLSNVFENVTPGTRSPQQLSPKPSHSFEAVMSGDEGDIDRESRRARRTSTG INSRDFGIDQNNSVEEVIEKVRKHKKKFRKSKKSKPQAKAHEVTEEKTLDEKSTQGAQ AKDKMPAQASASLPQVAFVNEVQDMPDTSLKRPFHFRDLSRAAIPRALSPAIFSQSST SQTPSAPGLLGLPKAGPNIRRTTSMPEMGHTTPSPIIAPLSVQPLPHLMPSNQQITVI IDPEDSHGQQQLSRTSAVLLLLVATALVAVCAELLVNSIDYLVQETGVSQAFIGLIIL PIVGNAAEHVTAVTVASKNKMDLAIGVALGSSIQIAIFVTPLIVLLGWCLQRDMSLYF SLFETISLFASAFIVNYLMIDGRSNYLEGALLIAAYVIIAVAAFFYPSCENLSEAGGL AEGACR EPUS_02868 MHLSYCLLALSASYGALAAPRTQDHALANSGTADIFKRTMDGLP SGMCLCPCAQGQDNKQDLSKDGDKNAYGSEDYNKGTYNGGMYDGEYDQRQQEADKYIK DKYMALMKKNENNDNEKKKRRQEYALDKYSGNTVMIELVHVLVEVEIGSGKQNKHYAM SKWVVDGGKEKTKTCLMTAAETMTIGAGSCDDGAASGGAAAATGYAGDDKYQKEKEDA KMMDDKKEYDGGSGGAYSSSSYDAGAATPSYYVATSTYPDGTSTYSYTTSIYSATSST YSDAGAYTPSPYPMASNEGEKGKDVQDKGMNDKGMNDKGMNDKGMNDKGMNDKEMKDK EMKDKGVNDKDESKKSSDSSSMSDQTKLEDGKPIYVVRRQTGGTVSQVMAPFIGMNDT IIMPASVGAPQPHPGVELVEDPAKDVGKDGWSSVCGM EPUS_02869 MSLVSSEKSNFQFILRLLNTNVDGKQKIMYALTKIKGVGRRYSN LVCKKADVDLNKRAGEITSEELERIVTIIQNPTQYKIPTWFLNRQRDIVDGKDTQVLA NSMESKLREDLERLKKIRSHRGLRHYWGLRVRGQHSKTTGRRGRTVGVSKKKG EPUS_02870 MELIRFGSATVLFILSLLSSSASAFSGEFEISGLEIDAPFQADP KSTKNTTVSFVFNDQNTPKASPASCSLTWAVGLSPPSQSLPGVCSDRYVRAWLPSGTY NGVADFQIEITHTYEDNTIGDPPYNINTLFGFLNLTSGDAGEMSNYTCDMARANCRSV PETIMIAKVLYAFS EPUS_02871 MKVIASLFLAGTALAVVPPPQQAFQFPKQFPEAAKHAWSKQLHN LNNVLGSLTDEARAIWDDVTALYPEDMAKASFFSSPKKHTRRPDHEWDHIVRGSDVQS VWVDNEQGEKERELSGKLDTYDLRVKKVDPSSLQVDPGVKQYSGYLDDNENDKHLFYW FFESRNDPENDPVVLWLNGGPGCSSLTGLFLELGPARVNQHQKLDPNPYSWNSNASVI FLDQPVNVGFSYSGSSVSTTTAAGKDVYALLTLFFKQFPEYAKQDFHIAGESYAGHYI PVFAHEIVSHKKTNINLKSVLIGNGLTDGLTQYAYYKPMACGEGGWPAVVGRSGCQSM ENSLPRCQSLISACYDSQSVWTCVPAAIYCNNALIGPYQRTGQNVYDVRGQCEDTSNL CYKELGWITKWLNQRSVMEAIGAEVDSYDSCNFDINRNFLFQGDWMQPFHRLVPSLLE KMPVLIYAGDADFICNWLGNQAWAEALEWSGHKDFAAAEMKDLRIDQVGGQGRKIGEV KSHGNFTFMRIHAAGHMVPLDQPEAGLEFFNRWLAKEWA EPUS_02872 MPQNIQQPANRITLTNISLVRYRKRKTRFELACYKNKLLEYRSG AETDLDNVLQVPTIFLNVSKGAAAPNSDLEKAFGKNYDKDAVIKEILEKGELQVGAGE RREERERLEKEVLDLVGARLVDPTSKRVYTSGMIRKALELLSQQGGHRPVEGGLGEKL GRLNLNANTAGSGLGGPAKNGAVPVSRGESEAATPATATPGTDDGEGHEGHGGDRHHK GKSKKSDMPMWTGVVTTKSAKSQALEAMKALIAWQPIPVMRARMRLRVTCPTAISKQA AKSKGTTAEDGDDAEKTEQQQGKGTIKDRILSYIEQVESQDVLGEEWEVIGFVEPGAF KGLGEFVSGETKGRGRVEVLDMAVTHED EPUS_02873 MAFRAKVRKVLKGNKSSGDDASGSPPKRTDIEYYKPGEIPRSKY RGPWNQKHQDQLHAFSFEDALRDRKNSIPASCSPRGTLAQSRRSSWVSRARSSLGSRS GMEDGNAERRKSHASHIGQVAEANEEGADVEDVGLSRRVTTDQMTTKDVNKLELEKTI TPSDLRKENAQPFTPAELSKAMTAAAIRPER EPUS_02874 MFRLLISSLALWHTASAATNYSISAVSWAPPQMNIFGIAPDSSV WHKFYTGYDWQPTEGFENLNGQCTSGPTAISWGPNRLDYFVLGTDSGAYHKF EPUS_02875 MAYYHKYFDGFDWQPQGGAWENFGGNFSSPPAVVSWGTNRLDIL GITPEGQLKHKYWSGDQWSGWEDHTGLSGPFVGTPVASSWGYGRVDLWAVGKNSNLYH KFWDVSYWTEWENMGGTFETAPQVVHQAPNKIDIVGQFKGDDRQYYYKYWDGAQWLPS VSDWHPKGGDFASAPALVSLGAGNLNFFGVGNDGELKVQVYAGNDWQPSSKGWYSLGD TLHVSSAQEDSFKVQDL EPUS_02876 MQALKKIFSPGHDVDDELMYGDGTKKAAVEKPSTTVDNEPHPPE SRTEGAATQPSGTATSDLEPPARPQAQEGISTASIKSGVVGHPEESRLMSETTDQPSG AAFTQDSSSYPSGAQSTDFTTRSNPQTDPRLTQSRGAEQVSAGKTSAFSSNPIHKSDN TSRHVDRESKNPTPHRQPEQSKNPIRGAGDEGESTRALGSYSQPEREGNTYASERGFI GAGGTTTGRGNEPASRESVPAQYPKADPNNPYSSSRLDPRVDPHAKPSTSIVSSNDTM NRPSEYGSGSDNQGLSGTKTTAEPLDRAKGYSQIPHGPKGTTERFDGPKGTSEPLQSS TGTSEPKQDLEGSSWPLKEPDQPHSRVEVMPGAFDSEAFVTEISRDPVPEDKTSYYDF GSSIAGGGTTEATQGPKGTAEPLIEETKYLSGTGGVPSASTPGVSGGETTTFDSPSQT ATASYGIASSPFATDPMESMQGPKGTAEPLGSSRDSSWPLREQGTDQYQTSAGVPPGT SGLSGYSTGNRSDHPAQGAETSSYGVGSSQGVGSVSERSQPTNSGLDTLDTNNAIDEG HKGRNAGILGATAGALGLGAYAAKKHGEDMPDRPSAGTRRESIPTTAYPPSTSLDDKQ YPEARVEGYGATPSWGQDTNEAPYTGGASQAFTRTGTATTQPMVGAIGTSGAPTAMSN YAVGNKQEESHMGRNVALGGAAAVGASALGAHEYSRRQAEKPATAASDYIPKHEPEQG QYGTDRLEERQYGRDAAVDGIAAPSSTHGYPQQQFEKPSAASSDYASRDKPDERHYGR DAAIIGGGGGALAAGVGAVNAHEYSQHRSEKPSTVASEYTARNPPEERYHGGDAVIGS AAAAGPGIAGTPEHSRHQAEKSSTATPGSTTGEKPQESHLGRNAAIGGVAAAGAGAVG AHEYSQHEAEIEAQQRVEAEKAHQKAMEASRKAAEKEQKDHDKALAKEEKEHEKALQK EEKKAEKEHEKAVHREEKKAEKEHEKLVKKEEKEHEKALKKEEKEHQKEHEAAIKLEE KKQKEHEKELARQQKEAEKQRDSDEKEKKHGGLLGLFRRRKDSKGNDVEDEDDHTAVK TSTSATDEDSHEHGKRHIFGLPHHEVKHKLHKDPPPGLYSGDSTTPAYADYAVKENNH PGAQTVTGGYTAPDPEHDRNRYSETAGPGSGNGASGTNI EPUS_02877 MYMACKDNDCFPGELPVDDHDRNVSVHAILGGLGLLTDGMLPFN APASPSEKSGSRRQSQSHVSSQPPRNNSGSCNPSMDHVDASTLNSTSALLSSNSGHSG HSARSSTSHHSFHNFSVSPNTQTHSLDSSHQSHDMGPPFLHNFDYLSFGSQNSHIPMV TLAGTNMNGTQLLRNDFFFPWPATSVAAMDTTGYHQ EPUS_02878 MSNGEISNLKRPVDAAFGSERNEHKSKQSRRKRSKASGPQQDSA QELNSIPTKGHTSPSRNGTLKALQLPNPLQSRSPAENALSATQTRELGGQGPRQHSKK TSRKQKRSLPPEWSISAAEAGRFIDHDPILMQKDRYLILAVRTQVLVYSTQNSRLVRS LRLDEHEKITSYTTCATNENCILVSTYSGLVVKWDWTTGQEIKQWRSSAKLLAISEQS EIQDDTLYPTMLLIHEVTSTTRRVSLATLQDSSVKIITDKVILEENQLTSWIRVLDKR RCLVLYAYNKLFLGQAVRRPEDPASVYTWREIAVPRRITSIDARSHSSSSSTNKKHLA IDIVIGCQDGSILIYDDILFKLINKEKNPREEDIASRRFHWHRNEVLTVKWSLDGNYI ISGGHETVMVIWQLDTGQKQFLPHLSAAIQHLTVSDTGSSYALHLADNSVMVLSTSEL EPFAYVSSLNLQQPRLYGKKTRRIQAILHHTDPTSLLLAVAADIPSGTASQGKATLLQ TYDIGAQQQSHRQALVRNNITALNVDPSGKPVQEPDVTHLKISHDGKWLATVDDWDPP ADDLKTLYPAHDDHTPHAKETFLKFWAMNTSTRSWELVTKIECPHSTRSTAPCSILDL EANPQRTEFATILSDGSIYIWTAKSRHRNGLPVKDKSGSPLYTWSHTHTVQLLIPLKK ITPTASALCYSLDGSVLAVSSNKSAFVHFVDPLTGNVQHTQHGSHPGLFSYLTFLNHH LITISKDLRVYNTVSGDLLYALAFNSSLSGVHLAANQLDQTFAVVCLLPAIMSKEKED KAKLKSQIMLFNLKSASPIFRRIVDGTVETILPLPSESGYLIINDEAEIVYLRQPGSS LAKRNDVELPALEDAPKRMDLEEIIGRRSIEGAGPVGHMVSSAEKEDDSAQKMQPIGY THKTQSSLSDVFNNHATNLPVRELFEQVVAVLRGGSEA EPUS_02879 MSSPSTSSLLSAFSPFANLPGSPHSGQSISEIRNSMMSSTQEAH SYLSAPSPNPSNNGSNETYIDPSPVAPSSNGTEFADLDEALEHQKEIPSEAKRVVRSH PPTLRQPELTIKTSTPARLVPSRSRSDEDEPPQSVIHAPPGFAEFARSTEQAKTRSSP SPSLRSDTTVRSPVAAQANGESLKQATGPTSPPALTTTIQPVKDWSERATPRAQTRLE VDSFDKKPLETSLEDIPEGPYDIEAGLGAGEEELGAIQKTIGQFKDTAEEIEALRTAL AECWTLCNTLASLSYIHRERIFNFAGKGDLQEYAWKSCWKLCQKLYDSRDDDTTSHVR PTLDLCRDFCQALFDVRVRENEGADSVLRVSFELNNHLYNTHDRHLPEAFRERTLDFY ITLCHRLMKQKSRLAEETDSLLRACWSLAEMLFSLRQNKREGKDPDEELLGSAVQACW ELCDLFREGWTQIRPDRGTPRPSQTTFTQAFQQAQQFGFIPTVGNNGQRGLPETPTTI FEDTVNLSPDEAPTPNILILAAGHQEQQQQQPSSHSTAHSQDSSQTRRPPHNKWSSSS STLSASSRNSSLSNVSSAHTITSPSVNANAIVEDPNFTLLKLLFVKAAQNSGFQRSGP LTLPTFTKSMPSDSFGSLTWQVNLLEHYKKAVLADANGFREIGPPMRAGAVYVARAVA AMVQTGYYGWLRDLYRLVFGFYIEEAGTRKGVAVQT EPUS_02880 MTRKELREREFMKAQLLSLGNNIDVDTQDGRWTNNDIQRLIDLL IEEEDQDFKEALGRRRGGVRVKRKTPHVRIEKHIRDVNDSMTGALPLRRGSNSEDEPA FDLTREDIDDATVCLDTLPRLYRSMPYYMVSVKVLKTMGLFNDPVIYEMLPRDFMTTI KGLKRAWDEANATQPDEEEDLDVYLLDAMWKRGGLVRDAEWRVVYPSDIKPQNGEEGD PEFWKELRGLAQEFEE EPUS_02881 MGDLRQPGRMGSSGGPREDTVTGLLVRSTSSEWKKGSLIAVDAG AHLAKIIRILEDEHELPWNSQIVPEKDYSRTLTSGPFAGVQTSSISAKATALYIFREL LHSFLITHPHLDHLSGMGINTPALEYGREAKAIVALPSTIDAIKNHIFNDVIWPNLSD EGHGVGFVTYRRLIEGGNPRLGQGEGRGYVNVCDGLATKCWSVSHGRCRRRSQTISHQ RDGSLGFAPDGYPFSARRISQVSDGYMNAAGPPHHASLPVTQPAFPRTPGAHSENADP ITHYQPVDSSAFFIRNDFNGQEIIIFGDIEPDSVSLHPRNQVVWEDAAPKIISGTLKA MFIECSFDDSVRDEDLYGHLCPRHLIAELGVLAGKVMTHRRNQQGMSLQEPPSPGAVK KKRKRNSDEPSGTLAAASAPNGSANHLSPTTPRPTDIPAPGQTHARSLSHTRRARTVH IADGSPHDPAKILGDDHLRPLTPGGSVARPETPGRYQSPHPAVSTPSHLPAPLKGLTV HIIHVKDTLADGPPPGEVILKQLRDRGTEAGLGCEFDVTSDGESIWI EPUS_02882 MQKHVAMKPNAIYRSSQVSFPPLTPTASTLPSPSSNTILSVSPT IISPDIGQQPPLQAAGLAPSSVIINISAGSTGKGILIGILSAFGSAGIAVLVLAIFFF FRYTNRGRIILDRIGRPGEYDDEQVFAREEADALETMDELQRTEYLRAKAFVQANPPE TQMTDISLSQFLAIQEKGVSAWEFEPELEIANCFVEARTEIEFFDSVCSVQSNLPVPK QNEVYYWEAKIYDKPETSQISIGMTTKPYPLFRLPGFHKSSVAYLSSGHRRYNQPFAA TNYGPPYVQGDVVGVGYRPRTGTIFFTRNGKKLEDVAHGLKSQNFFPTVGANGPCTVH VNFGQMGFVFIEANVKKWGLAPMTGSLAPPPPYGSEQGSILLEAGRDGVSPSQRNEHG HSRSRSGITRIGFPTSPGPQRSPTDISLSHLARIPSSEDPGEGRSSGRHDEAVEIDAG PSESQQPPEYTSPEGSQKSSEEGGEDAPLVRRGGHQPPIPTYDAAMGNAPDTEFHDDT NEH EPUS_02883 MTVDDFDSVPRKRRRLDRPDVQPYVLKPLLQNVRLADDDSAEAV HINCVEYWNDNLYIGTSASEILHYVSLPAEPSDDSSEPTFIEASRLHPAGYGNEGILP EAQGVKSITILPAASRACILCNGTVSFYTLPELSPAPNGREVQGCQWVGGIDLNQEEQ QDNGPQDLMVASARRITIVRVGDKIQGLKNIQFPGCLKSVRRDTIACVADGEKYALLE VEHQQKIPLFPISSLEDSQDVSVSGVEALPALDAPLPTRTSSLAQHDPLAGNTSRHNR NSSLGNLVSGLGKRQPSPTADSSTRERPASPNSGLRSLSLNKAPSHTRNVSSTAPQQS LLSQTPSSPATVPVTPKGESKSHAVILQPHILSLTRNEFLLTTGTTRNEPGVGMFVNL EGDVVRGTVEFANYPESIVLDRSAMNLNPSAAQEPSSALLCVMMGSDVGEGAGKIIQL LSLSFDSGAMMKSSDLINVPEGSRHVGIKDTTSAIIHGFHQVGKLLRLVRVHIAEYGE LETGSASRLTQAGDVTPEWEVKRNKEEADFAQTFGKTRSQVIVWSDNTLLCLNQNPLI LQLEAELQVARDVDEEEVVTEIFEKLRDLEVRTETDFLSFNYIRQKASLIMLAKVMAS NLADSMLTAMKSAENMLIESNLDPRIVMLLTPMLKEEALQGPQGIWLPAGLAGLVEGM LESGTIAAEDVTTEFWQMVKRYMAIWQGKRGFGSITDEQYVFDSVDAGLLHVLLHLEQ SLPRSSSAASSIRTKLYNVVDNWKGDFDRAVVLLEDYRRLFPLSRLYQSRRLARDVLA TWKRVINGEVDGVGELNPESAEVQMRRYLVNIRDTALVQEYVLWLAQKNPDLAVEVLT DDKSRVSFPPPLALQLLKKDAPGAVQNYLEYLVFNKGSSRYADDLIAYYLDSVLSVLE SSEAARESLAQSYSTYRALEPPKPTYLNFIHENAPPEPWWQSRLRLLQLLGGGHYASA STSAESELAYSIPTVLARLAPFSKYLVSESIILDARQGRHRQALSLLTHGLGDYDTAV RYCYFAGPQSARPGPIDESQLPTFEVQKDLFDALLDDFLSIEDSSARLDRSSELLAKF ANWFDPIVVLQKVPGDWSVDVLAEFLLRTMRALRGEMLEASVVKALSAAEYLRKQAEF VEVCEKLGARMEGERALDTEGAIEIAAALSNGDGPDV EPUS_02884 MDMMVAEEARVPGDIDNDHKTAVPYGDAGPEAIPGPDDKFQRAI AAWRGIDLSTLVSKLDSTASEVVAHQRDSLTQRKDLAQKTKDFRKLDDAGKLAEYKGL LKAYQTFIDLLTNQGKTSSSAFLQLYSSLSEAPDPFPLLEACVESLVVSEDTLPKITE ERDTLRRRLDRITSQLEDTERRLNEERTSRSQLEQDQHRQYNELEISWSKVLQEKQDN WDAKEKALQDKLENQDRLLKELKANYEVSQRLGQNAGSDSESVWQGDAAATELEMAHA DLEKTTARLADIEARNEQLRMELAQAVSQSQSGRSSTIQDDPTFQTLQSENSALLRRL DAARLDKDAEKHQWENKLVQIERQKRQMGNEIEELKLKIERWADYDELKRELDMIKSI EFSTGDDDDPDTTSFLANGTAQKGSKESLEQLLMARNKKLSSEMTMLRVSHQDLQKQL HNLQEDLSRTNADLEKSQQLTVSLENDLLKVQEESSNDFPSSGMSVAGTLRYPQSTRR GRASPTSSIISGFDTGSRTPSSTLEALRAGEPVGGGSGILPMVQAQRDRFKQKNSQLE EELSKTYATVTSLRQEVASLQKDNLSLYEKTRYVSTYNRGLPTTTSSSSAYSQEPSHA TIQVSTNASSGSFDRYKTQYEANISPFAAFRGRESARAYKRMSIPERIIFSITRMVLA NRISRNLFAGYCIALHILMFLMLYQSGSVQVDKHAAGLGNGAAAVAAAGGAGFGKDSS PQEWQPDDFQGS EPUS_02885 MHSIYFLLAFLASNSLAAPSPMITESGIYSWSAPLARFYQEVDK RIQEARKSPDFPNPPACDMSKASMPVAPTPLPGPDPDTYLSHVAIGRGIQNYTCANSS SVPAPIGAVASLYNASCTESNYPSLTTMMTNLVINYPLPSDPTANFQPTNILFSGYHF FTDNTTPVFDLDTAPQKQYGYAVTKRDSASPAPSDAPEGPNGEPALAWLKLNTIDGTK GGLKHVYRVNTVGGSPPKTCEGKNPGVFTVEYSAQYWIYAADES EPUS_09292 MEDIHRFRYSPLKYNEIRLFKLDLNEADAPLSGSIITFRLPRWD DSSLKNIAGQFISSDAWHNSVLMDRDGSGGYDVLSYVWGDADRIYPLTLSATGKVYTK NRLGIDDNVMGHGTMRIRHNLYTLLHQLRRTKYDRCIWIDSICIDQDNQPEKGAQIPL MRSIYKEAKNVIVWLGEATQAEEGALTILPAISAKLKKQRLDDLDLDPEQPETFNAIG LPEPAHPVWPAIGSIMTRPWFRRLWTLQEVVLQEKERIVVLCGERQITWEAFADFGHA ASNGYRQRIINWTITGNQLIEPAEANGYTSIRMITDCRNLFQQSLKTILGGGVPLRHL LLASRQREATNPVDMIFGILGMAAYGLGKVLDLNISMSPIEVYVTFARHYLRNEVSEC LLNHVASRDRLPGLPSWCPNFGSPEQNCSLGSLWWDDTLIEETPHSRRYYASHKQGVN LPTHQYILAPIRNSLRRREPSQGLNDTPDPRQLSLLPNPHQIHAAGVTIDIITQIVPY NSGIHTFATSVDSIRQTLEWETLCRSLAIHTLGASDDAVPEAYWRTLIANQTYGTIGE ENVLWDTYEKINMLPYYHKWIAYMNDSLQQNQPLFFLGGTELGAFWFCLQTLRILKQR CFFATRDGRIGIGPRTIEVGDKVAVFFYCPTPYILRRKEADYQFVGETYVHGLMYNEG IDMLKRGELDEQMYVLG EPUS_09293 MSLTPFFFGCKLPIVTASAPNRNEDSSFIAFLKLGRLCRIILRA VQVTGGLSLITEFQYTVPESIQGFGIIRVASTASARPSEEPEEVKITLKSRIEQAIFY GTLRHNPLDLTSTEQQTCSSEEIEEAALSISHEILSSSSKYIPKASPSLDQHLKLRAK ALEDLVLHLQKRYGPLPRLLKWRLLWGAEKLAAAQAMWKVQEEIMRRKPKDRKETYWE QLLFFMASDYRTEADESKGETDLGHKEVKEDDFLSEYEMVENIRESSDLWVAGFEAAY RFREDNAPLYGLGDEIFDPQHGILKSGYKDLPEAWTIEQRTVRMGECLLQLVYDTTLE WWGLSRTGGAGKPSRKTVIHMAHAMPKELDLYQRMFAERHAWLMEQNHEENPKYLEQA KKMLKWGQQSRRDYFYRIARLGLVHEAIDLAEHWKDMKALMDLDLEAKQQLIERTKES SEPSERDVKKFEQDLQSIKKRTEGYFEKYGSAWAKAYFNKMVIDGELGRYQKISWIND VVGEEDFSSAAKTLESLATKKVDNLWMQKTELCLAKLTRIAAVETKQKASRKDPRIST KKFDDRLALLGIQDGLQAHILPSIGPVIDDTGARQVALETFRKRIVREKKYPALKALL NDGLGLLLRRKAVSPQRLVDILTLMDPVEYQGLEENDPQILSHEFWLALKVLRLGEID PSMLEGLTRIIWRRAMIRDDWMSLNDTTEKNDEEVTAEMTKTGLFRTLVAYYEHVQQH PEESSSIKLPSPSQISEAEVFPMSLRKRFRENEIELVLRDLEGENEVLKRYVEKGRLE LHYGGLLKMAQATVRAQADRAGDEAAEEAEKT EPUS_09294 MLVNSHSPEQSVLCRSQKIRSNSFRSFSRLGLALLLGIGCLFII VSWTMEWLVERYSKRTSRGTYQRLEWTVNNTLQLQRMVHEDVGLGTWSKTTEDYPITL PGEHLATVDISEPEHPKLKPPVTKSEASPDYLSRKTALAPYERDAIETQASPPLWSAP RAPRQLKKDSGLVYIAQNAARHPNSPLEPLFRTLYIANSSFDIRSVDVVTDRNNIRKL LSFVNPDSTRNGLEKFTISIEVTKNTAIFSRAETATHEVIGLHEFRGFGHEFEKSRPQ EPDYPLLHEIARHVIHVCETLAVASECVKDLKQQQQDFMAAHPQNDISWSWAQSPFQF PLRMLQSLLSRSESNKARVHNEITLAFHTAAQRDSKIQVRIGEEAKKETTAMKAIAVV TMTFLPATFVSSIFSMSFFHFEPSQRGERDIFIVSDKFWMYWVLAGPLSITVLSLWIF WERMLGKKH EPUS_09295 MDVARYSIGWIAPLPLELIAAKAVLDEDYGDIHVDGYIYHGGKI GQHNIVMAVQPKMGNDAASDLAARMRAAFRNIEYFMVVGIGGGVPSYGPSGAPSEIVL GDVVVSYPRGNYGGVVRFDFGAWTDKGQLKMSGHTNSPPDSLLAAVTNLQTRHSTGSG TRIPAFLREMRLKIHVLERQKFKDPGAEQDRLFRKDCFHPKESLNQDCENCCDLSRSE MRHCRGIEAVRQVDTPKIHYGNIGSSNQLQISAHKRDRLHKELGVICFEMEGAGVIHK HPCLVIRGICDYSDSHKSKQWQPYAAATAAAYTKELLETMPASNYKDKDNDKDNDKDN QCLKDWRSTDPRDDKIRIELSKDDLLKESYTWILEDPAFIGWRDNDDTQLLWIKGDPG KGKTMLMIGIINELSKQLESTPGSGVLSYFFCQATEPKLNNAVSVIRALIYLLVDQQR ALIRHLRKRYDTSGKSLFEGPNAFAALSAILSDMLHDPSLARVYLMVDALDECSSELC LLLRLIARNTSEACKVKWLVSSRNRPDIEKLLRSAGSRAKISLELNSSHISRAVNAFI DFKVSKLTEMNRYKAEVQTTIRNYLYEKADGTFLWVALVCKALHGVRVGKALSALEKF PAGLQPLYERMMEQIRCIEDSDDVESCIRILSSATLAYRPIHLKELVAIAGLQEDPDD VQSLNELVDLCGSFLTIREDTVYFIHQSAKDYFSTGKGAQIFPSGQVEEHREMALRAL QVMNDALKRDICKLRVPGALLDEVRDINRDCLAYLRYACCYWVSHLRDAGHLLHEKLD LYDDGTIHVFLRKHFLHWLEALGLLGNISDGVAMIRTLEEFLIRKSDKAELLLAMIQD AKRFILYNRWIIEKAPLQVYASALVFAPKKSIIRDQFSNLGPSCIITGPATDENWSQC LQTLEGHSDIVSSVTFSADGRRLASASEDGTRSCPVGRRLASSSKDHTVRLWDSETGV LQQTLEGHSETVSSVAFSADGWWLASASHDRTVRLWDSETGVLQQTLEGHSDIRRLAS SSKDHTVRLWDSETGVLQQTLEGHSETVSSVAFSADGWWLASASHDRTVRLWDSETGA LQQTLEGHAGGVWSVAFSADGRRLASASNDRIVRLWDSKTGALQQMLKGHNDWVSSVA FSADGRRLASASEDGIIQLWDSETGALQQTLEGHSDQVSSVAFSADGRRLASSSYDRT VRLWDGETARLASTSHDRTVRLWDGETGALQQTLKGRSGWVSSVAFSADGQRLASASE DGIIQLWDSETGALQQTLEGHNNREFTPWPSRPTAGGWRQGLPTAQCGSGIAKRARYS RRSRATGRRLASGSTDGTVQLWDGETGALQQTLEGHSDQVSSVAFSADGRRLASSSYD RTVRLWDGETGALQQTLEIGSYLYQLSFSPDGLHLITALGVISLHYSLSVIPQTPIWS GYCVRADSSWITTNGTDILWIPFEYRPRFSIVRKQTVIIGCFSGRILVIKFDS EPUS_09032 MEQWKVEKIVSKLVHGEAISYKVRWEGYEAEDDTWEDPTALEQA QEAVRAYEEALGHSGLRGRRQADGSTFYGEPRGRLRR EPUS_09033 MATIPPPSKNLGDNRGPEITQSITVVVVLTALSLIGRLFSRRLQ KVPLAASDYTIILGWLTACGMTIIIYIGVTLGLGKHIEVVPLEDMTKILKSTMASEIL YSITISATKISILLFYREIFPGPRFAIATNIVAAFVIAWGVACILVAIFSCNPVNGFW DLTIPSKCIETRMFFIGNSVPNIIMDVVILTLPMNKIWHLQMQFKQKLIVSGLFLLGG FVCISSGIRLLFLQDMDVRNLTWSYVGTAFWSVVEVNVAVMSACFPTMRPLLQRTIPK LLSIGSFSFGSQKKGGGLVPCENTHAFRSKDITVPQRVPGPSQGHVTIPKPAEVQYVN IIQRETA EPUS_09034 MEESPRSFIRADYTVGWICALPIELSAARRMLDEKHPDLPKKAG DENHYCLGRIGCHNIVLACLPAGGIGNNSAATVAKDMMRSYKSLKVNLLVGVAAGAPG ESNDIRLGDIIVSKPDGTHGGVVQYDFGKRLEGGRFQRTGSLDKPPRFLLQAIAVIQA THEGEKPKFHEFISKTTAAYPNFTPPSRESDILFKTTYSHKEGEKTCIKCDPQCIVPR EARPDDSPLVHYGNIASGNQVIRDAPFRDSIAKEEKIICFEMEAAGSMDNFRCLVIRG ICDYADSHKNKHWQKYAAVAAAAFAKELLLTIPEEEVQVARPEKIAPAVEATGNEHWL VPRAASDLFTGRKCTMEKLEQCVLPATGNKNPKQRRFVLVGTGGMGKSEVCLKFAERC RNRFWGVFWIDASFEESAKRGFIDIAEHCGVSAKSIEGVRSWLSSVERSWLLILDNAD DAEVDYSKYFPPGKAGSVLVATREPNYSFLNTVGWEKLEGLDKGDAEELLFKATGIQQ DNWQERRGAAERVVKTLGFCPLAIVQAGAYIRGGYCALEQFPEEYSRCSQKLMRPRPE TREASEANLCTTFELCAKTLETSDKPEAAYARDLLHMLALLHSEDVAETVFEEAWRYS QTRRSPPSDELDRGLYLSTSQVSRVQSLTFIKQGSPVNPNGVPLRQARNLLVSLRFVA FDDRKCAISVYRPVHEWLRRRMDQAHYQETWLDAGSILALATQEKRSNKGFSKHLLQV EAYFSRPRNNFFASHSKIDAIRAFYWLVWNLKERQAFPVAGSLARVIFEAARDGAFAP DCSELMALQFLYGSCLQEDGDNDSAVSLLTQMFEKGKAGLPAAQPYLRQAEKQLARCH GNKGFDKAIRVFKEIVDSQKTQSHAQQGEHPAPQDGYHQLVKAVDEFLKVQKSTLPQK HINQRAAKTELIKADMDGTRLEKAAKLLGKIIETPTDVLLPEHPDRQESQFLLAKIHG TRRELGRSAKLLEDLVQAQRTKLSADDPSRLATEHELGTTYTDKGRYKKAIELLAEVV KREKTSPVAQPSDRVISQHELARAYSGAGQYDKAAKLLERITKIDEETLSFDHPDRLA SRIALAQAYRGIGKPRISIDLLRKIAEICKSSAQVDDETHVTALQELALAYSDEEQHK EALDVMKKAIKIQKATLPPRHPALLQSRRWLKQLEFAVAGDAKQEPTMPPTSPSNSII SPIGYGGVGATHQIKSAKKSPKPEPNKVQSLQRPATPATPPPPYTPIQPAKKTPQART KTGSH EPUS_09035 MSAAALTAMDVTGVAPPGLAENLEHLLNTQGNCAHFPRSKGSLQ SKIPSRLGFFRLRDDGISTLDTTKICLNCYQQAVPDGFSCWSEPLSSPETYQEIHRVA AGCERPDDSKRSYPNMFPAKSITATQAPPHRVPMPPGKDAQDAWAWIQMQRKPFYINA LHLVTRPNPLTRKRMEGDAKIAAENGSVYVPQLSDSAERQRQGRKVEKIQPSSLSAPE GSVGSLPVKSSRSKNSKNRAERSVQAVSINNAEEGSGISGPEAVSGRPSHITGSSEQS FQASPHDVDILGENPELPTQQRKTSSKETRLSKTTKPSKQKRGHATETGSLPDTTFKG SEQRAELPGLDQMLPSPATASRVSKGPALRKSRSSAEVEPKVTDADAQAQGWSGPVLT GERVPNEPAFRPTDRQAPELSDQAVPKAKHPSKSASAKTGRKVFKEGTPTIRKEPCSS PGEPLSAEAAPGVSRPSLKESKKAALPNHEGDAARKVPPTTLAAHPRAVQCEHDKGSH KRQSASDSPYPVGLRPQLQSEGQDRTPKDDQPVGKRLLTDEEIFHAVFVKDRLRYKHM KGQEVRRRALPPARSPRSTETGRKEVVPPATSINSPKSSLLCSRPEQTADKPNSTSLP MSHLGLPSDKVSQYAKEADVSLQPPSLDSVFRKSSRGISSPQPLSNSALFKGNDSPFL RAGRKSARGSKLAHDHGASKNPSLIPVQRTARVNKTDKPEKSHKKPKSDKPVKPEKAH NSDKPQKPEKPHKPEKSHKPEKPHKPEKPHNSEKAHKPEKSDKSDKPDSSEKPHKPEK PYKSDKPEKPEKPHKPEKSHKPEKAHKPEKAHKPEKPHKPEKPHKPHNPHNPHNPHKS HKSQMKKHATKHMINHTSKYTTYHTTNHTTNQDESGSEDESSSEEEPGPGEESGSKEE PDSEEEPGLEEESDQGEEPSSEEYPGPEEESDSGEEPGLEEEPDLSEELGLEEISGSE EESGLEERTSSEEELDLGDESGLADESGSEDESDKSDEFNEGFKDLDVSEDESEKELT DAGSDLEPENSESGDSEPKDLNSESENSNSEPENSESDNSGSKTSELDSSESEDSGSK DPDSEPEDSEPEDTEPEDSEPEDTEPEDSEPEDSASEDSEPEDSEPEDSEPESAEPEA SQSEDSEPEISEPEEDSDQDNSDSSVSM EPUS_09036 MVQSFKKGKDISVMIWGAIYGNRRSDIVLLERDPDSEKSGYTAN SYLTILREQIPRTYEPGRIFMQDNARIHTAKKVMAWFEEEGISLLDWPPYSPDLNPIE HLWAQLKQWINDHYPDLIQMGKSEADYQRLFTAIHEGWDAIRQEAIDALIKSMDTRIN AVIRAKGWYTRF EPUS_09037 MCSLQQSSSGPRMAANDTPPSGRPRLVEIARQFGVPVSRPGPLS PQKPRGRPVNRVDEIRAKQFIIQRQSTDSAGEGSRHISLPPMQNNFLPKDINRALSAA VAENADPALVAALLALGGDVNIARRASGNMWKKLCNVDQTDQRSDLLQVAIQNRNLSL VQLLAAQADQVTLNTSLPIALRVGAVDIAKVLLECGADAERFHEEFPAAVRNGQEDLV ELLLLAPKPLCSHCRESGLLLAITSGSVRNTSMFILSNAAGDKEELLEASLRLAIDRG RLDLFTAIVVCSERPASKALDGALASSNKVPAKQRSTFIELCILGGAQGVHCSEMLYG AVKQGEHRLVNTLIQHGASLDHRKGAALQHAIEGHQAALVCSMLQGNVVPVTLTTVLR EQKASFLANLDFTLKVMSRFIAAGTTNDVMAELCCAVVEHVQCTDLECQLERLNPQAY RLATFLLDNGHADVNHQGGRLIQVIVNGPFVDLLPHVLEQKPSKSSVNAAFSSAISIR DDHPKKPETMQMLLKSGAHGDVVDKELVRVCQAGRDAISMVHVLLHGASVDFQHGQAI RAAIQNRQLELLNLLLRAKPSIITMEHVWTDAVYLSDSTYRLHVYNSLLKAETKTARG NEASIGYLSEVPLVHAIQAGNIGALELLLAHGSLQGPVIDSALIKAAEVFSIEALEVL AGYATSFDAFTRAFNAAVQVREHWLSANGLEVVQFLLGKGVTGNTVDAALIQAASRFN EDAVKLLQEVVDDPQTFTMAFNAALSQGDKWLSEEFIELIDIYLAKGSGGECVDMALL DALESYETASDSETMVDLLLFYKADVNYADGHAIQLAAEYGDVELLQKLFQSQPMPQS MSLGLVSAAQVSHEGPRFIDVLNTFMQNPNAKPDLNFVAPGKDPLLFIVLNAYPESPE VVKSLCDFGVDLNSCVVCGVYDDENLEPENVNALAWSLVQPGNLIGDEVIGALIDAGA NVTATLAVSKATPLLLAAKYNRPDAISRFLKKGVKLSQKDRFDRSALFYASRHEDVKV LKCLLKAKPPPNDGSLHEASRRLNTNAVKLLIKAGHDPNFPSTKHEGRTALAELCLNC NGARDLLALEETLEALRDGKADPMKKWLGKTPTFLALDNPDPVPVLDKLVEKIMWKYI NDENNIYEEGDYFYSPTMYIMRGVLRGPHDQGHQLLKLLNNYSAVHRYYAKERLHQPA DAQGLPASIIEFERKRQIREERLNQQIEDHQRRLKRVAEEAEQQALIAEQAAMQRYRH RQIDHTQAFEHRQQTHDQVFQHHQENHAQSLRLKQEQLRQKQLEVQESSQLKRDQQWK DEQQKAYIQQNQRENQLQFKRIEHQQKLGRLSQEQRMQLQHSAKVDQRKLQTQSQLDQ QKNAAKLRQIKLAASDRSNQLQSSKAKNKIQIAFKKDAAVVQQYEHKMRMEEMYTKRG NMQMSMLESKFNTEQKKIAQANRKMIMGS EPUS_09038 MAILNETCRDLMFRSTTKDIKRIPEESLQRFHGQRPIRRSSDTV FTYDSLQVADPRHRTELNIYGDFFRCFGAGRRASVNLPACPQFVDAATYQQWPTDFTQ EMRREYDFYKDHGTGTNKF EPUS_09039 MEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRME EEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDR MEEEDRMEEEDRMEEEDRMEEEDRTEEEGGGRREMKKGGLCQAISTTLETLPAEIRIQ ILLALTDLASLRSLIRASPSYHASYRKAGRQKVLTEIALQQLDRRLWPDALAAVRAAR FYETPSREYHRHHLEAQRATTFIDEYSKVRGDDPNSNAEWLSCRTLTEAVDLLNLVEA VKYVAADYSRSVASKMTLAQLVLNISHMEQFRIHRAMYRFQIYCHFFGRNPVLTLPDT SDPNEDRHPIKRFLPSFAPWEILEMACIWHYLSSRWASMIHEVSDVKTKTESRSHKET DFGDSLSNLDIAIHYSDPSSYGSSPDTNDENYKKHLAKQRREYLAHKGPRHLAKALAT GTFERRHHAVYEDYPESLLLPFAKLDEYPNEQEGLIPPADKYQQQDILAQLSTMPESE QPSRGWEWLCDKYDIGSLGIGPTVWREWWNIRERSGGHELRWGFPFWDREKLESWGLI PS EPUS_09040 MGCEDARSVVTDLTISFWSPTDNPSTCALDQQRWHRIEKELFLH TAQQSAWLHIAQAKEKELTTDNFVVTDIRVGKLHPSIGSDNSWKSRPGGIWVLRSKYT GECHQTVTGVDVLFGVDAVDPRPQWALIRPPLQLNAPSEVPVASLSVRHGRAKLRPDS PLTALRAREDGKFKIVQISDTHMVTGVGVCKDAIDAHGQPLPESEADPLTIDFLGGIL DVEKPDLVILTGDQLHHDILDSQSALFKVVAPLIERSIPYAAVFGNHDSEGAYTLSRT AQMSILQDLPFSFCQSGPEQVDGVGNYYLQVFAHASSQVPLSTLYFVDSHEQIPSKIQ NPDYGWITQSQIDWFTCTSQALRKAREKDDNHHNRFHLSLAFMHIPLPEYGDSDLIVR GGHRREPTKGPSFNLHFYNALAEEGIAAVGCGHDHVNDFCGLRPQQTHKELKQDGNKP PQLGPWLCYGGGSGFGGYGSYGEKRYHRRMRVWEIDTSIGAIKTWKRVEYSKERIDEL VLVENGAVVTSPYDTDGCTSDIAAQAVL EPUS_07682 MKLNTRFRKETKVNNDPAHAKQVNPDSTQSGDGEEVRETGALSE NGPVNRLSKKNLTLVIAALCLAVFCQALDTTIIATAIPRITDEFSSLDDVGWYGSGYL LANCASLLSYGKLYNLFPVQWVFLGALGVFELGSLVCGATPSSVGLIMGRVIQGIGAG GLLSGGTLIIAATVPLTVYPLNSI EPUS_07683 MEDFVKHTKDHDDNKSEKARLTDQHDVMMDAMGGKAIFVPIDLS KPGLRILNSGTADGRWLFDLRSTSQAKHEYVGTDIDEGLYPEPAPEDMRFQNQSIREP FPAEWQESFDLVHQRLVMAAAPPETVLSVVERLASLLKRGAWLQLVEVDTDAVPGNGP ALKSFLSYAQQMSAASGMGSNLAKDLAGTMQKVGLQNVEQQSIEIMHGFKNEKTGLKQ KSMDSLCNAVPPLMQGVKMVLPDQYDEKEASTLQSRLRKELEESGGTTKVFVVCGQKA EPUS_07684 MHGRIANGDNTAKPLFDCLIIGGGPAGLSAATTLARQLYHIIVF DSGSYRDDTITHMGNVATWDNRAPEEFRDTARRGVLDQYSTVRIEDTTITHVKQAKNG HFEATAETGARWYGRKLLLATGVKDVFPDIPSYQECWAVGICPDLFWYGSQKGRAQSA GVLVSGGMATPSRSAEIAGMARRLADSVTFYTDSNESLSEQLREDMGNSQIKVDARSI THLEKSTVGHAIIIRFDDLTTKTEAFLAHESRTEINGPFAYQLDLDLTDQGNIKVAEP LYATSVPGVFAVGDCACPTKAVVQAMATGALGGTGVSTQLIAEIY EPUS_07685 MENTSYSGPLSSPPPKAFKVPESLVTWDARAPLLMGAQTVVAAT LICSTPKSSLLRPACLPILIGLMYLAWQVAPAFTGQGVLYSFWWVGPYANIFHCMNNL YLHPLDDNDIRHEMKISNVPPFPGGVVPPRARFLLHQCILIALQYLIMDLLASSPPPP DVVDGWARGKEWLWISALNPHPVTFDDLKNRFIECTMNWYIVGRVMNDIWYRIFAVIF VGLGLSEPKQWPPLYGDYKDTYTLRGYFGKFWHQTLRWPFQGVSSYVTRQILGLRRGA VERYANIFIVFFLSGTLHSILDVAFGGHWRPVGGLLCFPVLPLGIMFEDAVQWLWYGL IVENAEAKRASWFGRIIGHVWVVLFLAIVTPIFNYPLQRIDGNPTYLVPWSIIHTLV EPUS_07686 MEREARAPGSHDPRYEDEDPRPTSRKELAGWYSYGWAAEVFAIC AMASFLPITLEQLARDRGVQLHDQSLPCSSGWNTTSLVKDRSAATIRGSSLFHSQEEP PACVVHILGVEINTASFAMYTFSISVLVQTLIIISMSGAADHGRSRKTLLLAFAITGA VSTMLFLPVVPKVYLLGALLAIIANTCFGASFVLLNSFLPLLVRHHPSVQLRQGYGTP HDSGSEQLHLHRDVEEDDDQLLDPSSALLHDDGPDLTKPSRTSPAAISPELKLSTKIS SNGIGIGYVAGLFVQSIAILLVVSTGSNTFSLRLVLFVIGSWWLLFTIPAALWLRPRP GPPLPLAHQTWLSYLTYAWKSLYLTILRARHLRDVVIFLAAWFLLSDGIATVSGTAIL FAKTSLGMKPWALALINVISTIAGVFGAFSWSFFSRLLNLRASQTIIACICLFEIIPL YGLLGFIPSVRDFGMFGLQNPWEMYPLGAIYGFVLGGLSSYCRSFYGELIPPGSEAAF YALYAITDKGSSIFGPAIVGAITDHYGEIRPAFVFLAVLIAMPLPLMMLVDVERGKKD AREMAVVLEAKGFGHDTRRDVGYEAVPTIVLESEGNGN EPUS_07687 MASPKLNGTQQPVSNGIIAKQAVNQNGGHIGGALNGATQGGGDE ERATHHNVVNGVAQDKMEEGTSDHPVNRLDGLPAEIRHLTQHFRPFHKVVHRSVQQTF NGYSDLLDQLSELTVAPSLTASNASYVKPQVNGAASGDQSPENLEKKDRILKFQQDQR ALYIKFLVLHDWFQKSRGIDQVIEISMWMHDQRTHFAQAADFIGNMKRELAMWQVPNP DLQTAVEILSNGRASALSDLGYVPKKPLSSKEMLRTLEDINILLCTRLSLQDRVPEPL SKYSIHDGRVTFIVPHEFELDLSVADEDPTSQFYFIDFRYLFSQRSTFLSGRLHDDFA SKVNDVLRGDGLLGCYNLLHDLALSHKLNSLRRQALDMVRKQWCESLRVNLINRTLVV QYWLNRPRPKSWIELGVKSGRRKNRRDRLRPAEPFLDLRWMPEKEENHEILVDFNASP LSIENMLCGAISRHSSIILERLYEKLLNFRLYAGGKHALELSISDAEPSDCSLHIQLT NSKHVTLSIEPITGSLILRPASSLSGRTEFELNRLQNPVDDGVQHISMLRCLVAEQEF TQHANIAGWKVVQTFRLSQRELRALFPSAVLRYVLIRQHSWQQNAMVAATFSMESDNW WLLYPGVQGSSGDPKALVPQRIPRVEWQEESKTNSTALLSQLKEYASGAITFKIAEQE LKQKSLHFQSFALPQLQKHVPLPVMKVRYALTDEARTMRSLMSDAESKNEVHDDKKDD NPSWIQSTVCLGFCGFNKSTNSALLLAKGRTNASTDVLESLNSLVGSKFKINTKAGEF VMSFQVPIGISIVPELFTGLRNLDSLLSCLTIVKSFESVRIQSLSLSQIVIEYNPEAE LTTSIDFPSSNSSVAIRLLPEGFNPHTRISHFIENLLADRKRRFTANLGGVLTMLSTT YPLLTLFDELEKLRNASDSAIESEASSSSTVRLHAIARSPTHYALQYFASSTYSSTMI ARVEIFRHLRRDSPVWILRPAIEETFAYSRSSFINTALKQKLMDDVFNIRGAHGWLGL DTGALSPIDSPGPLVRKIDEVVRTWAKERLKDLLGESHGQEQQSSKDETRASASESAP IPNHSADSTSHHQQNQQHHQQHQQPQRPGTGGSNPTINGTIQGRPAANTQKPASQRQH SAKDIINLD EPUS_07688 MEVLAPVPRDSPRPARPGNASWLKDASLMTVSEEILELCRFCSG VIERRWRREGGRESGKIFDSGPFWREFLQRKPAARQQEGLLGIQYLKSPEGFFAFVQA TKQKCDALVAKTLAATSLDEYRAMARDMDRLSDLLCRVIDVSDFMRVFHQDAAIIKAA TQAYEHMFEYMNVLNTTAGLNEQLKKALRMPEVRSGT EPUS_07689 MPSEDSPASSASPHPHLTPHGIDLSPSTPSSPDPTSLEPSKPAN EPPPTPSTPTPPPPFQPPSPSSPKPKPKPKQTFTCPPPACNAITFPTARLLMRHKSTS LFHEYCRKCDLDFASLEAKLIHLIESERHLACAECGEEFGCEDGLVRHVWRVS EPUS_07690 MASRRVLRLRTSLLAAAAGGASVLYPMRSAYAEAPPKEAIPDRK PIYSDHASLLPKPKPSDTSPVSEPSSTAPTPTDRLTGQVRRARIFLYDQSFAAEDSIN KFMSYILNKETSFTNTIASLAPAPETGEQLLPGAIYVLVATMTGSIIARNRGLFLRTT FPLAVGITAGWILIPFTMRNIADLSWEYEKKVPLISNTHAEIRGFTKEAWRQTRVHAR LVGDWADEKAAAGREKMESWVRDGK EPUS_07691 MVDVRAVRRLEHFDSPAGRQTRDISKRHLSTATETVQLSEAYHA PVLYGSLDFDKDKHVLQKIFDSGPFWREFLQRKPAARQQEGLLGIQYLKSPEGFFAFV QATKQKCDALVAKTLAATSLDEYRAMARDMDRLSDLLCRVIDVSDFMRVFHQDAAIIK AATQAYEHMFEYMNVLNTTAGLNEQLKKALRMPEVRSVWSEEEIISAETLVKDFAHSA IDQSPQKRQKFVDLSNEISRVGTEFVSQMEPAKQYVAVTRETLLGIEPDGTKQFRSWG KAMIPIHSQAARKVMKLVEDEEPRREIYMAGRTSSKKQIQRLERLLLKRAELAKLTGF SSYSQMTLSHKSALAKTPSGVNTFLTSLNASNRGQLQPQIDELLAQKRNSVPSASVLQ PWDVALYQNTLKAQLGRNAMSNHSLEGSNAFFSIGTIISGLSRLFQRLYGIRLAPQPT APGEVWNPGVRRLDVISETEGHIAVIYCDLFARMGKQPNPAHFTLRCSREITAEEIEA AQLGDKVNDGMPTGITISSITGKRALHQLPVIALICDFDEPSPGSHTPTLLSHHSVTT LFHEMGHAVHSILGRTSLQTIAGTRCAADFAELPSILMEYFALDPSVLKLYARHWDTD KPISDHQATALQQQQHRDQTEKDALWHNETQILMSLLDQSLHSELAVEAVEHGGGRFD STEVYHRIWNSHGSLPEPRDTSWHGFFGHLYGYGGTYYSYLFDRAIARQVWRAVFRDG LDGAALDRAAGEKLKENVLRYGGGRDPWRCLEDLMGGGKGVLAEGGDQAMLEVGQWGV GAGAEGGL EPUS_07692 MDAETDPAVTVAFRPAKRRKFTRNRRASESEESESQPVGTASPE PNPGAVSVHVSDVVRLRKNKSRKNGIECSNTRTRSSEPPPSSTDLATDGGDGEGLKAI SDRFVTHSGQVVDVDKHMVAYIESEMARRRLGQALPEPSNTMSNTHDLDAEDAGPSDM PTSQRQPAALGKIHEIDLGRDASLRNQQRTELAMRRARGEEVPPEEPVVAKKPRLGRN GKPRRGPKRRNSDDVKRDQLVEQVLHESRLEIYDEPEPDLQEDNLNADDRIAEQFKRD FIDAMQARHRNRTAGQPKPPNVKPGEQVQRGPKLGGSRSARAAMREQQEKAAKK EPUS_07693 MAALTPQNEPKVAEYAGDEVSALVLDPGYSSVRAGFAGEDTPKS IIPSYYGDTGDPANPRLFGDHVIDRPRENMAIKNPLNKDGLVEDWDAAEALWLHSFAA KLTGLRPNKALQEWLNNPENVPNLQQAMREAEDIEKPLEDHPLFMTESGWNTAKAREK CAEIAMESWGAPAFYIGRQGVMAAFASGKATALIVDVGASNTSVTPVHDGIILKKSIQ RSHLAGNFISSQIRNMLASNQPQPITITPHYLIKSKTPVDAGQPANAVLRDFPSDFTP PQASFRRYQEERTLLEFKELVVQVWPGPTPLLSSTNGVTQEEIARGYPGKTFEFPDGY NQLFTSDRYKVAESLFDHRAYIAPPPTLQNPDTPFASSPPTAEQTLTHMIRSSLSHVD VDIRPLMLANVVVTGGSSLIPGFTERLNNELQRMFPSTRVRLQAAGNSPERKFGSWIG GSIMASLGTFHQMWISRKEFEEHGSAIVEKRCK EPUS_07694 MASNLRALEEDEDTKSLSESITDYPVTWGRRYHKYKEGSYLFPN DTMECDRLDLQYQALKMLHDDQIYFAPLKDPKRILDIGTGTGIWPIEMAALFPNARIT GTDLSPIQPSLVPPRVSFEVHDCTETDWCRPLASLDYIHVAIMLGSLPSYSKLIKNAR RYLKPGEGWLECHEMMPDICCDDDTVPPSWPFRAWHSYLEESITKMESPKPLRVADKL ATWMREAGYVDIHERIDRIPLNSWPKHPSLKNIGRLWEANWLDGLAAFSYKLFGPEGL GWTQNEIEVFLIDVRKCIKDRNVHAYQKMYVVYGRRPSEEEEKAMMKKPSKPAMAKGG ASSAKAKPKGYK EPUS_07695 MLDYTTLWRAPEVNPINHKARSVPYFNPINVYGRVFFFSWFGFL IAFWSWYAFPPLLKVTIAKDLNLTTSDIANSNIVALTATLLVRLVAGPACDRWGPRIT FCATLLIGAIPTALSGAAFNASQLLALRFFIGILGGSFVPCQVWSTGFFDKNVVGTAN AFTAGLGNAGGGITYFLMPAIFDSLVTQQGLTPRVAWRVAFIVPFICITVTAIGMLFL TPDTPTGKWSERHLAVQRNLANHGVTAVVVDAPGGIMDKKPSEDGSITPPSQQGEKMQ FEATGERKQSYDHEAQMGEQQMLDTARGEVVVKPTLREGFRVSVSPQVLVVAGGYFCA FGGELAINSILGSYYLKNFPSLGQTGSGKWAAMFGLLNVAFRPLGGIIADIIYNRTHS VWHKKIWIHLLGLFTGCFLLAIGLLDPHDQNTMFGLIAGMAFFMEASNGAIFALVPHI SPHANGVVSGVTGAAGNLGGIVFAIIFRYHPGNYHRTIWIIGAMILAIHLSVCWIKPI PKGQIGGR EPUS_07696 MTYDCRIAYSGLRKPTYPSNSIWISDALLAGTIQRFTYLHLRHG SAVPGPLEARRRATRRKNASLTATGRGGAPTEVGALFGPGKKVDWWNAPNPSELQAEV TEATSILPSWLTRRKPAPHSSVTFREQLAHEDFRTPKIPATQPSAAILHDSLSECQSL QQIRELVQRSEINLLQTPGHSRDILKYLLTSGLAISELQDFFLDRTLHPLGSGCFEEF CDWLVSKPHTEAHLDHYYVCVQKCLHVGLLSAREIQSLLTKLASAKVVSQGTIEKLGD VAAIQTWYWMMADTLSSCPIFGLNDLGEKCLQQWSFGVAEAPFAPCALDTFCKVQRYL ATSDRFGFKVNTVRGLVRKWIDYTQAPHQQRLPSMDRSQQETKVANLLVTVQPSVAAK SICQITEKLVRDVLKGIRQPIALDIWMQTLLRFPRSLASVVLQQRSWRQGLAEDSVTG LSSRQAIAVRLWTVTRLATQQQEPGHKHEPGNTTQSLIRLFQRQLKPDQNLLAELLFT LQSLPLPSPSAVLQVAVARDTEGLQVRGSIDKLQADMIRICQSRISVFEEDVLYKNAK INLRSYLRQLAERVNTNPEAFLRLAHDLIMKDKLSIKVITRILEHNLPLGLSLAAPTQ TPSNGSETTTPLLTPITPPPLAPAVAIHLVNSLARVFALSPVLSPRQSFRKVYWLYLY LHRFTWGIGIGRDFTRALWHAGVTRYKETGTSPEKVGWILSKVREVEGQEVADRLLWF GSGGVEGWEEWMVDSCGGVDREGWKRIFNTRISGTGTRTDGQRCREEGEL EPUS_07697 MPGFDFSNYNRNLALHARGVPLPKATSTGTTIVGCIFDNGVVIA ADTRATSGPIVADKNCEKLHYISPSIFCAGAGTAADTEFTTSLISSSLELHSLSTGRP PRVVTVMTMLKQHLFRYQGHIGAYLVVAGVDPTGVGLFTVHAHGSTDKLPYVTMGSGS LAAMAVFETQWKNNCSKDDAIKVCSEAIQAGIFNDLGSGSNVDVCVIEKGKPTQMMRN HIRPNERVKKERDYKFPKGTTAWLKEKIITKEQIGRYVTVSELPTTGGNGNGSGSDSD SLVVVEKMDVDG EPUS_07698 MAFSFNNSNNSNNSNNNNGGTPVSAMQNAGVAAQTGDELPEIHT EQLGFQPVSGDCKLQLLPTPWPADTLPQPSSSLLSVASSKGLVAAAAPNELVIASTTS IRDAWASGPKPENNVKTFNPPAKISVPRLTHIAFSADENVLVIAGESNGGLAAYQADA LTTGQPKPALEISTNDQALRALAPNPNVQFAELFAAVTKNGQLLIADLKAGQLRSGAS GPVLKSNVSCISWSNQGKQLVAGLGDGTAVQMTPDGTPKAEIPRPPSLEGVKHVSTLS WLSNDTFLIIYSSSSSSDSEEVPEPSDYFIVSRQPKTQNYTFEALPEVCPAFGLNRSP ACQFVARLRKFEPHIEDMLVLASTTSIELGLVTKANAPLSSEQQVTDVYTLTMPADDS RRAQLPLSLEDQDTSPIGLALDLSAKEGIVSPIPSDPEIEQSPGPLPNILTLNHVGVL SSWWIVYADSIREKKTYSGLVVSGGAEQAQKQTTPLPAQTVSPPPSGFGQPTFGQSGF ASQPSFARPATSTFGSASETKFGSPSPLSGDKPSWTSTGFAGSSPQTASSGFGQPGFG TSTPLGGMKPALGAPSPFSGKPAFGQPAAPTIIPDSVTAFGQTGALGPQKLNPFAGGG SASPFGGSVGDGKGFAAFSNKGGFSALASNQSGPGGLFGKTEQKDPVFPQMEQQSPFA SKAGTAENKPGFSGFGAKDISIGSTFKRDETSRDDMSKPDDSGGLSFGLGLGSALEQT QQEATSAESKEEEMDDDGTDSISTSLSQDQLAPPPSDSKPQMPVVTPPSTISQPKTTP APPLAGLFPTHNQPGTTPAAVQNSKPGWSFEQIPSTTPKETPKTKPAVTFPGPSDDEA QPPKIKTEPSESSPADLHNIPEAPLPPEPTSKSRFMPSDTPDASDESKLSNDDAPLPP DFVTKKDDSTSEASHNGPADDEADDLSSDFEGSVEDETQEISPAEEPTEDQAEEIQTS PESSFGRGPEGSEETSPAGGLFTKVSTSKPSKLFGEVTTGPILPPPVPQESPRSPSPM RNVVTADVLRPEASRSVSAPTRPHSTSALDRRRAELTKSALASQPINASDIRNKETDR TAAAEKAKAKAEAEAALELEDDEDERLRAELAAPPSPSERLEPFVPHQHHGIDTSNKS GIPGQIERLYQDINSMIDTLGINARSLSAYLLYQTSQEPYQEWPEVLNSETPRDALDG EWVLQDANRLGEGGEVLNALLLRSKIENASAKFEQCHDLLNRDVMQLRMKLTATRKAL HASANAPGRTSAPLSAEQANLQHDLRKASLSVQSKLAEAEQELTVLRAKLAEARPSQQ NGTNGTGKGMFGRSGAQKKPTVEAVTTTIAKMTSMAEKKRTDIDMLEAQLKSLGLRSD DSLASSRHGSVEPNGTPPRGSIGPGTSASRRTPLSVAGSVYHTPDSRLGESHRSTPMT GRRSLRASVDHNGDSERWKEKARRRKEVHRLLAEALAERRKNARTARA EPUS_07699 MSASPTSAVLPSSKRPLEDPSSPSGPTDLPDAKRPALDKIVKED DHEKGTIEEPAGSAVKEEDVKIKNETAATTNGAKDVHGDTVVLDAPEGASHIPTIDTQ PIQSTASHSERATSHPPPGMPPQDETNWIHVRAVISSAEAATCIGKGGENVSMIRRLS NAKCTVSDYARGAVERILTVSGQQDAVAKAFGLIIRTLNNEPLEAPSTAQSKTYPLRL LVPHILIGSIIGKSGVRIREIQEASGARLNASDSCLPLSTERSLIILGVADAVHIATY YVAVTLVEQLTERFGGPAASAYATRSGGPAGVVPGGMQVVPYVPQPAGGQYGHPDTYK RHHQPQPGRTPGPGYGPPQMHAPQQPYPQQPGAAAIHYGNSPRQGYTGAGPHQPAPYP QQHVQTAPHGQPAQPIAGAALPGQPLTQQIFIPNDMVGAIIGKGGAKINEIRHLSGSV IKINEPQDNSNERLVTITGTQECNQMALYMLYARLGTSNATQ EPUS_07700 MPGLAVDIAKEDSGYVSSSTSTLVDGESRSGGMNTRAAMADSAA SGGDQYRLEVLSTSPSTDKFNDGLSSYHISSPTPLHNFPPDHDISPFSTPPVLLRVGG ASSPSRETEPVSFFVHEKLLTKASAFFRAALASRSHHIKNSSSAPPNYSGHNFLEAQT RTIELPEDRPDDVRFFLKWLYSGFGAGVFPAKPSNPTTSVKEPKTTPSSPERPTAACP TTKLNSQIPHTTLFTPLTTRSLRLHSTYNRERRLLTAAKRHGNITRRKTPKRHPPALA LPSATTGLRSPYPPLCVCG EPUS_07701 MVRFRDASNVMLDKTWGRPERLYLLKMFYEDSETVTAACGVDFF EKYDATALNTTCWYCSNIFQRSKILNGPNAITGPWKDPTQELEEQLIKSPLNMAIESV VKKFSEDPSPVQSGDVEDPGEPSTSAAVEQEEELIQVEDWLLHSDLESLMLSAESRCH FCTQISLLLQRSDVQSWNGDNTNKEYRLRIAKIPKGNLKISLYRGPYCTDPSPIPQSA KVLRCRSVMGRLEELGDEEPDLAHCCLSTSSIAHVALANNWLNDCRQRHRNCVSKNTD KKPSRLIFVGGDGDKVSLWLNSGQPMNFEYCALSHCWGGVTDILTLRSTTMQALEQGV STADLPTTFRDAVTITRNLGFAYIWIDSLCIIQDSEEDWIKEAEAMCDVYESAVVTIA AAGAKNSHSGCFIKRDPLRYLPCRIVANEFGCVYADYEEANFGFAEVTRDCAHEYRGW VFQEKVLSRRVLYFGQRGMYWSCSQGQATELNPKGWAWHRDREDPQGAAVARIFQFEG ESIGFGCQRSEDRQEHVNLKGLLESFNERPRTEFEMIFPSRWCSMVHHYSRLDLTKSE DKLPALSGIVQRIQRHTGFEYHAGLWRECLPAGLLWYVLGGQKQRLTSYRAPTWSWAA ADGTIGDFLDSVFSPTRRQKRCTDVVMRVVEFNTIETKTHPLDKSGTGRVFDGTLKIT GRIRKVECFKDMEGSDAKLGRCHILTYEQECVGTFMPDISFTERPSDVYLVPCIIVYG KDPDGKPLCFANTLYKIVIGGLALVPKDEHFERIGIFVSPNEAEDPPALAWLRNCERK SITIK EPUS_07702 MHFFQSLLSAAVLILSTNAASCGIQPKGDIQPKVASGWQGSGIY QIINVASKTGIDLLHGGDQIVGYRANLESQNQVWKISLVSVDGACGSFAIQNNRTLDA ITSNGVPQRISATKFTSHKTQLWNIKIQESGSVVFENIAYPCNYIDLYRGQTGNEVPI YSYPKRSEPNQQWDLIPLGPKPTADDIVNGHLGQLTINVEL EPUS_07703 MSAALRFVVHSGWGILLHSEQMRFNQRLTDNVGVYSRLIESKLD SGQSAWGLFHARDAVRESGKKWNFAQLLSNHRYCKSSDPRGMVYALQGVARKARRPFD TQPKLLDIDYRISVQQLYTRTAKELLRAWGDLGFLAQREQQSRTRIVGLPSWVPDYSV ELMPKPLYKRGPNCNWCASGAIKWRQDDRDLEDRLLEVQGMSVGAVEATAADPTRLGE EDLHMF EPUS_07704 MELGPDSINTANQFSYTSLPTARSVRLLELHPPLADGGTCFTLF TTELETAPAYDALSYTWGSPALPYIGPDRGSVSPIAAPFVVNCNGKPFLVHENLRDAL RMLQSLDFSAVGQIKSRYLWVDAICIDQTNLAERAAQVRLMDQIYQQAEVVVSWLGPE DETTSDLFVVIENLSTISPDLYSCVSMEDIWRPEIYASKLGILPLTQKHWLAWVAFMH RPYFARVWTGCHVIYSAATNATGFCASSMNCWLSNGGVLCDVLNAFYHRFVTRFASN EPUS_07705 MDRISPEILSIIVGYLYSPPPEATTRMPWDDPPPPPTFVPYACI SRQWQLAVEAHTFSTINLSSDELDQFSEIFSNVRRRGYLKSIEYDVLLSTYSEGWEES RGPSRLRLKAYSPMDMGSRSYEADPGLEDERTEGHFLEFPDDSKSLPVVPRITSFELE GSGCRHLHLDAVGKILAAIPQVERLDLRLYEPKMKREAMRRDHRNALAQTFTRLSPLP SLRTLHLHYESREPLNHSFNPGDLRSPNQTVDGLSIALHTLSSSPGSVLKELYLSGPF ILSPHPFWPPHDEESTNEKLSPSTLQRVTIESSVITPEGTWYYTGDPASVPINTDELR GADDPDSDSDSESDSDSDSNSNASTDSLVPDSFNARREALLNGDIPSHNWRTQLDAPH VDSLISAIARGVQNMPDLKGLSLKMGLQLQGFSALIVEVLDAGEELRDPPVSGEVDGM GKRRFKVWVGSETSWEMDENVKGEMRRSLGEDGVVTVETWPADP EPUS_07706 MSLVRDSPQSLNRATSDSGHGLNESSRHLASQATNTFVLSTAAR GSAKAPSPLTASSSPPHRIRRRNRMITSCLECRRRKLKCDKLHPCTNCTKFVRDCVFL APALDSASQLRLTEIKEKMGVLERGLEAEVANAKKQHRLHLDGEKAVSNENIASSASA HHVRKGSVDLPGELSHEQSDGDGTAEVPEDEKGLEPTPLAVVDAAYDGDADDDVLDLG VKMGKMRMTERLGGYFRPKMTEELSFTLNLPRSTVQSPEDQRSSVTPISPLSPLHVQQ VWKDKETFLEPGPTYIAPGSGFFFGNPGSGTSIIDFLPSRLAGDRLVQQYHDVVHFIA RVVHWPSFETQYDNFWANITVGIEPLGSLQAVVFAVMFSAVVSMKENDITALFSVPKR DLMASFQMATEVALGKANFIRTTKLETLQALVIYLIPMCRDEMSRAHSVLVGTAIRLA ECMGIHRDPQQTYDLGPLESHTRRTLWCQLCFLDIRTAEAQGPRPLIRRDEFDTNFPL NINDADLTSSNPEERTGWTDMTFSRIRFECNEMHRVIWNDRSRLERKQIGLTHVLGKI ESFRKAMEDKYHPIIDENVPLQKEAKIVMNLLLLRMHIMVLHRYHTSSRVGIPDRLRQ IILSTGTQQMEDAIRLETEPVLQPWAWYCGAYQQWHTAFLLLVEVFSFPMRREADRIW RIVDYVFETDPSMTREQKGRAILSELRDRTRAYRDFRKIRAPINMMSRICTAPSQKPD QEEGRSTLLDFNSDPTPAASRSIQRPPLAYRLSYGLAEQPHIIYTGQGSLNQPIGKQA PKSQVKHRTPFNSAYAVRPITAVATTAAANLGQITKALADAPSPISSADSVYGRNWTF DTPSTFFMAQSQIKKPEPNKLPGEQRAQASPPRSDVTSTPNSYSNPSIPVMGANNPLA DMWSGGTDNGDLGQSVRPPPGLVVDENPSGVISPSIISPVSGRRSLPLNQQAAGMPGL DSDMMMVDIDWSEWDKIFPPEVNTGILNAPVAQQF EPUS_07707 MDRQLVWDRKLALHASDMSPMPLTEISANVGRNGSQSQKGRQAK GQGQIPSKIKPDVVSSMLRTSTETGDVGQFSARPSRLPRPASRLATRSSSGSLNPPAT SFRPNARGPIPPFDSRRLPRPVPSFSALSRHDTVRSNLTSYHTNPRTRCRGVPRMLHR YDRRPSPAATSGLYTHSSFVTVRGRPGYRPVSPAVSDAQSMPAYTGVPGYHRAASAAT VGSSPASMFSREHPYAYRDVNNSASSLGRFPSPAMPGAYPGVGRSPFPSRNATPVSAS LHNPTRIPNGSVESFHTIQHSVTGSTTPVYYDYTEAFAENYCQAPGQDISISPLFSAD HAIPEQEPARLTRHAQIPFDMPEGSMFNPCEMPTQYNRKDSGQPKQSQQERQSEQLKQ SEHSMQSEHSKQGEHSKQSEQPKESEQSRQSEQSRQSEQSRQSECDDNTIHKVEEEKT EAILIDDKYKAEVTVERLSTALDQHPGEAKPRRASALSRRTWPASPSTSFFPNASRNS RDLTSLAARVHSPVLNSTSSNNIGLVDASGKTDDSDSSLSTDECVVDVWQLPSLDFTP LSFLHYSQGAAERSRSSGPVMRSNPPTIISPTPERPMSSQSRRRFSKILGLEENDYSL ARSHQDVSGSYKSPNAGRLKRVLESSERSYSARYSIPAFSPRNSMIAESTRDKESSLG STRHEQGSPCEKSTVESLLDQHIECLGLRPGPISEPLEHKDDGTQVSMVSTSRDESTI KIIIDRLPRPLQRAQTISSPNVSITGGSGQRKLVSRSLFTHDCLGEPRLAAAQSSPRV PKLTLHGSSCRSSLGWKTLASSSNISSDDPIINQRMTTQPEPDGERKSSTEGTQQSPR ALLDASGSSLWSGDSEGPYSWDDRFPPPERTRRRAPESQISHRRKTRTRLKLKRNSQS QGRIYASESSSGHGSFHTAGVPSQDRISIHEPLDEQNINTVESHDTIGADHYSEYIPR NTLAQQAMKCDLPAISPDIPNRRSSVVAVAAQRIKRSVDMARKLSVKTIRSHRSNASI VEPPNSTRLSAVAPHLKAPDLGPPLTPMSMSLNMNFAFPPAAVTAPAGLRATQSFFSD DSSAVQNHRGSLRKRFNLPSLRSVLPSSPRAHSMINVPGRQDQAALSRPPQSCHLQGL KKQDEEYEFDGTAGMSDFAYCRRKMLDRVKGWWRRRCVQGKLGLKRRKGEQSHMPSH EPUS_07708 MTKHNLKDHLTWLLRSSPIIPPLHHVCTLLDDRNDIPTPHIVQD GTALPKQPVACPSTALISLDTERPGRQCNENDNSTASIEEMARLQLAPTTVKQPRMLS SAQKAQGRTPRHLPTPTKTRSAVDVETSPIKHSFERRSAASNHGTRAQNNHKSTNTPY KDSVFDDYDPVIDIDEIDLTEILEEHTSSSATIEAFGEPRRLWREDSASRVEPLQKRG KKRTSDEYKADLLSPSPQRKFDKRGRSELPAIGSNEHGVEAKRLHDAHIVSGTRPDPT TQSPALLKGNLARFPNHNSIQHSLDEEHEITETTIRTETRRSRSSVGVPSLQQRKTDP GAEMAVMLASSRRTSPRKLPRNHTVQGHPMKIIKESENEGTRQGAIKDEGYPYPAQNL SHQRESDVAIIIPSSSPVKPERPQSSSQAKLSDPSDNPGPRALKTEAASPIHSLPTLF ISPRSPNKLKTEPAHVNSSNQIPSTNQAMNNGQIRQFLAMSHSTVDDLLAQLRSAKKK IHEVRVAQMMEDEGVSAEVEDQVKNIKHIVKAVEDVMALKTSHVMKSARKEEIKKRLL SLMDLDLDEQQDDEISVLRKEVLTVNQDLRTIELGISDCLNISDVWNRLQSHGTHKCQ GTKALVPDQGPTPQEVLIASTQMHRSTPGIVQRQHSPHPDCSLSSESVWQTPATTMQS SRNKGPLVQSTTAARKSALTGLRHEYQKSRQHFPSEEVMSVHGALGRQGNRFAMTNSP RQTAAEADEFPFEEFNDDTDFSRRMGSPSMPVSLAEDFDHEGDDEDLFDAAEEFEQGF PVSNPSPHRRGTARAALQETNGNVQRQRLPPKETQASTKQPQMQYPWSKAVKTALRDR FHLHGFRHNQLEAINATLGAKDTFVLMPTGGGKSLCYQLPAVVQIGRTRGVTVVISPL LSLMQDQVDALRNKGIQACLINSEVTSDHRRFVFQALKGPDVEKYIQILYITPEMINK SGALTDIFHNLHKRDRLARIVIDEAHCVSQWGHDFRPDYKALGDVRRQFPGVPVIALT ATATENVKVDVIHNLGMEGCEVFTQSFNRPNLTYEVKVKGKAKDALDDIAKTINTLHK GQSGIVYCLSRKNCEKIAEQLSKEYGIKAQFYHAGMEVQDKQEVQKRWQAGQCNVIVA TIAFGMGIDKPDVRFVIHHTIPKSLEGYYQETGRAGRDGKRSACYLYYGYGDTASLKR MIKDGEGSWEQKERQMQMLRVVVQFCENRSDCRRVQVLAYFNEYFDREKCNGGCDNCS SESTFESQDFTDYARLAIKLVRRVQKQNVTLLHCVDVFRGSKSKKIAELEHDQLDEYG LGSELERGVAERLFHRLLSEDALEEINRVNKSGFANQYIKLGRNSNDFSTGRRRVNIQ IRVSPKGKTKSRNVQKSSSKKPGTGVKAAEDDQYPASTFVSSPLQTISRRRLVRKANL KVLAGDSSEGEDEVPGFEPVRDRGAPSTDKERHLGPPITDDEKLARLNPTHRHVLDDF MGNAKKESSAIMLQKGLRNQPFPDSILREMAINFPLTREDMLEINGIEVDKVERYGTR FLKLIVDAHNTYEALMRAQEDTFDDPNHRNVVEISDDDQEVELELEDSDDHEFSDEET SQYFQLAPDVAAFNAQSKGSSDSNRPACLIETCGFSFAKAKRPSSQKRLSQLSRGARS KRRFQWSKKMERQVRCKWCEEEKGIQQPDK EPUS_07709 MAKGKKTKTAEKQARTLAKQSKKAAKGEKKAKLKDANRDDDSDA EDVDIDAVLAAYAEEQAKFLKVTEELCEPPSPRSSSTILASPSNRNELFLFGGEYYDG TTAQFFNDLFVYLIDRGEWRQVKSPNSPLPRSGHAWCRGGNGGGIYLFGGEFSSPKQG TFHHYNDFWHLDPASREWSRIESKKQGPSARSGHRMTYFKNFVALFGGFQDTSQQTKY LQDLWIYDCQKYIWHELKLAPASQKPDPRSSFSFLPHDKGAVLYGGYSRIKTTSAPGR QGKASGPQRNILKPVIHQDTWFLRIAISDSDNVVDTGPSIRWERRKKPANPPNPARAG ATMAYHKGRGILFGGVHDVEESEEGIESEFFDALFAWNSDRNRFFPLTLRRPKTTSKR QQTTSNRARDRGKADEEELLRNLAALETRGSIAVTESIEMEVDRAPQDEVAPPKEAIV RFELPRRRFNAQLTVQDDTLFIFGGTFERGDQEFTFNDMYSIDLVKLDGVREVFFREP DNWATKIEDEQDSEDEDDGPSSSEDGDDDDTMSIDAASTAPTEISMPSRESMLAIEVE EETSAEDDPRPFPRPFENLREFFARTSNDWQSLLLYNMKNSGLGVGHDSSVKELRKKA FEQAEERWWDCREEIRALEDEQEEAGIGEVISIAERGAESGAGRRR EPUS_07710 MSIAKLISSRTHVIPGRLKVSELFFDVPLDHSLPDSSRTLRIFG RSVERVEKPAAPPAEGEGGKPKQLPYFVYLQGGPGFGCAPPQDYGFTGLVLDRGFKML FLDQRGMGLSTPVTARTLAIQGDSKVQVDYLKLFRADTAVKDLEAIRKCLTADYPEEK KKWSIVGQSYGGFVATTYLSQAPEGLREVFTLGGLPPVNQKDPDEVYKRLHRKVQERN AKYYEKYPEDVEAVKRIARHLDHKDQPVMFADGGELSLMRFLSMGISFGFHGGLDTVH DIVLRTVNDLETFGFLTKPTLVRIEATGGFEDHVLYAIMHESIYCQRGGKSDWSASRI LSKHKTSTNAPLEFIGEMVLRDHFSVYPELKVLTEVADALANIDDWPDLYDVDRLKQN EVPVYSATYVEDMYVDFGFAQETARTIKGAKTFVTNMIGGLQESFRAER EPUS_07711 MGNIAHFNIVCAASEFDRVLAFYLAALKPLGYKEMKRPVENFVG LGNGYIADFWIGAKKGCEKISIEDRKQIGCHFAFWGKDFTAVHAFHAAGLKAGGTDNG KAGYRPKYSKLYYGAFIIDPLGNNVEVMCIYPPWMQWWWWRSWLPGGKVLEPENRKED EPUS_07712 MAPPPRTEEEAFLDQLATFHEARGTAFDREPKVSGRPVKLLELY KTVLREGGYDVLSAERMRWRQLAKEFGLGHHHEAAMTFQLKTIYYKYLTAYEIKYHWG EEPPPPEILENLTAKGGDVRKRTLDNFNVPGSRETGLLVDGVESAEDEQKTPRTKSTI EAEEAGSGGRYPTRQLRQDPKRTQLFQPDLGSTRRMFSRATNSPQASPTPQQHQQSSS IDPRNTNFTLDKYQPRQPMAMALRPVVTPGNNAEIFRQRVAAARANAFPKSALEPQQA LKHVPGVVMRGPNIYIRCLYGLRSEIREEQEFALHHLVKVSFERGDKYKFEGFPQLAE SLLEKALEISTLIHGIKWTVSYEEDAGLQDGDVLNGSFGTEGLYERVQNLPVLADTDF LEPAEFSHKLVKLNEAALVIRNMLMLEENAFFLSKFSLLRDFLIIAINLPQQERLVEY RQYVLDIAEQITKFWELESTSPIYLSLLKNLTSEDRGVVLSSARAIARIGMESPRPNR LTNIPLPTIRTLASYLLLDSDDEIMLASLDLLYQYTALPENMTLMLTSESTVLRHIIP RLVSLLLHNAQAQEVKLKVKEGQRMAPSTIIPVVPDELYNQLLLHLEPDRSTKWLRCC FEEAPNEDITQIAIWQAYQGRFQSNGPIPAADFIKNVSVTFSTAQAQVINGTNPRFII KGIRPRRVLVNTKGEPLHQCLWQVAAQSGNEQSAQSSAGFQSCGLWHSSSKDLWKHMV KDHLSIPLMGDGKFDATAQGSYQCRWLGCTKYATTIETSARVAGLHVRLHVNNSSKAT DLDTAAGKFNDMIQDDEFVRHPYVTTLQDEKNTPTGIPYMAVLVLKNLARHIIRQQQN SQLGEILVVEDLFGEVKEQLWHNFILHKTLRYELDHLMSMLYKANGERGSNLDHPRVS DGGIS EPUS_07713 MSSKLLSQHPVIGPSTFPLSKFSFATVSGELVKPIPWTHIPDKG HLYAVFETVAVSDYSGKVDEKSQFKVLAEPEVLEDLDLKALGREAVNAAHEMKTLSPP SKFPTVTVIIQEPCIAVRFPLQYGQIRRFQMKFRLASDFYKALALFSQAGCPFTQAGA LAAQPPMVRPFSASSVVVPVTRVCQSMETPKSASSTADSDESTLVPSLDLTRQSSSRP STSSSTNWKSGAAVSKDPTSTYDISRGPAYSEKPAVSQYFPRSSLSSAISNTVHNQHE KSSTAQGEAPRNSTLPFASDPITAGTSTALSSRNSCSQLGHGSIASRPSTAPTLESQR ISQMLPPKRELPFKIPRATSEASRNIMRSDQTSSSAKAGSSDSANTSGTPRQPGAKGA TRTSRATRDSASGSRSCRNPAVPENDSPVPSAKDILRRSQRLSQKQAGINCTDTESTK ISAPLELTTKDELRQASDTMGCNKAIARGKGHAEQPEQDLAAPQYTNIDTQDLLARVD ERQRLQRSKRNAALENCGNSTTPPSKRIATGVRPDLGAIAPPSSGVLMPVSDLPRAAT GSGSRSLGDSSLGTTPALPRALDVNTVQDYDFQENGGLPLSAQKPADELPMGPSDRGV LGSSERQALADISSNVGAQRKTHLVTSDAMMALMNDPNFAKSPEIAQWADLPQDEREA ALETWMCHQLESESFATLLKTLEGMWQRLFFGR EPUS_07903 MTLMDVSNGKEFNGDLDREKFYQKLFQQKGHETVSRYNLTNPHL FGVAAVFAGSPPNYNKTATADEALVSTIGNVPFIVACNSTIYDVIYRSVNQTITIETI SPSILDVSQSINGPMMIAQQVVQPSLQMRFLYSMAAAYSEMETANTFGRWYSETSLAF SIAAFEEVPSLAERTGYYLFYK EPUS_07904 MAVSETRVRKLDLAARAIDLTLALTRATHTSDSWLKGAWEVGQW LGREKLNQYELEECMQKAKGLVVPNHNGQALFEDIVQGVDNKPRGPLFLEQSGSLGRL MAEDPYLSWMISTVASLFQFHKDVAFISHALVSFILRAHDARQPSHHSEVADFPTYSH AQVRIKAVIDKIVLSIWHNVVNAGCDTIPLPTELLSVCRTGHYLDPDDFSIVAFTLNS RCKSKAILRSDYLLRNITHWLLLHYDGIIVVNVGGRVIYEKNFGNPMRELEIHVKSFC LDRDTCNNIGGESYHILQDVAGKFEHFLSGYSPKTSDLPPEPGIRQPLYQIPRSYPKE SAMWNIGIQILVRCTAQSIMRWLLSVELTMQKDFSELGFSAVLDSKKIDNPNLKISDV LKRVPSIINLNWGNSPPSQLVFTNIRNPYDTLREGHIKGASMEDHPKDDLEKILPHFP ILQDLLRRVSPDCNCQSCSIDHDNLENSLQHGCLKRVALEEALLLVAHGIADGFNVKD VSAAEGPDFVLKGVAVLLFELCSEKRVCWDTWFGLAASVYLGCPFRLSPGYSHPAFGG TAIAAIQYGNLAAQAPWLDLSKCLKISRSFELLGARGRLGVFTQSSDRDEMQFRRVEE NFAIIETESTEDTSATNSRFPKEPWPPGSTFTLEDDECAIRSDMILISVYENYYRLLL RVKTANHWRVIDPSDAFNGVIRGMSVSQCVHDGKNPNTTLDRAKVYSFEELLGRWPDT VQSIACEPTSHGGGQEPKGMLHITQNLDTHFKNNVAIALSICTTAVKNYPCFSCLPCA LKQAREMNRMPLRTGEGGNEMDRYIINLEPVLSGEGETLKPRALPPANAGS EPUS_07905 MGMNMNNGALNIGGRVNPPTYQHQDSVLANSLLSSQPYPVDPFA GQYQYPQEELNYSQEYDGRYVPFSGEPGLSSNPGSKYGSPTNESQLPIRGVERRLTAL DAPLPASFDSNGISHIARYGAVAASVPSKFGMESPPASLSQRIAGQPLDAVKALRSSA FPSNLRNSSQLGSSPPAVTTEETISQRKMHSQQSIQRPRLLSASVPRPGVNDDWDDGF PLEEDFLPTNLHDDVLTPQEKMRRLSRPEQEFSNIKSATQGGLGIPSGNSSKVGSPLA SSPSRFSALFARQRQESSHNNTTGTGFGHVGSPLRESHIHHASGNSPFGDGITARPVS STRSRSGRAGDTSPFFSSPPRDSTSDFGMSLISSQLQRTHLSSTNQTNSSENTTIYSS SRLQPPSASTPGMRHVSAPTSTTSGSGGIAGRFDRTISSPRINSTRIMEEKEGEGEGD MVFSMDDDNSRRSSSLWGNKSPVITPLGEGKNGAGVGGIGGVGVKKKEASSSCSKGLA NGGLENTYSTRA EPUS_07906 MHFPPAIVLISSATAALAATTMQSISFMAGGIEKRDVCVPVPEP VTCARSCGAGSEQCVYPSLCYNPTKGQKCCSNGEYCDPGYYCTDRGCCPDGAPLDQCG ATITLSVIPPTGPEATSAAISETTSATSEYISSATTEYSTSTTEHTSLTTYTEVESSA TTSSTEYTIYPISTESATTTAEIAYPPVTSSNATVTSNITATYSPPAQYTGGAGRVLD TGIAYFSLGGLGALLMFW EPUS_07907 MPTKPPMIFINLPVSSFPDSITFYTALGFVQNKSFTEPGNVAMM SLPPFDPPDSGVGMINVMLHTHEKFQGFMPPGKQIADAKKRTEVLLCLSCESKEEVDE MVEKAVRAGGKGQVCPKQNVSEAMYGDSFEDLDGHVWELVWMSEAMVKGEEPPTKTMK GEKEDEKKD EPUS_07908 MAPNLPPFANTPIDDIPKIVEEVRSTFFTQKTKPIEFRLRQLRK LYWGIVDHEAEIIEACKKDLGKSVYETQLSEIKWVENDIIFVNKNLEKWAKVEKPEDI PLTNYFMRPRIRKDPLGCVLIIGTFNFPINLTFGPLVGAIAGGNTAIVKPSEQAPASA AVMQKILAVSVDPSCYRVINGGIPETQALLAEKWDKIFFTGSANVGKIIAKAAAPTLT PVTLELGGRNPAIVTKKADIHLAARRLLWGKIFNAGQVCISQNYILVDKDVVPTLVAE LKSAMADFFPNGAKESPDYGRIASNQQFSRIKKMLDNTSGKIVIGGTMDAEQRFIEPT VIEVSDPSDSLLTEESFGPLIPIMPVENLDEAIRIANSVHATPLGLYPFGSKDEVEKI LTEVRSGGASVNDAWTHGVIPTLAFGGVGDSGSGSYRGRASFDCFVHRRSVTTTPRWM EKLLAVRYPPYEGKYEQYAKMSVSKPNFDREGNIKFGFIRYILTLGSGSAKRGILRAA ALAVLAVALQIALGRTARA EPUS_07909 MADVIREAPLGQLIRWLTGNKYLKYPEEEADFQCPKCYSNAERV DSLSSTVEDKADLDPNEAAYGKEAGDAVAREQKASALTEDAHDRPDLQALQTQKTNVT VESIVTEHDIKKMNTQTTHVPIRSSIGAQPALTRTKTREMTRAYTRERFDIEREAQSL KELHMPIVAQRNEDGDILVDWYTTDDPANPQNWSSKKKLFVGTQIFLYTFVVYAASSI YVPSQYGVMEAFGVGYEKASLGLSMYVIGYGIGPLLFSPLSEIPLFGRNVPYIVSFAL FVILCVPLALVENYAGLLVLRFLVGFMGSPCLATGGATMQDMYSFLKLPYALTFWVAA AFNAPALGPVLSAFAVTAKGWRWSLWEVLWASAPVFILMFVAMPETSSSNILLRRARR LRAKCGNGRLKAQSEVDQGNMKIGTVIWNSIVVPVEISIKDPAVLFTNIYTALQYGIY YSFFEVFPLVYPVMYGFNLGETSIVFVCITVACILGIIIYCSYVYWYLEPDIVKNGLR AQEHRLVPAVFASFGIPIGLFIFGWTSNPDIHWIASVIGITTFAASGFVLFQCIFMYL PLSYPQYAASLFATNDTWRSALAAGAVIFARPLFINLGVGRGVSILAGLAVGGVVGMF GLYYYGAKLRARSKFAVT EPUS_07910 MSSQVLAAPMVGHDLSRRTGTRSPAPSKSLDSPSALEISYDQQH SLHEQSHKTSTFLSHKNTNNPDTQTTHPHDQTTFEQTQRAVGNLLDALRTNVAQSHLT KDGTAHASSEVPEKLLHDPDLQRPSVSPLEPQSPGMSVPTFTGFTFPGAHALDDSLAV LPLDGLTASMADVSQPQEDLLLSTFADPQHASLLEGEMAETVEEELPLNVQNAQDTGQ IAAYAKLEFDDGPFYITTHSLELGRDARAYRAAKARLAEQQRQQKGEGSSSGNSHPSS QNKRDGGSQVKGSVVSDRGGFYGVDDVEGEAQEAHEERQRQEADGPHSSNHSASSIVN PKDLYTQPPLPHFNYQAYPIEEYEREIEEIPAPLTSEHFPEQEEGFLLPIHHAPVKDD LEQDVANHRSVSRRHAKIFWEDDCFKIHIKGVNGAFVNEEHYPKDAIVPLREDVSIQI KGIKFQFKLPVHNSIESSDESDQVEDDSPNQNAESSSATSGVEDAVALNTSGRTPLKV KIRNFNRTSPPPVPPVLGPDGKPKRRGPGRPPKDGIMSKRERTAKERADREAAAKAAN GGVTPEPSNRGRAGRTTAKAVPEVEDSKPAKRKYTKRKPATQDPDDVTPKLEEESEGI ADDAPPTKRARVNRSESPEYPKLEDLSEKDLLRPPHNYSILIYDLLKESPKPLDLKGI YRGLKMKWPHFLYRYKDPKGWESSVRHNVNEDKLKIIEKVDRVGKGYSYRAKPGVDIE QHKKKRARSPPAAQKMSAPPQPRYPPQPQPPPPGPYTYPQSRQPPPGHGMYGHLVPTT VPQSSMAYTMTYPPATAGAYSSGAVASLPQYATSGPPAQQISDPTTTTKVTPGTQSLP ITASATTYPAHPAAYPTPPTANQARPEAYSNSLHQQPPRPTNPILHPPPPTAPYQTPT QPPKTHSQPALPPPPPGVSPYQPFLPTGLSVISTFESSLLEGVENPTDLPRIRATLAS VRKRVFEGANGSSLVGGETQDERTFLHYFRHILENERFRNPGFVGWEAVRREKERLTK MAPEGGAGAGVGAKGGGGAGAGAVAGVQAQAPASNPTNTMVELGGGSGGDEGEGTRGD QGSAEKDQHGHEHHGAAAVKKDAESEARKEQQHDDLHTAGKKDGDGGGGMREEEERVS ANANAESVKEQEPEPEKEKAKEIEEQGTAAAL EPUS_07911 MAPRSKPKSKHSKSQSADPSSLKTLAKTPQRPNWPTLTPLVPTL DLTVTTLLRNQILLIPNFFTTTLCRTYTTFLSTLPLATTPGKPKRGEAVRVNDRFQVH DPTFAQMLWTQTSLQELVTHFESPSIWGGEVLGLNPNIRVYRYRPGQFFDKHYDESNQ LNVGEPSIGAKTTWTLLIYLSRCEGGQTVFYPEGPKNGPIPEPIVAEVEPGLALLHRH GDDCLLHEGREVKGGEKWVLRSDLVVKR EPUS_07912 MGTFVFKWPHPEANEVYVTGTFDDWGQTEKLNKVGATFEKEVQL PDASVKILYKFVVDGDWVTDPTAPQEDDGHHNINNILKPEDIKKHGTHAGERVATGVA GAAFLSSAHPDSTSTKLAGQVPKETGKPVPAVEEDVKILAPGAFPETPSNELDSFSVN PIPASSGPGNPVSIPAGEKVPDHEEATGNKVDSKVTTSEEDYEKDASSALPEEKLFSV NPIPPSSGAGNPISLPAGEKPPQHEDITGNKVDSKVTTLEEDYEKDASSALPEEKLFS VNPIPPSSGAGNPISLPAGEKPPQHEEITGNTVDSQVTTSKNDYDKDASSSFPEEKSY GIDPIPASAGIGNPVSVPAGESVQEHKSLLPQSIYATATTSKEDYDKAGSAAMPIGGT GEVPAEANDSAFSVPEKSNDMIPESSLPMSGGVEDTLGTGPLIQSSGPGTTTAALAGQ VPLEPKSRAAVVEDDIASSTDAGPFIQSAGPDTTTAALAGQVPLEPKSRAAVVEDDIA GADAFIQSSGPGTTTAALAAEVPIEPKSNATVIDDPTLSAADTGPFVQSSGPGTTGAE LAGQVPLEPKSKATVVDDKTNNPGPFIQSSGPGTTAAALAGQVPLEPRSQTTVIEDEA PSATVSGPAPDVPEVVKESLSQAHESPEAAASAEAVREKKEVESELLQEVKSTDAAGV PAPTITAATSATAPSTAPVDSKALEPVKEAENANGKDQAPSRDISPMGRDSDAVAPQP SSPIVTTGVGESKTSTVSTPQKTTTPASSAASSPADANGAKDKKKKRLSFFGKLRDKL KN EPUS_07913 MSLPVSYQNEINALNRQILREEPEDILQFCANFFNRRLESQRAE FLLAQDHSRTQGGKMAASAFPGTNPFGQNNSFSSNRGVQSIEEEDEENDHVGSPTDAT FKTAQSAQPGTGSSPFTNSSPFGAATGGGTSMFSGPFGGGDLNGNVPDESASGPPSSF PGRAGGNDSLPVNYATGRRVSVSAESMNPNADSGDWKPPSHPKTEEQIQRLKTAVSSN FLFSSLDDDSFQTILNALQEKPIPAANIKIISQGDSGDFFYVVEKGEFDVYIHPSGSI QPGPDGMGKKVATIGPSGGFGELALMYDAPRAATVVSASKGGLLWQLDRTTFRRILMD SAFQRRKMYEGFLEEVPLLSTLKASERAKIADALGPSKYPAGTYIIHEGDPGDAFYLL ESGEAEAYKAGVEKPVKHYQRGAYFGELALLDDKPRQASVVAKTEVKLAKLDRAGFKR LLGPVEGMMRREQYANTEDVDPLSRLKTVT EPUS_07914 MLLRLPRGLHYPITITKVLKEAGSDVGKYEPLFLYTYETTVREG SRDGDDKYVRKSFPAKFESGVEGKLKFWNVWEGNVLDRPVDVAEIEEECAHSEHFAGM CTNCGKDMTIISSYNNDANDADRAPLQTSHDTQMLRISQREASRREEEAKRRLLASRR LSLVVDLDQTIIHAAVDPTIAEWQKDKDNPNYEAVKDVRAFQLIDDGPGMRGCWYYIK LRPGLKEFLEQVSQLFELHIYTMGTRQYAEQIANIVDPDRKYFGDRILSRDESGSMTN KNLERLFPIDTKMVVIIDDRGDVWKWNANLVRVIPFDFFVGIGDINSSFLPKKPEIKP TPKADKSEDVRSPESIPEGQDTSKPDPKIEDNVENGALNASQGKETSALEQLVAMGGG DDPVVREIQSKGQEETIAAQLEEKPLLQMQKLLDAKDQAEADAAAALTDSTGNDTMAN GDTQPSKPESETSSSSSEAAEPTPSPPPKPAPARHSLLRDDDRELASLSIRLKAVHAA FYNEYDRQRLGQKGGRVAALSGKGRKIQFQTETPTSSEDASDLLLVPDIKSVMPQMKN RVLSGVVIVFSGVLPLETDVQAADISLWAKTFGATIAEKVGRKVTHVVAARAGTSKVK QGIKRGIWVVGTQWLIDCMTGWKRAKEDDYVLPDLKGESRRKNKDGSPERSEADDRKG LLESDGGFLLSSDEGEGETTGLDTEAETDDTRKPGKDVAARDAQPDRKRLKLNTQELT NEDAVTVSNDEVANGLMGDTSPLSINQDEWEDMDKELREFIGSDVESDSDDESVSSKL STRNATKRKREDDGEESDNDQPRRVDRAGVGISTFKESNTASSTTNGNSVDGNDPAND FDEDEDEDAEIQRQQREVESAEVKEEEEELSSDDELARELEKEFEESSGTDEEGFMGT KHATRIDDVEPGSIPRSTDDNEDEFIAGRETARGGLV EPUS_07915 MRTSLAMAATTLLGSASAGIHRMKLQKIPFEQQLKNANIGDLAG ALAHKYGPQKIMGQPSEDIFHHTSIDADKGHTVPVENFLNAQYFSTIALGSPAQEFNV ILDTGSSNLWVPGSECGSIACYLHTTYNAEDSSTYRKNGSEFAIRYGSGSVKGYISQD TLRIGDLEIKKQDFGEATEEPGLAFAFGRFDGILGLGYDTIAVNHVTPPFYNMLDQGL LDEPVFAFYIGGDGEQSEAIFGGIDKDHYTGKMVKIPLRRKAYWEVDLDAITFGSETA EFDNTGVILDTGTSLIALPSTLAELINKQIGAKKSFNGAYTVDCSKRTSAPDMTFNLS GYNFTISAADYIIESGGSCLSGFIGLDIPEPAGPLVILGDVFLRRYYSVYDLGNNAVG LAAAA EPUS_07916 MNNWLADPPSIANTNHAGFAPSADPSMAFLQPNSTIDPSQFQNQ RFLNGAARNPSPAFHNQFPQLNPVIPTKRPREDSLVTSPRQATGGFHVPYGGFNPASN GTPHFQNAPTAFQHLQAGSTSNASPSPTPQSHVFPQHAGQQRVATASPSPFSPHHHGP QMSPAHSDHASRVGTPHDNPNGYLQPGHFGQQLNQSQFGQGIVAANNHMPMNPQLNMG QPSMQQGLSSQQRAYQMQIQAQARQLQVQAAQTRPNSSGMGQMTNPGLSMPNPQMASM QQMQQNARSQITPETFARGLQSFMQQRGMPVNVNPVICGRPLPLMQLFFYIIKAGGSV KITKMNQWPLVAQHFSFPTSHIGPAAQELRDYWNRNVVPYETAWVAKQHRQGQQVRHP SGDFEAQHHLQSQMSPNKHNFPVSDFHQVDPNAMKMNGANPPQPMNGYTPPSQIKDQP RQQQATLQQRNNLPRQMDNKRVNGVPTQYPPTKGLDTGQGKVIEMEAEPQMPRKQPIE DPFKPEVLPGNESGLHGPIKVDEMVNITSNLLDLKPVVPTFRELGTIDIHALNMSIKS GISAEVRLTLDTLTIISLDPTIHLSLDACDDLVESLVDCAQEQVDFLAKNATEVSDEM LIWSYEHVIRSCRVEAETIQDIHEMGSLEYDLDRAVDRLICITTLVRNFSFYETNFGL LGMPEVVRLLTSVIKHLGTKEMFLRSHRNTLDFMKDVIIYLSNLSHSIQLPGRDEALC LLHFLLSFAPCPHPVSSTSNSVSFASYDPNIHKYTPSAVDSLAKLLARDDPNRSYYKA IFSADATSSPPYELLTRTFGLAIAPIPSNTKGAALALVETRKPFLLQGMLAAEILSNL APGSESDVARSWLSSTDGFALTLLKLVLLLATIPRPPPAPSRHPQQRGMHTEPDADAI SAIMHRGIAVLRRLAEKSRTVGGETGDLTLPLGVVPRKETLVGALMSKDIDSYVIRQL CMYAGLED EPUS_07917 MGDVLVESSANAVLPHKKSAPADSIPNIDSLEGSGNDGGDEYST LKRYQRHLEYIHLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTG IVQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNSLVDILPPEADSSIAMLGADEK PDVTYADVGGLDMQKQEIREAVELPLTHFDLYKQIGIDPPRGVLLYGPPGTGKTMLVK AVANSTTANFIRVVGSEFVQKYLGEGPRMVRDVFRMARENSPAIIFIDEIDAIATKRF DAQTGADREVQRILLELLNQMDGFDQTSNVKVIMATNRADTLDPALLRPGRLDRKIEF PSLRDRRERRLIFTTIASKMSLSPEVDLDSLIVRNDPLSGAIIAAIMQEAGLRAVRKN RYNIIQSDLEDAYSSQVKGAQEADKFDFYR EPUS_07918 MRPPSTALLGAILRPVTTCRAQTRASSNGHKVTLLDKLHRKQKE AMRQERLASVQRARSNPAFAAKTSVPTELVSSSSEAPNGTMQPPASPPNLPYTITRTR PGRQLPVYSLSKGGGTKHITRLRKLSGDLSALKADLTKALGLEGGLTNQRGEHVEGVS VNWHTKHIIVRGWRAPEIKAWAESRGF EPUS_07919 MALSNGTGPQSDPPSEYEFLDKIIRHMDRHLIFPLLEHETNRED ISDERLKDLTIAKYRLLYNTNMTDYVADLWKEVNDSDEVPTEFSKKREEVLERLRIFE EESSKITDLLADDAVVSQLRSDKVANLKFLEENHGVTIEMVNILYDFGKFRYACGDYE RASDLLYQFRVLSTDNEKVSRATWGKLVCEILNTNWEAAMEEIQKLKDSIETRLFNNP RAQLTARESLVHYALFPFFNYEPARETLTELYFSPPYISSIQTVCPWILRYLAAAVIT NRSRNKNLGSSSGAYQKQLKDLVRVVKQEVYEYNDPVTEFVKALYVDFDFEEAQRKLG EAEAVLRNDFFLGSSTDVFVESARHLISESYCKIHQRIDIKDLSKRLGLSEEDGEKWI VNLIRDTRVDAKLDYKAGTVVMNHPPQSVYQQVIEKTKGAFFRTQVLSAAVAK EPUS_07920 MATAVARRNSPRIGRPSSRTASPMDNKKAALKSEENSRKNSPLQ TKSSLPATTQTASQPASQAEESKTAVQASEPLAPPPRPAQANNSESTDYFSGLHNGGS QFSLEPNPFEQSFGAPSTETPGKILLPSVAALTSPAIPGTSSTGGYNWGSHSLRSGPL SPAMLAGPAGSGDYFDSIGRGFPTPNESSLRTGLTPGGGGSMFPAPSPNSQALFQALQ NGGATPGTVDFHRTAINAAARSKNNQFGQTSNSQEQNQQNTKMDKSQQEQFASHDAEA ANGLFMLAKGGQTNNQYAMANQQMQQQQQPMQSNQNVNAQDSHRRNTASMGSLSGPDM SGQQSDSHEEVSKPTTRGGRGKKTAKAQAAAAGTRRKADDMSQKSSNKRSKGNSSSIN VDPSLSLEPPDEDEDDSNEEEPTHDANGKKMTDEEKRKNFLERNRVAALKCRQRKKQW LANLQAKVELFSTENDALSSQVTQLREEIVSLKGLLLAHKDCPMGQAQGLPGMIMNGL GNDVPGAFTNHHANPYGMAMQNGGGLQAAMQRS EPUS_07921 MNAILQEQSNLQVASPLQQFFSQDLYMSSPAGFEGMDSNVDQDS QHYFQSTHSVRQSFEDEMMNERRMSQPDLQMYGQQRPTTPAQQMNTAHLPLTPATTPF KQNSRQYHFSRTAQSSPVRLQGDKTIKAPHPILMQRGRSLEGIFEAHDEENDIPSPPG TAPMISSSTFEMAEMPMPHSMSSRGIPTTFSHSDGGHASLQVSPELAMTARDLSFPAS SEGARTPLFTETGGSRPLLAANIPMLPSSQPGTGCPSPTKTELSPRRMSISDLNLEPG VSASIEETNVTLDDIAQFIEGPDPLDNKWICKYEDCNKKFGRKENIKSHVQTHLGDRQ FRCDHCNKCFVRGHDLKRHAKIHTGSKPYPCLCGNAFARHDALTRHRQRGNCIGAFEG VVKKVVKKGRPRKHRPEMDDRLDKANRTRKRVEEKGHHYASSCTDSSISSFGSPPAET LESLSIRGASPFDDMSMFGVVSSSQHMSEVTASFPPDLFTFTPPASPGYSTGNKPSPY HRALTPTDMAEVPEIPTIQTELLGAINQESHQQRHHSPPSLCYSSSSPVPDVISFDFS SAEEPLMSNGGNNQRLSLKGESSNADFDSFFDYGNMGMDGGQDSFFNL EPUS_07922 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGAKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDGDGKIERLRRECPTPECGAGVFMAAMHNRQYCGKCHLTYVFDESK EPUS_07923 MGGGNSLRTVLYYVNWAIYARSHNPQDLPVDKVTHVLYAFANIR PETGTVYLTDAWSDTDKHYPTDSWNDVGTNVYGCIKQLFLLKKRNRRLKTLLSIGGWT YSSNFAALAVAGREEGRREFARSAVQLVEDLGFDGLDVDWEYPQDSSEAENYVLLLRE TRRALDEAAAKRGPAHCRFLLSIASATPFNTAAAVDFYTKPPGAVHPSKIVLGMPLYG RAFADTKGPGHRYAGVGEGSWEQGVWDYKALPRPGAVEYHDCDGKEACGASWSYCPTT KMMVSYDTPGMVREKAAFVRERGLGGGMWWESSGDKAGEASLVATFVDALGGTQVLEE ERNCLHYPESKYENLRNGFPGEGEAEEC EPUS_07924 MHRLRALSLLAFTGALSLAVEGAVTNTCTAAAIPYPELFGADII SLSASTVTNLSASLIQADSHFPLNITGLNFCNVTVQYTHPGQNDSINVQLWLPLEGWN GRFMGTGGGAYATETGFQSLPYAVSMGYSAVATDGGHASGVYYDPSSWALVSPGNVNW ALLQDFAAIALDDAATIGKAVTTAYYGSPPEYSYWNGCSTGGRQGLMMAQRYPSQYDG ILAAAPAIQWTSGIVAEYWPQLVMNQIGVYPPQCEFEAIREAATAACDELDGVADGII AAPGLCAFDPRAVVGQAFTCPGSGTNETISSEAATIALAAWSGPRTTDGRFLWHGLNR DASFAGLANTSCSSPGNCTGQPFPLSSSWITYFVQKDPTFDVMQMSYRQYDSIFRQSN NQYASIIGTSDPDLTDFREAGGKMINWHGLADEIILPNGTYDYYQRVLDLDPNAADYY RFFPAPGVAHCAGGAGYFPDNVLQSLVDWVENDIVPETLSGTTLPDTNGTVRRAPLCP YPLVAAYNGGDINVASSFECQTSF EPUS_07925 MEATFMDLCVTQFAAASNKADTFHSAAEWETWRPLITQLYFTED KTLKMVQKILADDYGFHATERMFKRRIHKWKLDKNNKEHEMKAVVHTLEQRRQYGRSP LLAVRNSDPSVLSCQSSTYHDSPPLVGTSRLTTTCSGFKVTPTASSQSQQPSYYPSNF QTFFYPTDWIDDPLSSKSEEVTANTVIDGTRHFYFTWADNIRSFRNVNCLCKASFVSD MSRHIKFVLTNLQWRKYGEAFKFLNTILEGLRRWAKMLNPIVLLLMLCFVMELDNGDM QPVAQQVLSYLASLSNIAQGPGHPIRTVSRSLLHMAGPERSRLVRATLCNIYGSIGQD GNADSILIAWAQSIGTHITHHLANGRSIRISSVVTRHHRSHDADDFSCCIHGALGPKL TGAGRGTRFCDQASVRSSR EPUS_07926 MSLNKIPIPTLDRPFGIELWPIFAKVYSAIMGYSPTDFRFVPGV TPMSTLKETAVTLGAYYVIVLGGREVMRTRKPFVINGLFMLHNFYLTAISATLLALFI EQLLPTVARKGVFFAICDHEGGWTKELVALYYLNYLTKYLELLDTVFLVLKKKPLTAA FLHTYHHGATAALCYSQLIGLTSVSWVPISLNLVVHVVMYWYYFQSARGIRIWWKKYI TMLQILQFVIDLGFVYFASYTYFTSTYFDWMPNMGKCAGEEFAAFAGMGILSSYLLLF ISFYLATYKRSGRARRNTGKQAAIEMKNFEIPDGLQLSSMGDGSITANGDAKTSGRAA NGPTTRSRKA EPUS_07927 MASTSVLRFAARTPSTPFFRPALRPARRAPVAALATSLGSAKAG FGSTSARRSSGHEEETFEEFTARYEKEFDGVQDVFELQRNLNNAFAYDLVPSPTVIVA ALKAARRVNDFPTAVRIFEGIKHKVENKHQYQEYLDELKGLREELGVNLKEDLYPEGS DSPYFNP EPUS_07928 MAVAKPVRVLGALSIALFFFLVFTFVRQPPAIHTPGHEDGQIIS KMERDPLLDPIGEPPEPLWRADKYAADNPDSPRINATLLVLVRNEELKDLLPTMQQLE EHWNHKFNYPWTFFNEVPFTDEFKRRTQALTKAKCNYELIPKEHWATPDWISHELYEE STKILKENNVQYSTKESYHQMCRWNSGMFYHHPALKDTRYYWRVEPKVKFFCDIDYDV FRYMQDHNKTYGFNINLYDAPESIPTLWPETIKFLAAHPEYLHENNAMKWLVDSEARP EHNEKAHGYSTCHFWSNFEIADMEFWRSKAYEDYFNHLDRAGGFFYERWGDAPVHSIA LGLFEDASKIHW EPUS_07929 MAFLNTSTLLYLASVYIAYGVGLVIYRLYLSPLSRFPGPKLAAA TLWYECYYDVARRGKYTFKIAMMHEKYGPIIRINPYELHVNDPEFYDELYVHGSVRRT EKFLWDLRMFGRATSTFGTPEHELHRIRRATLSPYFSKASVQQLEPVVQLMVDKLVSR LEKLQGSAAILNLLDVFSALTGDVIGQYAFAKPLGLLDKPEFAPQWQRAILDLGENGH MLKHFTWMEPLFRSMPLWLVRVMNPQVMPLIELQMGFEEQVNGFKADLAKGRKYTGQR TIFYDMIADDQVRPQEKDSEHLRTEALAIMGAGTITTAHHLAIVSFHLLENPEILAKL QAELKTVLPGKNSRLQWQQLEQLPYLNAVIMEGLRMSYGTAHRLQRISPDIALQYKEW TIPVGTPVGMTTLLLHNNPDTYPNPRKFDPNRWLQPSASRLGKYMVAFSKGSRQCLGM NLAYCEIYLTIAAIFAPGRFKLENYETTARDTQPAHDFLILAFLRIQRVSGLPSAETG TWAIFSTSLGKVLLLSRCTKKVQFFLRDLLSLLVIIGHHLFKQGKCVDEQDTEVKPHW LEESLSKPIYDIAGSW EPUS_06028 MASNPGTDRYRPRYGDDEGPPAAPADVRKVMYEFQGNRGQGHGR ATTGGEFTFRQGPRPRISDRPLLTERQALSPDPIFNGGDTIEKFRQIDNLTDSENEEM DVSQSDDDGPQRPSKRLRSGPSWSNPDPYTALPPVTDAPKKKQDVVKLIRKSRVTPPA QPANAEATNGEDFISLDMGGSVSDNDPDIRKDVPENAPTGPKTQPEEGSTRVGKRKRD APRQVNKLPPQARKGSRLHQNGMIIGGWQARNPDSPMPWYRPPSTSHVLAGVALHQEI LDFYEWVRPQDFEQEIRLDVITRLQKMFSRIEPGCQLKPFGSFAAGLYLPTGDMDLVL MTHPSRSRFPPQPKNLLFFVHTVLQKEGIAVPGSLQPIAKAKVPIIKFVDAITGLKVD LSFNNDAGIIANNTFQAWKLQYPAMPILVSVMKQYLLIRGLNDVAFGGLGGFSTICLV TSLIQHHIRPGQVPNLGYLLLNFFYFYGHVFNKQEIAIRLEPPSFVRKDQYTPFHYNS DRPNRLAIVDPNKADNNISGGTAEIDLIFRCFSHAYSQLSARMAERQDTGTTSKSLLV DLLGGDFEAYSQQRERLRRIYSDRLGQNKQPLPPTVSLSGPPGVGLPNIATGGRKQPD MSQPNGREVSSGKDKPNTLPKLTKAQRRDRAAKDRASRLKTLRPDIATKVGERVTMEH AMQLGGYESAQEMDLDLAQRARGMSL EPUS_06029 MARQLQANGTTKEQNDNVRLSPGPKRRRSSKIISPSKISVARSQ QASETTKRQNDETTKKHSNGVRMSPGPKHRRSSMVIYQTNIARMAPPEGPNADPANSE TSATRDSDRQTMLSGFEPRDAGTPRHAPEADPDALPVDSMDPSPVSNASQAEPDFPEG EPDSPQSQSDARASQIISIEDITPYETKSRPSISRDTTLGLTSSYDTPKRERPRLGRQ TRSKFAKEKMSQSASAQSSLLSAICSDTLKLPRATYHYWKWPVLLYILWLLFSHLSAA VYMTITEKFEPICSLPLVGQKLPMCHHQFEAPIKATSPTRISTTEQQMENVVNLAQES YGVGKIILSKEFALRDLRIRVRYSKLRFKNEFLEKMDVLIPLSRNSSDGLTKFAVRVS GLSSSAISANRFAVNTLQDIIVQQNSPPSLAQILASSLLPFSAFNPIYKNELEIRDVL MHSIIQVNARLVSLIDLASSNLDNLERILDNLAEIRGFAEQEHQHSPEKAVLAELWLW LARHDDFETYKSHHILLTDITVFYKTALDVMRVTVQALLKMRSDLDEFEDLHTSPAIA WRDVPLQVTIDTMNDAVRRLESERKQLEGMWPF EPUS_06030 MAQQVQNLDPTRRLFEELKSKNEDVRTRASNELRETLNSLSREW SPEKFTEFYNSVSSRINSLVQSPEPYEKLGGLWALDRLINIEGVDAALKTSRFVSYLH NALRSNDYVVLDKAAQCLGHLAKPGGALTAELVESEIQSAMESLQSDRQEGRRLAAVL VIRELAKNSPTLLYGFVPQIFELIWIPLRDSKELIRKISAEAVSACLAIIVARDAQFR QQWFSRIYDDALDGLKSLNVDWIHGSLLILQELLLKGAMFMHDFYRNACEIVLRLKDH RDAKIRAQVVQTVPILADYAPVDFINNYLHKFMIYLQAQLKRDKERNAAFVAIGSIAK AVGSSMAPYLDGTIVYIRESLSAKNRNRAGVDEGPVFKCISMLSYAVGQTLSKYMDAL LDPIFACGLSKPVEQALVDMAHYIPPIRAAVQEKLLDMLSLILIGTPYRPLGCPDNRT PPLPSFAKDYGGFVGEHSDAEITLALWTLGTFDFSGHILNEFVRDVTVRYSENEKPEI RKAAALTCCQLFVHDPIVHQTSSHSIQVVSEVIDKLLTVGVGDPEPDIRLTVLKSLDS KFDRHLARPENIRCLFLAVNDEVFAVREAAITIIGRLSTVNPAYVFPPLRKLLVNLLT GLGYSNTARHKEESAHLIRLFVRNASRLIRTYVEPMVAALLPKATDPNPGVAATTIGA LGELASVGGDEMKHHIPEIMPIVLEALQDLGSHSKREAALQTLGSFAINSGYVIDPYL DHPQLLGILINIIKTESHELLRQDAIKLLGVLGALDPYRYQQISEGTAESKSNVETQA VSDVALIMQGLNPSNEEYYPTVVINTLLQTILRDHSLVTYHSAVIDAIVTIFKTLGLK CVPFLGQIIPAFLAVIRSAPTSRLGPYFNQLAILVSIVKQHIRAFLPDILEIARECWN KSNQVRSTILSLVEAISKSLEGEFKKYLAGLLPLLLGVLEQDIDPTREASIRILHTFL VFGSSGEEYMHLIIPAIVRMFDSPTAPVSSRKAAIDTLSKLCRLVNVSDFSSTMIHPL AKVIGTPPEKVATNAAERQLKTAAMDCISSLLFQLNQDFIHYIPLVNKAAKVGQISNH TYNKLVSKLQKGESLPQDLNSDETHGPLGEDNSYSAVESKKLPVNQEHLKNAWDTSQK STREDWQEWMRRFSVELLKESPSHALRACASLAGVYQPLAKDLFNAAFVSCWPELYDQ YQEELVRSIEKALSAANIPIDILHVLLNLAEFMEHDDKALPIDIRELAKIASKCHAFA KALHYKELQFEQDQNGSTVEALISINNQLQQTDAAIGILRKAQYYSEVELKEAWFEKL QRWEEALDAYNRRENIEPQNFDVIMGKMRCLHALGEWKVLSELAQDHWQEANGDQKKQ MAALAAAAAWGRGQWDLVDPYLSALKEDSADRSFFGAVLSLHRNSFEEAERFISKARA AVNAELTAIIGESYNRAYNVVVRTQMLTELEEIITYKKGASDPRKQEQLRKTWDRRLL GCQQNVEVWQRMLKVRALVINPSENLEMWIKFANLCRKTARSSLAERTLASLENVTRD ESGNVPPQVSYARLKYNWAIGNQQNTLIFLKDFTARLSEEYAHYNATLVNGVNGDRNN GIGAPALNSQDSMATRSKMLEATKYTKLLAKCYLKQGDWQAHLHKGDWSSDHVREGVR DILNSYSAATQYNRTSYKAWHAWALANFEVVTSMDSHADQERVKLPENIILDHVVPAV RGFFKSISLSTTSSLQDTLRLLTLWFAHGGHHEVNLAVTEGFQSVSIDTWLEVIPQLI ARINQVNQRVRLSVHRLLSEVGKAHPQALVYPLTVAIKSNVARRSHSATQIMESMRQH SPTLVEQADLVSHELIRVAILWHELWHEGLEEASRLYFGDQNVEGMFATLAPLHEMLD RGAETLREVSFAQAFGHDLAEARHFCNVHKQTQELGDLNQAWDLYYTVFRKIARQLPQ LMTLDLKYVSPRLKEARNLDLAAPGTYHSGRPVIKIVEFDHVLTVIPSKQRPRKMTLK GSDGMPYTYVLKGHEDIRQDERVMQLFGLVNTLLNNDADSFKRHLNIQRFPAIPLSQN SGLLGWVPNSDTLHNLIKEYRESRRILLNIEHRIMLQMAPDYDNLTLMQKVEVFGYAM DNTTGKDLYRVLWLKSKSSESWLERRTNYTRSLAVMSMVGYILGLGDRHPSNLMLDRI TGKIIHIDFGDCFEVAMHREKYPERVPFRLTRMLTFAMEVSNIEGSFRITCEAVMRVI RENKDSLVAVLEAFIHDPLLNWRLNVRESPPRPHFRSERRASIIENIPVNLDNPANHS PPSPVTQPPGNPYRHRRSSVLEALPGGLSSIRSPNNPQDAREVQNARALQVLACVKQK LTGRDFPPTSTTGQGNSILTPAQRLEASTAGSMDLGAIVAAAALDGSAGGHMGMERRG EGELLVAEQVEKLIKQATNTENLCQHYIGWCSFW EPUS_06031 MPLSKSSKRPRSVESGEEGEEGDYSGSPVGKRQCSLPLRISPTS SPRRVFKQKPKSTGLCTIPLTLTPVESSDEDIEEEEHLSSLSAFNLSAFNISQPSTAS SFLSTRANASESDAMDTTEMPPPSVSSWRRPQMTRRRSNGISAMTQSMQMNFSTNADQ PDANGGRIPTPIYGHFTSTDVNMDTSEASLNSFIHPSARFQLRHNHNVLSPMMSEDGE SEADWWRRRRLPSPAESPVISQRMETDDLTGPGMMDALSFDNMQTHNSEMIDETNPSP PLSSTSSRGSKGHAMFERRSDTGSEAPRKGKLLQQPISGIPRPRFGPRRYPCLTGSNR HSCLADAQARPTSRRGRSGGKIEVAPGEAKKDFNGLAERWGAGRVSDLGDDEDEWAAK KGYAEYRERKAQLKRKGVTGNEPIKPLTLHNDEPHFRMQAPRLVA EPUS_06032 MPLIDATIGSEFNEEIRVLGYDPLIPPVLLQTEVPASEASIQTV KRGRRECIEIIHQRDDRLLVMVGPCSIHDPATALEYASKLKKVAEELSSDLSIIMRAY LEKPRTTVGWKGLINDPDIDESYKINKGLRISRQLYADLTSLGMPIASEMLDTISPQF LADLISLGAIGARTTESQLHRELASGLSFPIGFKNGTDGSLGVAIDAVGAAAAKHYFM GVTKQGLAAITKTSGNDDGFVILRGGSKGTNYDAESVKAAKEALAKKNQKQVMMIDCS HGNSKKDHRNQPLVAKSIADQLRQGEEGIVAVMIESNINEGSQKVTSDGSAALKKGVS ITDACIGWDTTVEVLTQLAEAVRARRQIRAKMASGSNGQNGTASAKSNT EPUS_06033 MSRSAPDVYTRFTSTSPHASSKPPRSSSTTSPQFGSYKPPTPHQ SQKPTIPPGPLNETPQEKVARLRAASRLAKSQASLSPVDRTIEYGRMLADKTHRIVAY GLIGFSGIAALISVYAMTDLVLHHRRQKRAWIDRELQRLQDAQQAFLRGDATPEQLHL LQQERAGDEIVEKAKREKERKKRESWWGKGKALIGLGPKEGLNPEEEARYGRVQSRAE MEVLPTERLLEEERWVGDKNDGKSVTEAVRDMVEDRRRTGEKQVDHLPGTQGGALDVL ASNVTNSVRTETGAGKVSWFGWGKGRDQS EPUS_06034 MNPYQNTQNPQLASILQNLAQFTQPITPNHDPIPGPATSAPSVA VHDVPSTAQPNKTSLAAPTRDPRRRPTIPQPDSSRITEWPPAVKYVMNTLSKNPETTA KINRLIKNQHENERQWWAGREALIAKHKGRVESKKKADDLLRSLGGASGHGVADSSST AQEEKAELERYDKKVYAALGQMVAAVDRELTMLRVPFFAIKHDLVSKNEGEDGVLSKT RLMELQKKMLQLLEDLFGD EPUS_06035 MSKPSADAGNQLLRFGGLADCVVVCGDTEFNVRKDIICRGSPVL KQFCEEGLQQFGAIRLAFADYDLPTVSRVFLYLYTGNYDDGMYPNFGRNTKSIASSPG QESIHLQRHDGKRSDNKDPTGDPQPVFSRNVNALVESNLKVHLCAKALQIKSLEALAL VKLESRCLNDLDPADIASIISYVYEHSTHEDLELRTMVMRSCTKNIVLVMRDAEIVSL LKKYEPLASNLLEETWAYVTQQSITEERSRKENETAIVKLEAECQELRKEKESATTSL VKYKGPNLELKSQVLSLKAGTASLASQNDSMRKKISSMTCEKSILLDEQVALRKKLRW ENGISRNQQHNLQQKISSLQNKLDNANSRLRDADPANHALGRVRGENESLRKEVKWLR RMLEHAQRLVKDTTECRHCDTAFWALLKVDLHKDDVYVKCGSCGQKH EPUS_06036 MAGVPPGSLEKLLHQSDENDFTITCKNKAFKVEKAVISAGSWFF WAGCNLSFREKMENRLDLPEEHEDVVARVLLFLYTGDYEDLQIPRFSTELLPAAASST TSSSNDPLIAPSPRGLVAPLTPEDDNTPEDHWMKNCPGFTLSQMQRFGSEEREEMRAR VESMKPAANPAKQRKLVQALEKNTQVYICADKLQMVKLKECAADKFKKRLWAIADAKD AYPAVRLALEGTREEDTVLCNEALRYCIRHHERIEVFPKFLAFLHDTVSSAWTIGVEL QRKNDDLQQSLGQKLKLLGKEKHRAEVLKAQADDELDSVVQLVNGTKFMSGKRCTNAE MKLELHTNSLGTKQYQLTCECCNKQQLGVYITVARFGDS EPUS_06037 MDPDSRWSLERLLTEPVFSDFIISCKGVDFKVHQAFLVANSTFF RSVCTLPFQEAEQNHVDLVDEEPEIIARMLSYMYTGDYDDAQIPRWAEERCIVSPPCS QTQTIKDKEGLYGASEVQTESSDGKIPAGEEEWGKKISRSGKEGSKKASLSRMEVNTL VYACGDKLGIRYLKAAATEKFARSIAEEYKSKHFQEAIELVFRVTAPGDSEIRSELLL WYIRHREAVTGNLAKTMAEHEPLTWKVYLEMGEALDAQKEGYASCLAKLDARNAEIKE KDAKLRTRDAELRGVREPVLGAKLTLSKMNI EPUS_06038 MPSSQPTAGHLAVKPEYLSSQPSPMAKPSEPNRNTKYDPKKPHI TDTPITKSNWYKHVNWLNVTLIVGVPLYGCIQSFWTPLQFKTALWTVAYYFMTGFGIT AGYHRLWAHTSYSATLPLRIFLAAMGGGAVEGSVRWWARDHRAHHRYTDTEKDPYSVR KGLLYSHFGWMLMKQNPKRIGRTDISDLNEDPVVVWQHKNYLKVVIFMGLIFPMLVCG FGWGDWWGGFVYGGILRIFFVQQATFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLG EGYHNFHHEFPSDYRNAIEWHQYDPTKWTIWIWKQLGLAYDLKQFKNNEIEKGRVQQL QKKLDQKRAKLDWGVPLEQLPVISWDEYADQAKNGRGLIAVAGVVHDVTDFIKDHPGG KVMISSGIGKDATAMFNGGVYMHSNAAHNLLSTMRVGVIRGGCEVEIWKRAQKEEKGE VVRDENGQRIVRAGTQPTKVLQNVATAGAA EPUS_06039 MTETSSSIATYPSQHPIPVAVPSVASPTLNGGLSDAVMQDDTED YTIKCICSYGDDDGNTVYCEKCDTWQHIVCYYHGEEVPEVHFCADCDPRQLDAKRATE SQKRMREHVGGADRKAKRPPQKSHKKKKDSMATPEQTNGLHLHSRHESVSAARDQPPP AKRAKTSHRTSASIVSMNGVPTLPEPRKRASSNVNAYPSPTKSPLGQPLHPPIPTYTT EFLNLYDNDRGKNNIDDGQNARTYSNSMSMAVTNKLTSWYDNPFALKQDTGKQHQEVF HHSDQPLRPETWPRVVLKEKTNTETQYAGRFPKWKCIMLDTNVKKDEFIGEIRGDIGL FEDYCFDPDTRWSELRHPEPFVFFHPQIPIYIDSRAEGTQLRYVRRSCHPNVSLKTFV TGERDFHHCFVAKENIIAGTELTIMWYLDQIFLNNGRVKEENGDEEEAKRRATYFSKI LANFGDCACDSMECSLKNFDLRTSPEYIKKGRKWRGRIKSAASPISTGQATNSRANSE HIQMTEEEDQIDSRSTSGSIRSKPQSRDITPAHPGHTEQGQDPSLGMSAREKRKILMA EKAFEDQESKKHKAKRKRTSNGPVLSTPTPGSKNATTSLPVTPSLMSKPPNHEITSTN HGTDSPTLNAPLRHTSNTSPHKSSTPNIPKPPPRYKRPAYVDRGMQTDPEPKEEETEE PERTGPQPEWFKTWQMPHVRRTKMYQEWERKDRIKWQELKKKVEAGLASPSATIVPTW LEERREEDKRRAERRAVKEAKEKEEAAAAAIVSPFSVVSNSTSPTEESKPTIPASAIQ LPPPPLPSQAAHTHQSVRSPNDHKLQLSSLPPVPTFTNPASSGAQSSTALSPTPTTPS VPQSPFAYGGASQSYPALSAGAVAPSPVKKKLSLGDYMSRRSNLVTPAAEKTQTQAMA TTSPTPTSGAAPDKSIVEQAPSNPLAADPTPPAAMANPVDPIKVEAQALDYVEGPVVT HRPVTKDESAFVPPPALAAVPNQPSAAPSMPPALSSVLSNLHALAGHVARRDSAGS EPUS_06040 MPSAVQKPRTLYDKVFQDHIVDERSDGTVLLYIGVWYGLSDDHC SKLNSSDRHLVHEVTSPQAFEGLKKAGRRVRRPDCTLATTDHNVPTTPRKNFKNVAEF VKETDSRIQCETLEENVKDFGLTYFGLGDKRQGIVHIIGPEQGFTLPGTTVVCGDSHT STHGAFGALAFGIGTSEVEHVLATQCLITKRSMNMRIQVEGRLSPGVSSKDIILHTIG VIGAAGGNGAVIEFCGSAIRRLSMEARMSICNMSIEAGARAGMIAPDQTTIDYLNGRP LAPKVDSNEWKKAAKYWLSLRSDDGATYDTEVFIDAKDIVPTVSWGTSPQDVVPITGV VPNPTDFTDENKRKSAERALDYMGLKAGTPMQDIEIDKVFIGSCTNSRIEDLRAAARI VDGKRVASNIKRAMVVPGSGLIKERAEAEGLDKIFTDAGFEWREAGCSMCLGMNPDIL SPRERCASTSNRNFEGRQGALGRTHLMSPAMAAAAAVVGKLADIRKLANENATPAKAS PKMDVAPTIEDVDSEEELERIMDIPEDNQPHVNEAAMKAASGLPNFTKLRGIAAPMDK ANVDTDAIIPKQFLKTIKRTGLGTALFHPLRYNEDGSENKEFVLNQEPYRQAKILVVT GPNFGCGSSREHAPWALLDFGIKCVIAPSYADIFFNNTFKNGMLPIIMSDKDALNKIA TEAKAGHEIEVDLVNQNVKDAQGNKLADFDVEEFRKHCLVNGLDDIGLTMQMDDQIRK FENKRTLDTPWLDGSGYLKRNRSGAVKVTAVPVPTTNRGEEKTEPLEW EPUS_06041 MKVGPQGLLLVLLAATSTALKYDPDFLEYNLNQNQTATHPIDYW GEWEGHEFTPSPEDWRFPFYTLFLDKFANGDPTNDNINGTVFEHDLSSNQMRHGGDVV GLVDTLDYLQGMGIRGLYLAGSIFMNQPWGADGYSPLDLTVLDQHFGTIATWREAINE IHRRGMYVLIDNTMATLGDLLGFEGYLNTTTPFTLSEHKVQYKSKRQYLDFEIGNEYN ETCSYPRFWLETGYPVGSDVTSRMKGCFDSEFDQYGDTEAFGVFPDWQRQLSKFASVQ DRLREWHPPVRSKLQRFYCILIAMLDVDGYRYDKATQSTVDAMAEMNAYLRQCAARYG KNNFFLPGEITGGNTFGAIYVGRGRQPDMLPDSIYDAVMMTNNSQERFFIREHENGAL DAGAFHYSTYRSLTRFLGMDGNLAAGYDSPVNWVDAWNTFLLTNDFVNVNTGKFDPRH MFGATNQDVFRWPAIKNGTQKFLLGQYIMTIHLPGIPLLLWGEEQAFYVLDNTASNYI FGRQAMTSATAWQIHGCYRLTSTQYYQFPLEKALDGCNDPTVSLDHRDPSHPIRNIIR HMFQMRKDFPVLNDGYSLTQLSNQTTQVQYPGSGGVATETGMWSVLRSRFEGVQDLGG ENGNQSVWLVYQNDNRTIDYTFDCSNNDTGLNITALIAPFDSGTIVKNLFFPHDELEL EDSVRTLGFEGSTKPNGCVSSLRMEPWDFRAYVPKDKWIAPKPMITKFLPGHDARLNS TVAADGVESVNVEIHFSAEMDCASVTESITFNSTTISGNKPTVNTGSVSCDPLGDSEP PDYIGGIAGAWKWSATLDGVENGIHQLTLRNASVAGGGDGTNAVDHFLFRIGQTKNPM VFPFQANYTTDLLHKDEKGDLYVSHNAAGADKWRYSLNWGTTFSDWMPYRGGNDSVPS QPWSGTKLQAWKGDHVRLEYWSRLAGSSDHVQQGDLDSQQTPRRFPHLFLNGPYNQYG YDAGLKNDLQLESDQRWKHTFMTEWPAVAQINVWGVNPDGQPDQSFVLGDADGDSVLD RLPPSALSAVTLNITQHPPSPYLAWRIELNDGNLRFRLLPVGSKYQQMAMFFLLWIIP IITGAIGVWAFMKSFYQVKFNQIGISEKSTLIPLAVRRAFKKRQQKDGESLNPLMKLA NKSGFMQSSSMFANKGAHRRMVLIATMEYDIEDWAIKIKIGGLGVMAQLMGKNLGHQD LIWVVPCVGGVDYPEDQRAEPMTVTILGNAYEVKVQYHVLRNITYVLLDAPVFRQQTK TEPYPPRMDDLDSAIYYSAWNQCISLAIQRFPIDLYHINDYHGSVAPLYLLPQAIPCC LSLHNAEFQGLWPMRTQKEREEVCAVFNLDPEIATRYVQFGEVFNLLHSGASYLRVHQ QGFGAVGVSKKYGKRSYARYPIFWGLKKVGNLPNPDPSDTGEWNKELPKEEDIRVDPS FEAGRAELKRQAQEWAGLEQNPKAELMVFVGRWSMQKGIDLIADVFPSVLEQHQDAQL ICVGPVIDLYGKFAALKLDRMMKLYPGRVFSKPQFTALPPYIFSGAEFALIPSRDEPF GLVAVEFGRKGALGIGARVGGLGQMPGWWYTVESTTTTHLLHQFHLAIEGALNSKHDV RAMMRARSAKQRFPVAQWVEDLEILQSTAIRISKKEIRKGHGERSSSPGGNTPFRSIQ DGRETPIGMQTPPMSHSRSNSYVGLNRLSQVGPQSRNTIVYSRDQSPGGFEERPKTGL SRQLSLGVRSGPGHLQPPGNERRGRRRLQKSTPSAVPEDEDENAVGVAVTDYDDESDE DIIPSYYGDDEYTLTPEEAEASRRLHHSGGAPPLPPIGREFLSPRKSSHGPPPGDSPH ASFLRASNTPPSMPGTPRADEGLLPPARPFAGDSVSNRLSNASMLSLDSVVGDKKDFN LQKVDPFFTDSTGEFYDAFSKRLEKLNGDNSESQLCIEDYLVKSEKKWFQRFRDARLG RTHSPSPSIFHKKGGSRAPSPAGSIFNEDLDSRGSGSDGKEGSGDEFLLGKDYVPPTG LKKWMQMRIGDWPVYSFFLGFGQIIAANSYQITLLTGEVGQTATKLYVIASIYLVTSI LWWFVFRSFKSVVALSTPFLFYGAAFFFIGCAHFGTSEDTRGWIQNIGTGLYATASSS GSIFFALNFGDEGGAPVKAWVFRACVIQGTQQAYVVALWFWGSYLTKRTNAGVYEGTP IADTWKMSAITFPIAIMLWVVGTLMWLGLPNYYRQAPGKVPSFYRSLTRRKIILWFFV AVVIQNFFLSAPYGRNWAFLWSSNHTPAWQIVLLVILFFGIIWALFLWIFSVLSKDHS WILPVFAIGLGAPRWAQIWWGTSNIGQYVPWAGGYTAGALVSRSLWLWLGVLDSLQGV GFGMILLQTLTRVHIAFTLLAAQVLGSVATICARAFAPNRIGPGPISPDISGGVSALW EPWFFISLAFNLLICAGFFLFFRKEQLSKP EPUS_06042 MEPTTIPIAFQVLLFLSLGVAFILGATWTITNLRFYAHLRKLAN GEDKDPSTEPLALPYAVPWVGNALGFLNEQASFWANMKKTLGPNHQLCTTRLGPAKCY IITGGQNIQAMFKMSRHLSSDQLERQIMKSVFHLPDRDIDTILQPAHDDKGKVTDMGK KNRMYDVEKIYENFLLTPHAVNSLTTKFLDVFGEVLDQAPEIPPLPEANSREAEWATV NFYEWFKDHMFTASTTAFLGSRVLEMNPDLAKDFWIFEENFLNLVYGLPRFLARSGNE ARDRLLNSASRWLDDAHNHGDIENTEDWDPYFGSRFVREREKMDQKMGLGTKSKAGVR IGLLFGISSNAIPATGWMLLHILASPTHILPRILSEVQSCLLPNDDNNNTTTLSISIP DLLNPTRTPLLHSLYTETLRHYHALNLSRDVKSRCTIDGHLLLPGNMVMAPSWMMHRN EDLWTDPPPDVFYPERFLATDEKSGEVTFSTAKTGGGRYFPYGGGAHICPGRVFAKQE ILAAVARTLLGFEFGLVDWVETGTERVVGKKGVDGRGFPGHKKGYVGLGVMVMEWDAR VKVRRRRVGTGGEGLVKS EPUS_06043 MRILSVLLPFLSASLVASKSFSVFSHDQAPLANSFDVPGKNPLK FCGNPKNYILDIDKVDLDPNPPKSNSNLTITASGSFSEDVADGATVALTVKYGLITLI KTTADLCSQIKEVDLECPLKKGKMSMVHDVKIPREVPPGQYTVIANVVSKDAEPITCL ETTVHF EPUS_06044 MPRLIRRQPLLERIQGYLNPADLLLWLSEEFESQGWDQLEKEWA VPIGFVLNLTFIVARANSRGASESYDDVFGDTRRATGWFSWLASFLVHFLALLSFVNA TCTFWRKRHYRLFEASVDTVPSTPSAYRVRVDSSPISSSPLRFLSNILGADNAQSRAH PDPQRDVWELAVWDPLPVCLRLFCYFSPGHVLIYCLFLPTAIADPRPSMTIVTAIAVS VLLSVQLSTLQSSFSQQSKDSALISKELLHEYDTKFVHPRTQPLYRDVGTQFTEQASH SAHRDEKYNFVEVHTPRVVINRGFKTNPNPNYSKHTDPDGVAARPSYSRGLSSTPSII PGVQPIRTPAHLRDSSSPIRPSTAIRQPQFKPAPESQPGLGGSLGVYSHANSPLKKNP FGGPDMRGKYSNDYIRERSLSPEKRPPSPAKRMSVPSTGINTLAATKRWGHLQSNARR ESGRF EPUS_06045 MSPSGHSSGSRLPVAPAMGDSLAFDVQSFHSVAAAEQHSSNILI ITMYSTPATSLDQNVPKHGPKSESTTDTRRNSWLQYHSRHTSNPFFLSRRAREQGGIH RDKRSGRQSVALDEPSNDLEGGLLTNKPDSLLVHIPGISEEVTSDETPSHRKKVKVPD LPHNVKTPFRLGNRPLPQVDITTPTYPTSSAGHSPNLLDDGLVQQNLSYEEGIVRRSI MRTVSHEDYLLARGANPRTGVVTPILQGGSSSTDDQDLLRVREMPQHPKWRLKGDQWV SLSLDEPTPLPSPPSEPDNRQPGRLLRIPPKLTHGRNRDMKTSEQQRIGEWTAADDRS APFQQTGQQNKRDISTSDVKTNRTKAIVVPARSLPRDQGRSGQKPVIKRKPLGTPPRQ QSVEILSRRDDLPEASTETVITKTGPIEQIRSSSMPTPRKIRFFRPEDVGKALPALPE STADDHEHLKAESPSKAMPFLGLRPGGGTADVLIRPTLISHRSRKGLPCQPTNDIQFR SHRLENIETRRPPAMTMPTQTSKPENHSRKVNFLPNTEGRNTALPRRPPMIPPRDYRP ARLIHAEQQAGQQSVMEVFDASPSKHAQPTPQAHTTNLNSQMIAKMTGAEAINAHTHP STITPTITPTTTTVPLSPEDKLVDSSWREIPSPLRLGRQRANTISRPAMPGRAEGTQN VPKVSPQREVPGQYQKRMTGMSDSSVSANNTPVEGSPERDLIPRPLRLSNGNTADLDR LREVRAKGATDAGQPMATCARCQECSLGDKRLNTHGFTHTRGSPLEENIDPQVDLSCT SGFLQGTRADHAGCCPECCVVGCHGSCLGHRSPSVDGSASGLAGSFSAVKEAFRNSMK LSRRTRTRTSAGGSQETETEEVAELETPTPWEMGGPVSLGLPCKVGPQTFWGGGGGGG SDARDSKEGKRIASNASGSSVKTLDMPTNASIGTIVEALVVPFGALKMWLKKHPQLLA LMQLIVVKLLEMSKHVFDTAGRAYRVAYIYSKTGRISPGKSSSVGGFVRDCVKAVVYC LILGAVAMMVGRVLAVFARAGSWLVWSLSWVVWIVKAVGLGIFW EPUS_06046 MSDAKHTLLPLLPTTSALTFGTYTLKSGRQSPYFFNSSLLYTAS LHHAVCSAYASVLTSPPFTSKPQSQYSSSSTLSESSNPTPIPIPTYDVLFGPAYKGIV LSGSILPFLLLQAQHTTNPTTISPFHSISYAYNRKEPKPHGEGGSLVGCPVKDKRVVI VDDVITAGTAIREAVNLIREEGGIVVGVVVLLDRQGRGGEEEPRSALGVASEELGVPV KAVIRFEDLIGAVEEGRIPGAGEEELRRMKEYRERYRSRD EPUS_06047 MHISGKLAASYEASKPTFSFEFFPPKTAQGVQNLYDRMDRMHDL GPAFIDITWGAGGRHSQLTCEMVNAAQTHYGLETCMHLTCTDMGKEKVDQALQQAYKA GCTNILALRGDPPRESEKWKATEGGFRYAKDLVSYIKSRYGNHFDIGVAGYPEGCDDQ DDVDLLIDHLKQKVDAGGTFIVTQMFYDVDIFLAWVKKCRERGINVPIIPGIMPISTH ASFQRRVDWTRCHVPDAWNAALEPVKNDDAAVREIGKGLIADMCRKIIDAGILHLHFY TMNLAQATRMILEHLSLTPTTSGSPLFKPLPWRQSLGLNRRDETVRPIFWRNRNTSYV ARTADWDEFPNGRWGDSRSPAFGELDAYGIGLKGTNEANTKLWGQPSSLQDISRLFVR YLNGELDRLPWSEAGISPEADSIKPELLSLNERGLLTINSQPAINGVASNHPVHGWGP ANGYVYQKAYLELFISPNLIETILERIIANKDLTYYAVSKNGELRTNTRDEGPNAVTW GIFPNREIVQPTIVETVSFLAWKDEAYRLGDDWSRCYPSESSHRRLIEGLMNEWFLIN IVNNDFHQEKEIFRIFDGLQVDDMDKVVEGQPCTNGVQSNGTPEEAKQDRPIEANGTV VEMNGSAERKPKPKPKPYTGAEFTGASQLRN EPUS_06048 MDTMDTMDTMDTMDGFDPMDMSYMGNSMPVGLLSHTDYLQPSSG LDMQDSLSNFDNEMYLSAEGLTFAPSTSIGHPILKRFSSSFDDAFGDPNTSQFEQGLP EQMIPESPSLDRENKLLSFSMPTYHFTLLDSSLRRTSLNMTAQLHGMFFLAESQWPTN PDAIPPPPELTCYRRNLFQITGTVTLPRNLRYIMTDHGDRIPIFTQELTVSAIESVEG NPVRIISVPWKNAAGSGTNTEDKVEREPPSIPLETMSSSDFDTDYATFPIQWKRLQFR IATANNGRRKELQQHFVIKLKIMATLSTGARYSIAEAQSGAIIVRGRSPRNFQSRKDL PLSTSAGLSRKGVGAGMSRTSTGDSVPQASTSKPAVSPDEVAQVVFPQQESRDLQSTT AELNEWTQMSHPTIPEPLPTPDYANSMGPPQLPPYTNSSPPEVASTISFTNPNISVLN APVSLSFSSDDEISPVARSARPSLPRAPTSNPSSSLPKSTKSRSAPIPSPPPPPLQTP PKQRPKLNSQNTSSSSANSFKRTSSTQEAKRISTASSTTSPVQNDGPDAADTLYEYFP LGLDDWMPPVDAVYRPHVHHHQHTSSIQKNSMSAETGSATLGRARSKRLLGADD EPUS_06049 MAVVDRPSLLRTDFDRQIGQLFMVGFDGTTVNDQIKSLIEDYHV GSVLLTAQNLKSAEQATRLVLDLQNIAYQAGHEIPLSIALDQENGGFNSLYDEVHIRQ FPSAMGMAATRSTKLAKEVAKATGQELCAVGVNWILGPVLDVPANVRNQSLGVRTIGD DPQEVSRYGVEFLKGYHEAGLATCGKHLPSYGDLEVLGAQTDVPIIAQSLQKLSQTAL NPFRTTISQGLDAVMVCGLATIPTQVDVVNACISEHIVQVLLRTDMHFQGVVLSDWSE TDALIHDFGVESSIVMAFRAGYDVVSLCGSFTVQQGAINCLKLGVENDTVNRPRIEQS MQRVLDLKLRCTSWEKALNPGGIDHLMQLQPSHASLSSAAYNSSITVVRDQKTFLPLS TRLDTERELLLLTPLLKPLPSSAAHQKLNAAPDGTAYDQTSVWDSSSSIMSGERIFQE LGRAMSRQRNGRVLHTSYTANGLRPIHEDLIDRAGAVVVVTADAGRNRYQNGFAKHVS TICRMSSISNGDGTEKPFIVVSVSSPYDFAFDQSIGTYICTYDFTEIALQALVRILFG ELQPIGALPASTKNEQKLQQSTQQHWLVENWNEERDSYPLELLLESVRGQHSSDPESI LAGVSSRTFLLRCADVEEAHFIVRNSNTKELYGFCSTYYFISTAVGAIGSIIVDPNKR KLSIGRSLYHRAMRMLAQKNGIKKYQLGTRIPNIFLGIPTVDTAEHRRLRQWFVNMGW KIASSQMRLNMILRNLPTWNPPDGLSHTLSNPDIKFDLVYGMGYAEAVLDHVRTHSTT GVYEIYQLALADKASCGIIRAKRPEDGSILASLVLYKSDSKLAGFVPALGDSKVLAGG ISSPVISPSISDPASLMQGLLLLGIRQIKKQGANAIVLDCIESDKAVGNLVALGFSTL HTFEEITCETSTWAMIS EPUS_06050 MAFDARHVYYDGKVQQSHGDVFRTIDPSTGNTLADIHSANPTDV DVAIFSASKAFPSWSSTPPMARSRILLKAVSLLRERNDEIAKLETSDTGKPFSETSTV DVVTGADVVEYFAGLVAGGGLNGETIQLREDAWVYTKKEPLGVCAGIGAWNYPIQIAL WKSAPCLAAGNTMVYKPSEVTPLHAQVLAEIYTEAGLPPGVFNVVYGAGDVGGYLTSH PRIAKVSFTGQVATGKKVAGSAAGNMKYVTMELGGKSPIIVLPDADIENAVDGAMMAN FFSTGQVCTNGTRVFVPEPMKSAFEQKLLEKMQYIRAGNLSNAETNFGPLVSRMHYEK VMGYISHGIKVDKAKLLYGGLEKPEGLPEDLTNGYWVRPTIFTDCTDSMKIIKEEIFG PVLNILTYKNIEEAVERANNTELGLAAGVFGRDLNMAHKVVSRLEAGITWINTWGESP AEMSVGGWKQSGVGVENGRRGIEAWVRNKSTLVDMSDTIQTVFAKL EPUS_06051 MTTTHLSASNTDSYDYLIVGGGTAGCVIASRLSEYLPHAKILLI EAGPSDYMDDRVLYLKDWLNLLGGELDYDYGTIEQPMGNSYIRHSRAKVLGGCSSHNT LISFRPFEYDCKLWESLGCDGWSFKTFMRVLDKLRNTVQPVHSRHRNQLCKDWVNSCS SALDIPVIHDFNKEIKQQGQLSQGVGFFSVSYNPDDGRRSSASVAYLHPIFRGDERRP NLTVLTNAWVSKINVSGDRVSGLDVVLQDGSNLSLKARKETILCAGAVDTPRLMLLSG LGPKKQLKDLEIPVVRDIPGVGENLMDHPETIIIWELNKPVPVDQTTMDSDAGIFLRR EVPDAASTANAFNPQGVPDGQVADVMMHCYQIPFCLNTTRLGYDEPIDAFCMTPNIPR PRSRGRLYLTSNDPSVKPALDFRYFTDREGYDAATFVFGIKAARKVAQQAPFKDWIKR EVAPGQKVQSDEDISEYARRVAHTVYHPAGTTKMGNLVKDELAVVDSRLKVRGLKGVR IADAGVFPLMPTINPMLTVLGIGERAAEIIAEEAGWRREERARL EPUS_06052 MKTTWKEIQPVPTSQEFIDIVLSRTQRRLPTQIRSGFKITRIRS FYTRKVKYTSETFCEKFQAILDGFPRLQDIHPFHKDLLNTLYDADHFKIALGQVATAK RLIETVSRDYVRLLKYAQSLFQCKSLKRAALGRMATICRRLREALVYLEQVRQHLGRL PSIDPNTRTLLICGYPNVGKSSFLKSITRADVDVQPYAFTTKSLFVGHFDYKYLRFQA IDTPGILDHPLEEMNTIEMQSITAIAHLRSAILYFMDLSEQCGYSVQAQIQLFQSIRP LFANKLVFIVINKIDVTKPEDLEPEVQKQLQDLVTSNSNTELLQLSCITSEGIQEVKN AACDRLIADRVASKLKSAQASQPADASTPTGRLGDLLARIHVAQPLGGIVRETYIPDA VKNGGLKKYDKDDPDRRRLARDIEEENGGAGVYNVDLKEKYDLEDPSWNHDKVPEFFG GRNVADFVDPDIETKLTELEAEEERLEAEGYYDESDSMEDEEQADLRTKADLIRQKRA LMMNDAKMRKSLKNRAVIPRAKKARTVAQMEKHMSSIGLDASGPAARARAQIRNAPAH PTTNGDSVDAMDIDTPSARLRSLSRAPKTNRLTDGLQMKGQTGITDPAKREKTQRMAK LSQRKMNRMARQGEADRHTTSALPKHLFSGKRGIGKASHR EPUS_06053 MAAMATTEDSLKWSAQYQVARSDRTAKLQGDKITLPQSALEALL AAAPVVSIGKDSSTPYTLTFDPFNPYTFAAEREARQQLSDRQQQLPHPLTFRLVNPQN GRIVYAGIREFSAEENQIGLSGVLQDALGLGSGSSAVTREQTPNGDVEMGGSDISTPQ VITVHAKQLPKGTYVRLRPLEAGYDPEDWKSLLERYLRDNFTTLTNGEILSIRSGRRE KYQFLVDKLEPDGEGICIVDTDLEVDIEALNEEQARETLSRKLAKGQHAPGTTEGSSA GGALIIGDQQTGQVLAGEYVDYELKMWPRDGQGIDFDLEVGLDEAELDLFVSPFSLRQ RAKPRDDEHVFGDFSSRSSKRIRLSATNVELEDAETLYVSIHAYSESTEQDKSLPPIP FVLRAIAANSEISDSDSIDNTAGTPSVDELICKNCQQTIPKRTLPLHEAFCYRNNISC RKCNQVFLKNSPAWKTHWHCPHDASHGNTPLSQRKHNSIYHPPTPYPCPGCSSFDAPT LPSLAHHRVTLCPTKEILCQFCHLVVPQQGPDDPSFTDPEVLMSGLTPHELADGARTT ECHLCNKIVRLRDMKTHLMIHDRDRISRPKPRICRNALCGRTVKTTKGRHVVQQLGLC DECFGPLYVTSYDPEGKALKRRVERRMLQQLMAGCGKTWCRNAEFCRTARSNISGEDR GLSAKEALPLVKPVIDHLDSGDSLFFCVDEASQCRREIARLLAETETDAEYEPEWWVR ALEEVRAGTGSEAELEEKVVRAREWLGKNAPRRGEVVK EPUS_06054 MLLYPSLVQKASISPHTPRHDVLRSNQPSLDNLGQEVVELFTAP ITPWRMSRMSGKLQRRYLEKLHCSTECMLPSFNHTLPTGQEAGTYLVLDVGGSTLRIA LVELCGKSYKTKRLRIIQMTVSPIDDVVRRLPGASFFDWIAEKIADMLAGRSEHNGHD VEPLPVGLSWSFPVEPTSPSGGNIQGMGKGFCCAQATLGHDLGGLITAACRSQGLNIR IDALVNDSCATLLSRAYVEPSTTMSLILGTGTNMAVHLPVSCLGASKLQHRDSTWLSQ AERVTINTEISMFGKDILPKTRWDDLLNRSHDLPDFQPLEYLTTGRYLGEILRLVIIE AVETAGLFKGRFPSSLMGKYSLDTAVLAVVEGDSSRDLKKSSAYLQGILCLDSPPTAT EMTFLRVAAQSISKRAAAYIAVAIHALWAVERKVGATGSSKTTIACNGTVIEKYPGFR SRCESYISDIIKYDAEGGQADSEVYLEMADDAAILGAAVAVAIGESRR EPUS_06055 MANNAPVPPVNAVIVIAIRIPNATTAFNPAVNSIYSTPLHGSCE QTYKRTNLLFVVGVVPNNQQIRNIPENPISTTTGDWHSPGGSALSTNEMTFNVDKRLS TVKCRCFKNLRGIVSSIVQQMGGAAVFTAATPAQNITIFRQFYQQDPYNVTRAMLHGA GAKDINLDAIFNSQHVNQTPIGQNSGFDQVVVLRQWFEFFFEESCTIVGQHYIWGPTP NPFGPHEARLALQALRGQFLAANNNPQNNNPPVHILDPRNMPV EPUS_06056 MLDEIIDLKGKIFCREFIDVQLNGGYQLDSFARPATYAENLRSH NRLLIHSGVTSYLPSVTSSRPDIYPAVLPYLGPSGYIKIAEEGAESFAAHVEGSFLSS ERSGIQNLDVLLKANSFGVLEACCGVENLNIGLNIKRIAAAPELSNMMFLIPELKSRN TVFSIGQTDLHARGPGSNRGRRHYGNSHV EPUS_06057 MAGAIDEKHAAADTMGTKTPPGNDPAILENDPALTGKPWMYKTT KLGPIKIPYYASPPSQLGLVAFVCFLCPGMFNAVNGLGAAGQVDPYDINNANIAVYAT FSVVGFFAGSIANRIGLKLTLGLGGFGYFLYVASILSYNHNKNAGFLIFAGALLGVCA GMLWTAQGAVMMSYPSEKSKGKYISWFWMIFNLGAVIGSLIPLGLNLHSEAGAVSDGT YIAFMVLMALGFFLAFSLVDSKFVRRADGSHVVVMKNPTWKSEILGLFEVLKTDWYIV CLFPMFLASNWFYTYQFQDVNAAYYNIRTRALNNTLYWLSQIIGAYVLGYGLDINVRR TSRAKAGWAFMLVITMVVWGGGYAFQRRYTREQVSAETYRAMDFNDSGYIGPMFMYMF YGLYDAMWQTYVYWLLGSLTNNGRKLANFTGFYKGIQSAGAAIAPAIDNRRVPYMTNL AAAWGLLAGSLIVATPVIFMKIQDTTSLEEDLKFSDETFAEVAPTEAKLAVLGGKK EPUS_06058 MECTSSASAKNAQIDYSSSSSVDTTQSAVKKHMAGTWLSVARPS SAKEREQKEKPTESLTRTEIETTRSSSDKKIYRGKAGRLWEELGAKAKARVQEWIEER EEFEKAKKAKADLAEALAITESLYGSLKSAQKYGTVMGPAVRKRRREKALEQALEHAE KAVDAMKRMKTTYMPKPPVPTSKLPSTQFTFSDTALLAWTHPVLHCVSDSDIAKSQER KIEAYDEVLREVYCFLTIGESGSDPEMSRQAFTSRMRRSVDKIIKRYGDEVGLQRLTE FGRKTGEAWLERQDEIQNLGKAEKDFQSAAKRVGKIRQELATGHAESHISKTADTQLE DRKRKLDEVGEQVEKASELCKRVRRVKREE EPUS_06059 MSASSEPGPLAFHNQGHALYIAGNQTAQGNINYYYNGEGSQQGR PVNTGSNYAFGLSLDGAPQIADDLFVGRERELVQMQELLSPATRTQNVVAVSGLGGMG KTQLCIHYAKLHRETYSSIFWLSAKDESTLKAGIVNLVMRVADGSSSPCASHLGNQDE DVKWFLSWLSKSENSRWLLIFDNYDDPDVPGMRSATGYDLRRFFPPRQQGFILITTRV TRLNFAT EPUS_06060 MAEAEKTLLQALAGFEQIYGSEHAETLGAVYYLGRCYMNQGKMA SAESMLLQALTGLEKVCGLEHESTLITVWSLAGLYARQEKIAKALEMYQGALEGHEKL FGPEHTRTLEVVCYLGWTYWKQGKLVEAEQMYLRTLAGSEKTLGPDHELTVAIASDLE RLKNQRKDCGPVSSLSESTNRTSASNINDG EPUS_06061 MWTRQKRNQHKTTSSMNKAEKPDMDSVNLQQPSEKPFASTVIGP NEEDSGSDVSASPSDVENSFNTVRQSPAGPNHRSASTPDTNPPLQPESLSAIKQRLAE LDAVDDVLVTRRNKLIAKRERKDERIRKRLAAEDARVKRQRDEEDARRTQSRRAKEER IRKRREMEDAEYRQKEKAHDDEEDELRRKLKNLKRGRPVDDEVTETRRASTVSESMSP PAKKHQPNPAPVQALNSPSRPNAMQPLQSPQQDQQPKAQYPYYYSWHPPPIAGPYTYG PGETYPPQNQSHPPQHNHLQSSPLPNGGRPPFNPPAPPPRHGPSPKPTTPLSHAQSPR HQAPAPAPPPPPPPPPPPGPSHYDVRPPPATSTFTSINAPPSGFPSVNQPPPQTIRTP IQPASKTKRGHQSSAKAQALALEAGTDHSPSHPPISSPPASTPTLGGKRKASTTHPYS QSEAFANRHHHCERTDELDRGIWTYFGPGGTKEAPTVAGKKEMYLRCNHDGCMRIDWK TVHGLQCHIVKNHGIPKGTIGSLELALERYGVPVQDIEDHEKKHGLGSAGTMAEKGTR GRPRASRPSDEIVAPRSTGAASGPVAGPTIAPPKPPSAARPKPSAPIVLFPNLAARSP SGGYVQDDIVYSEEESDGDESSVEVKPSVPRHVTAPIQWKASDSELSTPSTAKVEGDD GLRLPVPRSAPIEHGNPPTIDGPKSPEPRPTSGTETLAVTDTAPISAESLPAPSTKLP LHSVASATPMGTETQNIVSAAEHVNARLDTQDPEFTETHKNQTETHTQNQTETHTQNQ TEAHTQNQTTQATSDSQDTAIPSTKSTSRPRGGERERRVPASERWDWAPIEDDDNATA TNPSTSTTITLSKRKNSTASGNTLTKAQQELQGIDGSVGGDGDGEDGGAGGEDGSGNG NGNGSGNGSVTTKSPATARHSARKKTRRRVDA EPUS_06062 MQGVDTRPARDESVKNVRKGIFRGLRNREHKIVDCTKHFGGGSG GGGDSGKEGYGRLDSKLIDPTASGGALATRNCIKEQYYEGQTMAQMPIQAESGAEHKD NLI EPUS_06063 MLASKFSRALPRSSPISRLSKFQKPTSSLLSRYATTGAAGGEEK VKGQVIGIDLGTTNSAVAVMEGKQPRIIENSEGARTTPSVVAFAQDGERLVGISAKRQ AVVNPENTLFATKRLIGRKFSDPEVQRDIREVPYKIVQHTNGDAWVEARGQKYSPSQV GGFVLQKMKETAEAYLSKPIKNGVVTVPAYFNDMQRQATKDAGQIAGLNVLRVVNEPT AAALAYGLEKEDDRVIAVYDLGGGTFDISILEIQKGVFEVKSTNGDTHLGGEDFDITL VRHLVQQFKKDSGIDLSNDRMAIQRIREAAEKAKIELSSSLQTDINLPFITADASGPK HINQKLSRAQLEGLIDPLIAKTIDPVRKALKDANLQAKDIQEVILVGGMTRMPKVTES VKSIFGRDPAKSVNPDEAVAMGAAIQGAVLAGEVMDVLLLDVTPLSLGIETLGGVFTR LINRNTTIPTKKSQTFSTAADFQTAVEIKVYQGERELVRDNKLLGNFQLVGIPPAHRG VPQIEVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSDSEIQNMVDDAEKYGAQD KERKAAIEAANRADTVLNDTEKALKEFEGKLDKAEADAIKEKIASLREYVAKNQTGEG GATAEELKAKIDELQTTALTLFDKMHRARAEESSQSPPGGETPPNAGEQQSGEGEKKP EPUS_06064 MAISPQYFNSTQSLIRTTKTKFYPLDIRVPHPQLRHFISTVDQD WIYFASSYDIYALHLPSQRKYILVTLPFLPRCLVAGLGWICLGGEQNGDCAFIRLDKE QAETRSQGHPVSPPDSPRRTTRKILPGLGQNDTGSSSYPELFLQELGSKIVNSITLHE LSNHGKSTWDEPVALLSNNDRTVKIYSLLQQQLIADLQLPFPVNYALISPDSEILVAV GDSNQAYFYRRKLVNAKTPSQDRFPEYEWEVLAKPKLAQGERINDDYSFSVTFSPSGD LCAISAQGGMISVFDMGTICKLADSDVESYSSAMICSFVSSRSGICGCVRSMAFSPAP WDLLVWAEDHGRVGIADVRQAFCRRQIITLETHAPDLERIILEDATDPYVKGLDFRGR LIRQYQENFQPEGARTNDESLLGAPEDWSEGAVLQRHGSRRMGLADLDAREQSVLDTL EVTMEEVDDAIESVQSPYSVNYRASPHLRTLLENEERSPSRAQLESLIDVFRERNLQR VRGGDRQYQPRRRNSVVLSQGTGSGTSGTSRLAPGSISRSRLTASPARMANADVDADR STLPSAMSTDDLNPTASGSDSQPVPDSIPPSDPWHVIQSALGPPTRTTSQPTVSNPRL TPPASHNDASDVTENDAGSRLPPPDSQPSAHISAHTSTLPTTFNPTHSQTRSRFQANP NLLRRAAPLSELETERQLRRIASTTVDLESREGHVRPRALEDDERHLRILEARILARQ RLAARELEQSASPPPAVSRTAGTQRSELTGRATSAELTFARQRIMQSARNTLDGNGNW MASAALERILGRSSIDDANGVGGGAAEREIGVGTAGIGWSSDGRNLYVGTEEGIFEYS INLMDRQTFPALDLSLRNRWRGQRTGRPLLLAATLSPAAFIRLNEGDHKDGKSGEEQM LEASREEIEKKIPDDLHGMKRIFRSIWVFLDLYVYEPIATSLRFLHLVVIFVPVIFTV PAVWVGKRQRGRDNERSGTLWWYGFLVEAMERAGPAFIKLGQWAASRTDIFPNEMCSV MSSLHSNAPAHSLGTTKRTIVRAFGGRPFEEIFEEFQEKPLGVGAIAQVYKARLKPDL APPGDEAISGERPRSLRQKVRKSVDALVKSSPQRIPSSYVAIKVLHPNVERIVRRDLR IMGVFAAIINALPTMQWLSLPDEVAQFGEMMRLQLDLRIEAANLAIFRKHFQDRTTAW FPYPYTEFTTRQVLVEEFAQGIPLATFLQSGGGVFQKEIADEGLDAFLHMLLIDNFVH ADLHPGNMMVRFYKPSKPDLPLRKKHNPASPPDAPTIDEVESVLSRLRPYTHNKSEWT TALSEIDKDGYRPQLIFIDTGLVTELNTTNRQNFLDLFRAVAEFDGYRAGQLMIERCR QPEAVVDGEVFALKMQHLVLAVKGRTFALGNIKIGDVLSEVLAMVRAHHVRMEGDFVN VVISILLLEGIGRSLEPDLDLFKSALPILRQLGGSNPSSVIKSIKERDFSLLKIWVGL EARRFFQASAESVERCVKYDLLSPNI EPUS_06065 MFPISALSWINLVGYLVVFVAIKRIYYELTTGAARRAIIKENGC EPVYHWRHQGILGKLLGLDLIQQQIKDDKLGRTFEGVRQRLFAERNTVQTTSMGIELI QTAEPEIVKTMLSTKFHDFELAQRRLDAIVPSLGHGIFASNGAAWERSRALIRPSFTK TQVADLDTFETHIQHFISAIPRDGSTVDLQPLFFSLTMDSATEFLFGRSTACLAPGLK TQSASEFVKAFEYCTKSIGTEIRTAGLSRWIPDKRWHKSKNIVHAFADSIIREETIRL HPADMEKSAKPGTTCGNERYVFLHELIKQTQDPDTLRSELLNILLAGRDSTAGLLANT WHTIARRPDIWAKLRAEVDTLMGEAPTYAQIKDMKYLRWVLNECLRLMPIVPANGRVA VRDTVIPVGGGADGKAPALVPKGSIVVYHSWTFHKRKDLYGEDADEFRPERWEKLRHG WEYLPFNGGPRICVGQQFALTEASYATIRLMQAFSRIEPRDEREWCASSSLTLASGVG CKVALFES EPUS_06066 MAEFAQASDLKAWQALQEHHNTLGRNIVLKEAFEQDPDRFQKFS RSFHNTADNSEILFDFSKNFLTEETLKLLIDLAKEAGVEKLRDAMFAGEKINFTEKRA VYHVALRNVAKHPMQVDGKSVVEDTHSVLEHMKEFSEQVRSGSWKGYTGKPLTTIINI GIGGSDLGPVMVSEALKPYGNRDMKLHFVSNIDGTHIAEALRDSDPETTLFLIASKTF TTAETITNANSAKSWFLKSASEKDIAKHFVALSTNKEEVEKFGIDPANMFGFESWVGG RYSVWSAIGLSVCLFIGYENFQQFLAGGQAMDQHFLKTPLEENIPVIGGLLSVWYSDF YGAQTHLVSPFDQYLHRFPAYLQQLSMESNGKAITRSGGYVKYTTGAVLFGEPATNAQ HSFFQLLHQGTKLIPTDFIMAAESHNPVQGGKHQKMLASNFLAQAEALMVGKTEEQVK AEGAPDELVPHKTFLGNRPTTSILAQKMTPATLGALIAYYEHVTFTEGAVWNINSFDQ WGVELGKVLAKKIEAELESSDATGQHDSSTSGLLAAFKHKAGI EPUS_06067 MSHLIMELKCRSIFRSNPSKAFTMIPMMFLLFKNLVAHAKPLPA DLDNLPVPPDFMTARQCPEDRPLIDCFHPHFGLVYGPQADKAIYRAKPGIGSALKAGQ TSNAAKVISPLGSTQQCVFIHGACHEIPGISGLAKDIPAEATGEHTKSGHKDDRENDR EDHNESHGEDDGEEISCSSEMTAADCQKVELDKHISNSATANPDKELAAAPSDEDAVR IDTKTLTKRRAGLKVYYMDCGDHSLTSYCYAYPRNYYCGSLGIIINSVYDWRCEDNCR CPYLGPPPLPEWCLETCCACRKLENGSFVDDNAVEPDAHAAAEGGGGTVDGGWVIPNG NLTAMDADATATAD EPUS_06068 MRAGLLEGGSNRFALPENGVDTNLLSPPLSVGHLDTPPFRPADS DQTSLSMKNRRRRRRSRRHRDGLDRASTGDTEEQPIQAHRTRKRLNSTSVPDLRQKSS SQPALSAAGQFLMRQQLQALHVARRKDSIARSVETKRRASLPQKPRRWTMPSKAASTR VLSESPAPVISTYLVASQSYRENARTASGKPSTSHPSLRSSPQAENNSVSTNVGETPA MITLRRASKKRTSSLGRRASLRPNAITSFPPPKFNRTTSTYICSFFGINSPPTSSKNG EPSQTSVRQSSRSTGPNPIASLRKASVTADKVQLIANTVATPAVTQVEFLPLFHCRAD QQELLTRSARRCSTTIVSGSSVHEIIWDEHVTSSSEDSTCACLSRQTSNSPKKSGSRD YGRRQSVLVEKLEAQLRDNGLRRASLGSQSSSSSRRSTESDHLGKATLRKLTGWYLGS LTQANDLQEHRPSITPMPDLSHDMSTTADGLFETLQEEGLSATTEHVGFFPPLAGSAG NGQRSSISREQSPHPEASKILVEEPSSMIKFDTPVFSERVSKEKGRARNSSNQFSEPR GPSLYSRPGTAIGIGMGQSSHMRRRSSEAYKPSFSTGSLRVSRQRSFEHIDTDEVTSL LANRDCSSGMAAPSLFVDGQNASGQRAGDTTRERKMSVQDFVEKIEGMSRGQLQRSAA QELEDPGKVGLVRRDSPWAVRRRVSKGAADASMNKGKGKVVSEVGEDGGSASGSGSWL GSMRMMSGEGSEVFV EPUS_06069 METRIRRYFTFRKLNSQLPPTHHTTDELELIGVPYSSTDKGRAP VLSFAPRSKEVARTKDDLDVLAIYTIPYEAAVPGTPPVVGATPIKGNGPVKLQTSRRL SSGELLITTQDHQRTLSDIREGEYIIGRKEPKRTSRTISIKLPAHSKASASTRSSLAP ALQMSNTTAEQSPRPRPELKPYRRHVPEPLNLHNGLATLESTHPDNPPRNRHSGNSTA AKAYLLSPSEVPLPLSPGKTLSSRTSLLSRGEEQNATIQALWKAEYARLVAIYGQDGV DRNIAELNRDPLAPFPEKKLSVESRSRGLSATSAGPPPLSPATIIPPLPSPRGSVTQE LPFVDTASDYSSVKVPSLLSSEESSSSYTKRTSLFEADVPTTREEVSRIVESMRKNYL HALEKVEEKPKAKRPKKTKQRASYTHTSSAAMSAPARFPKGGRQSWHANTAPSTSAAE KQSKKGSNSKPKTTRDSNIAPLRTVVSKSSMKAKPPLHRADSTTLGSFFGSKREDQYP PSPERTPKASKPCRTGHIDEARPASNDSASSRTSSDNVAPEIDDFDIFYQDLARDSDP RSGNGKPAISTLHVPRTVELPAGRDTAAPPPPNIDWTPRKRTLLPAL EPUS_06070 MAVIDLCNRADFLPGQRFDIRLEVHAPVNGSEARANTTPDSNFT FTIARAGAQAQSATTYFSVAEPRLETWNFTWFEDLFAQDAGRPSLVRVAAKAYRNVVL TEPGEYTATLMYYNGSTTVANWRVRDIPPRRTAKNLVLFIGDGMTTNMITAARLIAHK MVNGRYQSTMAMDKFPVIGHQMTHSLDSFITDSANSATALYSGHKSTVNALGVYADSS RSPADDPKVESIAEIFRRVYNGGVGIVSTAFIADATPAALTAHTRDRSRYGEVVDSFL NGITNYTWTNWTGPDVLFGGGAEQFFPNRRSFQGRDYYAEFANRGYNVVLNNTALQVT DTSKKTLGIFSVSNMAKWLDRNVYTRNLFGQRNSPDGRNGSAADQPGLKEMTLKAIEI LNERHGDDGFFLMSEAASIDKMMHSLDYDRALGELLELDDTVKATMEYLEELGQLEDT QIIVTADHGHGFDVMGSVDTRYLQAQNNDRRKRDAIGTYENSGLSQYTVPDRSRNDTS LVYTNGTYFPANWDPRYTLFSGLAANPDRRENYTVHKDGVRVPALNRTGLPIDDYYVN DRDGLGGFVINGTLPTTADQGVHSLTDVPVYAQGPCQDIFGGTYGNIDVFYRMAECLG LSQPGRHGNGNGNGRNQNSSS EPUS_06071 MGTVIIGGGIIGVSIAFYLSETLEDSTSIHIVESSSRLFASASG YAAGFLAKDWFSLGAAELGALSFELHKKLADNNNGSEKWGYAPSTALSLAIQEGVGVG TGARGEDWLLNGTSRAEVSAGHDVVNEDGTPAWLTKQKSGSLDVISSEDGCAQVDPLR LCEFMMEACRTRGVQVHYPAKVVSLCKDDEGSLIGVNLVSDGGNVPSEITCKAIVIAS GAWTPAVFKNLFHKSTVSIPVSPLAGYSLLVRSPRHSVLDEQKYGRSHAIFSAPTQTY SWAPEIFSRTGGEIYIAGLNDPRLPLPEQATGAIMQHESMTEVKKVAVQLMGRVASMR DAVAENDLEVIREALCFRPVTDRGTPIITRVPDSSLGRGTKTPHDGGVFVAAGHGPWG ISLSLGTGKVVAEMIRGEKTSADISRLGL EPUS_06072 MTSKTIILTGATRGIGLAIANFLLKGSEKHNLVVLGRNEEALRE LEARAPSQVKPLAGDFSNLSLGQAAVDLALSTFGRIDGLIINHGTLGEVNRIIDCDLV NFRKTFDINFISAVALVKAAIPELRKSRGRIVLTSSGAAVNAYSSWLSYGSSKASTNH LAMTLKSEEPDIVALAIRPGTVDTEMQTSLRNEFANFMDPEDRAKFANLKRDGKLLRP DQPGNVMARLVLNAPTKLSGQFLSWNDASLKDFQD EPUS_06073 MSDREFGGNDDLSLPKATVQKIITEILPASSGQSFARDARDLLI ECCVEFITLISSEANDISEKEAKKTIAVEHIEKALTELGFQDYVPDVLAVADEFKDQQ KTREKKQNKMETSGMSEEELLRMQEQMFSQAREKYNAAPDEA EPUS_06074 MWEHHDSEEQDLESVDYNTSTPPSQAGSESPAPQKKKRKAWGQP IPEFEKIIGPRKRAKTEAEKTQRAHERTLRNRRAAAQSRDKKQAQFAMMEVENQKLRE QLAQYQARFGNLSDTPATVSDIVEPHTSSTSINAYPTPSPDATFHHELSATDLAASTP TISISGPVLSQDESGLSQYQVSPTLAPTLQLDPNPIQEDLIYHQQVPSEALSGELPDA TQYSAAVLLDPQCQASVGSTSLIQRSELSPSFHLNFQLFNLTILMTIFENFSSSMLTP MYQIFRTLAGDFSMHSVKPEVLDHHFQLIHCLIMSPMKGTARPVFRMKLLSRLLACNP ITARLITVAADRALQRQVTEGSSLEDPESCQKWASLLTLRWTIKWLDKEHARYREARR IGSSLADTTGSNPQNSDRLGVGYQGVDYRAVERSSWRWDSVHLPELLRTCDVLSAEQA AH EPUS_07526 MGCGSSKKQIGSDLPQRKPPSSAQLSQSSASSGQPPQSSSRVPS SAGAAGAAGAGAAGAGAGAAGAGAAGAAGTGAAGAGAAGTGAAGAAGAGQPLQSSPQQ TPGILPSSQQKLQPFPKPQPKLSQRVSPPAGEPVRLLPPGSHSAGPQTLSAAHIFEQL SRF EPUS_07527 MANALEHLANQTKLEWTASLNVDFQPEKNYRRTSIIGTIGPKTN SAEKISMLRTAGLNVVRMNFSHGSYEYHQSVIDNARESTKQQPGRPLAIALDTKGPEI RTGNTPGDTDIPIQAGLELNITTDDKYATASDDKNLYVDYKNITKVIGEGKLIYVDDG IQSFEVLKVVDDQTLRVKALNNGQISSKKGVNLPGTDVDLPALSKKDLADLEFGVKNE VDMVFASFIRRAEDIKEIRKVFGQEGKEIQIIAKIENQQGVNNFDEILKETDGVMVAR GDLGIEIPAAKVFIAQKMMIAKCNMAGKPVICATQMLESMTNNPRPTRAEVSDVANAV LDGADCVMLSGETAKGSYPKEAVKMMHDTCLLAEVAIPYANLFTELRGVCPRPCDTVE SVAMSAVSASMELTAGAILVLTTSGTSARILSKYRPVCPIIMVTRNERASRYAHLYRG VYPFCFPEPKPDFDKADWQQDVDRRLKWGIAQATKLGIVKKGDGIVCVQGWRGGMGHT NTIRVVPAEEDLGLKE EPUS_07528 MASDGFNGDTSLIPFGNANRQVVLRKDTSVVVYDHASREMATLN TERDVELEHANCPTCHQPWPAHSTDEEDVAAISSPDVIDPQYFRMLSHTLNGSPKSSP STSPRRRFLQSLTSPSSARSDLPSGAEFVGSSPAPTEAHGISSSAFSQDYFKKFFVEE KVLGRGGKGVVLLVAHVLDGVRLGHFACKRVPVGDDHEWLKKILTEVQTLQNLSHQNL VSYRHVWLEDVQLSKFGPSVPCAFILQQYCNGGDLHNYVCGPAKPSITTAELKNRIRR RSKGGTERPDDVDKRRKLSLDEIYSFFKDITSGLRFLHASGYIHRDLKPSNCLLHQME GELRVLVSDFGEVQSTTAIRKSTGSTGTISYCAPEVLRRESPGGPYQNFTVKSDIFSL GMILYFLCFATLPYRNADVLNEENEDLDDLRAEILHWEGLDEQAHKRPELPEKLYVFM RRLLAIKPQHRPSAGEVDHAIRTGVGLSDFSSPIDPASSSSDELTQSDRITLIDSPRR ESASTRLSTHRTAQSTSIAQRSPSRLRQLSRPATLPTGPEHNDTSNRARSPRQQLVRV SSPTQESSHEFPAPSRHGSWDVAASERVPLLLPPPARSHQLPASPAFLKSSTFWYGAR VLALLAKMISLLSACGERAVNPVVVYPLLIAAILEFTAPFYSGVILECPALVSLIRTC RLLRKHAESELLWANLIRECLPNFPDSPYPSPSFRSLYIAHHPYWFLPKHRVWFSDNA HTGKLVLVKYDPRRGSIEGYRLLGSRGESAFQHWSFDSEVIIHSFDPIVTLHHDRPVI KLEHIDPARQLRRQGWWEGEIHMKVGSDAHDSVFSTFFLSRALPKQLQDPSMEMWPPK LIPTHERVRSASQDNFHGWGHKPQKYEEISTKTFRLRTWMQFSVGGSAFRVRMGEEVS TWSTLDPDLYTPTKEKPYQGIWVGDYAGHGCEFLLVTQRETVQGEAYTTRNRAWSRWN SDLPEDEPDTRIIMANTPHGEDRVQECSSFKGRIEAIKLTGDVNVPRGEYTWIANDIG SAGFIRVAEEEPFRGARVVKSRAHIAARGFQDDEFVPSQLIMISHDRLAQYWVPLGHI SFYERVNIDSLISSSGRTAREPA EPUS_07529 MSQTFVADGDTESAPATPRSPDTLDSISALARFEFEPGKSNDGT KVLMVEWEDYNEARGAEGLWQVSWSGKTTVLPADERTADNVRRFYFLLPPGTKIPPYV TLTYQPPPKSASSVNKPQHLRINPLPAIFPPELGVTARTSGRKGVLHTIWAKKRLQVL DKEIRREQDFNLEGIALEMALSEKEWIETNFGVISKVPALDISSLPKYPGGPMSPGLT SPKSPGGRRLSEKLKGLSIGTSEKDLAQRTEGVNTPSHDVHPLSPESSDVAFSSFNSF RRTPPNVPSSTAQKKISSQAPPDYVKRQQSMASIPSINFMSSLQETDEGDELFAKALS PRTPDVAKSPFSFSSQETMPYTRAKKDGK EPUS_07530 MTKRKRTPSPSPPPRKRIIFEVPLSLWRFQAIARELARQSEVPV EQIKEPSDPNSGDLQRVVPGAPHFDAQTFQTNSLRFSPYSHQSFLPSQPWPQTVKPTS GSLASNPDVGASAAHVMSSSEGAPPPQDGHNRQVSTGPDDQLFDELNDALHAEEGDNA HDPASQSQYLTGDNRITFDPRLQSDWNGMRQEPYQPFTQNYPAINPQMTIAASQPAFP SALAHHPPRNSSNNFLPNSTAHPGPATFCNPKPPPPPAPPQNSAQAKPRMPGYYKSSL KLWSQEGRNAAPPPPPPPPTIPPSAQATHNFGAMNGPYPHLSYPATPTYPPPQPLAST PVNAFTNYGTRDVLGRLKEEEGRVHRPPGAVNEAVHNVGRHLHGLLFNSLEKQSRDII STSWPPSDWPSSPPAPPLLLLADLNLLLLLRALRIPLPRLQDQHPHQHQRQDGIARTQ HLQVVLAPDHAFARIVITARTAHVLQLASIRPLRRDAEPLDDVGDVDDDAAHVEDETA AVEEHVGLGRSVELGDEPREPDEDHRVQDPHDEGRGGVEQAEVRLGLGEVGRMPRKKA VAAWDMLILGLGERWDQGGLGLCREGPRLTADDKEGCEGEGGHDA EPUS_07531 MPLDTLFSSPASSISRRSSILSRFTAPLANRNRNIAEFYVEPDN PWKSYFPGETIKGCVVLTVAKPLAITHLVVCLHGHARVYKNQVVPGDGLAASGFLGPG RGRRGVEYLGNGFASLFEDEAVLCGEGLLKKGVYKFKFELDFPPGGLPSSIDFERGTV SYFVTATLTRPTTIAPTLSCDRRVFFQDSIDVGHIPLPTPRTISLEPISKRSRVKARV KQTSLDKVRESSSSRAMKRTETEHTQLSDPSSVDRPPLSPAPSDLSSSSAITTSSQSF QIVNDSPPTTGASLGNSETKSSSTSMSGKAITASTELLKAGALPGDTIPLKITINHTK PNVRGLVIVTLYRQGRIDMHPPIPLTSRNKGKRSEYEDVYPKSRTGLGGLHFSNSSPS SIFRKDLCQTSAMMVVNPHTLTANVRASIRIPEDAFPTMANVPGSMIEFKYYIEIVID LCGKLGESRFLPRISLTSPPQSFISTNGDSGLQVTSHWADNILDTAQLRRGKNIVVCL FEITIGSTDSTRASKKWNGVRRAESQRSSNMAETDPSPAEAEYHMENGYYSEQHGYGG WNEDQGHWTETQLPVPRFVPPPEPEEEVDEKTRLRRQEALLLPSQPPEEGGFSAAGDA AAPSAPFIPEEDGLYEDYGVHDGLEQSYPERVTPSATSARSVDTIVPSYFNSPPAVNG YHDDPTADDKQELERQRLMTEASAPPADDDDEGTAGPSVAVDAATAPVVTEEDEYNAH TLCNDHVAGDNLPRYRR EPUS_07532 MSRSKRSRNESQAQQPPSEDTMQNERNPTRSATVYDAVAGRVGS NGFIDESTRPIRTRNGFPSTESAFEPLEVLLRRATAPTEGRADVYFADENLRPEQKLP DSELLKASHLYASDFYGINAEGRGKRDFKSLDETALIALGILLEEAAVQVPGKTGDMV LVEPEGFDSFIPESRATQMQISGRVPRVETPPYVSEESSDESYDDGMRQRKRMRARDN ETM EPUS_07533 MNHLLLSRRLGLVDPHAFQRAQYQRLLYAVTPEKSVKFGIQRIP GNLNARGLPSSSGLREQTVDEAGLAGKNAVAHNGGVNVLAIDAQTGKYLISGGADSAV RLWDLEDYHPDEFYTYTSAGSILRSSPGAHTHALTSLSVYPFDPLPSTILTTSYDKTL KLFSVDAARIAPVHTFELIDTPYAHTLSSIASSAALIAVGTAHPAVKLLDLRTGLAVQ TLPGHSGAVFSVCWSPRSEHLLASGSTDGRVLFFDVRRAHSAFASLDNDDAIGVLNPD YASNYQPRSALDWNAKAHNGPVTGVCWADSGRKLITCGHDQRIRVWDAATGRNELVHF GPRIKNGRNGQFMPLISPVECSRPGKGSLFWPNDEGKGEIFMMDMNEGTTLKVLRTPG TAKSPQKTVSTGKLTSGGRINSIALRFKGDSDSGLELYSGHGDGSIHCWKGSPTEDEE VEDQIHPEVETEQKRKRKRDLLEGLVDGLVKKPMTFI EPUS_07534 MAADGHKVSRIFRRPADISSFVSSVISVSLSPLGALSYPSWRIS YHDLERIVTGAAERRPFDESHPQKRCPGILATSRQSYHEVRRELYSNRMITFHISPNP KRASAKRSGEHPRTDVLDPLGSLWCLRPRYHKPRKAEDQNMLSWEQLPYRKLKGVNFE LLATDPANPAELLQVWNELRNGKQIEVKLPFEQKYKIKSMPSSQRYRSTPFQTNSPIT RPVRKKTWTA EPUS_07535 MSHETSSPTSSNPPDELPSPTMKNKHAQNYRLRVTAGLSYDTRT HVVVPVNAPQTLHLDSEHMLLSVAVRIKKFTGLPASLPTTSPYFTHPLHLSDQYSISF SFIPKVDIPGNDLLFGNNFDRPIRDRLPPGFNQAFKIVKWFIDPGLEGDPYADRPYLY GPALSSWNILRIGEKIFEPQKSRSNGDAEEEDSPSTKEAEQTEDTDAWKIPNVESFHE TIVEEGAEGSGAKVRSSLSIPPDFSARKRHFLTESNREKFIFEAGRLYQSDFGNPYLD FNDFSLKLPGFSLNVIKYVDEKTHELRYVLKNRTTDDIYAVVLFSLLFGDERDEIGQR DHPPLDEEAPKAEEAVKEKINNTSRATDLKFGDEKPPGEDDVD EPUS_07536 MSDDTTNLIDLDQPIALRRGKRRSDAISPSKPTATGSAVHNSAN GAPHTPARTRSKKRVRFSNPGSLTATSSAATSGLTPYIGRANLSTPRRRASTPTAARS EPLEIQFTPFRAVLDGRTQRRLRRNGLSQEMNAYESERRDKAQLEKALHAKDHELEEL KKQLEAAKHENAVANHSTVEELSSSQKIVEAELEDLRQSFNEGNMPAGFDDDMDINWD AVNVHKAVAPPCPASDSGDTIPIYDDDAAVLEPNTPDGRNRISTHSEPVDTTIDEEIL AMALDLESAKQEKRKLFNDVRGDIPALQAVPSSSTNLGASLHFEDSPAPSTQEQQQRQ PSFTTSTTSLPSPPKTFYADLSKTLKSTIHRAENAELVLHNLEADLRALGFSPSDDDC SISSILDNIRAHFRQARLDLERALPGETPSGLHESAQVLPAAISKLKLLSRRVQEREA ELRSMHEQQRTLKGNFECGLRAAEKANQRIKELEEAVEEGADDLLAMRMKLQATEKDG RIEDLEAKVAAESTGRHKAEESAVQRLAKIEALNAVQIQLSTLEAQKAESELKLQQSS QEKDQQHANSLQSLNTRLSTLSTALATSQAESTRLNALIRKSYVRGCKVRGANWEMED EDDVAEAGGEGEPLTPVSLVRFVDVEDGEREAGLEREGSEDVNGEGEVEGRVEVERGR RRSRSSSVKKSNLSRGLGIEMSMGMGRGLDATKKGASGGAGQRRKRRLDSGIGMGCLG EEEEEMETDTELMSSDAMLPSSDPDFDIHEDASEVALPSSELGMEGATVVGQE EPUS_07537 MAARTLRIGLIPGDGIGREVIPAGRRILESLPSSLGLKFDFVDL DAGYDHFKAFGTALPEKTVETLQKECDGALFGAVSSPTSKVAGYTSPIVALRKRLSLY ANVRPCKTVLSPAFKSPSTSPIDLVIVRENTEDLYVKEERTYPDPNGDGQIAEAIKRI STQASRRIATIAGEIAVRRQKMRQKANGQAVQKEAMVTITHKSNVLSQTDGLFRSTAR EALSAPAFSSLKIEEQIVDSMVYKLFLQPQYYDVIVAPNLYGDLLSDGAAALVGSLGL VPSANVGEGIVMGEPCHGSAPDIEGKGIANPVATIRSVGCMLEFLNQPEAADVIYNAV DEGLREGKFTSPDLGGKASTKEVTDDIVRRL EPUS_07538 MSTESDEDCNFYDAAEYLLSGGGQDQGTSKPLSQVNKGKGSFGE RQAARRSGRWSDVAELLSSKKVGYHYPYFSLTAEQDFRILWIDPGQDDEPLRCKLVPA HLPSGRDMASIDYKALSYWWGEDTDDKQESIEVIFPIKTVSNFIGNSADEITFKIGSN LLAALQRIRQPDKGVSCWIDAICINQEDKEERSYQVSRMDEIYSAASEVVIWLGKGAS HKEEEETFKHLENMLNLKALDQMIDRKNANEYMRKWALIVKMMRKEWFSRRWVIQELA LARKATVYFGSAKLDWQPFAQAVALFDRIRQGLPGAYLDIRDVRRQGATVLVDLTTNL FRKSQDGRILQRRWTLEALVSTLLPFESKDPRDIIFALLSIAKDTYRPNNATMKDSDV RLSNHMGDIFEAQTYPRLRDLKQEEAVKVFDTTSRVALVNSGSLQISTVAEVLAEEEP LMFEGTQAGPLSVEARVDVDNIRAREPRSGYGTKYVGLGVSMKTNYLDERIWPLYEKT ILDVYTNFISYCISSSHSLDVLCRNWVPPQKYWSQRERYEDLKNDVSGEHREKLPSWL PSIEKSSHGNLTSLVGIPNTQSRSYYFASADLLPQVNFGLGEGEGVKSSAIHRDSEVS SSKYNGILSVKGIKVGTIEICTLEAFAGMIHETAFEMFGWNRDEKKLKHFEEFWRTLV ADRGPGGIPVPA EPUS_07539 MSPSGTSGTGGVRNLRAIFENKPSEDQSASPPSRGRSPAHSETS LHSRPVSNVRATFIAVERPGDSEEGQHWGLRKISDVTTMADIKQEPDKDSLANGADDT SNGAANTSNSADKTSDGADKTSNGAAYTSNSADKTSDGAGNTSNGADNTSDLAPQPNS VHDSSESKMLQSIAENSTPGGLGGILKGSDFEASPPSKEQATGARELPKSPTPQRTGS PSTRPNANPSPGSLPKSTIGSRIKDAVSSNHNHPPPPTKLNTTKDTKPIKPPPTRRVP PTKPSPKTPTSPRSPRAPRPVLSPRMGKPMTRGSGAKPMAVAESAKNVSTSDRAKMPP TSPKEPRSTALSLQGTAAARQAPTSPKTNGSQKDAKPSSPEARSRSTRVSASLTAPTA ASAAKSGNPTTLGRKPTVTRRDPPPAKIAASTAPVIKKPARSSLSSQANGPGKAQSGT SAAKKAHGEGFLARMMRPTTSSAQKAHEKVAPSSPPQAKRAAPAQAAKGKARISMTIS DEDKENSHQGGQEIDPSSAASEAKQPEMNGTSNAEPGPLNDITPAANAEHSAAEVEQA RSNGTGETEPQPLNGTPSAADDMKHTVSSHTDALEVSGA EPUS_07540 MSGMQNGHIASEADGEILKHRFASMSLRGENVANAMNDEDICPV CKNSTYLQKNLRFKINTKCYHRICEGCVDRITSTQKRCPIAGCTFAARGVIPRRGDYR VQTFEDLAIEREVDIRKRVMAILNRREEEFYSKRAWDDFLEQREELIMNLVLKTDVKK TEATLNKYKEANADIIKANAVLERNAAAQFESCQILQKEQANLRRQAAQQTYEDDQFH TLTAGKSHTLGLAAGCRDVKAGAMEVTHDDGPVSDPSGLVKGLRKLRAPSPEKVYDPF MGMATTRDYYELRADYPSMRLTKAKNDTRTRAGGFDFQAYYDESLLRAFAGLGCFVDK EKTGQGQPATRELATVVAAESSPGRISDEVF EPUS_07541 MDDSGLAEIERQRKELEVNINKLRKALQHWQTCEIEYEGLREEI ASLPDGSNTNDVLAIARDFGAELVDERELQTIVGGQECPRSQDQIVDLLAKRVDYVSR NARTIEKQISDAQKKRNAILLAKDPDYREDAGLPLTDITEELDDDGNVVSSKVETPGS TAPQLVEVLKKAGVKDLLEQDGIVTTTKRQSNGAESMSKGERAMESCSRIEPSTRKEP AASGNRLERQAEQDSTLDNSLTRSRGDGNGGHRSSPASSETTRQGSSQENGTHVIAAP VSLTSNAGLDKTGESNDNERLTATHPDDTPEEAALRREMIQYGLGEVGAIVAELDLEE NESNVSFGSDFDEDDVEDDVEDEDESENESGMVKHPALSKKYLEKMRELEEKHGIKGM QNLGPDATRLPQEVRKELERPPAAEAARKAALARVAKSDVSTERTPGQPDPEPKSSKP RKKVAFADDLDIAHEASPQSLFKEVPIPRTRLVQPRLVEPVKNSIVERQSTIEPPDRT QPTVQAVARKPSRFIAAREAMPQTPLLPPSPTSQLPQHPLRNASKSPMPPNTIHTDSI IERVPSSKASPPNPDDLDETIHRQEIAGEYYKIRNRMIQRQGGFVGDGEADNYGEEMT PLPTIDENGKEKKISRFKAARLR EPUS_07542 MAPSPQHPDPPRLGTVLSRRNWEERRPNSTISSVVSHGSAGDGT RGSFDPENEALVSTGLLNNSPPKDNHESPKNKAYQIDMKAAKAAFSEGSESGSDAADI SIEIGRGGRHGRDAMEDSRNDDSIHSSNAMIGEYRVMYSPPLSTHRRASRTSNNDQAR NLRQDAQIRLASMASQKENRDPASVPFKSFKSSDVSSKAKRKTLGEINARASEMYDGS LLNDDRPTQPAPITKTTRFSHSKRDPSNQLRANSTNTATDMADVNQSYALPDLPNLSQ LMSGTIQDRTPGRIRLASHPALAQTPRAPFHKAIDTVPIPDDEKAIFVSLNFLQGKVK DLEAATREFQKTIEELKTKNLVLKAEKRDLKVDNDRLRKENVELRTGYAQFLESRQHE QHHQEQISPQKRRHTNVSVQVNNEVSKIVKERQEEDLFSLTPTESVKIRPMSAPPPQR HESAVKSSSILHRSDSQKYAVSGNARHSKKVVIEETTTRSGNNDRQNMARDQTRTQDV TYLSTQPVPQYLLDLRNMVEAERVDAKKRKHGKENHGATSSASHRLHEMTAASTTTDQ PTLPRKSSMKDVSRRDFNSESAAAVLARVRAEKESMSQQAYDQDISEDITDQASCPLS QTARRNSDPNSTAASNTSRRRRRTTVFEHENMTSGFIIPDITIAQPTSTAAAAGATTS QAPEVNIHLTDAAQHVIHSVNPHDAVNCIVCSRLTSTTTNHSNANCGAEKKTIVVPKP IPVSDTPVSPTSDNPDPTLRPAQPPGVALAIVLKELHDQHEHLKARLATAQAKYQQTD PRLSRAKRGRLSSEIEKLQGQCDRLADMIYRLYDVVEGQRGSGLEMSEEEVEVTVIGL REVLGRMGKEDDGGSDDGSEGDAVEGTE EPUS_07543 MYMPAEFSLLHHSQFKRDAQQESARDSRVIFLSVSAAALIFLTV VLLSYTYIRRRGVHRKLPPSRTSWQFWRTRNKSYGQVSTHDRSQSYSGTPTTTNDRAT SEDESAARSAVEAGVDRNTSVRSVMTLPAYSQAPKESEQVIGREGERAGMDTVVEFPE TVDEEEARRDEEMESLYQIRLARRREVEERERRRQERREARARGDFERLEELRLQSRL RAEAANAGSTTDLTAATMLAEHQSRGRDRRVSSVSYADVGHVRHDGSRLRANSEDSER GGLLDGAAPMGEGPSQGPSQGPSHSRVASGSSSFFSFLPHPRLRGRSPSSLSISTAAS ELDTPQPVLITPPAVHEHVRSSSGQRSPRGYDLAHNRTSSSSPAMPSFTTEISGGSDD VGESHIPPPLGPSPPAMAPPPEYDDQWGDAPAYISPVQDRDVAATSSFPNNHIGLHDE AIPSRRDGPPMRIASKAPRLPQIDTLPSISVEGATEPNTPASPVRRQGTSVEP EPUS_07544 MHISTLFGALPVLIAILTPTTFAQTWSFCNPMNQTGCPNDIALG ISNYSIDLTSQIMSTDVWNTTAGTINYDFKEGAQFIIEKKLESPTIQSNFHIFFGQVE VIMKAALGQGVVSSIVIQSDDLDEIDWEWIGGNQTHVQTNYFGKGNTTSYDRAIWHEV QTPQELYHNYTTDWQKDKTDFYIDGQVIRTLKKEEANGGKNYPQTPADVRLGIWPGGD PKNNEYTIEWAGGAVDYEQAPFTMAVKSVRVSDASTATEYHWSDQTGSMESIEVINGT KRAFGLDGNNSVSPAQTAAQRWRALSDTAKIAIFCSVGGVLLVAMGIMAFCCIKQRRA GKKERVLADAEFEKGTAELLAFRAEMNRQRALRMQDTKSGLGGNGYQKF EPUS_07545 MSEQPPPLPPGSGRRRSSITEMFIPRPAAGTYTSSYSPPNPSTP MGNTQGNRRGMSITTLGLGGNSPNSQNSPLNAFAKQRRASVASSASGSPEFKNSFEDS AVIEEDHQSGGPTNTPASPSFARRVSFGAQALRDVRSTGAAPAGEGFNWSEALRDRTR RQPSASASGNPFQPRQRATSISTMEPPKEMPKAHAPPLTAKLGKPDPLGERMLRGDFM MD EPUS_07546 MGAQKQWDRYDDGDEQRQEPPRPANPVAPSPSPAGTAQDSAAPP TPRPGPGSRPPPQNLPPGLLSPSLQPTEPVPRRWHGIRGSTLGPAPPPPFFSPPGPLS QQQIQPDFNPWETAPAPSGSGSSSAPRPPSHQQTQESRGPLLGNWTAAPAPSGSGRSS APRPPSHQQTQESRGPLLGNWRATLSSVPATASMREPSRSASSEGEVSRPPRAPLPQP RPRPQLTASASTSSLHPRPGSLGLAEATAALELQLQQRQERGADAEGNAPLFDGLMTL PRGGSLPSGNRSGRVGRDGRGAGAGAGAGARAGWDGRGGRGPGVTGRGAPAA EPUS_07547 MYEGTVQSLIYTDVKSDNVVVEYRLQPNGEVDISRVNLADPESA AKVRNGEHITGIQVGNVMWRSPEAQAGIGITKASDVFSFGIVCIHAVLRLHIFGFDRE KLVEGVEPEVEVLERMISYFGPVPLGLLEHIDNDQWCLALMTLNRSFSKDKPRRPFAL WVEEDFPNLDSNLKRFVGRMMNLDPAKRATVDELLEDPWWD EPUS_07548 MASPPKLPCRLGALINFIPLNEESPMSTRGQRLPDILHQRSSLT FGLRERRSSCTRSDDEARGPRDEVHRLWLGDIDLRRMGSVHEILMTPQMRSMRLIGNS NPRYKWQQYYKTKEELQSMKKPIRKYYERNNFLITQYLYIDRLLDSSLPHSLIQEYNQ PASIGVHVPQTISEEPQSARTNQSSQDGMLSPIMSGDTQGRVKRTPKNLYKLSDESTP LLGDNESYPDICYDEDEGVDSGSPIVTVALYINLTANFVLLVGKIAVIVLTSSLSVLA SLVDAALDFLSTAIVWVTTKLIARQDQYAYPIGRRRLEPVGVLVFSVIMITSFFQVAL ECFSRLTSGDHSVVELGTPAICIMVGTVVIKGFCWIWCRLIKNSSVQALAQDAMTDVV FNTFSIIFPLVGFYANIWWLDALGGLLLSFYVMFNWASTSSEHIRNLTGAAATADQRN VLLYLTMRFAKSIKYIQGLQAYHAGDKLNVEVDIVLDEDTSLKDSHDLSESLQYVLES VPTVDRAFVHTDYLAVNLPSHMNQQE EPUS_07549 MEKTVKGATKIKLAAPKSKYVEAILSATNGGEAGVAEVFRTLQL RLRDSTWTIVFKSLIITHLMIREGQGDLTLRYIAESPKRLAISNFTDVQTQGTNIRRY SDYLLEKARGYRDTQTDFVKAGSGRLKRLTVDKGLLRETEAVQEQIRTLLRCDMLESH DPDNEITLTAFRLLTLDLLELFKIMNEGTLNVLEHYFEMSRPDAERALNIYKTFGRQT DQVVQYLTVARQYESLTRLEVPKLKHAPTTLTSSLEEYLNDPDFEINRRQYLAQAQGA KGSSKPAFKSEPTSTPFDKAKKSDSSFPAEANSPAQASTQAKAPAPDLIDFFDSIEQN QQPIAQPTVASQPFANGYPQAQHYQQAAFAAQQTGYNPFSVNQQQTGSYAQPLAQQPQ QPQGLQPDFTGAGFGGYTPQPQPQPSQYNFPSTLPSIPQNGVASFDPQQQAPPFQQPP QQIQSQAPSTNPFRQSVMPTGAPTSPAVSSSPSIRQSTNPFAKSLNPQPTSNFPASSP PFSNSNSPFTSPPPQTQQQELQQNSALFSPPQQSLQTPIQTLQPQRTGTNPFARNNRS PAMSTSPTEQQQPPPPPLLSAVTGSTNPFRQSQFVHQQTGLGWQNVPGSQGTFGGYDV NHVNTVPIFPRPGAG EPUS_07550 MGWLWGGNKNNDDRDPTRSLDPTLKEFLNSQQPRPYVPAQAPPA AQPQPQSPLPKPSPSPQSSPNRSPADTNANVSPSNPIVPPASLFPDGRYAHLWSTYTP QDSITATTSSPLERLVSARKDRRELLSRAALENCAFEHELQQTCFTSGSAAQRAKARM TMCREETKAFNRCYALQGKFLQALGYMSRAGSSDDDEERIQMHADKLYHRMMDYEAAV DRARRSGTPQLVELEPALVEKKIRELQMQQGLEELPPHERELAVRAALQEAKMTYLYS EEMKGYAREMDGKRKERQRRLSRLVGEPIGRFVIPDPPPDQASGVRRQASGVRRQTSD SALQTQGLPL EPUS_07551 MPSNSAGSSGPDTSRRRSRSRDPSSGASEYPRPPSHPNRIPHIF ELPRRRSYDPWLDEAKRNLLRRQADFFNRVDGRRPFNHTKDVDKELKQALINTSVNMT KEEKREIRRWAIHHHITELMKIFRYPRERRKMLDRHLKALLSVQDDDGGDNMWALQGV AQFSLALEKLDLEIINLEQGDSQPDGPARAGAEQEEQEEQQAARQTSLDAASGVEGPD HRVPSWHLGGTRPQQRVPRV EPUS_07552 MSLPGHDDNDSAVDSATFLATAIRELASLNVTLYEHAAKIPSLP MADANSPEKASGGARSSQRTTLFAIDETFHPIEKLIDGVNLFSHAATNTPARSSTSTK PLDTDQGMMICHLPLAPNRLDGFTYNLQSTRRGDETGICSQDPARRSDQEQNLPVQSE KEDEDVAPQEST EPUS_07553 MDPSTRQERLRMRQRGAGTRQINAVDFGISFDPTPGRSARNIPQ PASQRRQSRTPLTARGALRRPSRTPSKGPDPANNASSLGQNSVQTSAQLRPDIYDGPL EESETGSRKRRRLSMEQDNAQLITPATSHASTSNLSVNRSTSRRPSSTLPIEIAEVAE QSQHEASGKSPGATAGGSPGANKENTVPGNRPEKTPRSVLSRKISRTGVSSIRSQQSR ASRSSSRQSDDVSLLSDAGDVGSGDEVLVAVLEDSDDESLDENTEGMSLMTAQGELPD TTMELVAVLDDTTFNEDGMEHRDVIDPTLLTEGQAETPASRLANIKKKRSSIKTPRQQ SGAPRVSPDTLQPSSDTSVQQAQSDLPSPHQAQSTPHAHPASDDSFYQANHEDDDETY LQPTSPAIPTPKTVAKKPARKRKAVTNRRRSSASSSKSSRRKKKSTAFPILTHRLTNT SALPTITEEPDAEHEAENSDTGPGQQQQKSTKFPDRPTPNCVDVLAQFCREDIEAATD NIRSGSTATKNTTAEGPSNTTSRATHKRKRTALQAFASELETRLFTLSTAVEHRLSLE ARLKQSAREKSEMQARWVEVRRERERVAVRMDEVRRRKEERGRELNGVRELSEMLFRT ELEVERGQRQQQEVGDEGLEWKLRTVAEGVSGRVGGGLLERVREFNGVLERLVGVLDQ RGTS EPUS_07554 MSSEVDPLLPRNQPAPEISGYGYSKPNDFINVNYESTPPSYRND NGQEENEENDGTESVTSNRSALSTICSIFTFGVLFAFIASIALPLGGNGGNRQPSPEP VPGRPSSTINERVDKILNGTPLIDGHNDLAIFLRFGYKNQIHTSKFRDKFEKGGMEMH VDLPRLRKGKVGGALWSAFVPCPANASYDFSDSVYGQSVSTTLSQIDLIRRIQTYYPK IFIPATSSPTDALYLFHQNHSLVSPISIEGLHQIPQTSPFTTLRLYHSLGVRAATLTW NCHNAFADAALIPSNDTGLTVPAPPYRNGLTAAGRQVIKEMNRLGILVDLSHTSYWTQ KAVLSNNTSLAPVIFSHSSAFTICPHPRNVHDDILDLVKATNSIVMINFASAFISCSP PPNSSVLPDFYPRNNTLHQIARHVVYVGEKIGYDHVGFGSDFDGTLLTPEGMDGVDKF PDLVAELLRMGVTDEDVAKVVGGNILRVWQAAEDVSARMHEDGVLELEDEVPDWKVD EPUS_07555 MPQHMVIGRYMGVRRVDLSALMLPPLVLGDDITLDSSNEVETYL ARMNNEHLTPEVKSILRQMLSRDPRTRISAADALETEALRCIISVDNRGSGDDPGAEG KGHKRIRT EPUS_07556 MAELYPDLKDRPVKETVCLFDVDDTLTPARKSVSAEMLKLLSAL RHKCAIGYISGSDLPKQQEQLGTPSLPVTTLFDFCFAENGLTAFRLGQSLPSNSFIQW LGEEKYQKLVKFCLRYISGLEGLPAMRGTFVEFRTGMINVSPVGRNASSKERDEFNKW DKESGCRKKMVEALRKEFPDLGLTFSIGGQISFDVFPQGWDKTYCLQHLEAEADTKKG LSGINYKTIHFFGDMTSQGGNDYEIFSDPRTTGHTVKGPEDTMKVLKELFDL EPUS_07557 MANHRAVTEIPRFLLPRITWSQVVAKGATSQLTPKVRTHVNRPA PPPLHRLPQIRPLNIISSPQLAHITHQRPAKFERPSVQRIPVPANAPPIRHNGVYVAT FKPAKRAFSTSRSHQKDHHFDTLKFVQRLKGEGFSEEQAVAMMRVLNDVIEESIQNLT RTMVLKEDAERSAYTQKVDFAKLRSELMNSDSTETQLTRSSHERLSSDLAKLSSRLRD EISRTQASVRLDLNLEKGRIREEANGQEMRIKETEARIEQEVAGLRERVEAVKFSTLQ WLM EPUS_07558 MTQKHHQEEISGPIDAIEAAPLAKDCPRPKRARIQSRKARENQT QSQLSQVLSLRPITSTSEQKPISNREDSSSAGNNASQSKQRHQLLRQNSSQRSIINTQ HKKEKEEWQIQVESTQNKSEKLKILAEQIGPSRPYPEKLNVPIPSASGQLKLRAHELK PIDLFYRFIPKELFMDIAEHTNEYAFEERSQEFDQIQRVWRDVTAADIGGYIGAILLI GAQPGGRDLAYYWNQKENYPHWPVAEYISLLRFQQISRYLKINRPGPLPDNQWYKKVE PLATHFRKATTPNMYELPQNLSIDEQLVKFKGRSKHTIQMNSKAAGKGYKIYSLCCSN GYMVDFRFSSATEKVAELGGYPEFSQSEAIVLDLAEPFYVLHLDNFFTTRKLYQRLYE LGIGANGTAKAGSGIPKELAYLRDAMTKQNDYGEWFNYVVGSVNCIAFCDSASKAMMT TIHDPTMEEYTYFKRIKRPKASLKYAVDAETANAANSANAMKFVCPAPSTNSTPPANS TLSANSAPPTNSTLSAPPALLANSTLPAPPALPANSENKPQYLRKLYALDRYNQEMGG SDNHAKLNSYYSRWDVDEDRILRFAETHWAASRKKLSRRWNRGQIKNAFQTVIALATW DFNDEHECTKLQRPLLSDKHFEVVSQTSAHFDDYISNVHGIEEDDAFAVMAERENLRN DSVLQLKPKRRSDRSIHKSSSRRAIRGGSGRNSSDSEKTEASDDDDNDDKVTISEVEL ELKPRKMKGRMKSSPAKGKTGHGRVQRQEKTRRKNRSIAEESSGKSDEDWSSH EPUS_07559 MFGLPVSTNNNVFVWPFRHFVTYESQIRQRLDTENAPIGEMKHF RKRNRASVAFEAHGQAVNTIYGADDEDGAEGNQDDKKYEAAIRLSGQLKCRVQFMDED MKEIFFIKKKIADSRLRSRECVH EPUS_07560 MIPNIYSKGLSGLTLQQNMQLCAGQNYSVLMDYRFKEVDPDNNC RLTITYPFYGGVTGSVETGSSVVQPGQWYTTASFFPGLPETEVGSRLFGVNFFCRYGV RNEISVDNVRIERFDGNVS EPUS_06075 MTSPDVPKAVSSSSLDGVNVCRTPSKSSASGRNGTAGPAFPPPK TDKPRPHVCTTCSRSFARLEHLKRHERSHTKEKPFECPECSRCFARRDLLLRHQQKLH STTTPSSRPRTGRRESNAGAGRVRKNSVVNSISSGVRPRANTLSHIDANTLDLLATSN STGRSTNAGHAHRGSDSGVMGVPEFEYRDLSNTTHSSLHTLPRLNTNALAIDHAGGLR TAPAYGGFPNELSMSTFVFGPGNTINPNQLHMGGMQGCSMDDTSLQYPCGGPPNSRML QGGEHFDWMAQGMENQRFFPQTNESALEESSPSVMDTNSPDGIGDSMLECGTSIFSSL AVSAPPVWNAPLVPQGQMMTSPGNFDYPASTFNEMLPAPQGTISPKSLLAQGGSLLEM NMPSPPPLNAIEQPSMLPGFVASGFQVPDGTRTGGASTASASSFDSGLLQSSITTVST DLINEHTRSSVLASLLQYSGFGQQPYSQPTTNSPLSPDSANGFKTLSLNNFPSTSDLQ RYLAAYVQYFHPHLPFLHIASLNFESSEYTIPSRMVNRESHFDHNSISGGGGCLMLAI TAIGALHEHEMTPSKKLFEAAKHMIGGFLEERRRANLNRNSFEPRHQGEAETTPLWLV QAMLLNVIYGYNCGDKTSAEIAGNHCATLVSLVRGAELSRPYPGYMVMTNGTLNPDFQ MNEPQSNGWSSVTNDIDDIDWLEWKIVEERKRTLYAVFILSSLLVTAYNHPPALTNSE IRVSLPCKESLWTAENAVTWRSVSATAGADDPPIMFNAALGHLLTASQRQHHQPGSSD SLYGLGIRMQPLSQSDLKPSTFGCLILINALHNYIWETRQRHLGRQWTNHDTEQMHAH IEPALRAWQAAWAGNPTHSIERPNPFGASPLSADCIPLLDLAYVRLFVNFGRSKEAFW QRDFDAMADGLALDTDLVHDVTQSPSSSYDESHTTTSTNTSGSRRGSFIELLSTESGQ AKISGHDGSLSMLGPNSTQQPESLALRERHLRKAALYAADSLAMSDKLGVSFADHTSR ELPLQSAMCAFDCAQVLAEWINTVQDRIGRYIGIIGSTEVDNFQAANLILLEDEDRDL LDKISHLLHSAESKSMPGYGSNSPDYIGYGTRILLNTAHMLERAAVWPVTSLMARSLE TQAARTRDRVLASIAIRT EPUS_06076 MAWDHLSINRPHLVYIILGGFTSMFMLCSLFIKEKLYIGEATVA TICGIIFGPHAANLINPLEWGNPDQITLEFSRIVLVVQCFAIGVELPKSYMERHWKSV VFLLVPVMAYGWLITSLFIWWMIEPLTWLESLICAACVTATDPVLASSVVGKGKFAKR VPKHLRDILSAESGCNDGMAFPFIYLSIYIARYRPDTDKVAFYWFCYTILYECIFGAF YGFMVGYIARHAIKLAERKGLIDRESFLVFYFVLALFCAGSGSMLGMDDLLIGFSAGV GFSNDGWFTEKTEESHVSNVIDLLLNLSYFVYFGTIIPWDQFNDPEVGMIPWRLVVLG ILVILFRRLPIMLALKPILPDVKTWREALFAGHFGPIGVGAIFVAILARAELETGSTS PLEVLPPPGSRDYNVIFLIWPITCFLVIISIAIHGSSIAVFTLGKRINTLTLTLSYTQ DNEVGRSWMDRLPRISASNSKSLSKVDTASLDSGSNEKLEFPPGTLGPTGVPGNFLRR QKDDREKPGTAPIGRSRKRRKWEAGRGPGGPISQSAIAPQRRSETALKTFTEDGPDVT DERSADVSPESQQRSYFEKPSASSSTSPDRDEYGRKRPSADVEAYEEGDDMIIEDEEG NVLSTFDERGRSPEEKASKIEEERRKLAHEESGEHAKHTHESHAKTEGEDIQKAVGEA ADHPYKNLRKQFGSWRGWGKQKDGEEGGESSHTKSKPPERKRGPAHAYQFSNTIIVED EDGEVIKTYTLPGPGKQGKTPGEPQSGWRKMSNAADMRRGLGRMGTWVGVGGDKAHGH SNAATDSSAATAEGQGDSAVPRKGSVDRHEESADFFADDSLRVTISHIDRHGHGLSGT SGTSQANGITTGSGRRYSAVDFLKELQQMDPKAQIKAINASNAPDYVKSEMRRVAVQD SRENSQTRGQQDGGKRTNATPSNSGAPASRPGSTARATSDAAISSPPPSSRNGRPDTR RAETDISKPITSNSRWNGENAFQRPGAPRRTTTASTLEEEDEEEIPTHDVSSTLAKVR YSGGGTTAADSRRRKLATIVSGSSKEEEGDETGAVPEGEERETAAEKRRRLAALGVSQ ERAESESEDEDNLPSASTYADAGAGAVRTNENGDPVLDRQQQKQQMRPREMLNPGPKP RIQWGGVSGREAVAQKNEEGDEETASPNAKKGIKALFGARKL EPUS_06077 MSSSTVIIPVPSSLAQIDVSSPIPTSATKITNPNAANDQKSRTT LLTPQTLPSQTRKSLLNHLQATDSIPTLNDLLTATLTQSTTTKPPGAAEWSSRVHTLA LELLRSGACAPAFSELMNEVTRRALSAPPTDAAGLQGEQGARVGVGTIILPNGSYGED GLPDVKIPKEVIEKGIGFLKERVRGDIEIVDDDGNDKEEGEE EPUS_06078 MAVVDVLGMMGTDRSDGTHPFFHNRFIPHRAVKQAERSDNVETL SQPANFGHSNSRKRKSRPLVERPSNTHMPQLTTSSVPMDAKSAVPSTQEHNMDKTPKK KVLKLNSNGKLLSSPPVVPTVATAAETAVEMSPKATGKRNKARSSQTRCPSLIVKIGY GSEDSDQRLRVGSTISEVLRSDHKAHDSEKRSTPKNERTPKKATHPFFSGPPKPIASI AEGKDSKEGEELDLDASVSPTKSVSKPKSPQTKPAWKDITFSSKRSINQHTEAWPTPW PTADTQRVDGLWPSLDPHFSPKISLFQAYKSKGRASTEILADEDVLRRATYACFGGLR GSDIYTPRYPARKILSGEDMSRRLEDKLLLDSIHSATQTLRSSLSTKLTAFDQGKCDH SSWTTKSAPSRAEDVLQPGREAVVLRDWVKKLTVTSVGGGSHPMEKPQHSKPQARKRG RPKRSDDVDGFIVSSEDEADELTEIEQSTRESTPFIDDMKRSVLRTGAMTSSHDKGQA KNTILISGPTGCGKTASVYAVAKELGFEVFEIHPGTRRGAKDLLEKVGDMTQNHLVQH QSGEGSVEASPSTPIDDVVVQDEIASGKQRTMNGFFQTQARVAPKVGRKRKAVVQADQ KEESSSKKPRKAQKQSLILLEEVDILFEEDKSFWSGVMSLISQSKRPIILTCNDESTL PLDELPLHGILRYLPPAEDMATDYLLTLAATEGHELDRDAVLYLYRSQKHDLRATINE LDFWCQMGIGSRKGGLDWMLNSRTSSMDTEIDESRPRVFSTHTYRRGMGLTPQQLDMD PDQLVFDAYQQLEMPIGTWSADADRTFAGSPTVQEASFRADLYSDLDLCQRMPPATDA TDLQLRIAISLTTRLSPSPPTQNDIVSAYVQPAVPPKLTRSTLTQVFEPLTNEKPTFP PSQGRLAPSLDSPTSTITSDIAPYARSIVASEQKWGASMAAPKRNTRASARPEGHFPK RINGKYVLMTGGEGWQDLWVRPEEDGGRDGEGYAHVDVDVADA EPUS_06079 MLFSKSVPSTQSATSMRKRKNTSPSGGRSKGRRIRDARHTAEFY QSGAAGNTSISATHQNLSKLFDKYRDQPKDQPDRIGIEGAQKYLNDLRVGLEELVHLA LCELLQPISVGEFTREKFISGWKSADKAGTNQSYDNIKAQGEYVKTLRKQLQTDRSYL KQVYRYTFNLARPEGQKNVPVDAALDFWKMFFDADKGGIEWNSDWTPWLDWWLEYYEA KYKRPANKDLWNMVGELVNKTAEPGGENMDWWSEDGAWPMAVDEFVAFVKEKRGDVVM DTS EPUS_06080 MVCETDGNCVFRSSPQNSPQLREGRLLTQEDLPARVRHHHFKQA VARDPEEHTRAKASTSPTLVVLLNLYVNSDFDPPSNNPYHNLTLHSYTLKFASFFYPF SWPTCTSFTRIKDSLHYIRVPEPPPPPPRPSASPQPPYSPGFVPPQFGQQPSLQFVGP NAYNQPPSSPYGAPQHSPQPYGQQLSPSGLTYAQQHYQQTAPGSAGYGGYPPQSNPGY PPQSSPGYPPQGGQQYGSAPGTPANPQQVQAIKQMLQVRIQEKNLHAFYPSNSPLLDQ IAQRAPQQVDRLAQAWSIGRELAQDIVQLALYDIVLYIDDSGSMSFDEGGERIKDLKI ILSRVAFAASLFDDDGVQVRFMKTQLQGNNIHSEQQVNDLVSQVNFIGMTPIGTELKK RIVEPLLLQPARAGQLRKPLLVITITDGQPTGESPNVVEDTIKLARDGLAKTRYGRGA IAFQFAQVGNDLKARDYLTALDQHPEVGDLIDCTSNFEVEADELSQIDNTLVLTVEGW LLKLLLGSIDPSYDRKDEKAKLRQQQGSFGAQPPAQYGGSYRPGAYGQPQSGQGQQHG GYGQQGGYGQQQVGYGQQSAYGQQQGGYGQPPGGYGQQQGVYGRPPPGQPGQPGQGGY PPQQQGQPGQGGYSPQQGGGYGTAPPPPPRY EPUS_06081 MEDDYSIVSGENSYADRTRQHWINRIDTTSASRRGVMTMDEVRI EVSRLFAVLKQADSLSTHILTAEHLSVTDDDNKRFLIASKLPDDTRSQQLLSEMLMES PRHPLDHRIRIAQDFITAVAYVHAMGWVHKAIRPAKILMLHAHDDVPFPQGLAHAFLV GFEFSRRGSARSTGTGHWGWKEDIYRHPDRQNRDGVDLEIYYTPMHDIYSVGVLLLEL GT EPUS_06082 MVGILAVGLGTITITLSSTIAADFHSLALISWLGSAYLIALAST QPMSGKLTDVFGRRSGFIFCLILFACGNLFCGLATTKAMIVLGRVVAGIGGGGINSIS TFIASDFIPPRRRGMWHGIAMVVYASGSGFGGVFGGLINEIWGWRSAFLALTPLTVLS GLGVTLFLPARVSTKQTSLRSRLGRVDFVGSAALVSALALLLVGLNQEDLDAVSSHYR LEVLLPLAAAAFVAFVVVELYYAQEPVISINLLKNRTVLGACIASWFTSMSLYSLVFY VPLYFQLRGANTSETGVRLLPEPVGGALGSFSSGIVMRLTGRYGVLKTVVLATWVAGA TGFATISMNSSLALPELYLFLVGFGQGGNLTVMLLALLSSVKHEQQAVTTSINYAFRS TGATLGITVTSAMFRRLLTNRLENVAIPAGLDSEIRTGGLGDVLHRCKHPEQATEKYC GHLADGYMHALHGTFLLAMSLAIAGFLAGMVTKNNQLRTSFEDDEAAHATRISRDNSS SYIFED EPUS_06083 MNGSFKYGEATRPDREYQSPDLYAVAYPEHLFPQAKSFWQHTGR GISSRYATYWLENAQFLQHSRLKSFSTPCGLPIKEADSAKVILRKRIATLSSTDTVHV RLENVYLYPTGMSSVCNIADKLQKLNTGRSDGCRVAVFGFLYVDTFKVLSRVYGFEYS LYGHASPSEIETLESELAIGVRIDALFTEFPGNPLLRSPDLKRLHELSERYDFILAVD DTVGTFVNVALFPFCDILCTSLTKMFSGACNVMGGSLVLNPTSPRYERMQNALSAEYV DTYFPLDVLVMEANSRDFALRVYKASDNAETLAKMIRKHATVSEVFYPKGDSSQHIYD SFMRPGGKYGFLLSATFVSPECAIAFHDALDVAKGPSLGTNFTLACAYTLLAHYNELE WAAKFGVVEHLVRISVGVESEEWLMETISNALNAAERQLGSA EPUS_06084 MGRTQASVSLPQGRKMSNPSESASPSAAVYDQNSLDMFEEDLIS FSRPLSATQPAPFILSNNTDFMKPLIPSPEAGDDVAWDLAEECSLLDFDDMKQVPAMF QPQLDAAIQSRMPTAASKPDSWPLAAPIQNSTYPSQGRADAALPSSATNLTPMNEDTM GDDDPEVCCDNAKDENVPCHFCWPCHAVYCDDCWSRQMAHKKRAQTAAGIPHEKTDPS VAKKIRTALEANLTDKEQAMLHVQDEDTSWFGAWKDGKDDMVFQDYGRYANLMAESSA RERKVRYPALVSFVGQTGAGKSTLIRLLVELNSSAKKRLQVPVVGSIKQQDTPTSGDV HLYSDPLTSEGRNPVLYADCEGLQGGAREPMGAKSRNKDRRKGTGEEHRQRYISRKPS ARWSHCTSEREILWATNERTRSRDYIVGNLYPRLLYTFSDVVVFVMKNPRVIEVVIEQ LIQWAAAALETSSNQPVLPHAIIVLNASENAIDPELWDVDKSTAALMESVRRAVHQNH TLRKFAEFWRQRERSIESIESLMLSYYSNVRVVRVPEKGRPKLIFDQLQRLYKEIAKA CEQSRESKHKVRMLLNSDDLQPYLQYAFDHFCRGIDFPFDFVQASFTHNPIPSDFGGN ILKLAINIMEVWKDKLDGPRIFKELSYIVASCIMLDSARHRTLGPAEKVFPEYIEHCD DALDDFCDRHWPCERMSSGGRCVNVKAGHNTKGHQLKSGQVLAVGDYESSFTPEKYRL VFRDDIYAALARLLQRLQESLSSSESSHLELEEAANIHRDSVLRNFFKHLQGPKEFIS STACFSCLVSTPEHPLPCGHVLCTPCVKAFGTPRGRTFVDMKYCPLHENEAESYFGSV CPISIKPAAAGVRILALDGGGVRAVVELTILQQIERAFGVELPIQAFFDLIIGTGTGG LIALGLGSRTWSVKNCIKNFQYLCERAFTKRKGSGLPGMEFLVSASNHSRYGTKPLET ALQAHYGNENLFGGTRLSSDPLSATSRPTKVAVTTTTTNGTVVLLSNYNRTNAKEETS YQFYRSEKPHTEIKTWEAARATSASPRIFRAFSHEASSQIFQDGGIFYINPIEIAMQE KQLIWPDIADAHPDIVLSIGTSHKSRSSRQIAPPARIPRYTKASQAKRLAKLSIDQLQ STSNSEEIWRNFVQNAPLERPKDRYIRLNLPLEADPPKLDEVSSMTTLQEETQSYYTK RREEIRTIADRLIATSFYFELKLKSAVEHEDHSAVRLTGNILCRFPPGSKEIQALGEA FRKRSTDAYNQQYADEDPCFVISERWKSDETLKVVIGTRVVERMMRDSHFHMDQVTFI VSKRIAETNISLSFGDSPSEPIMHPISGFPKCLLEEERKVLSKTRHSSGLSRTRTPTR AHHRGTWTLPDHHKSFDPISRYQHPDYVFPGDADSRAMSQMKQKFAPVSGASSYESSV PNQTLQTTEPESSNSTREAPGFATNWPEMEELTHRPPRGQQADLVYELDSSEVYELAT PFPYQTSEPSPAPPYE EPUS_06085 MTDIPLGVIRAPGHAWNDINAGFTNLLSDLSVEALTLYGSSDPG SFSTMEHVVRFKLAQGPPPGTDENLAPGSKKGDVLSMINISVIWWTEDGKMTQEFEYG RITWKGFTSDPWDPRAEPMCGKAFHVGLKG EPUS_06086 MNWLSQARGAGDTGQESSLRQDSSNERPGKFPHLSEFMTIDKDY QPKDVPGTQAPVDSGHVIYIKDTSAKDTRINDRVMLVLFHNQPFSHTCLSFCCHSDFS GETLNHSHALVYTDQGQQTAPQLSQAQQPNIGLPRLEINLRHNNTPFSLQPDIYLNLR EHWNVEQEVRFVILGRVERSSYQRLVPEIERLFCQSISGVDIVKDRGNPLPPNGQESA SLERKKSNRKDKVHGTTREKRRDNISHWPISASFQRQEPGA EPUS_06087 MRGFLSMVTLALTAIFSMSAIITATPIAVADAIADPAAEPVADG SIDVSENTGPVLDARYKVVPLCPALCTKNAQCGICKKNYCKYLSKQSYTITGQPKIRY GTCANR EPUS_06088 MTLLATNTTALFLPQDSPLSQVELLTRDREPHDQHPDDWSPPKP ASKGIPLARHQVENKAEYELGESKVTVSTAAVQTSGPQQCDLGSQWLPLNNPVEMERH LRDDHQFRAVVIRQKNSHSRLSVSKDLFGCLSSKCGLPAQFQDIIAYFGSRDLEVEVA TPRLRFARLKRPRLSASSNGFECMYGLRFVQPNNKGDPQRMYSLRQLAVYNKEDVGCN LATWLLVAPPPNVTIAFNEYFEMQNTGASMNAFGVHALLFSFAISSWRPYLVHLAEEV HQHANTVLLAAPAGHGPISLNKCGDMQRLKLLGDAMLDATLTLSTTHDALNRLTASYT HYVTGTDVQDEVAVDEFEDDVYHQLLELSREVDWLLQQTDGMRQKLLGISHLVSSFMS LGNGRALEDLGKEAREENSHIHNLTKKSTQDAAAVKVLTIMMLVYLPATVVLNFFSTS FVDNTTSAGSSRNLIILANWWIFVAISVPLTVLTFYVWWVFAGLQATGKYPPWWRKIA RMKLIFRARTTSQTDEENGIDPVSTAATIASCDEKL EPUS_06089 MALSIFTDFMRVCKHHYQRRRGKARRRNSTKHHDPSITPVDAVE RQIPDQGLPERSSQVPLAENVVQKPISSLVDHNLVPPTTESSNFTDVSASKPEMEHSP PSPRTTGPESPSHDSGSRMSIASSPPEPFPRLHHEPCSPGKSHRLQTPHTLKHRTSDR SARRQYYDQDRDRVIPKEILCYLKVIFDGKPLSERTSFEKLDWQDDASYGTVHKAAQN CLNASPETINKNVWRTDGVCKLFREKQECSSKALETEDQWSEVLHLIIAEFVTIPGNE YAKFHLEITWTYAAVDLPGTEKTQKRRYSREIADLIDSRIKTNWRGRKFIPQKDLHAI MSPSVIEHLINKDESLANTEHAGLGDSPAMNKKKFIDDVASYHKHLLALCVHEDLPLI CLWQMLYLGPKPAQFPLGISDKPPAAEKIKFDNLIFKQWFFTAYRFPKPTDAKVHCFD LTDNDILPIEGCGKTKPIGSGAFKTVYEVQIQPGHHRFTADKTSVFALKEFKNGCAFE DFERESKVLRKLVNVPHKHITPHYASWRQGGHFFMLSPLAFCNLKGYWKQERPRLSDP DFVLWELRQLRGLADGLRFIYNLGGWHHDLKGENILVFKEGDSGGPTLKIADFGSAKI RARRSAPRDESSPTDHYSQGTSAYEAPDYVIRGETSRPYDVWTLGCIFLDFLVWTFGS FPSELETFSKQRREIKGGQIGNDTMFWYVERNREGYKTHWKPYVSKRLHELEEQCNSD KGRAVFKELVLTTSKMLRMDPSKRPKPHEVHNDMERMILWAEEAVKEPDWNIQNLLIG SVPSTSKSETSGEAGGDEVPVEAPLGHLMMDNTAFLRAPMASGRNRTRNPLSDSPTLI CMGLNENLNLTENGPNNPHLVVDNCDHISDCNTLELNSTTAPTLYGSHMISPDRDRFA EARTAVPSTTASDSHLPPTPHPSIP EPUS_06090 MQASLLTARAVTSNPGLATDCLFLKEKAFLSEDRSNRCLIDAHR FLSGPALATVDSCARSPADDVAHQHAVRFKCKSENSNFSSQKIRDESQLRDFLRDRWS DYERPKRAVRSSYVLFIDRTTSVNALNLTRDLAEAVLSSLQIFALLKDFILLFDRECA YAFSHVDQEKKDENIGVSPDTYWPVRRTVIYHRYSFVKARKQEIWIVIGSRSGSEHLR KHILDYQARNIGSKSNPSPFELHLMIQHCYMGNWRPRLGWMAEEIWRQVNPAMTTPFE HSNCSSRERLIRKLLLVDQSQARQNIWEKLDDSMLAVEALIETIELLKVNFLRFEGDP KPRAMTRSQETIARSFDIKKREAEAVLKQLRALRGKAESTSSLYARLTALQSKSIADQ SAHKSRITTTLTFLTASFLPSAILGTFFSGTKIFESPHGQVKYWAVIFGTTAGIMLLI WALGLLYGWWTVEDLTEGLRPLGECFSWIMEKYAERKERVKEKAEARRSEAARMETKR GYVEHHEISHFR EPUS_06091 MTKADPTTLFMHGAWYSPNHFQPIMLLFGHAGYPTDAKDIHGEL EMLVEQVGQEVVVVTHSYGGMVGTETIHERASVKDQISTGV EPUS_06092 MAANYWTSTQRQFWHFTPSQLVSIRSALAAQHAAVINQYPTSDP RHILIFLKDQLLRLSRRQSRQQCLATTLVYIHRYLLTHPLQTINPYLLLTTAFYLASK TEESPHHIRAVLGEARQFWPEFLLGDVSRIGEMEFSLISEMRSQLIIWHPYRTLIELK GPEKEGGLELSNDEVGMAWSIINDSYLTDLPLTCAPHTIAIMAIFLAVAFQPTNKVTA GVSGLPTFQIPSTPNPIINNTSSASFQGLGGRQGMSAVINGVVNSSASIGPIVNAATD SKMKSNTKPVPSEKMQRIIRFLAESSIDLEQIINATQQIVSLYEVWESYSEKAVKESL ARIIRGRGLDK EPUS_06093 MDEETDKERDERLEKLWNTLDSGGDGQIDIKGLKKGLKKMDHPL KNADALLHDVLKAVDTSGDGHIQYNEFKVFVEHTEKELRQLFESIDRDHNGELDKEEL KSAFRRAGLAISSAKLDQFFNEVDVNHDGVISFDEWRNFLLFIPAGTPNLRAVLSYYT STATVNPEGDVHINDSLQGLGNYIHFQNTHFYDTLLNTYLCSSNTLLAMAFVTVLASS PDLGEGLDMEEEAEIGVPRGIYRFGYFVAGGVAGMVSRTATAPLDRLKVYLIAQTGTK AAAAEAAKEGAPIQAIKHLGQPLIDATKELWKAGGIRSLFAGNGLNVVKVMPESAIKF GAYEASKRALARLEGHQDPKHLHPASQFLAGGVGGVVSQCVVYPLDTLKFRMQCETVS GGLHGNALIRSTAAKMLSSPSGMLSYYRGLGMGLAGMFPYSAIDLFIFENFKRLLLAR KARIHRCHESDVPIGNFATGAIGALSGAVSATVVYPLNLLRTRLQAQGTVLHPPTYSG IVDVTRKTLKGEGVLGLFRGVTPNLLKVAPAVSISYVVYENAKAFMGLQ EPUS_06094 MTDKLPHQLLQLFQPRPPLRYIPPQDPAPEDRASGGSKIGGIAD FLPALATYAATDEYHPTESWLQRKDRLKREKMERLEEMKKEGFEGYKPEEDPQIRGDA FKTLFVGRLSYEAKESDLEREFGRFGPIERIRIVKDENQKNPKKQHRGYAFIVYEREK DMKGTAIPFLPLLYSLAAYKETDRVRIKDRPVLVDVERGRTVKGWKPRRFGGGLGGRG YTRQQAVRPGGFGGPPGPGFQAGGFRGGFAGRGGGGGGGFRGGFRGDRGGGFADRGGG FSDRGFGSRGGIGYQGAGGFGSRGGYANGAPPLNAPSGPGGGGGGGRGGYGGYTNGAG AADNSDRGRNGYGDRDGYRGGGSAQGTRYDSRDPRGGITGSNREPVVARDRGYGGDRD RDRDRERERGDRDRYGSGRRDDDFGSRKRYHDGDGYEDPRIKRRY EPUS_06095 MPLQGIRTVATNQNGVGAFILQCKRLDLHYCDCSFLTSELLPRL SRTHPQVSFHISPRPNKHPVLRAHYINGREKAVCVRNLEKEQIMQKCELLVQSQGGKN RKIRGRNVLSENESVRGIWSPFHGGIKDV EPUS_06096 MPTFHSHSSGTLLEEPSRRKRSYHDFLHSESRTTSPSSSPPRLR FSITPSPSNLSSMSRPSPMPPRRFIGDGFDYRRPVMSTSGNQQAAQTSTPTPDVIDLT DDSDSLQTQPILRRTSAERNSPDHRPGDVRIWGCGRGPNGPLMVSDNEFLALFQEPPS SPGFEILGERSVRPEPPPPPRRSVAERRPTPYVTEEEQEAAEAPPLPPAPAFRGLPYG LASWIVHAGTRLAGERNVPQEGLSNRYTYSNGVYTQVPQYNSGNSWQQPQPQLQLPRI SALDAFQRPRLNYEAQGFELIGAAHTSPPPPRPSSPYKAPRTSAPGFTRKVEEDDIVV CPHCGDELGTGDGDLKQQIWIVKQCGHVYCGECAMNRHVGKSKKTAPQAQGKPEPFKL CVVQDCKKPVTSKTALFQVYL EPUS_06097 MSSSTLPYHEPGIVDILIFSSFLLLLNVVNSIIDRYLFCGLIGQ ILIGIAWGIPGAAWLSVPLQETIMQLGYLGLLLLVFEGGLSTSWAALKSNLLLSVAVA STGILMPMVLSFSLIGIANATPLQALAAGAALCSTSLGTTFTLLSSSGLVTSRLGVVL SSAAMLDDVVGLVMVQIISNLGTSSSFRAVTVVRPILVSTAFATLVPAVCLFICKPVI HSTSLLRQRSIPKWMQYAPNRSQRAFLVHVLLLIASVAAANYAGTSNLFTAYLAGAVI SWWDSEHAATRQTHNDELNIPVSATASVTDRRESRSISPAPAQSPTGINEKKVTDEQH VISEIPTGSKVYIEFFGSAVHRILKPFFFASIGFSIPISKMFSGSILWRGILYSILMM VGKFACSLWILPHREWFLALRTGKSSAKHLGIQDLYPSAILGFAMVARGEIGFLISSI AESEGIFSADKDSQSSAAPELFLIVSWAIVLCTIIGPVCVGLCVRQIQSKMASQGTDR QDEDFDNTWGIWGMLSYASLRSAGNSSRLCGRFDNAMVMGKECSKGNYYNTSPALSEF FHWLQRAFSSDALRKYVTPIEPVSIVLRLIKHPVSGEAPQVPVASRKSGTIYEKRLIE GYISENGTEPATGEDLTVDDLIELRTSRTVRPRPPTLTSIPSLLGVFQEEWDALTLET YTLKQNLAQTRQELSTALYQHDAAVRVIARLTRERDEAREALSKVSIGSRAAPTNGDA MQVDSSGLPEALIAKVDETKAMLEKTRRKRPIPEEWATSEEISTFKPQRTSESLYPGG RILAINEKDDLALVGGSDGIAGVYSMSDNRVLHALKGGGGSITSGVWAGPKAVISTSA GRVKVFERQEEAASFSTHAGEATAVALHPSGDIIASVGVDKSYVLYDLTTSAVATQVY SNSALVCVQFHPDGHLLAAGGEDGQIKLFDIKTGAQAATFDLNAALKALYFSENGIWL AAVTDSSTTISIWDLRKMAEIKSLDTGSGRIDSISWDYTGQFLLTGGPGGLTVQHYSK ASKAWSEPLKSAVPAVAVAWGKGAHNIAALDEGGVVTVLGGP EPUS_06098 MTFNAKNLHYEKQEPAFLRRLRSENTSDRHNISIARPRKPRLEV GDEDGPTIVDEQGEEVTEKEYQDLLLGKTKNASRPEDTAALAKPDSNSDTERPASDST ANRAQEQEKRPVTSGGGLKKRKQGKAVATEPEPEPGPEDSGLVNGLPDTKKDDDSKAK MKSRKKKIKLSFDEPGT EPUS_06099 MNWTMPHLVRVSQTFTSFLTPQKPALQQRYPTPHPPYVLKQRLN EEPLAPPTKSKDWLGASGDEEDSEDLEGDTLFEEIQKPEKRSAPSDYLEQRPAKRLKS NDEYRPKKNDERGDFEGETLLASTPHPEDHAPANKKEQEDRQAMPPPPKPHISLDDKP YIPERDLIDRNLQNNIIRSPSMSHDSDFSEDEIFTKKTAVRREDRSEVNPQLEYDRAK RFAEAQQLPEDGSTWTEAEKDLYFRLAMRGFEALIPEHWAMDFKTLPQLLFSTGGREP LIVPFDKREFRAKHYLRTLFGIAANVRDKRLVGLRAEPTIKRTVRQFISWALFDAGVH PLQRPRAIPVHALVSMRPSETTHDVFKRMSRRLFKLARRYQDAHRVRQSIEPPSQHHA PLPMPAESFEYRPGVSGSGYDDANMPTLIGLMIASSVVAVVTLDSRSTTLESHFEQEA ARRHSSTSIASSNHEERSSPKDESPLRYIAKFDFSTHDGYDVWDGFAMAICVMRIRKT MLELCERAESEGQAGRGCLWERVLPAKLKEMGDEGDG EPUS_06100 MDAPDGNRDVKLYRASADLLLDISRRLNELLEDASDATKPSEHQ LWISDSRVERIISLLDLFQEWPQLLDPLLPKLVNPLIGAFLRCLVNGRYGSRPEASTP VPKTSPLPSAICCILNTLCKVRGVKVITRFLNNEPRYLEPMLEALLLNQPNLVWQERY IILLWLSHLVLTPFDLQSVSSSDENVCFKLWADEEFKHLRLPIISKALLHAGLENLGV AGKERESASLLIVRLALRPDLQRVGLLDELARLMLTSLLGYAQRPTATTYECLGYLSI LSVIMKSGSADDVSPFLISTFDFGLKAATAGSAEFSMIRASAPARKMLVVILRASMLH LISLNSSNRVDIISIDTLYEMLEGMVQYLLNALGDKDTPVRLAASKALSMLAHKLDEG MKAEVVQAVLDTLEEDILYEKPDSGDPVTFSPLMSEDMQTMLRNVTAVNSLKWQGLLL TLGHLLFRRTAPPDQLPPILRSLLSGLDFEQRSAGGTSLGGVVRDAACFGLWSLARKY ATPELQRIEPASVLPALGTTLPTTGETSVLQIVANQLVVSACLDPSGNIRRGSSAALQ ELIGRHPDTVTAGIALIQVIDYHAVARRSRAMLDVAHDAAKLADVYRWALLNALLGWR GVRAVDEESRRSAATAISELASMESSSDSFTVLETLQKQSSKLPIENSKTIAEMRHGL LMTMSRILDTLDLGSTIDFNSTPRTPIASLLHLLLQGISIDGQLLGSLKGKFIPELVL EGAVSLISSLMRRAPETPSNFSDDLIDVLELCLTRADHDIALVACADAAFELLRRLPE TRKVCLVENWLNAKHQRHSSFSSKGRILALGSTYPVMPKEKPASQTGMKESARSRVFA RLRDFIQGEWPIETHVIAIRSLTSIIPHLGEEDCAGPLCAALDNYTNDQRGDVGSLAR LEAVKTVSALLSSLTQRPDAISEHDVQQLVQRLFRLAGEKLDKLRFEAWKCIQVFLGG LSINSPQFFSHVSDVSSQRYFSALLQYLQVPWLQSCILQGVVHSTTSGTEELMRVSRL TLIQSIVNASSDCRAGRVLEMLVTLLETLYTDERQATPLLETIAFLLEQGIEPGGEFG PRLSARKLWNVVRKAHFKSTNIRKLEAAVKIYGALAVREDIRSNALGKLRDLLLHPYP SIRKAAADALYTELPNGDILTQDWSRPAKDLKEVVAGLTRPNMEPAGIA EPUS_06101 MSPHQRTPHHSRCKAKRLLLRDIRPTPNSRNQSLLRKSDLCPKQ CIYCDIWKLALLVYSRQAQPTSKPNTPTTPSFPTRQQSQSYYSPRPSPTSPYPPNDHQ QALRAVEAGHTLQRAQLSSILSICTVEGAEESEGLRIAHDRCLGAVLELVRRERAIRR EMSQEEEREEQEEQDRRERESRQREAMLKRERERREREFEYRYAGESCGYGSLRRGSG GVVVSCAM EPUS_06102 MGNSLSTCCKGRTRDGIYEPVLADSEREAVADLLQYLENRHETD FFSGEPLRALTTLVYSDNVDLQRSASLTFAEITERDVREVDRDTLEPILSLLQSPDIE VQRAASAALGNLAVNAENKLSIVGLGGLPPLIRQMMSPNVEVQCNAVGCITNLATHED NKAKIARSGALSPLTRLAKSKDMRVQRNATGALLNMTHSDDNRQQLVNAGAIPVLVQL LSSPDMDVQYYCTTALSNIAVDAANRKKLAQTESRLVQSLVQLMDSGTPKVQCQAALA LRNLASDEKYQLEIVRARGLQPLLRLLQSSYLPLILSAVACIRNISIHPLNESPIIDA GFLKPLVELLGSTDNEEIQCHAISTLRNLAASSDKNKQLVLEAGAVQKCKELVLQVPL SVQSEMTAAIAVLALSDDLKSHLLNLGVFEVLIPLTDSESIEVQGNSAAALGNLSSKG NAFLSFGFLPVLIFVVGDYSIFVRDWTSPNGGIHGYLKRFLASGDPTFQHIAIWTLLQ LLESDDNKLVSLVAKSEDIIQMVKTISEKNIESDDEDADDGEGEVVALARRSLELLGK GPKTTLVEG EPUS_06103 MPGIVKSTAKRVLADSSKAVQNGVTVKSPQSAKKRKLNNDAPSF SSSQAGPNGLKGKYGSSQPKSQFESEVLERMTQDIHGLKQTNAEKDQQWQRPGLDDFD EKRDSLCFQQIECEEGTLHGGKTVLKLFGVTETGHSVLLHVTDFLHYLYVAAPTSFVR TDCEPFKAYLETKLAQNSPAIHSVQIVMRENLFGFQGNQKNPYLKITVTDPKYINKLR TTIENGTGNYKRMWKNVEGGLMTFDSIQYVLRFMIDTGISGMSWVEVPPQKYTVMPQR DRLSNCQIEAFVHYRDLIAHSNDGEWAKMAPLRILSFDIECAGRKGVFPEANQDPVIQ IANVVTRYGESKPFIRNVFVLDTCSLIVNTQILPFDAEEKMLMAWKDFLEEADPDVII GYNIANFDFPYLLDRAKHLKLQKFPYWTRLKNVQSSAKETNFSSKQMGNRDTKATNTN GRIQLDLLQLVQRDHQLRSYTLNSVCAHFLGEQKEDVHHTMITELYNGTPDSRRRLAV YCLKDAYLPQRLMDKLMCLVNYTEMARVTGVPFNYLLARGQQVKFISQLFRKALEQQL VIPNLRNEATDEQYEGATVIEPIRGYYDVPVATLDFASLYPSIIQAHNLCYTTLLNKT SVEKLHLKKDEDYIVTPNGDMFCKSHIRKGLLTQILEELLGARKRAKKELAVETDPFK KAVLNGRQLALKVSANSVYGITGATVGKLPCLAIASSTTSYGRQMIEKTKEEVESKYT IANGYSHDAQVIYGDTDSVMVKFGEKELQKTMELGQEAAAYVSSKFITPIKLEFEKVY FPYLLINKKRYAGLYWTNPDKYDKMDSKGIETVRRDNCRLVQTVIETVLRKILIDRDL DGAQDYVKETISSLLQNKIDLSVLVITKALSKSDYTAKQAHVELAERMRKRDAGSAPT LGDRVAYVIVKGAGGSKNYERSEDPIFVLENNVPIDTKYYLDNQLANPLGRIFEPILG EKKAASLLSGDHTRSISVAAPTLGGLMKFAKKTLTCMGCKKPLGASDEKAGAVCENCR PRLGELYTKQLNRVSELEVRFARLWTQCQRCQGSMHSEVLCSSRDCPIFYMRMKARKE VEEGERELGRFDGDVGVW EPUS_06104 MASDQDRLMAEIYRKIDRERALMHAASSMQRATNNATVQAKADT SIREGRKNIEYLEERLRTLQLQMQPSGPPPPQHGGQGQYAPQTGRPTMGSPRPTPPPK DPNGRSYGGEDHGDYGDLGPGGYSRGGSGAMPPRAHFADTESFQTVPKARPNFSKLDL IKYDTPYLGPKIQLMLSQLEFKLSVEKQYKAGIEKMVRLYQDEGDRKSRADAEARRIE SNQKIQLLQQALKRYADLHVGIGEDDAGDDDSLNAPNMRKPLTGHLQMRIHAVRDVDH AVASRFSRGPETFVIMKVEDNVRAKTRATRTDKWTEEFFNLDIDKANEIELTVYDKSG DRPTPIGFLWVRISDIAEEMRRKKIESEFQQNGWVSADKMANGGSPNKPGSQFQAPQT QFMAPGDVAGQNLALGPSGPQQSSNTAMIDSWFSLEPAGRIHLTMSFQKEMGARRPFD IGLNRQGAVRQKKEEVHEKQGHKFVKQQFYNVMRCALCGEFLKYAAGMQCADCKYTCH QKCYPKVVTKCISKANYETDPDEEKINHRIPHRFEGFSNIGANWCCHCGYLLPIGRKN AKKCSECGLTCHQQCAHLVPDFCGMSMIVANEILETIQRTKNHNKTSSITSGSGLSGK TLRPESRRPAALPSSASSGMSLSYDAGAPPPPRQNYDAGGMDHHPKQPSMDARSAAAK SFQQPTSPVRPQAPRTGSANDAILAASAAAGRPSSVQTYGKQPSAYERQSTAPPPQIA SYDPSAYEKFNKSPQAPRQQHIPQQQYPPRTEPPRMQPPPQAQPQAPPQQALVTKPET PPASAQGSGRRIGLDHFNFLAVLGKGNFGKVMLAETKASKQLYAIKVLKKEFIIENDE VESTKSEKRVFLIANEKRHPFLLNLHACFQTETRVYFVMEYISGGDLMLHIQRGQFGL KRAQFYAAEVCLALKYFHEHGVIYRDLKLDNILLTLDGHIKIADYGLCKEDMWYGSTT STFCGTPEFMAPEILLDKKYGRAVDWWAFGVLIYQMLLQQSPFRGEDEDEIYDAILAD EPLYPIHMPRDSVSILQKLLTREPAHRLGSGPTDAQEIMSHAFFRGVNWEDVYEKRVP PPFKPTVKNEKDTSNFDTEFTSVTPVLTPVQSVLSQAMQEEFRGFSYSADFDSGYDVE RPKEPSLPLAAPIFGLSLSTSKQAFDSTKASDETAAEDTQTDILTLAYISPLRATLHL DDEAMGSASWAGAGARWLVLIDIDTDTDTYSKEKRGAVG EPUS_06105 MSASHPSMNKWRMGASCLMVFTQGIHDSAPGALIPYLDQNDRIG YAFVSLIFITNAVGFILAAPLVQAIEHRFGRARAYVFASSLNALSFIAIICMPSIPVV VVSFFLLGFGLNNVFCANLARGTVILGFMHGNYGVGATVAPLVASSMVSKGNQWSHSY LIPVSLVLVGIGFVGWTFWDYEKDTRIRLLTALEHTASRQGAEASDFPRSGLLKRAIK HRTTLLGALFIFAYQSAEAQVGYVTTADFWAGITLERFLLVQAASGVGERVSVYALIV GAAACQLLIWLVPNIVGNAVAVSLVGLLLGLVYPCATIIFNRLLHRNIQMSSLSFIAS MDSSRGAAGPVHKHCKRGQLPVSVARAELLGNVRSLTTHVKRDLGFQGKIGDHVLLTY GDTMYSDANYTDSWRGMTSDSMAYATRNPLEVLDVGLNNEGFPAQFCPIEKKYRENAA ECAMGITNVVETSPGQGILYFLKNHRPGGENHLVGAGVATVTMSDDYPAIPQVTRLSE YWWDGETEPWYGDVGAIRSGDHIYAYGHAKSTPYVYVTRVLWQNATNLSCYEYWNGKT WQSDRLYNVGENEGVFWQVNQGQVIWSNYYGCFMFVYSDNWMNNKVLAQVAYTPTGPW SDPVLLYQATPITNGSSIYAAVPHPYYDESGKNLVVTFTNHPNLIQAVNLTFT EPUS_06106 MGSTDAKAYLASLEVVAARASSGKISGPGRYQELEVWRGVEFGE GDGIQEADRMITTDRTLEKIIDQLDSTVANAEKDFDIETETTTQKDEEKLVSILSGFP EKITGEIVFCDADNINTDGIYPGKLTYQDYVPVEQMAEACMQNYDPAISSVAKKGDIL VSGFSFGCGSSREQAATAILAKGILLCRFRINNALIALEVPKLVERLRQSFPVPSVHQ KQDVTGSSQSKESFDSSSHAPLKETPRVLTWRTCWSLNWDVRRSKVEIDEGEGKPKWS VKVSELPANVQEIIVRGGSKK EPUS_06107 MSETSARVFLTGANGFVASHILAKLLERGFLVTASVRSESKARE MLALNPSGEGALNFVYISDITAEGIFDDVFLKSDYAFDYIIHTASPVDFAATDFQRDL INPAVQGTVGLLKSAHEFGGSNLKRFVYLSSAITILNSFQDMSRAGENYTEKDWNPIT AARAIESKNPVLGYVASKKLAEEAAWRFMEDKKPVFDLTTIHPTVIIGPMLQPVSGPK SVNGSNKLFVYSFMDGEYKQIDSVTFPFYHHVDVRDVALAHIMALTSPQASGQRILLA DELITPQLVANIIRRNFPELRDRVPEGEPHRILPDGVNPTGWDTTKSTQILGGEDWKY TPLEVSIVDTVKDLLAREKEWQV EPUS_06108 MPPPRRILQATVEETLTPPPTLPPTQQIARLIKAEGNNLYSVET ASGAKLLVELQSRFRSSIWLKRGGFVLIDTDRKVFGERENKIDGEIVNVVREEKRWRK KGYWPEEFGGRRSEGGRSEDEGESRVGKMPSSDEEDLGDEEKEEDTGS EPUS_06109 MKTVAEGDHNDNEVVLSGNQSLLPFTFEPLPLGSIRPSGWLRDQ LQLMADGLPGHERDFYFLVKDNRWLGGDSEYSPLNEAFPYWFYGLVPLAYGLNDQRLK AQVPGAAYYVISHQQHDGWLGPETDVKSRNFWGRYPVFLGLIQLVEAEQGTTHAGTIV TAMHSFVNLMHSMLSNDYQGYVWHPGDTFDEQWGRSRVADMVLALQWLYEKYPEGNER NISACMRRFYEQAYDWSYWYSREDYIKPDLDTLPAELTNSLFPYIHVVNAGQGLKSGA VFRRLTKNDELLNSTRKGVNLTFTYHGTPSGSIVGDERLSGLSPVRGTELCAVVEIMF SMSYLYQTMGDNDFADKCERAAYNALPVMATADWWAHQYVAQTNQPISHELSKSPFWN VNNLGQTYGTEPNYPCCTVNHPQGYPKFLSASFVRVGDSGLAHALLGPSEVRATTSLG ARVQIICETNYPFNYVLYYTIEADKDFAFSIRVPSWAIRNKSTVSINGASPYTVSPDL YTGMHTMDIPAGNTIVIYELAADIRVDNRANDTVAVYHGALQYAVSVAGEYSPRPPQN YPEGSGAPPEARDWTITPISTWAVAIDPSSLQFVSNSSHDEQLPNPVFAENAPPVAIS ALVCEIEWDWTDGYATNPPKAGQRNCTGQPFTIMMKPYGAAKLHMAELPTVDLSPNPG VPKMSICT EPUS_06110 MHTVLPQYHRPGSDVPGFPVTPQSVPETSASYSSHGKPDFRTYV EHPVDSTQYANNITQAGARYLGKEEAETEAATTSPGYPPVKSRMDLHKEQKTMHIGPD ENPLSPVSPSSTKNLQNEDMGFDSVIDNHLPGQAMHASQHIKGGTWKYGLCDCGDIGV CCTGAWCPCIVYGKTQYRLSQRSGRKDPTNLLGYSAFNGSCAAFALLCGCNLILAAIQ HSRVRKVYEIPGDVGSDFVRACCCCCCTLSQDEKEVKFRENQVRKSLGSTRAMQYTSP QGMTFSAITK EPUS_06111 MTIWELPRVIDFKDLTPEEDFYTCVHLTRKSGSRRCGNTNNKQD RQEARRLYLKIRFFLDNATPVQDLLQDFAKVALCKRIHRPNDGTDTPYLRMAQKWSND VEKKQLAIQQLTEELAIEAVMSDADVLHEKVVDRSDGEGTQTSNANPTSPEFAPCNII LKVEQPSMAVTSGDDSALGKPTTLASEQNACRDTIDLPICEPRQPDSQSGAISIAPPQ PLPKRITRSGTSTNLEKEYVPYCPYLSARTPTQIIQATNASVLSILNRPLTIMAKKSG RVYIFTRPDDPALLKTGFTTHSGEARVAAWGKDCCYAAKLEFVTEMMPHAWLVERLVQ EHLKQYRRKERRCKWKDSCSKQHIEWYEIGVKEARRVIESWAGWIQKYRPWGSDDVLT PTWASLLNQYRIALKGPDCDKEMWDRFVRMEEPRKVVPVGRSAASKQDLNTSISSFTV HTTELKLSCKSPLPELQTTLPKRVHSDSSSAPSLSPSQPRSPSKPPRRSIRQTQLVDI QNLELGEFPTQRAAPRPTAREPRPDSPHLRLSFRRNLDARPRRHPAFLARDAINPLTN LFHLHSLPLHIHAVILGYVFYHVLDRIFAPHRFTYLLPRPTPN EPUS_06112 MRSFTQSLVVLVFSLLTLLAYTEGLSPRYKAPLVNDYPLLERGT VPKTTLSSKSSSSAKSTPPGHAKPTSGSEKPPVPLEYKSCFDRNRHPYTYSYDHGEYH FSGHGPGPKTGKPYKTKTSSTSQTSSCHKGHFTSKESCTPKSSFTLQTTITSTTSASS FSSSSPNTTSITLSTNTTSTSSTSASSTLPANTTVPGCEPELQLDGVSEYGFNKKGVA FSVKMVSCSNFDVANTTAFSNFAPIDNLTITPDSIFFTGFNDDYVLLSVFALDNYGNA VMKSYQLHFGSIDMPVLVLGPDNQPASGVLVYGNATIYPGVSQQCTTDASGKCTLTSL PATTIGLVARTESNSIAVDGLAATTGQVTLKLMPFTSPAGNSTFDANNGTTGWTGGTV SQSMKIKRDTTLTLSTAGQSSLQSASNSFNVRPFTKTAYIRYKFITSEVPGGFFGTQF NDYYSVTIRSDTGAYVSVTNSMNALGLGAFDANGATDWFTLRLSVPANTKSVEYDIGV SNVADSLYDSSVVVDKVGDLQCDKCGDCASCPSDPMCQASCINPPLKSCDFYRNCAEG QLACGAGGYPLAYGEKNCNRFTNNLNCFGAAGQDWIFGTMHCLQTAMVPVLQPCTATC SSFQAAAFDSHPRCYVDNGFCGLQCPDILAVLITVNTDLVSFQSLRQVAQTAGLCIDN LLQTLSGCSGDVVFGAAAGGLAGGGLAKTIALTVAITLLKKVAGTS EPUS_06113 MGSTADNVTKGSCICGALRYEYTGDPAMTALCHCLSCRKIGAGS TTFTLAIPSPNFHFTSPSSSSSSSPGSTHKNFTVTHESGIRLKTHFCGECGTFVAKEG AGENEFPGLMIVNAGTVDGEGVFEKLAPPQAELWVKHRVGWEGERHGLMQCAGFPDGL EPUS_06114 MALLWQAKPGCPVHLRDTVERFVNDRDPAWRLPPTRKGEVFDSF DQCQERLNVFAMVEGFAVTIRGAGDPRNPWLSKTSLGVGVNKKEGGKDRTRASSSALI CSGGASTAAEIPQFGSRDVSVVTGQQNEILIIRATPPPPTEESVARVTPLPFKDSLPA PEEEEEEEEEEEEEENPFILPASTAPALLQTNARPKRARGPTLDYKAMHEGKQDQPKR GK EPUS_06115 MASFRFFCIAFFCVIGFIPHYWRAEAACPKPIWLPLSNCTIARA NTPTVDSWGTLLAVRSSGQELCLVPSTALNNSILMGVDVCRPDNRPNSTLDQCRSRRG GLLDVAAMGSSFIPIATSSLVPDDGWRMIMAPSQPFQQAGKTTLNLLSDASIEMPVAI VTEGQNHTTSELGLGLSSVFLQSLVDAGLIAARVFGLNAGSQSTAQPREGSLVLGGYD MASISSHFTEYPMDYPLLEDISNRVCPLQVKIRKLILRPADGDDITLSDEGSDIDACI EPYDNLFRLPTFALDLFKQHTQWTSDTTEDPELLVPEPGLLYPSSTGFNGSLLISLNN DFEVEIPNAELQHPLRGIAANGTRVLNNNITEANVYFNSAPLQAAVLGKVFLSQVTLE EQTVLGKVYLAVDYDAKRFKLARQIQRDVTPNPVHLSPCSSNKLGVGSIAAIVIGSVL GLLIALLLWSGWYFRKRLEAIDWKVEHFPHSQNQTQTLPVQPVQPAQVTF EPUS_06116 MAEPVQIIASCIGVADFALKSYRGLYRFVSDIKNADNTAKGLCC KVQRLRKTLYNVHLVLLARENQLLETRPAGPEEECILSNIRDSLKQWRHTLQKFKREI KGLNAPLEGDRRPTWVDKTLLQLKLQRKAPTIAKFEKSIDEHIEELSLSLHCLAIFVQ TEPHVHGPTLRQKLQQVEPQHNGTRNRHDLSKSRDGSEVKNRHAQIMERCITTARTVV ERVSPRDRPSVERQTSIPEQDGTIVGLPRGSHEQDREDENSDEPGVRISEIGEVTVTA PSIGSNERQIPDFDPQLDITPQEFLDALIEKYRSQVELELKDKCYDQAQVHQRRLIDR FDERKTAYGVQYEWAKMQEKLADILERCGKIDEAIEINHLLLQGVRDVTGQYPRQTDI DQAQLSPPKALEQSRHYYKIAKLRIMQYAEHGDPRTIQLSEVFGRRSFKLRLGLREEH ESEFLESAEFLANIYQLQGNQVEADTYRDFYLRSPPTSPASSAPASPVSPLAQQISLD GHRLNGDINGHESSVTPSFDASVLTSLFSAVAKGDDNEVAGLLRCGMDVDSRDHQNRT PLMHAIERRRIGMVKFLCSKGAKVDAKDNSGWTALHHAIVYWDGDSIARILLEDYRAD CNAVCRLQKTPLHYTVGRNNLSSARILLAHGANIQAKDSYQRTPLSFAESEGRGRLAK ILRDYEANMNR EPUS_06117 MAAIEFGRRRIFILIASIGVLILLFNVLSLTGDDVSSQVSRLHL PGRPRPPIAAPEEPSIHPSQGEEEQEEQENPPELDVDIPDSHPIYGLRLEADQRWREY ESGRSLTFRQTVEKYRRSHGRHPPPGFENWYKFARKKMVFNIDDFEQIMDDLRPFWAI EPKTIRQYAAHMWENPDHGIAGIHVRDHKVVNLTNRSWRSETMQTVIEKFVEFLPDMD IAMNRLDQPRVVVPWDDMQSLLAKEYETRALPAEVMDSFTKQQDYLLNTTVQKPEDDT SERIDAEWFPRPGKQYMELASLACPPDSPARSNVSVARADAMYKHDLGGLITNFTRAT DLCTVGPAIQDLHGLLYSASSIVASKKLLPVFGECKVNINSDILFPANMYYKHDDRYD YSDSEDLAWEDKSDTMIWRGVTSGGVQTTENWSTMHRQRLVQLLNGTYMSTTGKEVSV ISETPQSSRAPTLNKTYENFHRFQPSLFAEQHTDVGFVEAWGCVPGDCAFYNDVFTFK PQTSLTSQFRDKYLIDVDGHSFSGRWHAFLESKSLGFKATIFREWHDSRLFAWRHFIP VDNRYDDLYSLLLYFIGYGKPGIQRTEGDDYANGDVYMASHDAEGKKIARQGREWAKK VLRREDIEVYMFRLLLEYGRIIDDNRDRIGYSEDGSKLDKFEDEAEEGSGSRWGLGKI FGTKPPKAA EPUS_06118 MGKSTSNLYDVKPAKTQTPNAPLAEYTAANAPLPTHPATKTVVL VCDGVKLAITYNLSGALRRVRHTHGAVRVLVWLGPAEDEDETRSVFTLLERLWDQADK NDANGSKTLTHSSIQELLNGFLQRRIELQPGAIPATSSPMWVYLARFHAQPWFTRVWI IQEVAVSSSALMMCGRAESDWHKVGFSSLVLYAHILQDYPVMIGENPAFWGFYSHIAN CATMWNWSLSNITGRSTNRLCDLLEDARDFEATDPRDKVFALLGLPYASATLADFRSD PLLLVPDYTRSHDQVYRDVTRKPIKEMRSLDVLSSVNHDEDALSKSPSWVPRWNRKRY SSALELCTIRPFYQACSDLPTSLYESAHEDILSLRGLIFDTVTSVGHIINTMEYSLNE RASDPTLAVHSWIDDMSTTETYPIGEPLDAVYSITLVANSRDGFVPADENLTQHLADF YAYLIGYFQLTLPDRPIDTALREAAKSGNGSRFSVADPLVCDFRRLFTTSKGYIGLGP SALREGDLVCVLFGGIVPFTLRQEDGVYRLVGESYVHGLMHREAIQEMIDGQLSEMTF DIH EPUS_06119 MTEAEAAERDANDREQVAAQEAHQEANIALEASLITGLIPLAGP PAPAYSPPPPPPSTAPVNVESVLNTPPRGSAAGAVTIATTTTTVDRSFPTPDDEEKEE EEEEALDEAFIPPLSTAPAVMTQSRAGRKRAPTMKALEAEKAPKRGTGQGKGRGRGRA GREAER EPUS_02077 MDDTPRSIPREDYTVGWICALPTESIAAQAMLDERHEALPNLQH DDNTYTLGRIAKHNVAIACLPKNQIGTTSAATVATTMRISFPSIRFGLMVGIGGGVPS KKNDIRLGDIVISTPTGTKTGVVQYDFGKTEKAGEFRRTGALGKPPAELLGAVAHLET KYGLEQQLSEHISNGFRKWFPNWATRYAYQGAGCDRLFEAGDNHLESDDENCQQCSGY KVVDRLPRGDSLPIVHYGNIASGNQVMKHGLSRDRLSKQEDIICFEMEAAGLMDNFQC LVIRGICDYADSHKNKRWQPYAAATAAAYAREILLTVAPKVVQGMAPIWNNRHWLVPR PVNPFFTGQKTLLKMLRETICDNLHQEVHHKQRRFVIIGIGGAGKSEVCLKFAEANRD RFWAIFWVDASSEASLERNFIDIAIECGLQERSLNAGKRWLENTEHTWLLIVDNADDR KIDYSKYLPSGNRGNILMTTRNPECSIHCTVGQELLEKLDVDDAEKLLLKASGIDESQ WDVRKPAAANIVQLLGRHALAITQAGAFVRKGLCTLEEYPHEFQHQRQRLLRFCPDQS RSTYGGVYTTFEVSAQVLEKSSDPQAIDALELLRILAFVHFDRVPILIFRKAWTYAQT IPSNEENGLQEDVTFLSHWHVSRLPGFMRSLNSGELDIISLREAVRTLASFSIISINE TDDISMHPLVHAWAKDRLDQSDQTMACMSSEVSI EPUS_02078 MIRERSCFVKKLWFVLFRNRQVTLSYLIGGNDSEVESFPHASPS VGSSGLMLHDWPIFQTICTEDLDPSLKTFKILLSMVSNYSNDGLPLPSFVTTSVIFCF DEMQCDIIEEAVKWPFRQELHDVLERIPIAHRLPQLKITQKHPLIGGGTSLQILKCEN IFSNSLRFENFVLEFIHSYEKYHKTVSTFKRKDDAKTIASHDEFHKLCHRHVAKLISR MSYLVHSNEATERHQLWNSLAPGDFGDDEKECFYRSIKTVGMAKMVS EPUS_02079 MGDIKDSVPVVLAEGLKYESSREMMDEFDEQGVVAPTVPHKYRG TVTDKRDMQTLGKVQVLRRNFKFVTMLGFASTVICTWEGLLVIISFVLTDGGTANLFW GYIVCAIGLSLVYASLAEMASMAPTAGGQYHWVSEFAPRSIQKPLSYIVGWQVFLASV AFVVGTVIQGLIILNYEKYEYQAWHGTLLAIAVIIFSIIFNTLFATRLPLIQGIVLII HITGLFAIIIPLWALAPRGNPHDVLLTFTNNGGWPTTGLSAMIGLASPISSLLGYDCS VHMSEEIKDASATLPKAIMWSVVLNASMGFIMAVTIIFTLGDVISILETPTKYPFIQV FYNATQSLAATNIMTALIIICITSCCISEVATASRQTWSFARDNGLPFSDFLSQVTPG WNIPLRAVLVSLCITALLACINIGSNTALNAMNSLGGVSIISSYYITIGCVVLKRLKG EPLPARRWSLCRYGLGINIAALLFLTPIWFFYFWPLTMPVTAQTMNWAVLMYGGMIVV SFAYYFVKGRHVYIGPVMLTKRDLQN EPUS_02080 MPGQMVDPPANQATAHENLSASNNKAVIIGLYGVPGSGKTFLLN QLKQELGREHFAFYEGSEMISAVVPGGLDAFQKLKEQERMHWRELAINTIRKNCVGSG QVAVVTGHFMFWPEGEESGRPVCTQNDLDTFTHILYLDVPAEDVAQRRLDDTERSRPL ISVTHLHKWQQEEKTQLRRLCRCHDILFSFLSQHPMLLKKALRLIRDFQHHSEKYNLS QAENRLEEALVAGQGQLETVLVMDADRTVAAEDTGMLFWERVSNSRRLRDEERTLKTL FSSPLGYSYNAFRQAVLLYEETAEDEEFDALCQDVASAVTMYPEFVSLLHLVAEQDHV RAVIVTCGLRRVWDKVLEKEGLSKTVKVIGGGRIADGFVVTAAVKAALVTRLRDAHKM HVWAFGDSLLDLDMLGKAHQAIVVVGEEHTRSKTMDAALMNAIDNDGLVARQAVLPSS FVDSVLCHRSQHARLQVLHATDRSAAKLLMTPMRDATFAGPALREAHRRVGWYLATEF LADVIGLEEYRISHVQGHHTSGNRLFHEQQTSIVALMRGGEAMALGVNDAFPLAMFVH ASSPNDIMLHHLQGQLTLLLVDSVVNSGKTVVQFVQHVRNLHATIRIVVVTGVAQAQS VFSGSVAQALARHARVSLVALRISENKFTGRGTTDTGNRLFNTTHLP EPUS_02081 MAIETPASVAPIESGNTAGYRTELRYSVPECLQDPKFPSESALG SVHSPPTTSLMPALTHFRKHEAKHGKPYICQVPDCKHTRFGDKGGLDRHNREVHGSQT HCCPITSCKRHVRGFARKYNLIEHLKRCHSPESPNLAPPSILRQQNHTGAGMKGQQES YEGGSFWEMATGGGGGLREKLENLYKMRAEMEVDIEALERSLALMGENFP EPUS_02082 MSASTQTQPTRTRQWLLVNKPTGLPVLSGADQTFKLQTTSLPLP LEQNQLLLKLVYLSNDPGQRGRISPHINPDRIYLPPVQLDTPMPSSGLAEVLDSTSSQ PGFRKGDLVLAPVGWSEYVVLNVSACQPAPELPGGLSRTHHLGALGWPGLTAYYGIKE IGETKSSEVVVVSGAAGATGGMAVQVAKKIVGAKLVVGIAGGEEKCRYVEQKLGADKC VDYKKEGWKKDLAEATSAARGGNEKGFVDVYFDNVGGQMLDYMLSRMAMHGRVVACGA ISQYNSSEGTRLKNYFEVVSMRIQIRGMFGFDYTHKMAEVMGIFKQAIQQGKLNVSGE IEQVVEAKFEEVPKVWMMLFEGANTGKLVTKII EPUS_02083 MFTASRLQIATYLLGVCPFSIAFLVFLNSSVSFVVTDLIHLQHG VGDAVGTLGFADELLALVACPFWGILSDRIGVRTVCVMGYILIALALVIFVQCKNVYP QLLLARLLFSLGGAAASTMVTAVLPTMSITLRSENSRGPGQEQSADRRASAAGNSHED ESTHAPSVSVSSELTITPAIYQSHSAQATEAEVAGLPPAPDPRATSSKIAGYVGFSAG CGALLALILFLPLPERFQKRGYSPESSLQYSYYTVAVVALFISLWCLIGLHGLREEHV NIKSIFKRFQSKQTKTTDHGAKPTYPWQHFQTAISLGFRRADIGLGYVGGFVARASSV GISLFVPLLINALFRSSGLCGQDPDKIGGLPELKRKCSQAYVLAAELTGVSQLFALLC APLFGYASARLGRRHEPLMFAAIAGIVGYLLLATRFDVDTDHQKGSVEAFLSMCLIGI SQIGAIVCSLGVLSGGVLKQQKHDSLQGNPSRSPGSHAVDQEGQTHQTGEDAPLIPKA GVDSSKDLSRLKGSIAGIYSLYGGAGILILTKLGGLLFDRVSFAAPFYIMAIFNAILL LVCILVTTSSLFRYKGGN EPUS_02084 MRWAAYAGVSTTLAAGVLVKALSERPNFYSAAVYLAQSTANLMI LTNFIFLCACVILLGLQKLLYGPLRPIEIEQLYERGWLFVTETCLSMTIFRGSFGAWF MVMFFSLLTGKVWGWIGEGRVEILEQQPPANPRLFHTRLAISLSLSVLFATYMLEFSI RTVLAQAKPDMMVMFGFEFAILSILSISTAARYAICLAEIYIVGKQKTARLNEVRAER VAANEAANTAGQGISSNTTAEPTPAIDIDEADLEVEGWDGKGRWIFYLDLVTDFLKLT VYVAFFSVLLGFYGLPLHIMRDLFLSFRSFFKRVADFVRYRNATRDMHVRYPDATPDE VGREDVCIICREEMRPVAQAVDANAPRQRTNPVAERMRPKKLPCGHILHFSCLRSWLE RQQNCPTCRRPVVVAQRTQGAAANRPALPDNAAGEPGGAAHPGNAPEQAARNRARVIN FGPLRIGFGAGGGNLIDDLAQQIHNGEQRPPAEQPVNVGGRQQFGFGFGFGRRPNTHN PTQTQTQTPTPTAPIQSQLDQIERSLQQQINSLRMASNELHLVRMLNMELLRLRNLQA NTGHVEAAVPQLPHVASAPLPPLGQNHFPSTGHQPPPTMLSSQTQQPLSVDNHTLPEG VTLPPGWTLMPLRRYEGPNRTAPNDNGATTAQPDTASVPLSAQATQLPSQTHSAPAFV EPSTQRSPPPLPPSNGTPHESPAAYQGNPSQAGPDLPSWGSAPLQVNGQHVREPTRAG YRARNGQANTEVGTSAADVAEATTDKDKSKAATVEDLVDDVD EPUS_02085 MPSVNGALNTPAPPWWTSMSSQPPSRKHNGSDVQSQIPEHIEDK PARNLPQQSHSTAHDSMTRSRSDNSQSGMNGTVRELAPLQDQDGVGGAGYDPVSEDNP ASYDLLAPTEEEQKKEYSLEKRSQLLFSREHLQVIFNDPSLLFKFTAFLTSQRPQSLP LLVYYLDTLKAIRAIHYANAILEGLDSVKGHDFTCQPVEKTTNPLLEQRANEAFDVLA REDLPAYITSLYISVVTLSITKRVTGSLPPHLREASEGLAEVFCLTDPSRPDNPIVFA SEEFNRTTQYGMTYALGRNCRFLQGPGTSPDSTRRIRDSVKEGRHHQEVFLNYRRDGS PFMNLLMTAPLCDSRGKIRYFIGAQVDVSGLVKECAELESLQRLLELREKGNTAPNVH RPSPEKRDEFQELCEMLNMSELSTVRKHGGKMHSDSQDDSDTQSIHSQQPRLLLKDPY DNVNSVAARVSGKLGGIYQNYLLVRPHPSLRILFASPSQRVPGILQSPFLDRIGGSPR VREELTAAFADGRGVTAKVRWLSRSQEEGRSRWIHCTPLVGINGQIGVWMVVIVDDER AVVTRNWKPAPPVPSSITRSTRSRSVSGRGQQSFDTSMRNGSLRSESPNSLLIG EPUS_02086 MLIPRKIAPLLAFAAFGFIILVLHSAVRQRSWRDIPQVVGLGEV VAGDSPAFEIPSPTSSLDDATQTGNKQTWKSRPTFLPGVPPSPGHNYTKVLVIPKVRD EKTDWIHEEIPDLQTAIYVADDPSAPLHPPKNKGNEVMIYLTYIIDHYEDLPDIIMFM HSHRYSWHNNDILNNDAYEIITRLSSERVVREGYMNLRCHWNPGCPDWIHPGHVEVDR EKKEERELARVWSELFPLDAIPQILAQPCCGQFALSRERVHAIPKTKFSFYRDWLMRT DLPNYLSGRVWEYLWQYIFTGKNAFCPDQHVCYCDGYGVCFEDEQAFDRWFELRWKTN DLERELEAWRSKAKLIEDAATGVLDEANDLEVPEVGKDATLEHEIQQNKRQLGEQRDD AINKGNDPQKRAAIAGREWHDGDWY EPUS_02087 MATSVYPVDNQFAGLVEAATAAAGQEVEWSLNDSAGSSAHQFFE GNEGQRRPPPRDTCTGPLAGQYDPSLTSLHNSIPSQGTTHINTKKRKRAATSIGAKEH FADEELTSRPTQQLTSVHSAAALFRTSSTSSKKYTRPPMSKLFSSLHLPPEEFLHLQS AAKAYMLDDGHPERRDCVGQRGKTDSDMVKLKLWHCVKQFLDEEGNGERFFGVNVPKL GGEEETRSMTWPENAQQIIKICIPLLRRMVTNERQRQYAVESRKCGGDSKREKQVQDA KQSPYQASTATLDGTDNPDTFTTEKIDMFGDGLISNLDEASEWYTVYNSNAVLDKISI KAGFPRVLFMPLVTNIDAHCRLYHGDEGHLCNESCRTRLVERLLEHPIYQRHAPGGNP RETVQEVFHVVLTHLILTNYWKNGIADHTARSIPASITPTQPEPDMNSSGPRKKLTSS STGSVEMTYGHKPDKSLRLLIHIVHQDKCVLPPFDIPSNECRDLEALRKEIQQHYSLV TLRDKRVMPLSEATFKVWLPDGLVRVEDDGQWMVALLSAESVEWMGGQIRVLLET EPUS_02088 MLANGTAAGGQLTTTTDVENYPGFPKGISGPELMDQMRAQSERF GTQIITETVSKLDLRERPFRLWREDEDGEEDQPAHTADAVIVATGANARRLGLPGEET YWQNGISACAVCDGAVPIFRNKPLVVIGGGDSAAEEAMFLTKYGSKVIVLVRRDVLRA SKTMAKRLLANPKVEVRFNSVAVEVHGEQKPRGLMTHLRVKDVKTGQEDTLDANGLFY AVGHEPATQLVKGQLDIDEDGYVVTKPGTSYTSIPGVFAAGDVQDKRYRQAITSAGSG CIAALEAEKYLAELEDDDGATGIEKEKEAKKGDVEVNGAENVPEYRSAPSLQLESQLT QGLCYISFDPSMRMLNPMKNTTTDNDDKKFSPSPFHSTNLVKKKTKERKQCLDSTEVV DAPDLQRDGLVASISLSFFDVVSWCRKNCPEKLAGHLGDGITLAEVTKPARTSETGRR FWGRDDKCQVRRGRVRALRRPCRRDEILIGLRTLLAGMAGVDDLKGRERKRVNAMGLQ VKKGHSNEKPEEVAAADEIEIAQGIQRLQLGQVMSWA EPUS_02089 MSEPPHLGPSVLLPEMTCRTDAACQPAFQSQPTDQGQPGLRHLG STQVRLRTGARMTETIGDYRDIFQELQIDPAFQTSFWTDIIYRYRQFARHATFLKRGT QASIRNMSDEILRAYGERVWGQTSSWRSGLETGEKMLLYEKNGANEGLHEILAKMWWR MRELLYEEKPKPKPTPKKRGRTTLERLGNYAVEPSYFEGDEIYLGDIDDDRDNTPVGT DSDAGTSIKSSGKARAKTAAKLDKLTGKLPAPEPGQFLTFQQTEQEIMNLIERLHDLR LSSKPDIFERLWLAHIGRIEEIEAHTEPYEITPIGHQVDEEGSPLGGKAVDCGGDQVE EDTVMEDGEETFAFASKVKRFNASTTQPGDNFLRATTDAAAIPFPASLLSDDAIRRRI FPNYSAEPFNTSGNPIPPTLTQKHDIPPTLPQLMSIYIMTSLPRSPYHNRAYHHPGAP VQEQDQVAFFTQVMKRVDHIVDKDVKGYALSYGWCDTSRWVEKTVGTGCGGVGKGEEA GESEGERLGWGVFQKDLLEAANSGVMIWRMKVLVVKK EPUS_02090 MADAVGVRDGVEKPSVLIIGGLGYIGRFLAKYIHTNSLCSTVRL VDKVLPQLAHLAPEFTEPCHQSIFLQADASREASMSRIFERPDGSTKPWDYVFNLGGE TALSQALEVYKLRSVALSVTLGREAARRGVKAFVEASTGMVYAPSRNPRKETDKLKPW LKLAKCKLEAEEALSKIPGLNLVILRLAHVYGEYDAGFIAKALCLARVYQEQERELKW LWTEDLRINTVHVEDVARALWKAAEWRAVNSTIPADAAAAAPESPTSPRRSGAQFST EPUS_02091 MHLESVVDDLNEDILQPWADILAKKGITRPGPLSPFLEKELLKD TDLSLDGGLFERVTGFEYQRGDGLKREGVEEMYCVYNNISDEDEVDADNGDEDWMGRR PSARRRIGITIEKRSALKNSTEAKGWDECTDGRSGHRFKTKTMHT EPUS_02092 MNFEPAIPETKVLAIASHVGFRISLIDLADQYGLRWCMGESNNL NTLDCLEAGSDLTVKDTFSALLSSTQLICNFFEPTEAYAGNHTGYCQVRGTKASAQEI RDIYDGLCQSYLTDFDMLLSGYSPNAETVQAVGVIARDLRFRSTNKPGSFFWVLDPVM GDQGRLYVNEDVVPAYKSILREADLILPNQFEAEYIYLPPPISLLPSQSLTCSMKSRT LSGIPIHSLPSLATAISTLHTTYLIPHIIVTSIRLTPSNPTLSIIGSTFRPSNHTPRL FKVDVPALPCFFSGTGDMFAALMVVRLREACLEAGVTDRKSWVSEDDVAATELPLAKA TEKVLASMQMVLEKTMQARDRELAGLTEGGKGMSSSSGVEVGDDGEGNRRYLAETKAA EVRVVRNVRDLWCPEERYKACELQV EPUS_02093 MSNTTDSQSLAIIVRELRRFNPDTEPSADQLDQQITLARSIIRH VDQTSILQRATYVQDQVLIISLLQDLAYHDPDSGGIFDIAQWCVRHWLRLLQEYPEDV GVLQGLGQSWLLRSQNSLARIQREEGSPSSGSYYSQARSTYNGSWDEAAEIARATAEA NSWRHTANYVEARGTLVPATEYLTRAVNVAERNGVLRGQLLALAAEAYMSIGNVSYAP GNEQYFRQALAYLRRASQIQGAGSETRAGESAQEGATSNPTDLLIFRLWCSQVVDAIK DLVQDCNFDCNDSGIALQAMDNSHVALVSMILKAESFSPFRCDRNLALGINLTSLTKV LRAAQNEDILTLKAEDAPDVVNLVFESSETDRLSEYDIKLMDIDQEHLGIPDTDYAAT IEMPSSEFQRICRDLIAMSESVSIEASKDGVRFSCQGDIGSGSVTVRQHSNVEKPDHD VSIQLSEPVALTFSLKYLVNFCKASGLSAKVKLCLSQEVPLLVEYPLAGNSYLRFYLA PKIGDDE EPUS_02094 MSPLGEYLEGHGEAFPDFQTTEDDSKESLNKPSFAPSWPLLPAH FPMQKFLSTSLILPRRRSKTEKALQNICSAAIGVPTSASKHFLEQFRYPIVASSLLAH EAKIPVDTAASNLVEPQEALQYSMNKSVSLQGAAVTIWISFLTVWMLHWVETQSSTST RYWFKFCVLSVLMLGLAIGIFRHARRSISLQIRHDPMQALLDLVTNCHALDQVMRSAL SLIQEAEIVSRGYEISNSPPPISRIDPRGSDRRCLIVRRGLACVICSTIQRYLEAHSA IVPFIDRTDMQRYHDLYELAPQDYQDMMQGRPTDFEEDPKSLRGLKLALERVFIARQI LLCDLLALPPESPIAECERWSVISSEIRDLSGFIDRTATFIENLITDEANRIRADQLR ARFRDIAQPGEGLASDMNPPTTPGKQKTQAQLRRLNEISESIQNLYTRMLVVQNEAHD LASNTETSADITSVLANQYDLLGRELRSLMSEWEHGRNTMRLCVNAADRSSLSRSSSG FTTPHSPIGSLGGSTAITEGSPAEALKRLTSQGLQGQVSDGLGSDEEVFEAISLSPNS KRLSMTREEKLARMQEDRRKRATLQESRATTTNMLRELETVMKHRPRGRTTSRITSV EPUS_02095 MKSLLSFALSTTLILCNTVVSSAASPKKCTPAPAPETAPDPLPL FDLVEYYYEPPSRQSPSELLSTQVSESQIRNKLALNSLALGGEDFDGLDYVFTEDAVA NLSAPIGVVKGRDNIKQAIRQVLTAGEGVDGHDLISSPLIEVDPQNPCLAKSLTYFTT TFFGVSGPWQGLGQASSLSGQFRDTWVQDEAGEWRISIRILVYIGFPIGNLLPRN EPUS_02096 MKYPFGHIEKSVKSQRALFQFLVTEHLPELRAILEKKRGKKSSD PKDKIFALFSVLKKLRIEIPQPDYEKSVEDVYRDAVVACINHDKNLYFLFDAPSDHRH ARPSLSSWVPDWSDEGWRYNSPDARIAVTRDRFCAAGPADPKWSFSHDQRRLVVSGKI IDLVVYCAESLHIYMQVIASINPTSEFCRTGSVGVHTMQDACRMLDTAFQTLKSWVDV ASWYAEYQMGGTVQEALFRTLISDTPRRNPNENLSFDAWYKTMTLSDADLLMRSYNRL QQAGLDGPSTPSQAALESITQQVPEASPGVLLMTTEASKYHFLVFGFANRRALFTTEE GYMGTAPAVVSKNNQAANMIKAGDKIAVVAGLALRPVEIDGPGEVVYRLVTHVYMHGI MYGEAWEDGKYLVQEIVNGVQKFG EPUS_02097 MAEAVGLALGTVALASLFSACVELINYFELGKSYEYDYDLACLK LYVLKARLDTCGKTLSIDDAAHESHRLRQHWSQEQDVIIRSLLGIKNIIGNAEVLKDK YRLVPHKPNGLSNFHTIRTKDYNSLGSSRPPKSSRRLSLFRRSTIWAIRDRQKFDALL NDMEFFISNVELVVSRLMAKQPKEKDRRPVDPHVESEDYEYTSDIVMTDESLRNGQVG TVFWDRKNHTKEEQKKLIADPRDGGRSWSKGGRDFKIERTSGHAIYAQGIQGEVKVTP TAPGQRDSYTVAKMEDESYGAQGYNSEQSLRDLQEARLAMIQALRSDQSNGSQNARQA NNFGGAGRRLDE EPUS_02098 MPAKSRFTRLDAFTKTVEDARVRTTSGGIVTLASLLVVVYLIWG EWTDYRRILVQPELIVDKGRGEKMEIHLNMTFPRIPCELLTLDVMDVSGEQQTGVAHG VEKVRLSPVAEGSMPIERTSLALHAQDDSAKHMDPDYCGSCYSAPAPANAQKSGCCNT CDEVREAYASNSWSFGKGEGVEQCEREGYGEKLDAQRKEGCRIEGGVRVNKVVGNFHI APGRSFSNGNMHVHDLNNYFDTPVEGGHTFSHEIHELHFGPKLPADIVDKWSDHHHDN PLDGTSQQTDDPAFNFMYFVKVVSTSFIPLGWDAKLSSSIHTAYQDIVPIGTHGYLGH GTIETHQYSVTSHKRSLRGGNDAAEGHKERLHARDGIPGVFFSYDISPMKVINREARP KTFTGFLTGICAVIGGTLTVAAAIDRGVYEGSTRLKKLHQR EPUS_02099 MAGEIRQPIDIAALEKYVKDNVPEIKTPLKVKQFGFGQSNPTYQ LTSPNGAKYVMRKKPPGTLLSKTAHQVEREYRIIHALERTDVPVPKAYCLCEDAGVVG TPFYIMEFMDGRIVTEAWFPDVSVEERTEMWRSAILTLASLHRVPPSSVNLSNFGKSS GFYDRQLKTFGTISLAQSHAVDVDTKIPVGKIPHFDDMVSFFSEKPLQPQDRATLVHG DYKIDNLVFHKTEPRVIGILDWEMATIGHPLSDLVNLTGPYSWMRTPALPSVQSAQQS IEIGHGTAQPEFTPGVIPGLPTLEQCLKWYAEAAGWDPAPDLGWGNAFGGFRGAVIMQ GIAARYALRQASGKTAKTYVAQMGPYGEWAWGMVENLKKEKEAEKTRQSTKARL EPUS_02100 MSPSESTNDTSSTAVPKLSTTSQPPLLIKKLSPKATTPTRGSAF AAGYDLYSAAETIIPARGKVLVDTELAIAVPEGTYGRIAPRSGLASKHFIDTGAGVID ADYRGQVKVLLFNHAETEYRVKEGERVAQLVLERIYTPEVVEVESLEESVRGAGGFGS TG EPUS_02101 MSKSTGLEGASSTLDSTASDDESVQNYPPPYIVEPTSPHTHTAI LLHGLGSNGRAFGSFFLTASVLSNDKDSPYSRPLNVLYPSMKWVFPGASLRRSKRFKG IKLFSWFEIFSIQDPSYREETQTEGLIHSSQYLRDLIFEEVKMLKGAVGGEERVEKRI VLGGLSQGCAMSLTTLLSLDFSLGGWVGMSGFMPMRGIFEDALNADAQDGDKRTVLQR DTEQDESDVEALIDTQSIDPTVQALNTFRQNVLSLPPPDSRSPANVLETPAFYGHGNE DERVACRLGDELVRTLEGMHMSVKHQVYQGLGHWIQAPEEIDDMISVFSQYGAWPDHV DEHIAS EPUS_02102 MNQRGRGRGSWRGGRGGGHNRSQQYSSESYSAGVNGGQSKGTDN SHGGLVNIGVRGWRNSKAADSNDGGIGKLREFLERKGSSSNGPPAKITKSRTEGDVFI ISVRPELVERMLHLNGFSFAGASLTVEGWGNDHLPVLSISPFDKGVAGQPQGQNGFAQ QAQPDPSQIGPSSTKAALTQVLAKRYDPSLKLLNLSALKQDPDLQQLGVFATPATESK FFPVLMKICDEVWDTPAKKAESVESITVSTNNLTTVLDITTLAATFPSLKNLDLSNNL IPDFEALKYWRWKFRDLEHLVLTNNPIGSLLDFKKTLMKWYPKLLKLNSAEVRTPKDV QNQQNPIQVLPPYFQDEAEIGAKFITDFFPLFDVNRDTALRNFYDAASTFSVSVNTHA KRVQHEESNRSGPSNWDQYIRKSRNLLKITHLSARQSRLYRGAQIRTTWEQMPRTKHP SLNQHPADWLIECHPLPGLPDPNNGGVLSGGVGGLIIMVHGNFEEMHPQTGKTIDRRS FDRTFVLGPGSGVGGIRVVSDILVLRGPGGSEAWGVSSTYQDPSTIAAALTEPRIPHP EVPPGSGIGEPRPGKSELQVQQEVLAIELSFKTSMKLEWAAKCLIENGWDVAKAWVNF QELVAKEKIPAEAYLVVN EPUS_02103 MATTTETQPSSRAAQVLLSSEPPKDPPTFSFTPFLRSTYGHALI ATTPVCPAFAAGHCPLGRRCPDRHPTPSQQQSSSYHHFGRQNDNYVCKHWLKALCMKG DNCDYLHEYNLRKMSECQFYNQNGYCQNGDECLYLHVKEESRLPLCEDYNKGFCERGP KCGKRHVRRKLCRFYLAGFCPDGRECTEGVHLKVVGEEGKGEGADDAAARRRRADEAD RRDDDDARRDFGRNRDGEGGRWRGRGGRGGKWKNRRDR EPUS_02104 MGACLSKIGPGWQSMSRSSSTSAVPKEESFEGPTQRPANFQERL ASYRSTRTGPGEMTTTTSQPTDQKKIINFVNAVYYPNWRVYNGQTPNNLNLDNVSHVF YAFAHLDKDGSVTLSDEHVDREILIDGTQGCVNALKVIQRDKYPALNLILSIGGAASS ENFDGITSDETRLQNLVTTARELVDYLGLNGIDIDWEHPSNEVQSQQYTQLLALLREA MPSPQYIITTAVPAGIWALKNMNLSEVSKSVDMINLMAYDFVGPFPGISESGHHAQLR CPQGGEECSVATKTSGEAAIHYLLEQGVPKGKIVLGIPLYGRSFLGATGPGQPFDGHG GLEGGVFEYKDLPIIDRGKASGSESSDPDAITPCAEQFDKTRVAAWCVGPQTDEGGGF ITYDNEMSVEAKARYVKEEELGGLFYWHIGFDKPKNEGSLVDVGGEVLGVGHKRSSWF ARAR EPUS_02105 MTRRALQYIQVPLSSFTASLTPSATAAKHCPECKRPWRRRFSST RSCDMTRLRTDMFSWLNSHGKNFLDPVEGKTNYLTDYDKRGFRRHKEPDAAGEQADSP AREKGAREEPRRPFPLNEHFFSQPILSEELREEIWRRVKVDKKSIRNVSVELGVEMRR VGAVVRLQELEKQWRAENKPLALPYAEAIHSMVPSTPLKTPPVPHETVNDLPVHSMTL PQLFYPTSESRQFNRVDAGRIFSGAPRLPDEADIAQGGQSVEPWKDTSIEIVGKPGHE RPVLKAADARIPHPHLIAYEKDKLDPELDALERHERHHARLREEREQRAGKKEARRKQ IEKHTTRVDTGRYEFLIRDCQTTRLGTGLDGRGTASPGRRYGVPSQDRKRGAIKIPTK VEV EPUS_02106 MLLQRTNMSRLPKDGNAPSSAPLKQDEPLTCMIYFFASQMGRNI QTAPVPSNGRSAAMDINHSPHRLDTLLAKIGIHASFSPLITRFDTFPVEIPEAVAQSK RRNRPPEATVHDTMLLVKFRSARAREEWIATREWREFMQQTETEDVFRRMPHVRCARS LKGLRDPIEVLSA EPUS_02107 MDADGMTTLLDVLQLSPGDAQFLLQKYNNDASRAIQEYFENPDV LKQTPTRGWEEPPPYHNADLPGFRIDASDDVANSVREAAPSRPPTRASYREEPIDLTP QHRAADPTKSLSYQENDREEREMQEAIALSMGQPPPGQENGVTGTGQQFGPAKGEYSD TKRWAMTVSKAREIVDNPPPADRQRKPGEPAFLRASDRDGSESLAGLLTIYHSIPLAR EALLLPSYQQFNYGCDPQWWTGHRIEAPRIVSIDDRAAHRNRDDVLIETQRLMAFLDD THRAYASIDALANLQSYYAKEAGSELSRFLEAWNDGAVIRSRDDPLTQIFSYHGIRGD PINVLERDFFTLEPMVDPEVEQSFTEIIDNLIWSDQVSFDGHLHDVWIDKIGEIMTLK LLDPERKQGKLGIDVPTVWYPDRYMQHFRDASREMRIRRWHIQKQILKLERSKEDLLT CPPSARPGRLDIRKVLLDAAERAPLMIKTQPQQGIMSGAMSSPAPSSAEVSDCVKSLR DLVSSIETKLAELELIREELRARFRAMTAELTSPKDEPSSLSPSHKYTLRGVSTKPHI TYVLRPIAEPSQQSEADCSVSGQWQWWRIALSSEEAKSKNSAAYGPQPQSVPLSEQQN SINSSGPFSPWPPSNTQNNRLLSENTGSVVAYTIHRVGEEDVLKAAREEHDSLTLVYA SEKAVNFRGSALSGPLQAFVKADNKMFDNELRGITQSQGPEGISDGEEMTQLHFSNLD GMQDVPLIDDSDETLNGERETSTPATVGWSARREADGQPSPKRAKGDDEPPPYYDNGR NAPEMQERAGGMGILGTAPPNRIGQHAEKMMDRVEDDTDADARGTAQLERSTS EPUS_02108 MSPRTRQSSEADALELIALLNRDPVQPGGVTIYKELNISSKHEE KFAILLHRVVNRPEGLPFIWSGDPVAIAELTEQFLRKKECRRFWPWQLFATRHKWFRQ LLTEKLTSIFTYISAVINADGFDNYEDNFIYECRPRSIDEFLEWYEKRLDAREELTHN LKHVIETHMEKEDFEYYNAQLKEFEDGKAPKPIWVKTRWAGYFKPVGTEEVGTEGVSI EEVGTEGVDTDGVSIEEVGTEEAPASVQDQDQEM EPUS_02109 MATDLPPSVNGLYGSQYGQPAELAASVPSSTPASVASQGPAESA SNTAKADPQEIGWYFVEQYYTTLSKQPDRIHLFYSKKSQLVTGVEAEKVLPSVGQKAI NEKIKELDIQDCKVRVLNVDSQTSLNNIVVQVIGVMSNKSAPSKKFVQTFVLTPQTNG YYVLNDIFRYLNDEEDEIIEDEPAQEPTQEPTQVEEASATPPPATDTEQGSVNSEAAI EQVDAKLEEVVESDAPQAVNGASTGSAMEEPVQQEAAPEVAEEANVPLPAENPPEPEH TPAVSPPKAATPAPAAEAPPSKKTWANLVGSKATAIPAVPVPTAVVPSQPKAQKSPPP PSVQPQQNSTTNEPGTSSGSQSNEWQTADHGKKQARPQNKTVSEGNVLGYIKNVTQKI EASRLRSVLEKYGEIKYFDNCAFVEFATPAGYNAAVAANPHQIGSEQIYVEERRPRPN AFGGSNSGFSRGGANVGRGRGGAAPPGRTGSQSGSFPKEAGRGTFQQRGGKTGSVTPK GRGQPQAS EPUS_02110 MRPLAGSEAFQPRSRKASVTEPLPAPSIRSASPVTFFLRRGSQG NGDGNTAEIPNNPIPSAPTVVAKAHPDEASQSPRRRSTLKAREATTSRGSPSAECQTQ LPQQEPLTPLLVPSDTSSLPSSPKSTSTRSLRPSEVGSTAEETGSQAILSSGDEELEP EPSSQSAEGAPQLIMPSIKIPSRRPFTERGKRIGNFKILIAGGKGIGKTSLIKSIVQT CEDIVHVDPLPVSRSSAMLSSPKAKRVLKNFEQPRISEVSASTRPYPPWWAEIEESKI LRRRRSTGDTVLDRNLCFVDTASREDCSTIYEYMTMQLHKALKAPIEANSDFVSLLSG SGGSQVDLVLYMFSKDTMDADISQMKALSELSDVVPLISKADQLSPDHIEALKLEFKS KVDVGTLSKLPAFSTSETRKGTLDVSGPYTVSSATGPDHETMDASLLMSPDYVQPLMP SELVWLVQHIFETEVVSYLRYSAAKKLIAWQNAQPPPTKPTNSPLTSRSFSPRPSSLG SPLPRSTTNPGMLVPFASDLALTTTSNIQAMTRLAEHAQQEERLAQVRLNKWANDLQN SLQRERERFEKLARGERAIWLVERMSEEVQGGRLSALDQSGALVKAGSCEERASRWAS ARHSTHDPLGLLRWSDSLRTRGWIALQVIGSFGMIGGLAFWLARTWGLTSTINEWTHA WTWNPFAVECNHARPIFI EPUS_02111 MAVPLPLSRQHNIEFLNTIGGRILGLPLPPTRKIDLVDGKREIP MKVLCLGYSRTGTLSLFTALQMLGYNPYHMVEAAKNANVDMPCWIQGIEAKFCGKGKP WGKEEFDKLTGRYDVRESSRTFEYRSLLSQAVLDVPCILFVEELLAAYPSAKVILTER PVEGELNVFVTGESHAQADSYCTGWLKSMKNSLGEVFSWRRWRYVAWADTELAAPLWE LGVKLTNLKWGGGDYSENSVAAKEYLEHYQLVRKVCPPERLLEFKLGSGWEPLCQFLG TEAPDVPYPNINDKEMFVGSHKAILDRATYYAIQKVLKWTVPVGLAAAGAAWYWQALR S EPUS_02112 MPSKSKRGAGNRSKTAKTGEALLAQLDADPRMPADYTMCQELCI YEEDDDDFARILFEFAQDPCNVELMQRNDTDSFWELANRFLTNETKLLFWPSFLIETQ HKFFHNLLEAKFYHIFKFLSGLKVAGPEIYFQELNEDYGGPRNFEKCNKIKDSRIRSS ELLEHVLQTHMEEDDYETLLKEKMKEYEEAEEDYEKWEKSGYQRKIWIKGGNTGVFVE AEDYWAGVHDDLLGSDVEDCVFYMVDSMLDRFSLFWSAPDLYIKWHFRFSSQDVHVP EPUS_02113 MAQSLFSQRTSMNRMSVYSTSPSFASTNSTEMANGMAEIKELAQ GLDRLKDKRLEQQRFVQSAQKQDDLSKLALGAKLERALGRRMTKQDAVMRVKPRQPSR PSLIDEKAALAEKQILAA EPUS_02114 MASFFSRAMSLVFLTFLFNIAFFSSHVAALGKTDTITWGGDVSR AGYQNNHNMDPAIVGSSQFGLLYRTRLPGAYNGAAEQIYSQPLVYTSSDGVQYVFVAT TQNNVYKINAKTGVIVLQRNLHVPFLTADLDGCVDINPLIGVTATGVIDPATDVWYLT AKTYVDQSPTGAKGRPNGRYYIHAIDTNTLAEKPNFPVDLEGMVARNNPVRSFNGGIH HQRPALLQAGQYIYAGFASHCVQYNFTGWIVGWDKTSGALVERFATEGAGVGPTVPGA GVWMSGGGLASDGKGSMFFATGNGYASQLSNVPVSGRNPPTALEEAAVHMTINGDGSL TVVDFFMPWEKQALDGADKDLGTSPLEILPSQFSCGVYQRIGVITGKSGKTYWINLDD MGGYQNGPDKLDDVIQVFQNENSVYAGAGVYPLEGGYIYINVIQYKTHVFKFSCSNGI PSFTQVADSPEKNAYILGVGHGTVTSLDDQPGTGLVWTSDVEGANLRIYDAVPQQGVL RLINSFVTPGVTKFTRPVFGDGIAYQGTTQGYLYAYGAPVNLPLECSGPYDFGVASLN TTSATRAVQCQAKTALTVSAIALSGNNNFVLSGLPTLPLQVAAGTNFTFNAAFAPKQI GSLQSDVVVNTTQAAAGYSINTAIRLKGVAESTAPVLSITPNVLSFDGTIVGQSVGGV NKTVIWSNLGNGPLTVSGIQYSLVSETGPWVAPNSTGDGKTVISAFTIFGEPSSIAGK TDTPVTVNFNPPTSGGYAVYMKVSSDGGSKVYDIIGTGADYPMALLEFEKPDGSGWVE YDNSTAFTFGSVTQNTVRYLKMRLSNVGTGNAARLSVTVSKPPFGVPGIIGANNQMDL GEGSTIGPGQSAIATLYCAPPKSQVNVDAYNGTAEWTMNLGDPAFGKQVIKFFCNAVS EQYPPLDSAQQGLYRYYGCFKENNPGRQLQTQIYGSPDNTNGKCMAACSAAGYIFAAT QYNSECWCGNYRPKQLVDEKNCNFACSGKVTEVCGGNGITEDGSFLSLFGDRTRFDGN LTKDVGPFVNPGVLGFTSQGCYTEATTGRALARGVNPVPVTIAGCISACKSGGDSLAG MEYGGECYCGNSLAAGSVPAPAGDCNMVCRDNGTEFCGGGSRLNIYKLDTSASDPVNG TGTSSSPTPTPTGPTIRRNAGNFTYQMCATEVPGRALNGKAVASDDMTVARCAGNCTD YTYMAVEYARECFCGNTLAAGSVAATDGRCNMVCAGNTTEYCGGPNGLSLYKYEAPLA SIVNSSTFSENSTVSSTMSATSSTSSPSSSTSSTNSTSWTYSGCANETTGRALSGAST ASADMTPQKCQTFCLSKNLPMAGLEYSTECFCGTDLGPGSTYNQTGCSMPCGGDKTQT CGGPNRLDVYEYPGYVKPSHPRKVGLYDFLGCYAEPATGGRALSGYSFVNATDMSAEF CVSGCAARNYTYAGAEYAKECWCGNELKSSATLLEEQKCDMLCAGNRNEYCGAGSRLS VWKSR EPUS_02115 MSVIKKLSKAIHHLPNGDRKSECGSSPVDSPVISPPNSPKPFSS FNPRKSLTAIFHDKEYGSSSDDFSDLEADEGKSKNAQKREARKQQRESRSRLSLEKKD SSEERMKNKLEEASKHETEEMKSRYGDLPLIQSTDRTGENRIKFASMTLDMVGQEIVF RARLHHIRRMGLKLVFFVFRQQIHTMQGVLNEIPGISSVVMLHWAEHIQRGSIVRIKG VLQKPEAPVKSTTIHDLEVKITEVKLIVRRAEPVPFSVQEAELEVHDEDCVQGRKSKV SDRTRLSNRIIDLRTSTSQSIFRIQSAVGNLFRSALDEQGFIEIHTPKLQGAATESGA SVFKVGYFGRDAFLAQSPQLAKQMAVASDFERVYEIGAVFRAENSNTHRHLTEYTGLD IEMAIEEHYHEALEVLDATIKNIFSGLYRRYRREIDIIKNQFPHEDLVWLEKTPVIAF ADAVQFLADSGWLDEDGNPPSPEEDLSTRDEIRLGELIKEKYQTDYYILDQFPRSARP FYTMPSPRDSRYTNSFDIFVRGQEIVSGGQRIHEPRMLEDSMHKVGIDPSAMEEYMEG FRWGAPPHAGAGVGLERLVMLILQLGNIRLASMFHRDPKSFPPKPAVEKLPHPEADTT KPAWRKEDGSMLSIEEREMPSLYDLVANYGDATSTSWGDERYQIWRDIHTGAAVAYVP SNSFAIMPGNPLCDPSQYLRIISNFLRWLHKETKLKPIWILCSREVEEVLGERLGWKT LTCVADERVDPSRNPAVTDCEVARKIRHAQSEGVKITDLPAGQPVPDQIKEQANARVQ DWLANRKGTQIHLSQIDLFRDEAHRRYFYAEDKSGQICGIAVLAMLAPRYGWQAKYTL DFPGAPSGTIEYITTHAISAAAASGIKSLTFGGGATDHLIPGHHLSGRKVKLLQHAFD TTVKQFNLSRKSEFRAKMGAVEDLLWIAYPPHGLGTKGIKAIMNFFQD EPUS_02116 MSEPLEPFPTSIQILEPGDPPSSASTSSSPAPALPHWQASSEDR DQKSFRPRSLSHHLHPSHPAPPRWWLRAQATFWRTLMSCSMFLHDWAPPRPPVPAFTR SISTEYDHPRSPPILLFFYVPPDYFTRTRDGHRYPVVINFHGGGFSLGNATDDRYWAN VVLQTVDAVVVSVDYRRAPEFPFPTPVDDSVDAVLYLAAHAEELGLDSTKVALSGFSA GANLAFSVPLRLNFHTRKGLMSSEDLNLPRWPSTQKLMESATNLKIVNIVAFYPLLDW SLSRDSKRRTSRKPEKTLPKFFADLFDYSYLPPPDTMLHASPFVSPGLAPDYMLTEGL PHDIQIFLCEWDMLLKEGEIFARRLERLGKNVIATLIPEVVHGWDKHPDPWRDQKAID AFYAKACDGLRESFGIEGEDKGDKKEDSYDEDKHLSSA EPUS_02117 MATEKDLENGDPKRERISFAGGWDPDRTEGEYADLIRYISTYRD RRFSKAPSISNADEVDATIEKKGFFSKLLGKKSASELFEVPESWLTTDIKTGLTTAEV EARRKKTGYNELATEKENMFLKFIGYFRGPILYVMEIAVLLAAGLRNWIDFGVIIGIL MLNAIVGWYQEKQAADVVASLKGDIAMKAIVVRDGKEQEIKARELVPGDILILEEGMV VAGEARLICDYSNPAGFAEYTKMIEDPEEHFSKNHTDSDEDEDHHVGASIVATDQSAI TGESLAVDKFMGDICYYTTGCKRGKAYAVVTESARGSFVGKTASLVQGATDSGHFKAI MNSIGTALLILVVFFILAAWIGGFFHNLRLATPEWSSNNLLHYALILLIVGVPVGLPV VTTTTLAVGAAYLAKQKAIVQKLTAIESLAGVDVLCSDKTGTLTANQLTIREPYVAEG EDVNWMMACAALASSHNLKSLDPIDKITILTLKRYPKAREILQQGWRTEKYIPFDPVS KRITTICTLKGERWQFAKGAPKAVLAIAECDEATSKHYRDTAADFARRGFRSLGVASK RGNEPWKIIGMLPMFDPPREDTAHTIVEAQNLGLSVKMLTGDAIAIAKETCKLLALGT KVYNSHRLIAGGIAGTTQYDLVEKADGFAEVFPEHKYQVVEMLQQRGHLTAMTGDGVN DAPSLKKSDCGIAVEGATEAAQAAADIVFLAPGLSTIVDAIKVARQIFQRMKAYVQYR IALCLHLELYLVSSMIIINETIRSELIVFIALFADLATIAVAYDNAHYEPRPVEWQLP KIWVISVVLGILLALATWVLRGTFYLPSGGLVQNFGNIQLMLFLEVSLTENWLIFVTR GGETWPSWKLVGAIFLVDVLSTLFCVFGWLSGHEHPSATNPAGTFVESANGDTSIVTV IVIWLYSIFVTVVIALVYYLMVGMKWLDNLGRAKRSVSDTNMENILGHLSRIALEHEK DVHGNTKWVLGTRATGEEEEE EPUS_02118 MEPPHQPRKPGFTARISRAASTLKPTTGSAKLKLKLKLKLKLKL KLKLKTKAKRFFTTPHSRNSTSPPDTPTPRRSFAETFLKKKKKKKEKKRSRRTPTLQT KTTRSGNIQPLTIHQPLTISPPPIHTRSLMRNSPSSPRRWCSPCSSRLYL EPUS_02119 MAMRQPQSVGSSVGTPSPSPAGSGGDKANTSGDSKSCGTRGRGH GVHCLETNDGSAHASRPGTFQLSPSSDGEDSDCEGGVSLSPGASEISPIDEEKPLTVS NFSLLRWLRAGASNDDDELLADIRNATQVHLPRSSGSSFTSDSGSWETISSDSPCNHD SGSPNASAWRSASSGSWASGERRLDISRWSVDSSDAYDSDGHSSRTLEELFERYARIW ERPAPGALSDVPSLTSAQGASSFSSTGQTSDSSAQALFNYLERWSWPLEDEEEFFDAQ EFLGESH EPUS_02120 MIHQPSGGYFGQASDIAIHAKEILRVRGQLNRIYERHLTGTKDK KMQLDEIEKLMERDYFMGAHEALELGIVDEILDRRWEKVYSTRIPNQGARLKKEEIPG SENSMLDFGA EPUS_02121 MNALPPIRRISSQAVRQTTRLGLRSISSQRPPPTPPTPHSWTPT PFITETIGGQWHTYDIFSRLLKERIICLNGNVDDSVSASIVAQLLFLEADNPEKPINL YINSPGGSVTAGLAIYDCMTYIQSEGETLFLSLPNHSFEPPPLPARLQREASN EPUS_02122 MIVAGLYSNKTEATSTVPTCSPPCSIGDVGREDWFYWPVVEIDE QVLATVILDVDNKSDTTSTTTKYGTLIDAEAGTTIEYVTDATSFWNSLMSTSGVVVEN NTPVITLKPTIYTAPGEYITITTAITSPTIYSNLGFLAYSVTNEFESYYTDGDTGSVE AICTTASASTIGLVPTVTPIGFTNPYYAPLDSAGWSALLPQKWSNCYPEQSFGPSRVG ESVIGIVSALTTTETRYGPYVHPQVPVATSKNQETSKNQETSKNQETSKNQETSKKQE TSKNQKTSKNQNTSVAAASGSVSSYQDVQTRANSPARPSAASVSATKVAERPPVSQDQ LDAAPTPSGAPKELAPAAIRPSDDPQPGSSYAGGTSLNSGAAPTATPLEPVVISGTTI QPGAAPVTIGRITYSLAASSPVLVVDGSTSNLPPQGTPPPVVISGITVAPDAAPVTVG GTVYSLSPSTLVLLVDGSTSTLAQQATLVPVVISGTTLRPGAPGVTISGSVYSLVPGS PEVVIDGSTSTLASEPTAVPFVIAGTTLVPGAPAVTVGGSVYSLVPVSPELVIDGSTS TLTSQPTAAPIVIAGTTLVPGAPALTISGTVYSLAPGYSELVIDGSTTTLTSQPTAAS IVIAGTTLVPGAPAITISGTVYSLAPGYSELVIDGSTTTLTSPLTAAPVVIAGMTLTP GAPAVIISGTTYSLELSSSLLVVDGSTLIPETTQSATSGEGYGSLIWSGVGGRVTASI ASTGPTSATGTGTRTGTGPQVAVYTGTGSRIEHGVGKSWMVLSMVAALYILFA EPUS_02123 MRVLPSTSGSSVWVVVAEGCNPATDPQDCPERRGELFASNASSS LVQKGFYELPLQAEEILGYSGNGQFAYDQITLGGNGAGGPALNNTMFAGIATKDFLIG TMGLTPWGVNFTDSNNPVPSLLTSLKNAGLVTSNSWGYSAGAFYSPKQTSGSLTFGGY DASRFVPNNLTFTRGPDIARDLLIGIQTITSGTDSLLLEGVVAMIDSTVAQIWLPNEA CRRFEEIFGLVWDETAELYLVNDTLHQKLVDEDPSVTFTIGSNTTSSDIINIVLPYGA FDLTADWPLTTNGTARYFPLRRAANASQVVLGRTFLQEAYLIVDYDRNNFSLSRAVFP DTNVPQQLVTILPPSEKGSSHGRLAKGAIIGIGVGTAAIIVALIATFLYLMRRRWLPS APKIQVTTNAPEETKPELDAQQTPNFSGMGTPVSLNSTPVEAKGSQRFPREMYDPSII RPEVQGDSRRPVELAECARVVRHELSG EPUS_02124 MTEKLSSLPIVVQVSQEEVSERKLTWENLETAVRALHRDGLVVL ENVIEKSKLDTLNKKMVKDALTLQAKGENMPYNYNKGNIQQDPPLTKEHFEPSIFLNP IATQVTSAVLGPRPRLSFVSGNTALSPSDDSPPQSQPTHSDADIKHPDCPFALVLNIP LVDMSAENGSTEIWLGSHIGSNLGCQEGRHGERASGRIRKDLVEERRAIRPPSQPTVK KGSIVIRDLRLWHGGKPNMTDETRVMLAMIHFAPWYRNPMQVEFADDLIPTLEGHESD LQIQATYISAAEVEERYLNRPFGNAYDFGQQDDPVDI EPUS_02125 MATGTIIQPQTTSLTSNDTAVLSALFDPESSPSSSISISNVAPT LPHIPNAILPDLQQREISAIQSLNTESPSQPSIESSISDLSVLINEYPKYAPAYLNRA QATRLLIGEETDLFHPRNNDLISRALSDLEKAISLASPSFPPDPLSDLQASLLSKAHT HRGYLLLKASQAVRQAGLSLPTDLAGLSGEELEEMASKDFSLGGKYGNKIAREMSVKT NPYAKICGAIVKEAMRKEREDYARGYQ EPUS_02126 MAPIALSPPSSPSPRTAATQASPSVSLNRYRGYDHVHWYVGNAK QAASYYMTRFGFRLVAYRGLETGSRAVASPVVSNGHVTFVLTSPLRSRDRAEGFGREE SALLAEVHEHLSRHGDGVKDVAFEVDDVEAVFHGAVAKGAGVVSQPRVLGDKEGEVKV ATIKTYGDTTHTLVERGSYRGSFLPGYRSEVGKVDALTRFLPEVELEAVDHCVGNQDW GEMEEVCEYYERCLGFSRFWSVDDSQVCTEYSALKSVVMASPNEVVKMPINEPAKGKK RSQIEEYVDFYSGAGVQHIALRTRDIIRDVTNLKARGVEFIQVPDTYYDMMEKRLKKQ SMVLQEDWDTLRRLHILVDFDSDGYLLQLFTKHLMDRPTVFIEIIQRNNFNGFGAGNF KSLFEAIEREQELRGNLV EPUS_02127 MDIDASSLRSQPRLSPIKGTRPKDKEFQRAYKACIPCRRRKARC DLGINSSPPCARCRREHRECVFSSERNYNSVKRHKNDQDGRRSFTNRLNHTQYLDAAV DGRGSDTVAHEGEHQLSTETHSQDAAPKANLAKSVMQTVVSNGNDALNILFEAAAHQE LADYAQFPKSPPTNPAPVYDTPRSVLSLDTAASASRVVKLSQPNHETLKLWSQTRFVM NGWLSAEEAVTFIDLFFQNMSPLSPIVTNYYQDHAHHFQLTTEEPLLITVLLMISSRY HLLPCPGAASRGFFMHERFWHHCQNLIQRILYGQEKSSNARSRAIGSIEALLLICEWH PRALHFPHETEGWEYVSQNESDGKVLGSQPVSATSTRWLEDVIEPARRSDRMSWMLLG TALTLAHELGIFDDDEKAMSTVSQMESQIDPGNFQLRKLRMRKLLYVYINQLASRLGC SSMFPQNLTQATMATVTPLTDKWQSHMSSWIELTKLVKSASEVFFPSPLVTRQLLSSG RYSSLLDHFIPLLEQWRRKHLDSHDFQGPYQDTLFIEYHYARIYINSIGMQAVCQRAL SEMDSGLEANSLRTTLDGQENGFINEVIDGGIEILERTIKLAETDTLRFSPVRTFLRI TTSSVFLLKAISLGIRNAKLQRAFSTLDKSIQAMRISNLDDMHLAARYATLLETHVSR LRRGFVASTQKQSRLNHTVSNSASQSEAGSRQTNGIKFADGSPPAAPCDFSTNGPDML PLQELSADDWLSLPFDPSMAPFGADESFGFSAFDENALDFIWNLPAG EPUS_02128 MQGQTSPIETPTTPCTPSFPLFGSSSPLPHSRQSSISSIQTVMP TRRCSSFLSPNSTTPTSTGHSIPIIRPLPLRPALSTTPTHSHSHRISKPSQNQHQQQQ QQQQQRRSRSCSRSPSPQRSRSPLTHTISPSNHRPQPTQPTLTYSHALSHLSTCLIPL ISVTTGLPHPTFPRSLLQYHLLTHAQLDELAVYYHQVVPAVRETDKYPVSIPGWVDRR GEETGAEEGEGVDVEEVEGREREMREVLEREWRRGVERRREEERLRSKAEGWRERW EPUS_02129 METDPTAPTSRGPNTASAPAPANLSQTADETNSSPVHSMSGALP AADVKDSPEDTEDVGDPGANETVEVPVEAPDSIVQPADSTLPDGDTTGDNSFVPPATS NSVAEANGTSSTSKRPPNGKRKSTGGVPEHKTKKLNKKKSLPKITHLDAQPGEYYFAR LKSYPPWPAIICDEGMLPHSLLSTRPVTTKQADGTYKEAYADGGKRVHERTFPIMFLH TNEFAWLPNTELTKLDIEECKDVSEKGKTKSLIQAYHVAAEGHNLEHFKAMLLDHQKA MQEDQERREAREAAKAGKADRADKKKRKSEAAEEDEDVDMEDAEEEGKPQPKKSSKKR KKEAESDGEEEKPVKTPKTATKLKLTTPKAPGTNEKKAVTKATKPKSERKKSKAAISD EEPIEEEPKEPEKELDPAEAKAKKEKEGKDRAVQRSVWQIICLLSTVLFLRHKLQKGF LSRDQAPQESEMATMSNFIGKLENYGDLEVSIIRSTKINKVLKALIKLNTIPKDEEFN FKSRSVDLLGRWNKALGADSTADEAAGPSGGGKEDQPTTNGVHKEDKESSEEKKDVSS AATDAVQGTETMREASSDAKETVVDKMVDDEAPSGAIAEEAEKSAEEVKADADLAASE TS EPUS_02130 MSESQPPLRFTGHHSLAHRLVLSTLTGRAVHISQIRPSLPSNPG LAPHEISLLRLLEAVTNGAHIEISYTGTILLYKPGLISGNAAGKSAIRHEIAATCLRG ASYFLVPLALLAPFSKAPFNVLLTGPGVITSSTLSGDISVDTVRTAILPLYSKFGIDR NIELRILQRSNAGTRGRGGAGEVQIIFGHQIRLPKTLHFMNCGRIKRIRGVAYSTGVS GSNNARMIDAARGVLNPFAADTYIFSDVSSAALIAAPEKNNPGAKRKVGLGFGLSLVA ESSTGTLYSADAASPPAGGQPPEDIGQQCAYYLLESIARGGCASLEAAPTMLTLMAMG SEDIGRIQLGKDVIGNEKIIQLARDLNNFGAAGWGIRDAEDQSKDEVIVSIVGRGIGN VARKIG EPUS_02131 MAMPLNLPKWLEKNSHLLKPPVNNHCVYHPSSPGTSGLTVMVVG GPNARTDYHINSTPEFFYQHRGSMLLKTVDTSTTPHTFNDIAIHENSLYLLPANTPHN PVRFADTVGIVLEQPRPKNSLDTLRWYCIDCRSIVHEASFHCTDLGTQVKDGVIAFEN DMEARTCKTCGTVANPRPSEGEIEQPPRFPPD EPUS_02132 MRAFYSKRPFLTSSDVRILEAISRPRLSSASNTCRRARPSTCNE SLSVRARGAKTKASLKVQDLPQGVIGIDPLPELEPDDAPQYPAVVQGAKNNMIKFNDC VVLTRVGNFYELYFEHAEQYAPLLNLKLASKKTSAGPVAMAGFPFFQLDRFLKTLVQD LNKHVAISEEFPVATSGKVKSGGLLFDRRVARVITPGTLIDEKFIDPFENNFLLSVHP GAIQASAQQDVSSESTHQNTPAHAHGQKLGLAWLDLSTGDFFTQITTLALLPSALARI RPREVVLDPIWQAKNRPLLQLILDQGHLLTTTHHCGNYKTSLSEWDHTLETPIDPHSE AAFTSEEMFAIHHLLDYVAGQLQGLEMKLQPPRRKVLQETMTIDRNSMRGLEILETAR DGLGKGSLLHAVRRTSTKSGTRLLRDRLASPSASVPEIEVRLDLVTAFLNDLTLREDI IHLLQHSYDAQRLVQKFSLNKGDADDLIYLARAIEASKAIQVSLEHKVQQDYSTNESI SSAIPALLVRLELDGPTQLGHQILETIDEDGLNQKHRLEESEAASVAALAQEVAMSDA LPEEIETMPQKVRQNKKPPEAKDLDSEFEDTWMMRRTASESLMRLHEDLDSLRHEKFN LAQELRNKLEAPTLTLKWTPGLGHICHVRGASKIPFESLNARVVSSTKSTRSFYLTSW SRLGSKIDQAKIYIRNEEQRLFASLRSEVIRQLVKLRRNAAVLDELDVACSFATLADE QSWSRPKLNPGTEHKVIGGRHPTVKLGLEEQGRTFVSNDLFLGPKERIWLVTGPNMAG KSTFLRQNALISILAQVGSYVPAEYAEIGIVDQVFSRIGAADDLFRDQSTFMVEMLET AAILRHATPKSFVIMDEVGRGTTPEDGIAVGYACLHHLYHINMCRTLFATHFHALADM TQDFQSLGRYCTDVVEDGNGSFSFIHRLRQGVNRQSHALKVARLAGIPESAIEVATGV LRDFSMEHPARPTRDHQDLREKNLIAAAG EPUS_02133 MALNGISLESNESGYLVRIAPNEVAWSDPEAVSTIYRTKTIFTK TDYYDAWASPNKRDVGHFPARHEKEHSERRRIVNNIYSVSSILESEEATDSCTQLFYA TIRDFTKQSPVVDLCLRINMYAFDVLGELFYGKMFGFMSECTDIDCTLYLQSLFSPSV RGTLGAVKHIENASEAAVKRRKQEIEEHKDDKSDMLRKMLEINAD EPUS_02134 MPRLVPVGGATISGFYFPKGYRVGVNGAVVHYDKDIFGPEADNF NPDRWIKGDAVRMDKMMIHFGAGPRTCIGKNISLSEIYKLVPQIIRVFHIGLADPSKE WKTHNYWFNKQTEVNIFIKDRMYGSN EPUS_02135 MADPNNVSQYKYSAMSNLVLQADRRFVTRRNDEVTGDPESLAGR INIRDMGSRTDREDAPKQKKKAGLKDVERGSIREGEDVLEREQRKRKRGEPAQMRGAG ILSAGEALIEGIKYRPRTPATRATYDLLLTLTANALGDVPHEVVRSATDAVLETLKNE SLKDFDKKKEIDDLVGISMSSKAFNELVNLGKKITDYDAQDDDENMDDDNGGDNGAEL DERQGVAVVFDESDEDEDGAARTYEVRDEGEPSSDEEDVEEARDAVSDVKDPADPDDA GGDDASDGGMVLDGGLNKAGRQDGANSPDILQVHDIDAYWLQRQVGNIYTDAHVQQTK AQEALQTLSGIGQEGEEIPLRDIENDLMELFDYEQAELVGRLVSNRHRVVWATRWRRA AEDDDARHLVENQMIEAGQSDILDELRGKKYKGENDGTHAAKKMKMDLMDIDIPKPTT NGGTKQEQKDGELVGGLQPKRLVNLENLVFDQGNHLMTNPNVKLPQGSTKRTFKGYEE IHVPAPRPRREPGEKNLPASELPDWARQGFGSAKELNRIQTKCFPSAFHDDGNMLICA PTGSGKTNVAMLAMLREIGKNRIPETGEILLDDFKIVYIAPLKALVQEQVGNFGKRLE PYGIRVSELTGDRQLTKQQIADTQIIVTTPEKWDVITRKATDLSYTRLVRLVVIDEIH LLHDDRGPVLESIVSRTIRKIEQTGDTVRLVGLSATLPNYRDVASFLRVDPTKGLFHF DGSYRPCPLKQEFIGVTDKKAIKQLKTMNDVCYTKVLEQVGTNKNQMLIFVHSRKETA KTARYIRDKAVEMETIGQILRTDAASRAVLAEEAQSVSDASLKDLMPYGFGIHHAGMN VVDRTAVQDLFADGYLQVLVCTATLAWGVNLPAHTVIIKGTQVYSPEKGSWVELSPQD VLQMLGRAGRPQFDSFGEGIIITSQSEIQYYLSLLNQQLPIESQLMSRLADNLNAEIV LGNVRNRDEGVEWLGYTYLFVRMLRSPGLYSVGPEYAGDEALEQKRVDLIHSAATVLE KASLVKYDKKTGKLQATELGRIASHYYITHHSMLTYNHHLQPMISTIELFRIFALSDE FKYIPVRQDEKLELAKLLGRVPIPVKESIEESQAKINVLLQAYISRLKLEGLALMADL VYVTQSAGRILRAMFEICLRKGWASVAKVALDLCKMAEKRMWPTMTPLRQFPMCPKDI IHKAERIDVPWSSYFDIDPPRMGELLGVPKAGRIVCELVAKFPRLEIQAQVQPMTRSM LRVELTITPNFTWDDSLHGTAESFWIIVEDCDGEDILFHDQFVLRKDFALSDMNEHLI EFTVPITEPMPPNYFISLISDRWMHSETKVPVSFQRLILPERFPAHTQLLNMQPVPVQ ALKRKEYVALYPHFDRFNKIQTQVFKSLFDSDENVFVGAPTGSGKTICAEFALLKHWS QPEAGKAVYVAPFPELIDNRLAEWQERLKTLAGGKTILKLTGELTSDLKVLNEADLIL ATPVQWDVLSRQWQRRKSVQNVQLFIADELHMLGGHLGYIYEVIVSRMHYIALQTEHQ MRIVGLSVPLSNARDLGEWIGASKHTTFNFSPHIRPIPLELHIQSFTIPHFPSLMMAM ARPAYSAILQLSPDKPALVFVPGRKQVRATALDLLSACIIDDDEERFLHTSTEELKPF MEHINEQALADSLSHGIGYYHEALSTNDKRIVSHLFKIGAIQVMLASRDVCWEINFTA HLVIVMGTQYYEGREHRYIDYPISDILQMFGRACRPLEDKSSRGVLMVPAVKREYYKK FLNEALPIESHLQIYLPDAFVTEISTKTIASTQDAVDWTTYTYFYRRLLANPSYYGLN DISHEGLSAHLSELVENTLKELSEAKIIDLDEEDDSVSPLNPAMIAAYYNISFITMQT FLLSLNARTKLKSLLEIVTSATEFESIQMRRHEDHILRRVYDRVPVKMSEPAHGSPHF KAFVLLQAHFSRMQLPIDLAKDQEMIVSKVLNLLSACVDILSSEGHLNATFAMDMSQM VVQAMWDRDSPLLQIPHFTSETVKVAAEYRIEDIEEFMAKMDPAENPDYRALVKKLGL DGRQLLEAAAFTNEKYPNIDLAFEIEDPQNITANDPAYLKIRLIRDPDDGEEEEEEEG ERGSGKDKADEDVDTTVHAPFYPLHKTENWWLVVSDQKNKALLAIKRITIARKIMQVR LEFVVPSPGKKELTLSLVCDSYVGVDQEHPLQVEVAEGMEEDSDEDEDEGGD EPUS_02136 MASLNLSTNGPSISKSYKSIVDAPAPSGAAAASPTYAQWALFAV SAPLVNAFQQDSGGKESVLKVQSTGEGELVDLIDDFSDGRIQFAYVKVKDPNTGLPKN ALVAWCGEGVPERTKGYFTSHLAAVSKLLHGYHVQVTARSDRDLTPEGIIQKIADSSG SKYSAGSGAPSTTTATKPTVASKPAFTPTQSSGGGGYSPMARSRQDASRSTNVVDDDG WGEDAPPVTRTQLEKVQPAYQPTKVNMRELSSQNQPREPPNDNRSNESPPGVVKGTYQ PVGKVDIAAIRRQAKESGASNDDRPEVVKGSYEPVGKVDIAAIRAKAQRPSEPPVAAA AVNESGKAEETRAEMKPVSQRSAAFSTSERLTSLPKPKVANKFGSGASFTGTKAPTPG RFEAKPLSAAAPVGTASRTFADQGGKTPAQIWAEKKARERGLSGSADAIQPSYSGQSP LQSQTSGGGEWKSGYAGRSWAPVQTTPTGKSAGSSIGQQKTGEIQSPIEEEAPRSPAG GVGSIRDRFSGAPPMGAPAPNVDRPAPAPEPDTSNKPNRGIPIPGLPTRPSGGEAAPS MPSPPPQPPRSPTPPTPEMRSTSPIRVAMPVARGTVPEIANVHSEQMSPPPAMPIRSM EKNAPEPEPEDDEPDTGPDPARAQAQTTAAATFGQEAVENIPSASSAAGGGGGKRALV QYDYEVAEDNEIELKEGEYVINIDMVDEDWWMGENARGQIGLFPSNYVELVEDQDDDA PTHETASATIDPTPSATAAGPPGGSGTGPTATALYDYEAAEDNEISFPEGATIINLEF PDEDWWLGEYKGRSGLFPANYVQVDE EPUS_02137 MCVNRTFNNLLAPDGVLQASKLAKHGALTTTDQEHLWSLSRRAF FPGMPRPLFSRSEHGTWKLIRAGPGNDYVRVIWPFAVRSCGNCLRGRLQKETDLLFSA SSALLPALPFAFFTPSLNYVASITLRNEPPPTGLQLTKWYFKPQVDDMKAKLDEVREL GAATAEEWFKGLEVDGKEKAADTARWEHWEAAGGFQAIAHGVPDSRPLQRPDRESYHH SSTGPSPSGFGTATANTFEDARPSQAHPWAIQAPQSTLPPKPAISISPYGLTFPSTTQ SSSLPSTKPYTRVERSIHDVNEKKNARRLEIEKRCAELNPPIKPSTLALMESFAAALQ IAMPLTDQAWEHLRPRLLAQREVAEQQEREQLAKNQFLIQQAEERKQQEAQLKEAKEI LDREWEESQKSVREKLEMYADTFVREQWQNGDAITKGSCAQFAADMLLHVRHRFYTSI AQEDAHKRSMGIPIPIDSPQATPTRKLTLENMRWVYENKIKPFTEHYQKELFLCNACD NHGKYYSLDSVVQHFAAKHTDSLSMGTAVVYWKSDWPELPPFDPNPTAARASMYVSAV NASPAFTSAQFMHNTPSASHGHATGMHINPEHSMYPGPHIAAPAYGRPAQSYGSVSPA YQEQPSPKSTFYRIDQAAVRGYNPSPITTYPAAQVYGHPQAPNHQDWRGQQAANNATN SYQQAFPVSFPNQQPDFSARPGSALSQISQNPPYASWPTASNKFPRPLQQAVVKPAVN PVQPGQPMGIYQVQIQELAKNAREIFEGTSDVAEMPDSVRVQVIIRHVVLRFKDKYTN EPNLALFTDGLNNNSQMAPMRALSGLSCKACVTTSRMSGTYGEAVKRDSDRRMHTLPA LLAHFQSAHVEHVQPIAISSGGVEMPRLDWKFDMVEMPDAAVVRNLIYSRGITQMKLN LIATVLPSYFPSPLPQVEPPYSNNDDPAFAESEAGKTPLAHDFAGRDSRTTQALTDGP SPAPMAEHLIEAVDRGDSQQSRYRLLDNTNQSVPRQDEESREDEYDPHRPAYTGALKP EIRSQMSYEPSRWDFCHENEDAPARVLVSGQRAPQDLEAVADHNRVAAASSYAVPQTG QPLSGRSDTELRGAFVRDASGLEPRGRWAVQESKPAQTEPEAAPGREPLNAAEQFLQN FDPTAETFQERTPPAPAASTPRRNEYSHDFYSNPGPQARTEDLSWLPTGANAKTTDYS ERFVHEATDGTVDEPHHAREYARLYGGRHVEELPRTERSGATSPDNQSLSKVRVAHLP PHLKIVRDSPSRRPNSRFERYEAQRQGSQQAQSRSPAAATASLPIDEEIYRESYPVHR PAGRQIYAARPEERHGGYPYASEVTHRRAPQSSHQIRYIENPRYVESLHDRYVEYVRV APREWQASGGYYVQRPATHDGTDQYVGYEPTRPHEQIFEKEGHLYTRAPPSPEDYRNP YARQIPHQ EPUS_02138 MDSPAFAGSVHGRDNEQDSDDDAEIYKYRARLESTGIGANESKS QPNSAHVAVENGETETSTPSEETIQEEQQRNPFYSYATEKYDSHADAKLIYQRHRLDT RSGEGESPLLLAKSATLPASFFDGDLAGVSRTTSINSRSSNRAYARDPTISNHHSGLQ VQTRDGQAVPDRRTFEPVTTANAKARSHANHPGLPHEYKDPLLANQDVHGAGAGMGIG SGAGGFAATEDTIVTEVETICKKIKTLLDLRRKYLKTSLQCPGDNPKDVEDWEIYPPP PEPVWTDEKARPVASASSNALSSMSNSLYAMESSNNTEQQPPASPAKKQRKAGQAIGE DFDFSECHIADASEMTFELDEGSVYQVYDPQASEGATPIVHVPTLRDFYMDLEIIHDI SSDGPAKSFAYRRLQYLEGRYNLYSLLNEYEEVAETKKVPHRDFYNVRKVDTHVHHSA CMNQKHLLRFIKSKMKKCPEEVVLFRDGKTLTLREVFESINLTAYDLSIDTLDMHAHT DSFHRFDKFNLKYNPIGQSRLRDIFLKTDNFIKGKYLADITREVISDLESSKYQMVEW RISIYGRNLQEWDKLAAWVLDNKIISPNVRWLIQVPRLYDMYKSSKMMENFETVIKNV FQPLFEVTKDPTSHPKLHIFLHRVIGFDSVDDESKVERRLYRKYPTPKEWNSKQNPPY SYWIYFLFANMTSLNAFRKRRGFNTFLLRPHCGEAGDTDHLAAALLCCHSISHGITLR KVPIFQYAFYLDQIGIAMSPLSNNALFLTYDRNPFVSYFRKGLNVSLSTDDPLQFAYT KEPLIEEYSVAAQIYKFSAVDMCELAKHSVDQSGFELSLKQRWLGKYCYLPGALGNDV AKCNVPDVRESFRHDTLKAEHSFIAKYTGDYSHFQKFNPSLPEPIDAETPIEEVFGTP KRYFEQVADSIPPPQSSRIPGVRSITDMDGLRKPPVRSRTTSRASITIHPVAADPVLT EPRMIPGILHENERRRSRRFSSSGGSEGASAETITPGLAKLAVKEQGEADQIEQSE EPUS_02139 MTASPPRRRFVPEPVETIARSSRRPNRGDPETQLADRIPDQMSA SSTAPDFLPSDQIKPTTTTRRFPLQPVEISLRTTEPSNWEESGESRVEGRRVKDNSIT GTGGGSIDGYNEPRQSTPQLVETPAKSPRKFALKPVETSTRSNRRRKGEEQDGEKSQS TQRRFAPEPVETSTKTSRKKPTEVTQEPEAPPVRRRFAPEHIQTTTKSNHNKQATATV ESSSQAPRRRFAPEAVETTIRRRRKQSVEEQPYPPSSDDKTTSRSSNGISSPRKFSPE LIETARGSFRKGDSSPRTSRAATTDEPTAVPRYLRPPLAPSNTPAHSYAEVTQIHESR FSAASLAKRQTRQHSFLVPELPCIESDSSAENSAVPSLSTSPSISSEESTNRQHKGEI RESHDGKFRGYMLSLAAQAAEKQLRDQAMAAYPNEQVHEPVHHFAVEDSDEESLPGKL EADNGTDPRIFRRESGADLAWHMNEMRRHHEQLEEAKRALKEDTAGQSRFSAVALMER HKTRAKKELGGHQKGVGLAEMRNAASPPMLGQDLVFPMSVSPKMTRCDVDQIPVPRTN ECEDNNDDSGEPQLWTTHVGLQNNPEAGLWMGLCQKKDCDEQSPPTPMRSGLMTPAVQ VDDQERAGELKHGRAFDKQNHNTMYLLATPAIQQNDPFTRTIDDKLNKELSIEQQIEE EFHHGFITQIYNYLSLGYPSLAHKFDEELSKITRIPVEELRRDDNLADAKGYVGAPEG EGLDEDSVKEGKCARWTALRLYIHEWARQQPGMADRTPNEWGVRARRGSWAF EPUS_02140 MRCPDQLHPPLFQIAVSMLINTGDRAMELKENTIIVVLGASGDL AKKKTFPALFGLFRNKFLPKDIRIVGYARTKMDHTEYLKRVKSYIKTPTKDVEEQLET FCETCTYLSGQYDQGEPFQELDKHLSEYEEGRPEGNRVFYMALPPSVFIPVSQNLKKH CYPKNGIARIIVEKPFGKDLGSSRELQRALEPDWKEDEIFRIDHYLGKEMVKNLLILR FGNEFFGATWNRNHIDSVQISFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAM ERPISFSSEDIRDEKVRVLRGIPAIEPKNVIIGQYGRSLDGTKPAYKEDDTVPKDSRC PTFCAMVAFIKNERWDGVPFIMKAGKALNEQKTEIRIQFKDVTSGIFKDIPRNELVIR VQPNESVYIKMNSKLPGLSMQTVVTELDLTYRRRFSDLKIPEAYESLILDALKGDHSN FVRDDELDASWRIFTPLLHYLDEKKEIIPMEYPYGSRGPAVLDDFTASYGYKFSDAAG YQWPMANAPNKL EPUS_02141 MKTTYLTSLSTTLSPFSPHGKVPRLVLTLLPADARSRIAIKTTL LPRSSALTSPATLELGFKDGKKMQWRWEPKRRLKEHEEGQRQEAAKLKDVVEEVERHW RGLNRKEELAG EPUS_02142 MVERTETPPSPSSTVPFPRDQDFVDRRTLLDQIHQKCSIPASRT ALVGLGGAGKSQLAIEYSYQIRDQSPETWVFWVHASNAARFEQSFQEIADRVKIPEWR SPKADMFKLVHDWLCDEKKGKWFLILDNVDDARFLVEASVTSQETQVGGSGSKFTRSL WTNVPLSQNGSILITTRTRSAALKLVEESDMVAVEPMDERHAVALFDKKLRIPSDQKD ITELVAALEYMPLAIVQAAAYISQRAPRCTVLQYMEKFYTSDQRKTSLLNYEAGHLRR DRDAKNSIIITWQISFDHIYETQRTAADLLSLMSFFDRQGIPEALLRDQAGRKNGRES EDGRESENRKAEGGDGRESDDEDTASDWSGDEKFEDDILILRNYSFISVNVNGTMFEM HRLVQLATRKWLEAHEQLEMWKQQYIKNLCSEYPRTGEYKNWVKCQALFPHARTLLAQ QPESNRSLREWASILHTAAWYALGKGNLSDAEKMSKRAMKIRNEIFGQEHEDTLDSMG LVATVYTEGGRWIEGEELNMQVVKMSQRVLGQEHPDTLISMNNLASTYWNQGRWKEAE ELDVQVIEIRKRVLGQEHPDTLMSISNLASTYWNQGRWKEAEELDVQVIEIRKRVLGQ EHPDTLISMNNLASTYWNQGRWKEAEELEVQVIEMRKRVLGQEHPDTLMSMNNLACTW KDQGLQKEALALMEDCFQRRSRILGPKHPYTLDSLTFLNEWKVEE EPUS_02143 MASLSLSARLQTVADTYKNTLILIQRLQKLPYTPGAFSNADTDP RLELSSEIHQSLKEQEDELETLRQEVDVATASNFMGGYVGGGSVRRRNSEQLREREKI AALVAKLGEDLKIARSSFRKAQLEAKRNADAARRKERELLFANRSKDADGPLPARRKG QEKLTQDELALNASSDVTAALRRTHALLQNNVEQSQFAQQTLNESTDALASLGESYAG LDSLLKSSGGLVRQLIRSNKSDTWYLTTAFYILVVTIGWLVFRRIFYGPLWWLVWQPL KLVWWLAMTTLAGVGIVGGEKAAALSSTVVRGSTTVPGASGMRPTWASDRPPAYVPVG AKGGGWGIPQPQATPGADSNKGGTSMVDQIGEMIEEKDAQKDKEEPVLKERTENDPPN PKKRMYEQENEHTPQKRDEL EPUS_02144 MANFFDNKARAANAASSSSSKPKQSSATAERAQPWVEKYRPKDL SEVKAQDHVVDVLRRMLNYGNLPHLLLYGPPGNGKTSTIIALCRELYGPLLYNSRVLT LNASDDRGISIIRTKVKDFSRLQLSNAPISEEYRKLYPCPPFRICVLDEADALSQDAQ AALRRVMEIHSTTTRCSKFRFKTLAGVDASARLAEIAVKEDVKYEDGVIQRLLEVSEG DMRRAVTYLQSSFNLTSAGATASAKGKRGKKLVQSDDSDEEMTDAPSRTAPVIVTIRT VEEVAGVIATSVIQDLVSAMQPSKRGAIYETVSKQITAMVADGWSANQVLSQLYTLLI ADETLDSRKKLKIFAIFSEVDKSLVDGSDEHLTALDLALRVASVLGEMK EPUS_02145 MGGKKSVDKADSVMQYDGKARRDQNNLPAEQAETAIGDSCAHPV LTATGRVVKHRTDLQCEGRPSRRSAARDDSKASEAGDATNTSYDSKNNDQDDVIESPK ARKRRTRKKEQPVPEETLDSLRSEREYFKGLYEQEKAKAKDLKIKRAAINKENISRRK NQTTLKQKVKSWQAETQNEQKFVAELQKKLADKDQIIAKSSEAVFRHIGKGASDTLDD NHVRGRLKEVRMMRQNWVSEHAISSLNGVPEQFIKRLLEYGMPKAVSLLEKQSTYQLF IREQGAPRMLLGILVSHSCRQLLEDPFASLERVGHAEKMGLQRVLDHGMPRNERMTRA WRQLTAKVLNLGDDSVVGNANEGEDGLESLARVRGVYYERLAEDIRGDAYYLLKGLDE NRSRIRFEDLLHLIRTTGALCAELWAQEAQMRLSSQDFEMETVFSSDSQLLRPHSCMC LDAMDRRYDGRKVMLVVEPGLYASSNEDDKDYGEWRPWIPAIIWLSEQDPKRIQSSTA APTEDADDTITEAKRRLSAVNSQLQRPSKRSKHEHAHFPNETESERQDGLPSSQRVEA VDRLVASKGPVEDAKMEASSSNSPPNGSLSLSQANQYPVASLSAEGDPIPPPEEGHIF GKELIAHAISGSSERQDGQSKILQDFRRAGFPKEDKNRVMEQEEGGGMPADRSSEVAA SYDKLQHIEAGTFESYAFLPIPETSLSSDLHVLVLRIPFTRHPPIHTIRRSYLGPRNT QASLQPYMINAAVFFFFLAALNPDYDCISMLDAFLEALGSQIEALQEIFQCIKSLFRD S EPUS_02146 MAKQSAGSKQPGVVDTTPKNKVPSSKRAASAMSSNESHVRATSE TTEAPTGSRAPSSRKVKRPGGDRKEAAAKAVKQQTQSKTVCSIDFGTTYSGVAIVGSV NCGIRDIEVLRNWKNGRYIEQVPSRIAYPEENPGLDKIAFGYEVTSTMKSYTWMKLLL GCVEMDDFTETKLGSKAAKGMLELPPGKTAEDVVCDYLKCLYEHIMDHLAEESPGPML EERPIEFWLTTPACWDDHTNALTRQCALAAGFGSRTNDSLCMIREPDAALVANVSSSV DKHEGVYKPGMSVGVVDIGGGTIDAKTMTLISLNPLRTKDACVGTGAKIGMTDLEFKL HDRCDALFGNAFSDLPTEVIGPSSEFAEEFEVIKRNFDGGDDDKEHWLRLLPLKRALE ATNTTSNNREVRDIFEPVVQEALNQLNNQITCLKKAGRKPMSIVVLAGGGGTSRYVIH RFQEYCATALGGTVTVRRDGRAWSAVVRGAAVKGLEGGVIVSQESPRAYGFVCHKQFD GSIDNEEDSFQCPIYGKRASNRMDWILHQGATIVADMKVTKDVYISPKDMGDLSQYWD FFECEEEVAPERLDDDAVKRIGRIRVDLNSNQDAQRKKRKRSSTNDKLEAIRIKLELT LGSAAGVLEVRALHGRPGVEVGKAKIEYAREKGRMTRGSR EPUS_02147 MNHMKQQRPRLAEDSDGEYNLRRSKRNSVGESSIHSQNNTLAES SSSRSRSEPLNMVSSVQANSDIRENDSVLNMAKTRRLSVESGKAYQSLHGSYPKSSVD MNAPSTNSMKMKDLSNHLDGKSVEKKTRTEVLPDDSMTIVVLKKAKKRLTEQARELRN ENKEYKATIEALEEDIELLQNDRGEREARFQEAQEDALKLLKRDAIDALPDDRVQEEL KIIFDRCRRWTKKHAGTLPVDREGIKESIRVMLHGKNDRKSASTEGLHAALDGVINIR HVITAMLTRHLIFNFFHRPFFYLQNCKQGGLQKSTEKQLLHVQKMGTNSGEKMQHQWR AMTTRMISANTGDITEQGTSTAPTLIQSLKNDAYATFADQVVTTCKALLRDIDDEEAT VRRAELRGLFERAGNLACHLHGQHVKIQVLLSPQEIGNFHVNSKIMEAHTTMDIEEDD HSWDGKPIDLVMHPGLLAYGNECGENYDQFKVWSKAVVWMHKQQVKSRAMGRISDKAK PLGGPPIERIASDPRTAVLIIDDDNGQVTKHISEGSGELAEGRGKRRQPMGDDHTKQQ STSTSGQFEKQKHTGTLPGPQSSSKTGTTQPKHQPFISENEESSKTKSGSNTPRKQAK PSDTDLKPQNKKPAKEATGKDTKTSIEKGVSSGKRSWSNDEYSPGVETRPKKRAC EPUS_02148 MASKPILLGLKDKKVKQTVAESANGPASSQLKETSNSALPRPKR KYTAPTPERAAQLQEAREEKRRKVAEAKSRQWIIVGLDFGVTFTGIAYIATNVGSRDV QVIRRWSGGGRQTDLLDKSPSRYAYASENKNLTEDAWGYQVQPGMKSYSWFKLLLDGD TNAAEYDDPLLRQSAGQGMTDLPPGKSAKDLAADYLEQIYKHTHSYLGEVIGKDKLSM VDEPEAAAVDAIKSTLEGFPKHNLFEAGQGIIIVDLGGGTVDLVSYKILKLEPLQLEE LCVGIGAKAGSTCVDRALHKLMRDRYGKAFSSLPAMKIGPGSKFMEDFETIKRDFDDN DPTQTFQVHLKMRDLRKQDQNVVQYDFEEDEIMLTCADMRNLFQVAVEMTLQLILQQV NLIKKKKAGTIKTLIVCGGLGSSPYIKTKLDEFCEERFAGKMQVVRPVRAWASICRGA ALSGLEASPILSRRSRYHYGFVIHKTFDDDEHDEEDAFDDPVYGKRAKNQMKWFVKKD EKLRPGAKKEHSCTYTIPPNVHSYTGHERFYLCMEESAPPRFGSDVQPGGEIIINVTS TVLNKERKRLKDEGFDVKKKPIPLDVTLSFKIGGEKGILEVAATSGKTKLGDAQMNYE ANSECKGAWSEDIKAEA EPUS_02149 MNPAFPSAADDPLLVSAEDTDADVIDTPPTYDSQKRVKQVELLE NLLRSFDALIYIELSALYYLDCAFGLLILHTIVQLFFLTERPPGLPAPPTRSALGAVI GSNLLCTLLHLLNARPEAGEASHFYLHGSIIIDFVGQLGPTSKWRLLCMDTLVLALQI GQLAMGIEKRRVQVAEKRTPGSTQDLDAEEAGIIRSESSRNEPHERLEGMELQELLGT AQTEHEAGSDQSSLHVLDEFYTGNTILTRLNVVDTISSELRGATTATDPAGGALSLPG LLVRWREHTLKLAGGGRSESDGSRSTSGPAITAQARRLLINTASTKLSRLELTLNKVN RTTLVGELEGFRTPQHNIDWVIDMIKRPGDPPTNTRMEKIAYLCEGSSKLRYQLLQIR ETILRPKDASDIKSRKILGVEDCPYVGWSCELVLQALYINARVVHAGLPLEDHNSLIR EFNDRNSKLDFLYIMYNVSGQGLNLDKVCAKVLVLCAAINAALMIQAWGRTIRVTQQY PVKIIRLTILNSHDQYRDSRQLRSLLVTLLNEANEEVRKAHASKEGSKLLKGRDVLPP ENAADDVNRYELDSHGNILSGTVQDKLDLDIEMADALPSRQRRTRKAVERFVIEPENR RKSMKKSSKKSKRRVSRTDDDNFEEEEEYDDEDEDELSEYEDDGVEDDYSGTDSDQSK AEHNDELLDLGEQTDEVEAFSRSILADGKKRYTLQWTGMRKKSLTKI EPUS_02150 MEFSNIEVSPSTPPPPLPRRRLNYEPPVPYQQRSTNSNAIPPSP GRPGRPIQQPGPRAGINTAFPTTSCFVDHLQRMALMVVGPEPKQTPAMSANYSSMQTS DYSTSISPSQPGSPAFQNLPALESKTSKECFQLTIQVYLRSPGSAFNKEHAISKVDTW CKQLQCSMAFRHLRNKLRDQCGHLGYCTLRVEVFEALPTLEKIISHSLNEREYRGLQE CHDHIKRFMQYAKKKLRTTPGFVMPEHVNAIGVAQAANLKFIKEQMEDIRNGDGGERR KRRVALKEQLAPGFDDGRGPERRQNTHATRSDSSLHRIQAPVGQSRPAPRSPRSSPTS SNISPGATVSPSTVPSMEQACSPHQRLKSSPTEGQHPKVTEQKHTVSSTSLDPRLRQR AAKQQNQQPPSPRQSEPPHRAMDSQCVLVPPTPMALPPFPSVSSPGCLAKSHESVLPP ILPKSIYRGVAQPYHNMVLVIDNSLWATSAGLRVLKFGYEPDWANGENIQRSGACLER VTLSKAVGKRWLGDALVECFVGDGSGEAPEWVKEWGADVDGDMLGHGWPGSEGGTDED FGIKSGTRPGDISEETARELKRLQHLSGRHVDFMDWE EPUS_02151 MPLVDYSDSSSNPPSDEEAKSSLQASARKRKHKDSDTTQYESLR TKRKHPSTNNASTRTTSPSPLPLPSTALPTLPSTFHSLYASSVRTSTLDDPTLHAGRT RQTPHIEGRYPSTTELQTLYRLINSTGSAPSESIHTLLHSPLGAQTPLHISLSVPLAF QTHEKSLFLDSLAQSISTSNTKAFTVSIQDRLDWVPNFDSTRWFLALRLGQPEGDELN KLLKMCNEVVKEFRQPLLYERSEYAVREQGAGDSEHESRGGNGPRAGSGKKRRASVAK AIRAATAAVPDRSGCFHISIAWTLQDRGGTGNGGSSGMGRTNLDRKGMEITFDVVKVK LGNVVHDIPLAQSAAAVRTRNAMR EPUS_02152 MESQTSKNWARSYLLDPLSEPQPSEETGPGTHLRPESATSNLTS SRSPSSLSQNPFRQSKNIYTSTAEVSGTSERNPYPSPPQSVSPKHHRPHSSHRHEAFS EEWPSDSSSRRRGNSLGARFPGDNSSRPLDQIRKDAASANRSPHLRKSHRVLPDTIDR LSIVGGYPWHHEGPYDAALTARNISEKASPLKALDHSNQETLRATPREKIIDSVRSHR PLDGVAAYAPGEADRNGHVYEYEQGDNMMISGNPEGGAYKRWPGVQYLDSDIKGKGEP SYSIEKALKGHGGDLKGHRSSTGNEAYEMTKPKYAGDSLSNAVGNDAMWGDGEEPTLR RRTGSLKKKLGSVRKHLHKEK EPUS_02153 MKMTLDNRLLLRSIGDHPPRQTKVKDFYGDRAWVDDLDIINELG GHTGCVNALSWSRSGQLLASGSDDTYLNIWSYNPDSLAKPFSLSTSVSTGHQANIFGV KFAPHSNDRTVITCAGDAQVRVFDIEYGGRYDNSSRDAGLDSTRSRRFQNFFSNARFL NDGNTNARVFRSHADRVKRIQTESSPYTFLTCSEDGEVRQWDLRQPSSTYPPPRGGQG FRSLRQGRVHDAGNVPPPLISYKMWSLDLNSISVAASQPYYIALGGTHAHCFLHDRRM LGRDLAAERGRNTSSSLEIGSQDDDLMDQATRCVRRFAPNDKIAMGTHDNGHITACKI SDANPNELIASWSGDHIYSFDIVQSPDVRDAAVKKERTLRANYAAARQQKDRKRKRTK VASSSNPGEPAKARMRSGTDAQNGEGDVTLRVRYGNGQTESVPVDTNGEDVTILGSAP DALLSEAQKLSQRIARSLVQLRKSIFHFEASIEDAAAVEGSAELTPYTAAFTTVLGQA AALLPQIDEVIRGWSYPINPSPEDVMLQDTLRRNRQATRRFVQASGCLAAAMGGRLQT LSPTPDPRMNLFASIEPAQREGIGIDDNQRFCYDFLKAILLWLTAGQDAVLEAFRKQP DQFRDSQRFPLAPNDGPEAIESKLIPYLLSLAQDEKPILNVDANRFETEESRTIFGSQ VAAVTAFPRAFKDFTLSSRSTEANPQPSEPASSRQILDLGAAYRFWGVKVGRSLLMEA GERVTYDFVKRAFGGLRVSVSEDVPADRREHIEAEDESVEAINAVEGSDTTMDTIFME ALQSSGNTLSASESPESTVHTSAESTHHDMMESSDAAGGAREEDETSNEEDEDDLGDS SSSEREDSDGEDEGPTHPLYRRAIGFGGSKERAKVECNKPYSSHTRVYKGHCNVKTVK DVNFYGLNDEYVVSGSDSGHIFIWDRKSTQLVNILEGDGEVVNVVTGHPYEPMIAASG IDSTIKLFSPDVRLQEDARNGIDIANPPGAVPMHSSLRDGWPRRRRSPLADVPRDASP SGLTSKKAMHKSNEIMSQNDILRRDGLGDAFITRGMLARLAAHLHAQGGDGQGAIMVD DQCSVM EPUS_02154 MGLGKTVQTISFLATINPKVQVGRTLSSRPLPSLLSGSRLLVTG LTQTPSKRSSIKAMADYDIVVTSYNTLQQEYAKRDEFFVLRNTAQEGQLARRLEATEA VAVERPQKLACVYNEKFTIYISATRLQNRYEDIGGMIYVLGFWPLCDRDYYKKHFSDN VKGEDGFATLTPEAIEAIRFEILSTVVSAFSIRRRIHDLFDGKKILELPPLLEPQIIE LRLEKKLPLN EPUS_02155 MEKRSDALRFDGWHDWAAVPGNLGLVLTDRINSEHSLNVIFGRN NFVLFGRREGAHRLPRYTGFTALSSHFLTRIVAANVKRMRRLIIPGNPRLVAEHSPPH EMTLQQLILSHPHLRNLKLLVLEVCLLDYVMRTRAELNCLMLADYLDHLHHFGQHDRW HAAFDHGSRFVLREICALVNNSIWKTMVLRNAGILNNIGATLSTSTRHAKLRFDAFHG GLTKSSPLQVMIYHPFLHPEVSWSFN EPUS_02156 MRLCELLHRCRNLQVSQKLDFLHSERHQLNSNLLQREPLNFKHY LHRAAIHYGLGYSDLAAGDAYKALTLLETYFDPDFSDFHPELRDGAGEVVSWPRDDQA INDARAELAECFRCLVLALIDLRCLRDAYSYLLQLEKLVEEGHVLANHECQELRDLIS RANYSRSMKTQMNGQSSEKKIELARLRNSGFARREIYKWNNHEPERSSLATRNALNKM LDPIAPHLEIRNVELPVLQSSAATDCVHSQNKTNGSPARSSQQDRSARSLQLGVFAKT DLPASSEILHEPSVLTAVRPLDASLCHNCGVPIPQPSSTTSDCLDGPVCCPSCTDAAV FCSLACLDIAQTKYHSTACGNDFIDPLGRDETSTNPSEDLYFLLLARAFSMSHSQNTH PLELPETKYLCGVFTTPSPSECSTSSPSAERTLPFTFHHNIVLPFRLLSILSESHPEF SPFSPVRLEWYDTWVSQTLYAKFRGVASARQSTWDGKPEVAAVHPFWCLANHSCSPNV EWGWDAGRERVFRVRARPVPWGKGQDVAEDGNGEDGNDVKGTWHGVRAGEEILTHYCD IDLPVKERREYMLGSLGGECICERCVWEAEQEHC EPUS_02157 MRKIPPDGHIRQAHTDNKDGTGWGHITILNKKKAFDAYGTLKQV FLNLKDEDNPDTIRTLRGPEDSLVDSSQRYQPFGHSYNSSASTTLSPPVSPVTGRGNY GCGNSVLYTNLATSPITSMYQPVVPIPVQTLAPLQQPVTPGYNSYPSSTMPVPQRLLP PLSPTHATPQHRYKASTKRTVSKTPSSPSSKQGNPNPDTVDLDGRTVYVYNLPYTVGQ QAIKEHLSSVGVVDRCLVKEDRQRSSKYKLTAVVTFRTPQQAQTAIDRFNRTMWKGYE IKIKLDRGPTAAGASGVGKGKSSTASRPREDRRETRDGPLVVNGSGPGISSRQCRVDS DDESSCD EPUS_02158 MGKAPNLFTYRDVDTVAQHLRVYVLQCQKAGLARHDAFKVAVSG GSLPATLAKALLAPSNGSEDDTPQFNKWEIFFADERAVPLDHEDSNYRLVKEELLDCI PESMGKPTVHSIDIENLDDTQKLADRYQELLMNSFAAKDSVKLPTFDLLLLGCGPDGH TCSLFPNHELLRETDAWVAAIEDSPKPPPRRITLTLPVVTHGTKIAFVATGSGKKDVL KAILDSEEGRSLPCGLVNEGAGEKVSWFTDSSAVEGVAFPRRGSL EPUS_02159 MDTEEHVILKKTLACPNDESKMTSINAVEMVASKSGNRVRESLS LRSTAAMSIHRQEPRPIRRQMSAKAGRNKHSEADTAAPSSTGLPLPTQLSRSSSLRQP TGLISRGSVATSLQSRNASIVKNSMKGDSLGIKSVLSPGLAQKDMSTTPPMPMHGHSS TRSNPASIHHQSRSSSAIVEKEPGKRFPGTQDRLRPEARPQFSTYQQHFTPKKQNLQL EPVKVPPSTTQTGMDFNYIMALQNELLQLQWMFLSSRKTLKAWSESGFKKIKEQQEKQ IQDACNVKSIEQSQQDRVNGAALRDWLAKDKDQQWFNRVESLSQCVQTLTDLTQPYER LSDVMNQFEAWYEITRNILDGRVGDSQREEFRCIRPLGQPWAETVAALVQVLESCLRN LEQLGGGDGSSGLELVLDGHTRFNKTILEELEAMQLIHSTVLKQENDWIRVELSGILL TKNKFEVSQCDPQRPGAWDCLP EPUS_02160 MDGGSHMKRPWDNEQTYRSPDARNVMQTMTDVGGARSNVQHLHK VPSPSHMLPPIVTVPEQSSRPIHPDRISTSFTPSGAPQRHSHTESPQDMLSKRPRLYY DVPQPSDIGRNQPPTHDTHARMRSTSHDRHDQQQWSTWEPRNPEGAYTPRESCQNCFE SKRLVEKVVAGLERLEAELRQVLACSPLGRTLKEESTEPPGGPNIADAELKDSLMWAC RSVEASTRLVRELASSQRALPRISVANLGIGSGHEQPSAITSAPPDFSRGFMDKHDRD RPKDTHRRYGPQYRTDYQIQPSDPAQPQSPHPTGSSRSVYGSSQSPMGMGASGRMLPS PSSIHNPPPPLSSVQASYSPNSNQSAHATHLQDLQHQISTKSLALTTLQREHDQLLAA YSRMQIRCQTLDKKSQVSDHEINTLTEEKIRLQSQVEAFEVQVEELVKARDEAQKQTT ANGAQYMRIMAMSSKLQVQGAEEAKRYKMDREAWERDREGLQRRIEDLEAKQSSLTIS GDAKPDTIPAPSPEDILASASLDVLRNEIVRLRQSLRDMERMLLELRQESANIGHVIT ECTGIRERLNAKTIPEQQVQATPTAVSEEHSTASRAVAELMEAAQEESRGE EPUS_02161 MASTNFQNALMRPAILQILRAAGFHNSSTAALDVMTDLAIRYLL LLASSAAQIAFNNHQDYIPTVQDVRMALLEAGALRPQMSVLEERAKGEVEVNGQTVPY EDMRGVEGFLNWATGPSNREIRRIAGLAAGPGDVVDVGLLDDHEDYVTALKKKNNKIG DDSRYQGTTLGKEAEQVTVPIVGGPVGSIHEWDSLLRSRAVSVQLSSPSSPGSLSSAP ATPTMDDAFSNG EPUS_02162 MSADSSQGAFRGLRSRNPRSSTSSPSTLTWEPSRERIPGIPNNS GDIPSTYSHPLSQDYSPRPQRNSNLRYVLLTDALETPEHSSTSTPSPSSFISSDEESD DLDVNETPTRTAIMSSVERPKLKLNFSKNRVPSQESVTSPAPQTILRTPSLKLRVKPE VTSETTNPTTSTAAAPPPKKKKQRKPGTNDATPGSSAKKRKQPDEDGSDDELSRKPAQ VRKITLTTKSLAAQSPITPTLKIKHKGRIPKRPLGLGYDSELDEREADPTILEAFILR MPPGPDADYLRDAVQHGTIGVARSQGGADVQMKFLDRSGRRGLVIIRGQRWAATLVDL PCIVEGMKSWDKKGWVKSADICQMLLVLGKVQNDDQAKDYPLPEDVNQDTWQYAHGLT PPMKHVRARRFKNTKRTSVNAIEAVERKVNQLLADDDNAVHTKFELLDHDPETRSLAE SDTEGGEGYDEGGDEDAYGEEIDGDFFDEQNGAHADVMVETPTIIEPQPLQEEDNDDF IFKELEAQEQAGAEDPLRPAITGLSAPGVDVSNAITSTSPSVADTAAETPAAAETSEA DEEESEDFDAEEDESMDEEDREAAAQKQKARDEIQELKEERKKQIDELKGQRNEILRA KLIRRIEQINGDMETARKAAGLEEDAEDSAEEE EPUS_02163 MAAAMTMQPPSIGPPSNSLSTPPIAISRFTTGFGPAVSQSYSPA GSSHKGGGGDQCDGCRNRNSRCAINFASNKCYSCDFHRQDCVFTPHTSRKRSYPQPSS TAGRKSFHASDKSLSSSFKDLFQSSMLGGSEHESSYVAPIPHRAAGNSACYRYLRGTT YLDPLLLENVPFDDKNEASLRASKFRKIGSGAGQFIEILSSNTTDEARNGSVSARLLE SLRLADQDLLFQSYFDVVHPVFPILDQPALCASYDRRSVEPVLLAAICVVSGAWLQSS RRVSTELNMSATEAILREHLRDSQDRPSMTTLQAGLLLTQCPNHTSRNLLSQLIALAF DLGIHQDCSQWKMDVEEKLLRRRLAWALYAQDKWTSLMHGRPAQLTESNCIVRELSEE DFDIAKSAEDQSKSIRRHGACLFMQMLVLSQILAEILETFYTLSAEAQVHASAHNALR VVLARAKPVQIKLKDWFSSLPAQLKMDAPDENQAAYNGVLHLAYFATEIALHRCIIRA SAVPGTEPYLAHICRSAAKTRLISAMDFVNRLRPSHFKCSWPLASVQNFGLIGSFGVL LRATCPAKEEAGFYCARLEEFRWTLSVSNRHADFLESAIRLLDDSTELLQYIPEKPEI TELVSMNPHVPETNGQQGSAFKIAQERSSEAFTGFSSPSTSTTSEEDVEGTESV EPUS_02164 MPQNEYIERWQKQHGKRLDHEERVRKRTAREGHSTSENAQNLRG LRAKLYQKKRHAEKIQMKKAIKAHEERDVKSSAPNEPSSTPLPSYLLDRSQATNAKAL SSAIKNKRAEKAAKFAVPLPKVKGISEEEMFKVIKTGKKTAKKSWKRMITKPTFVGPD FTRRPVKYERFIRPMGLRYKKANVTHPELAVTVQLPIISVKKNPQNPMYTQLGVLTKG TIVEVNVSELGLVTAGGKVVWGKWAQITNNCEMDGCVNAVLLV EPUS_02165 MLILIVGITGNLGQHLLRAATVQGHQVRGLSRSADKLSSELRSS LESFVASSSYYDIPAIESAVQGVDAVICAYANVPGLTLEGQLILLRAAERAGVKRYVA TSWNSDWTKIPLGKHESYDEFIMFKRHVEQSSLSSGNTVIKPIYIFTALLAEFAFQAP SDMAEIPEFPWWNPKKGTAVYYGDGKTRHQWTTFADAAAYTVDIVTSDDAEKGGTYSI LSDAGDVFYIAKTWKEATGKDVKLIPAGDVEELRAYAMAGRKAHPPNEWKKYIGPFYW LHTIEGDWNLDEDHLYTSDKVTPTKLRDFFELHKDWYAKF EPUS_02166 MASRLARSTLGATRLRPSAPIRNLPVLTTHLTSTRQASNVPAED PKKKAQSIIDALPGSSLISKTALLSAGTGVSIWAISNELYVLNEESVVAFCMLSVFYG IFKYAGPMYKDWADGQIQRIKDIMNTARAGHADAVKARIEDVKPLSNVVDITKQLFEE TAKLEAQAFELEQKTALASEAKTVLDSWVRYEGQVKARQQKELADTIIAKINKELENP KVLQQILQQSVADVERVVSSKAQ EPUS_02167 MSQSHIGKKRKRDDAPSRNQGRKRRTIRSGESSSLDVEQLEKRI AKDPSNNHNDVETLLQMLDLANPDAKLNLRAGVALCKVFSRLIASGHLNQDNQGNNQS QELSAWYAEQYRKYRITLARLLRSVSAAQRLSLVHLCWKVLEQDAEFLGNKVWVSESM FKPFLSAVVDIPGGTDVRETYVDEYMNQCHDCCYHSLEYFSTHVATSQDGNVLENVIE ILSVLDPPPASAEDLNFLAEPPTKGSKKPLITPASLKRRAEEAWLSVLRSPHLTTGLR KSLLRITTARILPWMSRPETLMDFLTDSYDVGGSTSLLALSGLFHLITTKNLDYPSFF PKLYSLIDADLLHSKHRSRFLRLLNTFLSSTRLPATLIASFIKRLSRRALFAPPAAIV AIIPYIYNLLKSHPTTTFMIHRPPHPPYTKSTENLGEDPFDMKQPDPQKTGAIDSSLW ELETLQSHYHPSVASLARIISEQFTKQQYNIEDFLDHGYGSMLGSELVKEAKKEPVVE WKIPKRIFTRAKVGEEGEEGEGNLITKLWDFG EPUS_02168 MRTHSILRAALDIRWTPSSQFPPTFLLPWRAQLHQSAHSTQFQP EIPPQPSQQPLQSSLPSPIPSTRPAPASPSKSPPSSTGKPLVLSKSLQQLLPHLTAQK PHYITAHIHRFPYLLTQGDTLRLPFHMHGVSPGDVLRLNRASLLGSRDYTLKAGTTST ENYDGKRTGEPNYLDERLFECRARVMAVDSGPMVEKIKTKRRQRKEKHVRSKHRYTVL RVMEVKVKGLEELERGAEQLILQ EPUS_02169 MVINASSVVEKTPSRRTWRTITRLLRLMWQDSKSVFNFAWSQKK QISIHIGIFGIVILPLITASLLARTIPSELSYCSPGGDFSLDSEYNPWALGHIFQITM GVGRLTFSEAKLIDVIWDVIVGRGGQSILLMVTFKVFTKALTRLLEERQSSVSYGMFE AVVFQEASLSSIWKMGSTVCRKLTGREIAAFIWMTVASIYLLSFPTLLSAMTGYTTYV TPYFNTTEGVKISWSNITMSQAAYTIADGGRIGFMSPYIVGNEGYSNVKGNEWDYRTC AQAAYDSEDVNTLPLPCQIAVYTSEYLARYGGGVARDQESVFNTTSLTSPTLNITLYN TTWVDSSGQVREQFFTKDMEPATTKPEQLQVMYSVANDVYSRTDLEKRSSCDDWKSYQ WGFSFLQLFIFMVISTFWAIGMYSMWMDTYWNSRLDRSPRVMGFFRGVMDASNAMRKD MGEDPTAEASESEIKAMVARGGNGGQISLEGLDLQRLPLNRRAELYQRRKEIPSLNGW TYPWTSLHWAWLAFFFLLAVVLFIPVGTAPAFICLLLLLVGLCSTLWRQIGKPYWEKR KEQPHIPLSTSYHGILPETDVTG EPUS_02170 MSSYTVTRTLVNCNQVIIELNTATPSFEGLHDITMTDLPPRRKP HLIMAPEDRISTPHILVDPDKVVAIIESDHPAQTQPNAPENDASKAIVANLTDFLEHE VNHGRLPKSLLPIQSGIDNIANAVVLQDSFLDLFDSGNLDFATATTIRFSPDGFKRFY DGWERYFDKLLLRSQQVSNSPEIICRLGVIGMNTPVEVDIYAHANSTCVMGLRMLNRL WGSADFLRSARYSIMHTPSIRPTKKDPTGVSCIVPMCTHIDQTEHDLDVVVTEQVHSP TAPSATIIARTRDHQEDSTSGLQTNLATLTEPNLVFYRHCLKRAWGHEPHRLWQTLKM HKKLDEKGTMKITSWD EPUS_02171 MATADWVDYHSPFNARGDGYQDPSSSSAGAGASMGTYPWLDIAV GSDTGGSIRGPSQTQGLFGNRPTHDAVELTGVMPLSPVLDTAGFLTRDPELWATAQEV LYGPLPAYDAYPSRILTYNFPTNASSAASGLALDFLAKLQSFLSANVSSVNLTSQWAS SGPANSTSSLTSLLNVTYAIIVSKQQTRLVRDPFYVDYAAVHDGRRPFIDPSPLVRWT YADSQPDSALDEALHNKTLFMDWFNSNVLVPDPVTCSNAFMIYLAGTGMSNARNQISQ VHFRSQRHPRLPPTVPFGFNSGRISVFAEVPDSVFPIGQASYFSNITQHEEYLPVAID ILAAKGCDAIIARLANDLVAAGILNVTQAGQTIYGGDVLYKREVDEQ EPUS_02172 MSLISLINGFLLILSLRTGLGTIGVADAVTLSSTGSSVSLDGIS YFISPYSSGTLSLQGLDLSTCVSVGGLYPVTLLSDAKAGSNLSVLMETFRAEDDVFQA GFMQIIYAPDASVFEDDDIASYGVKTTRTLDEYAAVPQGPYFMSASTGDVYMAYRLYS DFGGSFTEAIFATPEGSFTTLSAAIEGSASLTIGVPSRLYYTPSVEQPLVGVRVGIKD IYDVAGVKTGNGNRAFHNLYPPAAANSVVVQKLVDAGAIIVGK EPUS_02173 MADSVKSQANASSGRTLFPKGPAFTLENFSNRDFIVKDFIESLS DSVQPSNRRSQPANSPFDPKPFIRTFEQAQRRLTDLSGDLELRENELSAAVRKAEAQH ASNTETLGEKLNSTINSFNKLDTSLKSSSKERWGGNAAVETGKRLEELDRQRRRALDA HFLIECWEEVSNRGELTLLENLRRSGTGEGKIRSAHIARQLLRISQRLDPNSWASTDG ARYTNGVTNGNTNTRNRNTREIIEKFSETLEKDLLKSFDDFYRRQNFDGMKDCALVLQ DFNGGASVMAAFVNQHQFFIERNQLVTEEVGGDQETWMRLSDPDAELPGIEPGLQSLI DEVKVVVQEESSIIKRAFPFYEQVLGKFIQRVFQQSIQQRLEMVLEKANSESSLAFLR SLQAARSYINALVDDLKAHGLTEHPEIISSQTSLILDQQLEELFVPYFGGSYIDKEKR NLEELYKSLMFKFELFHSRRRKAPTTYLASLRNQGRELLASAREAADAYVKSLDLEKM SSTQKRMLLSVAGLKDADKAQEEIELTEEDGRLSIPFAKRMLKWLAEGVGRGLELNGG SETPKDVAALLDMLLENMGANYVEFALDAASDQAAAAEAAKKEPDLSYLAHLRTATSI THLMITCINTVLIPLAASSITIRREMEKNTNLALNRVEDTINVIEQRTVDAVLNWTTK LLAKQERNDFRPRDDDLDSSLMQLQTPTCDSIYRFLTSFHTLASQALDGINLTILLTE VAIGFRSQLLDHFKKWQVNATGALMVTKDITKYLDLLRSWPLDASFISTLEILAEIGN LFVIGPEALRERLRAGAGGGALAGVGKENLRPYVLKREDAGSVGIQSVLNSL EPUS_02174 MNKSFNNSKGDLLATTNETSSYISRLLNPDNHHQRQFRSTALCS PIKPDPSKVLLHNSDPCYDWIFSSASNAHIAIDRSAFKTYTPFMTYVLAISDHRQIPV KGIGSVDLDLRRKKGSRKCHTITLEHVLHAPSWMCNIFSDVYFEQGNGKFEHTWGDEG VQFMKRKDGGELRTWGFTEDFCGLERLVLARNLNGTSPMLDDPDREVFSINVKWPQGQ QDRWEVFLEEQERKREDQKRMLVKRDGNVRQISESSMASKA EPUS_02175 MSYNDYDRHGGYGRDEGGYGSRGGEAEEYYNEGQRPYGGRQHED GDRRGEYGGQEGGRRYDNGGGEGGYGGSAAGYASGGGYGGGNSGYDQDEAINHARQHG NEEDGNLFSQAMSFLGHKKDDDDDDDDEIDEQKMVGAHQQLYGDQSTQGPQDSKSLGA GAAMQALKMFTGGGAGAGGMGSQGGGNSQSQFIGMAMAQAGRLYDQQNGQGNVASGAD KQSAVNAAAKLALKMYMQSEGKGGGGGPGGLMGLASKFM EPUS_02176 MVGVDLLGLQPTTVSLKTIRSRKGDHSAALGAPQQQQIAQSQVG GNVLLPPSYHPAGPASGHTLPTLADLAQGSQPVHHQPSQYNTHPPPSNTGHSLPGLGH TLQQSPQRIVNQDRERELRERDRREMEMMERQRQREEMHLREQEQMNRDRELAERAHR EQMQHHPVQNHAGSIPIHQPVASKVPNSIHGPNGLLSTLGSGSAPANSIPASNGPSGL FTMGSQQQESMPRPAYLHQSAPPAQPQQMPGFAGPGPSPMPAHAAIGQQQPILNDALS YLDQVKVRFSDQPDVYNRFLDIMKDFKSQAIDTPGVIERVSNLFNGHPALIQGFNTFL PPGYRIECGTDDNPDAIRVTTPRGTTTQSLQARSRPAFEVAALDGNTGPNTLARQEML DQSRQGWMQHQGPMPQYSPTGRHTTLPIYTQQQGPSGDVSYESRNEQEAANAALVHQQ EQRGVSQLQNAVTAATNGSTGRGQLMQISPNSGPPATLAQQAGQVLLPGQQGDMKRGP VEFNHAISYVNKIKASLLYEKIALYNNLKRTSSFLTSYKHTRESQSPYRTSTLKLHNC FTLHLICWKTSNNSYRNPRHSAADEAMISNVRGEYTAGQVAQAQTPRPTTKMPPLGQF DPPSTSKENKKRRGGLGVQSSGQAGPSSSLDAIGTAQSGRGGAVQVGNVNKRAKMSHP KIVQADASNVSPSLVPQLPEPLPPQSSLAITQDEFVFFDRVKKFISNKNSYTEFLKLI NLFTQDLIDKNTLSDRVSAFVGGNPDLMSYFNRLIGVETPEGIIEARAKPDPGRVNLS HCRSLGPSYRHLPKREQHKVCRGRDEMCYDVLNDEWASHPTWASEDSGFVAHRKNQYE DGLHRLEEERHDYDFHIESCQRTIQLMEPLLQQMRLLNETDRARFVIDEKLGGQSKAI PTRIINKIYGPQVGKNVMASMVGRPSAVLPIVLNRLKQKLEEWKQSQREWEKVWREQT HRFFWKSLDHQGINAKNLDKKNFQQKTLTSEIQAKYDERKKIREAGYQQVAHQFEYAF SQQEVLLDASHLILFALEKDRATYNSGEQERISAFLTKFVTTFFGIDATVFKEFMLDI SEQASNGDMDDEINGEEAIQQRYLKANMRKADILHRLALNKHAGKESSILTGSKESTP AGGLMSELEQDDDPMNDADVVADVAARRWMDHPAITHGDKMRRYLLDEPYERDEFNLY ANANIYCFFRLFEALYSRLLAIKENEAAVHEDVRRATGEKGQPPRAAISLKMIDKLPS DFFVDVGPKTSYYRQIITMCEEVLSGSLDLSHLEDTLRRFYMKNGWQLYTIDRLLAAI NRFIMNILSSDSKDKSTDIINLFYKDRDRDETTRTQERFYRKQVQKLVKEGEVYRIRY NPNTTRATIRLFPSDDDTFSGEKLDDEARWSVYVTSYQMLDPTEGVPHSRVGKSFLRR NLAKNVDVDTILSEDRQELRVDVSTYRIIFTYNGVPGKPFVYEKKISKNEMAFAEDAL KKAKTKRDEKMREKFVTNASWMKTLSADQVELRKSAWLKGLEEGLWKMEEDLSRVLTN ADTARDAMVVHGAI EPUS_02177 MPENLKKSEVDSDPSVLKQWDYDTPMDKQIEDLYSIMDGQKVCM LNTYRPGTGPVGRSMGIAKRDGPDLLFLANKNSQKFKDIESSSGEVQVSFQDIKTQDW VSVTGKASTHGNDDPRVKELYGPTIAAWFGDLGDGKHNATKDDPRISLIEVKSSYIAY WKTTVGTMGFMKEVVGGAMTGKVAQTGLLRQLKQEDIEKARSQK EPUS_02178 MARARVSSFMTTFGHKLQHPVSASPPPQPQERVQTEPVASSSQR FSSSPVREPSAPSTPPRTRTERSSSRPRSMIYQPPLMDTARDTLPELLPIFTFLNSHA NKLYQEGYFLKLNDLDIAGRPNTERNWTECFAQLVGTVLSLWDAAALDAAGQDGEVAP TFINLADASIKMIETLPTRNQDVQPLQNVLSISTAGKNRYLLHFNSFHSLTQWTAGIR LSMFENTSLQELYTGSLIAGKGKFLNNIRVIMERSKLRTEDWARVRFGAGTPWRRCWC VIDPPNEKDFQKEQKSLKKRSAYDRPIVLKGDIKFYETKKTKKTTPIATITDAYSAYA IYPQSKPLIDQSTLVKVEGRITIHSKPESKTEGFVFVMPEVHPAVSGFEMMLRWLFPV YDTFGLYGRPTRLIADTLDNRGLMFAMPKERRYGYLDIQDVAALVCMDGSQAWSEQEW RKQMKDVTSKRLSSTESQMASRQGSKKASRTSLPAGTSLHYEDGASIRSTPSQRRRHN QSTDTVFATPAKARTAASGGSLTSANYHARSVSDTLAFSNSPRKRTEPYVPSRLSTDR ESEALDQPPAPPMHGRPSRKGRPGDIELPKPPMGFGAEPQASVVQAHDEVGSELRPQP PPTPVAAPPAFLHSAGERPSLRPNASPDLRRANSRMSIATLSQLVDASKSGTASNDAV AAAGAAAAWKTKENLRSEDQAYKGVNETISRRDSAADQRLPSKGMVAGMNHNEIESAD SPRAQAATYIASRPDELVRSTTDRSITRKPLRTNEAISSGPQGSTENGSNDDLTKTRT VSNLIPPQNNSQVANPQQIPDNDPDPDTDTSVDYSSAPESTKSKKSDSSTPRQRTGVL KTVGNPNHAPITPARLPIDGSTVHAATTQNYSNGFRKNASPRWNHSRTPSDSLTPNDE RRSSYLGGVSPNSNSASREPSHSPNPGERAVPWQPGAAAIGSSRKSPSPRISPEEYVQ QRSSANRVVSPVPHQKNRSTGHLPQERPISADWSKRKEVGSRPLSGDVSVMLAQQQDY SSHLSAREQEHVARMTNSPLVNVNAGRQQNVQPSGLVGAIEAREQEKKQARQDISGQM VQHAIAQRQQQYQAHQQAQQQAQQQAQQQAQQQAQAQAQAQQQAALSPQQQAFQAQVA AGFFGQPQPQSRSTPNSPGGWGTQPSPQQQWPNAATQTYWGGQSPQAPKQQAGGQQQR PEWQARLRKPAPGQK EPUS_02179 MDGTSSSSVAMDAPKPCIGILSIGDMGLGISKLLRAHDYPVSAK ITLLENDSELVSQADIILSIVPPRDAIATARRIANACHSSAGITARNARRGTTSAPLN LTFVDLNAISLNSVTLISNLLSSKPAEERRPEPPRRQASLLSVFSSSPPKDPPPEPIP ITFIDGAIIGPPPRQKPEHNKEWTLPSLSSSPSLSPDLPQILHVTHVSPQLGGASTLK SCFASLTKGLTALSLLSFTTAQSASLLPHLTAHLEKYSPGTLSLVKNALPAVPAKAHR WVDEMRQVGENFAEVGGLQEGKEVFDGFAGLYALVAEETEVGREAKRGKTVEDALATV EAGVKRRRRKQGGVGEEGEEDLSLTWRGSWT EPUS_02180 MKGVIFEKAGAEPQVVDSLDKPKPSPDQILVKSIYMAINPVDSL MSSSGMLVTGWPFVVGCDAAGVVVDVGANAGSKFKVGDEFLMDAALTMPKPKNISLQQ AATLGVGTYTAALGLYNGLKVKLPDLDDLPEEKDRDEAAKDDEAPTVEDATSKAGANE PEDSHQTKAEPEKEEEWIVVLGGASSVGKYAIQLAQVAGYRVAASCSASSAELIKDLG AIPFDYKKPLDHQVKEVVSITSNKPYKIFDAAAAGHPLAMEIFKQLPDSDGEGGEKLF ATTNDWSKITDFHGGKAYAIALGPIGRAEANELNDDIAKYVPLIVGLVEKERVLPNPY DIVGNGGLESVIEALKYQQKGAGGSNKVLAKIQDP EPUS_02181 MASNQEQLIQQALNDLDIGAEKSIRKVAAKYRVSKTTVAYRRRG RNPRTQANRRTQRLSLEEEKTLIQWIRDLQRQNLCPNYPRIRSFVYEILRNRGDSRPL GKNYVSRFISRHSELRTSRSRAMDIKRLSALDPTVIESFFSEFEQLRSQYGVEIENIW NMDETGFQMGQTTSNFVAYDASIGRPVAPQPDNTQWVTIIECISYHRALKPYLIFCGK APELHMFPAIDELPDIIWAFSLKGWTDNELGIDWLRRIFIPQRPIGKHSILILDGHDS HSTGLFQYLCLQNDIHPLYLPAHASHKLQPLDLGPFSPLKAAYGQLVQRFALTGLATL NRRVFTKLYIEARQTTFTERNIRAGWHRTGIWPLNKQKLLNDPEIRNFGRTTPEYQPP ATSDGLYSTPKQSDNLRALIRQIEAKTTPQTRRAVRKLGHSAIQEHTGAQLLRTQLRE LRQLALKQELTKRSKRIQKETKQRSWNLEQVRAALAPKKVHFVRKEGGEKRILRTVTL E EPUS_02182 MTLRTNKFTPKVLISAPRRSAAQSCADGSLALYSQSTYSFDTHS KSSGIYVVGVKSGQSTLLTNDSRASEPKWIGLGHEIVWLKQGDNGNTSFVIADANDVG KTYTAGTISGPVSNLKIHVLEDGKAIVAFSGKSNQDGSLYNPKDVGKPHSSGRIYDSL FVRRWDAYVEPQRNTIWTALLQKIPSNVTAREGRWSLLGVTNMLKRFPQFECPMPPFG GTDHFDVTRDYIVMVSKDPSVNPATHTVCLTYVMRIPNPISVEETNAEPVMIKPEGKR TWGAATSPVFSPDGKYLAFLLMNEDGYESDQNHIQLVHMQEGSVLHSHTLDVRDSDKD WDLSPSSIMFSADGTKLLATAEDTGCVCLFEFDLTRWLTSGSNRATPRKLTHSGSISE VARASFLSQQLFISSSTFTDSSIYYILDPSDPETKRIDVSSFANNGANFGLSSAQVSE LWWKGGDDASYMVHAWMMKPSFFRPEKKYPLAYLIHGGPQGAWDDQWSTRWNPAVFAE QGYVVVAPNPTGSTGYGQKFTDAIRESWGGLPYEDLVKGFEYIESEFDFVDTSRAVAL GASYGGYMMNWIQGHDLGRKFKALVCHDGVFSMTAQLASDEQYFPLHDLGGPIWKNQQ GYDQWDPSRFTENWSTPQLIIHNELDYRLTIAEGLAAFNVLQMRGVDSRFLSFPDENH WVLGHENSLVWHTVVIDWINKYVGLPPLRDKEAREEVEGCRKDAVRRSVAEEMKLLRV VEE EPUS_02183 MAPCRFFLQGRCNRGARCAFEHAQPESEGGSTLRADATSFVPNA QASTCLVSSPSILFSQPCRFFLKGACTKGEACSYRHITSTGEHEVPARSDSFSPHSIA SISAVQSNPIDAAKPPEKPIARECLINDVITKLPAADSDSRKSNEENVTYNIQGAAVQ FASGVGLLSLRLASDISAVHIENLPADITPERLSELLAPSEYRLNTDNIVMRALPNGT ATANIEHHLPDFADKIIELYDATSIAGHIIRVRHSQVTEKKGSSVNTTQISTVVCSWH KPSRVAWAHYERPGDAKRAQQVMDNKWIDGRKVQCSFQQPEFHLRRKMVYSVQIGNLA PTTSSRYLQQRFRSAEKIVMGKLSYESSAQESASLVREFLLKAGSLESFDVNSSANPR FEKAFAKFSSPQEARTAVQRFSGHLMPEIRTKLFLNLLVSVKFKILRDLYRAVESELN DLRIQILAEDYITLKTYQSEDRPTLLTLRVYGEDPKAVAKAKSAIEIILAGVPAKKED RPLWDDFFLTTEGMAYLKHIQELHGGFIYRDSRRRQLSLYGSSHAKRHLQEAMVDKIN DNAKQSRKIALTPMTLRRFFEGGLQEISRILGEGKTSLRITSSEKTLSIDGSEEDVRL AKAILDDPFRTFTKRDEPSAAAEDDCSVCWTEPDDAYRTSCGHTYCKECFSGLCASAR SPENFPLQCLGDATRCAHSFSMAELQKALVPTAFDDLLKASLDTYVRTRPGEFQYCVT ADCPQIYRITSNGRVFDCPSCLTPICTTCQAVSHNGSTCEEYKDLSSEGTLALKKWME ENKGKPCPKCATPIQKAYGCNHMQCPSCSTHFCWFCMDIFDAHDIYRHMTAQHGDIGI EAADNEEDPNVGMLRLLRV EPUS_02184 MDHTPQAEGVLCALPTPTDTPYRTPPRSRSRQSRRHGSASPDTS SSPVHLLPNGDFPPRISHETLNSATDEKISPLDPRRFTPSLHASLVSEILSLRREVEN KTKDIEHLEASLHRTRNENESLLETVASSSEETRSIKRQMQILEGGSLSAMSELSKER DEALNDSVDLRKRLELSQKKIRAQEESAERTQVLWERDRGNWEREKRGLETKVHVVEG RLKVVLNELGNTQVVNGQISHQDVNHYESPKKMHLRKGSTASMRSTGLGGRRRDSEAS AGTQEGDLHGYRLSYMNFNNGHSICLADELAFDEVEEDQINHDARHEEQISADELPEE RPVSSHSRSMDQKARKILGLAQEDQFPVFAENEQQRHSINISLKNNVVSKLQALYQVQ YVDAATQYSPPSPKLWPATNSPTGTGNENKLIYSVNALSHKDPAAFSSSIDTGESPST PPKPGSSMVSSSCQTSSQLPSPPRTPLSPYNPTTEDSPPRPVAEPQMISRATQTDRID KAETYDESKMSANDSQKLPVPIIAIHPPASRPATPTSNVVLPPQTKNASCQVNIRPLL DYTSSSMQTEEIRIDTRTGQLPQRLLPFRKPPKLVDQTSSKSQPAAQATSPLPNSSRR RLQQPGSIKPATSRRRVIDFTTEADIPPSTPEVEEPVMSTQTENVARTSELSASYGES GNQMNGKFVEQDQKRFDEDDIFSRPTAKFTLKAGKLVSKNEPEADDQDVFDPIDVEAH ESETSPVDNLGVDMANLPSRRSTRGNISAARPLERKTKTLRAASSKQPDIRKAALISS GAAAHQFHRDRSPSAPAIAPPFAIPTRHSSRKPPQSLSEGARSPTPTGRSPRKRDGKG RKPSLRKVKSTTNVPRPSAPGHQRSSSPPLPPCFDFVNDPGPVLPPLPSDNTTPPFGL DSATVARRRGQVRHDPHSTSDSARTILQQTSVVDAIAQTMVGEWMYKYIRRRKSFGVP EPKHQEWDLVKHGEELSASITNTGVRHKRWVWLAPYERAVMWSSKQPTSGSALMGKSG RKLDIQSVLDVRDDNLLPKASATGPQFNRSILILTPERALKFTATSQDRHYVWLTALS FLSHSPLGLGDLAALPSIPQQEYLAPQAPPLGGSLRRNPIRDSIRVAKGRGPHGGGVG HRSFTTDGVIAHHRPDREAAYEPPEPIYIPDSDAADPPTVPRYSSHSRHRSNTASRAP APSFRNFSSNHSRTFAPPPPATYSMTHTTTADLYTPSHHNGPYSASLPNTKTTSSRRG SEASAAHNAAGGGYSDHVTPTMRMTAFIAEEDVQRPHDRRQGRKKNTGYWGAGSERTP AMTKPGIHLPDEVVSNRSRSSTRQGGHYPGTGMSTTTKADSQIDGVSIGSEDTLRGTR LVIQEDWESDDAHVARNHFRGF EPUS_02185 MTKKAAIYKHADADGQFRRRDSQFRDWISRDPSARFPAEKDRYA LYLNLGCPWAHRANLVRSLTGLEPFIQLILCNSVLNEDGWLFDGQHGSPTEDPLYGFI ALKQLYLKADPDEIIRMFYTAFDDQLPENMREENRPGGGFYPEHLRKDIDAMNEWVYH TVNDGVYKTGLATTQEAYESNLIHLFESLDRLEEHLGENITERPNLEYVADTVADLLR NTSSEAQHPLNVAFLAELFQKSANAELFLCNSSLYERAKKEGRSPSATKAEQQLSAKL HCLYGVPILYPKRTICKPVYPYATSKVYDLRQYTEKTFWGPFIDDGSQDVDWEKVEAI MVVLGHNLQIFSDRTNGAFKPIWIDPFFGATPDSYTSPSSPVPEEPPLSLDFIDPYNI TGTWRRVVCFLDYTELFSYNFSSAPPPSDEPREPLDTGEAIRLIIMNLKATKLEQPGP TDGQTLPIVHFTGTSRSMHASWDPNSNSTIRGTVRLTPEGEIRWTTFSVYHGYDHPKD IIEWKETVLTRNSEERWRSEGIQIGGRNSARGVFGNWFDKDFDPQGPAGPTALWKTSD RCTATS EPUS_02186 MAGKMTLHKLVVLGDGGVGKTALTIQLCLNHFVETYDPTIEDSY RKQVVIDHQACMLEVLDTAGQEEYISLRDQWIRDGEGFVLVYSITHRQSFNSIRKYYN QIQRVKDSGNAGSPTGSSYLNPSLAISAPTGPVPVMLVGNKSDKVTERAVSSQEGQAL ARDLGCEFVEASAKNCINVERAFYDVVRALRRQRSQPSRQPDRRATGFSTSSMRDRTT DHFIHAGKRRENKTKCVIL EPUS_02187 MPPVELPAAGTRQFWVELRRQWRLPFAILASNDSCLLPQSSATY PYFLKHWSSHRSSHSSVQSSPSSIKAEPWVVPESLSATLEAHRDANRAPPIRKTARTV KSGREAARLVLALRQKKEEKKLKDEATHAARGREEEPAQDPDGGLGTEHKNKPKGNNS VEIEYQAFSQMPKKEWKLPKSDIPLRGKRPWMAHLDPVGKSTRYVYDYLTAEILAFER YMEPTASEKAAVEKALIDVRRSIEAVDPAIKTFVIGSRGTGLAMALSDIDLNLQHPNV VGIGAKGAPVLCYSDPLVRKQVIGLLGQVRRSVRKRGGPKPAFDKTALIEAKVPIVTA QHNKTGLDVQIQCTTDSFVSMELVKDYMQEYPTLRPLFLVLRQVLKMRGLGDPRSYGI GSYPLIIMIVAALRFSSLRFDRLDAGRQLLYFFDFYSKIDCRTTGISVEPAELFSKLS ASNQTRLSSRQHLIRDITIDNIATTGADETYIDPTINRKRIELVDPQRPYLMCLQDPA NAVNDLGRQVVAIQHVRATFATLTKKMKVSMELFNPSVNHNATFSILDPCLAANYDCF EQRRKRLQEVGRTLTVSPVVAEYL EPUS_02188 MTNSRDKNPARHYSVLSNAVTPDVSVPGASAYDNPDTTQCNTTS YFAPRPAAISVVAEPEGLDDPVAIAAKGARSPAELLRRMSLIGHSQSESFDFDPREQY PSLELTGNVISATFCIPYKVGLTADGGWDLQSRRGTSALFDSFTYLSSPKTPWKHTLL GWTGEIQPLPESPVQPDTPASTERDGTLKRTDTGGSWQSISKPLSKGSASNPVFSQSN SQGNPSGDGINVTKKDRQRLESLLRRDKNGLIMPVWLSDTPEDAKSDLYLKDQNRWRM YAEHELYTLFHYRQHAPNDGRAERRWWIDYVRMNQLFADRILEVYRAGDIVWVHDYHL MLLPHMLRQRIPNVYVGFFLHIPFPSSEYLRCLPKRKEVLEGCLGATMIAFQSFSYSR HFSSCCERILGFESSSAGVDAYGAHVAIDVFPIGINVEAVQKAAFNDPSIDKTISAIR QMYGDKKIIVGRDRLDTVRGVAQKLMAFEIFLERYPAWRDKVVLIQVTSPTSVEEEQE DPQHKIEHKISDLVSKINGQYGSLSHSPVQHYPQYLSKQEYFALLRIADVGLITSVRD GMNTTSLEYVLCQKDHHGPLILSEFSGTAGSLRHAIHINPWNLVGVADVIKDALEMSP KDRYMSQRKLYEQVTTHPVSRWSNSFLKRLLTNLASNNQNTLTPALDRHVLRPQYFKA KRRLFMFDYDGTLTPIVKDPTAAIPSDKVLRTIKSLAQNPKNAVWLISGRDQQFLDDW MGHIPELGLSAEHGCFVRPPRSEVWENLTEKTDMKWQTEVMRTYQRYTDITPGSFIER KRVALTWHYRRADPDLGKFHAEQCKKDLEDSVAKKFDVEVMSGKANLEVRPQFVNKGY IATRLVSEYGTEEGRPPDFVFCAGDDFTDEDMFRALLRSNLPKDQVFAVTVGASSKKT LASWHLLEPRDVIAAITSLIAADEDDQILDRTFRDLSTMPPKEATPPKGTDKESLPKL EGKDEK EPUS_02189 MEENDHQDPSPSDDSSLASTIMSLPAMAKKESECECDRDHDHDH SFDTDFDIDIDIERQGSSYRAPSLHALPIKDPPQLNSTNGGPLTTLSHTLSLVRTKDT GTDPGPPPDGGVQAWTQAILVHLVIFNTWGYVNSFGLFQTYYTSTLFLSPSAVPWIGS FQIFLLFFIGAFSGRATDAGFFEASFIAGSALQLVGVFTTSVCTQYWQLFLAQGICTG MGNGLVFVPSMSLASVYFLKNRSLAIGFCASGSATGGLVFPAMAERLLPRVGFAWTVR AIGFVMLSTMVLCAVFLQPRLPPRRSGPIVEWSAFRERPYALFSFAMFFIFWGIYIGF YFVGSFGRDELGVSQSTAIQLLLVMNGVGLLGRLIPNYYADKSIGPLNAIIPFAAISC LLLYCWVAVHSVAGLWAFAIAYGIFAAGIQSLFPATLTSLTSDPKRAGVRMGMVFSVV SFAVLTGPPIAGALISLAGGRYVYAQIFAGSSMVAGVATLVAARVAKAGAKFKVKV EPUS_02190 MSERDRGRHSLQNPPRGGRNDTLPLREPPSTATRPSTATRESLI GLGPFQLRARPHEAAQAQPSTSQSSAGGDKSVQGPHEAQALPGSQRFTSNQSKHDRSG ATGISLTLSAFAASQQLSLWPPGARQANSSKPPRVSTRRIRRSVSSASNLRRPQDPEL QQRAKAARVEGLTPHANALSTAAQERDPLPDRRSAECEGQALSSETGAMLGLTQLAKE APVAAAPPLEGRAAQTGPGLAWGTRKSRYANRRLGTQPLTKPSLKTKEAPQKNEEGDP GTRPAPGGAAAAHPRRITGRTFGRDPFVDAFESAGPSSSRRGGPTTGAPPTTPARQIR HARPQDAGRRSNAGPGCARGATTGARAADAGRSELLTLDAKMTQTADGADSRPPRHRQ RNKWTQEARSTVFEYVAQKVPVREISEKTGIPQRTIQEWKRKLYQYELTG EPUS_02191 METYNGHVRSPQDAIILFEACRIGMLPRVQRRLSEKERQSIRSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGTGFAPPTKTPESGRNS EDGDGEEGPDGYRYKPDGLMKQSFSITTSTNQHLHLISYYSRAHPNAPGLQQPSNDPN LRTVRPAKGLYPESTVNDQQNVPAVTRSPMAGASPYTMPPQPPAAYPRPGAAHPHGYA YAPQPHPYPIAAMPSPSNGYGPLAFPSGYGPPPYGQPYPLPHYAPHPPIPVPQPPPNS LDQRPSRSEESSSSLPPPPPPLRPQQSGSNEQAQGPAPSQTPPQPPEGRSPQPPVAPI DPRLIGTTLSVPPALAQTNGNGSVNSTLSPIENKENQPESVPQENGASPNSTSQAPHI ATLVHTSSAEEPPTENGVGTEANPDRPGSMSPGGTTKKVDGPADIPSQKLAFGEDKRA LKALDKVFI EPUS_02192 MSTVSPPKPWERVGASANTTTASSNTPNFSSMTTSTNAISTSTS DPPALPSRPSTLNSVVNQTASNYSNYGASRLGTGGYGGYGGYPSYSSPYSRFGGMGGM YGGGAYGGMYGGGMGGMYGGGMGAMPGNPNDPNSLTNSFNQSTQATFQIIESIVGAFG GFAQMLESTYMATHSSFFAMVSVAEQFGNLRNTLGSVLGIFTLLRWFRTLLAKLTGRP PPADATPSCPATAATPAPSGRSASSTTTHRLRSPPLAPNQQQDANVIDPSKLDFCRVL YDYNPPLQSAAAGIDLQVKKGDLVAVLSKTDTMGNPSEWWRCRARDGSVGYLPSPYLE TMQRRQPPGGNVQGRITAAAAAGGSGGSAPGSRTQTLKTISSKEEARSKSLSSVGVGA VKETEFRHRTGDGGVESFQKSAFYS EPUS_02193 MAPTGGGNIKVVVRVRPFNSRELNRNAKCIVSMRDAQTVLQPPP DAEERLRKGGKGGLDGQKTFAFDKSYWSFNKNDKHFAGQDNLFADLGNPLLDNAFQGY NNCIFAYGQTGSGKSYSMMGYGEEPGVIPRICRDMFDRISSLQKDPSLSCTVEVSYLE IYNERVRDLLNPSTKGNLRVREHPSTGPYVEDLAKLVVQSFSEIENMMDEGNKARTVA ATNMNETSSRSHAVFTLTLTQKRHDAETGLDTEKVAKISLVDLAGSERQSATGASGAR LREGAEINRSLSTLGRVIATLADLSSGKKKNMSMVPYRDSVLTWLLKDSLGGNSMTAM IAAISPADINFEETLSTLRYADSAKRIKNHAVVNEDPNARMIRELKEELAQLRSKLGG ASGTAGTSDEVYAPDTPLHQQMVSITQADGSIKKVSKVEIVEQLNQSEKLYKDLNQTW EEKLQKTEQIQKEREAALEELGISIEKGFVGLTTPKKMPHLVNLSDDPLLAECLIYNI KPGTTTVGNVDTSTTSEMRLNGSKIQHQHCTFENADNVVTLIPSEGAAVMVNGLRINE PRRLRSGNRIILGDFHIFRFNNPQEARAERAEQSLLRHSVTVSQVGSPALSRPNHERS ISRPDSEHEVDSSRAESPIPVSRTRDSDWSLARREAASAILGPDQKITHLTDDELDSL FDDVLRAREKRRGRAESRFFENEEDSDSLSSFLVRDKYMSNGTFDNFSLDTAITIPGT PQLQESPGSEEQSALKAVRDDMQRQLDRQKDDYQETLRATEASERTQREVQEEKGELE EALRIAKEEFQAELRSQKESYESRIKEITQAPASDAAIGTLRVGIDGFGELSPAEIGI ARAVSDRWQELKYIRITEAVLQSAALLKEAQVLSHVMEKQTVFQFVVLNAGQHKASSY DLVLHDVLSEDDPWLEEVRKPCLAIRVMDFKNSVIHLWSPEKLRQRVQKMRHIHQYVD RPEYLQHFRLQNPFSESFSPQFSLLGDGSVPLTAVFEKRVQDFAIEVISPYTQSALGI FRLSLEPSSAQGPTSSIKFNVVVHEMTGFAEREGTHVHAQLYVAGASDENGITTTQTI TDFDEGPIRFESVHSLSLRQEDSRDSMLQIAVYAQVTSMHLDKLVSWDELRNSADTPP KQQNLHRLPESEYDTEERHDIFARVQILELAENGQYLPVEVVQSSVVDRGVYQLHQGV QRRISITLTHSLSESLPWQDLAGLRMGDVHLIDSSGKIADLDSDAPDVALKQVQEPMV KDNADGTSDITVVGQWDSSLHGSQLLDRATFEKHKIQVVLRWNMISSRVHEPMIFNLD QQLQILPRSYMRPQSILKSFWKQTRVTHSTDGIFCVVVRPLSAKRAADLWRMDTQHDY VNGEELLTNWAPRRVSLIRDFIASRRRQRRLAEIEIAKAAFGSRNLSVGAEVKSATPS PSCLQSKEEEILRKFVGLWLKGSHDISDTILNNNLSQEASKQPRVSTPHLSPPYDQGS ATSTHSTPSRRPAGKTRFVATVIPVAKNPCSLKSGYLLMPSEKQNSRAPSMLWIRRFV DLRPPYLYIHSVPDGDAINAINLVHARIDHEPDFKRLLGGIPGQSDDASSTGQLRESA HSAGLSNVFAVYGEQNTFLFAARTETQKVDWILKIDQSYFNGSAAREGVRDI EPUS_02194 MDKSPVKKNPRGAFLSGIWHFYTCQKSQPKRCKFFLWDDDAKVR EEATVLNNSRSEAGPKTPMKPVQAPRQHATTRTPPAQRSHHSVMTPTSTVRPLSIASD ETDFEWSASNEKALLKMAQTPAMVPPETPRKTPRTAQFTSPGKRNHCEMLGSVSGLST SVCTDDVFNTPRSSQDVCGLLSPVETPSREKLQRGVHCPTESDLAADVLRILEGVKLS NEMEQKLVELLNKHDLRTQGIAKGRDVTRLALGSKERKIAELEARIAGLEGERETNKA VIAHLKRNIVQTSPSKSRKSRG EPUS_02195 MSLPDGRIRRSSSIERRDPYASPEVYYAKDHGTSSLVRNRIWVT KSPAQIEIVNKRRASHDEYSQPQKFIVNVEATLKDLLDREDTDKNHQITVDDNGPKVI SLGTLGSAGYKSKDVRGNYLIGNLLQELTLANDLGRKNVVIDLARLTENPVSRLSRFI KDTFWDNLTRVIDGSVIERVGKDPKDRTENPRPRIYVPRGAPEQFKYYTQVAEERPEV GLDVQWLPDGPITPEYVRSINEKPGLLALEMEKCIDPQTGLETLRGLPFVVPGGRFNE LYGWDSYMTSLGLLNHGRIDMCKSMVRNFCFCIKHYGKVLNANRSYYLCRSQPPFLTD MALRVYEKIKHDPGAEEFLRTSVLAAIKEYYSVWMAEPRYDAVTGLSRYHPEGLGVPP ETEASHFLHILTPYAKKHNMTFEEFVQAYNSDQVHEPDLDEYFRHDRAVRESGHDTSY RLEHVAADLATVDLNSLLYKYETDIAHIIHEHFGDKLVIPPEFQTNENRLDRMESSST WDRRAKRRKLLMDKYLWSEEKGMFFDYDTAKRVRTSYESVTTFWTMWAGVASPKQAAS LVLRALPKFECFGGLVSGTEKSRGKIDVDRPNRQWDYPFGWAPQQMLAWAGLQKYGYH DEARTLAYKWLYMVIKAFNDFNGVVVEKYNVTKELDPHKVDAEYGNQGSDIKGVPREG FGWVNASYVVGLQIVNAHMKRALGTLTPWDTFYQATKMHIDDEIISEAIEFE EPUS_02196 MVFTYAIPVDMGYLDDEEQIYLEDVAAVKKWWEDSRWRYTKRPF TAEQIVQKRGNLKIDYPSNAQAKKLWKIVEGRFANKDVSFTYGCLEPTMLTQMAKFLD TVYVSGWQSSSTASSTDEPSPDLADYPMNTVPNKVHHLWMAQLFHDRKQREERLTTPK SERANVANTDFLRPIIADADTGHGGLTAVMKLTKLFVENGAAGIHIEDQAPGTKKCGH MAGKVLVPISEHINRLVAIRAQADIMGSDLLAVARTDSEAATLITSTIDHRDHSFILG ATNPSLQPLNDLMVAAERAGKMGETLANIEEEWIKSAQLKLFDEAVIDAISAGVHVDK ASLIKKYKDAVNGKSNSEARAISKGLTGVDIHWDWDAPRTREGYYRYQGGCQCAVNRA IAYAPYADMIWMESKMPDYAQAKEFADGVHAVWPEQKLAYNLSPSFNWKAAMSQSDQE TYIARLGQLGYCWQFITLAGLHSTALISHQFSQAFAKQGMRAYGSLIQEPEMALKCDV VTHQKWSGANYVDNLLKMVTGGVSSTSAMGKGVTEDQFKGH EPUS_02197 MLWRALPSRIFQASNTSTTLRSGGSIAFWTPWKLRGISTTTPLR EIQSEAQSEDDTSIKDKLRMVQVGDSSQSQPRQPTIPQSKPPPRHVSYTRCAKLLAPD NPYANQKVSVSGMIKSIRKQKHGAFAHFTDGSCFQAIQVVLDPELAAPLNTGTCLRTS GTWTASPAKGQSHELRADDIRIYGVSDPETYPIQKKYHSPEFLRTVPHLRMRTPFHSF LARFRSGCASFLNTYMSYASQKGGGFVQVQPPIITSSDCEGAGEVFTLVSNAGASTLE QDQEQGAKPSPTTFFRTPRYLTVSSQLHLEAYAADLRNVWSLSPTFRAEKSDTPRHLA EFYMLEVEVSYANSVRTLTGLVEHLIKTLVYRLKKTPHYPDLLLAARASHEEKAEEKA EEIEERWRVISGGRWKSASYSYCINRLKEAAEADASLFERHPKYGSSLYLEHEKWIVK NIGKGRPIFVTHYPRAIKPFYMAPSTMAIDHISYPNYRPPGDSRDIFDDTETGKDTVA CFDLLMPFGVSEIAGGSLREHRLENLIQNMREDGMLKPKAPLASADHVTDPAHKDNVD AYPFLEPGESLGSLKWYADLRRYGTMPHGGFGIGWDRLIAYLTGVHNLRDVVPFPRSF GRADC EPUS_02198 MLSLHQINGWNVSHKLQKRKLLIAVNCVAGLSIFFFGYDQGLMG GVNNAKDYIHLMGFGYTEDRGEDRNVPVINDSLLQGGIVASYYLGCLVGALLGGWVGD KIGRIKTIATGAAWSIVGAILQTSAQNHSWMICARVLNGCGTGILNAIVPVWATETAD YTSRGQFITIEFTLNIFGVVVAYWLEYGLAYVDGGVTAFRWRFPIAFQIVPLLFLLGT VWFFPESPRWLTKVGREDEALYVLQRLRGDGSDPADAGRAQAEFEDIKNIAELVRSAK NSTSYSSMLTGRGSGKLHTGRRIQLVIWLQIMQDWVGIAAVTVYAPTIFRIAGFDTNK SQWLSGLNFVTYMISTLICVFTLDKIGRRWTLYWGSVGQGIAMFLAGGFICLSADAIA DNDTAKASSYGIASASMVFIFTAIFGATWLTVPWLYPAEIFPLAVRAKGNAWGVVGWS IGNGWLTLLCPVMLGAIGGKTLYIFGVCNVLSIPIVWALYPESNQRTLEEMDLLFAAD TPWNWDAERNFKKLCTENPHLVQVAQRGQSVVDPERGVRESRKASYADVYGSGRTDSI EKSSGTSGMMEKS EPUS_02199 MSVFLAALSALSNPADAFVVTGISAGVNNATGERPFRRDINELY MSGPAWDLFILSLREFQQADQDDPLSYYQVAGIHGLPRAPWDGVVGQEASPGYCVHGA VTFPTWHRPYVALFEQILWTHAQSIAQDYPLGQRDSYVTAAQSLRMPYWDAFNSPTLP LAASLPTITVNGPNGTRTFDNPLYKYTFHADEGGNRFPADNWLSQFPWTVRHWDSANQ QSNIIEVNAELEHQYDAVLPLIYKLFASETDYTKMSCTTSSGNSIENVHNLVHNAVGG NGHMTDTVIAAFDPIFWLHHTNVDRLFAMWQAINPQSYVVPTTNTVGNYAVPKGFVDT ADSSLLPFHSDNGTRFWSSNDVRSIRTFGYAYQDVMDWNTNQSTLAGDVRANVNRLYA PAVSTRQPRRFSSGSNTEPPGAHPESSHMTSTLRSNKTERQWSITVQVRRFAHQSPFL IDFFVGSPPSSPSAWSTAGNLVGSHAHFIASNLDLTHLDGSQSALNHGEVSLTHCLLA NVQRGALADLEPDSVIPFLTKSLNWRARDMDGCELDLDSLAALSIAVGSQIVRPAKIP NGFPTYDEMEMYANVTAGKPGGWEWRAA EPUS_02200 MRFIATLATGAACLATAFAQVNIAFTSVPEAVVVGQPANITWAG GDGVTPVTITLRRGDPQNLQTIATLTSTGVDGYFLWTPDASLATASDYALQITQGQSD INYSGPFALIGGTGSSSISYSGTSTLPPASVNATITSVTTVASIGTGAPISRNTTFSS QTLTSTSSETSSRTTSRSATGSSTAAETAATSAPTGGAAHMASSLALVFGVVAAIASL N EPUS_02201 MQITTRVKRLFVGHKEPELTCISSTEAPRLALTDVETRMNMGAL FKDHPSSELLPNGVPRMHSSPVLSTGLISPPAASPSPEPATWSSAVGHASTGKSGRVI ERLQGEIDRLNRDLQLLKARLDDSEKARETLTTHNSYLQDRNSNYEQSQEANLRQTQR KDRMIDELRENLLREKLRVAAAEQTAKEATANEEQWRLEASQSRSLAFQKETEYATIA TCRNLENERHQGSLQRLQDSFQALLRERTEAQGSYARLQVIAEQQNQTIAQLEELNSK TNTNFKAYRSEVDEAIANLRRHVSANDSNVVAKLEEMTRVTDQMKWVMAVDCNVRCPS FTAPKNAPI EPUS_02202 MASLKKSFFGSLFTFQPTAVEEVRNIFQEVFARNGLAGNAPLVS YDQETFSFSFQCERSETRLRELFSKLLRDYINDHQAEEDLLENQRITPLKGTVIDDPV TSSDLFSLDNDDGLVLFSDEEEGEEEGEEEGKLLETIGYQTAVWEPTKGNVGLLTFDV VKALSDHTGSTFYIDSHRNEARLSDGNLTDALFRLNAVEPVLAYLYRPANAHVLMLYA ATDHTRIDFTIPSDNALRRIIVDPDVKPVGTWLGNKHIEQLSTYIKGAKADQAFITPP NLTTTTSCSVNIATSSVWSDFVFTQIGDVGNQASIGDASGFDRAEKLDPLPVSHYMTE TESHMIAQWAGHVVEAADPHEQIPTTPIVEGQKRVGRTRVVKQVEDDEDEDTERDVPL AIPSLAPKSMNDALNSHFNAQKAKVGRTRVKRIDEDEDEDDEELQNNTLTNHAEQQDS WTYTPLPEPKGFGYSSLPSKRRLGRQRVVKVDSDDEIEDNGSTWASRKEQQSRNDDKS ALKSSHVNPDEAGITNGWGETVCPQMSGGWGENVHSEVSNGWDDTVYPEISSFGATDG SFGTKQASDDGLDQRTLQKNSVSDRNSDRKPDMATDVYYSFAAETSGSNRGKDTLGKR RGRGSRGQGPGHHRGASSTQAAMPHVHDPRGISNFPPTPKQPYRGQSHNRGRRASRGT TPSGQGRGIGNQARHGGNRFGTLAAETLVDIAESEVVDQHAIPSPPGFEHQPHIEGDT VRGVRSQSNISDAPSHPDLIDVTTPEAKKGTHVAPPPGFDSFSNAGTETRTHNQRNRF SAPRSGSPLDSVGTQSVLSVANAGPFYVNTSNPGMDLMEMSRRRLEQLHRARGEEGLL GNETENLQAVDESSTRTYHRTMKQQAKKPATKKEADQKKAAALQDAWGSGSGPGPGSG KSKASDSTAARSSRKTETSDMSAAKKRLLRGQEAMANTQSTSISEEQITLQNELFVDA LKPVFTAARAFPGSLNFEVQLGQFLSPSPEGAYQAKCVTVNQWHKLYDSTSGRLASAA TFTNILTRNGADIDHILRLKMAQSGRTELFHPDKPGRFGIRFEFHCQGKNNDEFKLVF NSRGEYEIERPFRKIGQVNIHVPGQIWDAAGLLTGSTRFPEEQILKDAADEMARSIYI PGGRKEIEISYRLPSSNEFAVKRVVMRRVSRHTCALTDKHDVQLQITEVQRLFVERRS DGIYLAYASKYEKMVDQMMIHFEVSLISESIEHAMSANAHLTVGDITSAWTEDSLLQK WRTRALLEVTHMVVSKMDGVGFHNVGSAVFFLGQDSVLGGGSAVAIGSAMPSQQQQPN QQVMTKLATQNTQQAAIINVPGVRGGLALPVEQGYALGYGGARIPIPGHVEPDAVVPD DSASQAPERGKVDGQQLVPGFW EPUS_02203 MQISNSGCALLFTTLAQTSTCRLVLPNLFRQAPSSLNPIRIQDN IQAPIIPLIPSNDAEDDSTMSDRHKPSLYDTLPLTRRINIFSSLLRDHPNLPTLLSSH NNNHHNDQNPTSFTILAPLNSALQSLEHKPWEDSNDYATFGERAYDGQGGEERAKGNL KSFVERHVVPQSPWGAGGREGGVVGGEGQ EPUS_02204 MLMLHRETFENIYLDLSKQSGKCRFAESGLGWKPSGGGDTFTLD AGQIDGAQWSRASKGHELKILSRNVGVIQLDGFEQEDFDRASKCFRIWYGVNLENREH ALRGWNWGKAEFGKAELAFNVQNRPAFEIPYSEISNTNLAGKNEVAVEFNLGAEPITN GVNGHKEGSTRNRGRKAAAGRDELVEMRFYIPGTVAKKEKTEDADSGADDQEEDAEET NAANQFYETLIDKAEIGEVAGDTYATFLDVLHLTPRGRFDIDMYESSFRLRGKTYDYK IQYQSIKKFFILPKNDETHTLITMGLDPPLRQGQTRYPFIVMQLKNDEEVSIDLNMTE EQIQSGQYKGLEPHYEAPITQVISRVFKGLSGKKIITPSKDFVSHHNMNGIKCSIKAN EGLLFCLDKSFMFVPKPATYIQIENIAIITMSRVGGAISASRTFDITITLKSGQGEHQ FSNINREEQQPLETFFAAKSIKFKNEMLDDSATLLAAALDREDLASSSDDNAGNHNNA ANGNQVRGSADEDEESVDEDFQADSESDVAEEYDSAHESEGSGSEDDEEGSGGGKKGG RGGGDEEMDDADADAEGVSDEEEERRPKKKSKS EPUS_02205 MEVAGPSAPCSTHERLALSNLPLDDYNTLSCKVYNETLKASNWA LQSRKQNVVAPEQPSVPNHHDWHNVEPAFIQQELNLNQLHQNAHDTVDSLPDAQSWNE STLVYRSREPSVIAAERPKYPSTQDWENIKPIFTKLYSTDNRALKDVKTILEKNYDFV ATERMYKGRINAWNLHKNLKKAEKATLIRKVRQKRRPNPPLFKGRPVQMHRLLRYCKE NKVPTSGLEAVVRRGGQRRMTSLVDVSSGAESETYNLQSLFRTPSPPARPIAIHGDMR TAELIIWTNEVYLKEYFTTGLGTYYFKPELTIAALNGRPTQASVSTKNEEAWDRVVDP LSMIKHITDAFCALQSGFAQLAFTTVSEAFDLLETFFKQQTPILLPCLICVFENRTRG ESDFSRHVRQFILSMGTTVLGSAHPLLVILNSLCTISSTNGKMFAWRVVTDSFSKFFD VLKIPGMLLYLRLFYIDGLRGRGWVQEGQDYLEAVCSPEEEIEGQNVDYILVKAILLR KQHKFIEAEVEYRRCLELLEGAGCDILANGLPSKLLSRWHYLDVCLFGLGRILKATGR IDEGQAMYRGYIELVCAAYGPDSVETQVATSAFDDFLVENGYIEESAALRAQYPFLLG RYGDEGRLGDSQGNRQRITLHLLVSNTN EPUS_02886 MAIGASIHPDFKGLQFSLRLYALKFVLDAQKWIGSILEEADCLG PNTIWISTDALARVAMRLRLHHTEQLIGSDMTAADVQLRKKLWSTVVELSVQSSLDSG LPSSIIPADLQLDLPLNVNDAQLAKYR EPUS_02887 MLSGTSSVRLKIAHHLYGFSADGSYEKTLQLSRELGEKCRSNAK ILHSLLSHSSTSQSNAITGFHIRLLDVLTLGSLMALHSPFALRARGNPAFYFSQKVCF KAAWTLASRSMAPWQQSCIAPAQDIYYRLRLHASGLFERVHSQATEESFLSNELFNRQ AVFDTIQHNIDLCAGRIEEGSTDIASHLIFSCALAHVRALESMEKPEVWVAEAARRSL STCLEILQRAAAVVAPDAPGLEAVIGNVERNVQQNFGVLDEQGWYNFDALDLQIDQLP QVTSFETINGPRLSLNLKLHNNSMSFEMPNIRVASEFAVDTS EPUS_02888 MEVILKQFHIAIPKFLRWHNKQAKPTENTKIRKIIGRVPAEGFV RTDIEGLNLKGQSQMSSEALKPTPVERQASGSVRNIRSVRKDSSASLLRADTALDLLA VGTLLR EPUS_02889 MISPIASKLPCLKAPFIFVLATFCAVYSWQLPAALAQLAPSRQQ TAISSNEVVGKIVPYLARSENDTSSSLPSTLNALLDAIGVMQEKYFDISTGTWPESID WTAAVLGTHVSATLSTLISSTDLGTSTCSNILAWNNLINRYFSHTSVFYFGENALSIR NQAYDDMLWVVLGWLENIKFIDLYSSMRSNQADTDPALQKPWHGMQFKPAVAHRAHVF YNIAVRGWDTSLCNGGMVWNQNLRPYKNSITNELFISASISMYLYFPGDDNDSPFFTT TSKQQPPESEAPHNPTHLQNAIKAYAWLKSSNMTNPTHPGLYADGYHISGWHRTPDGR INPGTGKCDDLNTMVYTYNQGVVLTGLRGLYLATGERSYLADGHALIESVLAATGWAD TENMAWSGLGRGGVLEEYCDSSGSCSQNGHTFKGIFFGHLAEFCRDLSAVEEGIVSDI NGADESMHGHGSSAGSDQTWQHHLHRCKRYHEWVEHNAHAAAMTRDEEGRFGMWWGRA YPDDGTGIEELGIKSSLLPAGALDYANDDGALAAERSDVSGLAARNFRKAVAGDGGAS ERRDLNDRGRGRTVETQSGGVAVLRALWQWESAHVKTEKKEDMESEAEL EPUS_02890 MSVRIQLDRPQVLFTNLDFLTGKVIVQLVADAALSAVTVKLEGE SRTRLSGPKLTYNNERSDKRRTELEWHKLLYKVETLFPTRDVQQQTGNATSSYMLLAG HYEYPFRFKFPFNNNCQNNSMMRGLGVGSMGIAFNPDLNVKHVKKTLPPSLSGFSREA EIRYYVKATVVRPKFYQENIRSQLDIKFLPIEPPRPPHLLEETFARRTQQFAKTFPAS EKAALFQSSEPPIFQVDARLPNPSILTCNERLPLRILVQKLSESTETVFLSMLHIELI GYTHVRAHDLTRSESQSWVIMSQSNMNIPLGEPSDPVGKEWKVPSRYWENKPLPNTVC PSFDTCNISRTYQLEVRVGLSHGGGSDSLKPELIVLPLRLAVKVYSGIAPPAALLQRI ATPHSKSPRTQFAATPLATPASNQLSPSSFYPPTPITPSYAQHQPPAPPGNTASYFPP PRPSQLPDGVDDDEAPPSYEDAMADEIAPVDGPRREYSIPIPPDRSDSGFSSDLKGGG GAHSGSGIGGLGRRVSERLFPQNGATASIRRANGSGNGNRNGIRSSSRQSSSDDEEFG AGAATAADGHTAAAGQGAHPPAQTSPQARVARGPTLPPSLEESTNGNEGGGR EPUS_02891 MDEAELPWAPQEDGVLITTLLPSTRVPIDCELEPDLACPATTLD SRKAALSGPSRRCVTKLHVFASSAVRIRRPRCPQNNPASPRPASPLTSGPDSGPDTPS SKPSSNAAASGSSIARPLSPPGGPRAAIRRRAAADHKDSIKNARPSSTRAAGAGGSSG TMLRLYTDESQGFRVDPVVVLVLSLGFIMSVVALHIIAKITKRFS EPUS_02892 MSAATSGKEPGLPAAQETSATTSSTPVLPGTYFRRPLDVAVLAA DGSGLLALLLIYDVYPDNVQSAITTSDPAQQVSSNEGPSASFTKENIAQPGAQVSEKA QKKNSLLNVPSRTTSQTKQEPSSTSPPLTGATVTDDSTSVGRNSKRSILGKKRDVSKS SSRRASKEQRSLDAGRGAPPAPAVAQSDGATGVEKKGTFGFLSFLNCCSGHHNTNTMD IDDQALPAKKEFRENVAKDTSEEKQSSREETEKQEIPVAEEKKQCDGNPPRISPSESS REKPIVPSGPVVATETVDTSGNLRDQPLSAPVPDAQPSPVPLISTQEEVSPTPDWTPD QSKRNSDVDMPDAPSQEKEVAEHETTTSPALPKVEAQKTELPPPPPLEQRQSQVINRE APAVPSSSQEKEIWLLPPIQPHLQGRKCLVLDLDETLVHSSFKILNQADFTIPVEIEG QYHNVYVIKRPGVDAFMKRVGELYEVVVFTASVSKYGDPLLDQLDIHHVVHHRLFRES CYNHQGNYVKDLSQVGRDLRETIIIDNSPTSYIFHPQHAVPISSWFSDAHDNELLDLI PVLEDLAGAQVRDVSLVLDVAL EPUS_02893 MAHLRSVSVLIFLFLQFTLCTSHAYQHRHLRRTLLHQNQRHSVG GDKSQHSNTQQQRIINLQAQIDAKLTVRDSAIRLLDGEGSARKKRWGHRHHHRDHHSG NSTSDSVGFTLEQLQQTLEDLNETIQSLYELLSSSLGGQSQTTTLPATAISTSTQYYT IPTPAGPSTTIYPIPASSSGNATSSSSFNDAAASASSTFISTTSTATRYSFDPMSTSN VAVYYGQTDQTSRVPLSTVCDDPDVDIIILAFVNKLSTGPASYPTLNMGPRCWAASSA QVNEGATGLIDCVSDGFARQVKTCQESGKKVLLSIGGAVDYSETTIDSEEDAVRIADN IWNLFGAGGMDNETIMAIRPFGDVILDGFDIDNEDGSTLYWPTLISTLRTYFETSQPS YPKPYYLSAAPQCPRPDASIPLSSMRTAIDFIFVQFYNNPSCNLNSPGFLAALQAWSD DLSATNLSSSSHSSSSYSSGFIDAGNGVTSPRMYVGAPAFPAAGSGWVGGQQFSELME EVKEVGLGNLGGVMFWDGAYGVLSARDDGVYGRSGVGESYMALVKDALEQ EPUS_02894 MPPRLPKTFRERWEMWWQATLPGERVQTRDPMEPASHLDQTTQT RISLFPEMSNFLRSRFGLSRETDHQWFPVRPLGKGGFGGVAVWEKRDSLGNVVEETAL KQSKWDLSLALPDQQHNAREGAIMYQLNHMNSDYIVFMKAFRCLIDPENTGATWRFYF EYCPYGDLGRLKSRYKAWGTYLPEAFLWRTFHSMAEVARLMKNGKFRELNMESAPTIP CVLIHSDIKPPNIFLGYHAHPKSPWHVYPVVKVGDFGLASLTNHLDPRNPQSFVKNGT AWYLPPESRAKEYRDKYKEWEDPPFDIDGATSSDYKDAEGKPDYSNRKVDSSHNVWGF GLTMHELLTHQEAKVFSDHVNAVTEDEYNAWGRNTIPNLVTKKDPEYSYELRHLVRRC LNLKPSLRPTVEQILEVTGAKIKEYETEVAKITRGATDPVTHAKNTYQLPKLFFKENE INNMPLGPHMQEFGWDDRYLALFAFDEDRYAAPVWGPIQHPNRPAFARYYKELMQQKE EKKQNANRKRVQNTMDPTAVDAAPPKRKKLSEPAQRPKNPYGQSKPARGKLAPNRDKF TRKPPTRRQGGFMAVNTNRGQRAEHEARQQPQGRRLDSVDRALLALRTQASK EPUS_02895 MPIKPLAFKGDKKSSSSKKRKAAAAGLHHDALDGPPSTTLTTSA PSTPADVNAPVEDDTWVTADAPTDLTGPIIFVLPTQPTPCALACDDAGSVFTSQLENL IEGDPATAEPHDVRQVWIATQIPGAGGGNVLVSFKGSGGRYLGCDRGGFLRADAVAVG VGEGFRVSVADAEENVGKGRFLVGTDAGTGEGKEKEQKIRYVFVEERREGSQKAWEIR GDGEGITDASMLRIRMQARFKPRLKANKEMKAKEKISRRELETAVGRRLEDDEVKRLK KARRNGTYHEEVLDVRVKGKHDKFAS EPUS_02896 MSKVRESHRYYHQPLQSSEFAIRLFSLNPVDDSYLLSGTITSHN LKACGSYVALSYEWGEEDPQVEIKINGRLFLIRHNLWLFLSVIKSKQNSDISLTDLRF WIDAICINQQDVPERNAQVSMMGNIYKQATCVLAWLGWPQGWDPTMTFNFIRNCNSAE AGQRSVETEERFGGRTYIEMLRMVFQMCMCRYWSRRWIVQEILLANKVSIMCGEDELS WASMYAFVQQSADLGVLYVPRTVEEYVTIKVRGSRCEGVRRKLAETIPFVMSCFWREG MHQHTLRQLFLAFQDTNCEVLHDKVYSLLSLASDASRIPVDYSCLPQTLLYRVIVQGD WSKDIELQILAKALQSIEFPYKVRIGEGQNKLDGTAPLPLHLEENRFIGHKILFCSIQ VSLCEVGVSDRKQIVANFEEAVDGKSRLGSELEETDYGELSNEKTKRRRQEVHIGPGR ESPRIFVSNNGSMGVSCSNARAGDVLSGFSSGKKLVLRVDREDIFRIIGTAVLSPPCI SPFFQLAQPVRVSKKALQDELRFLEFLLPNCSPDSSTLADILIEQEKEVMLERIERLA DIVSSFEAGHHASSRHRVAHFFEHVVSERTASP EPUS_02897 MSSETSLGILVDEIEAHALLAHDRCRHASSDFDTVEWDITCLRF TLKEIKHELSKPVSKIRSAEQARQEALATIVKSYRPLLHQLHQFATKDQGFKKKRIWR SHKPNSAVLDRFHRELQFQTQTINLYLSTLTATIKPGPAQEATDTLQAVHQGSEVDAQ WSLLRLRLIEDGITDTDIEAHTTSIRALLRERLPSYHDLPSGPGLLETDNSCDTSAGS HENVLQRVSQPEYSASGKGRYSDQVDQDQDQHAHSGASTSFSLGVAPNARNGSTNRPL LTKDNEHLLTRTPNTQPASTLNKSEGDTDSESEVLHFSKERHASGPSSPRSTTKMITP MPQPTLSSHKHQSSASHEVALDGVMSEHHDTIVVWSCAAIYPDEAAFFDSIYNLSVSV CGLCGELVRKSPDVTQRKKHLSNIHKWHTCNRDQLFYRADDFRRHLKHTHYAVPGWWS QTLERSARRKVHRPQTTDASNHPSPPQGSTRSRLRAQSSTHHQDLPNPSNAEVMQRPS STFNGTESMHRVHSDISEYDAQGNLLKRTITDSYTSVADAAAPSLERHRSSPRPHTKQ VLNAAKNAPSKEITQGKFAPPLTQDQSHLGHSCPFCSTSQKFYSRALLARHISVTHKD FVARPIQAVKHQKLKRAYKKLHSTLQSFCQNMAQASDTGREGSVVTDHVIQVLDELDD EFWPSSSESSENSESGEHPSLDDVDVSDKEEAYS EPUS_02898 MTAMYWDAFTVVLPQPVQVYAQSADLVPSNISFRVDGNSTISTL SDRGAQISPEGMVQGLLYVPNLNASDPCADLSSQFLPQNVTRRANIPSVERYALVAVA PWVSDHPECALAYMRATQADSSAGLFFFPTRPNAAFAAPVWDTPDWGVNASTWNDWVL GAEFPIWAIPPDSGATLVQELGKYSGNVSDAPFSSELARVHPPTDAARLLARVALNTS RDSNPVLGRLWVFAILVLAILCVVTGLTSLIMHINQRRMRRDLRRRIGNGEVDLEILG IKRQKVPQKLLDKMPLYVYTSKLAPTTSPTKETTPTSTQGSSLTQRKNLPKRDVPFSQ TTCPICLDDFEHDETVVRELPCQHIFHPECVDEFLRTNSSLCPMCKKSALPTGYCPEN ITTSMVRRERALRRMRELGTDSSPLSSMLPASVQRFLPGWLLRARLRPRQGAERRDRQ GYRPNRLTRPDRPQRGTPTIDTPLPTSLGPAHTTDTAASPSPAAGVGADLEMGTLTSN SNPTTSPDPLPLPTLEDLPPEIQALSPEERREWGRQRLAATLPPTAEPAVEEIPATSM GWFRRGWKRLFPS EPUS_02899 MPPLATKGKGKGRDARRSRSRNTTPNSAISSSALPSAPTSTAYL GIGTALLLVPSSPQYADMIEKLELKQGMPEPKHLDILVEQLRQLSDAAEARSQACYLA MTALSVKRKDIAEQERERERLEREAESRRARIRKEAEEADEDAIVRKAGKVKKRKDRP SVKEERPMAHGAHQIARQDGVDVKQEGQFTFLVP EPUS_02900 MSPGSSSLSEMAQSPDVTIAVNDKSTPKKVEPSAETSEDERQPP PAPPVTQHAFFPDPLAPDPVIYHIREVTPGMTDEEKKEIYSVTAFPTQDLSDQIAGTP PDKDFSNAKPTNQVAPNTFLSYVETYVRPLTEEDIAFLRERGDRTTPFVIPSRGERHY SEIWAAEDTNTALVSSNDMNSVNKPNGSIEDINDDTVGTDKISGGPLANRLLSLLRFE HRSSPTENGITTNGAGPSDTNLFGNDTSMDLDPLTNGHLDLPDPKPLPSASSVAEQHA PKSSNNTSNGASTSTNGTGTGHPPPDDRIKLELRHLGFLGVDEEPDFAGHHDDDISVR LRLLQSELKKVMITNGARKARLLDIARERLAYQEYSTIHEDLDSQVQQAYLKRTRTLG KSKKGHHGQGGTGGGVGRPRPGNAVNGSVAGGQVGAGLARQRDIGDSARMLMDRRKRW EDCIGPVFEGMRQGVPKSVEAGGETLWDERVMERYEKAEREGLEDETDG EPUS_02901 MSPAAACCTCATLLSDTKIPYSLDSEKPLTFDRSLECCGRTICA SCQYDNPRFQTYCPFCQISCGPNPLPSNGLRLPPSYSTSSNSNAHQPVDLPPPYTSIR ATTAAGSQPPETDDVIHYLTADDSILSLSLAYQVPAAVLRTHNGVYNDNLMAARKWVL IPRSYYQGPPLSSPPDPEEEERKNKVRRWMVATKCPDYDVAKLYLKGSEYDLEVAVEA FKADEQWEKEHPMKGKQRARPGRLRQKMQVDGNGGDPNKQVYCKKSSAKASYRTGTP EPUS_02902 MVKTSVLNDALKSINNAEKAGKRQVLIRPSSKVIVKFLSVMQKH GYIGEFEEVDDHRSGKIVIQLNGRLNKTGVISPRYNVQLRDLEKWVVKLLPSRQFGYI VLTTSAGIMDHEEARRKHVAGKIIGFFY EPUS_02903 MAPAAASAGKKQKKKWSKGKVKDKANHAVVLDKATSDKLNKDVQ SYRLITVAVLVDRLKINGSLARKALSDLEERGVIKKVVGHSRGSIYTRAAAGGD EPUS_02904 MVPQPKKQAPQRQPLTVALRKICRDYPAGAGILKELLQNADDAG ASTVKFTLDTHFHDTSPLLHEGLAEYQGPALLAFNNALFTEEDFQSLTSLGDSKKLQD KVATGKFGLGFSSVFNWTDCPSILSGSDLLFFDPHQSWSRSFEPPGGPFYDISASTED ESMITQLDGFSLVNTEWDRPFMGTIIRIPLRTASQAAVSEISNKETTTDDVRDALDSF ADDMGSNGLLFLKSVRRIVLSINDQLMTEVKITSKDSLAEPEGRLRTILRSIINDEDK AGGEIYHEVDLSHEVAGGKIEKKFGVLNLVTRSSNVPSLDSWAASEKLFPWVAVAAPL RDCSSTSNSKDGRLFSTLPLPCLTGMPIQIHGLFSISADRSRLHGLDDGGVQDHRPKE WNKFLFDQLIPMAWAKLLQNICQNTPRQDHSHLWPSSRSDAQQLWDGMCPAVVNQVFE NQFRVWYTSAGHVALEDGLLALNSTHLKERTAFREADLPIIFAELHVFEEARCLPGSR TLCPRTLYQLLQQTKKVDRLSRPSRLVLLEYLVCDIPITELGTLEIFPFEDGRFRSLN QSTVFLHKNNLEKTLFTQQTGATIDTDQLSATASEIMHDQVRKDDRMVRYRKPEDLRD YYLKHIANGSGDSVVLDENGRSKLNLVWRWMLQYHLNELPLAALGSLCFVTWFRPGEV NNISVKIFASNPKNAPNILADDFLDVDIQQRLLGYAEKEQSLGIKDGNKFGNFLQFLA QRGTLLRNEAEEIKYAVLRLLKQLYWSPGYTSTEQDRKVLKSLWIFQAVEWPADGADT SLMRWWTNMNINNVTFIGLKTLVPIPSSPNDVFIDMTKEVFSTLFEGLGLLKCLNDGQ ILEEVVIPALHSGSYDCLSSALRLEAVNRLFQNYYHISAHARNCLSKLEVVPLTPRSN DGNLNFGRPTDVLDPQQPALRSLYFEDEICLPEKQFYTRFGPVLAQCGIMRSLDQRVI TDRISCFGRTGQEFAAVASRAKSLLTMPLLNTGEALDLANVARSTKWLPAQSPAKSNS FTTPSECRDIDEKLIVGHVWHVLPFQIHESWRPILGWQCEIDVDVLMRQLVASIAAFD LESLEQTLCYIRQHHLLERCAERLLKLSFIRSSTGELVRADRACRRGGEKLRPYLYTV EPRFWDVHTDIMKMMNIYKYPSVEQLMSVLNALGSEKALNEGELDVAVEVTRIWSLLY CSRLEGLKMPDTHGMLRDTSDLVFNDTPWLSAGERAIVHPKVSRSVADRLMVEPLSVL LMNGVLGITDLDDDEFCQREEVADGIRDTLERYTRESTFHEYLANADDCGTASETNFL IDPNTYDTKYLLTKELGDLQGPSLLIHNNGVFTEENFEGLKRVGRGSKRDDPNSIGKF GRGSQTMYHWTDVPMILSGRFLLILDPLQTRLPFNYLTKQKKPGILLEYAKIKSACYD QLAPFEGLWGFNSDQDSYNGTIFRFPLRKEGQGSELLESRRPPDVSTTIGIFHKCFDE ARLALLFLRNLETIDFSIKGGADFEWRVGRQTWPQSGAFSDWAHVLVEQHSPHGKLIS TTEQWWRVIVDVLDPQEDLQDRHKRRMKYVECGIAELVPSADKAISPLQPLASRFFNC LPLKFESNLPVHIHATFLLSGDRQNITIEESSQDSGSKWNKWLLEKRLPRVYLQFLED IGRKIGHDVYNYFPTGTSGREQLSDLIRVSFWKEIRSSSYRLFPVVNAAEEVTKLRSR GRSNRIPPNLVTFEAALFDTLDTQKSNALRPILSNCLNNLVCPPWRLRGHFKTTPEGP QIKVLTPAIVRGVLRSTRARELVEKTKQMDKDFLDVLLSYIVPTTTDEVVELDGCPIL PLADGGLGTLSLRSRMSSDKVYFVADAECQRLFSFAASLFCGNETRYASFVGKILDSG LLNLKTLAEGDVSTVLGLKGSWAPGPASEKWLVYFWDYINSITVSMEAAIEPKEAYLN SLQQFPLLLVRTHGGKAAINSLHYFWNNACVVQSAIREQVNLLADFKGLGIVDSRTLP ASTRRAEKSLLDLASINRLIRSLKLLAGKEGKTLKEFVRATVKEENIKTFRNIIASHP QLLDESARDLPVWPRISSKSGYLTAREAFLAQNSAFVVPWIKEYHQFTMFNRYHQHAS IDDVGMLERFVLLNLPDSIGGKNKEPYTRLVDAIMNSTSLKGKTGNRNKKGSLLVPLA AYRLAARRDGRLCLASELFDHTDPVFSAAFGAEATDKFLMTGVEHHLSPWKELGIRCR EQGRFKGRDYLACLLLYRAG EPUS_02905 MASLLIFPVSPVSEREPQFRRLRMGILASQKRILSLRDIVSREY AAVCWSQIPFALPEPSTISLVKIGLNGQPNCATVWQHLAFLAESARFIDDATVGSFIE DLRRTYEFLQTNLQQSKATFNQPATAMWLNIEATVASSIPLEVLRTSWTSLEKLLLDS PCDAPPLMTVQPFLGRFLSLLKDLGCKSLYYPPITPPSSGAAKSTFGLLRELWQENIL TDVEFEAEGSTISAHKLILASRSMYCRTQFHGPWASRSESKGSTEVIPIKEMTYTTLK ILIDFCYYEEHDWAADMRVKENDDFSVIEDKLASLGATLEAADRWLMEDLHTDVQRHL IPGIRCFIRPDNVEDFSKIAEDTNAHDLRNYCEEYRLRNAETVLFATEADKSSNT EPUS_02906 MTPIPNFSPVSPRSILAVGAVLPSLAAIAVSLRFYVRLSRPTKI CADDWLVLASLVLTIGLGVMLIVGQPTPLGDGPMGFMFVLNRAIIITEKMKWAFNLMQ VFVFGTVKLSVIFFYRRIFRGVGFDVCSKTLIAIVCAWTVSFFFTVLFQCGTNPWAVW STLNDFLTHCSNEVMFLKALSISDVVTDGLILALPVPMVWKLKMSPARKLAVTAVFFL GALAIAAGVTRLVIFFQQLNDSYSVSQGILLMSTYMYWSMVEMGLTIIAACLPTLRPL FGEIVPGDISKIFRNFLSLRSFPSDGSFRPKNWKLRKESENTTNLSQTEFAQQKDHKV ATEVYAMDDIEAQKHTHPRGIIIKNDVSHTSTAR EPUS_02907 MAYKQLGDIDTESDESRLLESSSWKESSAVLHFKKSWYLFLGAI TLLFVFLGLSNVAMIIRLVDLKQSLVGLESMQETWQTLQTLTEYSSSDLATANSAWDR YKINGFVALPKAWTADRQWPIARDMPDDTDKGIYVVDGFHQLHCLITIRDTVADLLDG KIENRTHVVRHLNHCYDALRQAIICRADDTPLYVPLDTFFAGDGQQRRCKNWNTLQEW ASLLAASTNGMLASWAEIVAAVCILQLGWLLYGVVYRLFLSPLAKLPGPKLAALTSWY EFYFDVIQPGKFVWKIKDLHEQYGPIIRVTPWEVHINDVDFLDDIYAPSHRKRDKYAF QLRTLPVSLSIGGTRTHDLHRRRREALNPFFSKNSVMSLESSINEKVEQLCRLMEDRQ KKNTPVNLSDVYYGFALDVVSHYSFGHDDNILADEVQASTMRRNNSELLLGIKFNQHF PWIVDALNMLPTTIAKKIMPPGVRDMVDFSTKIRGEIQQVLEDKENARKGIKRSIFYE LRDHPTLPSAEKSLLRLEHEAVLLVMAGTDSTAKSMAVAHFYLLANPQVMTRLRAELQ TLSAAPSWAELEQLPYFNAVVAEANRLSFGVTARLCRIAPEEILMYKGYCIPAGTPVS MTTLCAHTNETNFPDPWTFNPDRWLGPDGPRKRKYHMAFNKGSRICIGINLAHAELFL VIAAMMRYDMKLFETDISDVQFEHDYHVGFPKLDSKGIRAKVQGKHDG EPUS_02908 MSRSKSNLTFPRQRSTTKSTTSGNSPTPSSASANQDKTTSKESK TRAGISLTDLVRTLTTLLAVSLSLSYYLTSGDSLTFHYRPWFTKPTQLKAWIRGPLLL TPSELTLYNGTDPLLPIYLALNGTIYDVSVAPQTYGPGGSYHVFAGRDATRAFVTGCF DLQHLRGEVKGVERMYIPVEDLEEEEGEGQQLTRGQKKVRREQELREARRKVRAEVER WSSFYANSEKYFKVGRVVVDTNSKAEGEVPNLCEAAEKARPKRSQLNKQRAEAGKGSG KPVFKPKNGKPV EPUS_02909 MTTTDRSLLPVHYNFKFSLSLFFTVIPVLSVNHRVLDDQAIMFS SKKATETNVLDSVLQDIMVSTGSPFAQPPAVEEGWDEYTRTMSAHRNGTGASGFREFL GKGNSELLDWEKYYGEASSRCSTARVLSSPPRAETSRLSKREGGQKESLEEYPQGLQL ALIILGVCLSVYIIALNRGIVSTAIPRITDQFRSYDDVGWYGSAYLLTACAFQPLYGR IFMSFHVKISYLLALVVFELGSLVCGLAPNSAVLIIGRAVQGLGSAGIVTGSFVIIGH CVPMVKRPVYFASVGLMFGLGSMTGPIFGGIFTDLVTWRWCFFFNLPIGGATIAVLLF FVNAKEQCINRQPFLQRAAALDHMGTFILLCGFVMFFLALQLGEEVIGWNSARVIGLL VGAGVAFALFAFWEWWKKDAALIPVRIATQRTVIASCLSAIFIYGVMTIHGYCLPIWF QAVRGTSALESGVNMIPYMIANALCSLSAGIVVSKTGYFTPPAIIGCAIATIGCGFVS TLNVDISTAKWIGYEILAAGGLGIAVQIGFTAVQTVVKPEEIPIATSAIVACQSLGGA VFVSVGNTILQNQLVNGGTTGVLAQINVGEVINAGATRFQTIVPEELLPAFLVLYNAA LQKVFYLAIGSAGMALLASLPMEWNSVKENKEDEPQITV EPUS_02910 MEMFSVNGTEMPPAFMVGAGGKVAELSSTKHTLTPTSVLQFTSP WEFLDQAWMTIFGYHAQDFQFTPGQTPLSTLKGTTTMLVVYYSVILAGREWMRTRPAF KLNTLFLIHNFYLTAISGGLLVLFIQQLVPRLWSHGLYDGICGAGGWTDKLVVLYYLN YLTKYLEFIDTCFLLLKKKPLTFLHTYHHGATAALCFSQLLGHTPVSWVPITLNLFVH VVMYWYYFQAARGVKIWWKEWITRLQIIQFVLDLAFVYFASYNYVASTYFPSLPNYGS CAGKEFAAFMGCGILSSYLVLFVSFYLATYKKGKTASKNARKSMSKMSRTEVPTATET SERASEAFEAAKETVVSTVNQLQKAGSKDE EPUS_02911 MYATSFLVLPLSVLGLLAPAVLAQNRCQLFVTAPTGTSLTGTRP GFGEITRDCAVSPEGNKGSSQNDDACATLGFMDEFNTDESIFVPAGLSEDVEVRMFRD DLSEGFMKFAGQDLNFEGECEQVGSEVKAVVRCEFDC EPUS_02912 MAEIGIVASVFGVAGVGFRLSLLLNAVGCEIASAGMEIHSISKS VTLFSLMLKQVGQALQASDSVHSSEALETAQEISIECEKVFDEIREMLDKVTSRKSDG SLSPSIQQRFKWCFKKARVQYLLAQLESLKMSLLLMLQILQLGKLMAATPQSEQKEEV AVKNDIIAQERAETQNLVIVRYWQINRLDRLYTAAEHEEAEDRKKQIEGRNNGNAENS QLAIEAPPEYSISTALIKLPIVSLGELDATLNQIRESPREMLRVSESVIDPLLGRWTR WQEARDRQGVRLGSRYMPSVHNLYESDEEKARQYNDFHDREDSSGGYYLEGTTTDWRK PHSAAAKQEAAKLRKKYAGLQPSISVESSDAEDNQGPRRPKKPPPSRHVIDSSTETSD SERDQPRQRRSSYADSSNDKRSRYLAQRPNPPPMYGNGGDARANAGGRNNSSPNGTPQ SPPRSSISAPRSPGAHRPMTTPVQTQYSHAYTSPLPPVHTSNAPNPYAPHSPYSPNPN SSLHPPAYQGHYNNPQQYPPRYMPPQGYRVPVAPQQRPFSREEKRPRSPSRHSGHSVH SQRSIEELKKAERNRKHKNLGRSATKGVLGAFALDGFLEALEGLEL EPUS_02913 MRETISRQDTNGINGINGSTDSYADNLEVDCLIIGAGFGGVYLL HHLRKMGYDCKIYEAGKDLGGIWHWNCYPGARVDSQVPIYEYSMPEVWKDWTWSEAYP GSDELRAYFKHVDKVLDIKKDVAFDSCVVGANFDQSAGKWIVRTEDGRTARSRFLIIA TGYAAKRHFPGWKGMDTFKGVMHHSSFWPSEGVDVNGKRVAVVGTGSSGVQLTQATAK EAASVIVFQRTPNLALPMAQRSLTKEQQEKKGYPELFSERMKGFAGFPFDFVKRNTLD DTPEQREAFYEKLWQAGGFGFWLGTYQDMLFSHAANREAYNFWAKKTRARIQDPRKRD LLAPLEPIHPFGTKRPSLEQDYYEQFNKPNVDIVDVRPNPIKEFVPEGLVTEDGKLHE FDVIVLATGFDALTGGMKNMGLRSTSGETLSDTWKSGTWSYLGMTCHNYPNMFFLYGP QGPSAFANGPTCVEAQGDWIRSAIAKIDKEGIKSIDPTREAEEEWKRKVKELGDKSLF PKAKSWYVLRLTSF EPUS_02914 MPGRLHNKTALITGTSSGLGAAIATAYAREGANVCCVDLYPTPR NPINASTGKADSFHNRLSDQQSTHEHLQQTYGGNIVRAIFIRANVTLAEDVEAAIQAC IKQFGRLDIMVNNAGISVESTHTKVMRIHETEEEDYDNTMAVNAKGIFLGCKYAVKQM LEQDPLQNSSADDTSPPSRGWIINTASVQGLVPYYGTPSYCASKGAAVMLTKQVALDY AQDRIHCNALCPGFLHTSMTQNLQSQPGVVTEISGKHPFGGMGRVEDVARMAVVLASE DVRWMTGVPLPIEGGYLLH EPUS_02915 MVVFVGLIIPLPYKLKKGLFTFISESPLVAKIQYAMKITFIFIL ILFLDSVNRVYRVQVELAAYSKDGSGAGAAALGSERMEVQARKFYSQRNMYLCGFTLF LSLILNQTYVMILNVLRLEEKLKMYEGDPRAGGKSAEKLDRAGESGEIGSLKKDLHKK DKELQAMKSQAEGLQKEYDQLSVKYNQMNPDNNTPKKDR EPUS_02916 MSPKLSQVCFHKSETGSSPSPPRALYQRSSKFFIVLTVAFSIFT DIFLYGVIVPVIPFALHHRIGIPPERVQAWTSILLATFGGALLVCSVFSGWLCDRIHL RKWPFLGALLIQGAATLMLCLATSTAALLTARILQGASGGVVWTVSLTIMTDKVESAG LGQALGYVAIARSLGVVMGPLLGGVLYERTGYYSVFALSFVFLAIDGVFRLSFIEART ASKWLATKNTEDLAETTTKKEPNKPVRRGSSISEASPFRRYAHRVHRRLPPVITLLAD LRLDVALWGSFLQAVFMSGFDATIPIFVSRTFGWNSLAAGLIFLALVVPTCISPIIGW LSDKHGPRWYTAAGYLLSAIPLILLRLVDHDSTQQKVLLGALLALLGAFGTLFEIPIW AEIFRCTEIWTMANPRQYSAGGAVGQAYGLSNFFYSLGFTVGPLLTGFTYEAAGWSNM VLVLGITSVVSTIPTVLCTGGYIWRRKTERVDGVSDGGVAGS EPUS_02917 MATPIAKLERNNLLLLKVIEAQQSLKSLDAAKTVEEWPPSVGPS PSARMISEHLRAIAKDAVERGLVHPDFKISCSNYYPPKGQKTGRDQRSAPLAKKRARK EADEDDMMHTTDDEVVKTKKTKRGGATKTNDGYPTPPSITRFRSRSTIADVAGATGGL DKKAGNDRNGSDSDSDSDSLADLGDTPTKAGANKQRIQPERRSRAVRKDYTDDWATGE LDETDEDFDPYKMRQEQEKQAKRHRARRYADNDDSD EPUS_02918 MPDSNEEIDERVANAVRDILAEREAGERPVIAEKAREYRVSKFR VQRRLKGIGPRISRKPKNYKLSEIQEQALLQYILSLDEIGQSIRYDHVNKVANEMLKE DHTENSTAPVVGEHWVKRFLNRHPELHKAKQKPLELERKLAHDPDLISNWFERFRALR EAYGVFDDDIWNFDETGFRIGVGKSQWIVTSSHAKRHYLVSDNNREYVTAIEAVSATG IVIKPMLILPGKVHLERFYRDLKRMKCLWDCQILDTQMTSLPLRIFNILNAKVDPLDV VLFQPYKHWHAQAVNQATRTGCSKFDKLEFLTAIYGIRQSTFKNSSIRSSFRECGLIP YNPQLVLEKVKEYQPPPPPRPSTPPETEFQPPTTPLTPQALKKQAIRLENATPSRYKL IAQKFIKGAQIQVNTATQIKKDLAASTAAEKERRERRIQSQRQLQKGGVLLASQARNM VTQRVEEGGTQLQRALWREEDLRKELEDERHPLRC EPUS_02919 MYLLGSFVTANWIALVTAQQQGYLGFNSGATLDSSKAKEQADFE REFRTAQGLRSSPGSFNSVRLYTNVQSGTTDAPISAFPAALATNTSMLLGIWCSGTTS IRNELTALQSAISQHGQPFADLVVGISVGSEDLYRISESGIENEAGIGTGPDEIVDFI QEVRSSIANTALSQKPVGHVDSWSAWANSSNSAVIEEADFIGTDLYPYYEKDKGNTFS NATTIFEYIYNETLSAAGNKPVWITETGWPAEGPMFGQAEASIENAKTYWDQIGCGLF GRTNVWWYTLRDSNPANKEKFAITDDLSTTPKFNLSCPADSGAPASINNDAQSAASMV GSDPLLWPMSLCAVLATVGWLI EPUS_02920 MASFCRLPPLINTHISKDMHEIILTVQYFEKLALRLQDSHADFP DIHQHMRKWLSDMRCFSIDEGILTLSNILEIFSNFSRWIVKNNISYRRVPEDIRADVV WLKSRWQMGDWNLADPLRGLNIAYKNDCISRSLNKNWKFYNPAWNRFGHNGLVPGVTW RYYIAIMRDGGHGSPEAGISGIAGQGAVSVVLSVPNTQGEYADWDEGNKIGYVSTVGS RDQPSTYTQLLLDSHDWYVKSNKIKIEGEDQQREEERKKEDRPVRVFRSWKLPPKNTW RPRNGFRYDGLYDVIDKELIDPDRALYRFTMERQYDQWAIRVDQPDDQTLLLWDQCAI TQKTAK EPUS_02921 MVSHQQLHAIFEISIRVKIGNSPPLPSQLRTLTLSSISAILLPP AVFGGLVLTLWTYKCIMMVVFQNKIIYMPSVPPFSRSDKVTDYINQCRPVVWKEHDLR AADGTALKLLEGGVDLAAKHRKRVTVLYFQGNASSLPPRLPYLSAVLKALDAAGDQTS EHRGYSIYALSYRGFWTSRGRASQSGIELDAQAALTWLIQNVRQDPHIDTTFVLWGQS IGAGVATTALATLLTQQYLNAAGQQHQKLLNIHSLVLETPFLSIRSMLTALYPQKYLP YRYLWPFLRSTWDSGLAVKKIAVAATAAAAGPAASHPKPNPSSHNGPSAMVVTPIVRK VLILQAGRDEIVPAEQSEALERICRENLPSAIEVERVIVAGALHTEVMAKPQGRGAVV RHLRAAAENH EPUS_02922 MSATHNNGGSYWGYLINHESKSAAPLLSQLCDGLTKIIVQLVPG PDTDLTPQRLSAFYQSVGGSDYVFRELGYPGLSLMYKTLGCFHSLQPTANPFEPPSVP CLLPAGFVRWQTLQLLLHPDEHVQCLQKAVEIYDIPKPSGGIFPKTIPRESFPLKPDE AMEKWHNIVLEKLDEGQHRRLKNSPYCSPYEAPDRGDGYFPRSPHRRRTSRPPRTENQ DASHVFPTSSRRRSSVPAAPSPLHNPEHNDNYHWSSDQAYSAPNSAIPQSPRHRPASS SASQRPVSQSHHHATTSHNSNTPSAKSFSLNFDNFHIPFISSPFSSKKSRERSSASAA RTRVRPRSPSRYEAASTGSEASSEDSIPRVSRYDRERRRSSLAPPTDYNSYQRRHSHD TSYQASPKYMPAFPPPSPRGQADQNHSRHSHVPAAGPVPGPFREDFSNNGPVASSGPE LPNNAYVNPKLRIIDPVGRDRSDERGRVHRTSGGATLLERRAVSAERRSRSNDRRGGV GMDRYGKVDQDTLTLRGEGRPLRVNTVAGSGNRRVRHPDVRSAGMPNLRRAPPMGLSG GGRR EPUS_02923 MSTFNGIVSEFPRIRIDYFRIHPLQPPPLACFLSHIHSDHLQGL ESLKAPFVYCSVGTRNLLLQLEKYAHRMNFMRGVLESRKQHYKHLKLVLKAIPLECPT EIELSPLEKIRVTLFDANHCPGAVMFLLECDSTAILYTGDIRSESWWINSIIRHPVLL PYSTGLKQLDRIYLDTTFALKANIYRSFPSKAEGICELLTKVRQYPPETLFHLNAWTL GYEDVWVALSTFLKSSVHIDSYQSRLYGSLSSADFATSIGEYSALTGFYVGNHCKPGC LTTESTTRIHSCELGTPCHTELLKAKHVLWIIPIITRSKDGIEVPELGAGGGGGDLQQ TPVLELSNISSISEIEEYCKRIVKDPDDMNRLLSHIELARSSRNAKMSLQRLNLDIED EITLQEFVERLLVVDKVQLTSHMEGNPERQFSNGSLSKDTIHFPYSRHSSYSELCELV GAFNPADIYPCTVDEQTWTEDVSMKTLFGHLCSGTDFVHDGEMRMLLHKRLETQGASR ERLKRKFAEDSETRSSSNVTSQEYNTAATSATQAQAPTATVRLPSTEDTSIDNKEMPP YLAAIKAVYDARMAEATAEPLLSSLCAEELAEGDLRSLPDMKELSDSQLSISQSAFDT QAQDSDLNAGLQLDGTEDKQPSTIVKELMPIASRNPSDQRSRRKMREQAYQAAKLTLQ SSDSGAWDDLGIRSVGSNGHCESEDEL EPUS_02924 MHKPSISNLVYKTLFPRPRQGDPTTFSAHINRNLVPEVRIETAN FYGALDCIEAQYPGLDYSHAPHRRRLSRFPWHKKLFRVFDELCLTRNEILGLCQWEGT KSAKEKYETDVGRLIRDTTMDGVAPAAPSRRPVAHMNPDLRGWRAAARLPPGDHPLVE DVDEVEANQESDGDIDILSTSHGNSLNAHLRSAAEARARGEGGVVPNEQWEQWLKEAM ERNELGVDGMLEAIRQGRPFAISPSHIIDATVHPPVLSLANTVSETRSSAPTAASAMN DTQIAIANVWNLGNQIVRNVNAGNASECSASWGSEIRILDYVQPPSPQRCANIS EPUS_02925 MKKGGYVTLSCDHLAMVVSNEQRTVEMIHILTDQLASLIDTVVS SSVDHDSLAKTYGKVIVALATLQNSVQDLSKAYINHANTVLNPGRAGTLDLNLTTTLL DSGLLTHRAPSPGMKPEAPTEAKKKRKRAAHDPNAPKRALTPYFLYMQSARPQIAQEL GSNAKPKEVADEGTRRWSIMPDADKNVWNAAYQRNLAVYRVKTAAYKAGKPVPSDEEA EKIAQTDTTIAAVPVEEEEEEVEEEPEAVEEESDSESSPEPVREPSPPKSGKRRKTSD KPAATPVKETPKPTAAAEKDKRSKKAKKEPTPPPASKTGEKKKKSRKAA EPUS_02926 MSLGKTMLGRRHVLLSVVSTLTSIQIEGTFPTGTYLVTVHHPIS SEDGDLEKALYGSFLPIPSRDAFTLPDPSEYEPEKAPGAVIPVKDGKIALNQGRKRIS LKVVSKGDRPIQVGSHYHFVETNPQLYFDRVKAYGYRLDLAAGTSVRFEPGDTKTVTL VEIGGRRFIQGGNSIASGHVEASRVEEIVKRLQEGGFGHVPEPAGDSAYIDPLIMNRT DYVGMFGPTIGDLVRLGSTDLWIKVEKDLTVYGDECVFGGGKSLREGMGQASGRSDDE TLDTLITNALIVDWSGIYKADIGIKDGVIAGIGKAGNPDVMDGVSSNMIVGSCTDVIA GERQIVTAGGSDTHIHMICPQQAYEALSSGITTMLGGGTGPSAGTSATTCTPGKNHLR QMLQAVDTLPLNFGITGKGNDSDPKALREQCEAGAAGLKLHEDWGTTPAAIDTCLSVC DEYDVQCLIHTDTLNESGFVEQSIRAFKDRTIHTYHTEGAGGGHAPDIISVVEHPNVL PSSTNPTRPYTRNTLDEHLDMLMVCHHLSRNIPEDVAFAESRIRAETIAAEDVLHDLG AISMMSSDSQAMGRCGEVILRTWNTAHKNKVQRGPLLEDKDTGADNFRVKRYVSKYTI NPAVAQGMGHVIGSLEVGKLADLCIWSPSQFGTKPSMVLKSGMISYAHMGDPNASIPT VQPVIMRPMFGAFIPHTSLTFVSQASIDSGMVDSYGLKKRVEPVKNCRKISKKDMKLN DLMPKMKVDPESYRVEADGLHCTVEPADRLPLSQEYYVY EPUS_02927 MRSYATESRLGAARTPLILGPSAIVVGITAAICYTLYTDSKAPA AAAEAATDKVKSASTEPPKTFTGGDQGFIDLKLSEVSDINHNVKRLRFELPDKDAVSG LTVASALITKYKSQDMEKPVIRPYTPISDEDERGYLDLLIKRYPNGPMSEHMHSMTPG QRLDFKGPIPKYQWSTNKHNHIALIAGGTGITPMFQLTRRIFKDPAEKTKVTLVFANV GEEDILLKKEFERLENEYPNRFRAFYTLDTPPQSWPYGTGYVTKELLEKVIPGPKEEN VKVFVCGPPGMYKAISGMKKSPQDQGELSGILKELGYSEEQVYKF EPUS_02928 MRPVKDPAPALSPVTVSLQDLRTGRIPFPTLVSAFGPTSLGILL VRDLPPQFPTLRTRVLSNASHLAHLSARKLERLTNAQAKYLVGWSHGKETLRPGVVDQ GKGSYYVNCGFYQDKNRNTTTEAEPSPVSGKHDDEEKQWEGFEEYTAPNIWPDEEDIA GFRESAEELIRLIIDVAVLVAQACDRYAIKAVEGYEDGYLERVVKTSTTTKARLLHYF PAQEKEGVGTNGDVECSSCDDNSNRKDVDEDDWCATHLDHGCLTGLTSAMFVDESTRP LNNPDPSKPIDELPGSPDPSSGLYIRSRGGQTVKINIPRDCLAFQTGEALELITRGKF KAVPHFVRGVSADAAGSIARNTMAVFTQPNLGELVDRERGITFGEFARGVVSKNTA EPUS_02929 MDKASCNSQQMAAERKLAFLGNSEASMAHVVQTVYMARWSKVIH QVPEGSIVSSPDSHEGPPFFSFPSISSFIIPRQSLCGNPISIVPPPAQTPNRSSSQSY RILGHPICLTSPTYLRNEFIFNFCLVLSANDPNFNAYKSVVTKLAHLMQSLEEQSHFL SRDTCPPNTGKLYSLCETLISDLNNYCECMIPIDELNTLNLKLFPTYAPPPPVKGWHV PIFTVRPESLMDENWDLTMQRIVPHINGVSSVKHISIRADADLGLTKECIKHLLYYGC LLLLDIFSFTAIYAPTAEFAGTIASDEEMQRECASYVNTAFAPSSTADRLDHVVKQQQ SYPESAMPTTSDDDVWPLDSSGRPVDGVGIVELFAALKQGQSVREWYAANSDMLASID LRRFITFGVIKGFVYRVHKYAYASGQGANTARSNGRRISKKGKWIRMPRAQTRGIPTA TATALPVRGKDSDLHLTDHEDNPHAGEDEDENENENESHTNAPTILPTNPLRPKHHPH RRKYPRNPDPLSFPSSSSSSSSSSKPSRIGKAIKLQIPHPPLPLPRRGALFRPDLHGA GDQRGRAGGALAEALGRESQQRWRRCPRRSRSRSRRRSWSWSWSWRRGLDHPSLTIES FIMAVTVGGDMTMVSRAPRGGGSWEVTWYSVVVRCWRTALALPPGLSRW EPUS_02930 MSTFQVPFSSSPPATPDTKSQFSRGLSTFSDMADNPSTTPAGPP PNSAASFTPAGPPPSTIFGSSHFGSDVGKLKFTKPIPQAGTRQFPTFQPPRTKNGTPG GLLGKNNFGLASSSILSQEDSLDQSQSQEPDHEDEDEFGGDYDESMQLGESAGNYGQA GSPAFKGFTAINNGDAPSDLRSSLLDSLPNPTKRSKLQRSIRSKGSAIMARQLPRKGK PDVISNIARDLASRTQPATLTEPDPMIIRTEDILVELHRQMDSAQDEDVIRGILAVRS LELMRLWNSCAPPEQNLGEGIGPGSGANALQNATYLSSLLLALRHPPLLYLPAGATGR APGSKALTAPTRPIPIPKVLVDWLNRYHISYDDLLDAVRSTRPNCTAHESFWDVAFGM LVRGQVLHVIQLFSDADFQHAASALDDGEDEPGYHGAQLQAVQSAVDRARELLRGCPA TKGDWQIHSSDWDLFRKRVSSELEHLAISGGVDDEEDSSNTFQAENFGLQKTGRLLPR SAQAAHRQLPWSIYQKLKVFYGILLGSADEIIAQSQDWLEATAALTVWWDGTEDLNIA TWSINVSRAQRADASDRIEDPYLARISAAFLCVTDPDYEDSFYINSLSPLEVGLASIL QGDTQAVLSSLRTFSLVIAASVAEIGSLAGWLEPPQANNAPGLDQEDLIVLSYGVKSQ GITKDDIILRYSRQLYDRQEFVDDEDTCEGWELAISVASRIDDRQLVTDTITNFLNQL HLDSQDRLDKLLALCSSLGLEAEARKVSERFADHLSSSTTLYGPALLCYARSHASGKI RQLMDLLVSYCLVQSAAYPANSELDEDLRVLAENPKRALSKLNQVDAEAAAMLQFYLA GYACLRRFYNLRDEEMLAKAEGRTPNPKSRFARRKAAAKALTAVINSAADAIYGSLYD AERESAIQVDGLLTLLGEVTALVGGEGEQDARVLTAAQMYDILAAIEHLQTVNPRVFE ATEDCLSAALRNYRGSAPPSPRAMLKKSVSSATEDGSTGTAFSFSLLGSEMLASRAGG ADGGGGGGGKSIGSSGVLVPGKVERGWDWRSRFADKEEQRAGHQLKGHEVLAYLRRHI AMELALAELEEGEA EPUS_02931 MSTDYQFQGWMGLDKSADKGNMKWQEYEPKKWEETDVDIQITHC GMCGSDLHTLRPGWGETDYSCCIGHEIVGKAVRVGSKAEGGIKVGDRVGVGAQSSSCL RPDCAECADNNEQHCQNGMVATFDSRYPGDIGKSYGGYADYAAPMLCGGITSFSPLMR NGAGPGKRVGIVGIGGLGRYGVLFAKALGCDKIGAISRTSAKKQDVLKMGATGFVSTE EDKDWATAHANSLDLIVSTVSSPKMPLMGYLSLLGVGRQFIQVGAPEDSIPPFNAFAL IQKEVRIGGSMIGSPAEIRHVLKLAVEKGVHSWINKYSMKDANKAVVDFNEGKPRYRF VLVNEKHA EPUS_02932 MDSGSLHNASTYLNNLLLARGLLRNGKSIDFACVKEGSGESEHT MAKIINLVHDLVIRRDRDADQRESLTTNIRNLRAEEVRRSREIEQLQARNAELLRNSA LADAQKRSLEASAHKAEVSARELKEQMAKMKSTLDQVRAKCVNDVRKRDIELEKLKKH VTGMQRGATHSSGMKIVQLNPQPPLPKKERGGGSGVPVDDENWSLEKETNDFLAALVN ETSTENVALRHIVGETVENLKELTGLDQAPEKSHVDPEIGIPGQYKQSRANTQSAQPE RLVSCEELAEQMEGVVDHCRAILKDPSFVSIEEVQIREDEIIKLREGWEKMASRWKDA VTMMDTWRKRMLEGGNTVDLDELSSLGLGKSMAVMSDVQASTDEDELSTGMYDDESEA VEEDTGRASDQAIGNAEPEAIHEVAAPPPAKRLASSPARRGVRLPAPVAAFSEMDQVQ RKQYNSSRSTFTSSSADSGIGSLDSSVDLDVDLNTSQPLKSSSQGRKKTKLLSVAEKL AKVEAEAREAEENRQQQGEPHKRKALKSSRPKKVSRRRSTLSPEELAQLMGV EPUS_02933 MKSNKSTAAPSSAYLRFQQAGEKALQEKQFDSAKRAFDHAILQV SHDPNRDTSTLISILDLRLEARLKLGDFDAAVKDARTMLRYDRTDARGYLRCGQLARL KSEFAGAQTWYQQGLKNVPQNHEGYRKLASLSAKSIDKSAAPPNKCRDPLTVLPLEII HMVYRYLDLQEATSCLRVSRLWRNSLLATHCIWNTFDLTGIKIPMIVRHLKACIRRLP NPPTTVRLNKLTPTAVTHLSEYLRAHWNNTLEHLSIDLPHLLDLDSFRRSARAVKSLH LGVRCPVDFKSVSDLLRSCNTLRYARFDAILKSRQPSDPIHDDLRCEWEEKRQKTPLL LAHLALTAVFRPWEGDERFIDPSGLFHDLPNLEELQCSGFAFRGLDADLSKAKCLRQL ILRDCRPQCDLRLPSSLEVLICKETHCPPWPVPHPLLGFQQHAENVQTLFNLKVLEMD LDGRHLSRVFRLIKDSPTATLTRLDLSGSDMAPQHLRSLLAAGKLSQLTFLGLRHIDL LDEHVQSIAVGCPMLEHVEFSGLRITGVAIKELCMRTQIKELRLSACSCISADAIAWA RAREITVVIQEPECRRTSARRVRYG EPUS_02934 MARRLARQGAPTMTIPSPPERKTPPTPLLPQQVPPDMLERLEHI RQKQINELAEQEIWHKEMMERVRGMVGRNGLGPNRTDNEDVAEGAEAGPASTEMAETV EVVETGGGGAGLQGWKRKAEDKQNDTTMEDDAASEASTEREVDPDNANEDAEPQKKRM KMTVEEAGGDGAGKEGSHRFKVTGDVDTWMHGAAE EPUS_02935 MASTSDKRPQIGSATSDASSTKVVTIQAEPEEISPTVVARESTK VHRASLSGRKLTGRPPFSTNPSTHSLSRQISSLRLDQMQGTSADVPLPTEDAESLLSQ VASWLQDEKAKQVSRRLKQEETTSSEDVSKTQDGGAGYRPRSTSQASEGALALDKLER ILAGYAATATKEGVPGHTSSQRTPFAALRKSSIARRLKPNSMSIASDAEQNGELLVPN VEATLDNSKAMAYTGGKADGSDDPTSSPKDKENWTSFKCEIVRLTHTLKLKRWKRVPI ENGGDILVERLSGALTNAVYVVSPPETMPEASATTESGTSLTTKKPPPKLLLRIYGPQ VEHLIDREAELAILRRLSRKSIGPRLLGTFTNGRFEEFLYARTLTPEDMRVPETSKQI AKRMRELHDGIDLLEEERDSGPFVWVNWDKWVDRCEQVITWVDKQILSQSQDQPVSKS KPWRSRGLVCGVEWPFFRKTVDKYRKYIIERHGGKKGIKEQLVFAHNDTQYGNLLRLR PSGESPLLHPENEHKQLVVIDFEYASANLPGFEFANHFTEWCYNYHHPERPWSVNPAY YPTLEEQHRFVQAYVQHRPVFSMEGSLTSTPKLSAPSPSVNPVSSFMLDSRAPAGSAP NYQAEERAREKVLEGQIEALIRDAKLWRGMCSAHWVAWGIVQAKVPDMGQPQPQKSKT DRLIGKVRAHLKPQSDPLGAEVLAKQKESKTDRPEGREIEESHREGDDEEGEGGSEEF DYLAYAQDRALFFWGDCLQLGLVKKEELPEDLLAKVKMLTY EPUS_02936 MIEDKYVGLALAITSTLAIGEAVSIRGIRKRLTIVFIGTSFVIT KKGLNDAADKHGFEGEGYAYLKMPLWWAGITTLVLGEISNFAAYAFAPAILVTPLGAL SVLIGAVLGAYFLKEELGTLGKLGCAICLLGSVIIVLHAPPDEKIENVGEILDHALRP GFVIYCLAVIAFSIVMIYRVAPKYGKKNPLIYLSICSTVGSVSVMSVKAFGIALKLTL NGNNQFKFPSTYVFMIVTAVAIMTQMNYFNKALSQFSTSIVNPLYYVTFTTATLCASF ILFQGFNTVDAVNTISLLCGFLVIFAGVYLLNLARGDPDGHQMMNGKMIGDEGIPTDP VASLQTRRSMQARRSSADPHRRSSSLNFNVGEGGSRESDGLIHNYDVEHSAFGLTDLE EESDGNGEASQRQNDDDRLHTFQRSQKLTNR EPUS_02937 MSASDLPTKTQLTKSCNAAENLLDSLNSHTNITLINRSRIVPLV KALFTSLQRLEDDESDPDGAFHTAATRARLNLAVNLRYCDVVADRVQERIDSNTLRRD DDLGLELLAVNAEIERFLKLRKRKATATAQGKQGSTSDSSAIVEDLLRKQENHESVVE ALEAELRTLYARESTFEQESLAMNQEIGELKNRNEAKLSHIRRLHAAIANLGLRHPMP YTTQDWEELSVELPAMVQRIEKINFTLKELELERDKRYDQECDSEEDSIHGQVPTVPK APSAGTGPKSATKEKDREKDKDGDKKKKEQRRSVDAKATSKIRSPSPTSSETSKANTS KSVRRKSRHHHPGSGFFFGT EPUS_02938 MVSLSRTPIYYNTEAFVQVVLAASICTRGGKAVLSRQFREIARS RVEALLASFPKLAVSGTQHTTVEQDNVRFVYQPLDELYMVLITNRQSNILQDIDSLHL FAQVVTSICKKLDEREISRNAFELLSAFDELVTLGYRENLSLTQIKTFLEMESHEERI QEIIARNKELEVSEERKRKAKQLEMQRKEAARSAAFGRGVAPKVPQYPTHEPPSRPTV RETHGSYEAEKNKTLNKPLATRGKGMQLGKKTKTTPFQEVSEAAQP EPUS_02939 MDFLQAEDQMDLASTPAVPLEDVDFELDDIREISAEPNQDIMVQ DEPEHPLADSDLMQPSMSDHVDDDLMLDEDTITQQDVQADLPELQMDNHLDDGDQLDE DDDILYEDEEGLVEDGGTIEDLTKEQGMVEMGAGHPETTQEIQSFDNVKTKLQATADV GPYANEELRGAEETNNNLEEQQASPAGTIVDVSQDGNASTRPGSDILNQQPGLTFLEH NPNNGSEAQADIDFYDESTTNERNQAELEVNSSNVLSLEENTASSDESSAHAEASENL SHDAAHDSESRVYPNTSSTPKKDRVGSTLPDSLLHTVKVNYLGTEMCLFPPTEDDDSE MFFLEDQSLAQESLNKMLAACRDVLANTIGQDDELVLDVASLGLHISEDSSYAARLTL SQIIDVYIALAHNDNVTNIEPLSCSLSSRISLASQYAYLQAAANDGRTLTQILSENTF APLEEREDGENERDGLGYQPAEIHREDVLAMSKGTDGVALENQQDSDLLNSQPDAIHE SMNAGITSPAGVTRHESEIGPFEGNGDELGVNKNAESRDSVLHDKEQESSSATKRDIE DIKGDYDTSLDFCFGPGICSCSSCANKITDTTTSPFDNQNNEESTEDLFHSDGDAAKD FSLVESTSAVAQDDVEESDIGSNPQDTVSSRTVEAEDNQFEEDIFSQEGHTSGYDNSN LTANQADNVENFENFEVEEQDLDEPDFRIHSTHGRQPETGSKTNFGQPAAVSNLGVED NVELPMQKREDNQGNIYAEADDKLLNFDDEQGDNRVKEEDRESSSSAYRFDASEHEAV PKRDALNGFTNSHDTTSANHNNPYRFEKDASSKALRHDRRQSSPGQSQINTKTGPQEA APAIPSGGMNGSKRKALEEEDDDDFDLFDTTPDKKRRRPS EPUS_02940 MADVFAVPVFFIVFRETLETSLVVSILLAFLRQQIGPEQDAKTY KKLRKQVWLGTGTGLALCIMIGAGMIGAFYGLGRNSWSGAELIWEGVFAIVASLIISV MGAALLRVSKLQAKWRVKLAKALEAKDNKTGTMRSRFKTWCERYAMFILPFITIIREG LEAVVFIGGVGLGLKASSIPLAVVCGLIAGALIGYLVYKGGMYAPIRIFLIASTCVLY LVAAGLMSRGVWFLEANTWNVLTGGDAAEGGNGPGTYDIRQSVWHVNCCSPSLNGGGG WGVFNSIFGWQNSATYGSVISYNLYWLAVIVAFVTMRYKEQNSHWPLMRLRSRSPQDL SRTQSDSSGAGEIVGKGAGFEEGTNVAVRKVDAS EPUS_02941 MALSLSNYLICFVLTFCSLAEAATRVYNFTAEWVRANPDGLFER AVIGINGQWPIPRIEAIVGDRLIVNLNNNLGNQSTSIHFHGLFMNGTTHMDGVVGTTQ CGVPPGGSFTYNFTVNQAGSYWYHSHLDGQYPDGFRGPLIVQDPESPYTNQYDEELVI TLSDWYHDEMPGLIKRFLSVTNPTGAEPVPNAALMNDTQNLQIQVQPGKTYLMRFINM AAFAAQYLWIEGHTFRIVEVDGVWTEEAEADLIYVSAAQRYSVLLTAKNDTSTNFAIN GAMDADLFDKFPEGLNPNVTSFLVYDPSAPLPTPSLVDEYNEFDDHLLVPYDREPLLE NPDYSFKLDVKMDNLGDGVNYAFMNDITYVRPKVPSLFTVLTTGAEASNPAIYGINTN TFVLEQNQVIEIILNNHDPGKHPFHLHGHNFQCVARGEENSNDYNPENVTLPEIPMKR DTWVVRPNGHIVMRFRADNPGVWLFHCHIEWHVDSGLTVTFVEAPLELQSSLSIPANH YAACNAANAYPKFQTQGNAAGRTTDLLNLEGAHVSPAPLPEGFTARGIVALVFSILAA LLGIAAVIWYGVGAAVFDGKTINPNYLGRAAREMVDFVAAIEVAQALDMIDDKTLWVE IGPHLVRAGFVRSLVPQARVGSSCRRNEENIATVAKTVVTLHLAGVTPCWDEYFKPNE QTYSLLNLPKYSVHAIAKNLTSSKASCVSRPEHLLF EPUS_02942 MLYEEQAFHVELLVVNLLFLKRLEKRVFDGLLNMSIGRMNNGKR YYGVTKHGLMEINILKHRVFEPKDAISDESLSWVRLRINQCLESHSKCSKSSKNLLPT RILSFKKNSTGSIEVSLKETNNARGVYACFSHRWGASDQYMTTRGIYADMLQGIPWTS IPRTFQDAIKFSLSLGIENIWIDSLCIIQDDPSDWQAQAAQMASIYQNSYITLAATAS SDSQSGCFWHSDASYEKAFQTEIGDFSVRKTLKHWERLWASNSSLVFPLLSRAWVFQE RLLPSRVLHFSHHELVWECMEFADCQCGGYYVPSNPKSSDWTKEESWWLAVELYTSLH LTHQQDRLPAFFGFVSFYAQSTGASIQKDYFAGLWRKSLHKDLLWRIESSALDLGTPP TRLCLCFDGTRSIDGNWRCQYSYSAACSAQCLSQRQFCRYGQSDAAVPYDFEFVGSCS GREDGFTSIPLLRDMYGKEKERLSYDRSPYLAPSWSWASARTRVNFWNDVKGQRQNDC EVNHIEVNHDHQNLVGSIASALLRVNGHITAALLHYQTLPDPTNSMVRNHDILTYGLQ IRDDKRLLDFHPDYVFSLEGEKWLPAGARVFLLHITSGVHMVLIEKSYFELDWEERLT IAESGSNQGNRLKDNEKYVRIGVFRIPDDRSVRYTTTYWANNIEIV EPUS_02943 MDQNLEPKVDPPKIKIEAKRLTPKQSGIYLMGETSPRSFVGYIK HGAWTEEQIQEYIEVQKRLAPDCVKERERASETAAKDENTKVEGSTAKTGKPCNTVAQ ADKERDSTDTRVSRTKSPRLLEVIPETDDEE EPUS_02944 MPGRTLPTLPRSDVASHNSSKSCYVTIGTKVYDVTSFLPDHPGG GDLIIEYGGKDVTQILKDELSHSHSEAAYEILDDSLIGFVATEPVMKTVVESSHPDEI VPLPPSATGEAELRHNGVDGDLKQRPVYAATGMSSAEDLSKETDISIDYKTHKFLDLN RPLFPQIWYGGFSRDFYLEQVHRPRHYRGGDSAPLFGNFLEPLSKTAWWVIPIIWLPP VAYGTYYGFKGLSATSEAVAYWFLGVFFWTLFEYILHRCLFHADKYLPDNRVGITAHF LLHGIHHYLPMDRYRLVMPPTLFLVLATPFYKLAHLVFAYNEFAAIEVFSGGIFGYIC YDLTHYFLHHRNLPSYYRELKKYHLQHHFADYENGFGVTSRFWDRIFGTQLEYVKPVK AA EPUS_02945 MSGLDVEALLDSTAANGVKESESHSKDRDREDRHKSDRYERRDR DRHRDGSRDRDRDRKRRDRSRDRRRDKDGDEDMNSPKSDRASANGSYRSRKRSRSRDS DRRHSRRDRHGDDSYRGDFYRGGRHGRSRSKSPADDRYYRPGVNGRVRRDEVEPEGDR PRSRRDDDRKRRRSPSPKKRAKSKTPEPQLTEDERDRRTVFVQQLAARLRTKELIQFF EKVGPVKEAQIVKDRVSGRSKGVGYVEFRQEESVPLAIQLTGQKLLGIPIIAQLTEAE KNRQARNPEATSGNHNSVPFHRLYVGNIHFSITESDLQNVFEPFGELEFVQLQKEEQG RSRGYGFVQFRDPNQAREALEKMNGFDLAGRPIRVGLGNDKFTPESTANLLQRFQGQG PGPGPNQLNFQGSAFSGSGGRGAQAGGSGGNFDRAGGRDNEKGAGGASALDDTDVAGV NFNNYSRDALMRKLARTDEPAEPAEDRRRMAQPKAESKPLPVAVSQASRCVLLRNMFN PAEEEGDAWVKELEDDVKAECEKKYGHVVHIALDPNTQGDIYLKFDRVQGGENAIKGL NGRFFGGRQISAQPIVDAVYRSLFSKAI EPUS_02946 MSRPARNVGSGGLGNQSRSPDPAFQVLGGAFAGLGPHNVGPETP SRVGPGIAPGRMEESTPDFLFERKIQKFFDMAEAYCYAHMNFPSTAGDALLHPLIKDR LMKAATRESAHQLASTGHTRYLLMTKVVLQWIIRHIWTEIPPFEGFDVEADRRITNYK RQIYQTTPPLLRHHFLSQIAKDVQRIRAHPDFLSYFQRLVCSQATKLWAIVHPLMHYP TLPSDWQDLLSLIYTAYCISGEMWATPFDWRFDFTPVGYPYQPSMINKDPYIKGTPEE LARRNLVVKLGYTPAVYLRDSADGFARIAQIASHKVLVKEA EPUS_02947 MNPDSDALKPDHHNDTKADDAQPEPSNSGSISPADTDDELDLKG YLRFRAQSRLNEARVRLLSNIQGTERHNVLLTRMDRSSGELSWPQPRGEERRVLTDQA ILETRTNPQQRHRQRQARNKDPAMVLRRKHAVETWKENARTGRMDWREARPVIESALA SFGYEDFRPELASRRRQMRTRIQTLDPSGPPSATEGSEGQGQEHGPQQPTDAAPTAAT QATLPPLPTPSQILPLIPPSGMHAQTLLNHFASVLIDPSSPITTERRKVAFLAILQGI LVLDSATKMVYRPVSALVHWGPYKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKK KKKKEEG EPUS_02948 MAGDEPLLARPTSEHSSEREYEEEDALLTGQRSNRTSTTHQRWR EIGLFVWALIATVVIIVLATVVQHEHSVSHSRGETSGGWGKDGRPTGKRNMIFMVSDG MGPTSLSLTRSYRQFESGLPIGDTLVLDKHLIGSSRTRSTSSLITDSAAGATAFGCGK KSYNGAIAVLPDHSPCATVLEAAKKAGYMTGLVVTTRITDATPACFASHANRREYEDL IAEQEIGNHPLGRTVDLIFGGGRCHFLPNSTEGSCRDDDKDVVAMAKDNGFSYIDSRK AFDNLDIGTGVKLPLLGLFALLDIPYEIDRVHAPELHPSQAEMTRVALKALSAATKDS DKGFFVMIEGSRIDHTGHGNDPAAQVHEVLAHDAAFEAVLDFLEHDENEGVLVGTSDH ETGGLAVGVQLTDEYPPYHWFPEVLANASHSAEYLQHKWFQWLREEGSNADLFTQAQY IRETLIEDGLGISDVSDEEVDAIIHATPLKPPAYLLANMISRKAHVGWTTHGHTAVDV NIYASSPQHAPQLVGNHENTEIGNFIAKYLDLDLEPLTEELKRKNIEMGQPHASAAVD EVAASGRNLDPDHGDLSTHGGVEHVAG EPUS_02949 MHSPSLQLLRALRASTTRQPACHYLTRRHAVTPYSLQSFPKSSR HVRCLSGTGSLPKDRTTGPPKSRDRGPESDEATRTDFGAMNILGNMGTPATSIDACVS DGFHLNNGLKTSSGGGIILVGGEAFTWRPWEGTSKEPAAMLSKAGSLEIGGSAGAWGL LELLWPKPDLLMVGTGGKVWPLSKETREYINGLGIKIDVMDTGHASAAYNLLATERGT DIVAAAMLPIGWNGR EPUS_02950 MMGLLISSALLLAVTANWVLAQEQQQIRCGEGALCPEDLPCCSQ YGQCGVGAYCLGGCDPKYSNSLESCVPAPICESKDYSFAELDGVVSNTRYLGDASKAD WVSSGAPLPYDGKLLLTMAEGSVGTLLASTHYLWYGKVSAKLTTSGGKGVVTAFILLS DVKDEIDFEFVGTELETAQSNFYSQGVTNYNNGEKHAGLANTLTTEHEYEIDWTPDQI TWSIDGKEVRTQQKSDTWNATSNRYDYPQTPARVQLSLWPAGLPTNGEGTISWGGGLV DWSSEYVTNGYYFAAFSEVKMQCYNPPPGANVQGSVSYTYDDVAATNDTVITGNKPTV LKSLLGTGTNMTADYPSAAASASASAQTSELATVPGLTGAGPGTNGQRGDNQSGGSSS ESGSGDGSNTDSSSSAATGFVQGGGSNGSGNGAAVQRPEIILQGSLFAVAMAVIGLLA V EPUS_02951 MTSYASSASSPSSLQNLSPEHNGYVQGGSHFDSLTRQYSYSDRA SWEETSDLAMAQQDVSVVMGEALFHLERITEHLREKGSNSAANEIAKNTISILNIFND AIADWDIEKFLMKAVPAITANASVAGGESAAVRKSVDAISEHVKKMKSGTWASVAATA TYEGNCIVKFRPTDGITRTVTEDLTIPVRPQDARVIWIKPWNLEKKSLSDITEKIDQG PLFSIAHSQPDNAVCVIFQHAYHARAFLEANIRYSRIYGQSLFGPGCEVLEGQAYPAT EDIRRMDTRNERRRLTFARGRLFTNGMTEARFKNDIFSMVGEGNVELVWLFNTGNATV VFSATVIARTVREDFLRRAAYPGPYQDVMVSFSHDPCERPLNLITQMPGSRNAVEAKP HSGMSNRESNGNSIASSAFGRPITASPSRGQCRAVDAEGWQKVGRRK EPUS_02952 MTTVLQPVRLFFVHKSAAPFPSIPAFVRWQISPILYNCQQQARC AHSRRNVSYTAAEVEEIEKLASFRAPNMPRRASPLSILPLSNLIRSYLITAVSSSPLL LRTSLGALKFLAHSKSPILNPDRNPILHFLLKRTIYAQFCGGETADEVDQTINKLKRM GYTGILLGYAREVCLDGNEAQNMKCTGSREDLNANTILSWARGTISTVKLAQPGDFVA IKFTGAGTQALQHLVEVKPPPPLLAKSITEICDLAMTRNVRLLFDAEQDAVQAGIDAW TISYMKQYNRKEKALVYGTYQAYKKSTPGVLANHLALAHKNTFVLGVKLVRGAYLGSD PRHLFWDTIEETHKAYDGIAESLMRRTYNDVLKPTSSGTKTFPSINVVLAGHNKDSIA KAQEIRNSQACSNEPRVSLVYAQLMGMAENISCGLIVAGQLAKEEGRGSMFDIPKAYQ YLVWGTVGECSQYLVRRAEENKDALSRTKEGRKALGKELLRRLGISAE EPUS_02953 MSVTCEEKPLPDTSTLFPYLHSNPASLPSSLDPFTITTTTGFLP LRPPRVDLPSSFAALTNLLEKLPIVRQDGSPGLLANFQLGNTIDAGALPDLSDALDEL TVQDGKPDLELITATFRDYAFLASAYLLEPCWEAWNANRETGYGLGRQVLPRCIARPL LKTATLIDIPPFMSYAAAYALYNYCYHDPAIGHSRYSNLRLIRAFEQGLDPRSSEAGF VLTHVDMVKHSPALIQGVTRILDMIEESTRTPSFNNRDGVNQGFEILLGAMEKIEASM ETMWSNSLPRDYNAYRTFIFGITSQSMFPSGVVYDGHNGNKPLFFRGESGANDSMIPL LDHLLEIPMPANPLTAILEDFRAYRPLPHRQFLSFVSGKAAELEVAAYCRADPTTAIL YLQLLDHVRSFRWRHWLFVREYILKRSKHPTATGGSPIVTWLPNQLLAVMDLMVDVYE GSLSGKIEGFKRLQEMMDNARKQRAKLEKEVQKWCQERGV EPUS_02954 MTFDRICDVLIIGSGPAGLSSALALARALYNVIVFDSGVYRNQS SPYMHTLPTWDHRDPKEYRDAARAEVVTRYDTVKFHDSAVESIQKTEQGFEALTADGQ TWTGRKVILASGVKDIMPDIEGYDSCWVKGIYHCLFCKGYEDRGAASAGVLAIDDVAA VPPALHLARSARRLASTVTLYTNGAEDLAHALKAGLHEQEKAHIHTDTRPIAKLIKTD QGSSVTICFRDDSSPPATEGFLVHRPKMQLNGPCAQQLKLKLTPQGDIQTTPPFGETS VQGVFAAGDCAASGKIVANALSMGAFASAGVAAQLQASPF EPUS_02955 MHSSTVIAFLACTALPIVAAEQPQPARSTVVRRANAWLLLPAEA QVASPRQDTVQAHAAHMALVTLAGTRGFASQVQRALARGTSYIDYQKRCSYDVSDKFY HSTPGLCPGAANIQCCTSSSPGGASCGPPDVNAATVALIKEFEGFVKSPAPDPIGLPT VGYGHLCKTNGCSEVPYPFPLSEAQAAALLQTDLKSYEKCLADKVSDSVKLSDNQYGA LVSWTFNVGCGNMGGSTLVTRLNNGESPNTVAAQELPKWNKAGGSALAGLTRRRAAEV QLFQTASGTQALPAKC EPUS_02956 MHSMNKRPSSSKIPTGSIATIIPPNISNTTPERHRRTSTEIEHQ THKEGWTVIGLSEPIPSNSNSNSMIEYTQNPKTGTNTETNCRSNKRTRPIIIFDSNNK LSWADEVIEEAEEEQKQQIAAQLLPPSSPQAKQIINSKSTKTHTHPHLLSAEPLSTSV SKQKQKTRDEQVQRRRQKNAEKEQRRTRTQHANSKLDVDLMTTTTTTLTKKLKVATGI NRFSVLGRSVDCI EPUS_02957 MDVNQVLEATLSPDATTRQNAELQLSRAAEADFSGYLTTLSNEL ANEQARPHIRQAAGIALKNAFSSRDTGRLREIQARWIQQLEPPIKKQVKDQALQTLNA NSMAGTSAAQLIASIAAIELPRNEWPELMPALVHNVGNGSDSLKQSSLTTIGFICESD DVDLRDALVAHSNAILTAVVQGARKEEPNNDVRNAALSALGDATEFIRTNFDNEGERN YIMQVICESTQSDDSRIQAGAFGCLNRIMGVYYDKMRFYMEKALFGLTIMGMKSDEED VAKLAIEFWCTVCEEEISIEDDNQQAQQEGSQELRPYFQFARVAGREVIPVLLQLLTK VDEDDGEDDYNVARAAYQCLQLYAQCIGGEVIAPVLEFVEANLRSEDWHRRDAAVSSF GAIMDGPDVKILDPLVKQALPVLISMMDDQVIMVKDSAAFALSRICDFCSDTIDPDTH LQPLMSALFNGLMSSPKMASSCCLALMNLTERFVGDDGASSNPLTKHFQDSISSLLAV TEKESADNQLRTAAYEVLGGFVTNAANDSLQIVAGLSEVILSRLEATIPMQQQIVSVE DRITLEELQTSLSSVLLCIIQRLEQNIAPQADRIMQVNLQVLNTVPAKSSVPDTTFAV VGALTNALEEDFLKYMESFTPYLYNALGNQEEPGLCAMAIGLVSDVVRALGEKSQPYC DPFMNYLLNNLRSNTLSNQLKPSILETFGDIAQAIGTHFETYLTVVAQVLQQAAGVTV AQDVSYDMLDYIISLREGIVDAWGGILLAFKGTPKANLLQQYVEPIFALLQMIAQDQN QSEGLLRAAMGVVGDLADAFPNGEISQWYKHEWVTRLVKETRTNRDFSARTIDTARWA REQVKRQLGAAQHQASDALADLRRNHRFRPSCHENGLQPDNEPTNRPYTTRAVLQPRS RKEILHKNGVLQQHRLNRVLENEHHEHHGLIELPCDEIGNFYPNTPVNSPPGFATSIE DRLQGLLDGCPRDLIPSSGPPYTQ EPUS_02958 MAPSMLDVVGEKFLPFRCHPGTLSYCPSMDLIAVVTILNVPGDD AETEQKLGVWRLNGQQVFGWDAPAGLAIQFVKWKLDGRLLALGTSDGAIRLLNVMNAG KMVHCLYPPPVESTVRPGLSCLAWTANFGDVKGMKDLLEEEAKGSALDELFSLDFGKK AVGKVKADLPRELACGVDVETSIPKLSALPPGTGAGGGWGFGGGDDDVFSTRASMDAI FHSYSSTSNRPSRYDEVDVLLTGFEDGTIHIRIFDCFDIGSVDLTSSLPKDSKICKVL RHVAHPLSSTHALAVQTTTRKAACQLHVIALDLNFIPQTSPYYLSTLATKSTQLLNLL RYLTQVSTHLSIELRGAFDLPSKLVRNINETLAQSADGQTDFITAAYQLVVTGDCGDE LRDWMIDEVGERNIKRWDKASALGMENIRRFTHECLLPALERCQVVVSRLEGLSRFTK SAQVLGLDLQSLDRVMDMVDCLNLLGHYLLKTVSKEIKQFTAFMKWLRLEVEIQGLER ENAGGPGSERLDELCMRRDDLDVRTVLDYIQGVMGKSAMVAFVRPSVDARGTSLEGPS YDWSQNQADVGFYEVFKKMLKINLGPGKRPDLDDLLRRLTGQAQKVFDGIAQTLRKSV LHGRVCTLQQDCNADATTARMVLKSEGQHEVVFATTNRTEASQISLHYIPWDPAHPSQ VPRVRDFTIPHNEGAQGIQFVDDSDLMVLSSGQEKAHLYTLSYTSPDVVWQERHVFDL SGGAKIPSELEVNGRKGRRAACVLEDGIKFTVFDLDSGQPDEETAQDEDTMIE EPUS_02959 MTSSTTLMTFLVQTPPSIRSVNLLGSWDNFSKPYPMKRDSRLGA EHWSGCYNFENIVCDGRVEQACGRRQGGLKMGGRYWYHYQLDNDIEFHNPAEPSTTAC PMLPGQPVNVLDVPMHFSTGHRRHRNASVSSTSSELMTMNPEDRYLNPRPAPKPALAT LNTSARQNSAPSLEGSPLNLAAAPGRSRHGRNASLPLSAVSLRTFRLPRKASIDSRGR SVSPHHISTGLKAAFRQFAPLKPPSPEAKAGRGRARFPTSHRERLSLDQAWRSNRAAP ECTDKPSSSESSTNLSRGRSPTPTVGREGGQARKTSLVLRQSVEHLHNAEAAFAVSSF QSHRRQRSRSREPSPLRTLLTEPEQPNGGCKVQDAACPPYQPLETLKEVTSAQNTPIW PSSGLIAPANELCRPDSTSQPSEKRLPTLPNTPLTLSPNKNSKMRLTCSSTDLAGLTT GPSTHFSHWTVTTDSSYTSSQWSSIFFDGKSPASTQETEHSTPSMSPCGITSAGQSQE AVKQRESSSVLKANRMPSVVSSSTISSYDNPSPSSPISETSGSTRAPKDESTLLQKRY GMMLGSFDTYRLPAGAGAPDATLKPHFPYYVDGRPQDDVSDHVRVTAEDFPHTTTMQQ IMQELSYLKDMIQD EPUS_02960 MTTLSPATTFCSQSTSSKLSSMPLRLKRFTSKKEPKSSLSSSRS LSSRISPATPVAANSKTTNPFPVTTPTRRPKNLDEAAPPAYSPPTNSAVKTAPILSNE DSPYSFLEQFDTVFLIDDSGSMAGRSWRETAAALTAITPICTDHDSDGIDIYFLNHRN RRDSTGAYRNISATVGVESIFNSVRPLGGTPTGTRLQHILKPYLAQVEDMIERQAQGQ EVTVKPLNIIVITDGVASDDVESVIVQAARKLDAWGAEPWQIGIQFFQVGREPDAAED LQDLDDALSTTHDIRDIVDTVPWTGDDGQILTGAGILKVVLGSVNRKLDRRRGSDERL RK EPUS_02961 MPYTHVGAVTGANKGIGLAVVRQLALQYPKSALHKSDPESQGLL IYLTARDKSRGEAAVRSLYEEDAQLKKAKALKKDGGWADIKFHQLDIRDTMSIRGLAE YLRGEHGEGAVDFVVNNAGIAMDGFDNNVVKTTLECNYYGSLEATQDFLPLLKPNGRL INVSSIVGKLNKYSESIRSRFLNARSVEEVTALMEEFKAAVEAGKEKEQGWPSAAYAV SKAGMTAMTKVIAREEQDRGGKRLINACCPGYVNTDMSKHRGSKTPDQGAQTPVMLAI EEIGGASGEFWQNERVIEW EPUS_02962 MSPYSLLQKAALGLSMLSALSNAAPTQGFRLEARDLSFDYNSQK VRGVNLGGWLVSEPWITPSLYERAGGGAVDEYTLCQTLGKDAALSLLSAHWASWITAG DLSSIAAAGLNHVRIPIGYWSVAPLEGDPYVQGALEYLDQAIGWAGGAGLKVIIDLHG GPGSQNGFDNSGRRGAVGWGGGDTVAQTLNAVRTLAERYASNTGVVTSIELLNEPLPP GVNIDTLRQFYNDGFGTIRAVNGDTAVTLSDAFQGPSAWNGFTPGNNIIIDTHIYQVF DIGSLQQTPDGFFGTACSKAGQLLQADKWTIVGEWSGALTDCAKWLNGKDRGARYDGT FGGSPTIGSCAGKYSGSVAALSNDDKYNIRRYIEAQLDAYEARTGWVYWTWKTEGAPE WDMQQQLAGGLFPQPLTDRQYPNHMAPEVLKKQWAQVIEKVGGPVDYKEIDVAQPAPD EVLVDIKYSGLPLVGGHEGAGIVVARGELVDDDVCQISEAADEPLCLKPALSGYTVDG TFQQYCIGQIKAPLKTVDLKELSKIYDLMKEGKITGRYVVKMPE EPUS_02963 MEHGHAHPSHLPSPATVAGHDSNRQQTPNRPLSFLGVTNTSSPL RRSPQTPFETPLSLPQRRLQLRNAGFRAPRLPSHRLSTRLSKWFSDKDDHKSRRQKSP ARKQENSPPTVRRRAPQGGNTPSSILQEITNSTRSRPSVRSTFGSIYEDGQDDSPAHS WYHDAPSTQQSPITLNPVHAKPNDMKLREISGNARRSPPPPSSLSARQVRGRSKRGLN LHKTSFPASEHIVFLETQLEEFERSQYSPNTGLPLKDKVSALTAENNRLQEMLAELEH QFETRLRDSVEHKTGLEMNLRRKIKQLEEEIDIKDSTIQDLEDRNNASQRDLSNANSW KAAVERLESEKRDLEETSRNLEKRNDVLTELLGQSPTRSRPEFEFPSPVKEQHRRTPR PRSMMPRIPSSPARSELKRRRSLHTSPSPFQHDYFSPLSALIREHDHPCHDENVDPQK SRDDFQSVDSGLGDSCSVRSGNGTMSKRSSMHSYASASPAAWGLPLPPSPTDDVTERS SRRRKTRRFASGSTQLKSLVLPTLNSTNSFPQSAPLVEFKSSPERRDVSEHSIDPTIA FLSQHYDTPTQPMRRSHAWAAEDALNALEGTAEARFVSLDEKIADQKSLPSTHETALT FQDRQAYPDYNADSTPFAPSLIDEVIMEEGSTAFLSNQFQDAGDQSFSSLAGEVSMTE SDIDGAQAEEQILTTRFLEWELPHPVSIQLPNLSEAPYSLERNTDQNNSLEDLGDCKP VSEPDFSAAVSVEQEFANPLVSPYPPPTTIVRHTKASHSGERGLDHQDEQEDAHPQTS LIASSSGKLAEIRRHSTSIGLQSTELTMPNPLKTASSQPICKRPKRPKSPLEVLQRKG RPTIPMTSLNNRTIFGTISRYTSYMREIRRDPTALARRVIANAWCSNWKRLGKLSWWV LGLFLGPGWKQKIKEKTEGWEVYDGENIAEVEHERLNGRDLDTPQRSCRSLETLARQS TRAQQKKRVEFDDGRGVPRPPRPDAEDAQHQKCKTCEQRSKKVSWGKSLYLWGKFSVA IMLAVGGAVIKGPEEMMKDCDLHGDATEETLQEHVRDAHDITESDHNYSLVLQSDYGY PEGDDDEDDNETTDSSLPHSSQTQPSNQAILSLSQHPPLHLRTHPRDNYYTFGVPSTS EYGQIDDNGQCRPSRNRVSHHRRRLPPRFFDTRSHDDDLGTLRWMQSLRVRDFQSWEP MDEVGHTDVESTIRVMPIRGQLRKRVRSLSS EPUS_02964 MQLTLATCFTALAALASAYTPANTALPPSGNSIGHPELGELIPA GQTYTITWSPDNGDRVTLLLLRGPSTNVVPILTIAENIPNTGSYIWASVPSTLEPDVT GYGIQLIVEGTGAYQYSPQCGVKNDAYTGASTSLTSSSIVTLVSASTTSASSSLSSGS SSSGAVTASLTSSAP EPUS_02965 MGKKKRGHPDVEEILSRPWCYYCERDFDDQKVLINHQKAKHFKC ERCGRRLNTAGGLSVHMSQVHKETLSAVDNALPNRAGLDIEIFGMEGIPEDIIQQHNQ RVLTQYHQAEAERRVASGSAGGAGGAAAGSQPKKPKFESPADLKRRLAEHKAKLAAEQ QNGGSSGGASSVPGNASFNFPGGYSQPLQQGPPFQPPGGAFVQQPPFSPPPFQNQAPY PNPQYPPQMTQTSPQPFQGPSLGGPHVGPPRPFGAGSPVQQFGFQQQQPPRIHTPPQS SAPPQRSLSGSLPPAPGLPQRPAFGAPHVNHFQMQQMHQGQIPGPPNQPVNQAAPAAT LQPNSLPQPPAVQSQITQQLRSNETSLDDLILGASKQAEEAVTAQAAAETPKAVDQPS KKPPETPAGEPAEEKKDKKEKSKAAHLVYSDQETSPEEKMARMPRYAFTPEKAR EPUS_02966 MTEEGEEADFVGGGRKPSRRERIRDRLLKKVDKPDKPKAKQIGH DEALNDFLLKSPGEGGDVLPRFPSPTRKPVPRINVSSSPRWPEAQNVTSGEAVPQPTD DQEATGVYVPTKPRRREGLTVSFTKNAPEIIGEGGNEAEAPTLSIHQTRQSVSAHTFA HDGRPQNQAASAATVSETNIHASSTLTDPPGQEFRPQILVRAPTGLGEATRPGESAIA ASKSMQDAGFERTVTGRNTAQPTAQSNPGLRLSSATGLKQKMLEEEARALTSARRDSP PESILHRQNATPPRNSREYLPATSVAPQAQREDSPSALANLLSPQGHSPSPSRPSSSS SYGSTEQSLEVAQGLSHRATSSRTSPRRKPVPKPSAPESTEDALAEFRSRVRRYYGLF VLSAENTGPGLDASLSRWMRAAAWWFLTAETNFKLLRRDLEEGVNIMQISTSRRLMQA VVDLAKTAWILEDIFLDYAKAESLDLSNQEAIGRLIESDPLSRFSRTLQYWQDLSKRL ESLVAAVRRNGFMAPSSEDVPLSPGIDSTIWLTHAVLEPGAADWFRSANPPWIRMDHT VTPVEPFDLAEVIPLNSTTNTFRMRSMFCHISGRFQNQERTAVVPCILTIARRRGSHA LVLFMASQDHDVNVVFETDPVHRDRIEWQQTLASVFFNSTGGFQFQIQLQQADFLHLK DCYDLAKRALSGTVLDVQGNANFREILVFKATAKTFERRSTEKINSFPYVGEQRDCEI FLFEKTELLRDRSTTRKAHRGFRLSVILSPYAANLGILDVHIGGDKPILLHSSHDNNP PLVELMDYRRASLLIQFPRNKDFNGFYELLTSLNHSANQEPPFENVPLHSFSIEPSSS EARMFFSAAPWRNVQITIDKGQNQGRDHITNTANPAPINTSVFSTHGVFADRLSQGRS QVYMALNTTDPTMLHLLRNAQQDISIALRFRAAPPTAPAIATQILSQSRTHPTTWKYT FATPHDLHTFQKCLTGSEVLFDGTASSFLVSRGTGLRTKKADFGVTRIQLLHDSLKQR WQMLTYFEDGGQAMQFGLDGNDVFERSNSKGKYSIKLVEVKISPPSPAPEDGGDEKKG FLCVEETPEGDERDDILISFEAEETRDRLAHVLPSAVKKTSSLMGALHLR EPUS_02967 MPDSGRLNHSTPAQVLPPPPPPLPPSRAISHRPGVLQRFIAPLS LGLTQRLPIGQGHQSSGARTQDEPTRLHTYTPLLVQTVAHKTGIPIAAVDISPNKTHA ILAGKEILKTVRVADRKITEDLNLRSAVISYASAHGSHNGTAIASQRRDFLSATDVKW SHAEYDSIIATAANNGRLSIYDVKRPEQELLWLHEHTRQIHTLGFNPFQGALLLSGSH DGTVKYWDLRMSSREKSSHTLKSTKQFSGRAEAVRHLRWSPIDGVEFITCTDGGTIFK WDSRKMDRPELRINGHEKACYSVDWHPDGRHVVSGSADKNVKVWDFKNPDRRQKPCFQ FRAPQVVMNIRWRPASWSSALHSKGDWQSTQLAVGYNADDPRVHIWDLRRPLIPFREL DRYNSPATSLLWCTKDLLWTVGNEGMFTQTDVHYSPQPPEQISPCTMDWLPTGEYVVF TENQGRRRSIESDDPPVGSRNTGRDDFSSGEQVMTSRSMTDDEGIHEHLLGPMYKRAQ ANRGSVQAARSLGNTPPSRDDPNTVMPLDKTIYDENNMFHNGQIGVVGKTTGAAIDLP TFQYLAENYARPAIEAERKQNPAEILKRLEEAFKTNGDVCDQVSMHRLAQTWRILGAV IVPELQAWADRNRRERLSQTLKGSSRDDETDGLIPGIQLPPGSSNGAERFERLLGTTQ AVKGDRFKEIVRSERGKLNHEAESTSNMATPLARPMPDSIVPGPSKSPRALSLDDHSD AIPQLPLSVIAAHSTAAVASRVLRSDVDKSLGTVSTDDALSPDRPGDAAYATTKLPEG HISTQAIGPQTVKRSKSDWFSAGEFRSGDHHRREHNKRAALLDYKAQPKTILHFDSPY EETAQKGLAITSNRHNSAESLEMFSESTSGSHRAKSLVSSESTGASYENKASIASDDW AYHHRDSLEPHSSPEDAQRHNSRMKEPREQQRSTSRNSDRSTSSFPDAPFDFEQPPTK HQPHGWVRAVSRSPQPLNHQRLTDREPSPSPSPSPTADELQSPHYVYTDFQPLDLQDY YINDQAKPWSALPLVSQMIAFDLDLGENHAQFSTHLLMHIHPFFFHHKYRKKQPPPGA LALPQTVADRLLHPHLSHRIIESIFQQHHTLLKSLSLHLPAAELRNLCVEFDISSVYR SRIRPDEDPESSLNDGYSLQITCTNPNCSAPLTFDSTSSYMIPLSCTRCYTPRPPCPI CLSLQHPSQQQATQLSSRHAETAGTDTAGPAHLWTFCQSCGHSAHMSCMETWLGRATS QGECPSAGCGHDCAPGEVRRRRVADLAAAAVAAAAVVVVVQGDKQTTGEAKGRNDGGG GDKRNGGSSMKDTWRAPQSAAVERTRGLLRSSVASTAVGTGNGSGSEREVGRGGAPAA AGVGGGGGGGGSPIGRKVVRLMTPGEEMSRAVDEPILS EPUS_02968 MSLSALRVSLGTSRQVLKFAQTTAPPLVLVVFLVAFVTRGILTA PPSDDVEVHAKSGPGGRPLPKRRQSSQQVKEAAKVHDFSPNVKLLFNWLGLLALMTFA INAILVVLQTIIYFREKWWPGQAAVIYVAASFFVWGIVLVSQIDSKPSPTVAHFLAWL VSLPLELIMLGATLSVDTVQHHEPMIGDPEGGKLRNTITPWEIVEVLVNFARVALFLS LALLFLVVSISRRYGAKTQPGTPEDLEDETPLLVGEANGHPKGHADRRAYGTADRKTA SEPKERTDAWAKPKDTPNVNWYQYLRGYMVLAPYLWPAKSRKLQMIALSCFTIMIAQR VINVFVPILVGKITDALVGGPEKQVKTPWLLIGLYILCRWLQGGQGLLAVARSILWIP VEQYSYRAISNAAFQHVHGLSAEFHTGKRTGELISALNKGSSINSFLSYVTFSVGPMI FDLIVAVVFLAITFDVYVGLVVAIVTFLYIYVTIRLAQWRVALRRDTVNADREVEAVK NDSLHSWDTVKYFNAEEYEFNRYRTSILTMQRFAYWLEVTLGLMNTAQGFVFMLGLMV ACFIEAYRVAQGYQSVGKFSMLLFYMAQLQAPLNFFGTFYRAIQTSLINAERMLELFK EQPTVVDAPNVQELKECYGEVIFDNVSFAYDQRKPALNNLSFRCKPGTTTALVGESGG GKTTCFRMLFRYYNPSSGRILVDGKDVQELTIDSFRKFVGVVPQDCNMFNESIMYNLK YANQSATDDQVYAACRAASIHDRILAFPDGYDTKVGERGVRLSGGERQRVAIARTILK NPRIILLDEATAALDTETEEKIQAAFGTISTGRTTIVIAHRLSTITEADQILVLSEGS VVEEGTHQELIEKSGRYASMWRKQIRAQRAAVEAEELRQRAQKALADAEVDSTSHSEE EGSSSSDGSPTEAGQRKEKRVAFPAP EPUS_02969 MAPDMNAFRRMADLCHTASKCILIFAIHSNRSAEGISLLTQALY ILVFLTRYLDLFWVPPSFSYWNFVLKNFYIWSSLYIILLMLRIYPRTREREKAWKLAI YALIGSVVIAPPFTVIFKKFSVVGMLYTFSEALESVCILPQLLLLRQTTVPTVITSFY LVTLGSYRFFYILNWIVRAAGQEHYFDPISFTFGVIQTALYIDFAWVYWTRQRVKLRG GGVVDSDDLRKSWLVGGLLGRGRSSADLERPSGGIDDHEEEDDIEAAARRGGNIPNVD GSTKRPAQPNRWGKRGVSVSADDTLEEHGQTRSSRQQPNKKIPAPISTTAANEGGTPQ ERTSMLRQPDEFLDDDDDVIDNAQPFSVGDGDGDADVVTPTTSAGSGQQKTVLNSGEA WSGAGDRKAGSGRGK EPUS_02970 MSNFLEDDWPEQYKTPFSHYSNPFDHTPTLTLPPSTSSVAHGSG YLQPRMSPAFGHGVEDLIFTAVTSGSMEGLGISHATANPPSRATLSGVSSPSLGMSNK TAWYDQSATSRVRSFTAPAPQSMPPTPQTSALPPTLISQPVPIAPHPASAPLRLGKRL RNDDQSETGRSPQRHRSNSNQNSQFSGANRPQTELSEEDQLLLKLKHEENLPWKDIAR EFETRLGRTYQVPALQMRHKRLRERLRTWTEDDISALEAAYDYWEKSKFEIVAQKMLE FGAQEKWPAKYCERKWEELHPETASATSNIPTPGHQGALQLGPSAAPSTDNWMPQDQG SEFEYSQSTPSITMISRSPVTFATPVINRSPVQRSPVPAKNEHKFEQ EPUS_02971 MTAIMSQYPPLTPGCDMNYRSVSPPYVAERNDFGLPKQRKLIST GGGRAWTEEEEAYLLRTRRNKMPYKHIAAQLRKTELACRLHYHQMSYGSNRRKRGASI SSLTSSASYTPGSSVQELSPAHTPYNMTPLTSPSTSPKSAPSQMSETLSSPPLYQGPQ LPLLPKPVLSEGRPVLPSPSKWSNSLQLNTEIKLPSASELLRSPPIDPSRLRDLYEAH RKNFWAQIANQYSPNSQISATELEGAFLARHGADGTRVGQPPTPEPSPQNNGHPTFCA PMLNAIEPHAFSGFNPLSTTRGPGSPPHSANKCTVNALLNGPSHPGQSE EPUS_02972 MSAQISSSQPPVTAEGSSAHAATISSPANPRKRRASNTAGSPST ALTATSTAPEAAMATGPSEPPEPATKKKGRTNTPWTPEEEQKLQQMRGENKGWSEIAK SFPTRTEGSVKKHWYKDMHYAEFNEEEVSLLREAIREYEASKWKTIGQKVGKPAKDIM DPVQSPSEVRKAKAAVSLSAEGVKHQQHDNGTRPRPKSRARAFEETLVKYNFEVRGIQ RVEEQEKVQICWLAYLQVFGLWLSVNLAPNNITLGMLGPAIFELSFRDAALCAVFGAV IGSVPVAWIATWGPLSGLRSMVFGRYAMGWWPSKLIVVLNIMLMLGYSILVCIIGGQI FSAVSPNGSMSVVVGIIVVAVICWVITIFGISIIHYYERFAFLPQLIVNSILFGVAAK HFDLTTPSAGDVATIRGNRLSFLSLCLSAAITYAGLAGDYFVYWSTGASRPLIFLATL AGLSLSFTFALVPGIALASGIATYQPYSDAWNGSQGGSGSGALLVAGFESLGRFGGFC AVIVALGGIANTIPPTYSSGIDFQTLGRQFERIPRVFWNTLGVIIYAVCALAGRNSLA VIFTNFLALMGYWVAIWVAILVEEYLFRHGSQGYNWAVWDTREKLPLGIAAITAFLVG WVGAILCMAQVWYIGPIARLVGSHGADMGNYVGFSWAALVYPPLRWWEIRKYGR EPUS_02973 MEDLLPPGAEPGTVPTDLEQATGLERLEILGKMQGIDIFDMKPL PSDRVGTMQDPIMVKSAGDELQCGCTGCPADSHAVRWVVVSRARPFERCDECGSVYKM EYIGPPDDPDHPHHGYEDPKTMADYVKPEYWYR EPUS_02974 MGSMALGEPLHSTFPNWSYRSQFLSQQMPQPQYQSREYQPLAAH QHHHHRLPIQTRHESLPPLLHSSPPGILPGTSVVGQEGMPEPASRPRGPKLKFTAQED QMLVELKENKNLTWKQIADFFPGRTSGTLQVRYCTKLKAKTVIWTEDTVQRLRRAMEE YENDKWRLIAAKVGSGFSPTACQEKAEEMEIQEEYEDTSSPESQTAGSAPMQPYSASM FSSPAISTTAESYSTMSSRAESQPAISAPMYSFTTMGS EPUS_02975 MSSIPPPPPPGWSAAPPPPGMAPPPPGYRPPADPQVAKFAQKKK EWLRTQRNRFAEKRKGGFVETQKADMPPEHLRKIVKDIGDVSQKKFSTDKRSYLGALK FMPHAVLKLLENMPMPWESAREVKVLYHVNGCLTLVNEIPRVIEPVFHAQWATMWVCM RREKSDRRHFKRMRFPPFDDEEPPLSWTENIEDVEPLEPIQMELDENEDGPVYEWFYD HRPLIDTPHANGPSYKEWNLTLPQMATLYRLSHQLLSDVVDKNYFHMFDRESFFTAKA LNVAIPGGPRFEPLYKDVDPNDEDFGEFNAIDRIIFRAPIRTEYRVAFPYLYNSLPRS VKLSWYSYPQVVYVRSEDPNLPAFYFDPVINPISSRSVAPKNITVSHEDEIFGPGNNE DDEFELPGNVEPFLADEELYTAETASAISLWWAPFPFDRRSGKMVRAQDVPLVKRWYL EHCPQGQPVKVRVSYQKLLKSYVLNELHKKKPKAQNKQYLLKTLKSTKFFQQTTIDWV EAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLMR EILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGMYRYKYKLMHQIRSCK DLKHLIYYRFNSGPVGKGPGCGFWAPAWRVWLFFMRGIIPLLERWLGNLLSRQFEGRH SKGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIKQNKVNTVLQHLSEAWRCWKS NIPWKVPGLPAPIENIILRYVKSKADWWISVAHYNRERIRRGATVDKTVAKKNLGRLT RLWLKSEQERQHNYMKDGPYVSSEEAVAIYTTTVHWLESRKFSPIPFPSVSYKHDTKI LILALERLREAYSVKGRLNQNQREELALIEQAYDSPGTTLARIKRFLLTQRAFKEVGI DMNDNYSTINPVYDIEPIEKITDAYLDQYLWYQADQRHLFPAWIKPSDSEVPPLLTYK WAQGINNLTKVWETEDGQCNVMIETQLSKVYEKIDLTLLNRLLRLIMDHNLADYITSK NNVQLNYKDMNHTNSYGMIRGLQFSGFVFQYYGLVTDLLLLGLQRASEIAGPPQSPND FLQFRDRETETRHPIRLYTRYIDKIWVFFRFTADESRDLIQRFLTEQPDPNFENVIGY KNKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSVTTIEWDDTFASVYSRNNPNLL FSMCGFEVRILPKIRNQAEEFPVKDSVWALADNTTKERTAHAFLQVTEEDIQKFNNRI RQILMSSGSTTFTKIANKWNTTLIALFTYYREAAVSTINLLDTIVKCETKIQTRVKIG LNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPTSDKRWSKQTDTGVTHYRAGMSHD EETLIPNIFRYIIPWEAEFIDSQRVWTEYSQKRMEANQQNRRLTLEDLEDSWDRGLPR INTLFQKDRSTLSFDKGFRARTEFKTYQLMKSNPFWWTSQRHDGKLWNLNAYRTDVIQ ALGGVETILEHTLFKATAFPSWEGLFWERACLANGTMLLRYDGSKVAVEDVVEGDLLL GPDGGSRRAFNVVKGTDRLYRIKIGSRKEDLVVTPNHILVLHRVVAERYDTVEMTAAE FASLEPEERAKHRLFRCPDFELPKQNVPVNPYFLGLWLGDGRRNSSTIYRNHEAAVRE FLACYARKKPVERFNPQAHSFIIKDIVLEAEPTKWAGFRVDKDQLYLRHDYLVLHNSG FEESMKFKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKI PTLKISLIQIFRAHLWQKIHESVVMDLCQVFDQELEQLGIETVQKETIHPRKSYKMNS SCADILLFASHKWNVTRPSLLFDTKDQIEATTTNKFWIDVQLRYGDYDSHDIERYVRA KYLDYTTDSMSIYPSATGLMIGIDLAYNLYSAYGQYFPGLKALVQQAMAKIMKANPAL YVLRERIRKGLQLYASESTQEFLNSQNYSELFSNQTQLFIDDTNVYRVTIHKTFEGNL TTKPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVDE QPKQLIVTRKGLLDPLEVHLLDFPNISIRASELQLPFQAAMKVEKLADMILRATEPQM VLFNLYDEWLKTVSSYTAFSRLVLILRALHVNTDKTKLLLRPDKTVITQAHHIWPSLS DEDWIKVEVQLRDLILNDYGKKNNVNTQSLTSSEVRDIILGMEISAPSMQRQQAAEIE KQQQEQQQLTAVTTKTQNVRGEEIVVTTTSQYEQQAFASKTEWRTRAIATSNLRTRAN NIYISSDDMHESEDRYTYILPKNVLKRFIAIADLRVQVAGYLYGSSPPDNDRVKEIRT IVMVPQVGNTRDVQLPQQLPQHEYLKSMEPLGIIHTVSGNEAPYMTAADVTMHSRLMA AHPAWDKKTITLTVSFTPGSVSLSSWALTPAGYTWGAQNKDTSSDSPAGFSTSFGEKC QLLLSDKIRGYFLVPETEQWNYSFMGAAFASVEKRPVYCKIDQPRPFYDAMHRPVHFS SFAELEVSFDREDVFA EPUS_02976 MTSVRLQYRRRNPYNTRSNKTRIIKTPGGKLRYLHIKKRGSAPK CGDCKIKLPGLQATRPRELATMSKTQKSVSRAYGGSRCANCVRDRIVRAFLIEEQKIV KKVLKESQEKKTGKK EPUS_02977 MELQQEDLYSKQPLLLGERGNYVETQPQYYNTVQGLVDHESIAH SDRTHAGTYRDAAPLLGLGLPTGLFQSNCFRDRWRYHETLEGQEQNYVPDFQPSPAQY LNQGFVYPSQPTFDEPCNKGGTSDVEVWKEDMTSSPTSPKIDSSPSMEVWPLESDESI SAFCSESGMLTKDSQDDEEDSGDKPYARLIHEALMQAPGHRMMLREIYDWFVQNTTKP SESGTNGWQNSIRHNLSMNQCMDSYRRRDQAWSPINYTISEAWQQQENKPSQNSSSTP ATVRSKRRARCQKSR EPUS_02978 MTTESDGVENTAPRGVKRRSNSPPPWRRSQKAQRTSYASRDGPN RQESAATFEKLQKDQVRLNQIQEDERAREWVAQEDEFVLKQAKKKAEIRVKDGRAKPI DWLTVVLRSIDTTRNALDDEYDTSEIDIVDPNTVFDKLSEEQLLDLEKDIDTFLRLEK NTQNKDYWRTMRIICRDRRKKSTVDPEGRAVSSVSSDIDLLLSPKSFDELEALEVQIN RKLVSDEPIDTDYWEQLLKSLLTWKAKAKLEKLYQSALDSRSSALVRQTGATTVHVGK RQERPNKGTDSGSVIFPITGDAMRKPEQKPTTAETDAARAGLVLSEPSSGRFAAIPTE DFSQATKALYEKEVARGISENEEIFAGEEDVDTKSKALWSGKYRPRKPRYFNRVQMGY EWNKYNQTHYDHDNPPPKVVQGYKFNIFYPDLIDKTKAPTYKIEREGGRKKGQSFAPA GEEDTCLIRFISGPPYEDVAFRIVDKEWDYSAKRERGFKSSFDKGILQLHFQFKKVFY RK EPUS_02980 MAPTSMPTWRRLPIADTNEVLSSLLFFTFERDEGGIDLSVTDLV HIWRAVKTSKQELKEEAIKTRCSIDPTEDEEQYEVLLNKLEESISGSHGSQVRLLRHT EHSIPERFEIETSIPLPTPLGTLQWSFRMVRQAPSALTRELVVPALRVIDASRRREED LRRKIKDKDHVIAKLMDKIEGSGMDLAMVFPGFAGARKALSARQAAQLVPGVKAFRVE EWGADLKDGDDGGLREIVDALKDEGTGKVVWRPPRAAGEGVHDDLPERGWRHTSVTEP KRNQQTSIDQPPFHNNDPDSSESSSGGNIRKLGHRLSSSDSSSAPVRSRNTNPKPRSA KLGTLGGTKSKPLYATKQDSPSPFPEPPAKAPRKSPSTSTDTATESESESAVAAVHSV ADRSTATPKKNPAVKMGIIGGRRPPTSSKEPQMPTQSAEPPAPTKQRTPARPRPKLGA IGGKKSRTASLSPAASLSPTVSPGHSAPPPPAVDAKQRATGSSGARRRSSTPPKMEEQ PMTEAERADQKRLELKRQLDQRGGAGRKKRRF EPUS_02981 MFHSLGSHAKELKQQGAVEAAQDHNTEATAQDAEKVLIEESIKG GAAAFQFDPNATPQEKAAQAGAQVPAGFHRKKKSGVGIATDIDDGTPGKYDLPPPSTD GALPATSTPEGKKSMQANGAPLEDEYARDRVGWAPRFGTGDAADDEGETLLDHQTFLE GKLDDKFFGDWYHNTGVIIFACLSSWVIALLGGGLGWLFLVMATCGTYYRTSIRRVRR NFRDDLNRELAKAKLETDTESLEWINSFLVKFWPIYAPELCRTIIASVDQVLSTSTPA FLDSLRMRTFTLGTKPPRMEHVKTYPKAEDDIVLMDWKFSFTPTDTMDLTARQLKNKI NPKVVLEIRIGKAMISKGLDVIVEDFAFSGLMRVKVKLQLPFPHIEKVDICFLGQPEI DYVCKPLGGDTLGFDINFIPGLETFIKEQIHGNLQPMMYDPNVFPIEIAKILAGNPVD LAIGVVAVTIHGAHGLKNPDKFSGTPDPYTAVSLNSRESLGQTKTIKENANPRWNETL YIIITSFTDTLTMQVYDWNEFRKDKELGTATFALDQLEQDTEHENLQLEVMANGKPRG QLQADVRFFPVLEGRKLEDGTLEPPPESNTGIARITVEQAKELDGSISLVGALNPYAV LLLNNKEIHITKKLKRTNNPVFPDPTKSVLITDRKKARLGLVIKDDRDLASDAVLGSY QIKMDDMIQLMDKGQEWFNLHGTKTGRVKLMLDWKPVGIKGITGSGGYVTPIGVMRIH FKNARDVRNFETIGKSDTYARVLLSGIEKGRTVTFKNNLNPDWDEVIYVPMHSTKERL TLEVLDQEKLGKDRTLGSVEVPASDYIRESETGAYEVHDRKTPMSEGLRINGRGQAKG KLNYTMAFYPTLNVVDPEEEEEELQEEAEVVGKPSMESKGAKDGKVSLDATRSSVEGS QQSAEVSYPPRVDSMDRGTIDTALANGVTNGEKETSKATPAELLVAKPREPKIRLGPE DLTKYECGLLVFKIVQGTFAHSDVQLEVLMDDMVFPSYTTSKARQRSHKFGETGDAFV RELEVSQITLRLTEKTDNKGEGDQDHVIARLKGSTLTTLQQCLYKPTELTMKGADGAL SKVTVSLKYLPVKMQLDPSESINNMGTLRVDVLDAADLPSADRNGYSDPYCKFRLNGK EVFKTKVQKKTLHPAWNEFFEVQVNSRTAAEFKCDVYDWDFGDKADQLGTTAIDLQSL EPFQTTEMCYALDGKSGTLRLKLLFKPDYVQRSRQGSSTFSGTFAPAGKVVGAPIKGV GKGASFLKRGFTSKSKGGQDEAIMDANGSVVTSINGDVVSDSSTPQGTPSRPNTVVDG QPTTPTSAQKDAPHNRTRSFGSTFSVSGGTSKGASTGTASLTIIAANDYPPSADVRVY VKTVGPKGGKEVHKTKSVKSPTGKVEYSAEHETFNVACSADTQFQVVVKDHGLFGGEI LGDGLFFLADQGSGAIQSVKAGPGVVVLQSQFTPSGGSSADSLRPVTSNGRDSPDSKR GIRRSLLSKRDFSGKQATS EPUS_09447 MSARRRWMIVLTTGLMTFTVSLASSIFSTAIFVTAEEFRVSSEI MILGVSLYVLGFSFGPLVWGPFSEAYGRTRPLFTGMAVFIILQIPVGVAQNLETIFIC RFLAGVAGSSPLAIVAGIYVDFMEPVERGMATAVYAGAAFAGPAAGPIIGSFITQSHL GWRWMAWITMIMAALFTFLAWITTPETFEPTLLKWKAERLRHERKDWSLHAKSEEQKL DMRALLTKYLTKPMYMIVLEPILIVMTVYISICYGILYLTFEAYPLSFEFERSWSPTL ASLPFIGLFIGVLLACIILAVDSKIRYGKHLSQTKKLNPEDRVPPMIVGSFVLPAGLF LFAWTSDPSTPWPSQVVAGIPIGCGIILVFVGGITYIVDVYLLNAASAVAINTFIRSA IAAGFPMFATYMYRGLVVDWATSVLGFVCIALIPFPLIFWYYGKMIRGSSHYAFNIG EPUS_09448 MVKIMLNSVLGYLSLTILLALLATVRYVDILWQRRRLARSNGCK LPRSLPQADSLLGLGTIRESYHHYQEGSYLSLSKDRFDQFGNTYRFVQLGSAVINTIE PENVKAILSTRFQDFSVGLKRQTAFEPLIGHGIFTADGSDWKHSRKMLQPAFAKDELN NLSTLERNVQALLSQVTDQPVNLQRSFLALTIDFATYFLFGESTAALRSPESAANAEN FGTAFDRAQKTVAKFFALGPFSILACDPQFKRDQKTVSSYVDGFVEKALSSPQNKGEK GCFLNELSKHTQDVPLLRGGLLNILLAGRDTTASLLSNLWFVLARRPDIYGRLQEEIQ VLNGKAPTRDDLKSLSYLKSCLDESLRLHPPIPRNSRTAIRDTILPVGGGEDEKSPIF VGRGTEVGYQVFAMHRRKDIWGSDADEFVPERWTRKDRPRPHWAYLPFNGGPRICPGQ QLALTEASYVTARLLQEFKSVKSSNESGSSICSDGWREDLGLTCTTAEGTWVRLTRNA CGT EPUS_04952 MSNLINKVKDAVTGDKHGHHTTDNAGPHSSNIANTADPRVDSDM SHRNHPTSTSHTGFGTTGGTTHGSSNAGPHSSNLMNKMDPRVDSDMDNRNNPASTMGS SHTGYGTGTSTTHGSSNVGPHDSNLMNKMDPRVDSDMDNRNNPSSTMGSSTSGFGTTY GTHHSTAHDTTTHGSTNAGPHSSNLMNKLDPRVDSDTDGRNNPTSTMGSSTSGYGTTH GSTNVGPHSSNLMNKADPRVDSDMDHRTNVGSTGAGYGTSTGTGYGTGHNTSSMAPGS GTAHDTAGPHNSNLLNKLDPRVDSDMDGSKTYGGNETRR EPUS_04953 MRVSVHRFILLAFTAFCLVYLVDAAPPNPVSAVAAIEPRKPPPK APPGCPTARYLHSLSKYFLRSFYDPDIKKQFGSYEGYTQDWFWDQFSITDTTPNYPAE QKGKKLAAPGRWAKSRREFSNILTEVIPKIRNVLGDIHLDENELSSGGMEGNDCLTGV WKATYIATLKKPYGGKKKGTKVRWTHYHTIKVGKLDPNIGFQILNATVRTDWSAFYK EPUS_04954 MSSYSIERNDLYFRNGKVQSRVIQSPWMIGEELYPGVEKHPKSK ERGGFLADSMDPTEEKVRQAGGFRTPSLTSATEKAVESNGGFMGSSIPSHDANDLVKL AQSKLVSASSPKRSRMLHLSNDHPNSTQLQDRSGSFASEQCPALEDNEERSNEMSRDI LWYEGDWITELSLTEEETLQAAESERQANEVALTQMAALRTYDWVGNTFERGSSITPP LQIQSSIHDDDEYKSAFRVFTSSESAWSDEGMQNDGSREDPFQTPPNKRSSPRTTFDP LLDRSFATESSVAEIATPRDRQSSPCAYRGRIEANLLFNSLQHEVSTNQEPLRRSNEP ETECEYFSRLYETPQGEQDDDTPWGTPKMLGFDALMACFDATTDDIIQNVKIAVGSFI NYTEVMFGGKSICQMSEHQ EPUS_04955 MSSLGPAVSSLRSSISLLESSINLLDTGVSDYRRLQKVLTTQQH FELLPEPTLRTAQQSILDEITPAITGLLGTAEAHITQLGRREESLRARSELLEGRLES DRRRRDSALEAKPVPDRARQGSGKDVNGVKALELKRLRQKKERLQYAVGRLELESRQR QRELRKSMAAVKD EPUS_04956 MSQFRAKRLDIGGFINSKIIRDHTKRKVFEQHEPERQRNQTSPP IPDPKHNPTTKRTRQGETTVSTNALLYPIDGDQEQMYHGRRGKGGFQRFPDGKACIRR EVLTRLHSLNLHTLRLPLNTPESSPHIPILTSANLSTAKRVVIYFGERNQDLGIFAYR IIGGDDGINAGSAVDFVKGIQSGAASGPGDEEEEAPAIVIANPGQLIWCRSQGRAMGR REWENLPMESAVHPGFRLDEETGRNLVEGNRDEVEHVESVFEHVLRGAGGGRARIDVI GSEWTGAAVVRYLGRHWATWSTRMNGICLIAPLHGLEDLLPHSPDPASTSTDSTTQMT VASSFAYFISTRCRGYVVDKAPIGTLVEGRAEFGCNVYASGEHHYVECIMIRAWRDML GWFGRLSRGEHGAEEKWEMPESGLRKENGEEEGHTVGKVDEMWAPDVGLSSAAK EPUS_04957 MTFTSAIYGKGSLNSPPSSLTSQSQSTETREAVPDTAQNGGPIT KNESLAKPWAHFVAGGLGGMTAATLTCPLDVVKTRLQSDFYQSQLRALHASQPRIHSR SPLRSGYIHFRETMQILQSIYTHEGFRALFRGLGANLIGVVPARSINFFVYGNGKRIL NERFNPEGRENVWSIHLTAAAIAGIATGTTTNPIWLVKTRLQLDKNTATNDPTRGRQY RNSWDCIRQTVRHEGIKGLYRGLSASYLGVTESTLQWVLYERMKLSLARREARRLADP RHKQTLLHDAEVWGGKFTVAAGAKLFAAVITYPHEVVRTRLRQAPTIVTETGKVQIKY TGLGQCFATVWKEEGMAGLYGGMTVISPPSKLSSQAANLLPSHTYSASYRALQLCLAC TKLS EPUS_04958 MAAASEESSSSSQEAMLPTPSSSQSSINQRDRSKTVAIEYVQMH EDPNPHLEVRCIVRNALTGEDIRHAVAEFWLEGNDMLDAPRRVLRVRLVDDNTGQTLK DETDQVEGTSLRFSYTAAVVSGVDEIPEEWPVEVKDSVACLAIYRKDGLATISPAKLI GGSPRAVFKYLKNNTPGNLWKPYMNERPQVTWENLDKLYSEEGPLAISCPVQQCAARC HFSSPEEAQSKLVVARYNDQKWEEKMFLELCKGKFNAAFFFLGPRTAIACLRRKDPGV AIDPNAVWDERMNVVIKFEVEVEDIWGDPDDSDVTDETETTVRLVGNVVSNQTPVNCE IVVLLQWIPWELYKFIRPLTEPPNFIDLSDVQIEVNDQPARNQVKSLNTFFDRKEGKF EKWWPMLLAQGASRAPRVNFLSKIGWTKQHFELVQDKITKRMLAAGKPLNLEQRNILA DAPYSRAGFKVIVGPPGCDKTTLIAMLAGLYAQDSKVGVLVAASSNGSTDRLFETVND WERNDPRADTSPLPLHVHKKQDEFNYFWSVLNPAESTARKQTQDDRSSLILKEADDSP RRFSYERQQDAEKRKHLSDVNSGVGAMVLKAVQDGELPAVRASGNPASKRMHDAHRAR AASSLSVLMRYRIQLKNDTSKHLRPADNFAIRKAFEAVARYMIGTKRLIVSTVGNATS TILKDCIFRDAKHVVLIMDEAGLATDADLIHLIVSLITPERIEAEFGGENPILTVVLV GDERQGCPLIKSDIAKANVFGPQLSMSPFLRCALSGFPMAHLWEQHRMVPVICKLPST RGYEGKLRTSDEAMCRRMSIPQRTILMDLLEFDSLKLKYPPNEDPIRAQDQHLRYWLL DVRHGSTQTDIAMNKSKFNVANVDVTMKFLKALIQSEFLPPEIRITFYRAQCQRYIAA IAALEAELGMREGQLHGLVHTSDSFQGQEATCIILDLVVTRYYGENTMGHAGNEKKAN VAFTRARDFLFVVGDSTILDSPYLGDKHGMVEFIFAVMVDLLTRQAVKVCYSDKTPEP ELVDRFNKLSTEDDGANFVETKEVD EPUS_04959 MAAKNYAVCSIIPPHMLAGIVQSTSAQQISRDCAQHTLDHTDEI RVQRHPSLRQTQHGPPGAQGIVPPHITSHVAQHHDDQGVRASADDTTKHDEGIRAARA KGDHSAVSAGAINRKIYSCNGTTRLPGTLIRGEGQQPIGTRDASRDPDECYEGFGATF DFYKNILGRNSINDRGLPLIGSVHYGRKYDNAMWDGEQMIFGDGDGVIFNGFTDELDV IGHELTHGVTQYTANLEYEGESGALNESISDCFGSMIKQYSLKQDSATADWLIGEGLL AKGINGVALRSMKAPGTAYDDPQLGGKDPQPSNYANLYKGTQDNGGVHINSGIPNKAF YLACIGLGGNSWDRCGKIWYETLTSGKLSTRANFQAFADLTASVASTLYDADASQAVV DAWAGVGITVTGGQSGGGGL EPUS_04960 MADVQGLSAAHIIVLATELCFKTDFQDFQVLQHQRPDVLAAELC CRILLTFVSGNEDPEQYVPLLKQLRGLIVEDGSSKNIDVSSVEELNEAEARSRIQQMR LVRLKSFDSEGFATQDVVSRFVIQQAYRIDTETGDLPAILHLVEPFVDGVEPLRRWLI SSLLPLLRLDYEYYSEGGSGLSLVAIHDLAGTEGLNLLLQRARTEEGRQHIGRDLRGI VGPWMYGDRSSKRRKLSPSFRRASIAGQESSLAPERSGWQDVNEWILETSLGDYDLAA EAICKWDGPRDVDLGGYESAPQESTDADTVLSIHYGQAALATVYATDHTSSQANRNSW RILERVADIAGVHLPRHSDIEGLPNESEQPESIITIPRSELATDNLLEPHHALTRPST ASLKFLRAILTSIRILEELRCQQRLSCRDATFLALYATEQVQRRELHRALQNLARMAS AETDWQLTRNKILWLSRWGSVAPSLSEEENHRALFWRVSRENVETELFGALLTAKQYQ TAISLYLADTDSTPLPLFLVEKIVEHETLNAYDNASNGNRSRGGLKRASEILKAFSPH FAPSSPVNRIEHLLAATHSLSFYQLTLQHGVPFQPVSIRVHHDPISLISKVLEQNSKA YTKLDDLLSIGRGFVAAGLPISSIEEDEPSIPTSEDNMESKMLESDHRITYDAIISAL SSNDFDTAYSYILTRLSPSPQPSSSTSGPADDTSWRAAYAAGRHRLPPSASPSAKIDI LSKRMELLSLALMLVPDPSSLPSMLSTWQACEKELNDLRAREAAEEKAWDDRGDNISS VPGGFGMEDRDADIAETQRERERRRAAEVGKRFDEHEAPMGLFDVARGAARAIGKSAF PLRAPAGAQGVRVNDSPTSYGDPSRSSDEYGRGAGGSGDEGQHNRIRKRDMVSNMVTG GLVSGLGWVLGAQPVDKDAAGHGRRESAGD EPUS_04961 MRPCSLRPYLPTSALRRRAPGKRSYAVQAPGAPTLEIFNQHVKY LQKERAASNAEQSRKVDYIRDEVAQRLCERLLDIQRHFPSVLDLGANSLNIARALANP DHLTISSHPSSAPDAPSAPDVTDPSKLLTSRISHLTCAETSPTLLHRDPEPQSSIPLE LQLLNSLETLPYEPNTFDAVLSSLSIHWVNDLPSLLAQINSILKPDAPFLAAMMGGDS VFELRTSLQLADLERRGGVSPHVSPMADVRDVGGLLSKAGFKLLTVDVDDMVVEYPDT FALMMDLQAMGESNAILKSAGGLGGLSRDVLLANESIYRQLYGEEANEGLPATFRIIY MIAWKEGAGQGKPLPRGSGQLDLKDVLGGGDFKVS EPUS_04962 MGLTFPYTYISCPCSDGSKEIEESRLRPAPLFHKKPLAEEPEEV EEEKEEAPFDPHHPRASFSLFPPEHLLYCEECRDLKCPRCSIEEQVSYFCTSCLFETP ASAVRSEGNRCARNCFNCPICFSQLSVNNINGAVKEGPWILNCYYCMWTSLDIGIRFD RPTNIRAQLDRIANGGTPKAPSKPTETPDLARRSSYLNHESYPQLSATTNEPPPIQQD GSPKEAPLDPAARFTALKAFYKNQLTTTTAANPTHPSISSDFSYSSPSSLNRILNLYS TSGTFPPKKKPKPQLMREALYPSEGLLIPSPDAATSAQTKLLSSATGGFINTTTLSQR HFQTGPAGGNPDARFVDELRPMPAPLTTKRAKRCKECRHILVKPEAKPTSTRHRIKLV ALSYIPHVSLRPLLGTSAAGGGASTSSAPSALLYGGDVILEPGKPTQWILKLTNSLFD AVRVSIATPAVTPGKYGHRVTILCPQFEIGANSDVWEEALGSKSGDAAGSAGKISGSG NGGVAEAGKVYEKGRNWTSVVVEVVPVSIAQSIVETADKEEIQVREVDEDEDVLEIPI RVRLDWKQGDLDDGANKKARLNEEGVEDGVRELAYWVVLGVGRVKK EPUS_04963 MAALNKIAATSPSRQNPSELETNIANALYELETNIPDLKSALRP LQFVSAREIEVGHGKKAIIIFVPVPLLPGFHKIQQRLTRELEKKFSDRHVLILAARRI LPRPKRSNRSRTSQTQKRPRSRTLTAVHDAILADVVYPVEIVGKRVRTKEDGSKVLKV ILDEKEKGGVDYRLDTYGEVYRKLTGRGVGFEFPMSATSEY EPUS_04964 MSAPSASGEGSYLAVQPSSPTSKSLDGDTLRSRSGSFQSASDFT IRTRAVSTNTAISRNSFEDVPPEEALRPDKGNEKDFEVDNNPFAFSPGQLNKMLNPKS LPAFAALGGLKGLEKGLRTSLTAGLSVDETNLDGRVSFDEATKQLRVKDIDVSPPVRG DTQASGREVKGQFQDRLRVFRDNRLPEKQADSIWVLIWRAYNDKILILLTIAAVISLA LGLYETFSGGSDVDWVEGVAIVVAIVIVVSVGAANDWQKERQFLKLNKRKDDREVKAI RSGKSMQISVHDITAGDILHLEPGDAIPADGIFISGHGVKCDESSATGESDQMKKTSG LEVQQQIVDGTASKKLDPFIISGSKVLEGVGTYLVTSVGTNSSYGKILMSLQTDSEDT PLQVKLGRLANWIGGLGSAAAVLLLLVLTIRFIAGLPNNTNTPAVKGQQWLDILIVAV TVIVVAVPEGLPLAVTLALAFATTRMLKENNLVRVLRACETMGNATTICSDKTGTLTQ NKMTVVAGAWGINDRFAQSTRSDNEKVSTFAAVFGSISKQAKTLFRQSIALNSTAFEG EEQGVPTFIGSKTETALLVLAKNHLGLDNLAEERSNAEIAQLIPFDSARKCMGAVVRL SNGTYRLLVKGAAEIMLARATQAVSGIYSEELDVVPLESAAKEQISALIDEYARDSLR TIGMLYKDFEQWPPLEAKRLEEDKNMADFDDIFHDMIWVGVVGIHDPLREGVIEAVAQ CQHSGVVVRMVTGDNVTTARAIATDCGIMTDGAIVMEGPKFRQLSDEEMDEVLPNLRV LARSSPEDKRILVGRLKHLGETVAVTGDGTNDGPALKMADVGFSMGIAGTEVAKEASS IILLDDNFSSTITALMWGRAVNDAVKKFLQFQITVNITAVVLTFISAVTNPDYHSVLT AVQLLWVNLIMDTLAALALATDAPTKKILDRPPQPKSAPLITTNMWKMITGQAVYQLV VTFVLYFAGYEIFGYDRGNPDQVAQLATMVFNTFVWMQVFNAFNNRRLDNKFNIFEGI HRNFWFMGIGCIMVGGQIMIIFVGGRAFGITRIDGVQWAICLLCALPCLLWAVLIRLF PDDWFGFVFHNSVDGVAFMLRPVTKALHVVFHPLAQGWRAMMAPTKRALKRLTAKVSK TKTVDDVNGKLPEDEESRFSSPVEKVSTPVSPPTNLPPITLTGPS EPUS_04965 MSPPSILMIGIGEYTTGLVSGTQSTSDKKLGVVALTLFDLRTDD VVDAEAYKTAIDALPERGSCVTIFTPDSTHFDIAMYAVERGHHVLITKPAVKLLAHHV ELLEASRKHGVFVYVEHHKRFDPAYADARHRAKTSLGDFNYFYSYMSQPKSQLETFKA WAGRESDISYYLNSHHVDVCVSMVDGWVPRVVRASASKGIAEGLGCMEGTEDTITLMV DWQREGKRATGIYTASWTTPQFAGVHSNQSFHYMASKGEIRIDQAKRGYNVAADDQGQ LVWYNPFYMKYAPDEEGNFNGQTGYGYISFEKFVDAVTKLREGKVTLEELDQRDLPTL ANTIATTAILEAGRRSLDDGGRGVEIVVGEKDGEGWLLK EPUS_04966 MAGIAFTAFSLLIARRSFTRRRLAANPSFYTNSPAHTQVQSAKV SAPLEAIEALNIATINVLSLAMLSTGGALWYFDIASMEDARRKLRRGLGVDGSGRSEG EAEEDFEEWLATVLSRKEAKERRQEYEEEKEQRRANERGRQR EPUS_04967 MERNTPLLYLYGNPNLDRNEPAKVRIVYSRERIDTNNDGAEGEW ICKVCSVNNYATRIRCFRCQAFRADAPNRGMQKAANIGDNDASPDNTPSQFLLLRGLE PSVTEELLVKGVAKLYKPSTSNAFSNQPNKKGAKVASTTGDANRGARQGTLRRVLLVR DRRSNESWRFGFAEFATVEDAMAALTRYNSFDKFTIASKPVLVSYVHSGVFVPVLNPG VVDPRYTFAPLANPSLKIAYWDEDAYVAEFKVFDGESESANIQPKEDLVSAPDSKETT KSKKRKAEITAMDISTAKKAAPSYLQRWSNQHAELHGLEQKPIITQSDDALTSADTRP SSEPSPVPPMQSFADPNRHCCYLCSRQFKTAAEVNKHERLSNLHQTNLKNEEQLTKAR AKLEKHGITIQSQLSTTEYRDRAKERRKAFGVVNKKGQSVPPSKSSSDTGKYTGFDKD AEDDNVLASKGASLLAKMGHVAGAGLGASGTGLTAPIAQDIYAAGVGLGAQGGKVGDA VVEAERNTKGDYGDFLAKTREGARERYERLG EPUS_04968 MHYGSRVGRVLGILEFALAGTTEGLSHEILARANMELEVGALVR DIEAETGIMRDGNLNQNVNVNWGIGVQNMAGNSHGAGNWNWNGNENANANAYYMGIQA AAAAAATAGGGKVPLTTPTPNKPSQTFFFFSPSSPIEPTHHPTPPCPGRHHPPNSPII IIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIITSPSHTKPASTTQ TSTPTNSPTCSH EPUS_04969 MPKRKAAEMTNQTITNEEFARIFRALTDDEEAEQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQAAVAPVPNQGSPTLFSNSRDLE TDDNAVTPSLFQEEAGQDYQGVDGQTQDFSFPALNSAFTQYDNTGPQDVPPQGQVSHS SSGSETKVYAPNTTNGLQVLPTTTAPSVSSSSFTPAPAGINPDILAGALQVATTAPGP SAATWPINNTTATPFAPPPPQTFPPPHNHKHNSTNTNANNIKHGGPLPTPVATTRTPE RVPTPPPNTSHNRLS EPUS_04970 MTSPDDVAVGARHDIALAQGLDDERRMSHAPALPPVYEGKGTLA TVYADDAADAPTVEELHTLRRVRDHIPWKVYTVAFVELCERFSYYGTTVVFTNFIQQP LPEGSRTGAAGTDGQAGALGMGQRASTGLGTFNQFWVYFTPLIGAYIADTYLGRFNTI CVSLAIAIVGHIILTISAVPTVLANPNGALGCFIVGLILMGIGTGGFKPNISPLVAEQ LPLTRMKIITDKTGDRVIVDPAVTASSVYMWFYLFINIGALLGQISMVYSEKYVGFWL SYFLPTVMLCICPVVMLLCRKVYILTPPQGSVFGKAMKLFFLAQKGRWSINPVRTFRN MHDGTFWDKVKPSNIPANQRPKWMTFDDEWVDEVRRGFAACSVFCWLPLFWITYNQIN NNLTSQAAVMKLNGVPNDILANLNPFSLIILIPICDIIIYPALRKAGINFSPIKKITC GFYTGTAAMIWACVVQAYIYKYSSCGRYAAGEDCEPTSITVWAQSGSYVLVALAEVLA AITALEYAFTKAPRNMRSLVMAVMLFTTAVAAAIGQALVSLSADPLLVWNYGVVGVLS FIGGTGFWLQFRGLDKQEDQLNMLPTGHLGTKGEAEELEVEKGASKLAEKA EPUS_04971 MPDTFDESLSPPPLQNPSSTDPSTILPVHVAQHRQNLEREKKKS QGEQGIQLDTLLHKPEDKGNDPDSTTPDAVEGDSDSAEDEQTEDKALLPSDKTSPQMK RKSRNDKDQPSSRRTDLHVSTNQNGDANGHANGEMNSQLEMSRKTASMDSPTARAHLM SRSEFTLDDEPPPPTPNTPGLVTTSFTDLPVSDRRNFLLLCLLYFLQGIPMGLAMGSV PFLLKSHFSYGQIGIFSLAAYPYALKLLWSPIVDAVWSRRFGRRKSWITPIQTISGIS MIWLGKRIDKMMVAAGENEGAGVWSFTGWWFLLVMLCATQDIAVDGWAISLLSIPNLS YASTAQTVGLTAGSFLSHTVFLAFNAPDFANRWFRSTPQEYGLMTLGGYMEFWGWVYL LVTFGLVILKKEDRTKERDGIMEVYRSMLGVLKLRNIHTLIIIMLIGKLGFVTNDAVT NLKLLDKGFGQANLALVVLIDFPFEISLGYYAGKWSTKYTPLRLWCWAFAARLLAAIF AQITIMIYPSNPVPTWYMLVVILEHIYSTFMNTVMFVAGSAFFARIADPDIGGTYMTM LATVSNLGGTFPKFFILKLVDALTVATCHPHPDLSSFKPVDPSISPVTSPFSCVLEAE KHRCVEGGGTCTIARDGFYYTNIIFVIIGALTFWGYIQRKALALQALPLRAWRLSGEP EPUS_04972 MALVESASQSHQYEAMHELDDEIRHVQEQIQELTKRRRLVTNTL LSSTKVQSQLKSHVPTTSSPDLPMDDQPLITSEKSSSMTNHQTNMHRLSFTVTTFPFT DPSPNNSHLHGRLLGIRLDHCSRHGTFQKPYYILLRRVEEQSDEFRVHRHTIPAFVAL ERLEEQFLPLKDEDEGYTVAGSDANQKIKQDLHAFVRRVRHELICWELRRDAIELLKE QLELIPSKNPDRDDRDDRDDRDDRDDEGMDYDSQEDGIGLYGIQSIEATAFEARQARI TWTDGTVARIKISNKGLIERAVVVGDNGRIKGVENLLVDGESRIEELVQKLKVLVGVS S EPUS_04973 MLPTLVPMPFDLDFMVRETAVFINLHQLELVLLLLDEHVDPIDI PEYVRERLSGVELTVKDVVEIRNGNFHISGSNFHVRMPSGNFVHIDIVDDYFSLLPTI SSMSELQRQFQDEYGVLIDDHDMLDIFYGAFHVVGPKTIDLTTKEKHFVPRSDLSEAI WMFERGFSADFISRYVWYRFHSRLPAHELVRLALANNEEIVEDAALFAILKSAPARVR PYPPCLKQTARRAPPPLPIMTFPQVFDDPDVEAVRRSGETFTANPAVICHFDDGTYSL YTDFQGHLESASNTPTSTYSDETDSGPESDSISEESIKSDVASRVLVHLQDGDYLVDL EQPDLDWIQVPRLASRDHSPEDDRLSWFQSATEMYLHIPVTDDCGIQSLSQMELDIDS NFDNDLALEELTLAHHPNPFRSHPIEVQAQDPDTDFAGLPSLGHTTLDNLETESGGDF ESLFSDTEGSILFDQELDAIFADAGFVDLERGNPHSDSILDLDLERGQPQSEMLFDVA DPSLDDEDPFLLQEPPRKKRKSSSLFSLGCSNQVQ EPUS_04974 MGYSTIWRKASSLWWLFLFTVECTCWAPFENPDLTDPQSTCFGD ILCPFVDGIPTLRCDRACYQYERYACTDGRLLALDPPNPDSFAPRCPNQATSLHLSDP PYENYFYSDCNVDAQVVVTTPLQTSDLSIISPRLVIAWPAGNSGACAFFEPQDGKNGS LGIELVSKATGSDLSPVYVTNFLSKYPSVGVEGILHFNSSAFLTLSILGSVRTIRDFT EGPSILPPIIQDATSLQSTKNGGVSLSRLWLDNETRITLSFSPHGNGTITVEEDQVSF NPGIYTFSAHLNYPQLEQLTPQEVFNPASQELATQMSDEATSLAFLSYTEKLLAGAWR FLTYFGRDSMISALLLQPVLSEGNGGAIEAVIGAVLERINKTDGTACHEETIGDYATY LNLVEKNISSTQPIFDYNMVDTDYFLPVLMQRYFLGSPMGMLRSRELLAREAGAIDST NRGLRYGDLARLNAEKIMDNAAPFAAPGGQVKENMIRLKEDTVVGQWRDSTYGSGGGR IPYDVNTALVPAALRAIAAISRFDPSIYAGHDDWADLADEYAQVWEDETLPLFQVTIP ADEARNRLESFVSTSEYYNGPSHADSIDSDVIFHGLALEGNNDIPLVDVMNTDDCFRL FLLNTTNEAQLTLFLNQSALNVLRPFPAGLMTSVGVVVANPALGEEEILRTNFTNNAY HGTVVWSWQLAMIARGLETQLARCNDDKAPAFCADSKVYGNVKLAYNTLWDNLEANRE TLSQEVWSWRYMDNDFQFTPLGSLPPPPGVGGGTESNIRQLWSLTFLAVKRNEAFK EPUS_04975 MIMLAGAGIHQLYLERKYPTPREWSFGSRWVLRSAMTREFEPFS RIDGVIVDWARVGSYYKLLLERLEDPKIDGQNLMEQDEGGILVDGVGRTGFDISMKPE SWGRGYHQALMGAARSAEHLDGYVTDRKRKKVFPKEMMIGPSNPRPKPKPHGWQDPPK EEDCDPAYASPQVFYMRILTTKGFSTRQRLDAALAYADWLDFKGLAETAESMYDWALD IAAGALPMGSKNVVDMKTGVINPTAEAPASENLLKASTALGVHHALHNNVQTALPIFL SVLKARKDLPPDPLSHARPKELSKPKEESVISAYLRAIKDWLVEAPYPASPPSGDEPP FHTLEEACEEVGLMTYIGEILYATSSKEKGLSWTRDAVEAAEAIMWMMKEEDRKDGGE RCQECLETGLNNWKKMARSMAKEAEKKENKNGSKSSGWLNFGREDAAVQSRRWEEELT QIDLRIQKTIPLVKPLEPARSSWLSV EPUS_04976 MSPSSSARDSTQDSGARSPVHASEHQAEQDTALLTEENLRTLQG QIQSSGGLAGIRDVPCHQIETEKRIENWLEGIGPDNTGGNSKPTSIQLFKFIGCQQVK HNLLMPESCSGLWFKHCVYRGLVVDRLNSTQVIPSMELEKPATKPILCGLPAPFPWRR RKCLCQWNRLHLLLFGLLLAAALFLSLPGFSHSLQSSAHKASCPTKEFGLAVSHFPTY DFSTITVPMSRVPDSLDTFINYRYRNHTKCQISSLDLHTPFHPLCSSRADMLTAISGG GRIGKEAPFMPRGCDMRWFSTEEICEIFERFEKVIVVGDSMMRHVVGAMNVLLRKDLG YGAVTNWNFSPRERKECFCNQQFDVKECSLQGIYKTSDVETNDPDSLACGSGRIDLVI EMMLKFPLDPTEVERFKALLSPAKPPKPYAFIFGHGLWNDLDLQATVNWLDGINAHTL SVAPYLADKGAIWPRLFITPNAAGPLKPDQWIVTQGDKALQIFEESVREEARERGVEH LGTWNMSIQANKFDGVHLDLRGNLIKAMAAINWLSLI EPUS_04977 MLTESFVAATLATSTKSNISSTLKDVGIVLHGFQPQNTIRHGFK KSSTKPNCLAISTTHIFAAQADRAVVLIYNREKGNQEATVPFPERITSLRFVGESSGF LVLGTEGGRLVLWEVASGRQAMSTASHLQPVSCLSVLPGNTFILSGSSDSNVHIWSLP QLVSFSQPQSLSSHGTPPNAPVRTFSNHRSGITALACGHSRPLTNFAVSVSSDGTCYV WSTAECQVLRTILFDSASQCLAVDPADRALYSGHEDGTVQSFDFYNESKITRNSHTSV ASNPVHLDTKDSWSPASSEVGSAQCLTLSYDGTILLSGHSSGRILSWDIAKHRVQKVV TDLGNSVTNIAMQRPEGLPIKRPGIEVKTVVKPKPELSSSSNHIGTSGIPADYSLQVQ ITPQPPLGLLTAPNETDNDTNTNNSFHSLLTNPTFPKSLIDEAILDLTFPPSSDQNSS QQQTSTYDISFSDEPPQDPSSSSKITALQSRIALLEENLNIYVSAAERSRARRLARME RRDEFGRKKREAYLEAVKKGGDADGDGDLAMREWESRERSVDRESDEVELGEEVYADV EPUS_04978 MSSFEPVVVIDGKGHLLGRLASTVAKQLLNGQKIVVVRCEAINI SGEFFRAKLKYQAYLRKITRYNPTRGGPFHFRAPSRIFYKTVRGMIPHKTARGTAAME RLKVFEGIPPPYDKKQRQVVPQALRVIRLKPGRKYCTVGRLGHEFGWKYQDVVARLEE RRKVKSAAYYERKKAMRRTLVTAKKEASVDENIKKELAKYGY EPUS_04979 MSAAKSRLAKLASHFLPASSPQVADAPDVEFRHCHHIHTLSPTF FLPRAAQIEPEAIAIYHRTANNQILRRTYLQAADRARGFAYFVKKREYNKVGILCPNT PAFLEAIFGIGAAGAVNVAVNYRLKSEDITYIFDHADVDAILVDVEFQQLLDGFRKDH PNIPFLIDTDTEFSHGPFAGPYDEAVLEGLEYDKETGSKGWDGLETLPPDENAVMALA YTSGTTARPKGVEYTHRGAYMATMGNVIESGLNYHKGRCRYLWTLPMFHAMGWTFPWA VTAVRGTHYCLRKIDYPEIWRLLKEEHITHFNAAPTVNTLLCNDNNAERLPDPVRVTV AASPPTAHLFEQMTGLNLHPVHVYGLTETYGPITKSYHMPGWDDLPDKERYQKMARQG HGFVSSLPIRVIKTDVPEGNIVDVERNGEEIGEIVFAGNICVKGYYKDPEATRKLFAG GVLHSGDLAVMHPDGAAQILDRAKDIIISGGENISSLALESMLVTHPDILEAGVVAVK DEQWGERPKAFVTIQAGKKMKGEDVVHWAKNNSSISRFMVPKEVEVVEELPKTSTGKI KKNVLREWARGGKPERITNA EPUS_04980 MARPCESGSSFLRNRRHTSPTTSRPQPPPRVSSQAPSESDDSAS SPERIVDDDTDFFMAQANDSQSSIGVTNLREMSMSIDLEQQHKLSPISRLPPELLIAI FAKLSSTADLRSCMLVSYNWAIHCVGVLWHRPQCNVWKNVINVTASLSKNPLFPYHEM VRRLNLATLHDKVNDGTIQPFMQCKRIERLTLTNCSKLTDSGVSGLVEGNKHLQALDV TDIRSLTDHTLLTVAGHCPRLQGLNLTNCSKITDDSLVGVAEKCRQIKRLKLNNLPLI TDESIIAFAEHCSSILEIDLHSCSLITSESVTALLSYLYHLRELRLAHCTGISDNAFL DLLPKLTFDSLRILDLTGCEDVRDDAICRIIPATPKLRNLVLAKCRHITDRAVAAICR LGKNLHYIHLGHCINLTDNAVIALVKACNRIRYIDLACCNRLTDQSVQHLAQLPKLRR IGLVKCQQLTDESILALARGAMAGGPPHPRPGCLERVHLSYCVSLTLQGIHELLQYCP RLTHLSLTGVQAFLREDLTRFCRDAPPEFTHPQRDVFCVFSGEGVSRLRDYLKRLAED QEREGRVTRERPGIYSEAEADSSRETLSDDGTIDAVEEALERRPATRPTLRPRSTGYR SYAEVVSGSGSNSPGFEDEGADDDEGVPLSQVRYTRLSELFRRPGGPGSLAEHDGAPQ LRGYLDRHVRREASRSVSEGQGSSSRPRFTHSEAQSGRSRFVQSEVPAFDDYFPTTAE PQNRRPLPPRPHWPPQAHTAQFFDGDQEISASDWGEFTTPQAARIAMGATGSGSASGR HGVRSREDPTTSAVYDDTDEDDDDDDDGETTIRRSARVQREMDGEMES EPUS_04981 MAPIKEAVYATLLMDDSYLPGAMVLGHSLKDKGAKARLVVLATI DNLSASTITELRTVYDEIIPIKRIVNKHPANLYLMDRPDLISTFTKIELWRQTQFRQI VYIDADMVAIRAPNELLNYNTNFAAVPDIGWPDCFNSGLLVLRPNLGDYYSLLALAQR GISFDGADQGLLNMHFQDWERLSFAYNCTPSGSYQYIPAYKHFQSSISLLHFIGREKP WTIGRDHKNASGVYGELLGRWWSTYDKHYRVHVSYQTERTRDTPTTVQKYVTGEKTTS YLGYSFSEPQPQHEPARWISVEPPPEAPATTTEEAMGDQPEPVEQIDKQDFEPTSTVE QRRFSAPQMEWDASRAPPPTDSKPEAQNLPSQVYEFNQDTSLFQAPKYPEAPRDMYYQ VPSKPKRTEKPKPIFPWEEHAPKPTRVFPEERPPSPEPEPEPESDIEPEPEPEPEPEP EPVAEARTSSDASESSVFTRESRSSSNSASESDPWNSYTRVNAWDAMPEIERYVQAFV QSRKGKVQVLHQAAAHSKTADQSLLSPPVDAERRPSMKITDFPTEWERPSLPVTPAPR HPSFWGEEGDEEGELPAAEGVPKQQDWVSRFSSYLQPEFDSPSRSAALPVLFWRCQYC GKQNPVQKLEELQKRQTEVLQTGPELRPKEIPNRAMPDSAVDTTAVTAEATAKALSGE KARATDPATERLSDPSVDMMGIEAKTVANARSPAKPKPILKEPSFELGGVSSEKDSLT SKDVAPEVLSPNGSASAWVNH EPUS_04982 MDWPYHFVNLSPEQISERRKLLDLYGFYAWLSPIALVCSIYLSR VLLASISRRSGSVSPSQPPTFFRVYTRRLLWVLHSPLSSDFGDVKVHLIGLLYTSWLL FLTIHNTGTDYMHYTKRLGHIAVSQLPLQYLLSTKSPLSPIQAATGLSHETLNPYHRL TGRIIHLFLLAHAVLYLNFFYAINALPRRLYDRDVQLGLLAITLLTALAISASPSNRR KSYHKSFYVPHALLSFLLIPVISAHVPYTRRYVLQILILYLFNMATRTFNTTTPPAPA TITPVKGTNGALLHLSIPTPQPPLGSPIPTHFPGQHIYLKSGHNPISPRSPFTIASVP PILNLSPKPGQQENPYHGGPLPDLELIVRNLGGPTTAWLAGSDFKTTTKNRLETDQDG GAVSHLDASLKPSPPARKLDVLVEGPYGTSSSIVPSLLASFSNLHAQENWEDEEEVLL IAGGVGATFTLPIYVSLLRAALTAALREADIGQAEAQQQHERQKCQGRDGALSVITSL NSISQRIHFRWIVRSRAEAEWGVEYLGRAIRRLEEEIEMAVGREGSVGGGRSHQSTQQ EPEPEPKLEELPGGRSRNNGLKMNVKIYITRATPPDRRYDENDSEKLSLDTKDQEEED IMTGPPARMEGTTNITALRSSSPLPAGVEIITSAPNTTTTTTKTSIHTISSNKNRPPL PTLINSILSPSNPHEHEHEHEHSPFPANKTKQKSKHDRITILTCAPPRLTTALREQVG CHVMGGGRDVRWFEEGFGMGV EPUS_04983 MAEATSKKEKIPLWLDCDPGHDDAFAILFAAYHPALHLLGISTG YGNASLDRTTSNALSIREAIGRPDIPVIPGTRKPFSREAHHAPEIHGESGLDGTDFLP SPTTSALRCNAIVDMYEALMACPPHTAWLVTTGPLTNAALLFTTFPNMVDHLGGLSIM GGAVGTNFTHANLGRPFRDASGQTRERIGNHTPYAEFNIWCDPESAQSIFSNPRLSSK TTLITLDLTHQVFATESVRHLVLYSTKDEDRQNSGRSTRLRRMFYELLIFFASTYADV FGLTDGPPLHDPLAVAVLLAEYGDGQTRIGFDDRGRERFRIEVELTEPELGRTRISAA SEGVRIPRSLDTPRFWKILEECLERADAQVGRIPVL EPUS_04984 MTAHPPLSPPLQPPSKASFTAKSTTLSAVAKTNPTPNTSASPSQ VHPTRTRQNAHSLEYTSDKATTALIRRVLGSQTGIDQTSSPRSLEDILPPLTSSNEID LQLYAIIAIVVKDFVQVWYSKITPDHVFIEEVVQVIAHCSRAVEQRLRRIDLEELIFD EIPALVEAHILGKGSTGSGKSWTAHQTISPSAYHSAPVEIYHSLNPHPALSPFPNSDQ IESCHVQAQNETVYRQLLVQGALAVLLPTEDLQNACLRTLVSDIVADLILGQGIGDKA CEGWFLHETCIKVVAVIKSRIEPKTKGEEAAQNSRSRLEKFGLLSPKDGDLRPHLSTN DQSLILALFWRVLQFAYLFFLVIRFVAVGLNRARSLSSRGHSLQSSTPSPIANTGSSP ATLSKSWSTVPSPPQRPILDYRFFALCSTLLDLSTHMPWLTGLLSLCKYGLLTGSGRL GAADGLLERFLHNTLTTHITPWPTLLPTLLLNLRTALFPFNTRGPPAPPPPSAEEGLK IRRRAAESVLSLIPKPVARVYFASNHTNNNRAEKQNGGGEYDSDSELEQEEMIAQLEE MLDVFGNAYMNKHLVYNLLELVLARLVPEMAERGPGELLRERGVERF EPUS_04985 MESEQHHSPDTEHGEFQWMSMAGYPPNGPQNTSPVMPEYNPYTY GSSAVMPVEPAPFYGMARPPPYSSHQQLQPLHPLIVPPQWPSMLTSQSNYSTPSIPSA STTTPSSAVSSTSQPLQIRQTTTGGNTPRRTLTDEDRRRMCQYAEDNPGVKQTDIGLK FGVERSTVSKVLRQKEKYLFPHDGSQSPVKKSKGKFPDIERALANWARNESKRYALTD SMIREKARFFAATVGNNESQSKLNSTSWLERFKQKNNLGGRRLKKSPTESRPNFPEDG ITIVDSSTTSTSHTSVEMSPMSPEDISPTTTDSSHGTARNGLPDGFFDFAGTGYRHTH SQSTASLNTGYSGMSIAPLLVSNPTSPQVTDAAVSPFSPDGGARLPPLESNFSRPRSQ TVPNLNLEPDVMHKTDASDEITPKISDPSTMSNMLESPREEKPIFTNPFDAMKRTNSF PGPQNNRDTSMQPPPVPKSETASPIASPVVSPTQQEARRALEVVMTFFQSQPMGVVEP NEYMTMGKLMQKLELAQSPDGTPSLPGGLHRIDEEEGLRVTKKRSIRSL EPUS_04986 MATTVDKIKEIESEMAKTQKNKATSFHLGQLKAKLAKLKRELLT PTSSGGGGGSGFDVARTGVASVGFIGFPSVGKSTLMSKLTGQHSEAAAYEFTTLTTVP GQVLYNGAAIQMLDLPGIIQGAKDGKGRGRQVIAVAKTCHLIFIVLDVNKPLTDKKVI ENELEGFGIRINKEPPNIVFKKKDKGGLAITSTVPLTHIDHDEIKAVMNEYRIASADI AIRCDATIDDLIDVLEAKSRSYIPVIYALNKIDAISIEELDLLYRIPNACPISSEHGW NIDELLEQMWEKLKLKRVYTKPKGKQPDYTAPVVLRQNACTVEDFCNAIHKTIVEQFK QAIVYGRSVKHQPQRVGLSHELADEDISKFDEMVLPYK EPUS_04987 MQPAHQPWKVPKVAQTLRKITRSPPRTGRDLDQLTSSDDDEYVR AFKKPPAIKPETTAKSSKKTSSLSGYHFNEQPRAKNRAKSSSDANDGKDDGVSKADRE GHPLVGRFCPLMLVTKFCYKYMDDPNDRVSRHFFASGKIWNRTWDIYYIYPPASLFAK PLLLVPEVQVQALLDEINSTFRISIKLPRDPFLLAFYQDGTPAPTLLGTSQSRDAVGK MEQEIPPPAENHGESPPAASPQIERSFERFKKKMERAAAVAKKKNAAIKKAKTKDRLA AHVRSCEALRRGQRYLGLRPVDRKGGLPLPDPSLSWDEQQKFEREQKIKHGHILEPLD IENPAPHPFDRDVVFVSIDVEAFERDHSLITEVGISTLDTADIKSLAPSQGGANWMEH IRSRHFRITDHEHLRNTTFCTGNPEKFQFGRSEFVSMKEVGQLVDSCFAPPYSTDFVH DGKFKPQDSTRVQSMPLLDQLQSVSLEADKSAQERVPGQQTSSNAVDGQGTANAEQKL AKKATSSGIITSPEDREASEYDLDTTSVRTGPTEDAIISPTPTSPRQKTKYRNIVLVG HDLDSDLQYLSTLQSSIFHKPPIPTYPQPLERESRLRQHILESLDTALLYQVWKRETN ITSLAKALVGVERTGWELHNGGNDARYTMEVLVGVLVRSRVEEGDVSKVASSDCKSGN GKGGKHSGEIQAEEEKLARTIRERQEAVEKEERENAALWRHAIGPYGEEVDEKILPDP YQSAQLDGTRDPNLAVHSAADQYIPGNVSASASASASASAYAIHTSHEIGEAYPWSSC PQASRDGGEPRGFEMPVPKGEKWKPSTRRREELLRLQGEGEIGPPCDWGVGGRDGW EPUS_04988 MDPLSVTVSVSSLLVIAARVVKVIHDIRGDYKDAEFILCSIASE CTVTEAFDTALLGCALTLSVLDEELRKLVQGGFIDTNALEPRKLKYLVENERLKELLQ QIRGQLLAITLLLNTYQTESISDLKKAVQENHNILKQIASRARSLWQESQHGDPAQRP PEVVCGEQDSVFELSSIITDTRFDFDDEILDSRAYRRALTNIYWSTSGVSSSKRHAAV ANACLVLPEEEASLTTGEVSEYRKRADLEKLGERSEHVITATKGTDNVGKSALREEPK TARSWDQDKGSTRTIQEITGSNAENTTAPTTLSEHSATYPQDGEIVAQEDDEDNGDAK PKGLSHTTSREEIGNSHHVKPTVSVMDLLQAACKTWEVTKHSRLSTWDAPGEARHLMN EVSSLQKVFSILQDNTNIREATIPRVLRADCGTTMVFLHSTVLNILAQLNLVDSDGLR TFWESSQGTFERATMSDLQNELAVHRRRFQQYLNDSREPPYSPTHTNKASQLPTLNKR DCEPQTSVIRPDQRESNPVNFENP EPUS_04989 MAPGKRKPRRRGPNETSELDPPDSSPTGPSAKKRRTNGTRVSQR QPRISPEPILPNDQSDISTGEGENVGDKDLIDSVISYLQVASHPVAVVSDHANEKIDE EKKVTAYAKIAGRDWTYFVLGQNVNIGRPPDKDADAPPIAPSSPLADMKDSLPIHIDL GPSKYVSRHHACVFYDADYPENGGWHLRVDGRNGVRVNHNLLKRGESHQLTSGDIMEI AGTQMMWVTPGDKAIIEPSFVEKAKMAASGGEDWAASQHAHPPAQSTNLPAGSLYPMT ANHQPLAPAPPYFKRAVTPPPTQKDGRGQRGVFDSRPPQSPMYNRGMMMESTQDIDYS QDAAKDLKPPFSYATMIAQAIFSNEEEKLTLSNIYAWISDKYAFYRHSNSGWQNSIRH NLSLNKAFQKVPRRTDEPGKGMKWQIAPEFRQEYLRKQAKKGFPSSSSAPTSPAAPGS SKGVAPEFRGANGQNLGYDSSYVSQFPAVNTNQQVHAMTPERRPRTNTQTTAPDPDLD TIDSPLPLRHNTVVSPPKQPHYSLPPTISMSHPQQSQHSPSRTASNNEAHPPSNPTLS SSYLDTPFHPNHTNIITPAPRKLQNIRLAPPSTLVAPSKFMPPDSSPAGPHGIFWRGL MSSGGPAFLGSTPAGPTVLDMSPVKHHQSGGGDGDVDDDRDVMSSSPPPMDGPGASPS KRISNSATRLGSGGIIGAGGVKREHEASMNTNINSDSVNGLGIASTSHSGHHIIGADH EYLDNDREDDDEGEIGGAGVGVGFDLAKGFQPIGSFNSLSSFSQPRQQQQQQQRRRRQ QSLQGQSQGFTQRQESHIGAGSGAAAAARASS EPUS_04990 MSPSESSAFLAPRRQTSLMCKRRPITSHDNDAYCDPDVPDALPQ IKLEYKRTKWKERPISQYLDCDQMETRAYLETTTNNPFMAHIQTTPPRRSPSLHLCSF KQGLQSLGRRMSVSLRPRCGRNKVNASNVSHAATMSSDPSPLHTLQGKEKPSKWFRSH SAVRRRPSLPVFNLYPEVDPPSYSFTPPLPVPTRGPPLLPFDMHTGAAARAAAAAQNE ALHYYQKQLPGFHPEMPRLSDLKLTRDSESGIGIDVQDRRESVHSGVDVIRLDPARVL PLEIIEQIFCYLDPQTLLRAERVSREWRYKAKSRHVWRYVFHREYNTAGWKHYPARHC PQSAKGVGKGVSDQDWQKMYVVRRKIDQRWAQGEAAAIYLNGHKDSVYCVQFDEHKII TGSRDRTIRVWDTHSFQCIRKIGPPSQASRSASISDTASLSPPGAFHILNTSSMDPSG GFAPHDYHDASILCLQFDEDIMVTGSSDHTAIIWSIRENYKPLCRLRGHKAGVLDVCI DEQHIVTCSKDTTICVWDRHSGELIKKLTGHRGPVNAVQMRGNLLASASGDGMAKLWN LTDGLCVKEFSSKDRGLACVEFSEDGRSILAGGNDQVIYEYDTSTGDLVRELIGHKGL VRSLHLDSSNNRVVSGSYDMSVKVWDSRRGRDTGDGGLKINFQGWTTSWMLAAKSDYR KIVCTSQDGRVVIMDFGHGLDGVEMLEA EPUS_04991 MVDIPIPYQAFFLWIEPAATLVGAFYAWSMPETYLQLTDKGSTP GLLGLPTATHIALRQLGNLYLAFALSEALVLRSTADLRVWRHFLLVLLIADFGHLYTC LPLGTGVYYDVLKWNAIDWGNLGFVYCGASIRISFLAGVGLDSKKSKLRSRSRSKKTI DARPDVNDTIVVDPPKEEPKTPKSKRGRKKKT EPUS_04992 MSKNEADARLREIVLDPIGASLKATNATDDSRAFQDPVKEDIYS LYDARTLDVWENHNGAATRLEEHPLSRTLPARLFEPDSPRASRTEKLVFRLLVTRPAM DANQEAWHDDLSEGGKKQINYLPFAPEAVQELITKYYLPEHWMYLRMQAREVGNFHRE TSWDFSKKEPIAIRLGRYKRVQLNANGAQNHANTIAAGMIIHFPFVLRPARQLHFLQQ EAQDKKGRAVDYRRQYPGKWRNEYYPHRDDPFIWSFAMSHNLQDGKTRGILDGLTDAG LRNLRDQLSPPDKQGWYEHPLDLPTILLKIYSRHTQWEINRLADDVASFEDAAKKEKY KEIDQFDDITTQLAYLERSLNFEQNLTQSLLDTLQYLEDKIFPKALEVGSTSHTTFIQ RTNPQVQEKLTNIASMIQNNLHTCTYFQTRTKDALEYINALMNRDDAEFNQQSVEYQN MGMKSQLKDAQANKTISIVTMTFLPATAVAAICSMGVFDWQQPDKVHVSRHFWIFWVV AITLTALVLGIFLIWKRRLHVRARQLNRDKEEEKAKEAEDSDNDSVIPDEPKYGASKQ QQDSKTKRQHIRPVAEEKQSQIYQDDEREEQVRSSRRRSRHRSHSRRSRTTSRNGVAR PGFGRTASGLSFRGESEIV EPUS_04993 MGLAYNVYLDSTKIFGCKNCKTHLANYDDIISRNFRGQHGKAFL FSSVVNIKAAEAMERNMTTGRHVVRDIICRQCNETVGWKYDKAYEANEKYKEGKFILE AELLQVVY EPUS_04994 MRAVVFKGPYKVELEERPIPQIQEPTDVIVKVKYTALCGSELHV FRGHQPSPTDIIMGHEFTGTVCETGSSVSTVKNGDMIVSPFTVSCGKCFYCEAGFSSR CEKSQVYGSTILSGGQAEYVRIPLADSTVVKAPEGIDDVMLCLMADIWPTGYFAAANA FKGIEAEKVGKSTVVVIGCGPVGLCALINALDYKPKHLLAVDSVQSRLDLAKSLGAEP WNFQTDREGLDRRVKELTNGRGADTVIEVVGLSAALQTAFELLRPWGNISSVGVHNGE IPWTGNQAYGKNLRVQMGRCPVRSIFPQALEKLQQKQHLLDFMADKIMPLTQAVDGYE IFNNMKAQKVVFEADK EPUS_04995 MAAADTQHAPDHGEDSGLAESMNRMNVGDHEDAPQKTEEEYAES QLTLRAIVSSKEAGVIIGKQGKNVADLRDETGVKAGVSKVVTGVHDRVLSVTGALQGT AKAYSIVAKGLLEGAPQMGMGGIISNNGTHPIRLLISHNQMGTIIGRQGLKIKHIQDV SGVRMVAQKEMLPQSTERIVEVQGTPEGIEKAIWEIGKCLIDDWQRGTGTVLYNPAVR AQAGTGPMNNAVGGVPSQNAGGGGYSGSGGRSYNRTGNGADFSEARGFNRNASDAAAR GSGIPMVTEDGEEVQTQNISIPSDMVGCIIGRGGTKISEIRKSSGARISIAKAPHDET GERMFTIMGSASANEKALYLLYENLEAEKMRRSQQPQE EPUS_04996 MSSPATLLRPPIPGARSQSGSRTPRLTLGIPPSPSGKPVAGEGQ PPVPELPQIRPSSRPTAPKLSLATHGGSGVPQEPRPSSRPTAPKLSLATPMGSYGAPQ EIGSNLRPQVQPLNISAVTGSSDASNHSRSGSFTVGDGRASRPESASSSTYSALSFAM GLRQGQMGTPDPSSAISSVYSEAGGGTSMEREGSMNGLLVDLEQLSIEKGRPLDVDDL DDEGWRAASEQDKIMELGSLGEGAGGAVTRCILKGGKTVFALKIITTDPNPDVKKQIL RELNFNKNCASAHICQYYGAFMDKSSSTISIAMEFCEGGSLDSVYKEVKKLGGRTGEK VLGKVAEGVLHGLTYLNSRKIIHRDIKPSNILLCRDGQVKLCDFGVSGEFGTKGDANT FIGTSYYMAPERIQGQSYTITSDVWSLGVTLLEVAQHRFPFPADGTERQPRAGLIDLL TYIVRQPIPKLKDEPDHGIKWSESFKYFIECCLEKEPPRRATPWRMLEHPWMVEMKSK KVSMSTFLRQVWDWKD EPUS_04997 MMHHCSSPTIAFLTFLLPLLPQTAQSLQWRGPHATPTQFLPDAD GWTPKPTAAPPSQHVVAAAMGNTNLELKLFRRQTGGLSKLPNTCGYVDGNGAYAFTCS GYGYVCAYNTRENAFGCCSGTSVASDGRIYFTDSCYIDTMTTGCYASTEADLCTGLCS STAAVCLQSTAPICRTVLQFGTDAFDPATYTQYDCIPLGVSTRISLQNLFSVSISVEP DPLFDPSYTWDGTTFIPTSATTTRSTSVPVTGSGSSTVTPVPTTPGPVRPPRNNTGAI AGGVVGGLALLGLVAGGAIWGLMRRKQKARRQASAVNEVSHVTYVHDAK EPUS_04998 MAMDGGVSAFGSIFNASWKIATVVYELKAVGEQTRDLLDTTSQV SSTLQHAQVLRRQRSGLLNSTEKKWIDDVLQASERAVGNVAALIEPARVDMQTNFSKI KLLTRSQFILRDSPKVQVQLGRLGIAAQGLNTAMGVLCHRDGSVSSVKTPTEGGALKK ADSGASENELKPPPSYEVVELLDRRRESLLKRRASKLPMNNDEEMNKAAMSDGDPISD PMVNVNVTEVQPTPMTSPQPDHDKYAGSDGLQVVENQWSQPIPGADGMQVVENQWSKP GTGADGLQVVDNYPSRPDPSSRSPPVSLYSSPSTTSLPPAYYQHPVSSSRSPSCQTLN PNTNYFPYTPPPPPPPPPNSWQQQTVYNHPPPLSTSPSVSTLRSQYRPPGPPSPQPPY SFNAPQPNAQIHNANYNPNIYNLPPPASITTSPMSYHPSPNLTACSSDPNPTSNLNLY PRPLSRSSIQTEELSTITPQQHQHQQAQQQTAPRQRGRARGRAWLEHQLER EPUS_04999 MVAQTPSGSGAQPTVPGVQIPSGQGPPAATPSVVAPSAIPTPPV VQAPADSAPSPEASSSTSQPAVSNSNGSGAGSNPASSTASVSASPAPVAGNSGTNAAA LAGAAVGAAVGAAILTFLITFMFLRKKNQNHRQHRKHRSGSGGLSTYTEKALPKDPSQ GGDTVSAVSTLQKHLPQSADDTTIRSSVKTLFDQVELHVENFYRDVAVQITETLQAQL LRVDSPHLTESIVDLLPRARSQTALIKHCLLSYIVASISVDDNSVTSLLPTEYATLPH VVRAAGQKKPGFDQALSQWRVLSAYLRPPPKNDQSYLSQRDANVSAAAAAFTNAFSAW ASSAYSDSVRRQNVAEIFKSAADVGILIFSQPSSFTYQWGTSQDNRGGVVVVTPAFLK VSDENARVLERPQVMIQMVTQSI EPUS_05000 MAPDWPVPKTIQHWPGKFGSELVNKVSTAIAYDDRTGYPTTWGF LCDPENHELRIEELFKLYLDPAHQDTFRQAPTIDEARQWFKDYLHCIYEQIKRVFSDS MPRWQNKNIEFLFSVPTTWKNPAMIAATERLIKDAGFGEKSNHIVRISLTEAEAAAVY ASKSSYEKGDVFLVCDAGGGTTDLNVLKLSSSGRGKTELEPLSWVEGQSIGSTLIDFR IAKLIAERLETIRHHIQGEPRDVAEKMMRGRFETFKCSFGVEASNVPTLPLPVPGLAP GQDFPQARVADSKMIITKEELQRIFDEQIEKMYRLIDEQLKRLQMSHARETISYLVLS GGLGSSPYVRKCLKARYESGAGGFFPNAQDMTVLVAEEPQLAVVHGLVMDRIQEISQN IVVYSRRCCRTSYGIVCRQVYDASKHQGEDVVIDPRDKQKWAERQIHWFIEQGHVIHV KEGIKHHYRLKIDLGKEQVPWKTQIVTSTLPAGQLPRSMKHSGVKTLCDVESVLDPAD MKRKNRHWYNFGPEYNRAEFDVKVLIGAADVRFQLWGKNGRKSKDHSEIAVKWEPPPQ ITATPGADNSFGMYRA EPUS_05001 MSERKKLQHKDYTIAWISALPHELSAAQCMLDEEHEALEVADFD ENTCTLGRIGKHNVVMAVLGAGAYGKVSAAVRADQIKMTFPNIRFGLMVGVGGGVPDE DHDVRLGDVVVSQPSNGFGGVIQYDLGKTGPGGHLTRNNQLNQPPDVILTALAALKAK HIRKRKLEDLMGYHKKMVEEYDLKESEYQGAENDILYDAEYLHVKGQPTCARCDKAHA IERDPRPSTVPGIHYGNIASGDQVMKDGITRDRISNELGGVLCFEMEGAGLMNSFRSL NIRGICDYCDSHKNKRWQSYAAAIAAAYAKDLLSVVPANKVVDEPTLSGSGAATTTGE ASEANHTSSVAPPKQSYGSRVWSGNVNSGGGPIIQGDQNSGHDINFSWNNR EPUS_05002 MEGQGENEELYPIAVLIDELKHDEVLLRLNAIHRLSTIALALGP ERTRDELIPFLDDSVEDEDEVLTALSEELGNFVEYVGGPEYGHVLLSPLENLAAIEEP LVRDKAVESLNKICDQLSSTQVEEYFIPLIVRLSKADWFTSKISATGLYNVPYKKASP NQQQGLRSQFGHLVHDETPMVRRQAANNLAKFVKEVTVSIVIDEMIPLFQHLAGDDQD SVRLLTVDILISIAEEIPKEQQSSHGVLLTALRSLFEDKSWRVRYMVADRFERIAKAV DEEVVNRDLVPAFVKLLKDSEAEVRTAITGQIPGFCGLLDRETLLNEIMTSIEDLVSD PSQHVRAALGTQISGLAPILGKDETIAHLLPMFLQMLKDEFPDVRLHIISKLELVNNV IGIDLLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVKFFDEQLSTLCMSWLG DTVFSIREAATQNLKKLTEVFGVQWANEAIVPKVMVMGQHPNYLYRMTTCFAISTLAP VLTMDIIKDSILPMLDRLVADPIPNIRFNVAKSYAVLISTLSRLPAEGSLTDLEKSGK PFNPSPEGQDLVQSQIMPNLQKLQQDEDVDVRYFATTAAGSTGERMETSP EPUS_05003 MPTIAVDKAALFEELGKEYTTQEFDELCFEFGIELDEDTSTQDR PVVNGKQEAPQLKIEIPANRYDMLCFEGISLMLKIFQGQTESPNYRLVAPPDGKVQTI TVKEETSRIRPYISGAILRNINLTQARYESFIALQDKLHQNLARQRTLCAIGTHDLDT LQGPFTYEALPPTDINFVPLNQTKSMNGEELIQFYEKDKSLGRYLHIIRDSPVYPVIY DSQRTVCSLPPVINGNHSKISASTRNVFIEITALDKTKVEIVNNILVAMFSRYTDEPF TVEPVKIVSPHNSQTRQVPDLTPRATQAELQYINECCGLELSPTDICKMLTRMSYHAT PSKTDPNLIDVDVPPTRADVLHQADIMEDVAIAYGFNELPRSFPSKSGTVAQPLALNK LSDIVRLEAAMAGWTEVLPLILCSHDENFGWLNRKDDGTTAVRLANPKTAEYQVIRTS LLPGLLKTIRENKKHSIPIKIFEVSDVAFKAPDLERKSRNERHFAAAWYGKTSGFEIV HGLLDRIMLMLKTAFRTQEEGLQDQAMEYWIEEDADNPTFFEGHAAKIHVKIADKESV VGVFGILHPTVLEKFELKYPISALEVNVEVFL EPUS_05004 MATAQGAISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKEFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKSGPQKSLPDSVTIIEPKE EQPVVQPMSQDYGAKALAAQQAAQDARAEEGGEEGAAPEEGGYAQE EPUS_05005 MATMVDMVSEPSTDPDAQATVTDFLDYTEYLPSDIIRSLTLLRG LDETFFNNAHAVHEHTRQYGALPKLPAASRPDPQTLRSSISTHLDQALSARQASHAEA IRLFDVADRHHNRLSSIVNKLRALPKPPSRDPTPAPASPETKRSRSGRKLDDGTSTQR LTLNPPRVPGIPPHLVEKSRNRRVTIPGDVLPPFNPDSPIASTEQSDWETEPGSPTRP APSKPRKRPASPNSKKIKIPKVPRMPRETTAPYKMPTPPPEDAKIGGEHKPWIRLTDW EMWKLRKKMKKNINWEPSEIMIQRELAEKGRGWDNYYKVKAEAQANGAEFADVDNLDK SRENGELVGKGDTPSVDVAAVRNRGMKLNEAKKLKREALAREQAALAAAEAEAAARRL GDIGSAFKNLFSPLGSALANLNGSIGSPIANGTKSIGKKPSRKRKLDETNTALSPSAE TSTSPKKKPKPGPKPTPLPKPPQLAGHPSLSSQRPRHPLFLALHHATPRGIHSNPILS PQQSLLAHRAAHPHPPTSRPLHLPIQHPKRTKREAPGQITQSSADGGAAVSISKRKNK PGPKPRGTSTSNTSAAAAAGSSSTTDHNKSDAPQIRVDIDGNKEVIDPDEERFCVCGD VSWGEMICCEMDEKCEDGQWFHLGCVGMVELPARTVKWYSPACRKKYKKGVDTNGLVG RMVK EPUS_05006 MPLLWPARCRGEWSLRSNEAPHFSTQSSLNSPRTRRDDWYRDGT FSVLPASIVSVLEAQVARFRQDLETALSLIPTAKDAYRQSSLRAEDSFKYAWCIVNTR CLYFDPSPPKSGHRSPQNQLEIPVLADDVESPAQRNRFQESNQYMVLCPLIDLFNHTS NPTSACKVTHNSSGFTITSPEISTGAKGEEEELFVSYGPHSNDFLFVEYGFLLRGTEN THDAVSLDSAILPALNTKQKRRLDTKGYSGEYTLLSPQANGGEAAVCWRTEVVAKIGL LSAQQWEGFVDGLVDEDDLGDEVKEKARTTIRTWVETTRQHAERSVSGLEVLAKDPNG ILKLFADDFENPEQAQVMTMSTDQRGDNNKITEREARIARRRYDLVLERWRQILHICD AYLHQDQI EPUS_05007 MSAENKPELKLYYLRPGFELIEPHEVEKRQEALSSIKPEERPLF FNYTWKLSPTLAVKHGERVTFREAQNMFFIEQNTTIPVPKVYAVYSHPMPNRLDYTED DEKTYYEHTYIFMDLLPGATVEKSWDQWDQATRLNVQNELKTYVEELRRLPGGHYIGS LNHGPVTDWLLENKADNYGPFNSEEEFNLELRKAFFKVHPEDCVVADRLDGILAAHKH KIKFTHNDLKPSNILIKDGHISGIIDWGLAGWYPDYWEYGSATRIRTSRQDWNIILDR AIGRPHCEVHMLDQLVDMLLF EPUS_05008 MSKSESGLSTISGSRTNERLKGSDVGTRAEYEDGNHSRATTYQE LRRRTFFQHSNRARRPSLQRRNAVYEVPWKPVTTIVETMGNAEDAKLDDRDPSRLAPT DIPSLGLQYLTVPAVPSFPSLLNPTVPTVPSYPFHVPSSQSVLSSPPSSPVPSDISFT PTASPTPNPAASPTASPIMNSSGGSTSLGSSSNDDVSATSDSTRPTSPVSTINVLYSN TTLITSTRSSETANASSITPSDAAAVSTSTSDSFQQSTLRSTASGAAGAAGAAATLTA TATAPVSIGTSGTSSNSDESLDKPVSPNTPQVVGGVVGGVAGVAVILLVILYFLRRYR KHLQDRGELLEPDAPRRDAVNTMSMRSSHTPLVAAVAASFKKMRPGSSNTTATADTGT SDRGFQRVAGRKIDPVLVSGGDGYGGNYGAFEKEAALNKETGAPSSSLPEAQPLAGRS FYRDNADFDSRQNSRTSTPLGGQARFSSASHGLANDQDDDYYRGPSPDIIAVMRPSPA RSPVTTSAGPYPLAPHSSGPALPGDAPPTPTLPSRYITPDGVGRSLASQDSSRGSRFT ESV EPUS_05009 MDGKMPVTHSAETTSRSPDMNHTRPCANLEEKMGCDVCDEQYPT RAKQAVGAGHYSGEQSMVEAGEDINSLLLSIERSRTTIQSLLQGNEDRLMKRWRGRTG KKRHAFITKHFPHAGTDELWNKLVIGRIPPQSEQLRALKETIMRPILTTNKLLKSWTD LLALIHNRTAYGPREWLPLDVQRIMVIWNVGISELKYVEGCVVIKGPDFGQIRAWDQH LVHRYEACAPHLARAALDMYDKVLTDMVSAVQELTVDTEGPQAYASEEWNALVAKDFK VGDNDADIGTFRFGDGFSSAPCPNLTSVLELIRTQTDAEEDELFASETNLAYFLQQVQ FMKDGANQNGLPDEWCSAAFVIAETLHNAFLWKMFYEIFMDLEVANQAHLNHASVEAD LPEAYYDACRAAHYATNFMHLRAAGLVAFFYLRSPEFKNKPRYTRRDRRRSLSRDPVF QVLHYDTEADLYDDDQLTWCIEQLSKYKHAVPLCLPQVHEILVKDKKRKDQLNPLIPM FMNQLTVIWTAQNYLHMHFPCGDCSNLENSKDLDNKTNIQEIRAARIVWQRESSPKLR NGSYIDKRASNLKVVHHTGRQDAAWLARADAMQREFVLVVKYLLWQSMMDMAQAGCPH RCIDVFPTFWKVKESHLQHPISTNLRARITGGEEKKSYEAESETFVALPNEPEEKFQK DQHQKSKTGQAEKQVEQLPNTAIQLPLTAPDQDEQAASSERLLVSRRAYRIAGQLFSD DPPEDKLTLEDICCLMGTQGGAGFKGTSLHGSGYTFRRSGGPAGLVKGVSIHEPHGST YCFSRLQVKQIATRFRRNFGWTMETFVERSNADKVASTT EPUS_05010 MPLFSTISSRAWASGYESKATYFSQYETCLYQGILPHKTLTGEA LSFRELKEPRHAGVKTVAWLALINEQQTLLSSGVELARDLFLDQLALGGANRVLLDFA SSDWTHNGSNFSDPISSEERAREEEETFQRPYDLNKARADPDSEKIGLDQAETSAWPL PSPLSSPERYTVSTIFYRTVSHLFHLEEQRRLGSAAFAGLGRLVSIIGLEQYPTGGSL YTILSSSPVLRPMNERLSSFVRTTIHLPMNDMSFNGWVIA EPUS_09214 MADLRPLLPPFSELSALCKQRLTPLQSHGQPSSRGKSRSPPDNN PDPDQPITHAPGEPSISLTQTAVCDFLTSELSTPLLDELYDGLWLVARKCGKSIDPLH RQKVKAREIVATEDAHLHLVWHYNKIYVKPLPLCLLNYDFWTTYLPLQVASTSSKKGT FAIRPVPPSPVFDRAAAVGFVRSYALLVRYHVDFILARESHLFPAELDWVKWSEFVAH FRNIEDEDVAKRYHYGQLRLSRLDWAVRLFRPSSATTWWFYEIPHWSTAMYVERAVAP LLFGFASLSLVLSSMQVLLSVPDEELGFKHLDASSLVDMRRAFWLFSVMMLLLSGLVW ILLFVIPFSVLIWQLAWGFRNRGKPRAKALGRSG EPUS_09215 MWTALSLCILIASAAVLYDQLTKRIPSRLRAEEAPRSAFGLVRA DKLSSHASAHGIDIIFVHGLGSNPDTTWRPRDGQCWVSDFLPQDIPASFHKDIRIFFY NYDSYWKRDAVQARLWSLGKSLLDRITSQIRGTAEEQTRHLVFVGHSYGGLVIKRALI QASTNPSFADIADYTRTVFFLGTPHRGSSFSTWGSIAARALQPLGSNPSLLQEVAYDS LPLRDLHEEFENAVRDKLRVINFYEQRKTRVLKVWFIQWEEWCVPEQSATYSKVDNIG LPVDHYGLNKFGSRDDNYGVIFQKLLETIRPIASQKRRRIYSVPIDTVESYTERHGLS AAVDEKLRVPHQKASVPHALTIYGLGGTGKTQLALKYVEDHQDDYNPILWIDAKDEDS VRSSFERCASELQLSVDRTQTQGSSLVDSPTVQAVLRWLYSRKDTNEAWLVIIDNADD VSWGIKKVLPKGQRGSVIITSQDSQSQKLVDGGCEEVRVDIMELLEARMLLLRHLRLD LEPVPQDIQEDCDKIAERLGYLALAIDLAGAYIGNEPDQRQAPRQYLADYARHRDDLL QSEHNRGLSASDKTVWTVWDTTLEKIERRHADLRPGWLLAFLARFSDGVVQDELFRLA SLSISSVTREMYDETAELPEWLAKVLAFDGKEWDDFCYRQSRNVLIRYNLLQRTHGDW DGVRMHGLVQWRATKFEQAMPWDRWHLMATTAACAQLSEEMARPQFRRHMVTHIPDLS WECLTRLGIDEARMPFVWGTVGSAYFDEGRWKEAEELEVQVMETRKRMLGEEHPDTLS SMANLASTYRNQGRWKEAEELEVRVMEMSVRVLGEEHPSTLTSMANLASTYRNQGRWK EAEELEVRVMEMSVRVLGEEHPSTLNSMANLASTYRDQGRWKEAEELEVQVMETRKRM LGEEHPSTLISMANLAHTWTSQNRNEEAILMMEKCFELHNLASTYWNQGRWKEAEELE VQVMETRKRMLGEEHPSTLISMANLAHTWTSQNRNEEAILMMEKCFELQRHILGPDHP DTVSSREALNEWQRGDHEIGL EPUS_08405 MTVEHVLLNCPKWSTEREELIHPLCTTDIKEILTSKKGSKAAIR MIQQQRLQGSSGNQEEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRME EEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDRMEEEDR MEEEDRMEEEDRMEEEDRMEEEDRMEEEDRTEEEGGGRREMKKGGLYE EPUS_08406 MAEDVAELGLEAVDPILNHREVWQNAKNKAKTGLDVLRSPESPR RRFESDDEQTPHLDKDKRGSKYEKSNRRHSQYKKDSRREDDAESDHQEKNALSDRRQR HRSGAGRPRIERRASSLDRGDFEKDRGRRRRYSQREDRAAHEENADSRRASRRIEGKD ALAAGVAGIAAIYIASGFYKDRKSRDTQRGRDAVP EPUS_08407 MPLHIKKPDATELNNRFILVQIIDHGKGLVEDFRRVDISELRKQ LVNVQDHKFEPETQIRGFLRAFRHTAVFRQAFEELNDRTKSQLEAFMEGKSAEDVVGH AKFFYPAPSPAAKHHFRLLDFLKSLQERFDPGEETDKTALAKAQGLPIIYEDKARAKI MEVCSSHPFDNWGLSVQNVSLYTFVLTTVLGLQNLVLFSKVINLRVTNIPGPSNGDPF PASFKNNELKTIQLDPMVYRLMPARRWCALPMDVILVEVTFGGVNGPVCHGAGCHHKT ISDQVRMIEYVDPNGKVQVTTNTTHLRAAAGCFGLQGIITHITLELDYILYAIMAPRK PDICA EPUS_08408 MASLPPTFSEDKEPEIKTYLPETLHFHRGIQIMRVRDIEFQIPI PSSKNDPEKPDWSIVRRAWWDVIKLVYSYSSPEAGDPSKCETARRLTLELRIMADSDM ILAPQRANKHGTASIEVLSIPDSVTDGEWIDFIRRVTDLWMSYDDADGKKLNVRPQWA KDGWTSHVMGGMPAKEYLKKVAYEEAIPEFTEMLKDIGRLYRKARH EPUS_08409 MATHQRNASSTSEDVPHSRPVAIAGVPGDVVNRDRLSTIGMQNH NGLGTQMPHRSPTEQNQVPYGTTGSPHNMTNPHRIENRALDGIGAQFKGHQPLQDGQQ LMDHITRDAGQHHGHSVSLPRSTMSPGVVQDPRYSNSGPLTRFLLETSTQQPPFLVDR SNGQPSSRGGYWHPSYPSKK EPUS_08410 MPPGLSFERKWEFLKPHIERLYVHDKYKLAKVIEILQAQFGFDA TEAQYKYQIKKWRLRKNIPTSKKAAMNQKYQDLSTTGMAAVIKYKGQEVDPRKLRRQA KMDTRRALLGGGAQATRSDDIAFLSPLTSSVAKLFMTWNIPYKPSKTLMGQIIDHHSP LGQSMSTPSDVSVATPYANDVASPNNALSPLSSALRKKRSVERAQLFLEGKYQQLLAS MSTEEQVTMSTWLYQFWVFSFKTAKYWGRGPRDWFADLLAFGRDGADIFPVPASPHTP SDRVGQSPQGQPSVASGLNNIGVGSKPSLLCRWAIHVKPQSYQELPDPPLLEHRAMDP ADPETYQPWPEQWMASSYSEKLRNALESNDFSNIPTDQLPAAIPEALKMAKRDPSELS AQSLGFAIMSGNEFMVEKLLESYSAPHLEIAFREIDVFHLATSYLKGSTICCDLLNTI SMFRPLRQHYTNEQGHTVLDNLMITILKGHTSCTPGQVDNAFKGQVRFSGEEVDICGR WDADSDCIRELLAKGIHRIPFQWKHKFCHTSVQTICHSIVTIWGATHAPDINTHSGLF IKRCLKSHCGLKMQMTPLHTLVLIMWQLSSYGCEGEDLFGAVAVLLCLLRNGSDPCLQ STLSIMSLLGNSPSENSNNEADPCEHKPLDPLELANAIYSLKCTEWTEKVRLGWQTFS EILLYSQQILLSREEDEWAPLEEGDADLYFCDYYKHDDHKIFNANTGRLGKLWSAINT ELVTYRRLHVGDPWLSANINLEGVAETNKEMGDVEDSGITEESPQDEDMYGVEQTLHN GEWQDDMDLRW EPUS_08411 MELLPFELQDSILRDLGSITDIKATRLLNRHFHELATPHLFCRI FLTVQTDSFERLNQICSSTLSQHVRCLHYNIWEAPLILEREWNQGLARLAWKGKHIQL GRLPKYQEYHDSFSSQVHHNEHGLLVKAFRRLPALQSLEISEREPSGLPLEAGQHMDL AHVATAVSCSRDDIPSDRASAMSGEVSRALTVILAHGEASNASLDSLSLQGFRWPWLD MRDVRMWHGESILNAALKNLRWLRISVAESGSGANGILADIPDPAAAVEVWKGLVGRA EGLERIEVSVE EPUS_08412 MTRRELAASTEDVESQSRDAEVSDGFSELSSTLPTYEEVVLDTP ALRSEDSKGDNGKMVSQREGSPAVPEEEQPLLTGGSEDGDGSPKQEGQTKSTLKRYFW IMAVVVLWNIAARSFHLFPASGKHTPPPSEPESADPPWPSPREIRVHETTNAIHGSYP LYDLLELTTTTGQIYATIEPKAGNKTAVVNIRSKTGAIYVRFAKSAFTNADEKGVLER VYRTQMDTTTGQIHGEVFHGGAGGETVLTTKTGMLDLRVIPIGDQASRLETTTVTGLN RVTVEAPMQGTTLKNLTAIHRTMSTGQLDINYPRMWEGRLHAWCGGTGHVDVRGEGLN MQGGGKNVYAWRGEDAVKNGRIIEVVSEGTGMVRFKA EPUS_08413 MDPPPPPPPPPPHGSNPNARSGGLPPGNYDIFVIPPHSSGSGFL YLPSLQTHRNSFLAGVACTATAFVVWSVVVPVVKEWFNTLVNGGGPGVLVLVPWRTYA WCDTWGWCGRGLPGPAPASGSTHAPPNPSAGAPPPPPPPHPPPPPRSSWHRPNPQTAG ASSAAKNSWEKAREETRKKEEERKRAEEAKRRREEAEKERQRQRAKDARERLEREKKR KAEETEKAEQARKEQEAAAAVAAAKAKEKEEEREREREKEREREKEKEREREREKERE REKEREREREKEKEREREKEREKQREREKERQRQREKEREKPAPDKPPYPTARTETED DAYSFRPYDRPKRHVPKAGSTASTYSESSYAPSQSTARTTPPPSHRGPYSTKDPDKII IKAVYSFNNTFQRTPVAQLISGQGNVTDGLILRITTEGLFIDDDIRDVPQREWDVKAW TMKMAESGEMSGLHVLRASIRDQEGKKYVFILSQTEGWKVAVGLQRLRRGTQVRALGV SGMPANETKALIENLGWA EPUS_08414 MSSTRSGSTDTDQDTLVESKQWRKLLPYLFSITLPRIKVRQSVK EDGTTKDTNLLLITRSDGIHKIGYLPNVDKDIRLPDGSEARKAYLCKLGSILAAKAGI SSGGNKIYDGFWDAVPEVLFGYEIFERVRGKVARNKASDLYVVGHPMESGSEALFRTP EEFAQHLLWLAEGDKKKDCLCVLCTKERKRKTKHATSENTEEVVESWRRDCNDRAQSY LSSTSLGGFWGDLPELSE EPUS_08415 MSSPPPIDPYLALGISKDADLSTIRSAHRKLVLKFHPDRIKDEA ERVKGREEFQKVQQAYELLSDPAKRSRYDDKVRLAELRKEALGRELPVRSSTYPTRPS QFSAGGEYRGGDYYEERVPRGAAFFDEEDRFREEPSRASARKAENYERKPSGGYVERK STGWKAGGIPIEIALKLQKQAALAKEKIKEKDVRAATAKSRDQERKRDASDKHNSRRA YVEDDSNSDTDTETYVSVNRKAAKARSASSTPRRSRPEHPRRKESKYSDDDDDDDWSR DKHQAWHASARDYIQKAAPDRPRQTHRQDSSHSYFEPRDDRPYARRSGSDREDRRNER DRPKVSSSTRPSYPEVEVPRDVRSRKMPAMPTATSAPANIKIPEDRRDVPQPHRSTTT QAVRDHRNAVPTMPRSQTMPSGASARRSDNAPSRGSNLKHETHDSGYGSSSPATPDTI GTSPPKYASAIRYQIVDEAEEFSTRGHRTIRVDPEESRRRAKSPPVEPQRPTLSMSGK RPSRAATAYTPHSPVDVPPARPSPSRHESSRMSAQPREPPRLARGVSSQRGVQNLYRE ISPNGQESSYKPRVSSEKVASARTRTQDGTHSPLYSRAPRDAGRDDDHYPGSSYRSEL RNPGMGTRRPSVY EPUS_08416 MSLNYLTVLAVLGLTTQAACQNGTYTPPTNCDLSAIRDNANATG VAPFPGVMVDGPNGEIRSDPSSNWSISSTVTDHGDPSGRGTSLRLWLDTSATVGTNES TLPYDTCIIQLGGRPTDRDGCISAGCSEALSEYYRNSARSIARQIGQDGGPTDRFDAC HNLVNLQAPDECEDSSSDGSWGSAFSIAPSSLNPDSALNCTPSRPSVPINLGGPGYAP NDTAFAVYDAMLARSIPFVLAFWSNSTTITANGGPAPATPWADSRVGCVRPDTMRVGS RALTVTSGVGMVGGPGRRSFAIRAVASLALVLITSRCLF EPUS_08417 MLLLDENQEVLTLVTNSLKNDLNHSNQYVVGLALCTLGNIASVE MSRDLFPEIENLLSTANPYIRRKAALCAMRIARKVPDLQEHFIEKAKVLLSDRNHGVL LCGLTLVTSMCEADELEGGEEGVVEMFRPVVPHLVRTLKGLTSSGYAPEHDVSGITDP FLQVKILRLFRVLGRGDATTSEQINDILAQVATNTEASKNVGNSILYEAVLTILEIEA DSGLRVLGINILGKFLTNKDNNIRYVALNTLVKVVTVEPNAVQRHRNTILECLRDPDI SIRRRALDLSFTLIREDNVRVLIRELLAFLEVADNEFKPVMTTQIGIAADRYAPNKRW HIDTMLRVVKLAGNYVKEQILSSFVRLIATSPDLQTYSVQKLYAALKADITQEALILA GTWVIGEYGDALLRGGSYEEEELVREVKESDIVDLYTTILNSTYANQVVTEYIITSAM KLTTRISDPAQIDRIRRLMSQRTADLNIEIQQRAVEYGNLFGYDDIRRGVLEKMPPPE IREEQRVLGQATTSAKDKRSSRALKDKSKKLPKPPDQDLLLDLMGGSDVPSSDLKSST NGSNNTADLLADILGGGPTTSPPRKAAGAAGRQSNAESIMDLFGGGGAPANGTQSSQN SAPQQPSSSSMDLFSGTATSPPPTQSQSPAPPTAQPVYNKNNLVVTIQVHRNPGASSS AQLLARFRNASNFERLSGVGLQAAVPKSQKLVLQAINRSMLEGGEEATQAMRVAGVSG NLPPKLRLRLKISYAKDDGSPAVTEQVDWSES EPUS_08418 MYPITHSEIPAASSTRPINMVPYRGEGSPERILRPRPVKPPIPS NLRAQEDYGFHLLPDPTVSGISSGRSTPLPPDAPPSEQSISSARKHARAQAKHRLFHT INYTPRVSHFDPSSDYRDFKGFFVLFWISLTIMVLTTFLRNIKDTGYPLRVQVWKLLS ANVIQLAFSDGAMVLSTAVTLPLHKIFRSSARALRWRNGGIIIQSVYQCFWLALWVKW PFTLQWTWTAQVFFILHTLVLLMKMHSYAFYNGHLSESEKRLKSLDKPSDASLADVVK YPSSPTRQHNSTANGSAEMVEQEEKLEKLEKLREDLASELTSPLGITTYPKNMTLWNY CDFVLCPTLCYELEYPRTPKIQWLELFYKTLAVFGCIFLLTLISEEYIMPVLAESAGR LQHVTKLLDKGLILAETISMLLFPFMITFLLVFLVIFEYLLGAFAEITCFADRHFYSD WWNSSDWLEFSREWNIPVHHFLRRHVYFSSKTYFSNSIAMLITFLVSAFGHELIMGCI TKKLRGYGFVAMMLQLPIVALQRSKLVRGSMPWLRGIKA EPUS_08419 MASEPFPSEFGSDSNRSDEERFPEVFRQGPMADRHKATRIVPMR VLVFGLPRTGTSSIKVALSRMDFNNIYHFRSVIHDPDDAQWWLRAGDAKWNRRGKFTK ENWDQLLGHCQAVCDLPSAAFADELIASYPEAKVVILNRDVDKWYISMTQTILKVASP NAWSVLRDLLDWRELGQVWKMLGQQMYNMFGSRPGGMSESNMKASFIEYHEHIRRIVP RERLLEFKVQDGYKPLCDFLGVPVPTTVIGEKEVEEPFPRVNEGAAFHDRVKALRRCQ NRRILKKIGKLVSAVVLVGVGLWYLRR EPUS_08420 MAVKAVIPFLVTMMLVTGVCNTLLTKLQDMQCVRNCDSKDKKQK QNFEQPVIQTVQMFIGEMGCWLVVLGFYLQQQFLARRSSRSGSHLYKPLPTDNTSEAI DDADDPRPLSSHSAIFDASPALKPLISNTTEDRKPLTGWKTVLLAIPACCDIAGTTLM NVGLLLVAASIYQMTRGALVLFVGLFSVLFLKRKLYLYHWFSLFIVVLGVAIVGLAGA LFTGDKHSASSTSNAEKKEMLVLLAREIAIQAAAPEVMRTITGILLIAFAQVFTATQF CVEEWILENYALEPIRVVGWEGIFGFCVTIFAQIVLHFSVGVSSKGQNGFFDAREGYH QVFNNRPIAITSVLIMISIGGFNFFGLSVTRSISATSRSIIDTCRTLFIWIVSLGLGW ESFKWLQVVGFALLVYGTFLFNDLVRPPIRALMPRGGEAERRRRLSEGGLLPEEPIEH M EPUS_08421 MPPRRAKSHSVSSDTPPTPRSPPPPPKFPTPVKTPSRRSPPSSP VTATYNAIVEPVRKSQVGAALRFPLVVILSFFLSSVLFSIVAEITAGDLAAISKHTES WVEITGLLAWKVVQLAVCWFEGFDAYDTIALTLLMATPHSLLLSLFYSIRPTTIVFTT IANIISITAPFLLMRRVSPTHAPHSAPKGTVRNRSILSDPWTTIATSLLATAIFAVLL ELSFATFLPVHLITHFTGIRDLTVTHLGAAGLPSLLLALIPAGYAAREFLFVSSTGVP PSAGEYTFDPATADLRQHVYHNTWGWYSSRQKELISRTALLGIMVVGETIIQTWGTIK GVEFWGATWYAAIWNIGVAVVGAVFDWVGEPSG EPUS_08422 MPEPMFQSPTASNPLISAPLLLRTYQDQEGECSRWSMSAASEDS DDESARPSFWPAMLPTIPPRSPLRSRLNRPNIPPALTPRFNTATAEPDLGYYFDPGLP TPTTATTTTSSPPSVRCLTTEPSASPASAPACHTTYASADPSFPQWLAATIGQQRHLH SRRLAAATSSATRFTERDHLASPVPPEVHAYKTSHQSKAQRHARSESSYDYTDYLEQT SSPALPPPSSLSLCNSSGWSCIARRTSRTSRTP EPUS_08423 MLLYHLQLNSSPSPDATSSLRDSGSPFPSRILEAYTHIFESSLA YIIAHEPQNAPFLPEARELQEICLTCSGNVTNTASAILQGGRNVQKDLRRKAQILSLD LPESFTRSPQIWLMYNTAPQAFKEAGTWLLKFFNGFHTLSDAKVFRTETHLFGITHCL LQEEDEIWILDAAFAPICLRPLPGGKYQFVGEVHMEGIMDGEALQSCDNPISITLE EPUS_08424 MALCGSRDARFRGDRARSYQMLYECRAGAYLGMEYGLSELITYF KELFEIIYSFPYPYDDLRQFWAETVKAILDDGAIDLLAKMWDLSTGGFNYKISESKLA PIITEISSRHELLDCLLPSAAFEDGAKEKSWLEEQFDECAKIHGSANATTIRYAERLG SLLVSSDDPIEASKQRLS EPUS_08425 MASLRDYFSISTNGTRAALYGIGGVGKTQCAVNYCHSVKGDVHV LWVLAHRVSALKASFNKIADTLGLLKSIDPVKSVHEWLQGCRNSLVVFDNVDNINIVQ PYLPREESHPFGKSQAVLLTTRDSAIVESETVPFGTEVNLFDTKDAIRLFMLNLNSWT PTQHDQIRTKMEDFTANSAVQRILNEKFGFTNITQLEKIAELTGRLPLAIVQCASYLR QYPTSYPDYIRKFQASTHKSRQRFLSHSPKGAQYSESIMTTWEISFEHIVQDLPGAGE LLTMFGFLDRIGISMDLTESALTGLRFWQEKCVITPAADLRAKFHFLDFVGDYIDNLG LLQSLSLISQDPKKRQISMHPLVHEWTHLRLQGSRCAEWLIAVIRVLYHRLPPLIYSA NDRSVPHQAEIVLCHTERCKELVGLYIEDILNCCPEVAMFFLESYLWYHGQDHLDVVE KLVARVREEDRNWVMKLLCGARLHQIVQDFQGSISGILDEVQYHRYSTSLTLLSKDNN TAPVGTRFVLTEATVTHRLGELLERDMRVPLHDIVHLDVHTRREQGTSSATTEAVTIS AAQIAARLKPQATAANKTVKLLRNQPGT EPUS_09390 MDNFRQSRDRILDQALDIPQWAPNVQQGGAFAVPNQAYFGGNTQ YNAYHEHNQPELDSDAFNTRDSGFGTGDPAQSNFPQYQLPPTMGGATQEPEWEPFGGG TMRSRLNDPGPSNIAGRFSDTAGDVGVSMPEGQPSGYAFRGEATLSQWYVEMGCREPV AEEIKYLALACGLREEIVRQWFRDKVASNANDGFSNGASGAMSSPIQEQLAGCCLPPT TNMIVPVIPQTGFVATAASQPFETGQRSIRSHLSSATKRTLGESSRIYENHQTDHSED VSQQVLTSPGSHATKKAKLGPSEAKPLQYQEGGRLTVIEKYLAKSPNRCHSSRQPKIA GQTYPCTSICGRSFSRPGCWEKHEELNQPQQFYICCLCRTQSTSEPFIHHRKDRLEYH MKGAHPDAESHLVNQSLVEYPPRFQERCGFCGFSFGSWKERCVHVRAHFDGKIDKRSV DDWQNPWVDPQEESSPPDERPDGPPDDDPNNPDSSSGDAGAGASNQDQGGGSGSGSGF GSSSGNGTGTGSSFSFGQSILSFGQSGGPSRPDIGALWSCCGTESCCLRHSDASGHKG DHEGRSPKLGTLFHRLDILGCGSHSVVEKVQHFPTGRTFARKTLRNPGKGTSTIQQFL AEVAIMKRLNHRHIIRFVVEYADRLSRHVVMSPVAEHNLKEFIEYPESLPHNRFLLKS IGCLASALAYLHSIRVRHKDIKPQNILVKDSTVLLSDFGISKTWSDTQSMSESISAMT PMYAAPEVAAHERHDTKADVFSLGLVFLEIIAVTHEGSVNRLRSYLSSSQDYSMTRNV DTSKKSSRKPKVKPYYANIRPILNWIISLRSHCVAGILVLLDLCHSMVQRDPIARPTA FGLTQSVPSAFMCDWCLRGIRRERDSVKIQFQIALPDPFTRSKSSRFPPEFVLPKAVS MLAHDFSIHSKAMVRMCDISLVKLFAGAIYPCNSNSPSDVGYIIGRRPLFFGTLLQRK QDAKRVFDMWSSFEESARKRNQDPPSAQNPSQSKLSLIGSLDPDGDLSEFGADLDHQA WDWISSQDQLMIDLRGMLLPEDPSSCKIRRNSDLGPILGFGNLSFIDTTNAEFRWWDI MGQPGQDEATRDPQVSARRPENIDGGAAGSTQGPSCPYHAPILRMDQAQDPQRQGPLR RFLNEGVGEQAALFIRPDNGKLSTSRHCTCAASIQHYAYPAELDEDALSKDSGREDGG IESKKSKRTVMKEKQGERKMK EPUS_09391 MNGGTSTTESRAGVGVRVKGGGEDGDEDGSEGEDEGEDEGEDEG EDEGEDEGEDEGEDEGEDEGEDEGEDEGEDEGEDEGEDEGEDEGEDEGEDEGEDEGEC QGEDEG EPUS_09374 MIFFKFFGLIAVTTVAVAQTPNNALQVANSGGNASSPLQYGLMF EDINHSGDGGIYAELIRNRAFQGSDFFPSSLTGWGASKGATLSLDTNSPLSAALPTSV RIIAGDTGVVSLVNEGWWGIEVKPQTYTGSFYVNGAYEGQFVTSLQSTTTGSTLALTN LTSRSVADAWTQHTFTLNPTNAASDTNNTFSIAYDAAGADDPLNFNLISLFPPTYNNR PNGMRVDLMNVLKDMAPSFLRFPGGNNLEGDDPPHRWIWNETIGPLVNRPGRPGVWGY ENTDGLGLIEYLWWCQDLNMEPILDVWAGLYLDGPVISEADLAPYVQDVLNELEFIMD NLGGGLPSYQGYRFAAFYNAIKERYPDMNVLASTVDITLSEDAIGDYHTYSNPDALIF QFNQFDHGTSEHKTLVSEYANIQPNATQQPRMPFPNWAGTVAEAVYLIGLERNSHATL GAAYAPLFQNLNSYQWTPDLISFTADPSQTVLSTSYEQIKLFSNTRFTTTLPITTTTP FGPAYFVAGKNEATNSYFLKAAVFDRQNPTAAADVPFTVIFEGVEAGASATLTVLNAT SPEAMNMSGGEQVVRRDVSMLMAEKDGRLSFRLPGLSVALLEVKV EPUS_09375 MFGTPPSAHPFESKARRRSQKASISRRYTDSEQGSTKSHSFSPS SDDTLSRPSSITSQRPNDYASDIVTSPTSICELESPEPLTFHQELVTLSISTKSRSLS SGFPYNDDLFSWGLPPTKWSTFTQEIEKAVRKDAVENANGDIFAPWIIGGRVSETLSR WHRDLFTPLGLEVSLKLPSVADAGESKQDEGVEQTKNDCRKKGILANLGWKRDVPEGR KFRIILKHARPMSGDQARIGAPRPVSELEEQDAIPAGRRKLDLVADLPVGGKGHEVEL EATEVLLKPTSSSIRDIPELSYLSVNDGRVQSWSSMGS EPUS_09511 MICQLARKDHNLKYAWIDTCCIDKSSTAELSESTNSMFKWYHQA AVCFAFFADWEPEDQTFTHCQWFTRGWTLQELVASETLIFYDKTWQARGNKLAYCSEV ARISRISEAGLTGQTQLADVPVAVRLSWAAERITTREEDIAYSLLGIFDINMPMLYGE GHKAFLRLQEEIIKNYVDMSIFAWKALPGTCQDNMGILAPSPREFKDSSSLYPALSQS LVGPNLTFSISDRGVQFHAPLQSDKSTGFLILPVLHFDLPPDASVSSLDLTNRRTSYG VYLRMIGTKDFVRALPYRLVNYALEAAAFNLHSLCCFKRLSAKDSVAVGRREIYIRKP ADLGRMQRLWSCQCPTEYYLVLISSYAPCKPE EPUS_09262 MACRPAVPSLSLGLPSIHPLPRRLDQAARYGLDIELFHDDVLET AKDITGVHTAADSQIKAAAIIRLLCDERRISVVCLQPFRHYEGLRDRHRHAERIEEMK LWIHLAEILGTDLIGIPSSFLPTTEASGDLDLITQDLCEIADLGAPRGIRFTYEALAW GTYIDTWDKCWDVVKRVDRPNFGICLDTFNLAGRVYADPAAASGRTVDARTSIVSSLA RLKHTIDIKKLFWVQVVDAERLDQPLDSKHRYHVAGQPARMSWSRNCRLFYGEEDRGA FLPIKAILEIILLDLGFKGCLSAELFNKSLANPDPSTPEEHARRAIKSFRRLASDFGF EM EPUS_09263 MSRSSANAATESGRVNLMQSPSLSLHTFLKRARSAQISNTGAKL FGSTPRLSVAVTDAQGADQQTVARFYVSVGWKPDNDLLTLPLATLEGRLFATSHFLLS RLPVSLVMAASLALQVLAAVLDVLRWSVVESASFTSYGSDSMTALRFVAECKSRGVLV AVDTILLSPSIGHLLQQCRQLNSEAITAPPTRLSMPSIDDGGEENDHGNNTSRCSVTE IGLAEPSTPVDDLRLVSIETEELCDPSVVTREITELSESQISLVHGSMEAPGANIIYY YETYRPDQVSRMKNAWKQILHMEPIFRTIYRSPEDGCLMLPKFHWRQFTTTKTQAYQD ALSAGQMGVRPWPNVSAVIDLAVRFTIVHYQGVAPADSRSTLVWSVHHALIDGWSASL LLQKVHLAASGQAVQPGPPYSDLCVALDQLRSSRKAEGDDFWTEQQDKLAAARDEPLI PAASTNSAGSCKEEIRITLGHHHNSIKAAARSCDVTPTTFFHAAWAMWLGLYTDSDNA VTGTVVSGRNHPLPGVLDAIGPMVNTLPLQVDISWSISVRDFVGDVFNRMQHLQRYSW TTPDNGFSRVRGPLLAMQPDAQRWEEGSVGQIGRSFSRQTTNLPLSAVVTDDGSVILQ YCSQRYSRSHMETASRVLEELLISLCQTENTLESCASGLLSTTSIATFRSMGNCNSSA STGSSITDDLVTLFERAATKYPSAVAIERVNRKTTYAELERLSGRIARTLAGLITPEG VVAVHADGSIIGDSLFESSTARFFLATTSDALSVKPKTALSALDIESMVDKSGSDSTT CFGLRAEPRPWERAYLCFTSGSTGKPKGVMCTHQGLVAFQRDFEVRLLATIGTRVAQV MSVAFDGSIHELFSAISYGATLVLRQEGEAFGHLKTVDSAILTPSIASVLDPDDFPRL KTVYMVGEAVPQAVCNTWAARKVVYNMYWPTEATCGATIKRLRPNQPVTIGGPNPSTR IYILDHRQRLAPIGRIAGEIVFLGRADRQIKLQGFRVDLEDLEARVLRACDGARAVAI TRRGDDLVCMIQTASSDVAGMRATIRKVLPAFAVPRYISAAAKLPMTSTGKVNYRAIA DGAADSHQNEENLETETEAIVAAAWSQVLKCGPCDAAIGPRANFTQLGGHSLQQLRLA ARHTAVFGEHITVRMIAELPTLRDLAGTIDKMKKGPNSSECQKQGRGQYEPSPMEKEW WQKYQLDRSSSAFNVSYVGQYDPNTVSRKKLIDAWNVVLARHDVFRGRYVQHRRQGLQ RIVLNEAATVYHNEPLPPSVQPYYQRVIADAVSPPCYLSFWSEYLRDVKQARPAYLGN GAERTSYRGKSSVARVSASLWRRILDQAAQSGVTLQQLILAAVAAAVSADDSDFDITL GMPFINRQSEADMHAVGLFLEPLPVRVAFTDESPLSSAKDIVDGYSDVALQRTPTSIG SYLAAVQASCQRSLSHAIPWHQLLDHLEIDPQEQLPGHPLFDCVVSFHDARRGAMAST ADEREGGPWSMFALGDGVEPQFVWSEGAKFKLMVECMAVDDDTLLLRLEYDTTCFEGT ERISAVRRMILHAMDAISSRDTRISFVDLCQELQAMWQTEKASGFAQQVGNRIDANLL EDRKAFFQEKLSKLHTP EPUS_09264 MWATRLSANRGVAPEERLTQAELWQGVKRGARHPGEFAAHVQSC TVLSGGSNAFVREIVIGDGGVHAKNGKSMIQEVFLQDHLYLLATTRESGAKTTMMVGY GCDAASQEEEELDPYLSLYYELVMGTDSPEPGSQAERDIINGYRSLAKQILEDSVRLI RTWKMNGRLQELAKQEKEGTNGVAAVA EPUS_09326 MPLNIECPEPQRLLKRFLDESDSECPQPTKRQQLSPTPPAADHK DPQPSSLKAPPPTPTEPSPLSTPSKKPGSKDVDPAPASAPRRGRVDDWIAKGPLPRPS SAPPRLSCIDPPVIPDPLVIPYPPVVPYPGEEQRPLLEVLKEMSQSQSCGRGSATPGR NSRSATPHPDYRSILRNNGVFIDQTGAKIPQELRSLLDSAILNERSSSLSPEAIAEAV STAVEVADSPEGNIYDLTGTAMLPIKRSDVGRGGNTLWYTDGLPRKQAYDTPLAKPKP DVHCGYLTGQRSDWTIEENAVIDHQRATRITQPAKGNSFPFFIFEMKSEAMGGTIWQA ENQAAGSGACCVSAMRWLYREAYAAEDQPIVDSIAFSACVTHREVAFHVHHYSQAEAR YYMSSIGTFQTVREVQACNNLVRNIFEHGLETRQKKTREALKQLYPFPQHWKKTRPAA VLDSQAADANDDQERSSKRQRAG EPUS_09363 MPPFKDESLIIISPGSQCTLAQLGLPESFTPPAWRFPTRMFPGT SPGEWEPTKIRSAAASAPSMTNGTTVNGADPPEVDMSDAPKPEPTSEAQVVENLQEPD STDAAVTYEEDPTSTEGAVYPLKDGHIENWPCFLALLTHVFNTLSPPFHTPVLVISQP CWSARDHEIVTQFFFETFKIPAFCLMDSALAACYAYGISTATIVDVGYGKCDVTAVND FAVCDLGRGAAIRGAGGQGMTRRLLELLEKKGFDERMCEELKKSSICEILPPDVPLPG APQSPPRDVPNPAAAASTGAIDSGAAINGAVEANGTKIGTAPRGPGEDTEVGLDGFNG DDEDNDGVLDVASIVARGNASEILAKRERERQEKAAAKKAAGADAARAVRLRNSEKPT ASFSYEDYESVADDLTNGSSNGTRRVRKRKRDVVVGVERFMAASPSSNSHSSEGVLDI IARTIHNAILSVHDVSIRSTLWENLIVLGNGSRIRGFTPALLATLAQQYTLSPSTATI FTSELPSNLSTPLPTGGTNTPIPGQPIHPMHHPAGHGVNPLLVAATHANQSQTQNLPT QQPASNLHVPGAQDQQNPHHHRGHNQTPTHIKVVKAPEYFPEWKESAAAPAGGGAGTG MEEATFLGAQVAAKVVFVVDQGISKGFLTRADYNELGPGGIHECAM EPUS_09364 MALLDLPLELLNLVLKAVWPDDIENASMTCRLQQTLAIPHVNKH KNLRSQYGCLDLFLRENSSVMPHQSPTTLLHTRNRSILKQHNGFRGLLHASPYLSQQE ADEWQKPILHGNEGALCALLLSVLPNLQQLYLWYNLQSDMVYLKGMINRIAKASCGPK MYVNRSKEIPSIKNSTYPHALRKLTTLGLQRQCGLNGTEIGDDYDLLLHFINLPSLSS FIGGIGPESTLRATCAASPQQPEYTSHEIQRRHPYPYLPYGATLTAYVIKVFNQDDAA PWFKRWQNLFRTAPWFPKWQRSWDRAEITQAMSQNLPDHEEGLPGTGGFLGLPDVQDA VVKRLFGPSEKVGAVWPGSGRATGREQRT EPUS_09365 MDSYFQDAVFMGEPAKLSLLPSSFDVQFSDRQLQIPTANIIAIH VTHRARAEVSESDSRIHAALFAAGNYPKDSVEQNDNQGEMFRLKSFQMEYTGQHLLPC PPLLLPHLPEHLRLPPKNGQANIHVVISTFSGTQKAEGFYELALKPLLDQLKVSPYAV HRTESADSISQLTRDIILPRVNQGIKQLIILLSGDGGMVDVVNTLFSEQMTTANAAIP IVGLIPMGTGNALYNSSCRPRPNKGLPATTTQTSGQEPDGTSEDQTRGLRNLLLGMPK PLPTFHVTFSPKSTSISYPASSTHPMHTPLSSTSPSGTSTLHGCVLTSWCLHASLISL SDAPAHRVHGSSRFSTAAKSLLCPPDNSPTHLYKGVVSTLHCDQSGQEIWEPLRERDG SIRREHMYILATLVSNLEEGFTVSPHSEPLDGKLRIVSIGAVGPEEVVRLLGLAYQGG RHVDDENGLVGYEEVEGLRIEFAEEDDDGAEDKSEMGRWRRVCVDGLIVECPRAGWVE VRRDRGRSVVELIPISPAECYPYAFASDTAPVATSPDKVPVTTLSLLLRTTHPDYIKT VSLLSTLYPFSHPP EPUS_09366 MKTKLNHCTNRAWPEDLEDLEDLQFLLTSYGEGVWEIADQLDEE DRESFLEWEEVRRLDRESRERWRRVLGLDGGEETAAGYYY EPUS_09367 MGLKHFDPQRFHGCEGMEHQYPRFKFVGLALFFILMTSQAWRHL PCTPENIEYSHTGLPYPKLDIFAQSLLDTWTLVDLDHLVDGMNLTLEWGEANLNLDGT IDAEWR EPUS_07442 MEGTREAEDAFWCVLGYSQEYLDRVHRKADEYREAVLAAGGKIG PQMSLEEASAVLASYESDSDLDDKYLAPLSPPLTPKESDGGSDFAAPLPSGTDISRDG GSFNHLDASQGPYTTISDAEMDGRDEATSPLPTPAQSQSIAHRRRHRPNAIIVSYRTT RSKASSTATLFYELASDGRMSRCSSTTTSPTYDAFRMELPHPSRRARTSHQSHARSSK YRINKRR EPUS_07443 MTSSFNGDFARLVPRNRASQVLFSETIAYVDKNDTFHLRFMERA LAEAYHASSESPEDTTDYDSPHDAIDRDLQLKDIPNSGYYVFSFDKKRAPEMPHIGWR VGRGTSKVPMNRGVDLLLAKPGHPWGKKIASVHLLFRFNLRSGFLVMSAQSQKTLVEV KVGTTWERLAYKGERLIHQPTVLVRAGVCEYELEYTVEEKHREAYFEERMVFLESIQP NKDARAGNFRKLPGDSCVLKGRYLEFETKGYGTFGWVSQGLDTRNGDPVAIKELRLKS RSNRDEALLEVKMGKDFLNKRGLLPILDAWCEHGNSEGCGSPERYYIVMPHALTDLST NFWTSLRISQVDKLRWLRDLLEGLATLHMMGIIHRDIRPQNMLIMSNSPPRASICDFG KAIKAQTSNFTAIGPISTCAPEVWRVLNFGPYTNKIDTWAFGYAIADILGYSVEKYPG ADGLKEANPKITRNRHAAMVQMLLDHSEKRPEDAALVDLALKLLVWDSKLRWSAKQAL SHKCWAPILREIGGDRDSREAATEEYQPQAKRVHVIASEADPPAPLHETVPTQEASEE TKAMIARLYPKKKG EPUS_07444 MFSIFSVVYGLLLLCLLFTYFFHNLFLAGKVPKNLPWVGIKNQP LGWLRALAYTIPTLPEVLLDVHKQVGQPHLSAEPYPSLLVDANVEFPVQEPSCERLQL DYTLPFMTIISRPLYHRLLIKILTLKGGSVVAEIYDELQQTCDHELGLDTEQWKSVCV YDSMQHIVLTASNRMIIGPPLCRNKRYLTTICRYAQLIFPIGVLVRSFPLFVRPVVGR VFGIPALYLFAQYKRYNLPVIKQHLANIDHAAVDANHEFTPLNTFLTWYIEEARKTPQ AREITKPETISSMLMAVNSAAVFSGTIIGTYILFNILASDPEHGTIENLRNEIERVLA DANGEWSKATLAKMYRLDSVLRETLRFDPLDALTLQRKAMKDVVTEDGLLLERGTNVA ISSYTIHRDEENYPSAEVFDPFRFSRATDERSSRDSSSSITPSETYLPFGHGRHACPG RWFARMKLKLLVAYIFMNYDIQPVAPKPRGFWAATFYLPPTKARIMVRRRAKNA EPUS_07445 MATAENVSETRLGDIMPIRQTIPIRMGLDRSVVASGGFMDLFVQ ERSHLSAVPTAVTRYLDGLKSSTQPLFPLRVDAKNPKSFGVFLGSLNITPTLDQARLL SQWDIVVLDPLQAGVLDAVSSQCKSTHVLGRLDVGMILQSNGGSDGSDVIRSLDIITK TLLTSFKRSQDTQSHFTGVLLAQWQTYMQLVIFNELIKFLHGSGLDVYLEVSSPAVQA ESQYRDTNMELIKGIVCRNGSILPDGDRRNFFQSADMRPALRNLVARSQRDPVIMMWE TIDDNVEVTPAVVQRSYTWCKFYNAISWIGPKAALTDAEVAATKTLTEEPSAALMWLK RDEVMKAHERWRSNDEVRHSIGNPNYAPTNCWDQISQVACGDHAIYDSLQSFIPNLRV KLSLGPPRNEERVESPTTAMTDGFDWTLPIHPGQANPFSFSPRGYNYTGLGCFPIGLD CSSEDFEDQVQTQRRLRDLNLLKPVEQKELHRIGEQLSALNQSHSSWERTVHESQAVR ELLYALSANNDDNHSLLRVYIGLDSGFRTGSDAQFWGLYDVDPASGFTDLFISGKARD LPGTILHTFMSSRNCTRAQCFMAETSLSKQTGSLTETWELPPRLLQDLEVLTPTESIL FMQHLDRSNCEECLILSAKVRSRCKYQLMEVPSLAQLRALNATAYLRGEVSADDLVKS RLAWYQERGCQHPDPLAAVSLFTEVNARMPGLLLSGQIELLAELAHVIETVLQKKRID ASADLFALSVFCSIRKLALEEVYLEIMDRNPLPNSQSDQAACFAEMFALGARCESYFG MTPNSLGQILSNKYTAYYKVHQPPPPEDGFTELPTAYASTQIDLDPNYGPPQIPNYYQ ATFLGIFAVPALIDILLLTTIGRGLYLTAYMTQLEKSMATTALMVSLLVCGAIGSWIG SGGSYYLHSMAFSAMNMYVLSRLIGGIALTLAGGLLALIIIGFVKGLYAGLIFFLYFF LLSMYLTVLAALSIYQFPGFMFQSGRIGIMRCIPILFISPIITLWVPGHDALIYLLVI TALLISLLLETRQAVSQWGTWYHKVPCITDNDIVDWYSKTRASSKASSALLEGVTEKQ LPRKALLAAVFKERNRRPWTKPTTDEFILRLAEGYSSTTFLLDWYCKYTRTQMPYAYS STWNLTTKAATETLRDIQKGVKLHNAFVHWRHAGDEVWCGVFYFLIALLDKWVAMLSG GSIVGLSAATDKTYRMAVGFGLAYYLIGAVVLDSVSQPLWQLANKTIPQPIRSMKFLH EASIKDAEAKRKLYWTSLTKFFFVHIWGMSVTAALMWTFDNSKDATVMYLAYIVAYTG LLWYQFNRIFTGTLALKDLIAALCIGLPTGLLLHHLLPRFPYSSVIALAVGAWTAALL SIWTSNVGVPKFKDEPSLIPAPEHHFHDAIGPHSRLSQTALSETFDSICALPDEFRYK LDPSSHPGVEIMDILTSRSDARHSKLVRDAFRLRKQMLRRIAEHWRKGETVVELVSVR HLNQQDQMIEAISRTTGNRLHIFVCIGLDLVGQEWIMDIRGNCNVIAEAIIHATAESV LGLSHEHSTFAELLAVTPKSEDGLPIPEGRKRQLKNAAVERASIIRNGDKEMLRHLLL GLDCDKDWDSLPSTIRAFLLKRCLGDAPPVSDDQISWIHARFCRGNSLDVDEYVARCN LGASLTALVNSFAETLEAEHTNGFQQYSPYPSHEKCLESPLKHSIDDLDGSRLGFLKL PLYRIYQGLRICIKFLVVCLVADPEFQRELDYVMSTKPWIIRWPVTFFLDGFWMYCKM LQGTILPIFLLHGRQKVSKLYNDMKGTKTVIKKDRIVIENLSGVSTAFLTAQSDGRSE LRQYSGNHDQEPKDLKGIMAINTYTDKLVLCRRSEYAVNGSLVNVFTYENPNFQDGQN SGSKLALQRHCIKGNLEGQMVQFDKTGHMTSGSFIKKDELVEFNFSYRKNAKFEDELL RAEYFFLDVSIKVSWSAPPVNHSEMLDKWVPNPKVLEATFVQGSLIYHSTWDYDHRFH PTISTTLNGEMINTPPMIRDDWFDVLKKPTNCSILNDNPLSSFGSVSASFISRLLRRN IRWYPISTSRARTHLWKSWKSGKEYDAVTARWLDEIALRSDPIMKPYWIARDMGRLST AENYLSSQADTVMARIDLEPEISMWTNIAFKISDLSSFGQGGDTRINTRSQATQLTDS DTELHVLASDTGTWPNESGGVSACRRDMVNNLQSVRWHVIAESANDFGVPRFQIEKNV HSLKVLPLWGLDFLTPTHGIFQDCLDSAVQRKAYDTLTEDIIQNFIPILTSLVRTSRA IHIGPAQVEEATKALVDLNTYFESGRHWGTVWMSDIVKKAWRQLWLCEDVENATPLSE WLEAERPTLMHLDNALDMWHRYLLVFSIPVPEKIPDVFQASHHFTSASYGVVCKLKRN CSLHVWDHCISWREVTVFLSSAMSFDSPFVRTALISLSRMAAVVVLRHADAVLPCADF FNPGWEVEIGTGQGALEHRRAFARRIDPVVNGIPDVHKFKPIEKIKSTKPTATMLSHI RYVKDIKNAILAADIIVNQWGFNDYQLEIYGDMEKAASYSVECQELVASRSLGNNVAL RGLGNPSKVLEDTWIFLNSSISEGLPLALGEAALTGAPVVCTDVGASLRVFQNPSNGK RYGSVVAPNDVVSLARGQIRMLALLDEFSEFAGDEEGYHAKLPPNPTKEEVQQIQKRM YEKTEERRALGMLSRSLVLNSFSPERYLREHEQMLWIGKYQSKSYRHRVHTHHSANFS ENSTLPASSGVSTFREGTGKSFRDSSAMSSLRNFGGP EPUS_07446 MKGNDAIISQILRRKRKRFWYFSLAIVFLVILAVVLPVAFVFSR RRHPKGLKSTVLVPLYIYPIPGAWEPLHEAIIARPNLNFTVIINPDSGPGSTRYPSSE YTSEVQRLNVYPNVRMMGYVRIGYAKRNLTEVLEDISTYSGWSVNPNATDQAVHGIFF DETPNEYTSEVSDYLKSINQAAKNAAGLLPARTIIHNPGSIPDARLTDADVDITVVFE ASYQEYQEDSSSKALRARLASLPIDRSRVSCIMFSVRTGMSQGDRRSLADELSQHAEF LYLTDLSQNYYESFGPHWQDFIAAIPT EPUS_07447 MPVVVKAMSGERPILKIYGTDWETNEGTAIRDFIHVSDLDQGHI AALTDNEIASAKVVSIFSNSGQDRAIQITAHPNLNFIIILNPHNGPGSSPLPDEDYGR EIRRLTARPNVRTVGYVRINYCKRDVTTVYEEIVKYAGWSNDHSTTGWGVHGIFFDET PNLYSDKAASYLEIASQTVKATPGILGDRMVIHNPGTVPDARLAEPGPDVTTVLEEPY KKYRSAALQERLSTLLPYDRPRCSYMVHSVPREKVKELVFELRCRGEFLFVTDQRCHF YTRFGSSWSDFIEAMEIEC EPUS_07448 MVLSRSKRSNAGKAPQRLDEPALSTPAPPSTQLKRSKKAAQPAK RLVLSMKKPQAAQSSSSSSVISVSLHQEKAISLASESLEPLLSDDDGSIAQQEEQEDK KEQEEQEEAEEEEEEEEEVEEAEEKEISFQPYTIELSVVLGKKAIYNSFIKLTKLNFE HFKQDAY EPUS_07449 MATAGRLPAVFACWLYRHVRLPTANLRTGADVSSKGLWDYPATI TDPSIAKQVSQFGPGGPFSSSTTATIINDFGSRQQMVWFMTWATKWSQSSNFLTR EPUS_07450 MALYPNCKNQARQQKDPYGSLNDASEKTVGTSVVGGGGGGGGGE GTGGNYLHYQQHVEGEESAAGAGAAVERYHYSPENSRRLGHPLQSPRFSGHGIPKSWF RPAMEGEGRA EPUS_07451 MFRVQGGHMQGSQSSRSGSGDSSSLPDTTSGPKVDNSRQPTIHY DVVAFPITIYYNREGDHDHNGMIFALYDNLLILKYIRALARVGQPRTDGSPDDLLEDL SAKAYERAGKIGVELPVTPQQAKQPHPLVRPLVLRARQGEKMTVTLHNCIRDRAVGLH LVAGGYDVVTADGSDVASNPSSLAPPGGTWEYIWSCDHEGVFPFHDGGNYSGDENGTN VHGLFGALVVEPPGTTWRDPTTGLASHGPDGSGRFEQLDGLYLDVLPAGQSPRDMPES DTSLADYEWPPPREYVCFEKEAHREFVVFFHDEPEFVPAHGALEPSPCDAHGNDHRDI AHGDGGGGHDNLPIMPISYRAEPMINRERTLWRLLRTGHLLERPVLNEEQHHSSWMFG DPVTPILRAYIGDPVRIRLVHAAVKETHVFHLHLYEWHAVPQDAQSPRIDAISISPQT GHTIEPLWGAGNRHQVAGDVIWHCHLYPHFHEGMWGMFRTFETRQYGQDGQHLQSDDP VYAGRRIGRYPDNTRIDALLPLQGRKPPPAPTPDQPGYPLYIPGTVRQKSPRPPWPDR EFKQEEKLGNDRPGQQFELPCHVTSLLGADMPPDFDYRPFPTALERKAFNEFPVPGEL FTRNRLAKQQQQEWVDDFRFRRNTSAQVCHDVVVAQRRIDYNSHGWHDKHGHLYYLPT EGDPGDRPGPIEPLFFRAQHGQILNLTLRNDTPERIEETEFDHAFPPCEALPWEGECS LHVHMVKFDPICADGASVGWNYMSGARHGRKMVYRWWADQENTGSLVASSLNPPARAS STTLSPVAKRHPNDSREPPWFREFCIGIADFIPAWDRRHRALNPPAQPGGHGDQGVMG LHYHTTVFKTYAGDPIWIRLLQGSHEESHSFQIHALRWVRFREQIDSIIRNQQTTGIA EAFTFINQAPLTPGDYMYKLSGADDLWLGCWGLIRAFDRPPAGSHFDLVTLASVSTDG PGQEQIEECAASSQPSAPASKERRFRIQARPANLLYRDDIIDPHALVYEVVDHFTSHC PAIDLSTPERLEPLVLWCQEGDDVVVELKNCLPNNLRVEPFAPEVPLERRDRPVSRHV SLHADLVTYDVRMHDGATIGLNPPQTVPPGQVREYRWNTSRPSNSPDPLGPILLQDMA DFRNHRHHGLVGALVVLPADAIPHPVLPGEATADLDVERWHGPRMTVTRNGDDPTGIG GITEEMVLLMQDGLRLFLNANGKPGFPLPDPPAEEAGEAEHEDQGQKGFNYRTEPIGP IFDPRGSDYSLNKEPATPIWQMPARRKVRLHLVGALDKPRAHSFTVHGVVWPEHRFRS NAGRQTAPMVSSESAITCGTVRTFEFTPTHQGDHAYRNGVLKWAVPQGMWGVLRIRPA EDNSDHEPPCGSTADGPGSFSSSSSSSSDSASDS EPUS_07452 MVLVIKDFNDNLESLFADAKSKGAILMSGDIDQSEEPQALHLLQ EATADEGENITETASMKLGTLQSTTAAPSALPKDARIIAKEANAIPQGYADGTKVITH RKEPEAKIDELPKASKALELYIVGKSKGVLTLA EPUS_07453 MIDVNGSSASNAPARENAPRLSREEAPANDTPSPHGKMATASPE TQSDNIEIVRSNPDNAGSDGDSEAETLIESPEKRRLKIVENAPRLQPTSENGRDSGGE IEPTSSQSSDSKSRKRKRSDDESKGVFHRPSSRRSSPLSSPILDAPSANESETSYSHP NASPTTLSASRRHDASDKDSKEADQKQNAVRSTGLKPQKRWKSEVEENQIRPSRRKHS PDPGGTERRETRSATYPQQDSTERSISPRPGREHKRVTSVQSIQTLASHKTRRIPAPL NTRRNHSSDRSSEASNSPVPNRPHLHKLTSNDFDATSPAKVMAKKLRDRHGRTFLAQA CTDDKLDRVKQVYEERPQDLNIADNAGNTPLQIAALQGYVEIVEFLLEKHCEVNTRNL ERDTPLIDAVENGHVKVVKLLLEHGADPRLGNAKGEKPIELVDEADDKIREMLGDARY KNANRRQSEDQASLTHVREGSSRAASAASPRDSPPVHGPKSPPPLQLSRRRAREQTRN DLLWQANTPENLTKLAGKGDTEGVVNILNILNKASPEALIAACKGGHDVVLQLLIAMG NADPDPEPVVSPDQRGGYNTPMLAAIGEGNIQSIKLLTEQDGFNPTREFRGRTYYEIA EERRGDDWKKEYDLLKAAYESYVKKHAKHTSPQKSREVERRRARDSSSPFSKRTKSPP RSQTDSYSRKDSRSMDAKRSELRDHNRGDHAIAVSSDHDRRTPQKAHKTRRSRSDAPN LNSEEEVPKKRRLISRREHMKSTSYPTKESSGDENDVPGQHEKDQISARRRRSSVAAE TPRTSEISRVKKRSRRALSDSSPEESRSIKKRMSSQDIGQTPLKEAKILEDVDNIFHQ SQHDPIQRTHTPESFVGRVDAKTARTSKEMEKMHREAEERKEIDRIKRIKEEQEAAEQ LAKQEEMERVKAEQEAQLRAEEERIAVERKLAEEAAAKKKADEEALARKKEEEERQER MRKEAEEKQRRAEERRQRILRENEQRRMEALPRLLCKSAKLLDQNSAEAKSPDFLQKF LPLFTVKTRQIDPYCHGDVADEDWFPGFQAAPLLAAKNLGLEHFTHWEKRKVNDRERL CLWKVARTMLIQGDDDLAIFNMSIEQALQLDRETQPKFEAMQPLVWVKLSDFRERLPL HPYLHGLPMKTQRIGLQPPKPTQQPPPAVQSPNGLYMSGVNPSVNGILLDGVGGMNGY HY EPUS_07454 MDTITALRIGYVLSAFAILVIYAIPALRDRFLVYGARDALAGNN RHPHREQNVLEKTLDYFASMKVPHSWFKHFYMLSVALSMVWLQQLYTRGPILQKVVSG TSTHRSSMSFNQLVLCWTLLTIQGSRRLYESMTLEKPTVSQMWVGHYLLGLLHYMAMG LAIWIEGGPALMSTDEPLGDAMVSAPCVTTFIFLPVFLLASGLQHDAHFYLSSLRKYT LPTHPAFQSIVSPHYTAECAIYFSFMFLAAPGGQVVNKTLLAAFVFVLIELGVSAAVT KRWYMQKFGAYPIEYKWKMIPGIW EPUS_07455 MAATQSPSLLELQSYLIPGLPSSVYYIPAFLPPATCDVLLSTIL ATPSPRWTNLSRRRLLSLPSPLTGKSRDTLVSSAPLPAYLTDEILPNFQTLGIFNYSP HGTPNHVLVNEYLPGQGIMPHEDGPAYHPVTATVSLGSSTVLDIYSKSGSEVDGSERR HWRVLQEPGSLLVTMADCYTDTLHGIAETWVDEDLREETVSNWGLLGSKDQFRQGWNS RKMRVSLTYRDVKKVVWVGGRAKNNILGSR EPUS_07456 MRFRRSVSILGLIFLIGATVLFLKRSNPLVDLTVPNPLTHDSDA PNLPISTQSHPIARLISQAEISFKTIQTRQSTSLKDAVAEYRRRYRAPPPPNFDKWYN YAKKRGVQIIDEYDTIYHSLLPFWALEPAVLRNRTREAIGFDNAMIAVMIRDGKVTKI EGGGDRAEWHRNATRDMLQGFVHYLPDMDLAFNVHDEPRVVLQHDDLTRYVRIATNVN IPLAYNNAQLSNRWSSRPADLGDGTRIEEYKTTRFNRFAHQPTWTTSRLSCPPESAAR SLSESVEDNFTTYTSSPLGFVENTTAFSDICNSPSLQRTFGFFDRPNAFDVTHDLFPI FSQSKISSFQDIIYPSPWYWAGRVVYDHWKDYGWSRKNGTLYWRGTTTGGFSRDGGWR RQHRQRFVQKVNALDMALRLEHNGSLDSEGAWFQKKMLRQDMKDKFDVHFTGIGQCDP GDCAAQKEYFELAPPVEMNDAYKYKYLLDIDGNAFSGRYYAFLLSKSLVYKLAIFREW HDEWLKAWVHYIPLSWKGDEYVESVRYFDQEEIGKTQAKKVAEQGRDWAGKVLRNEDL EVWYFRLLLEYGRLVDDDRYNIGYTM EPUS_07457 MPDPISGIEIAGEQRYEVDSTMTEISHALQELPAELSNEIHMPH EPFSTLESSVPTTDLSPHYTPYRSPALFSPLSPTSISPLNTSSSVAHCSTSASQPQDP SNQTSDGYVHELGNEMDGADYIQLVSSNLGHGPASEAADEDPNLPTWETSTFGGRTDF CGSPLDLRKTGLQGSLCAAPIGSFAESRAPASGQTSCSSDDYTLESPVGLSLHRQRCR GILKFQAQDLGLSSPSNQYSVSPESSSSDRSASIHDCLCLQELTPPRGPSPSELLGIL QVGSGKLEGWMPFGLLERMGVVFQSITEQSLGKLSEVKAPELHFVLGPVYRSWPTLET GLSALYLLVIGKVPHRLKEVLSLLFVAYSIVIMLVDEHSQAQFAEALLLDDVEWTNAI ACHEERAAFKMLLQYRCLPGLISAVCAQAYGSSSGGWRSFHPTRTPFERASIPFEGRL CGENESGFRLRTGLNARICQWYIDYCYKTDSLCRLRDPCSTASYSVGAPPSSEESVRC DLIRPCMENSSSNTCDQALEILERNLRNGQISTFYEDAQIVIREMSNLEPGRALGVLV CRCRGAQAMYNSHKVCWFRDWIRRAPSRDIEALHRPLAHADTPSLHELSGLSYASSQA VGTIAAASTPPATSPTRKRKHEASEASKCPYCEQTFSGAECDQKSNLKRHIRHKHRHA GQAALGLYNPYGDRGFGGGREDGRRALPGTARAVVVVGIPTATAPTAAAATTTTAAAA AATTTTAAAPTTTTTTTTSSAAPVPAPVPVPVPAPVPAPVVSPFPIPATGPATFLAPL LGPAPAGRLARSRNQETPNPARPTSTLAARPRPRPQPRPQPQPQPQPQPQPQPQASSS SAAAPTAPTTGRYPHPALITHNLEPWTFRIAAVNNIKLTQVVRYRGLRVDDELWWNTG THRQGVRVVHIAQGGHIYLQVLDHLGRTGHRFVQVDGTPYFTDPENIIRALATYGRPA TLPLPPYAGTGLTPWNALEVFRGGRRVGTLNEVREDLRVRGSQQPVPRGMRRGRRGGA GGGRRRRTAAPAPAPATAEEAEEDEMEVDSDSSGDTIPYLGE EPUS_07458 MYTSLLLTALCAASTVSASPLLLQPRHDSSSSSSTTASTSPPTP NPTLSPDLLKTLAQQPTTVDRFSELLKTDPKAAPLKFDFNPSANAPFRSPGGGVILAT RKNFAPLIDLSISSAVGFMSPCSINTAHTHPRATEFLTVVAGQIETGFILENGLPTQI NTTLTRYQGTVFPVGSIHFQVNSQCEDAVFVAGLNSDDPGASSVAQNFFGLSPDVVQA TLGFPEAIDGENFLTFKDRIPVPFARGVEECLARCGIPMAEGKKA EPUS_07459 MAKSDELMLQEQTATDRPTAPMPSNPTSAEGGLVQKNQALDAAL DTVPADRLRGIIKNLCLISDSAEKHISQLLLAPEENDNPTSKRKHSAADENVEASNSS KRTKGQYAICVQCDGDFELALNDGKDCRYHPGQSTIQPCIVISGLINSPERVGEGDTG EDPDIWDPFHEGLHISFIGLKDGIPQSFQWTCCGRAGHRGGCKRGPHRKDDKEADEES DENVPSDTDSEDGEDRNNSKDGGE EPUS_07460 MASSRLDRLVTLLEKGSTPLIRNTAAQQLADIQKQHPDELFNLL GRVLPYLKAHSWDTRTAAAKAIGGIVSHVEQFDPNADDADPKLDRNGCNDEMEVKVEA PTPDSYELLQLDTLDVSSILQYGKKLLGSAGKEYEYSLAGLDAAQRLAYQKQSLTSRL GLGGEYIEDDLVNANDFAANSQHKLPSTPGLPRVDTSFKGRQASISSNNAYSAMSPME STPQTATWDESGLSKRQLNQLKRKSKSMAKTGGNKMRVVDLSVRKASEAGQTPISAAP YPVKLGSEDDTNGDKNPDYFSIKRENEDDESKVVSEFKGEVAPEKPMIQTDAEEQGLE WPFERMCEFLTVDLFDPHWELRHGAAMGLREVIRVHGAGAGRKFGRSRTGNDELNRKW LDDLACRLLCVLMLDRFGDYVSDTVVAPIRETVGQTLGALLSQLPEVTALSVYTFLKR MITQNDLGIKHPIWEVCHGGMIGLKYFVAVRRDLLLCHDRIIDGVVDSVMKGLAHHDD DVRSVSAATLIPIAEELVQLNSESLSNLIHVVWDCLSDLQDDLSASTGAVMDLLARLC TFPEVLQAMKSNALHDPDSSFAMLVPRLYPFFRHTITTVRSAVLRALLTFLALEGEGV TSWVGGKVARLVFQNIVVERDEGVLRLSLQVWTELLRQMNSKGLVYFRDDLESHLPPM ITIAMHPIGLARSPIPMDLSLFIKPSGVPFASHNLAPARQYSSAHSSTGGKRKSEKKD DTTASAHNVDGHMLHGEVELVGLDTLIRSRIYAARALGLFSFYWHQNQFQEQWTGIAS YLTSRRATTQFVAAMVIEEYASHSESKTVPPSVLSSKLLSVLENDRPLWYTDIASVLQ AARGQCHAMLDAFKSHAHVPSAKLPNIPPVCQGDVDAGPNAFSLADAERIVSDDFDRL MKLLTPAQRVTGVQFLADARENARIAVNEAKSIKELREMRIRAAAASALVALREIPKK PSLVIKNIMDSVKLEENFEIQQRSSVAIASLIDFYNAAGKRGPVEKIIGNLVKFCCVD TSETPEFHPNAQLEQAILSLRKEEDRKDHPDAAMFEREAKEARIMRRGSKRALENLAH KYGASLFDRIPNLRSLIETTIRNGLVGELPNDIMDPESQTGQDIVDGLSTLRALAPTL DRGLHTWLVDLLPLVANGLRNKLSVIRYSAAKCFATLCSVITVEGMTTLVENVLPGIS NAVDVHHRQGAVECIYHLMHVMEEGILPYVIFLVVPVLGRMSDSNDDVRLLATTSFAT LVKLVPLEAGIPDPPGLSEELLQGRDRERKFMAQMLDPKKVEAFEMPVSIQAELRSYQ QDGVNWLAFLNRYHLHGILCDDMGLGKTLQTICVVASDHHMRAEEYAKTGAPDSRPLP SLIICPPTLSGHWQQEIKQYAPFLSCVAYVGPPSERNRRRDQLTGADIVITSYDICRN DNEVFMPINWNYCVLDEGHLIKNPKAKSTLAVKKLLSNHRLILSGTPIQNNVLELWSL FDFLMPGFLGTEKVFLDRFAKPIAASRNAKSSSKEQEAGALAIEALHKQVLPFLLRRL KEEVLNDLPPKILQNYYCDLSDLQKRLFDDFFKKERKVVESSVGSGDKEAKQHIFQAL QYMRKLCNSPSLVVKEGHKQYDAIQKQLASSHSSLRDIAHAPKLTALRDLLIDCGIGV TEDAGNISANNYVSQHRALIFCQMKEMLDIVQNDVLRKLLPGVQFLRLDGSVEATKRQ NIVNQFNTDPSYDCLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDIQAMDRAHRIGQ KKVVNVYRLITRGTLEEKIMGLQRFKIDVASTVVNQQNAGLGTMETDQILDLFNLGET ADGKADQGGMIEGNEEDMVDIEGNVKEKGKKGWLDDLGELSDERQYQEDFNLDSFMQN LQK EPUS_07461 MAGWPQSRMLQGIVEDVVARFRRPTILCQTYGREKAKVHMGHAR ICYVVPKKLLYEKSSYFRSVFTGGFEEANASGPELPHIEAETFEPVVKWMMSGIIEVP KKRLGDVCSSIDDDEQGEAAAGVFRQALVVEEWMDSHTETESYLATVWVTCIILPMSN NNKSLISVQCTSLQNEID EPUS_07462 MSAPDRSVAFSPQWLRDEANRLAQSASPNQQDLTIKLMTPLMSD SERNNSPSPPSKGLTGPLDPLFDNMAYLNDSDQNDRPKTPQKPQSGKNSAFATPTRRH RTANTISPLPHASGHRSTPSSTRPRLSAQQEAALSSPEASQQGRLTLDHGEPLPPFRV SHTASPRTASKKKSPIPYVPTSKTDRSSFLQRRRSPSPLLSDYSQSPEVRSAGIATHL EEEDVYNENDEEIHGLSEAKAVYLDRKLPDFVVRKPSDSLKRKVLFRPRRKQHGDSLV SGELSFEYWDEEQKQGFWTLIDDEGFKWIVKYFFDCQAYRAWMGVDSGYDKNGLAFTI RRKQGFQKLPSQSTVVGDSEESENETADTGRTFRKRNIDQVRPYSTELMNYKRSKDGK KTKNFKREYTYDAESSAEKPSTKAPPHRSNKNASRTPPASRHTSTHSKAPSSSRLSGD SIERKISHPSTRESVSDEKIQANTTLYIFTNDDDPPATVYLKSCSNVESFFSIMPLVA GVDEHDIRQITVRFDWLPESTPNTIRMIPALSDSYDKMMEEIREAPGWMEGGDGKASV IVNVVLK EPUS_07463 MVGARHQLSRDEKHGLFVLHPEEEDTHADVDIIAVHGLGGDAFE TWTDAGYKLWLRDFIPSFLPKARIITFGYDSKWAFSRSIAGIDDFALDLLNRLRMKRW SIDAMQRPVVFVCHSLGGIVVKKALIIAKEQRYYSNILDKSRGIIFFGTPHRGSRVAS WATIMSNFINTCSFHKPMRTQLFNVLSQNSAVLADISTSFKSLTLDLPIVTFYETEIM NPLNGLVVERESALLGINNERLVPMAADHRNIVRFNDPHSQKFEPVRHALLEMIQGHP EDVEQFELAYSYLTEEFNVTNYLLQYSSVQQQSPGTCEWITAQTSFKLWLQPGASGIL WLRGTPGVGKTILMKYLISTVIPSRRILSHTGPSSNSREVRDDILAYFFCNEQDTSRQ SMFSLLKTVIHQLLQIEPDLTHALIARRIFTSKSKTYDFLEDPNMLWGALHDLIIASK MKTVYFVFDALDEMDPRHLEKFTENLCVLIDTVTPHIQPRRLRILIASRPSAIIDEQL DCPSIAVRSERDVKHFVEKNVQEFMQEHMLDQDIARKIVDTICKKAGTMFLWAKLVWN QFSQDQGPWSTQKVEAGLKALRILPSRLDSLYESILARFEGATLSLLIRVFSWLLVTT RPLNTAELEIAVRLEAKTLGLDVNEFPGKMHFASICPNLIHVDATDHVHFVHQSFCDF LLSPSTSDRYRITLLNAHRSAALTCLSTFTLYNLEAGKIRDRISEHGLKRPNALEECE LLFYAAKNWPHHANLAGEAPTIWTMYKQLYESRDSFGLWILLYLYDDSHHKSSLLLYA DSLLPLPLHVAVAIDNKYLTECVLLCGCDINEKDHSGYFQGGPSSGGGTVLHVSGIST EMVKFLILKGADISLSNDIGRTPLLTAVDAKNEELCRILMQNDNPEADSSFLKKTGGA ALLAAIDNQDSAIAGLFLADERIDLAGENTLREERSTQGIYLVTPLEYACLRGIPSMA RLLLSSERMIEAQARKELRYPKANPTSILFLAVLQGWEELSVEIMDLFPTALEQDRDK DRRTVLHHAVIEHWHEVLERCIARMKTGKLNMMDKNGRTALHHAASNRNWYAVARLLE VGAQPGVPDHSGKTPAHVAAEIGSERVLRLMLERKSVRPSELDNEKRTVLHYAATWDL INVCELLISSNEVDLAAKDRHGRSAAHLAALFGCPNVLGLLLGTGKIDINTKDYCGNS LLHCAVEGRSITCVEELLWRESLDINALNRRGKAPLDMIYSDPDPERRRIMREHLEGA GARPGLWRPGRRPYVSGRAEEVWEREHRPGWEIVPYLGQN EPUS_07464 MSSPNQDVTPDFERSASLADRIQNAYIETSISPRWIGSGNAFWY RRDFGADQSSFVTVDPEQSLRQPSFDHERLATSLRDVGIEANADSLLFTSIDTSRDGK SVKFRIGEKIWEFRDNETLAEYNGEIKEDSLTPLSSEQPSDSSDKSTFITFINRTKES VALFWIDYDGNPQRYATVEAGKSNRMQTYSGHVWRASYSDNGQLIANFMAQDLDAVAI IEEEKPSVAKAIEKSSSPALSQRPESSDKPQALVKEYNVWVRDADGQETQLSINGTEG NPFDHTIYHSPNSQFAVAYQYTPEQTSTVYAVESSPKDQIQPRLKQFQYLKPGDNVRV DRPRMFDLTEKKEVTTDDALFQNPHSIYDMGWSADGQEYRFLYNQRGHQVLRIVGLNT QGQVRSVIEDSSTTFVDYSQKEYHHEIRDSNEMIWASERDGWNHLYLFDLQTTNMKNQ ITRGEWNVRSVESVDEEKRQIWLKAFGAVECQGPYYAQLARVNFDGSDFTLLTSGDGT HTWTWGPDRKYLIDTWSRVDLAPKSVLRNAETGEQVVALEENNFDNLIQAGWAPTERF SAPGRDGKTMIHGIIIPPSQFDPNKRYPIIEQIYAGPQGFFVPKSFSTLTSQHELAEL GFIVVQVDGMGTNWRSKAFHNHCHKNLKDAGLPDRIAWITAAASQSRPWMDLGRPKRP RRPSLARRLLQSRRRGLWMPR EPUS_07465 MTKRVVKRHMTRGALGVESGSKDRLKRRQMSRSLELILTPFYPR KRSGPSGTSFLDLPSKLRQYVYLETGLAQGALFHLNNPRPKRDDLDYHDDSLPTRPDW DIEDDCKGSLIANNLLLVSRTVSKEVSHLFYSGNRFRIHYTEDYGLHRLLNLSDKAFN SMTYLATLLNSCPAGYDIDGVCCSKNGHQCIRHRPCKHMHQKPLNNTSRRDQRVIAQW QQTEKRIAASIQPSHMSLYLICEVVNLQTAQKIAQPFFEMPSLKNCGIRLGRPIDQFD SHFRYQDLPKEIRLLRWMVARNAFALLLCPMRCTKSGQGCFCSRKHAAASTDCRYFHN PSAIFQVCRSTREESLRVFCSKSHFVIRPRRGFLSIADVTYNVPEVHLFLARTRDGLP YLQSLEIAFPPVLESYFDLQEEGLQAWIDALKLLETEAMLPNLTIKIHMFRTPGELFQ LNRLTHIPGFEEKAFTLVNQVVGPTTRLQGLKDCFVLGMAL EPUS_07466 MPKALEQLVQEREATRDIAAKGLQITAEVIMNKAIQDRSFDSGW ARLRLSPKSYSLPSTRKFALSPRYTRAFRILEAVGKGNALRMDLPAAWRIHQVISKIH LDPAPSPDDDPYQRNLPPPPDHIDEQGME EPUS_06487 MLPTFSMRRFGPKHWPARGPDPRLDVVVVPDPGRHQLVLHDRSE DNDAGTAQLEVLLLQDLPEANIMSFLEISEASHETLFTTWGLQYRARALLTSLLEKRN TTANPVIFAGFGIGCLVIMQALMIAQNERLYSSIRRNTSHLVFTGIPPNATGPKSWEI QIVEMMGTSDGGSTSGLSEALGRFSKTLMETCEGFSSLADRYQLVSFVKEEKTSQRGM RVCYSFPLSYPQHFANIMLAERPVMGTPLAISIVEPSDR EPUS_06488 MSPNTLRWLLLNDAPDAYLSWSKSNVSALLYIHGLCGVGKSFIS SFLWEHLRSGSPGNGSTVIYFSFEKDDLRRTTTRSMLLSFIHQLLTGQPRTFLSIRTQ YESIRQQLPWTIEELWMFFRAMICSPVHQELFCIIDAMDQADSSISRNLLDIIRFCAS RNAKVKMIATSRIQPGLQSPLLFTIDLGLQSKIKMDVETVGREHAQHLIQGSQAFVGL EKELVEHFQSLSTHLEGSFSFKSLGRSGLRSSRKAIREELASLALSTSCIRRGLTSDI LKLSGQDRTALFWILLSCRPLTCNELSIASSIREGNTTYLQIQDDIPRDIARDLSETL SPIIFTQHEEIHIVHPSMKDVLLTLLKEANKDSSSTPELAHADLTRLCLTYLAFTDFG HEDLPESVNEVFGECLPVQQYGFLEYATEYWPEHYRRTEDRCSLSQDVLRFFKKECFK AWNRRRGSTGRPGEESRPSSASPLQVAAELGFIEILKELLDSDANAASLEDKIAALES AAEKGHLEIAELLLIKGASSARALTSAVQNGNTKLVKQLISEISVRESQDGKVPDFHL AALRGHVGVMKALVEAAPDSEFLDNNRYASLRLVCQSGQLAVLRYLLKDHKLERERAP ALLHAAAKGGHLEIVQDLIKAGADPNAADNDNHTALQLAAEGGYVLIVRELIKLLDPD VKDAALSSALHSAAKEGHLQVVDELVIAGVALDAREGKRTQPIHQAAQGGHLKLLKRL LELEVNPLAVDEDDFTPLHLAAREGHLPIVLELLNNEGGKVTGEIDLESAFGSQAENV EKNGEDLDESFVVPETDGATEEDKMSDDSSRNEDADAGKEGKDDEDESSTTTGTDKNS ETSSSGVDYEDDNDPDRSDYPYEITPLHSAARRGHVEIVKALLGAGAELEKPNIRQMT PLYLAAQNGHATVVDCLLQKGADANAIGGKDATPLHLAISTGNISIVKALLQAGAEVN ASNRNGDAPVHMAVYAGRVDVVKELLKFGADVRRTDNEGQTPLHLAAAGGHSQIVKQL FEAGSNPELTDSSGNTALHIAAKEGHSSVVRELLAKGVNLSTKSRNGWTALHFSHHST EVTNQLLKAGAVVDATNNIGSTALFLAAYTGCEPVVKALLKAEAKADKQNIYKSTPIH RAAEGGYVGCIKLLIEAGANPLQKKRSNVTPVQLALSSGHPEAVEQLLEPMKKNSPPI SEYEETLVLLARRGFENGVKKVLEHPLLNLDSTDSLYGQSPLSHAAENGHEGIVRLLL QKGANPNSTDLAGRSPLSWAALNGREAVVQLLLDKGANADLSDNEGWNALHLAVADNC ESIVKLLLEKGVGTSATLKSGYTALDLAVNNSSPDMVRLLVDSGASMSTRTKYQFSPL DMAVRDGDLSVARALLDLGAEMWVTGPDGHTPLDIALYHGHQHIVDLFLEHNVNSLGE EQGLTKLHLAMLSGNKKSMQEFLDQGGDINCLDKNGMTALHLAAARSDEDLVLFLLGA DADVGMKDNEGMTPLHRAVMEGNETIVRALLSKGADVNAVDLHVWTPFHLAKAYGNVK ICDVLSESGKMAVTSDQGEVSISIPPSRFIKAVSSSDITISEDGLTATTENVTKMELA AGLQIRADHPIPPGANTYYFEVAFVHAARESAIAVGFCEADSRRIGMPGWHRAAWGYH GDDGALFNNKGLGTPYGPKYAQGDVVGCAIDFTSKSAFFTKNGDRVGEVTKAAFTNVV GRLFPVVGIGDAGIKVVANFGPNDFHFKDFK EPUS_06489 MAEQYEFDIDLFDGGTPGSAYHMSNLPGEDQRHYLAQYGSTRTV KGILTDVVHGHLTSGGDAATLIVSTFKFLGSTRANRFVSANITWEFFYADPAKPGVAA VGLDDWPEVVNLSMDDQFVMDRETFTLSKDRSLEAGLQGGAFGASTSISSGWTRSESG NVHDHISLYGSSIFPQRNAGEPTGAKWVMEENATGESGIPDTLTTAVLLRRKPGKRFI GVIDIKAKQKLDLSSRIAQLVSTKPKVSPIRFDPALKATTDKYEPDELEKVVLDDINI VRFKTELPKHAA EPUS_06490 MNPACLSWLRYSLALRPSSPSPFRTFSTTCNLRTSTSTARDKQA VTLPDGRVLSYAEYGPTTGYPVFFFHGYPSSRLEAGPIAHLAARQDVRLIAPDRPGFG LSTFQPGRKITSWPADVSFLASHLGLVRFAILGGSGGGPYALACAQALPHTQMSAVGV LAGAPPWEAGTKEVLWYSRLAAVAANYAPGALTVVAEAAVRGLRWAVEMEWVRRRLER WLEAVEKEEEQRKKKKGGLMEEREREKTTEKDERQSLKAESRCDRLLPLLFEPFAQGA AASVQEAKLLTQSWGFRFEDVKYDRIQIWHGTRDVNAPIGMIRWMAARLPHCELRESD HTHFTLVDQLEEILAQLVPDETAKV EPUS_06491 MALTAPLFASMSFDYLIAGGGTAGLAVAARLVENPLVNVGVIEA GISHIDDPRILTPLDIVQLLGQPEYDWLYNSTPQANLSGRFVPQSRGKGLGGSSAINA QLLTFASRNDIDDWGNLGNDGWSYDDLAPYYRKFEDYQSPPPDVAEEASAEYINASAH GYGGPIRSSFAPFYTDLQKAWTPTYENLGIGADGDMRDGVALGGYTNLMSQNQEDHTR SYAGNRYYQPNAGRLNLKVLTEARVNNIIFDEKKEGDDLLTATGLNFTVNGTHYVVNA KKEVIIAAGTVESPKLLELSGIGNAEILNKHSIRVLLDNKAVGENLQDHPVIGYTNQV NDGVITQDQYIFNRTYQDEARLLYQTNRTGPLTSVITQYAPLSWSQILPPDQQTRPQD LVNQYLPAESYSHDSPPGLREQFDLVKKRLVDPREAMACDGMLAGAGLPTPNPSTGRF DLVSRTSFLAHPLSRGTVHIRSADPSQAPEFDPRYLSHPLDIIMLGDVALHMQRVGKA APLSDLFKGNGTVHGPALPNELNSTSVLDYVRQLVNTGWHPIGTCAMLPRDKGGVVSP RLKVYGTVNVRIIDASIAPLHVRGNTQSLVYAIAEYGADIVKADQAGKYKRDRIRKSR DKEGCGVRCIG EPUS_06492 MKTVVGHAWLYALMVFKTLANPLRDQGQSVLIDGQLNPSTDGSL QSDCSASIGAKITGENIHAVASIDCVNAVGSSEKIASFEPIVVDVGSSVDIQVLSSGY DSWTVTQGDGYDGRDGRDGRGGHDYHDHHDHDHDHDPPRNGHHKTITVTMTITSILTS TTTSVKTTTESPSTITLPASTIHLPPVTVTESETVTQPAETVTSCPSLPQPTYPGSCQ PLAPYTATFEGVEHADDLWSTSGYKGVQYALGMGNWRLVPFGDTGRAIQII EPUS_06493 MTATATTPPLIQAPTAKEKKYDRQLRLWAASGQQALEDSHVLLV IASNGDDGSGSSVAGVETLKNLVLPSIGNFTIADSAKVTEADLGINFFLRSQSLGQSR AEECKQLLEELNPDVQGHAITTPLSDLLKDPSRLRPYNLIILCAPLPQEQRRIICEYA QQYSVCLIHIQSAGFYSSFSIQLPSVFPIVDTHPDPDSIQDLRLLSPWPELLAEVKAL DDLDKLEDHDHGHVPYALILLYYLEKWKQNNEGKAPDTFKDKTQFRDMVRAAARTDNA EGGEENFDEAAAAVLKTVAPFSIKSGCREMFEKDSCKVINEDSASFWIIAAAIKTFYE THGVLPLPGSLPDMKAKSADYIKLQNIYKSKARKDVAEVSQSVRSMEKKFSRKDVIPD GEIEAFCKNAAHVKVLEGSLLPQLRIEKGDIQTRKTMLNELQNTETLLPILLAFENGS RSQLDDMIANNGKIDFEALNNQFAEVDRVGGRELHNISSVTGGMVAQEAIKIITRQYV PVNNTCIFDGIGGTTLVLKL EPUS_06494 MSHGIEESKRAAAVQAVRENFPPDPRFVGIGSGTTIVYVVEAIN ALEQDVSRVCFVPTGHQSRQLILDAGLKAVQFDSIPEEAVLDICFDGADEVDEDLNCI KGGGACLFQEKLVAMRSKKFICVADQRKLQSRLLTSWPSIPIEVAPIAARQVLVRLRE LGSPSPHLREGHTPNGSPLKTDQDFFIIDAPFPRLLTSSDRKSTSTSTSTTPRHNAGV SNNGADNDSRSEGRGKDGIWEVEHLANAIKSIEGVLEVGIFSGRTGPEAFWGGGWERD GAEGESQGR EPUS_06495 MGLPAPQGSPPEGSPKMTAGTPIVNGTSNGVATNPNNPNEHIKR FEAPSRTLSPLKHALFHNKTRCFVYGMQPRAVQGMLDFDFICKRSTPSVAGIIYTFGG QFVSKMYWGTSETLLPVYQDVNKAMAKHPDVDTVVNFASSRSVYSSTMELMQLPQIRS IAIIAEGVPERRAREIMVAAKEKGVTIIGPATVGGIKPGAYKIGNTGGMMDNIVASKL YRKGSVGYVSKSGGMSNELNNIISSNTDGVYEGVAIGGDRYPGTSFIDHLLRYQAEPE CKILLLLGEVGGVEEYRVIEAVKKGTITKPIVAWAIGTCAGMFKTEVQFGHAGASANS QLETANMKNQSMKEAGFFVPDTFEDMPAVLASVYQSLVKQGSIKPSPEPVPPKIPIDY SWAQELGLIRKPAAFISTISDDRGQELLYAGMPISDVFREDIGIGGVMSLLWFRRRLP LYASKFLEMVLMLTADHGPAVSGAMNTIITTRAGKDLISSLVAGLLTIGSRFGGALDG AADEFTKAFDKGLSPREFVDTMRKENKLIPGIGHRVKSRSNPDLRVELVKEFVMKNFA SHKLLDYAIAVETVTTSKKDNLILNVDGCVAVCFVDLLRNCGAFSPEEAEDYLNMGVL NGLFVLGRSIGLIAHFLDQKRLRTGLYRHPWDDITYLLPTLGKGGPGNEGRVEVSL EPUS_06496 MSAKSILEADGKAILNYHLTRAPVIKPTPLPPAATHNAPSRLAS LNFPEDANPSAILDQAEATYPWLLAPGAKFVAKPDQLIKRRGKSGLLALNKTWAEARE WIEARAGKEQKVETVTGYLRNFLVEPFVPHPADTEYYININSAREGDWILFTHEGGVD VGDVDAKAEKLLIPVNLKDYPSNEEIAATLLKKVPKGLHNVLVDFISRLYAVYVDCQF TYLEINPLVVIPNADATSAEVHFLDLAAKLDQTADFECGTKWAIARSPAALGLPGVKA DGKVTVDVGPPMEFPAPFGRELSKEEKYISDMDAKTGASLKLTVLNGSGRIWTLVAGG GASVVYADAIASAGFVSELANYGEYSGAPNEAQTYNYARTVLELMLRAPAHPEGKVLF VGGGIANFTNVATTFKGVIKALREVAPVLVEHNVQIWVRRAGPNYQEGLRNIKGVGEE LGLNMHVFGPEMHVSGIVPLALNNKKTDIKEFGVA EPUS_06497 MSSGSRPESRLSSSYLAQTASSVPRSGSSTSNTSPVDPNTGNIP KTSFSAANTMNTNNSGLGSARLGAGSPLHDSNTRLFPKRTRELQAQEGLSPGIWGPPT ASGNSTPLRENIPESPTQDGFPDLVPPADSDLNSPARRARAGTVPSRFSPVGTLNGMN VQQTLLSKTSRPTPSTSPFKPPATAVTDAPSSKLLAASSSNAALLSRLRAGSMPQRSN FLGSSNPFGNSLFSTNWAPSRERTSTLASIKSLEDPSSPAQSTFSKDGLTDSDVRTLD YLGLVETPQQPRATLARPTTNDGMSQQQVLGLPQLLDYNMLTRNANRFRSYSVNAAAK YEDDDELGVSYSGLPSGTLTPSAAALDAQLAATQAQIHQHNLAVQAFANHASVSRPRA RTAGILDTPPQRASLRNYLANPSRLDNSMSAADMPLEEGNEYEKLAESVRLLQMNGIN TDSRQNKVDDDGTVASRSLWIGSIPNSTTVSSLDAIFSIYGPIESTRVLTHKNCGFVN FHNVTNAIQAKQLLNGKEIFPGAGPVRIGYAKAPSSTGTPGNDTVQQSPTADPHSDTL SPNARSGEREGFNSNDINAKTSAAAMQVPNLADLKSEMMSIVLDFGATDGAATKISNS IDGAIAYDHYKKEIPPTSEPSHARIFDAPRLRDIRKRIDNQVCSHGELEETAVQMLPE ITELASDYLGNTVVQKMFEYCSEELKEQMLTQLAPHLAEIGVHKNGTWAAQKIIDVCR TISQMTMIVDHLRPYTVPLFLDQYGNYVLQCCLRFGSPFNDFIFEAMLSRMWEIAQGR FGARAMRACLESHHATKEQQRMLASAIALHSVQLATNTNGALLLTWFLDTCTFPQRRT VLAPRLVPHLVHLCTHKVAYLTVLKVINQRNEGDARETILRALFFSPNDTTLEQILGD QSSGATLIFKVLTTPFFDEDMRSEVVKNVAKVLTKIKAQPSQGYKRLMDEVGMSSRAA QNQVQNHAHPINNDRQRQLPQQSNVGFLPQPPLERQYSGQYVPNMNAAAFEAGQGLAR TGSADSINFTPHNFNMNGPTQSAYPAQTPIQQMAPQYQSFFAAPQRNGNNYMQAAQAN AFTGYATPPPSIDTMSYRNVSQNHGSPLTGPAPMGSPMINGGSGFGQQGYSPVIGGNM YGYPQQGYMSNQQMQAVNGGGGSGRRGRVLPPCSSCFLISTDCML EPUS_06498 MADEEMNDAPPVEQDNDSQSESDDGSDGSEPVETLIAGRDRRVT AGNRMASLIEREDDDEVALLFAQEDEEEDVEFEESDEDRSDAQLDSSSDDEDQDPNTK GDEDLEGEKELQQQAKAQKAKKRKAQDAFTTVSGLRKKVKIVSTSTPITSAPRPKKKS ERVSWLPDVNEGPTRASARKQTVENKEVTHARLKDHAVKRAKTLAQMRKAEHEREKHK PKQMTQADRLAEAAKTEKKNSKSLNRWEEMERRRADEQAAKLAALKTRKLEGPVVSWW SGIATWLGTKLVKIGSKETNQEMLAEPKKRGRKPKQNPEAPESVKEDVQSSTGTPRAQ TVTPAPSEPPVQQQPQAAEQLSKSIQSAEPSQALPNVTFTAPQGLDNFFLQGIQEYAS MPSEGGSNVPSTAPSDGPSTPQVYVDPSQRRPSQSQPQPPPPEPEPEPEPMQSTRNLV MLASFERVNPNDRGEFGVFYNTRKGPKLSKANQELCPITSLPARYRDPTTGVAYANTY AYQKLKELQAYKYTWSSMLGCYVGAAGVVARGVPDGFLSDPPANTS EPUS_06499 MSAEPDHAKGKPKHNLTDASGAEKKEVRYPDTGISTLGIDHRTQ ELDTSTAILKKKKKPNSLIVTDATNDDNSIIALSNNTMDTLQLFRGDTVLVKGKKRKD TVLIVLADDDLDDGSARMNRVVRHNLRVKHGDIVTVHPCPEIKYAKRIAVLPIADTVE GLTGSLFDVFLAPYFREAYRPVRQGDLFTVRASMRQVEFKIVEVDPPEYGIVAQDTVI HCEGEPIQREDEEGNLNEVGYDDIGGCRKQMAQIRELVELPLRHPQLFKSIGIKPPRG ILMFGPPGTGKTLMARAVANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSP AIIFIDEIDSIAPKREKTNGEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPA LRRFGRFDREVDISIPDPTGRLEILQIHTKNMKLGEDVDLESIAAETHGYVGSDIASL CSEAAMQQIREKMDLIDLDEDTIDAEVLDSLGVTMENFRFALGVSNPSALREVAVVEV PNVRWDDIGGLENVKRELIESVQYPVDHPEKFLKFGLSPSRGVLFYGPPGTGKTLLAK AVANECAANFISVKGPELLSMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKSRG GSVGDAGGASDRVVNQLLTEMDGMTSKKNVFVIGATNRPEQLDNALCRPGRLDTLVYV PLPDEPSRVGILKAQLRKTPVADDVDINYIASKTHGFSGADLGFVTQRAVKLAIKQSI AIDIEKTKEREAAGEDVKMEEDDAEDPVPELTKAHFEEAMQLARRSVSDVEVRRYEAF AQSMKNSGGSNFFRFPTEEQTNAAAANGNGFGDAGADDSLYD EPUS_06500 MPPLNEFSRYDVGVADFAFEFQELHIDSFPHLQGIAPEIRQEIL RYLLNVERCRVETENQDWVHGYQRTGEKANVSSGRPLEGRALQSWLFNESQSLSNELS SIRGSSPWVVKLDLHPAILNVNKQFLVEGMNLIASENIFIRIRSDIPNYNVHLRKFGL PVFTYHKRPYPEMCRYESMMKASGIGSQSFRVMIEIDMRTDQTNLLSKLKHFYLIPFK DIDQVIRALYLTKGREEAWFRFSINLKHVPDPMKSSIDIQVTQWMSNFRPVGSISIII PAEGFESFPITDLLTQPFNIWEFVIAVQKITGFTQTSKAPLPARPKPSGPEDRYKSLT QLSQLSAIFTQNHDLLKRSHREAVELLATLASAPLYTLLQLEYAAVKASAPDNENNNA SNDRVMSKWHLTILPLSTLLASLPPLFRGQRLQSLHIHIHVLAALGHERRTSAITFEL LVTLRMRILEHSLSQKEGPGAEAEDDSGLVVRSNGCGLSKKTRALVGVTKYALERGFV EEAGWGEVWMMGLWQDLCRDGWLVVQEMDCGGKGKGMDDGSSAAGDGGDKKVGETEGG KKVSVGLEDIWKLKWGGRFA EPUS_06501 MSIPLASFYDPQCSSDHWIIQHSARTARPDLGLREGEAARLALQ ELEKLKGSDAHRLALVPSTHSLPQLQSLIPNLDKYLFRGLLKNNASFRLSSDLPPTIH GSTSALGALGNQIVVSLNSLLMRHPSHLALVASLIHHMTHAYLLVCCGFGNSNANDGR HDLKHGLAFSSIVHTIQDILVDDARIPLPDLFYCSDAFTRSTRLRRQRSDRNSLHSYC HFDDSDHEDKRACGAYMQRVILGAQDTSFDSREKTSYPANACQSMSMLGGLVNRAQME NFYRPQIDLYHYLPHPTTSLVRPAGDIPVSGDYQALLNLQAFLAFGDYEPRVLVRFDS SDIDGPPRIHVDDKHLTKESNLSNPLDDLRTYVLALRTSVEPLRRLALVRLYSQKLLK KEINAMYFLEEIYTGAPSCEDGPGVAVKDYKPDDDLRHFVLAFLCAAHPDLPTKAPGV IQLEYEDTIYGRRVITETDTEPATRDNSNLYILETTDRYKGRLSKLRGNGGLFLEDLD KVKSALGNQEPVKPPADLLVSGAGDGTLQALEASNANTAKGLLGSRTVLERLEAAQKQ HRAYDPYQQYRRRRPVLGLGGIPASFTVDDLVDEVRLDDFVRVQGLRF EPUS_06502 MSVKFEKETVRTAAVPGLRAGTEDTIHKIGEAVTGGKSQTGYLA AYLKQLQSNPLRTKMLTSGTLAALQEVLASWLAHDRSKHGHYFSTRVPKMAAYGALIS APLGHMLISILQKLFANRTSLKAKVLQILLSNLIVAPIQNTVYLASMAVIAGARTWHQ VRATVRAGFMPVMKVSWITSPLALAFAQKFLPEQTWVPFFNIVAFVIGTYINTHTKKK RLAALRKKHYGDGRSSSGRSDDYPRPNY EPUS_06503 MPTLEQRQAACTHLNVTRLFDPLESNKCQMCGRTSSFGWLYRCT QDYDSFLPESDFTCTESEASDSRLSNGVATSQLSQSILRGIKDGDYTTPQVHLLEAQK LRVRRLIAMQEERLENLPDSSQTSRSLSSSDSLPESTALATVKISEALQPRSKNICSL PATKSTAAYGGNHTPLPTGLHRTRVEPLFPNCHFKCCQSCRPAYRDRAFQSLNAILSE PVRQPPQWELENRRISDANTLKRLGTPLPRPQLRRVHQKLDKLKDLTGSQTLGSSENS ETPSETEETGAKVRQRGGFRKRVKRVLSAIDQSKHLAKSSNTSRHSSKSSSRDSLVQM SRSMFLKKSSKQEKENTIVTNRALQESLVLMLAANTPLPRASEDSESLEGGEVKVEDG VAVTEEGVEMSAADIIIQV EPUS_06504 MADATPSTGASSATDVSATHAKSDLRAQDGPLPLSPEISNPKQD FNSPSVLQRYASATDQSIVRLQRLLTTATGLDTFLSTLNYTLYILAYLEAQSPSKATL LQRLLKLSNAGTRGSQNTPSKPLQGIPPSATPFGTLASLISDTRITLRLTALLPLYVW LRKLVNNNGRQDRSLRAIALAQCISYIIFQFTENVVFLADRGIVSRKWLEKRGGSAKW WLWSSRAWLIGVCCDLLRLFREAVIDPENGVLLRRTRKGRMETEIEKQQKADRMWWSE VFVASCWLPVCLHYSLQNGLKGVNSGVIGLLGFMAGAQSFMAQWAKTKTT EPUS_06505 MSVMTPTVLAQLPQSLLGVDARTQFGPCYGFTGSRKRKRTEIAA AVDGEALNIYDVQGSKLVSSYAVPPTCLFSCPPCSMRQRQSLGSKTTRYTACAVQTPE QRIQCYEEKFEHTETPVISSHSHIIEEQSPAIHIEFIPIDANRGQPELSPDVIVVHHN GTVRRLSSDLKHTRWVAASVQQSEGRALPPEVLSAHWVSNVDASTGLLQRREDILKDC AACGSSFLILVHRDGDHQKSGLRVGVFNVPMAQQMGFTSSSSGQLRLLLSNPLPESKR WSIATDLHIDFHAPSARLSVSSRNELINYDLSAYIPDISSKLAFDDGHSSLFALDGNT AAGALRSAVQIYDINYQSVKARFGLGTKSRRRGIEDSARKAVRFILYFAKINVLVAVR GRNLTTFNLPRERVKTQRPPQTSSLLIESLGSSTYTSNRVTTHTQAEMGPGFVKALFV PNQLEQAGWEARQKTLDELVQRDQVTEFELFMADELRDTLAEEKDCKKHTPIKLPSED QFVNYDRIHYLLSKIFHASVLPSVFGDENNQADVVIVFFPPTLFRWLALHSHLRTSEI ERASSSELSQPQLRLGAVAISIMKQDPSLGLLADYLRGANVSGLDEAVTIIKVLIKDA AARVQGMAPPQQLLEYPHATIPEEPINHTEKISTLESSPSAPAGSWSETCTAVLVRTL DMLRSFSPVKITTAIRTYLDTDVVLALIQILRQQLFQSGCTSSSSTSCVASEDATLAV ETTVLVLCSCIDALGSSGFLGSTFDQGLWQDLVPDLKSEVSLALAGIEEATYLKGVIQ EVLRYGNAATSNQALPTDSPFHQFEKPTREIVAIMKANTGSMEEQSRCIREGPSLLPL SLDLENSVSKTKKRKGGGETVERTARELQHLKSRNIGRYSFERLIL EPUS_06506 MAFPSGTAYHADSDADDEYERSVMTSPTQLHTDSEASPTDSEPP STENTPTTYGNGGDDHNLPRTIITEWTAEECAQFISSLGLRQYCDAIIDNEIVGEALV ALRHEELKEMGIASVGHRLTILKSVYDVKVNQDIPIEPDHYIPLSAEQSVQNETATKE DIQRWARSIIKLRDERMEQAEEQLRHLAQAYSKLRQELIPVFKMSKERLEPLPNVPGY HVSANSPELYSLEATSPSTVQAPPEKTGLSRTLSLNKKKLFLGSGPKNHSPTHIPSTI HEGKALSDASPLDPSAASIAASNNLTAAMSHSALPPANSPSAIPQPSPTSPLPYPPAM LANRSYADGTTPSGGRNIQDYPDVGRSSRPVPTNTPPNARDPPSSATSMNSNVSSRNP NVNTFSTASTAAPSTYSSVNGASSATPVPTSASGSGPSADTPSVEIFKSFRVGLEDPC HKVLPAALRRYNIQADWRQYALYIVYGDQERCVELEEKPLALFKALDSEGKKPMFMLR KLAPSVETPSSAGIRAPGLGVGGATMSSGASVRTLGNLPGGQL EPUS_06507 MSLFGTSPPEPAYTSSNANSKSLFGDESETAATTTSSLFADDAG DTSPWIMPTPKKAARRELVKNLLPATDVPEFYIDSYDTMLDSKDRVGAGIGLTGVKNI LASSGLSGVEQAKILNFVVPGGQESANGLSRSEFNVLLALIGLGQEGDDITLDELPQP KISYFNKLRTQAREGDIRTRPSQAAITPPSGTSPRETNSSRPRRMRQDSLGDPESDPW ASPAMTKPLAPRPPSPAEKANGVAETEQPLSARNMSQRTTSEFTTHGEPTSNGNNAAR RDTPPTDDGGEWNTYNGSSAGGFPERPTSGGGFGDAGDDDHGNRRSGNQPRSSGLSTA IPHGTGEVIVVTMLPDKEGMFLFQHRNYEVKSVRRGSSVVRRYSDFVWLLDCLHKKYP FRRIPLLPPKRVAVNGTHLAADSSSFLEKRRRGLVRFTNALIQHPVLSQEQLVIMFLT VPTYQELSVWRKQATISVTEEFADRPLPPDLEDSLPASLAETFDTVRAGVKRSAEIYI QLCTLLERLAKRNEGLAADSLRFSLALTSLTENSMDTYAIDTNDVPLLNEGINATAKH LSTSQTLLEDEAKAWDEGVLEDFKKQRDSLVGMRDMFDRRDRLARDNIPQLERRIEVS EQKLETLRLKPAGSVKPGEIEKVENSIIRDKESIVAQHARGVFIRECVRDEIRYFSGA SIWQVSRLVQDWSAERVKFGELQASCWRGLQEEVESMPVGE EPUS_06508 MSWEWDRAADDAFHRLLGYSQEYLDRLHEGVDEYRAAHAARIAS APDAWSELGSDSDYDDAHLAPLSPPLTPRGEGQGQGLDQPRFDHSQRIAAPVPSQNSK VHTTRSRSAATVSKREDSRVKGVEQAGHFVLSFHEDRTAELPHLGWRVGKGSRKSPAN RGVDILLARPGDLLSKSLAGIHMVLIFNLRSGFLMLRAGSQKAPVEFKVGGKWEKLEY QEERLMYQPATMLRAGSCEYELEYTVEKEHRTAYFDRRNNFLKKISSSKEPVQLVFQK MPGDSYVLRGRCLEFETQGSGAFGWITQGVDTLTGNPIAIKELRINSQRKCVETMAEV EMGRRFLNKRGLLPILEAQCEHGRPNGCGTLERFYIFMPYARSDFTLNFWSSPEFPRR TKLHLLREPLEGIRMLHTMGIMHRDIRIKNILIMSVKPPQASLCDYGKAIEAASSTVT TIGPICTLAPEVWTISTDGPYTAKIDMWAYGYAIAEILGYSVARYPGLDGYHTNNPQI TRNRHMAILEMLRAHCYKITEDEPLVDLVSKLLVWEPEERWSAEQALEHVCWDPISQN ETSHNEHQAENDAGPLDQKSSGQQSRAEIGWLVPSHFSPIHNRYPGVIARG EPUS_06509 MNHLGTGSRDASLMASRHSSTADSMTQGRSDDYIFNRDYLDNNR INLQHYLWREIFGYLVHPAIPTSNPHLKIADVGTGTGVWLTDLSDRLPATVQLDGLDI SFDALPPRRWLPANVNTIHWNIKTDAPEELLGVYDIVHVRLFAFVLLDEDLPAILVRL AKLLKPGGHLQWDEPDIASFRIEKADPSISTHALSRLLKIAQGQDARFKPTWVSQLPT LFRSAGFHCVQYDVREAKPWVALAMHECNLMVHEVIASKAKDKAFAQELPELLSKVAD ETRQGAAWAFTRYTVLGTRPHDA EPUS_06510 MANAKAASPGHGLASSPYPVSGSSDGSKANSEQHDHGSEHHPRA GMKSFAWPLVLISILCSHFLYALDNTVVANVQPAIIATLGEIDKLPWISVGFVFAAAS VTLTWGRLYGLFDVKITFMTAVFVFEVGTAVCGAAPTMNAFIVGRTICGLGAGGIYTG TMVVVAAFTSIKERPAYLGMTGLTWGFGTVLGPVIGGAFADNTHATWRWGFYIGLCVG AVAAPVYFLLLPRYDVRKGTPMLIRFFEFDLVGSVLLIGAFVSGTCAIDFGGSLYAWS DSRIIGLFCVFGVLCILFTAQQGCSILTKPEHRLFPGDMLKSRDMVILFIQASASNAC VFIPVFYIPLYFQFVRGDGALESAVRLLPYVCVFVAAAMFNGIFMTKTGYYLPWYIVG AALSLVGGALMFTVTQHTAPSRVYGYSVLIAAGAGSVNPACWAVSQAKAPRGNFVQAS AFIGLANALGLTLTLSISNSVFVNVATKMVATVLPRLNRTQVQAAIAGTDAHVFQTLM DDERMRCLDAITYALSRIYIMLIVGAATSLVFAMLMKWEKVFVDPEKEQQDEQKAQV EPUS_06511 MTGFQNQVLSAATAGFLAHWCYFVHGEHDLQAAHIAQTHIVLCI LVAGAKGYVEGLTFSNACRETMTLAAIYVGIMMTSIAVYRLFFSPLRRFPGSFSWKLS KLSHVWSMTKPDNFKVLERERQKYGDIVRTGPNEITLFTVEAFHQVYNSQSNCTKSPY YDLLQPMESLDTTRDPAMHTRRRKIWDQFFSVRCKPTPALSLEKQEGLVYSYGDKLVA AIEKRVNEPLDVSDWFEYYTFDLMGQFGMGFDFGLLEGQPNDIHHLYHIAHRNLGPIG AAPWMKHLMMSIPYIERLRSYRLFLQWTTNLLQNKIESKSEIKDVLGYVVEDARRNGG FQAEWRFMMGDLALLIAAGSDPMRLALTNIFYYLVVYPEHLRQVRNELAQIDWRDYKA LARLDHLSAVIYETLRLNPPVPAAGLRITPPEGLTLSTAHIPGGTTVLVPQYSLFRGK YALAPPNRLSASLFQPPNLCTASLNEELTRLSVSDPRNFAQPNDFLPERFTTRPELIL DEDAYMPWSAGKGQCIGKKLSLLEIRVAVAAVVTRFDVGFAPGEDGTRMFAETVDYFT STPGPLRLVFKEREAKREAM EPUS_06512 MAQPATTAATNTSTTKPTIVLTHGIWHSPLTYSKFTSALRAAGY DVHVPFLPSCTGARPPKASLPEDTQLVRRLVEMLCDQGRTVIMVMHSYGGVVGCNALK GLGAKKGGGVAHLVAVAAHLHPVGFGILDLVREMGNKDLIPVAFDIAPDGSCMSKNVR ASLFNDLANEAEANALMRTLSRANLDCMEAKVQFEAWKHIPLTYVHTTADMTLPPHYQ KRILEKMEKAKVKAKVVEMDSGHSPYVTKTDELVALVEKVAAHP EPUS_06513 MITQIAPISHDYVVLISVTCIFTSFSTICVVLRYYSRRLSDGFG SDDWAAIGALIFAFGFLIANVLAATIGMAGYQQHEIDMAHFQAYLRCLLANNIVYNTS ITLSKLSVVLMYRRLFAVRSFRIWLWPVYFLLAAYWLCCFFAELFAYTPVQAQWNPLM PSTHIDLFKFGLAMAGYNIAMDVLILTMPQFRVWRLCITKQKKVLLSVVFLLGGFTVR LIYLVRIDYANPTYTLSVAGIWTAVEVNMAIVCACLPTLPHLFRHWYDAKQPSQSMRS TGSLVGRKMVAWMKTSKSDSSAAFSELERGWASESSTSGLRPESGKDDSSPMRRFDRY QLSDLQSVRVHEDAGVDGSTKARS EPUS_06514 MAILAMQSRESSSGKKRKVLCLHGIGTNSEMGQIFEAQTAALRY RLGPAFEYDFIEGSYPWPAAPGIREIFGAHDMCYSYHDGSAPSALEAVQSLSEYCRDN SPYAAVMGFSSGAALAATLLIAEAGDEGLKKEQQATFGSAIFLCSTQPYDWRELKAGR VRFLDGQDASEAICIPTVHAWARNDKEYAEQGVKVVQMSKLAKRTEVIHNAGHGVPNH GEALERLAVAVERATSGLA EPUS_06515 MSPSTSAENGEDHAGPTPIAVVGYDFEFPQEATSTESFWQMLCE GRCASTEFPKDRINIDAFYHPDRSRNSTVAARGGCFVTEPLGAFDAPFFSILPVEAAC MDPQHRKMLEVAYHALEDVYTGSFTDDYRSVMQQDFESQHTHAATGIAFSMLANRLSW FFNFKGASVNLDSACSSSLSALHFACQDLWNETASMALVGGANYMYHPDFMRFLSNLN FLSPDSRCWSFDERANGYARGEGCAVLVLKRLPDAIKNGDNVRAVIRNTGLNQDGRTP GITVPNEDAQVDLITSTYRKAGLSMEPTRYFEAHGTGTPVGDPKEASAIGRSFAHCRT ADDPLYVGAVKANIGHLEGCSGLAGIIKTMLVLEKGFIPPIAGLNTLNKKIEPEKRHL HFLRVGIPWPVGGLRRACVNSFGFGGTNAAAILDDVNSHLEFYKVHDPIHRQHQAVNG VSTPLLNSTSTNERRNSSDMRLISSAKGESIDHTAIDKLSMSRTPPSHPRLLVWSAFD REGATRLAKLYVERQDSNTEMHDDVFYTVSQRRTLFPWRCHAVFDQHSDVSNTCYSIS EPIRATESRRIAFIFTGQGAQYQGMGRQLLKYHVFHDSLMDLDGCLRAHGCSWSVHDF VTEAAKDGSIDKPEYAQPLTTCMQIALVDLFRSFGIIPSVVLGHSSGEIAAAYAAGAL SRYAAVNVAYQRGQVSSSVARDCGELGMMAVGLSSEEILRYIQRLQASYTKVEVTVAC INSPKSVTLSGRAKQLNLLENWLRADAVFTRQLRTPVAYHSPFMQTVADDYATAIGTL EYPLQGESVPLLSSLTGDIVLHETLQDPQYWVRNMVSTVQFDTAFSRLLAQSNKKARK KLGKKNQDTFQITDALEIGPHSTLRGPIRDITNAFASTKKIAYTPSLIRGEDAAVAVL KMAGTLHCAGVPVEIAKVNQLESRPTKRAVNLPKYPFNHKQIYWEESRLSKKVRYPKH ARNDILGTQIPDGNPHTPQWRNIMRTSELPWIEDHRIQGERIFPASGSLVMAIEAFKQ SFDDDALLQGCQIRNVAFIHPIEFPPGADRVETRLELSLPPPNADRCSTWSKFRVFVI DDNENFIECCSGAIRGCKVDNMQGAGFPMPALKGGETFEDWVRSINGNCMDDLDVQAI YDAATSEGVQYGPCFQVLDKLRLGQQGEAAAEIKAGQWRKTASSSSVTLHPVHPTVLD ASMQLLTLALSRGRQDFATMVPSRISNLWIDCQHKEILNDGRISTMTKSWLQGYRKAG ADIIATKLDLRNPLFWLQGMEATFIGGFDSSMAASRYADPNLCMRLTWRPDISRMSNQ QIQAECTRERPNGPSNQVAFYRSMTLAASTFIYEALAYTDAQPSLSLPQHLRSYIEWM KYQQERVRSGRFPFRESEVRHLLGSSPERAQLINDIEHTDSEGKLMMVIGRNLIPILR QEVDPLDLLFRDGLADQFYETMLSNPYHSHPSRAYLNLLSFKDPSMNILEVGAGTGGQ TLACLQALSSRDAKCFRRYDYTDISAGFFGPARTKFAEYEHLMRFKTFDVEKDPVGQG FEAASYDLIIASHVIHATKTLEVSLRHVRKLLKPGGKLLLFETTRPEYLLVGFAFGLL RGWWSPLGHEDRAEYSPCVSAVTWDERLRSSGFSGVDMEFLGQKEAECQYSSIIVSTA VDLTNGGEHHEGQIAVVVNPEVEAQRTLARSLQQRLASCKVYTLAELAADETPPSGPA LFLVEVDAVLLYDMTATEYKNVQSILIRFRDTLWVVRSASGEPDPRHGVVDGLARALA SEDSTRKFTTLTLQDESEDGEGATERIADALARQYSPVENLENHIVVRNCVPHINRIT EYHDINVMIAQKTSIQRIEERRLDGTAQLELRMAAPAALHMLGYCEDDTQMPPLGDDE VLIEVRAFGLTIRSYLSATGHLDEPDLGTECAGVVQAAGKACSFQPGDRVTCIGIRTS RTLIRSKASAVVRIQENMSFHEAASMPSAIWTAYHALFDIGRIEEGDTVLIYDAATSI GQVAIQMARRVGAAILVTAQSQVSTTLLRDSFHIATSSILSCADSVLPSEVHSKTHGK GVDIFMGNLSSDSMSDYAQCLAAFGRLIDIEAKKPNSGSSAPSMSMPRNITQASIDLT EHLKYKPIASYKTFQKAVRLASECAIEAPQPLHIFQAGEIESAFRHFEQKDAVGPVVV EFAPNDTVQAYVPNKPKYCFDSDSTFIIAGGLGGLGRSFARWMADRGARHLVLLSRNG IKTKPAEKLVVELRARDITVATPACDVTNLNMLLQVMGEVSRTMPPVRGCIQATVALR DATFENMTYDDWKVGLDSKALGSWNLHHALPPRLDFFVIIASLNGIFGDALAHHRIAH GQKAVSIDLGLMVAEGIVAENEAMLASLRRVGYLKDIRQEDLIALLDYYCDPSLPLLP PDDVQVLVGAELPSAVLAKGIDLHHSIRRPISRHLFRMGAHSGGTAPQSRGGSSTIDR AAALRDSASNEEAERLTTAWFAAKVAHVLGLAEADIETSKPVHAYGIDSLVAIDLKNW LQKELGAEVSVIQLLGNATIVELGAIAAAKSAFRKEIKPPQTLE EPUS_06516 MKPLLCVVVFFALALADISSDLNNLIRSPNASSPSAYFIPEQII FSRGRHAVCASGKIQVPITAQNIRFTTQVPPSQFAVTAIVQEILQYDASLIQKSIAGT QIVQGTYGIFAKLCYPAQFASAAQVKSIQILTHGSGLEKSYWDIASPNNSYVDAAAKA GYATLAYDRLGAGQSDRPDPINVIQSAVQVEILEALIQGVRTGTLGIQKFNTVICAGH SYGQIIQTGHDAKYPASCSAVLATGISDTTQYLVPETFALNPTIASQADPARFGSLNN AFLINPTPVSVHLPFFRYPYFDPAVFQKVFDTRSTYTIGEIFTAIAILSPAPAFTGPV AVINGQFDIPFCGGDCAQRPSAYRATFYPAAVNSTAQVIPNTGHVINGHITAPQAFSQ LLEFLKKNNL EPUS_06517 MDAIYEGAEFTIVNVAGDARTGLPGVGKTPRASQHTVELEISKG KSVESGHSEDGHIKKVFSQIDAWCSPESKYYMLSGIFRGDMHRVPELQYGFQPEDTNN LAKEIETINGHIRGFTSRNLTDPGDSLNAFLGVSSSISKKDGLSLMLGIPVWAGPFAD GQPGLQHTFALSISIWFHLGKPIEDKSELFVASRHRRSQFPSWSWIGWEGRVDFNGDN NDYNEPHEVDEDDSGGDNFHIDFFTAMMKTE EPUS_06518 MELMQSYAKEDGAIGVDDLHRWPHGSDVFGFLSETTSASSVGEL MKRKEKLKGLVSLASLWAPRDYKYTPS EPUS_06519 MELMQSYAKEDGAIGVDDLHRWPHGSDVFGFLSETTSASSVGEL MKRKEKLKGLVSLASLWAPRDYKYTPS EPUS_06520 MTSDPNKRHTQLFFNKLRGRGLHPHSGSDTQQPDGNSDRTAARQ DAAKWQARERVSSSESDAIDPETGAVRLRLVEAVNSGVRAQEYIMTKEVSPWRSYERI YQLWLSVGGRVNVAEAQKPPIRYRHSEKLHGAAHRGQALYVATDPTPNFVSAFDAFKF EQSLYIVFEHIPISLQYIAGNLTLMNFAWLQHLDRYLRALPTSQRKD EPUS_06521 MRRARFLEQERRQEAERSIKYRGTARIKLDALHFPCEESREPDK NNVERLKNLFHGEGGCRRLDLRNHIPAFNSQPQLEAVTEAIEISTERLLEDARGGYPE PDFPPGYRRWKVDLYLKDLTQELEKTLIEEYSAEKEPDDGEIYSKIRKYQGYRGAGIP YFEKRWAGRGMRLSTTHTMFATRCQEENLCYVDDTIRDFWTKKIFREDREIFGAFNEQ DRGDIWSRVLSASADRLIPSLFSFFEDINYLKNVAEGVKSRRASRTYTRRSITPTYGE LQTTADQEVVGNPIHSTQPGTDSSATNNSNSISGSGKIPDHVTNSEEQIQAVEPQITR QSSDQGPDLRSVRMKYKIRDRGVWKVPHTPLVDPSDPSEARRVAIKYMRKRIHMFDTS FCMLTPQTYFEDVTADGTNTILLIPEWDIDTDNLPTSVSEERPDLQTNSEADPSIPEL NPENGSKGEELFIREAPGAIQAAHTIATSLAFSPPSPQMAYQRAMTSHTRRYCWHQGF VSSALGIFKAPSYEKSIAVGRKLLKRRILSYKYTQGQSGDRDGDL EPUS_06522 MFQRDSEEEDNDDRVFVLGEGVNRFMPQWDEQILGPANKFRIKT DYNMPPKPEELQVAALLACSQCELTWLVGKAGQSAGAGHPSHHTLYHEYAGTSRSLVV RTDGACINNGQPRAEAGVGVYFAPNSRYNVSSPLEDSCAPTNQRAELHALLRAMLVVR KDIVPTRSILVNRNRDKKHFRLVLVTDSSYAVECMCKHWKGWKVVDGRKVLKNQKGKH IANSDVLLAIQDEVEELSRVGVQVAYYHVRREFNREADALAKAGVSREVEVEGKPLHI GVMMID EPUS_06523 MARTSDSDHCRLEAIVRPDCIIESHEISDAARGWRRVPVTKVWR TERVLGHGGFGEVHLQCLDSDKKTTRALKIIPKRGLSMSNADCQRELMAMVEFKKPKY REAAVFVDFFGWFQNDSAMFLAMEYMSLGDLEQNLQEIENSPTHEGPALSEEETQVVT RQILEGLNIMHTEGFAHRDLKPQNVFVVQKQPQWWVKLGDFGLSKQRTDQTAFRTQAG TQQYMAPELFYYVPDLDTETSEYTSAIDLWALGCVVYRVITGAVPFPSLLSLRNFCRD PSKVPLNIPPTMVNAGKFAEALLQPSPVRRPSASGALASTWLTQKSRPNIGLQNMQST FASMSMSASQNDYNTASHKGLQSHYFMPTTIPAPQEPSVNGPLSEPLPLLTRRPVQES KNSNSSHPIDSKTIRPYRDTTTGPTEAENHDAEALKSLGNAAMGKKDYPKAIDLYTKA LTITPGAAVYLSNRAAAHIGSEKYAAACADAEAAVAADPKYAKAWVRLATARLALGDI GGSVDAYTKGIEYAGHGGSEGARKGLEAAKKKLKQLEEDEFEDDLEPVQIGKRKLSWD SLEGNTGLDSDEISTRPRKLSWDSLEGNTGLDSDELSTRPSKPGLFFRLFKKDRDAEA FRTQPTLSRPQRMPILVKTFTGKTIELSVYETDSIGHVKDAIEEKEGIPPDQQRLIYA GGKLEDYRSLASYHVLPHGILYLLISRSYTYL EPUS_06524 MISIEHNWMKTEAQINFLKKIATTLDPCYRDVQSRVLSELEGKL KTATLTMDQLVIHEKEKKKEKERDLDIPAVTKALEKMGPSKKVKYAFQKGSLESIRDD LENWQRRFDPSWMLTMRIADSLIDEELDQEERKPQQTKFIIAAKGVRDAARESTSTSS PTDGSIFKSATILTTDETSIPFSSALLCQLPDSKEHVLVDTMICNPIANMDRTMRDVR KLARILSKVDPSTFSLLACIGVIRSSTTCTKQPFSGVYQGQSKEHPTFKFLFAIPTSL SSPKSLRALLIESNPWYPLNSRFDLAKQLTSSVLFIHSSQFVHKNIRPETTIIFEKGE KSRSDLGKLFLVGFEKFRPAEGITYRTSDGIWQHDLYRHPSRQGTQPEEEYQMQHDIY SLGVVLLELGLWQSFITYPNPPPSSPTSSSSSSDTKQAELEDPTTPIPPAFITQYASE KDMRKRATAIKAHVISLASEMLPARMGRKYADIVLLCLRCLDSAPPLGPTVEGEGGED GKGSYCGPGGEFEDVFDEDGIVVGVKYIEKILLKMQEISV EPUS_06525 MPLPAKNVAVDMIRGHRRPNMFNIGRRDAPSKLQIVNDHGPLNI ASSASSPGRERSLHGQEDSISDPDIVVASAQVGDDDCSIPKRKRRASLSETPNPHVSE EGSNISRKRGYRATEEAAELQSEQIYLCDRCKSVDLYEAFKFSPEVCEARGRVIMPLG NITTDMEDSSCSMCRLFASVHAPNANDPKYEVDAHQLRAFEISSLLSSSQRKNRIMAN SEFILGVLPVLWENVIIVSTGQECLSHGLLAAIAPSDRPAARTRGFATHLVDSMKPNY DQIRKWIGQCQKQHRGICELDVPLPTTRLMCVDCSTGKVIQIKKG EPUS_06526 MDRASFSVFHPSLWLLTLLFNLVTAQTVSIAKDAGLLAQRDCVQ ICIGGTGAGTGHENLLQAVGCGNSNADSCLCRADLRPPASQYLSSCLTTDFTTCSGSP DYTAAVSIYNRYCSFTGPAVVTATPTPTSATNDVNVNGVTTVTQTTAPTVTVVVSSSS SSSVIQPSFGELGLIAVATGLLLLAKLAVPGR EPUS_06527 MAPSSEHSSSLKNVSHTPGDEKETLADEKGSSPHAEKPPPSLPT AVDTAPVDTEVTYPEGGRDAWLVVLGASCGLTASLGIYNTAGVFEVVISKVILPEESP SSLGWIFSIYAFVNWVCGVQVGPTFDAMGPRALIVAGTICTFIGIFSLSVCTGKINFH AILHASNILASNILASNILASNILATIC EPUS_06528 MSTIHLVLALALAFTRISATTTQTLASLPAFTQQRSCASACFWN GDPDNTNAQDVLGIKLGCCANSVTCEDRAADSCFCRVDLSSLATSWLSTCALHHCSSN AVDVSSAIGVYDEYCRNKWVVATATGTVTNKATSATTAAGTAVQTGGPASAVTVYVTR SGQNAVSSRCWVSFSCMLVIIVLEYLGRMAGT EPUS_06529 MQSQIPRSSITPDPFQNSHTRWLALTHRAPSAHSSFLYGVKSTK IYCRPTCSARLARRANVVFYDTEDQAQRDGFRPCKRCKPDNATFFGEGEELVTRAIAL LRIRKDDLTMKRGLKELAKEVGVTPSYLCRVFKKTIGITVGTYIKEFEMEASESETEG SVQSPSNVEGRKGEPAEEDVGNLEEALDLDFDFDEWFWTEDFSNDSIYG EPUS_06530 MEKESVAQYQLEEDESNAKVRKPPILHFPREDKAMSFELRAGNQ ARRPKPEVKQQMTEESRKIKDDQEFETSTEQQETKLKTGDHETHETRTSVHHH EPUS_08222 MAHSYAFNYIVSTVSKAFDPQNDPRVSAIVEEYRRTVDDHNKSP TWALHVKRRQAFAKLQRLHNEVKTRIKAEIIEHEDSSLFFDDDLDKQKIYWAVMQSHG ELDPVNNSDSKQANSMDLRIIHDSLPQPEVKRKTPSLRLGTVKDNYRFCNHPGCDWKT ELYIGSTYILQNHMITDHGKRLFPCRKGCPEAFRTGPSRQLHEISKHGNSAACQPSES ETNEQAELDPEEDLTKIGGHNSTDVDMKDISEAVSNDNDGLNKECYQEINIENALDVG YKPAANLGEDATAVDPIHSTAATTEDAVGIKKLSTTSDGSFHYCTHPGCPWKIRVHKN AYSTIRKHIRTKHLGIRYSCQAPGCDISYISNSDRRRHELEKHGIVAAKPEQSTILVQ RRDVSSLSSLHLTAMSMHAVCIVRVE EPUS_08223 MTDHPSLNDEEGIAVGQGLKSALTANKLRKRARLTFRPTDDLRS HLRLDRKLHVVEIFHHTAFLKEHLRLTKDRARVSSVAEHLQLGALPRPLALEVLDSIQ KIIFPHSDPKSRAMLRSLVSRSNFDPDCLRFEFNSIRNSDEEDVAYHYFGSRMVDLYE ELQNPAPYGLIEKWLERKSGARYVLLATLIGVLIAVLLGILALAVSIYQAYIAYQAWQ HPVGNDGPVSISVLARRG EPUS_08224 MTPQMVTTSNACSVVGRRPVEDSVAERAVDEEWRAALSDSIAAA SSQMAQYSNEPPTKQNPKSRCKSSTAPDSGYSSQVSSPNNPSQGTLAGKESIEYPSRR RFPRKPKKLRIYDKPIDQPTQTRFLDLKVLFGRTLHEFLAKKKVTFTAIQMRLSVLGE DEASAKPWIIIACEKATLKTVKQFFGQTDIKEELQANTGEWPAFELIICDRALRLLTA DIDIEVFAHDRDYIKGLFARSACGMAIKAAQNGGNQALWLTAGHILPGDSMLGALENE LEIKSVKEDGLDTDDSQAESYAAQESNLEPPDRPHLDHPFSDSNEEEFEIEFGYGSMS PSNDSASQGREEQSGEHNPSYLMNIGRTVAHSGQSKPSMLTNIGRIVAHSSQSKPSME EDCNRDWALIELNEAQRDIGNCLIDGRGDSTPFPLQLTQVVEQKILQIDGERPVGVIR TSPSPSWGVLSRAWSFIMISPGNAFTETYTLTLGPKSGLKAGDSGSWVFDCQNYKVYG HVVAVDLFGEGIVVPMHAILTDIERKFNATAVWLRDLLGRPGETELVPQHLRDITPER IAPIGPDLFTPIRRESSIYSAYAPEEISPQARVLRDLDSPGQSRSLKRKGSFVDSAYS SHRGSQTHRHFFSTRRPRFPNASSR EPUS_08225 MEKGVKGDVVVFGDEDLEPEGGICGVATPGAWANQAHLPYLSHT SKYKIVALANSSVAAAEAAIKEHNLPADVKAYGSPEDIAADPDVDMVVVSVTVTKHYE LVKPALEAGKTAFVEWPLGCNTAQAEELTELAKRKNVKTLVGLQGRQTNITRTLKEIV ASGKLGKVLSSTVVTESGFFDHEIPVKMKYFTEAKNGGNNYTILFGHFVDSFVDVLGD FEHSQGILANQRPFIDLVSDGKKVETVHKDIADHILVQGKLKSGAVTSISVRTTHQAV KRRDSNPIQLRAGKPPHMTWKIFFERGEVSLEAPGPSVQWSYEKDMLAIKQFDYATEK VETIDVADMELEDIKTPSRMIGRVYEAFASGREYPTFEHALENHRRLDRIAESAIHLE REFAEKCPEHEEAILASSRTTDEIQQPFAPVPPGPPMINVQEELLSRPKSKELRRMPQ SYRDLKAAGSKDQVCSELLKPSLKTPELLPSPLPSEGDDNYNGCFAFRNPKEAGPNPT TFVESSYEFEGIVMGYCEDNFRERASRFRSPLVGGLERQRCPATTPPKQPVDSYTSIF MRTPPTSNMSATLRSPKRERSASLSSEATWLSKSYSSQDSSTCFGQLERIKTSETRLA EKSRRCCQIVPGPIDDWPTTSCPGVRKACYATVINPGKASDIWVPRPSPMKSRSTNRS SPGESQHVPGTPERKLFEISAFSPWDSPTERQSSAQYCGDTSSPFAKIASYGNLNCPF KQSTPSRSTVLPPRKSSLSAALRMSPISVSPSFSTSTLASVKSPSESLSRSAGGAQQV VHPECEAWAKCEDIARILDDLERSVNEYPSGLLQLDTPVVLQIRHPQSLDEVHMSCLS KIFPAVHAQHLSALAATLIAQSYLTRLSPDAEQSISIASLAATSNQLPNNITTKTGTT LGIHLADVTSVHVPAQALRKRAIVVQAALHVSVRKIMIMTSNPNHHFIPLDVTSWSSQ LSFFEDAVRLSPTRGIDCVVANAGINLAEESLTFEKPPDYHSQLVTGAQGKKLAPPAP PQFKTVDVNLTGVLTTCNPKPDPNRGPSTAKPEVNRDRHLLLIASIAGLWPLPTQTLY SVSKHGVVGLFRNLRVTSPVRHGIRVNMLCPYFTATPILGTAGKLMLSGAALAEMRDV VDAATRLVADRTVVGRGLAVGARAGAGDAESVGGSAGEGESGVWEVYAHDFEQSDVFS RRVLAVTNLVAGRRGWVGVLSDLGWALVGEPVTRWWGGR EPUS_08226 MSPPMRNSVVKLNEQRFRLGSSMICEQTDVADPSSLLASWQDNG KTFILRKEAVHEYNMLPSTSPANMVHEAGTSAAVWLLGKDTFCKVKGWCEGMEAESET IDFVRTQCPDIPVPEVIFSWIDTDWSRSFTLIKRVAGKTLDERWPSLSPSQRSEIVDK VVEYCKKLSMVTSSKLESATGKGLCDNHLNVAAPDSHPTWKPRLLGPMSGDDFKEFLR QRTSRDAQGIVPSVGEHFLFYHADLGPTNIIISEDAKVTGILDWECAAFFPRFWLALK PGISAGFFLSRESVGEDKAARFGWGDPFYKGLMAQGFDSTDSDLAWWKGLAK EPUS_08227 MSKPPVLPTPPASSTSTSTSSSPSPPNAQETSDPISRLDALLEI YLDRLDTYQTLRAELSQTFSAGFLSLAPPLRRGGIRCAHESREEGEDPNEGQGRRRSH SSGGTIRYTTTEQLIPAGEDPDDTTPHSPVPSEMTAQALISPRDEKPSSTRTADPNTN TRLPRTSPSSLSPTQPPDHTTNPHPRSKSKSKKPPNPLNWYGVLVPASLRAAQTSFAC AVGGPIPHLLNIQLEMTDLESRIRKLRAEAGLTRLTGQDDADDRWNADAER EPUS_08228 MKVLSESSPPVDGCRSPQSGYEPATPGRAFSHATSLRLAAPIEA PDRLSSHAFSLVPQTANLPSADEEQLVALQSTAPSTPSVSLSNIGRKRSLVSPLARSG ATPGYVSQMQRIFQDAKASLQLDAAIASSPTGSIASRLPGIPAKMVGRHHCNTVGFNA TNWRYSTVPQGLADADLDVREPFPVESPGLPDHDLVKKHTRAVAQEPISSGFTSPVAA EMNDAVMETTASLPLLPIFSSTKSDQPTASPLASSGYRQDPALVMTSTELEQPMTNMH ISHVDAWLNGVLQDSPNEQREKRIRSTDDKLRVSPAHDVVDIDMDNSKLPQEIILSTP AKAAAESAKLKRDLLGIGVRTSGDKESVRPEPRSASSALRCTTPTANLLSVPTVQITP SPDFPHGSPPPSPVQPVQLTPALPFRRVDSTGSPFPILKEKSPNPAVRGYYSPPPHQQ FASPPQGPQTSPPPHQQYSTLYSPPQEHHTGPCASQHYPSIEQSTFHNKPPPPPPAAP WSQQLIYLHGPPLHRTPSEVAYSPTADRPYYSFSTAAAAHSGSSAAPDSRIRDAYRTD TLTPFEVPTTRFRKIGLGATAQMKGATHRTAFAVPAARTARPAPSRAPTASGKRPPAA NDPRMGLSRANTAGARKYYAHTQRRPIGGPVPEEVTFRSSPPRPAGQFHAAPRRKKMR RSLSGVDGLSLTRQEKKGCHSENREGAELERGIHMELRVDEEGRFVGGSPAQDGVTTI LRGRAQGDVDNEKSSVNAVCENQRMLVRKSASTVKHHLETSPTPYQKLPADQRGEEEE EVRELSPYVSPYRKGKGPKLRDEERRPSYWDSDILPTGVVITGQRGRREGRRFGDQAE RRWKANIEEMPGGEEKENWDPEPGLDGEKMDGDGHDGHGVERMEIGE EPUS_08229 MDRKHFPAPSSGSANPSHYGPGPSPAQKLRERPNESPASEYSTP IGSPTGRGSPNRPANSTQPFSPRGPHPSRPPRPNEVMPIQAAKARSYLPSRTPPKSND DLAQYWERSRKVSPKTTHGQSSDEGTSSEDVSTPSTFELPRSKNTTPPSGAPRKTQLV PPSSSRRGGGAQYPHGTLFSPILEESTDSNSKARASYASSKVVPSSWGSHPDDYNSDR IPKRTEEEEGIRNSPASPYSTVSMHDDTTGLVRHASAGKKMKPSLTKIRNPAENISSR KKEAIGLGTISAGVAAGALGSSRDNTPTPPGRDSPSQVTSGNRTIIIESSPSNSRSSS RDSGKGSSTLVEPIGRSRSPLASAASRSARQPASPLGVDNRPVSKGPSMSEKVPSNHR PPQLDMEAVRDSKARASITSLPDLIRRATRLAANLDRGKTASRTGLFDMFNAEKKQQR RRSGSISDILASFPPPSRGTPDGARIESRWPSPFPSKLNQRMSYLGSHESGSAQTPRS GRRCCGMSLCAFIIIMLLLAILISAAIVVPIVLIVLPRQRRAAANATGPSSLENCPAS VPCQNGGISVISGDACRCVCVNGFTGDRCSTVAGPGCTTPDIMTGTEQYTNATLGNAI HRLLIGASTNYGISLNSTVILSLFSSNNLSCTGENALVTFGSQSMKVRDIAQKVAVET LLPTSVSVSSLRPTPAPQADLPSRLEQRQIATVNGIVFVMTSTASAIPISSSTSSSSS SLSSPSSSVTSASTTTTSSSSSLSSSSSSSSSPSSSQSPSPETIDFAGIAVLFVLEQS GQLNTAVQVQDNIQDFLLNRASRNDTMPLNSEGVDLSLNFATFSIALGNGTEIGGNGN GDGGLKDSELGVVS EPUS_08230 MPPPNSTAPSPSFSPQTTGRYLHQLDFISSPVPDLAATLTTPAD ALPSNGTISSLRTRLQGATALSLYQEQSVGEEPEDNSQWRGADNRLAALLREPGFDVG MSRRIPILREDEGTRYANRVPQRQSLYDWAPGSDDEDLYHELLSRANVPTFAQSVRPQ SRQLNLESLRNSHSRSRTHPRDEAAPRPPSWAETARRGYSPPRGDPSIATAALLQSIE QHRRFNARARSTLQSYILDRDRRDNDLTSSASTWARLHRPDQQTPGSPYPAMRNLASQ ADLRNAYRQLFLENSSLARLKNSIRYLSKLRRCETLEEGLTLATDLAIDDACRARQNR VSECFAKLSDLIVDTSSLPVVAECSWLTPGTVFTGSQHTSRDHQPAILSRNPHHDRDP FFRPDHYHQDPSLALHDRQGRRSHTRLSTNSYRDRLPVPVSETSIIVQHGSPATSHPP SINHDQSHIHLPCAPSDNWPVKITLHDIDHTTQTLSGTMSATQIPSKISPSSSSTSTT QPSQGHASSMRSFFEGEIIDFKIHSLETENFCTGQSGEAEDGCGGGGVSIDARYWRGV GPFRELLEKEKGKRDQSGGAGIARSKRAGKYPSRHLRINEDGDDNDEDVDEDEIEVDE DAVEDALGGEDIIASHLCSRSWIENVLLKEWVLMRWKERCFITDRQPAKGEAEDRGAA EEAQGGNPAQPQDRYESRETGQPVARDSISITSSDPTTYGLTISGFYYVALRRQTGEI EGLYYDPGSLPFQVLELRPQVQGQGGCAGGGGGGGGGGVGIKTRWPALGFR EPUS_08231 MSDGEEPTSPVAPADEVEVPADASGSSGNMSVLDALKGVLKLAL IHDGLARGLREASKALDRRQAHMCVLNEGCEEEAYKKLVVALCSEHKIPLIKVPDGKQ LGEWAGLCVLDREGNARKVVNCSCVVVRDWGEESQERSVLLNYFQTEQ EPUS_08232 MANIISFLIWQATVYSYGLFNFFLYLGLVVRSGTFFSKPTEQEQ NEFLLARDKYWNLSRTVFDLHHRFLVLRNGLKLHYLTNIATLDPSAPQSKHLVIFLHG FPDNCLSWRYVTDSPSLRQNTTLVAVDLPGYGGSDSLPRYGATEVMEPLTEFIVAMRE KYLRVDDNDSGQEESQVNKVLIVGHDWGCLLGYRLAAEAPSLADRFILTNCPHPQLAL SNKDRILTASKKMMMTFLHAPLANYHCFPKVFDEMKPLAYQVLLFGYIAAFQLPKVMV KYLGCGGNYAFIREALKSKHGKDKTAFNPPHSLAMTMGPGQAECRTTTDHGKPEQYGE SVFGRAKDSDEWFVSATAYYRDGAAFDKWEKSIHTVAALHNIAVDNEACMKNRHSRKR RQSSASSTLFSDICASSLKAPATIIWGQRDQACTQPICLNGIGDYLARDSQVLLLPNT GHWTPIEKASRESLKRILEKFVQNGDLQKEDLQEAVRMTYPNAIVSIDK EPUS_08233 MQLWSAIAMAILIRLGIEFLVTSKKVPTSSQFKDSFTTNVPKVS IRLWRLMFRHLLVAAAGRFYLIRYQHASVPEIMSEEQSNTILIGMHGDMNRDFSIKRR LVDQCITGIAMAIYSNIREQCRKGRMKDLFRLWVRWWWDIPVQEAASPERQCKKTVLR SAIHHNVARECFYSNFKGLSRTPPTYWDNYYEEELAAIRNEGAEDRDIGRLSTWFEDV NAPQRVLNFLVSPEFPFAPCHFLAGTVNEAPCILDLGTGNGQTLFQLRLQGGFRGQMT GLDYSKASIQLAQELGKNQQDCQDIRFEVMDIISDEPKEQEWWPEDGFDLVLDKGTFD AISLSEDVVESSTSNLSQTYQASVRIQTLYPSRALSMVKPGGFLLVTSCNWTQEELIH WFTGGATGSSHSASVWKCIEYPKFKFGGQEGQGACTVCFRKALSSE EPUS_08234 MAPKNKGKGKASGKGDTNDDTASSKLKSANSINVRHILCEKHSR KEEALAKLREGVKFDEVAREFSEDKARQGGSLGWKTRGSLHGDFEKVAYELEPSSTSS PKYAEVKTGFGYHIVMVEGRK EPUS_08235 MDNQSRNGSIGFDVPGITNQPPQIFGGFNLDGTPAAAVLPGPVF QDHGDVGSADDNDSKRRRIARACDMCRRKKIKCDGRMPKCSHCINYKTECVFTQVEKK RNPPKGAKYIEGLENRLGRMEALLKMSGLLSEDDGGKTDLGTLEKRLAEKASPNADNS RRNSPKISSGTESYHSTPQNLEILSSPRISVATPGSEKDKEREKEKEAEVEALSDMMC SLVTNNCGETRYIGSSSGFSIFSPKGIQWVSEKTGDSSFQDMISSSSVDDNKWIYWKP EIFSDLFMRRVFKPLPPKDEALSLLKDYFENFNCMFPLFHEPTFMHLVERQYSPQPYE GSGWWASINVALAISHRLRVMSNLVPAEEDQKAWLYLKNAMAVLTELTMRNTDLLSVQ ALLGMSLFLQGTPNPQPSFFLIAAAVRLSHSIGLHKRGSGFNLNPVEAEQRKRVFWIG YLLDKDICLRSGRPPVQDDDDMNVELPSEDPPDNIGNIPLADGKGKTNLFRLMCTFAI ISSKVYKRLYAVSASRQSDGELLNTIGELDKELEEWKDGIPIDFRPEHEIKASHTPLI LHIVVLHFAYYNCLTTIHRMSVHHGYWTSRLSNYAIQGLNARPLNPRVFSSAALCVSA ARASIHLIKYIPQGDFACVWLILYFPVSALVTLFANILQNPQDPRARADVKLMNLVVN FLSMLVSDEENGSVRRMLGICSEFERIAKVVLDKSEKETHSRKKRKPAADDSANDPLP RQANGQRSSPSQPDPQSMSTASAAFTPGMSGMSNPLFSPSMMNANVYSPPQMLTGPPM MPGYEANYNGLMTPPNGLANSILDGQENPSNMASPLNLGAFQQPFVPQDLWQMPMTLE WDWADMTNTGFPGYENSANGIDGGIAPQ EPUS_08236 MLLFAKIAMAVAATVSTLVGVWRVAEVLELQPNLTLPDAQSYFD MVRFGFAKPFFGMDKTGDAATTFIVPDSVTVETNVTVPRAIPYSCLSFDLPAMFWAQA CSSQADPSLEILYPNPDEEAASYHQFVLEKLATFNTMLDYLFSLVEEPPTSALSSLGF GLVALFILGVVFVLGSVLYWGLFFVDLRGYSALATPDHFPNVTELALSDPDADDNDAL SVVSDIVQSMPQNAQLQDEEQCLGWEMLAYATEISQAHADIVREDLRHAITKSVQDNK SEIGRAITQQHKLEQQLRTGLENVLEGNETNIRMLKKDLTAIRSKLDSSTAKWDNKLR ATDDLITNLDTKINSKPNHDTVSKLETKLTKAMAASSTSVQSELKALKRVETSVSHQD TSIANVMKDFNALKSTVQTLAQCSTKQHQENANLLQQLQKERKHLAEVTKVLEEENQR TAEATTEISTRIEQLETSLESKTQAIGAQLGEQQGQLKEVQKEVESQAAGIVTTKKLA NSKADAAHVKELENKFSGYVKNHLFANLSKEVTDVSAKVDSTFRDVRDVQGPMERKIS KVAEDVTNLQHALNEVVPLKSQVANKVDKDILTAAEESMKADLLALSKELRSEADTVK SQLASKADAESLHKLNETISVAQSELKSIRDDFSTKIAIGQVTELKNSRRPIGPAAPK FPESSPSSGMEASKWVSPQNNPPSGSSVDVKASRSAGVEASKWASPRNDAQSGSSVDV KASQSAGIEASKWASPRNDPQSSSSAVVKPSRSAGMEASRWASSPNDPQARSSDDARS KWQGPQAAQETKSSTPDAPATPAKVDDSASNQSKREDGPGFSPASATTDSSKQLMASR WSTAPESAGALPIETPSAGNSAVETSQTPTAPKAMQAATAARPQPKPFKQRKYDYNNG PGPNGGQRRMTPQTQAHNRKRRVQNDIKCALSRFTPAQKAHARSCQDPVGRHFAEGSA WTWLNPILERNYRHYQVRPPPGWVAPSGPPLQQTLPSSTQEGEDTSDADEEFYDADEN FEHEAQTPRENEQPSDAM EPUS_08237 MNAVRQKCRPKHQVLVLKCYPRFQKNVQEVKPNSSELSYLLYYA STRRSKLQKVGSFLEKRTASDVWKQRLGNVQVTLQILSALMEKAPRDLPLYSDSVLTI LETVLKSKDINMVEESLATFETYCKYQDVSSLASDQYRSQQYQNIVNMYAEFASNNFP SSLKQKPSKPTAMRWKTAGLKAIRSVVGAEALGTDGTTQLSIVMPAILDNLYTGNDDI LASLQEKAQTGEKADVENARKRRMSFATVTTVDTVEGNPASASETTADADKAAEDEVR VLAVRCLKQIFAAGTGSNRGQVRLATNLTLQFITARDPPAPALPGSTPASGKRGNWAT SLVETIARWTPVQDRFIIVITAVDALVKSPIEESKLKQQLTLATAIDWLLSSSINLIG LSVMDVLLGFVQHVLLLLQLGGRDSRITPHNQQPEALNTHTNINPKKVEERGHTTNVI TPSPVRQELLVRLQRCIGDLATHIYYTDQIADMMAAILARLKPSLNPDRSSIAAAIEN PVGAANAIANSADLQEDPLTDGFFSFATARLNALRAVKNILQVANMRKSVTGAAAQAR SRVGVQVWEGTQWLLRDEDRGVRAAYVDALLTWLKNETNRSDLLLPQEGPRKPKSTAK KGRDENGEPKLTRRAVSSASRKENKTAKSNFLRLLHLAIYDNAIESPQFDSDILLLHL LLTNLTENLGVNAVRHGLPMMVRLQDDLVSGDKFEDARSRINAGSLVHGYFWALSEKF DFETSRVGQELHTEISRRKKNGFWLDKVRLPPLPLDQIITSTAALTEKKTLVSEMSST SLKPYSSRVNMVEEICTSYNESLRAPTTSPPSSPSRVFSVPALSFGYGYGLNPGPKPS PEDQLPPKVKEELLAEWSKESVIAAVEKEHADSLSGSKTGTGTGSATAGRNFLTVDAV NGLGRGAASPGGNDSPTRVVSDSGGPRMSALSYALNGGLGHLQRLRGPGTDEGSPTPL TASSSRDSTVRVTELKRALSGYQNNMRHSSPLGRRVAGIRDSSMESVESHGSSSESES MVNYSEAEGAGVHTSALDVNAVGHTDFATAASPPSRDEQRQSSGADKARPGSSQAPSS LPQAQHHPRLENDMHAATTLPRLKSDPRLGEDVPPVPEIPSTLSLHLPGTFPRDISPI RRPSLRTSKSVERQSPQPRPIQPQPQGQNVLLRPSTAPSPGSVVRPAGNGAGTGSLRP QPQSQPHQTQTQTQIQAASAPSQSPATSTRLYSTSLSAREGRTWRREESRPTSSRHSG VGFGPVGSGKSPGSGSLKTKRLDLGRLLAGVRVDDDDEDDHYNDDNDDEDGQGGAGPV SSDHRFSGKGRAGKGGNANENANGQGGGGGGGGGGGRVHIQPPY EPUS_08238 MSSHSPSQNDPTANPPHGGVLKDLLARDAPRHQELSAEAETLPA IVLSERQLCDLELIISGGFSPLEGFMSQKDYDGVVNDLHLADGKLFSMPICLDVTKET LEAKKIKPGARITLRDPRDDSNLAIISVEDVYQPDKQREAQEVLGGDPEHPAIKYLFE KTHDFYVGGKLDAVNRLNHYDYVALRYTPSELRTHFEKLGWSRVVAFQTRNPMHRAHR ELTVRAARARQATVLIHPVVGLTKPGDIDHFTRVRVYQALMPRYPSGMAVLGLLPLAM RMGGPREAIWHAIIRKNHGATHFIVGRDHAGPGKNSAGKDFYGPYDAQHAVEKYKSEL GIEVVDFQQMTYLPDSDEYRPKDEVPAGTKTLDISGTELRRRLRVGADIPEWFSYPEV VRVLRESNPPRATQGFTIFFTGYQNSGKDTIARALQVTLNQQGGRPVSMLLGEIVRAE LSSELGFSREDRDENIGRIAFVASELTKAGAAVIAAPIAPFEQARAEARNQISQYGSF FLVHVATSLEYCEKTDKRGMYAKARKGEIKGFTGVDDPYEAPTDPDLTVDFEHQSVRN IVHQIVLMLESLGFLEQL EPUS_08239 MSSRTSSPFSPVSGVNTPKEADSGYSSGTACEVAVPEVYFSKPH LQFLNRQLQFLEPQEILKWCITTLPHLYQATAFGLTGLVTLDMLSKMKIPRPQMVDII FFDTLHHFPETLSLLDKIQDRYPLVNVHIFKPAGVNTAQEFAVKHGDKLWEENDQLYD WIAKVEPAQRAYRELGVNAILTGRRRTQGGQRGDLDVIEVDEVGLIKVNPLANWTFKQ VHDYVKNNHIPYNELLDRGYKSVGDWHSTQPIKEDEDERAGRWKGQQKTECGIHNPRS KYARFLMEQEKKKEQEAVQQALHKVALQA EPUS_08240 MDPSPSPRARARATRPRLGRNSMSSGSFIDDHQQYKSVHPGPSS SIDGVLEAPFEQPGASLSNLSTAPRTSHSPVAIPPSPSLIIRDSGIVHSISHADCISP PEDPSKIVATIFYNSALPRHPQIHPDHILPNLVPEARPALPPPTIASGSAPTTDISNY PLEPPPPDPEPLDHLYGPYVSQICLTHFLSTIDSLLAHNPPALRRLTSSHRCLSPSST HPRIMEVSFAPPPNPKYLTFSDLCKHESIYRFEREWNCEVIFQRETIFRRYKRLCVFD MDSTLIQQEVIDEIAAYIGVEKEVSAITARAMNGELDFAASLKARVALLKGVPSDVFE RLKPKITITPGAKELCKVLKRLGFTLAVLSGGFQPLADWLAAQLDLDYAFANHLVSDP GTNTLTGELDAAHPIVDAHHKQNLLLSLMTGEGLSVEQTMAVGDGANDIPMLQAAGLG VAWKAKSKVQMEAPARLNVGESMLDLVYLLGLTEREVEELLMD EPUS_08241 MAPPVRFECFLCDRTFLRQKRLDDHLREQHGRTPVWDKPLSRPS PAPSLRSSRSNRHLQQDTGAQGRIRGGVVDHDPMEGLPVRRWEKMEVTIKQGVKNDDK NDDGKDGTNPDWPWPDLPLPKDFPLLPPHSQELLRRARAPNKNPNIAVFNRETGEYER PNLNPSNPAAMTPVTTTQPASGAAKDGDHDIAEPEDTLDADKPLHDESNERSFTIRKW VPLPQHIADKKPEPKYLADRRPGLPPLYGHQSSTNPSSSAFTGYAPNISSTLQNPSNN NHNTNTGGGDVVVGAVTVPTNYTPTTSGYRVSADGTTMPMGPGIASTQAQGEAPRRRP PPPPPKRRKKGGPGRSKKRVDMKNSVIGGAAAGAGLAGDTTASAAGVAGGGVEAAVAV QTGTTNTGEPRPEGQQQGAAADSKARDMDTEMGEPEEEGGSSGGGSSSSSNSSEDEGG SEEGEIDEGGVGNAAGGATEAAPEVSVQPPSPDLLGNLESEIRGMEEGGPAV EPUS_08242 MAAPLSRPRCLHGLVSPSPFSVFLPSIAPISRASRSFATAINEP SATESLTHKPRVRPTKFVDKLNTGPSFSDFVGGNEPPLEPPEAYALKTAMVGPKGKQK EITRLPSWLKTPIPDSSNYKKIKNDLRGLNLHTVCEEARCPNISDCWGGSSKSQATAT IMLMGDTCTRGCRFCSVKTSKAPPPLDPHEPEHTAEALSRWGLGYVVLTSVDRDDLAD GGARHFAETVMKIKSKAPGMLVECLTGDYAGDLEMVSLVARSGLDVYAHNVETVEALT PQVRDRRAKFRQSLAVLEAAKAARPSLITKTSIMLGLGENEEQLWATLRELRRIDVDV VTFGQYMRPTKRHMPVHEYVTPEVFELWRQRALGMGFLYCASGPLVRSSYKAGEAFIE NVLKKRKAGRHETAAAAMAEQSVSGMSI EPUS_08243 MAKKRKHESDVPVQANGKLPDTSKPTAVYRPTGGRTNTISVALP GSIIANAQSHDQKTYLAGTIARALAVFCVDEVIIFEDEPHRASKGSIQDDVDQDRYTA FSDPSHFLAHILSYLETPAYLRKALFTMHPNLRTAGTLPSLDMPHHLRSNEWCEYREG VTIDEPTRHGSKVDVGLPQRYTIPAVQIPPKTRVTLHLSEMSTEAEAVSPSEPKEEAG YYWGYSVRRCSSLSTVFTECPYDGGYDASFGTSERGKLISEVWESTPAKPEHMLVVFG GVAGLEAAAEADPELSAKGITSGNVSELFDYWINVLPGQGSRTIRTEEAVWLSLMDLR DLFHVAHGG EPUS_09389 MSSTSSPILSSSASVVNAHVTISPVTYLRAHADLGVLLCTEHCS GYTLQNYGEHLKRAHGVKGQLKKRIQEWVEAQHISERVTQPSHYQAPLPGLAILSGWK CNIDDCMFLTQSEQIIFRHGSSEHNLNCKRQQREGDASFKVQMQTLFTKSREYFIVDP MLKQQPLPSISSLAVSTLPLSDHLRIIRVSDSAESAGSLLSERFWSSQETFKDKYRQI EEPVHTSELSPWLRLCKYHEHLSGIDVDLIASSRSVPKSEADDVFLYHVSKAVERVLQ AASALIADLHHVDARRLNTFQPGTLSQDPLEHLQEEHSLSHYILTFTKLICYFCRVIN GHFNRNLFEITSQQYTAQDLLRETVESYMDFLSSKAQSTSDQKDDDSSESISLETPDD PEHEEQIGQLRQEINRQTLKLCTALVQHPISTTGTQTAILSYCAIAAWDESENTWRKE DQCGSTLSQLIYCCQIIILAHAHELVRCGQHENVSNALKPLCAAWIVNDEKTPVSDMN RLRLYAMTVSRNTVNPEDPNKETLNYAGITYEIKFLAQEITFYLDHTRTIFERDLCLG LPDIPTYPLDELRDNWDSCRPGCSFLDDPRNAGILAGGQEWLWENIQREPELRHLVLR RNQEEEGSGQPARRKEFLGMRWQNVGFTKRNVFVHDGHVLFLLTYHKSLSRTHASRHP ARFLLPEVGQLLVQFLVLITPIRRYFHREVLVPVDVSEYLWSGGSGIWPEDKMTRIVK SMSQQAIGEKVNMQSWRQISVGIAIKKFSGLTYEADLDLPGDEDDDLSGTSILNSFGG AMADVFHHQAAHSVQTGNRAYGGTINFNSGLTDAGLQEYFRASRMWHQLCQPRPTTNP SITGHRRQASSLNPPLIKRVALRQQPRRHRRRWGEQTVLETLQQLYPQTKPAQFKTEK QAQLISSIVTGYAEVIGILGTGEGKSLSFMLPVCLPHAATTVVVVPLVALKTDIVRRC LELNIAYSIWNRHANPQQYVGCSLLFVAIEEAVSRRFRTFLGQLDASESLDRLVFDKS HLILTASRYRPKMALVKELREYRCQVVFLTATLPPLMQAQFERRLLLAQPRTIRSCTF RSDLYYHVQRSSRPGDFLEYMTRGIRTALQHLEEEAAARVIVYAQSRGEADQVSQRLS CPVYYSDSGSSEEKEEAFEQWRRGTSRVIVATSAFGMGVDYPHVRAVIHMGAPQDMIS FAQEVGRLGRDGRGGTSRVVLPHHWQSNTSLSRTEPEFQTLPELAMQTYLGHCRCLAA VLSRFQDGGEHMQYCSREQRHQWCTRCQQFGRLEKGQDADHTAFNSLSIQKC EPUS_09352 MAFPTQDALCTRFATELTLRRTVDEHDEGFKVSIVAGLERSDQE RETLEKFNPDLRQLKLGTVIEHAMDAIGLTGTNKVFCNDILRVEACLLNNHISLSWIW PGLFLAGNKDQSVEDVKIVEILVLSCMEQPRSIILAVVAAKSEFALQQVTQRAREMDP EGHPTLGLITKPDTLDEGSEIERAYHELAQNRDVEFRLG EPUS_09353 MDDKMITDSTGEESLPIGDLAQEIKFIDTHDKAQRLQKYRRREE DQESLKYQKLVSPYQWANVDDDDDLRQKLDVQMANPWKALDPDAFLPQSYKVTNSAND NRRQPLMPFAEIPNPIKTTTFWVLLKKPNRSVRRGAEHSYLLVNKGPRGSKNCHTSLM IDGGQFGCPVIRFQMQLSRDPSNMMANGLFRAFGDDQFHFDSGYAPRHPKQLTDEGFA ILKRGNSSRDEVLKRLHGCIWTIGGGVVLEGFDTDTVRKIKAHPELYHPEVKGNIEAI EQLGTLGGRISLSFSLGSSKEEELYRAHDVLRCFQHSVHNGHINHYPYLDANGRFTWD MPHIKKVANGMNVIPRKTEDPKSVETYRVKPVENTYQGLQTYMIAKACADLREVQFEV ANRNAVSIEQHRAYIVATSPHQLEGRDSRDGAEIYTVFVTLNYQGRDLTELTPEPAII LSINWENNAKAQFEERKKRWRGPVIQPPETELEGCHFVMFAHAKKDSVIQKAYATLQE AKLAGGLPIHLEYEMQDRLSPRLKTAFRQIFKPEFRQLALMLMGRYDEAVKVPRKMNK ILFPVKNDEFVRTPEEEKAYKTWADWVSDHCHENGPTKWALNTEQLIAISTLANTQFA KIIRGPPGTGKSLTGGSAVWAALAAGNGEGRILVVASSNEATDAIASKITHCRLNDSR MKKALVIRMHVEGAEYQYAQEHGTDDSHPLAERRGQESERLQNLKATFQIATLSGFTT EKMRSLSKAAKRAHGRHIATYGLSLAEAIWQESQMSLRQTRLSRRIGESLMNKQP EPUS_09354 MKAAKSRTWNLMIAATAFKSLRQIILLGDPTQLQPTVTSTTRNE FSAAQKSTFISRALTYIDSTHLVTQHWMTPAIAYPVSWLFYDSQLQNAVGLQIPEAFG RLMRRFNSEFFFKGSKTPEQTDEVLFVDVPSSKSWKDADGDSRINVPEAKCVVAITKA LFSADRRVIKKRTMAIISMYKSQAILNRKLLIAAVGPLAGSVEVIDISTVDWFQGKEN QIVLLSLVHHGNDEIEQRMSRHLLDSHRLCVAISRARYGFIVVGNFIGLKMATDKKRA GNLIRRDFPIHHLLLHYERQGQIFHYGRDDFPDDSQQRVNADFEHNLHISIEDARRRK DAEEVRFGKRSADGPSDQLTKRNRL EPUS_09355 MENIEPGGKGTRRKHPATVDNLQPGHAPVGFPSDETDSESDLEA ASLTSPTLIPLLTLRADTPMLGAQARAAELAAASAAKQRQVSRDIEDRQLPPNPAVSL SAFTKPPPASRNRGNKTWKPLVLDDISEDNTPERITSKEASDKKIPTAPRAMVARSLS TVVAQPQPKESPHGPFPYPVIPPNMYLHQPMFLGGMPMYGNMMVPDDMSPTKQEQKFS MLENVPFPYSQEFPQDLFNQDFGQQYLWNDPYHSGYQMPYQDQHTRDSYFPPQSNPQS ELTDQQRAYESKEPYDTERAMKECVNNLKEKAKDGKTVLHNPNSQKEPVSTSTVRRAS GNRPPLVPWDVRPKESIQNNEWEVMPPPEPSTFTEKDLSNIKPPPGLPVPSNLGQAIS LEPSDSAPKVGSIEWMTLAPITSAERDRVRRRMALAAKSVTNEVPQEAITRDKEDIAE TQKWFHTDARGERLLRRQVDLSAQIHASKVISNTKARNGGELPEQFQDGKDDGLAATL ILGNVACNLQTYLVGDRKSLDQRRNFQKVKAVPDWCTERGGLAVGGVGGGDSYFDGAA GGFYGAPVRVARDPRFRPQVKEGIKVKPEEEWKHRHEMYGRRMM EPUS_09356 MAHIRVQDGKDVPTVEHIELPAAGFRIPRAGRAGDEWDVPTVEH TEDHFSIFPHGSRLEEAMPTLEHVKYCFGGARPGRVTRRGTIMTDTRWDKAISNISDY LAEKENDGEGEARVLHLLYEARELLEEGKGQDARAAQDEEGSTRSTEVILQSIEDRLT KIEKQNLPQANTR EPUS_01658 MASFLSSHPKKCRLLSLSLDDSSALRKPTVAPIDFVGDVQGISS FLTLETDVGRGRGIVRLCKDSNDGQWKAFTLFTAMHELKGYEETTGYRRPEGVQHGGN PGRQNWKDRREVESEYKDGREPTVLIIGSGQAGLTVAARLKQLGVDALLIDQNARIGD NWRQRYHHLVLHDPIWYDHLPYLPFPEFWPKFTPKDKLADWFETYASSLELNCWLSAK TTSLTYDDAKKQWTVELDRTKDGKLETRTLHPRFVVQATGHSGGKNFPSSMTGINDFK GDVLCHSSEFVGAKPNSQGKKAIVVGGCNSGHDIAQDYFENGYDVTLVQRSSTYVMSS EAGLQVLLGGLYEEGGPPTEDADLAFMSIPNPILKRLHVDATKEIAKRDEKLLNGLTK AGFMLDAGPDDSGLFMKYFQRGGGYYIDVGASQLIVDGKIKLKQGVEITSVNAHSLSF ADGTELPADEIVFATGYSNMRETCRKIFGDETAERVKDVWGFDEEGEIRTMWRRTGHE GLWLMGGNFCLARYYSRMLALQLKALAEGVMKYDEM EPUS_01659 MAANSLYGTKDPSKTKTKDISSSTSLAFSTHLSSLISKDSSRTN AGRPRPSKTKPDIFTQHNKNSRKRAAPDISEDGEQKHKTAVDIGGVDSAALQRSKRRM EEKARIYAAMKRGDYIRPEDGRDDRTLVDFDRKWAEQETSGRDEEDDATSSGSSNSDS DEELVEYQDEFGRQRQGTKAEVAREEKRMRIQANAAEEAERFSARPKMPSNVIRGDTV QYNAFNPDDVTTEKMEDLAKKRDRSATPPEEKHYDANAEVRTKGTGFYTFSKDADSRK KEMEALEKERLQTEKERKEREARKEKKRQEIEERRKRIAEQRSKVQADRFLADIDLGS VESHEGG EPUS_01660 MSRTYVAVLGVGGVGKAFLSQLSLLPDHLRPALIFLSRSSKNIV SKDYEPIQISQYPSSLASSSSPVLKLPEVAQFLSNAPGRSIVVDNTSSQDVAEAYPSF LSKGISVVTPNKKAFSSSYGLWGDIFSAASSTNAMVYHESSVGAGLPVISTLKDLVAT GDQVTRIEGVFSGTMSYLFNTFSPADNEPSGSWSEIVAKAKEAGFTEPDPRDDLNGMD VARKLTILARIAGLKVEGPTSFPVQSLIPKELESASSAEEFMSKLPDHDKDMERYKKE AKSANKVVRYVGSIDVASGAVKVGLQMVELGTPTASLRGSDNLICFYTQRYGANPLVI QGAGAGAEVTAMGVTADLLKVVERLR EPUS_01661 MASPTSLPSLLDSMKAQAPSWEQIKRTPLFILTNYSNVLMFLFF VGAGLWQNKRKRDEAKKVPQHSALPAPDFPTITELEKFDLKTTEPLKLRPFKPKYHLT MDGDTALETLDPNDLLLMDKNYQPRITHRRRIIEQNTTIAIGVNNDKRIRPAVCDLYS FLTGTYLPLRYPTMFRIHHTEYEYGKASVLQNLVTGEMLPTSATGASTPTFALLRTLG RHLDEDFLLLLPETNAQGGVKKDAKYVLEAYVACCPSGFNPAEKLGKKLRDIHGPVPG YAEKLEGSMDRFFAKLEDGKYVKRANWSVSMDGELFQPGLGTTHALEGDEVREFTGEL DPDKTFVRCERQTLHRLPKSKALVFGIKTYLYPLREIKEEGLGEELAAAIDGLKEGNI PEMHFYKRGGEWGTAVKKYLRS EPUS_01662 MSLTDKQRQDFTGCSLEDVRQTVKDLQKEREDQKKMRNMARLQA FLEAMDQYRKVVEAFLNCTPFLGYVWVGWKMLFRFSWKTFETQFRRILDSLARHKELI ESEKGTVTLFEIQDLHELTKLQFKEIVGREQMRQVSVLLEKLNAPNYHLDQCAASKHR EGRSSGSWVLLDEKFQKWANISAEGNPLLYIHGIPGAGKTTLASFMIERLQEDKSVPV VFFYCKHGELDKNNFNGILRALVAQLLSEDHALISSLYNICSSKDQAGVTMILEELAG MAFDSKETSFVVLDGLDECLPDEAEKTLSWFQSQQEKSSMTDSGHIRLLCVGQRVDAL QRELSLAADITLDENPSHLEDVERYVKEQADALKADFELSSEDETEIVTRVVGSAKSK NPSFIDVFSSLQVGENLKSNSSADWEPQTEAVLSLVHDTARDFLVRKALLNVSLQHAK MALFCTEYLLSSPFDYTTQADELNNHVRSGYYALQDYAISHWLDHMTYSLRAKNPPDI SVDTHNELVSQLRSFVNEYGVPEKIQYLGSIQEDGHLIDFIPKDISVWSQWFDLEWRA CHVRGTIESVGNGSTSDDTDRDMMRDIYGTRLFKCSRLACNLFTTGFNSKTEREDHLN EHERPFHCVEPFCPYTTLGFRTEVELESHLIHSHPEDDGDEDSFPEPPTKRKKDSILK ACARGDIVAVKSFLDNGEDINKASRPKGRETPLYVAAENGRLNVCKMLLDRDADINHE GSRESMESTALDAAVSSGHLEIIQYFLERSDILNDQRDRPTSYPGPLHLAIQAKSEAI FYALLNSKNNASNQLRYISLRERKGQPGVKVLRTPLSPLMLAAETGQERMVKMLLAID GVDLNLKDYYDRTALVFAVEKNQEVVVRILLANDKVDPNTADEAGRTPIIRANEGGYE TIIKLLLATGKVKPEIADGSGQNLLGWAVERGYETIIKLALAASKVDPNATDERGQTP LHLAVERGHETATKLLLATDKVDPDILDRIGRTPLSWAAERGHETIIKLLLATDKVNP EISDKSGRTPTIWAAQEGHEMIVKLLLGTDKVNSDTKNRHCRTTLLRAVVYDPFIPSS PRKMAEKRLEIVNILLSTGQVDIDARDETGESPLLWLARSGFKESTQLLLDVGANPDL KDWQGQTALDKAVENGHEEVIKLLRVASCQT EPUS_01663 MESSKRKFACLDGGENGTRKSLIACVEDPQSQSAQFRQQSNGYL SPYSPIAPVSGDPDIRMSEEATGEFSTLTTCESPTLTALISSEEICYGALVGARVACP NGFGLDKSIFSLSTKPTGALKIFGITPRERYYYALCSPDGHYFALIDKNTTGTLEAVQ EVGNVRFQAIILESARNRPAPAVAAKGRKPILEVSINLYGEPSLAKKVGRLLTERRQF LQHPDSVDAGIRYENPHYFMAPGNTTDLSESIGRLCYSQKSANMVSQEIGKILESLDV VDTDIGLPTSNVLLTPLLSHQEAALKFIRRVESRDIQASLWRESEELGSRGFKHQMTN EFQPALPMISAGGILADDMGLGKTLTMLSAIVLSADEAFCLNEFTPTASVLNELLQPT MATLVIVPSVQLIEMWKTEIARHIAENQLNVATFHGKDRANEPSAFYHSDLVLTTYST LVIDFQTMQVLYRLNWFRIILDEAHWVRNQETEHFRAVDNLQADRRWCLTGTPIQNRL EDLCALVRFLKIRPFCGKSSSAMFRQYIVDPLFLAGEDPYRNLRQLLQSVCLRRTQNQ SNFPATYQQVTLTLSPLEKVHYDEVLEETKRKMDMLVNTGSPLQKYAQLFTVMLRLRR LCNLGQLSAESRSLSPSIPSLPNWNSANTNSSRDFECEFCTKEDPLDLMKDLKFCPDC SRVLKTGHLENRNISESLETEFLRIPKSPSCIEAVVSDGRCLASSQGSSLETCLLPKV YPTKLLEVASRLQESHCKSKSIVFSCWTKTLDVLGQLLSESRLQYVQIEGNVSGIERS KRLAKFQDSPEIAILLMTFGTGAVGLNLTQASRIHIVEPQWNPTIEVQAIGRALRLGQ TQHVTVIRYIMENTIEQNILAIQKRKEKLAQFALQADLEQSPTERFEREEQRLEEDRR GGAEQLSESMSQEVSEYMSQPEDAENKKARPVRSTQGSQLTSQPPPVDATAAATAFNR SSAAHPSRGKYAAIDSSK EPUS_01664 MIRFPPTSIALSESDIEFHLREIQIKQQLYAQGFTPKEVQRYYN ERQGQVNSGDAEDDVVLTLTQAATCSQAKTKQSQGVEPDVLQRDRHRPSVDSTSIPTV SSSENVQRENKPSSTVPEPLSSSPASMPSSPPVMQSAVPYRHAAVRQSSLLRIMHTAG SQSSTNSQSSGQDYELRDRGEEQDEIDAGLDDFLPSPATRTRSYRPRSRTYTYISSEA GPPTPPSQPKSDQGSSSPVWHRLDQSDGSALEPSERSPQQKRLLLNAQPSPSWLDPEI SSPLTLPPPLSISRRTSSLQISLPDRTRSSTPSTINQPAVPYQPSPSSPNLLTNRDSD SLNTSMIKHPRTTAALSPNLPERPLITDAEDNPPATTSMPVNFPSIPPRANTSSEPSS SCLDSSSPPQRPPRTEPRNPTHRHNGTFGVYNDQLPARTQPQTPADLQSPRRRAVAER NVAYTAPPGQIRTTGRLIGADHDGEQVGAQSPTVRTARMRERRAREFGRWRHVRMEAF RGSAVRGLEGEREEGEGGDAAVTVGLEMDGTITPEFAARRLRFDRQDGNGDDAVEADG QTERRLIREWARFSRMGRQREVTVDWRDEFDEHRVGEENFETADMLDERRRRRDGREG RMGDGAEGEGPNVVGFAVVETTPEG EPUS_01665 MNGDLDLSGSLGGLRIANPDDASPDVIKPVSAPDTPPAATSLLP SPLASSEFTGRLTGRLTGRPRNEDYSPVTPSQTSPSLSPPSKTSPPSSPPRESYLSKN KHRQSIPVTYQDQQQAQFPQYPTMMPQNLHNHQTNASRPVSSFYTQTPPTSNPTSGIA REGAYRTGDDSSRSEGVPRRNSARGSSAALQAGVPTRDGSHSDRNYKQSNQYPSGNGP VPPRRVSRGTPTPRVGGSPGNGNTPLSTSPYAMEGGLLASSEEWKDRGAAVGIQQDYD ANGKPVSRYIKKGVKDFNFGQTLGEGSYSTVLAATDRQTLKEYAIKVLDKRHIIKEKK VKYVNIEKDTLNRLTDHPGVVRLYYTFQDERSLYFVLDLASGGELLGFLKRMTTFDEE CTRFYGAQILDTVEYMHRRGVIHRDLKPENVLLDDNMHVKITDFGTAKILDPPNTGSG SSAFQPDAAPDNTRASSFVGTAEYVSPELLADKNACKASDLWAFGCIIYQLLAGRPPF KAGNEYQTFQKIVALDYEFPRGFPEVARDLVERLLVLDPQRRLSIEHIKNHQFFDGIT WGRGLWQQKAPRLKAYVPPPQPIIKLNGTTNNEGYPSDITSSHSTNPTRLPPISSNNS ASRPHPRVITELPPPSQLDIEWSPVLTRNNERILKLGNLSVESKPKSGSPHSAEGGGG GKLSRFFGGNSSKKRQRLVMVTSSGRIIMAAAGGDEKKSKSEISLLQPGTQFSTQTDA KGCVSWTIDTPDKRHTFEDPKLANTSSHSSHKNSPKINKNPPIPPPDPNKADNPGDGN VESTATLSAQDWVETLSRAKEIAQASSQSAINNTNSAYASSAYSSGLSSHANTLDAAS GFGGSGPDGSILENGLGLRGGDQRLGEGGRESRGGNGRATLTKHHSGRDHDRDRDRDR GGGLGMGLGAGSDLDAVNAGGSLNGPPPTTTGRGKRFSKRQSKGVLAAVF EPUS_01666 MAYLPSGLTGEIYVIAPDDSCEDRSDELTCRARLREFLYLDPLN PCPHTSVFLMLEEDDDNDDAAPIHEAVRAELDQNRAQASTPRRVLLWQTRDVSPPPSI DVRSEEDKKLTPPFVKKLWMLRLQRRKVSIRNTYPGVFTVAHLVADPGLPGFLPRSPH LCDDPSERGARSVVWGVANTGFEVLVT EPUS_01667 MSADNAPSFDGVLESLAPVTSADAVDSGHNQNQSAPTANGNSFK DTMVNNTAAAMNTISNHPLTQNAKETLTQGPVAESVKKESAKTGNDLSGLANSRVQPD STAANGQPLTHYHSFFYTLLSWAHPRATAISFATSVIFIFASRYLPLIRWVFKIAYIA FGVTALAEILGKFVMGTGVTTSLRPRKYYRVPKETLETFLDDVEQLINFFVIEFQRIL FAENPAATVAAFLSAFISYYLIKIVPLWGLTLLSTCVIYLAPLIYIKNKDAIDSQLEH ASEVISSQTTQIKDIAGEQTSKGLESVKHYTGEYAAKAQDLVGSARQKVPATIGGRQP KPAVQESEFPAAPKSEPTQEMPQDQPQDQLADGPLPAQ EPUS_01668 MATNGDARPKRKQSPVSFLDRPAKHLRPETSAPTPGDATPQNGT VYNVDSEISAAPILNPLAAPANSPEWQATIENVVKSVVSIHFCQTCSFDTDLSMSSQA TGFVVDAERGYIMTNRHVACAGPFWGYCIFDNHEECDVRPVYRDPVHDFGILKFDPKA IKYMELKALNLRPDSAKVGVEIRVVGNDAGEKLSILSGVISRLDRNAPEYGEGYCDFN TNYIQAAAAATGGSSGSPVVNLAGHTIALQAGGRMDGAATDYFLPLDRPLRALQCIQN NEPITRGTIQTQWMLKPFDECRRLGLTPDWESAIRAAAPKETSVLVAEIVLPEGPADG KIQEGDVLIKVNGEFLTRFGRLDAILDASVGKTLHLLLQRGGEDVEVRLDVGDLHAIT PDRFVTVAGGSFHNLSYQQARLYAVAVKGVYICEAAGSFKLENTLSGWIVESVDQRPT PDLESFMKVMDSIPDKSRVVLSYRHLRDMHTRGTSIIHIDRHWHPKMRLAVRNDETGL WDFTDHGTPKPAAPPVPRSADFIQLDGVNHAAAADIVCSFVRVSCNMPVKLDGYPQAR KTGFGLVIDAEKGLVVVSRAIVPYDLCDITITVADSIIVEGKVIFMHPLQNYTIVQYD PKLVHAPVKSAPLSSTMVKQGEDTIFVGFNQNFRVVVAKTTVTDITTVAIPANASAPR YRAINLDAITVDTGLSSQCSNGVLIGSDGIVQALWLNYLGERTPNTHKDVEYHLGLAT PSLLPVISLIQGGYHPSLRILNMESYVVHMSQVRIMGVSEEWIQKVAQANPSRHQLFM VRKIDCPPASGETKGQSLQEGDIILTLNGKLITRVSEFDIMYDQGALDALIVRGGKEM QLRIPTVPTEDLETSRALIFCGAVLQKPHHAVRQQISKLHSEVYVSARSRGSPAYQYG LAPTNFITAVNGVKTPNLDEFVKEVSKIPDNTYFRLRAVTFDNVPWVVTMKKNDHYFP MSEYVKDLTQPSGWRTISYHSAGKSSGGESDGAPLTAEGMDEGGGESDDGGEGEAEDE RE EPUS_01669 MNVNPTAYPVHQMSQQPYGYHAPASRPPPQTQPQQSPVHTQFIP ASGSGSFPPNKQYHLHPQQQPPHPLPQPQPPSLQPQQPFPPQQPYQQYQQPSQPFYGN SGNLQFSGNGVMPSSAQNVSPQVYVSSSNSQAAPSLKPSEASLPVTPSQHSQSMAAPA AMPATNNPYSQRFSPQNEGIAQHSHSHNPSSGQAPNQPQTSSQQPQSPQSVAREKERV SVLLEINSYLIQEVVSLQAQGKAGGPPSSLSQQSPTQETAAGSPASATDPSNPLNNSP IDATKPAGTKPPSQEYIECMRRLQANLAYLAAIADAKKKAAGSIPATPAIMAPPPHLS EVKELYKKLNSLFLAAAQSAGTKPMLGSGPRPQNVG EPUS_01670 MARVEELPDDPDEGWNLSGPPKFDADDDAVFEEMYYNRFAKKGP DGPESNPKSFEEVMLELSKTPLFMNDLNDVANAGDENAELDAIRALQYEGTKSDIAQG FKEQGNEMVKTQMWSDAKEFYTKAIAVLTDQSDDKWEKGDDPELEAKREKELEEQCFT NRALCHLELKNFRSTTIDCASALRLNPSNIKAHYRSALALLALNKTTDASDVCFRGLK LDPGNTALKKLNETVQAQQQVEDLRARKRAIEEYKTEKKKFMLATALKARNITLRGSP QAPDLEDAEIHLSPDPMDPKSMLVFPVVFLYPLHAQSDFVKQFGEKDSIIDHLSYIFP LPWDAKQEYRIDSVECFMDTTTGGMAKIGKRLSMLQALTTGKVEIVDGLVKIHVVPTS LTGRWTGEMKKRKAGV EPUS_01671 MSARSRLMDVSIFTEEQLSVKDAIEKICANFPNKYWQEHDQTER YPKELHATLARDGWLGIALPAELGGAGLGISEATMMLQTVSQSGAGMAGAQSIHANVY ATQPLAKFGTRGQLENIIPKIISGESRACFAVTEPGSGLETLKLRTKAIRRGEKYHIS GQKIWITSAQVARKMILLARTTPLEDVKKPSEGLSMFCIDFDKSQPGLELKRIKKMGG RAVDANEVFFDDYEVPADTLIGNEGQGFKIILHGMNAERCLLAGEALGLGYAALEKAA KYAKDRVVFGRPIGQNQAIAHPLSDAYMHLEAAKLSTYHGARLYDASSQDASISQQTV GVACNSAKYLAAEAAYAACERAVMSHGGMGYAAEYDVERYLRECFVPRIAPISREMIL NFISEKVLQLPRSY EPUS_01672 MAARTQMAVGSASWINAEKENVKHLLEQEKEELIYPAQHEMEWL NEHMAEIFSKSHLYDVPPSPGLPLTKPSNVTDVFKTPGKLRGKTPRTVRKRNAVEPRV PLSDVFSSKPLTVSSPKGIPKPDRSVAAFAIAQDGQERRVPESAPILPKHYTDSGYHG STEDETERDIPNPVPSRDTSPPQQVVGEEPPKQVVQEPAGPASAERRTTEGSFHSARE DMIAKVSFVVPEQQQNEADARNQEPHLIAKPGLEAKLEHLQETTTKPVAETGRRPDLA QELDDIGSPSDGSTPDRPLVRKSSLTFASLPAREPLLHKKSFGARESRTSHLDQSRIG RSSYFGKLHGGSHLPSIELQPKDHLDLVMGDADGDKEADQVVSDFAAGPPHGKTSTQR LHEKIDMLGKSQPSRLSKSIPTAVALAASNLRKSEAARKAEMESSAGQTAQEPGFDED DDWIKPLGTAEDMERPILTKSHTTDIMENIVNGKTEDEDVEMEYDLRAPELIAHEERM RTPVRMSPSPGKALPGFGHVKSASTATLASPGKATMAAGPAHMKTISVSNPPNPSTTP KGSPRRIFDAPLTASKSKLQSIMKTAKGLFTSSAGASAAAKMETLSPKALEIVASTMP GLYPSIAGMLEDKPLPPSPPNECRRTRSSTEREKEEKKRERELRGRQKAEDQLEKARE KEKQSAAQFKAEAKLAQAQISGALQPVRKSPRRPAEEHAEAEQSSDNHDMPPPAVPSV QSKAQRPAKPSRDLPKKSRPQPMSIRVASQRMPVTTSSLASNLQETLPPTNAKANVAS KKVSNASLNSAASNVGFKSSVTSQAGKPRALLAAERKKEAEEREAQRKLEQKRETERK RAAQQEEARRQEQRQRAEAERKERERVAAEQAKKSAQQQAIDKRRQEQARKMEQQRIA SAASAAGDASSMCQTGPSAPQRHEMGASRPPSKLGATSTLNRSLVSHNLPTNPAKPPK RPHEDEPGPGRAQAPKFGAASQQADAKRRRTEDEETVEPIARPTMSGAPIRQSNMSKK PGIFSHGYAPAPPGPHINQGQSQINQFPAPPHPHRIVHPGEMSKYANGNKIPFADAPN PPAYPHHHHHKTPSSTQQFPHQAQPAKSTLQPVKSSPMHHPHYTPGETIALPEIPTDS EDSASDASPANGAGATTANGFPIPSWASPTTLTNQLIAQEAIDGDAVFGPIAPLRMEE IFSKGNEDRLKRFRERTSSANWATSGDGLTVEEVRADREMRARIRGEGGWRFEG EPUS_01673 MHSLSSRYLLTCRYWAFGPGCPDVDAHGVQTCPYAHWDTGRLVD FYEQRGTCYDWFHKRVCPFGTSCSYEHRDTGVMGLNQGMLVLHGLNLEIADAANRSGF NTRNQVALMDLIWAVRRIAFRHAVERPKIKRPPKHAVYPDRYRPGDPSDNTMRPRKRG REHEAQVPGKGTCDNPIEIANDDLEAGEAGTTANSSAKRLKVAVGQIGRHKVELPSTA KVLNPVHPTKFQSSSNARHERGRTDARKTTYMPSPGSLNLPPPRPSSVGPIFNTARPL VPDPRDRTVVELRKVGNTLKDNIDAVLECSRVMKAMYERDDRLGNDIIYKELGSLKET FDSCLGDAEVGIVTIKKVISLLEDNKDHVMASGDQRLVDDGVRSVSLCHCYRNQLSKK SVEHPSAGEGGGRSLAILLWPWTAKDERLNRKSNDCEIRASSWTETWKDKDD EPUS_01674 MAAIRSLLNPMPESETRTFQLPSPSPSTHNAELTSATYPRKKQK LCKDAAVFVKGTIHGECRYPAYEAHDKILAAHHQEHHIHPLGKIMDYPRTVPYNSEKK SFLEKTQRDGFEVFHYEFTAQGDDKVQTMMWDYNIGLVRTTPLFKCTGYSKTTPAKML NRNPGLREICHSITGGALVAQGYWMPFEAAKAIAATFCWKIRYALTPVFGPEFPEMCL RPESEGFGSMIIDPAITERCTEQAHFYRQLEVETSPGTATVMRSPLTPESPSLPRNVK QLRPKVRQFASARSGYSSDSSFEDSHASTSVSPTLPYCNTWTPANTPRSIGPSFHGHL PSPRQILAGMSTEAMHSDEEDHKSAASVSTSSTTSLLLKSRPEAHEDDDDEYHDDTGS VSSSSIAVSILPEVQERSCKGSVMSDEKAAYLLLKLNMEAGMNQAPRGGKRRAST EPUS_01675 MNIDEDDRPKLSEDGEKDLTRLWRTWKTVIEMLMDRGYEISNDE VNISRSEFQRRFGDRDGCPDRKLMRLNAKPSEAMDKLHTPIPTKSKPDPSTTAGNIWV EFSPETTIGIKHLRQFAQHLDANNTNGIFITMGPVTTAAMRAFEPLAQRGITAEHFQE SDLLVNITKHELVPKHVLLSAEEKKTLLARYRLRETQLPRIQHSDPVSKYLGLKRGNV VKIIRKSETAGRYASYRKYRQIIARDGEARQKGRGGRGRGGFGRGGNGANNTWRERTN KNDVDRHNSRFEAYYHGLEIVGEDEEQKLWEAMRRDLPNSFRFTGSKGHALAVQQRLK DFYVPEITSITHDGEMVEAPKPVNWYPDQLAWYMTTPKHIIRRFPPFASFQKFLVAET DVGNISRQEVVSMIPPLCMDIQPGMTVLDLCAAPGSKSAQLIEMVHGGEEYRSRTVAK HMANGLDRPDGNEYEDEGRSTGLLIANDIDYKRAHMLVHQMKRLSSPNIIVTNHDATM YPSIKLPTVQSSDGKPLQNRYLKFDRILADVPCSGDGTCRKNLEIWKNWNPANALGLH PTQVRILVRALQMLKVGGRVVYSTCSMNPVEDEAVLATAIDRCGGSEKVEIVDSSGYL PGLKRYAGKRTWNVMDKQGRIWANYEDVIRHREEEGVDALGRLQESMFPPRYDYRLER CMRVYPHLQDTGAFFIAILEKKSEIKAKAGGNARISQDSSVRVETVDETGEMLELDVN GSESTSNPNLDNPPPTLTQTEIGAATKRKREDNDAEEASAKRSKSSETRDDEADPSMA GAEAAESSVVRPEIDLLDKLETSVNELIPKGDWQTNSPSLGAIQKDETVDQVAFTDKY KIKKGGQTFEEPFKYLDAQLEELEGIRKFYDISPRFPRDRFMVRNATGTATKNIYYTT ALAKAILQENEGKGMKFVHCGVKMFVKQDVPNPDVCPWRIQTDGLPILEPWVGPQRRI RLWKRETLRKLLIEMFPRFNGDGWKNLGEIGDQIKEVGMGCCVLQIEPSEDKDGLSER MIFPLWKSLHSLNLMLPKEDRKALLLRLFNDDTPLINLPQRHANGDAPKVESKVESSF EQEEAERRRNSSSGEEDDGGGVPINGIKSEHTAGIRAEEVEAEPSIS EPUS_01676 MAPVGLPGITTDEQLRQVSRSISRSFTSLPTTVQERGIPEDIYA AIHKNTPLLPRAIQHISDLLSRSTAPITASISLSKRQAQILAIPTTYAGLNDGPAPGA VAGIVIGAVGGFLLVLWLLYTCFNMNGGGGGTEVVEEEIIRRRSRSPRRSRSHSETIE ITKSRSPPPRRERIVVEETRRVSRPPEPEPEDPIDDIVEVIEEHSVSTPPQSRRPSKR HSGFRTVDPAEFGGGGRPMRKVR EPUS_01677 MPPQHLDQVLVDDPKLAEGLTAFGEFLRTSYFYPSGSYEKCEDS RAPNAWATISKKFDPFIKRGMDEKNYKYFSIIRVTATSSAYFELADEEIAAIIPVRVI PGTTPQVSWKPLVPGYVTYVNRDFQVSAALDFVLVTT EPUS_01678 MAAPRLTERFKNLDVDDQVPQENLEKEYCFVEDEQSGSYIHGSQ TVSISTAEQWQKEVLADRKNCLALTAMSKNQLNDVVYQANALQPDKQLFNVKIPFEGA PVTNQRSSGRCWIFAATNVFRVAIMKKHNLSNFELSQAYLFFWDKLEKANWFFEQIID TANEDLGGRLVQQLLRDPVGDGGQWDMLANLVAKYGLVPQTIYPDAMAAQSSSNMDSV ITAKLREDALLLRSMCSADSHVSKHSLPIVKAKMLKEVHRILTIMLGPPPNPHAPFTW EYLDANSKYHTVSKTPLEFAKELSSPTLTRTLHGADVNSFFSLVHDPRHEPLTLLTVS RLGNVIGGRSTCYVNVDMQTLKAAAIRMLKAGLPVFFGCDVGKASSRTGIMDDKMFNY GLAFDISLNLNKAQRIITGASAVTHAMVLTGVQVEDHNGEKRSIRWRVQNSWGEDIGT DGYWVMSDSWMDEYVFQIVADPGFVDKEVRDVVDAEPLILPFWDPLDRATAEYSFLLE SATGASETVGRYSYIGANPKRVIRCGAGYDHDGDPLRALQSELAEQTIDIPGVKLPNL IGGAVGYLSYDCIQKFEPVTGAPWLKDNLQIPEAMFMMFETVVTFDHFKSAIILVTHI KIPESPAEDIEPAYTEACELLRSILETIQQTEIPLPAEQPVTQDSTSTSDNQYSANVG RKGYESFVTSLKEHIVKGDIVQAVPSQRLSRNITVHPFNIYRTLRSTNPSPYMFYISC SDFSIVGASPECLISASPVETSNGSRPRIVNHAIAGTIARGKTTAEDDALALKLQTSV KDRAEHVMLVDLARNDVNRVCDPRTVRVDRLMRVDRFSHVQHLTSEVSGILRAENSRW DAFRSVFPTGTVSGAPKIRAVQLISQLEGEKRGVYAGAVGWFGYNIVRGGEVVEGPVD TCIAIRTMLIKEGVAHLQAGGGIVYDSDEFEEWMETMNKLGSSLRCIELAERRFEGRT STKGFGDIVKEQQEAL EPUS_01679 MAELGFYPSVLNLDHTEAILGIAASILGLATVGCQLSSRLNALC CDIVSAGLEIPSVSKGVMMFSLILKQVGLALQAADSVHSSEALETAKLIADECKRVFD EIEGKMDKSTTKRADGSMAPSIQQRFKWAFKKDRVQILLARLESLKLDLLVMFQILQM GKLMAATSNNAPKEEIAVKHDMIAQERAETRNLVIFRYLHMNRVDHLYTMAEYEEDEE ENKQIEQGANGGSDSTQLTIKATPVHGSSSALVELPVVSMGELDTTFSQIKESPKDIL RISESVIDPLLNRWTRWQEFHDRLETRPPRRYSSTAQNLYESHNDRPRYQDDFHDREK SPPDYYLEGTTTDWRKPYSPATKEEAVRLKKKYAELQPFVSAESNDAENNHLYQSPKK RAPSRHLIDSSSETSDSEPELPRQPQRSYIETANDSRSRYPPQRPSPSRSFINGGENR GSFNGRSSSSPNGHPNSTTRSSVSVPRPPGVQRPVINPVQDQYQHAYTSPPPPLPTSN APDLYTPQNQYSPTSNTSLQPPSYHNYSQPQHYPQQYPPRHMVPQGYRMALPPQPQPG YQDGKAARSSSRYSKQTMHRQRSVEDLKKADRGERKKNLARGAVGGSAISGFMEALDG LDL EPUS_01680 MGSIYRNATLVIAASDSPDCSHGLFYKRVSSHILEVPLPGARAA AKLYVRPRRERYYPRKETSRTHIERLTRFLGATDGFESPFDGSTRWFDALGRRGWVYQ EHNLACRIVHFTRSELGWSCSCIETCECTIAETAMRTSDVAGGRPPRLGSQVFSHSWE KDVRERWRGIVEDYTKLKLTKATDLLPALAGIASSIIVKGDKYLFGLWRSGIELGDHL CWYVRDPTRDRGLPIGYAPSWTWASVYGSILFQLFFEWDSHRFTYEFVDCSFYPSSAN PFGPGSGHLRLRGSLIPIHINDECGFYTKGRVEYKILEKMACLLEEGKISDPNLSKIC FPPPGETDLYGKTIGDRPAILQGELIPDTFPFNIEDSDMALYFFILAETVEAYSEMYI HKPRGLILQKVQNQGGNCYRRLGWGDSWANMPTEWWKTCGDCEMVVII EPUS_01681 MPDKPVTVAAYAAGASLAAITFFYVFGPTFFIDGENSSNTSNGR KRGIIGLSNPANDCFINSVLQALAGLGDLRLYLIRELHRRELDGVEIYEDLPDEQDAK GIEKPDKIRELQQGPVTRAVKVMLDALNERPIYKKTISARPLIEALEKAFNTRINRNQ QDAQEFLQVVAERLCDEYHAAVQARKRAVSALDRRKEEDEGNILVTSEDLDADQLRPN NAPAQDEAESRPEPSPDTPLLLMEDEGFPFEGRLESQIQCQTCRFRNKPSSSNFVTLT LNVPQKSSTTLDSCFDILLKTENIDDFKCDKCRLQHAFEVRSNELIKAKSEKQATDLE RDIALIKKALKEDPEKMPEGVELPSLDKAPKRSIKKYMRITSFPKLLAIHLSRSIFEP RTVSMKNAARVSFPERLRLGGILDEKWYKLLGIVCHKGNHDSGHYESFRRNHLYPPFA TPDVFSAYGAQSKSGSKVASPDPSPKIPAMARTSDVNSRPSTASGCSPVISTLSVSSS SVSSPPQSRLSSKQSLDRSVPSTARESVTSDQPKSPSRSRSGKSEAFRPRSSASTAKS DASEKSRFRRKRKSSDRWWRISDDKIKECKTSEVLAMQKEVYLLFYEIERPGDDISG EPUS_01682 MGAKDVLTRKAGVIVGDDVLNLFKYAKEKGFAIPAINVTSSSTV VASLEAARDANAPVILQTSQGGAAYFAGKGVDNKDQAASIAGATAAAHYIRSIAPAYG IPVVLHSDHCAKKLLPWLDGMMDADEAYFKQHGEPLFSSHMIDLSEEPVEWNIQTTEK YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDIYNIWSTLSKVSPYFS IAAAFGNVHGVYKPGNVKLHPELLGKHQAYVKEKADTKEDKPVFLVFHGGSGSTKQEY KDAIGHGVVKVNLDTDLQFAYLTGIRDYVLKKKDYLMTAVGNPDGEDKPNKKFFDPRV WVREGEKTMGARVTEGLKDFNTAGQL EPUS_01683 MDYMRKEQNTPPRGTRSWSKEPSKFDIVWFLLFSITALGLTLAV SFSCSTVATSNLHMYSVSPAALIQGLSHSSNTTRRSGNNISNTTKGLWTAEFGIADLP DQYLFGISGLCRYWNQTDETTCRYHFPQVPSLLEAVHEDSGSSSIQDNWTQLLTQTKI STVGKTMLYRRFVTAAAGLLITSILFAFGIITFTLFRPEHLKISIFLDIVDAIMAITA AIMWSNIQTSQASALRAAAPEATGVMLNQMMKVGPGLGFIWGLAWSSSVDYPHYQSTL DPHLPETQAPLGVIDLQEQYESDAFQSWHSYNPCDNALLPSVPIDHEPSSLDNLTAFN NDDTILDIPLTSHPDPTPDPVSAGSKSSSSPKEISSQPGSNSASTSRIEKRKANTLAA RRYRQKRLDRVAELESALEATRLERDTLKVQVAKLQGETQVLRALVRGGPGGGRGVKT T EPUS_01684 MADPLSISASVAGLITVADIVIRNGHKYIKAVKNADKTVVSLMR EVNLLSGTLHSLRNVAEGLEDEAESFRFTTKVHHVEYCYQTLRKINLLLENFELSKSK GVLHSAAQRLRWPLSVSETKELMAEVERHRTILGLALQADEMSALLTLLAKEEAIGAV VSDIHRGLDRDRVHRNMLAMSRSVPQLYQKTFTHENQDEQRRKMLEWLCPATLDPTRN HESSISLCQPGSGTWFTEGVEFQEWRLKKSSKLWLYGIPGAGKTILMSTIIQELEKDI GKDEGKMLLIDYLAHLQSLFSFTNAETQEISKILGSLVKQFMVQDETEVAFEALRVCY HSHQDPSPRLPKPEKLLELLHAVVRPFSVAAVIIDGIDEISSNRLEATELLRCINQPH GSIRTLFASRCEIDIEECLDDYERVSIAARSSDLELYVASEIEIRTKRKQLNIKDPDL KAQIMKRLINGADGMFRWVACQLDHLCELGTDRARRTALDKLPRGLPKTYERILERVL ETHEETQDLVKRALQWLAVAPTLSGRALLEALALRPGDSRLDPEAMTSEEDILKWCSS FVRRRANGNGLELAHFTVKEYLMCIDSANNPRVGKFRISESESNLLIGKICLTYLTLD TFAETPLPANLLGIMYSNIKEDTILSLSARRESAEKEPQSQRSTHDTPDTLSLSCLES YPLLVDAAASWTNYCKDHLMDTVIASLSHKLFHPRKSNQFLWWSYAFLSNWKGCKWAQ TFPDTTTLHWAAMLGLEEVCGWLIREGSDVNRGSSLGTPLDCLLLGLDATWRCYDLKD IKHNDSMHKEGKDTKGSIAHLFIEAGSKLNDNSNSTSSWLPLQLALKTERHNNYLIAL LLQAGSKVDLCTLQIVEECVKRRRRCDIPCGYLALIEEVSADQVQEDARDTFLILSEK LKANKIQMPQLHEISTVAEVPATVDVTQLEECLIQTAEYGQAGDARALITVLKDRLPP MDSNKILSRGLRHAAQNGQERIVTDLLESGASANIVDDNRDSALHHSLFEDKTFDVDV VIRIVQALLLHGADPSLRNKEGELVFHLAVKSKKKGLFKALVEAAGMQSTQIILASSH PSVLQHAIEWGLDDTVSYLLEIYTDIDENNHRSETGKTLLGKAARRETPIALRQLLSR GLDIKALDADGSSALYNAVIVTSHGENFNVLMEAGGMDGSSRKDGRRAIHAAAEMASS VADSMLEALLVAGENPNATTKKGHTPLHLLAFRFPYSTSKIKLLAGRPRLNINCRDHK GRTPLMLCLDVLAAAFNRESMGWINQQEVLEILDGINVFVDHSRDVNLVDNSGATALH HICSGIPQQVSFNVIKTLINRGSSLHHRNSAGVTPFEALLYSSMTRLGNANGKRTTTT LSGTEVLRFIITRMPGHHLNDHLSNGSSPLAVALELKCAEAVELLLSREDMDVDVDAR SQDLNQISPLEVAAVVGCNETVARKLICRISRPVDSFSPVKDGSILHFAACEKDSPTF LKVLLKDNTNLDLETPDKCGRTPLQLSIMNGCLGAISLLLEAGADANKSSPDGTNYPL LLAVHSGSIPIVNALIQYKAELDVRGYRENTPLIAAASKGFDQIIRRLVEAGADIARC CDDGAPALFVAALNQHWGTVKLLVDLGADVNYVCSRTKDTILHIASERGTWETVEFLL RKATDLGAFDLNGKTPFLRSASAGQWDIVAGYLEYGTDANAKSLLGWTAMHCAIHAGA KDIVELLCKHGAPLLQDARNQHGTLLGNSLTCAAGSGDANIFDMILKEGPGLGFVNDE GYKLTHYAVGAKDDAVRELLFAHNIDWDANTAEYHEGRINFVEACPLHIAACWGNDNS INFLKFKELVQNIDARTGEPHCYTPLHIAAHSNHLSTVKLLLDFGADVDLVDRLAEKT ALHYAAELGFVDIVRVLLDHGCHPNLPDARGMTPELLAVEKGHVEVSAMLSRHLDGLE EAQQAEARMPTVATAEAKSTLKAASACATAPKNVLWRLPLTLGPNFRRIMTNDVSIYA IDNTTCPPALRALLEQHEGKEVDKLGTPYRRRIAWKKPSK EPUS_01685 MLHLVALEFQANAFWASLGTSLGATFLLALIFSLFRPRHSLVYA PKIKHADAKHAPPPIEKGIFSWMKPVLRTKEADLVDRMGLDATIFLRFTRMLRNLFLV LSLIGLLITIPVNVAMSNDSIKQRGNTFLLMTPQFIFGNAWWSHVVCSWAFDMILAYF LWHNYRKVRQLRRAYFESPEYQMSLHARTLMVTDIPSKMRTDEGILHVTDEVNPTGLL PRASIGRNVKILPKLIEEHEETVKKLESVLSKYLKKPDSLPTTRPTMMPPRKFRGDKP TVKVDAIEYLSNRIRELEQEIRDVRDRIDKRDAMPYGFASWEQIVTAHAVAFSARGKH PQGTTIALAPRPNDLIWENLPLGKAARRTKRLANMAWVTILTLLWTPLNACIAIFLSN LSNLGRVWPAFQTSLNGNPTGWAIVQGIASPAITSLVYFVLPIIFRRLSVRAGDMTKT SRERHVIHDLYAFFCFNNLIVFSLFSAIWAFVTATIEAKESGDDIWDAIQKGGFYNHI LISLCTVSPFWVTWLLQRSLGAAVDLAQVLNLFWIWFARTFMSPTPRQNIEWTAPPPF DYASYYNYFLFYATVALCFATLQPIVLPVTAFYFVLDSWLKKYLLMYVFVTKTESGGQ MWRILYNRMVFAAILANIIVALVVKARGTWTMIGVMTPLPFLMLGFKYYCMKKFDDDL HYYVRTGMQDSESLAAGSKPKKLGDRVLTKFCHPALYRPLMTPMVHASAKSVLGQVYR GRLNSDGAESMVYSDIALESMSKHEPGKSMPSAMRDTAPFEIVPEGQQDFKYFKNRTD FRDEFSGGIYGKPEDLISERSHTPRSFMGHGGAWSPESSTSRANSPSPTRAGLVTQAD AALVGNDGILRPISRKQFDTSNVHPAFRNQPMSSHRSRSSSPHLTSPYPQPTSSRGSL TGKEIDAADLSMRPSPGLYTNPDADESETRLLGNVEVDGAGTRGGWGGLAPTPGGGES VYGMERWRTSGSSYSRPGTEQQQDDGLGGYDYFRGRDKK EPUS_01686 MAGELTYRDIMMLWYYGVKPSWVIAVVATFLFSCTTLVHLLILF RQQAFFAFPLLAGSLFEVVGYGFRAAGLHEDIPDPSTYVTYSTLITLAPGFIAASLYQ LLAHIILANGRGGRTQLITKLGLGCGFGILDVLGYALQAAGMSKLQTGPFGHSPANEL LQHVRSLLLAGNIITLINLTLFTALTIYYLTVLLLRCGCTSSSSSSSSDPGLISHTPF TILLLSLGLLFIRTIYRTVAASKGLVDEWGKDAAVYPLRVTPLFSERWNYGFDAFMVF VLVVVWAAWFPTRDRLGEDDEVGTGMQSSAGVWAASWTGGLLRAVRRWFGRREERGRN VRMEGFSRA EPUS_01687 MEEGKKKKKKVSIEVEDRDEEEDDGEDDGEDDGEDDGEDDGEDD RICAKGALAPLAPLRKNNSTDQRSLSSNTPPSSSLAAFTMAAPETPRRSLLGRLVGTL TQYVPTPRRTPPLNNQTPPAAQPATTLSPAHAAAEASQNSIASDSWHPGLVQSKYLSE YENMFADMNKTPLRRPVRRAQTSPPKRRNTRANVVEMPRSAMKKRSAPMDDEEQPPPS NSKRVKFNEALVQERILSPPHPGERDSIPEQRFLFSKKRPRATEPYSGKQFADTPNMF DESPSKRARQEDGDESRSKRARYETGDESTCDSDITPTTKNNTRMNKEIFEEEEFVPN RTQPRPGTFELNYDTYADEESLLSEERSAIAPAEEQTPAYTPPSVNTTPGRFALEYSD DSINPDTSSLVNDDTTPTPGPSNPPTRAASPPPSLEPSTSQNEAETSDEQPPTPPPPH AAEIDAQMDALPWPKPVTYVDAGIASQNIINLLNARYDKEDEYYAGLWWDREYPKYTS ALKTAKKEGREMEIQF EPUS_01688 MWGLLSDEMYRRRADEQSNTHASDWHKTDNQRICAPDESATDLQ SEDQFYTPPNGTWGERDVGAPLDPARSMVDYDALRRELTRLSVGHSRPASGMKRLSSI ARLDLDRIESAASRPQRTRSRATSWASFESEDAETLEGEQSVEKEDFDVGDFLRDGRF EKRAPTGESAKKIGVVFKNLTVKGEGASVAFNKTLPQAVVGTFGPDLYRLLCRFLPAL RLGRRPPTRTLIHDFSGAVRDGEMMLVLGRPGSGCTTFLKAIANDRSDYAAVTGEVTY GGISAEEQRKTYKGEVNYNPEDDRHLPALTVWQTLRFSLMNKTKKHDAGSIPIIVDAL LKIFGISHTKDTLVGDEYVRGVSGGERKRVGIAETLSAKSTVMCFDNSTRGLDSSTAL DYVNSLRIMTDVSHRTTFVTLYQTGEGIYELMDKVLVIEEGRMIYQGPAKEAKEYFQS LGFHCPERQSTADFLTSVGDPNERQFQPGKEASTPKTATELEAAFTASDHYKKLIADV NSYEKKLQESENADARQFQGAVEEGKSKHVSKRSSYTVSFPRQVYACTLREFWLLWGD KTTLYTKAFVIVSNGLIVGSLFYGQTPATEGAFSRGGSLFFAILFLGWLQLTELMRAV SGRVVIARHREYAFYRPSAVVIARVILDMPVLLVQVIIFGLIMYFMTNLDVDVSKFFI LELFVYTNTICITALYRMFAALSPTIDDAVRFAGTGLNLLIIFTGYVIPKPLLVSRYI WFGWLYYVNPISYAYEAVLANEFSGRTMQCSPQQLIPQGPGVSSEFQGCALTGARLGS TTVTGDEYIGTTFAYSRMNLWRNFGVLIAFTVLYIIITAFASEVFTFVSTRGGAMIFK KTTKAKQIISKDTEVVDEEKIVSSQRASARSENTVLAETSDDEVKEIAKSESVFTWEN VEYTVPYQGGERKLLNKVSGYAKPGVMIALMGASGAGKTTLLNTLAQRQSMGVVGGEM LVDGHKLGPEFQRGTGFCEQMDLHDGTATIREALEFSAILRQDREIPREEKLDYVNNI IDLLELNDIEDAIISSLGVEQRKRLTIGVELAAKPSLLLFLDEPTSGLDSQSAFSIIR FLKKLARAGQAIVCTIHQPSSVLIQQFDMILALNPGGNTFYFGPVGENGSAVIKYFAD RGTQCPPGKNVAEFILETAAKGGSRGKDGKRQNWNKQWRESQENQDLLVEINRLKTSR SNAIATTESKPQHEFAAPVWSQTIELTKRTFIQHWRDPSYLYGKLFVSVIIGIFNGFT FYQLNTSNDLTSLQNRLFTAFLAILIPPTIVNGVLPKFYQNRALWEAREHPSRVYGWF AFCTAQVVAEIPMAILSGTIYWLLWYWPAGLPTDSGTAGYVYIMTVLFFLFMASWGQW ICGFAGSFTVISNILPFFFVTFSLFNGVVRPYAQLPPFWRYWMYYLNPSTYWIGGVLA ATLPSVRVVCSAAETTLFRSPPGTSCGEYAGRYVTEVLQRGYLVNPAATDVCGYCAYR DGTEYLSTLNIRPGEKWRDFGLFAMFVVTNWVLVYFFIYTVRVRGWTFGLGLVSRGVR RGGDAVRRGLSGLVRRRR EPUS_01689 MLGTMVSLAVCLALYARMTTALPTISAVGSKFFTSDGNQFFIKG IAYQLVANDPLIDTKQCQLDATLMKELGANAIRVYHVDPLADHTGCMQVFADAGIYLF VDMDSFQTYIKLDVIAWNQNKSDSFKQVMDEFQKYDNTAGFFVGNEVLNGLSDSPAAP YLLAAAADLKAYRDAKGYRPIPIGYSATDSAPLGSLLQDYLACRSNVSERLDFFSLNS YEWCGSQTSYATSGYMALQHSFEDYPAPIFFSETGCNTVPPRDFSDQTAIFGANMSGT WSGAIIYEWIQEMNHYGLISYGPFASNALQQGTTVIDGYPRQGTPTPVTPDFSNLKAQ WATISPTGVALSAYSGTSVTPRPCPPSTAGGWAVDASLPLPTIGQVETPAASSAASAP SPTGPRPAGTAPSSSAADAVGSGSATSTTASGSAAQFEHNVLVFNEGSVAGCLVALLT IGLAVLVLL EPUS_01690 MAVEGHRKPSLQSMVGSTALLSDIRPRPGLEVTSPVFNVAKDCS SESLMENRLLQRRNGSAKPRLPSFRGLGIPSFEPQSIPNNHALGTCFPVGRREVAEHT SLKAVDNQLRRTGSTPLLTPPAELDSLKWTSSATIPLPVPTTSQSRFTLPPHALTTSV EGASLSERGGRAENVSLGASSTSGAEQNLQTTSSNPAQPAYESRGSSLWLDRSVGATG EKIHYFMMCQKVLISIHAVVCIDPSNSSSNTVQIVSQTLPSPAKETARPNAMFTEVIA AIQTRFATGAQPYITITHAVPPRFDLLNLPSSPPSTPNLSAARDDYFAQSVFAHAAVV PSYHVPNNSLATSAPSSPNPIVPPSSVQISVLERYIPPSSPQECKDLFSLSGQSVLID RLAELSMDKGSMLFIYPTRTGADAFTSKYLSRILDPLLRALVEVHQLSYGLAESLSSM AAVPDMYEFEALKGKVVGLCRRMTHRITSESKRSKFDLVCASTGEVFIDRRTWTEWYL KQEHTRFKRTLEKYWGRGKRLSQMDSASAGTVLREFIDGVNKMTDEEAEQTGPAIEFG VFVIRRTHMASSG EPUS_01691 MARVQGSRRGFNRGRGQVSFAHVGKNNNNNNTVQKNFNDSSSGN SRGKFKNRGTKGNPNKQKNYSKFTSSDAHAEGSSLENDLDKILHASTLAKHIDPPFTE LEDDFSRLKTQTIQLATLVLHLQSKLVSDLPKPKKPHPPEAIAIGRSLPPDISSSDKI QTTTFKAHLIKTAQPTASDPLSIIYSSTTKPWSPRRPPTNTPKALQTPGTPAWAGSPM SVDMASPSNTQLTPTLDRLLDELHEAIQNRDGERIALDLQIEPPLAQAYTDLSRELQK HYPWGKDQHLRTLCEKVLPKSPDGAKIAWESFAGHLLQYLQFIRDYAPHNLLKNNNDI KRLLNTSCICLGDVTYGVVILPTVLYLSKVLAKLALGLDRRPDLVAQLMKEHHTGDSE DIKERVTFVEDAANTIREAFIKCLSDKSGSGGFGRNAAPEGKRIGIYKTGNLCLKLLF QCRKLSSATTMFVSIDAQSPLLSHYPAPQRVTYLYYLGRYLFANNHFFRAQLVLQAAY DQCHAEALRHRELILVYLIASNMCLGRFPSSKLLLRREATRLAKHFLPLCQVIALGDL SGFEEHLSLNNPHGQWFFQRRLLLQFRNRCEALVWRSLARRVFIEVGFPGGEDNKTPF LRLHLLQAAVQWLNVRRLKSPPQKPATNVQSWASPLRDNAQTQFDIDPGNRAAKAVDP EFAGMEDVAADVGFDLESGAYIGRTENQRKYLASNGADTKHPKPQLHPTIDEVESIMA SLIQQDLVVGFLTHNNPRFAIPGAKTRGALAVGFPSIWPVIKARRQEDQVPGWVKEDG RHNVFGGGAFGGPGGGGRVVNLSGARPVGVGAV EPUS_01692 MSSRRWKLTISQKIKSLSRSTAAHQAPGSNVTHQPRNLDPTQHP FAQSREYTRALNAVKLERMFAAPFVGQLGQGHVDGVYTLAKDPGSLQRLASGSGDGVV KIWDLTSREEVWQTRAHENIVKATCWTPDRKLLSCGGDRTVKVWDPYSAESKAPLLAT YLGQAGFTSLSHHRSQSSFAASSASISIYDLNRPSSAPTQTLQWPTSTDTINAVSFNQ IETSILASTALDRAVVLYDLRTSSALSKVVLRLASNALSWNPMEAFNFAVANEDHNIY IFDMRRLDRSLNVLKDHVAAVMDVDFSPTGEELVSASYDRTIRLWNRDRGHSRDIYHT KRMQRAFAVLYSPDNQYVISGSDDGNVRLWRANASSRSGVKSARQRQKREYDDALVRR YAHMPEVRRIKRHRHIPKRIKKAADIKGEELKSLKRKGENVRKHSKKGSMPRQSEREK MVLGIEK EPUS_01693 MAPPPSNAVKMADAKDLSRKNPSKAETMYKDVLSKAPGSNEQAL KDYESALVGLGELYRDNKKADELAELIKSSRLTLSSFAKAKTAKLVRQLLDFFGDIPN SLETQISVTKSCIDWAISEKRSFLRQNLETRLVSLYMHKQAYYDALTLINSLLRELKR LDDKLVLVEVQLLESRVYHALTNQSKARAALTSARTSAASVYTPPLLQAGLDMQSGML HAEDKDFTTAFSYFIEALEGYHSQDEGSKATSALQYMLLCKIMLNLVDDVTSLLGSKQ AQKYAGTNLEAMKAVARAHANRSLEEYEQALSDYRFELGSDIFIRNHLRRLYDAMLEQ NLIKVIEPFSRVEIDHIAKMVGLDTQQVERKLSQMILDKVIIGVLDQGAGCLIVYDEL ERDQGYDAALETIEKLSNVVDVLYTNQASLLE EPUS_01694 MGKSQSKLSPQQLEELQKSTHFDKKELQQWYKGTLTKEEFQKIY RQFFPFGDPSSFANHVFKVFDADNSGTIDFKEFICALSVTSRGKMEDKLDWAFQLYDI DGDGKISYEEMLQIVEAIYKMVGSMVKLPEDEDTPEKRVRKIFRMMDKDENGSLDMAE FKEGSKRDETIVSALSLYDGLV EPUS_01695 MKVAIAGTSNVAQYLIEELTTYGHDVIVLTRNPKREEKKVEHRV TDYSVASLVAVLKDCDALVSTIADFSNPPAATEIHFNMLEACIQSRKCKTFIPSEWTH NVEDYPEQPMFLADANKALHQRLKEEMSIRWTIICNSWFTDYVVRKSQRYLRDLGPLW PMDFSSKVFTIYGPGTQIIDLTPVRDVAKAVAVLIDSKEPWEQHTYLSGDQLSWNDLF AIIKRRDPEWTSQNKPLANTIHQITANESPESVFLGHFEILSYSGALALPKEKVLRQR SKYFQDIHFRTVDEILETAAARDGIV EPUS_01696 MRKTALRNSETLKAILLVALSSYSTAFYLPGVAPSSYTFDAIVP LHVNALTPAIAQRDDQLHAIVSFDYYHHAFRFCRPENGPEWVRESLGSIIFGDRIQTS PFQLHMARNETCKALCETQKFDARSAKFVNRKIWQGYDINWLVDGLPAAQLLQDPLTQ ELFRSPGFPLGQFDKNGQTLLNNHWEILIDIHKAGITGKQYRVVGILVQPESYRDSKV LGDGKAECGDSSQPLILNEDGETPVTWTYSVYWRLSDTAWATRWDEYLHVYDPKIHWF SLINSSIFVVLLVGMVSMILLRALRKDIERYNRLDSYNLDDLTGTSAVVEDGVQEDSG WKLVHGDVFRSARYPLLLSVLLGNGAQLFIMTGLTLVFALLGFLSPSNRGFLATVILL LYTIFGFVGGYVSSRAYKTFGGERWKLNIILTPVLVPVIVFTTFFFLNLWVWGKGSSG AVPLTTMIAIVAIWFIISVPLSAAGSWLAFKQLAFSTPTKVNQIPRQIPPCARSLRPL PSLLMTGVLPFGAIFVELYFIMSSLWTGKIYYMFGFLFICYALMIITTACTTILLVYF LLCAEDYRWHWRAFLGAGMTGVYVFIMALGFWMMRVSFGGLTGAVLYVGYSALVGFLV FVLTGKDPVL EPUS_01697 MPADNNQLETTQQAQGILRGNTIEGLRKRMEDALADQQKKRDHM STSEPPFLPRSIAVRRSVSEHPNSSGESVQAVATGSTESVGTVKGANVATPGAVRTPS YPFPRMALRLPRGQSQLSGPRHKPFTLLSPTNVPQPGDIRSTPADLSSSEPGTPLHRQ DFQSGPRDDEIPDDPDYPLPDLYDLVLLLNAEPGLDAWWTSVTEILAEAYGAERASLA VPGDMTDLENVPWGQKASFNLLGVDHSDSSLLESTVGSEHAGGREQDQLPSKRAEDTQ SGTHLKLQLRADLKRPLLQSRHSIAGVTPDTMTKTSQQRPPGPIRAISGRNEIAALEA HRAVEDILPHSGTIVEEPATAADSMGYSSESASQSTKAVVYEALRALESEQDPLIVRT GVTALFGKRRPVVMTRSFADSPVSPGLSKSSERQKGDDNSVRQSRHRNRTQPQKASEV GTSRLLTLEKPSLLRRYEESEQPEPSPWSQSPHPSPAARADPSESPFFTHSAHVDETA FNSEPTAYDYSVNQPVVAIGTDCSKSLIHIPLIQPVPSRRPLSSNLRFPTAIISLLSS ITPYPPSLRHSLAALLPHLASSYSLAQQFTSLEAQIHVRSPTRYSRGLGLGGTFSDES SELELVAELSGQIARVPGEELRRSAHGSLRSPSERSTISKSSPVGTPVLESASTGFTP GLPPTPGRTGAEMVDSYFSSKRTKPVGQLQHLPRTPGPIGASKPRQSSAEESFGRTKK QKPAGTAQQFARIKPPVSKRAGASYPSSPRQEAVLELLDGEPLHLEPAAIEAHDPGSL RHLSITSYSTNLPRDPGDRSLPDSVSQLLLNSVPLQLFLAKPKTGELIWTNSKFDAFR TQAQPHGVRNKDPWQNIHDADRQSLVRGWNQVLRTGAQMTQHIRVKRFSNDSDYRWFI FRANPLLAHTGQLIYWIGSFLDVHDQHVAETKAAEERDTLLRNAKYQALANSIPQILF EAVENVGIVSANEQWQTFSGQSLEEALNLGFTKHVHRDDLEKCGIISASQGNDSTRES ASGSSGVSDKTITQGRHEPRSPADALSLTSLVQKGVVTIEQDENGRISYSTEIRLRSR GGEFRWFLVRLVKVESDLLNGGRASWYGTCTDINDRRALEKELNRVNQRMQLEMESKT KFFANMSHEIRTPLNGILGSIPWLVESSLEPDQRRTLDTIQNSSNNLRELVDNILDVT KVEAGKMRLAFKWFHIRTLLEEIIDTIAPRAIDKGLQLNYTVDMNVPSTVRGDPFRIR QMLINLMGNAVKFTDLGEVYTRCYVKEPAEGQAVQPNIAYIAFEVIDTGRGFSETEFQ WLFKQFGQILGSSNHDAGSGLGLFLSKQLVELHGGQMSATSEVNQGSTFSFFVRVEIP SGDSPGSPSATRPGSQRASLSETARTSSNPGLESRLPARQGIIQSPGLSKYVASPETQ SPAPASSGSSDPSIHSFSGHQTDRSSVSSLLPTPEYAKVDASGWRQNSRLSERQALTD SAIEAFPATRRSRSIDNGKNFSTKSLSAIHPTIYSIVVICPAQYARAAVKQHIEQVVP YQIAVNVTTLSAIQEFLDLLNGATTPVFTHVVLDLPISHDLMLFMRQMLTFNATIIPI LVIITDHYQKRDIADEYSALTKAGRVAYMVHKPVKPSVFALIFDPAQQRNLSKDRNRD IAQSVTETFKNVADRVKSTFSGKGYRILLVEDSEVNRNVILRYLKKVEVASETATNGQ ECLDMVFSKQPGYYSLILCDIQMPIKNGYDTCRELRQWEASHNLASTPVMALTANAMP EERAAAARAGFTDYLTKPVDFNTLGTMMMTLLDPKKPHIFLRDRRDRAGS EPUS_01698 MAAAARRQYSYAAAPQKTLPITMPSKAPAYHYPVSRIAMSPPEM SDSSTTYSGSRSSGQSYSARSSNYAASQSSDYESYNSTSGVDVVDMLSERMNSAFEPI RMDKSLAKQAQTSGQLNAKQRELAELQAMAQRRLKGARKNFDEGMQAARETRRDIDYI KGKTTSMKAKAERRNPEAYAKASRRSYDDY EPUS_01699 MAPPPTSEVDCLIVGAGPAGLMAATWMSRCGIKTRIVDKRGTKV FNGQADGLQCRTLEIFDSFDFAHRAWRESNHMLEICLWNPNDQGVIRRSDRIPDTIPG ISRFQQVVLHQGRIERFFLDSIKEHSNIQVERGVLPERLEFDASKAEDEDAYPITVTL RHLTDEEATPHQDLSSTATNQALNGSAPQNGMFRSNLAADDTDDLIRKARAEAGSTEI VKAKYMIGCDGAHSWTRRQIGFKMEGEQTDYIWGVLDIIPITNFPDIRMRCAIHSANS GSVMVIPRENKLVRLYIQITTTEKDGNPVERAKIGPQMILKSAQKIMHPYHLTYEYCD WWTAYQIGQRVGSNFSLHERVFLAGDAVHTHSPKAGQGMNVSMQDTFNLGWKIASVLN KICTRSILQTYQSERRRIAQDLIAFDHRFSRLFSGRPAKDIMDEEGISMEEFKSAFEK GNMFASGIAVDYGASVIVAKAGDLAEQGDGTAAESPGRDDKNRVVGKQDLAGDIKIGM RMPSFQVLNQADARPSQFQELLKSNGRWRVVVFAGDLRDPEQWARFTSLGEALAQPST LFSRCNARAGPNSVIEVLTIHSAPRTAIELLDLPEIFHPFSEREGWDYWKVFVDDVSY HEGHGHAYQNYGVDPTRGCLVVLRPDQYVSWIGELEDVGEMERFFGRFMRVSAA EPUS_01700 MERAKAAVSEFVGRHGHHDTTVDERQRPAVKHEEIKPIRHEEVQ TAIKREVDQDHYHTTVQPIKDREVLPEKHSHQMAGVQSKEFEHAKPQDVKARLDAEAA QFRDTSTTHSTKTTQAVAPTVEAEIVHHHVHETVQPVIEKEIIAPSVVHTTVPVHERH HAAPEYHGTSVLPPKTMSDFKATGQGLTGGTGVMNQDQYEGCPRPYNPEMQLGKTEAD ADPHLGLRADGTHHGSHTHGPHASDAANKLDPRVDSDRSGGTHAGESTGILVDDHLGG TGAPGSHSAAFGLTPSVHGINNSSGAGDRLGLGHSGEHGDHRINSGTGRVGGTDTTPG HTSSHDTSHKKPSLLDKLNPKKDADGDGKPGFMK EPUS_01701 MPVPESPPRRRPVPPPKTESQAQLRQELTSPRRTNRTSSNQDES IDRPQNEAPHSEAQREDATKHLTLPEGWIMKNAVDVTYHTHVAKPVVHETIHHNTTEL VEPRITREIHHYHQYNYIQPLEVEVPNDCYATNAKGEVIHAPGGLTTHVGETSHWEQE RQDRGYSLPRPLKSGEHDETDEKEVEGRDKTVGPLPIEAEGGGERVLEGIRDGGVARR FGGALTSPYTTSQSNQPGSAAEPQTWRRRNDVDGTSPSQQKYLPDVPQARTRGGGFEE NSSSQQPHPSYPPQTPPRTDGSSSTYKNFSLPDPTRNASPNLAADLEQNFNRLSLQHQ HPEAKALPSLPDTSPRSTHSKSSVRRMSADTKLRDRYSMDSNRHSLDDSNPTMDDETV PERGSSARKTRIY EPUS_01702 MGGGPVESKDVRQFSFSDLATGWSFRQSDSKGAAWLPVQKIPST VQQDLMDQGIVKDPFFNFNELEAGWVGTESWVYRTQFNAPALDNQSKTVLVFEGLDTF AVVRLDGKVVLRSDNMYISHRVDITNFVKLTARHELEIEFDSALFKARQIQNSHADHK WTCFNGEPARLAVRKAQYHWGWDWGPLLNCAGIWKPIRLEVYTARIAELRTDVVVSCD QKSATLKVSAEIEDNHNDELRVEFKISFKGRTVSENSCPVLAQSGAFTHMRIDSPSLW MPAGYGTQDLYDVQATLFSQEKELHSESCRIGLREIQLIQENDSHGKSFYLRINGIDI FCGGSCWIPADSFLTNITPERYRAWIELMVPANQKMIRVWGGGIYEHDSFYSACDELG ILVWQDFMFACGNYPCFSTFLDSVHQEAMQNVRRLRHHPSVVIFAGNNEDYQVAESAG LTYDYADKDPEHWLKTDFPARYIYEALLPDIIRKECPEIPYHPGSPWGDGKKTSDPTV GDLHQWDIWHGSQEKYQIFDSLGGRFNSEFGMQAFPHLETVKSFIQDEKDLYPQSRVM DFHNKADGHERRLATYLAENVRTATELEAYIHLTQLIQCEALTYGYRGWRKQWGEGRR CGGALVWQLNDCWPAISWSICDYYLRRKPAYYAMARCLAPVAVGARREHFDWSVAHAR EPRYLEWQVWAVSSRLEAVIVDVEVRFWSVQTGREIKDRIVRRGVELTPNGTTDVARG VIDNENEVPHVLAVKMWMDGAVVARDMDWPQPLKYLHFPNRNVEVEVRGKEMHVRTER PVKCLVFEERMGYSLSDSAMDLAPNDEQVVHVTGWQQEDEPLRWTYLGAGEQ EPUS_01703 MNFAPYQDVDPERERALSPPPPNGRRSKSPQVRTPPTRPNASTS PPILPHPNAFGNDYPSTGNNDGLGDRGVHFDAFQTSLPLRLDYEAMLAYILLPPAGPV LLLLTEHKSDYVRYHAWQSSMLFTCIFIVHLIFSWSSVISWILFVGDVALIGFLALHA YRDVETLDYYEVPFFGPLANRFVDDE EPUS_01704 MVTLQPAGETSVRVSVAMVSLVTVAIVLRIIARLLTRSALAIEE ALIILAACLFYSHQGLSLASILGPGAAGTSNPMPVGGTMTVPQLDLFFKYLYIGGIIF VITITVVKISILCFYRTIFAISRFRKLTWVVGVVCIIWLLVGLPIIIFQCSPIQAAWR FELHATGEAKCNSMPPAFFGLEIANVVVDIMILCLPIYMIRRLQMTAAKKRSVIAIFL VGGFVCVSCIIRAYYNYNPATGQAASPSGTFDWTTIQLASAIVCACLPTYAPLFKRHK YPTRLRSWYAPLTKNSKSAQQSKASSLRLSRFPEVPRSHDHPRYQHMSDDGVHLTRPL EQYRSWYSESAA EPUS_01705 MPSRIASSSDVPTVPLSFSNNFWGRDDAGVGPLLDRMHNAKITC DELKAFYNARAALEDEYAKKLFALSRKPLGSGESGTLRASLDVLRGEVENTGKSHATI AQQMKSELEEPLGAFAGGMKERRKIIQTGIERLLKTKQTQTAHVNKTRDRYEQDCLRI KGYLAQGHMVMGQEERKNKAKLEKTQINMASNSNEYESSVKVLEETTGRWNKEWKAAC DKFQDLEEERLDFTKASLWSFANIASTVCVSDDASCEKIRLALENCEVEKDILSFIKE RGTGQEIPDPPRYINFCRGDLNDTASEASEDEKYSVAQFPRTVNPAFRSASPNPSTYE SHHDPNSELAQQMGHPAPPESLADDNDLTPLKTNSGRPRPLNYRQPEQNMPPNYSPSQ HGDVTNVPHNEYPTEGMTMFCRTGPPSVTGSGLSNNTRPSSRDSQSDYSNPTSYTTAD PLSGKNSPTKCMPPVNSAPMAGMSNVSPDKTVQKRRSGFFSNSPFRRKSKKELDHTAH TLTTDRSNRNTWAPQSGMRTNNASSTNINSTSSSPTKSFGRNNIFNRNQAPPEGEERA DPRATFQLNVGNNVFDVASPDHQTTPKARKGFSPPVSSGEDVTTDPLKQALMELQQGG GAGMGKQSSTRVTADRYHGIKTPAPDATAARPPPLSAASADKIGAQRGTPPPAYEGAR GGPPLALGVPQPAFTSKEMRKRTETWGSGSQAGYPSGRNAQAGRPGTRDGNMQRSRSP GLGMGGLPRAASPQPIVRARSPGPDMMRSRSPAPPMNPNIRARSPAPPLNPNMRARSP APPMNPNMRAKSPVPPMMMSGQSQYRAPSPNPYAGSRDSQSRQNAGMEMQLSSQDVQR YDASGSGRSRHSMMDRGGRPGSAYSGDPYAQQQGGRGMDPQMRRERSKSMAPAPAMGG MGGSSTLHYARALYSYTAAIPEELSFSKGDVLAVLRLQDDGWWEAQIEKGRQGVGMVG LVPSNYLQRC EPUS_01706 MPVYLLHGFRWPRGGLTGIRVHIIIHNLDDCSAEYIQNPQSQAE LLRSFRNAYPEIMKELEPSTLGNGKGIQFLEQYDPEDVMGEDVVSQPYAFVADRVVVM AAGPLASVTSDSTTATPTPTSPLSEPATPASARRSSKGSVSAGIAAAAAAKTQALSLN IEEVVAEGPGVMAPAWEAFAQLRDKIAPGEKIGWWVVYNGDPERAYDSDEDEDEDEED EDEEEDHAEEVEEGTIAAETEDGMLALSQPPPTPPKFPIAVRTRPPQPTPKPRMFEQP DLSHNNNTQPTSPKSPLRSPSSKGSGKRLPGMTALPQQPGHQPGHQQQQQLPAPTKPK IAPKSESLKKKLFGKRS EPUS_01707 MHQNNTPQSASITLASDRETPPDLRLLHYNDVYHIESGSAEPAG GISRFQTVVNEYRSSPRFEGQASLITFFSGDAFNPSLESSVTKGKHMVPILNAIGTDV ACVGNHDLDFGITQFRHLRSLCRFPWLLANVLDPALGEEVALADCEKTKILTSSTGIK IGVIGLAEREWLDTVNSLPPDLVYKSASTTAQELVPGLRKEGCELIVAVTHMREPNDV KLAEKTPPGLIDLILGGHDHFYSCQRVNSTYILRSGTDFKQLSYIEGWKKDGGGWDFA ITRRDIIRSTPEDPPTVELVNKLTYSLTTKLEKPIGYTAAPLDGRFTTVRTRESNLGN FVCDLMRYYYRTECAIMAAGTIRGDQVYSPGLLRLKDIMNCFPFEDPVVVLKVTGKAL SEALENSVSLVPALEGRFPQVSNIIFEYDAKLSPGSRVQWVKVNGEKLDQEREYILAT RGYMARGKDGFESLLAQSEGGKAEEIVSEENGVLISTILRQYFLSLKVVGTWSKKNPH LSRHWGGVQKGLQEHGTIIEAKSTEKSKNTVTKDLENTAPEYSRNGSLKTSNGPIRHQ APLPSRPQTNSNFGNTERVPTDPKAEQGLVDPDIDADSDSDIADTDNLSSTPFSAHPL LSNTAPPTSIPAYLSEESGEHLFRLARLYAKRWMRLAGVKAESVAMVDESTEDALPSW TKGIAPKLEGRIRIIGGER EPUS_01708 MELPPEHISIKRRRQEEPVELLYLESDGHQTKRRFTEYCFQRVK ADIKQPIAPNALSGENIREEGRVVSNYVASGIPIVRATSPGAEIREEAERRAAQKALR GRFLPEEHSSASKKPARDVIATSQTVRASTPLQRPAAPLRHFHLDHGHRASRLNQPSG IRKRKTARRNYLATFIESSKTSRQGSELLDTLPREKPIHRPQDGTVKSRVDGKPVDQS LISMPTRVNKTGQSMHDHPSSWDYDSDQLAEELAAFALEISREEGQEYQPSMTKMSQG MGVKDILVDVDEDFIYDTYIRVPVAAGGKGVASPNDVGLLIIDDKDQELWRTFAESDD DSEWDEDDPDSNAEDNPANEYPDEEVDSGDEHGYGAYKNRKLASEDEEYDLNDPWSSS E EPUS_01709 MALVFLLLYTDVQLITPQELFVQLVARFRPQNKTELANGGQPIV EFQGEDTCSIQSSEVNAPFTFDRVFDMKSRQADIFEFSIRSTVSDVLAGYNGTVFAYG QTGAGKSYTMMGDMEDTQNKGIIPRIVEQLFAAFQTAPQHMEFTVKVGYMEVYMERIR DLLNPAKDNLAIHEDKIHGVYVKDLTEAYANSADEVYAYLQIGQRSRVTAATNMNQES SRSHSVFVITISQKDTTTGTQKSGVLYLVDLAGSEKVGKTGASGQTLEEAKKINKSLS ALGMVINSLTDGKSSHIPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETISTL RFGMRAKAIKNKAKINAELSPAELKRQLKSAQNQVLSFEKYMALLDSELRLWRKGETV PSEQWVQPMGAESAARDPKFPAIATPSGPRMDAIRSETPSRPSSRIGDRSSTPSLITE RDERDEFLRRENELHDQIAEKETQAANAEKSLAEAKEELANLRTTMSRTTKDNNSMVE LLNRARIDLEKVSFQSKEDHITMDGLKVVNSELEEELKSVKQQLLNVRMSAKETSAVL DEKERRKQERMARMMAGLDLGSQVFSENERKMQGLLQQVDSLLKTSALGEVISPDELQ HIKAQLVESQGFIRQAELHMTNRSEVDELQDNERIELEQKLSNIERAYEEVLERNLQP SDIEEIKSRLSDSYSERMETQAHLVADLRDELMHKTQEIDRLRQSASNRQQHQQSPPP PYQSNGLAANGTPTKSVAQQISEFDLMKKSLMRDLQNRCERVVELEISLDETRQEYNN VLRSSNNRQQQKKMAFLERNLEQLTIVQRQLVEQNGNLKKEVAIAERKLIARNERIAS LEALLGDSQEKLTAANHRFEAQLQAVKERLEAAKISARNLPTSQGGMAASFLGGTTSR IAKPLRGGGGGGGGTEGGGVPAPLMNGAPPIPFFSSVQKQDDPHPSGGGGKRTSWFFP NR EPUS_01710 MSTTARRRLMRDFKRMQTDPPAGVSASPIADNVMTWNAVIIGPA DTPFEDGTFRLVMQFEEQYPNKPPGVKFVSTMFHPNVYGTGELCLDILQNRWSPTYDV AAILTSIQSLLNDPNTSSPANVEASNLYKDNSREYKKRVRETVEKSWED EPUS_01711 MSPQDRLEQLASHLNFPQGLLTGQVAIITGSGQGIGAECARLFA NEGAKVVVADIDARQYFHSCQMFTSLCSEKAEQVASAINASQPDRAISVPGDILDPEY FPRLVKATAEFGNGKINIIINNAGYTWDGVIHKMTDKQWDTILAVHNTAPFRLVRTAA PYFRVKDGQPRCIINISSTSGLHGNAGQANYALAKAGVTGLTKTIAKEWGPQFGVRAN TVAFGYILTRLTAAKEEGAYITTPDGEKVALGIPGQQIKARKAGDAGESAYKDIPLGR PGTATEAARSILAVASPLFSYVNGQTISVTGGRNI EPUS_01712 MACNPRNAFPGTVYSNADRRMDLYGENVEVDYRGYEVTVENFIR LLTDRWDEGVPAGKRLGTDEGSNILIYMTGHGGSEFLKFQDSEEISSWDLADAFSQMR EKKRYNEMLFMIDTCQANTLYRQFYASGMIATGSSEEDESSYSHHADNDVGVAVIDRW TYYVLDFLETQVTGPTSEKTLGDLFDSYDIRKIHSNPGVRWDLFPGGEAAGRSRRVVD FFGNVQSVEVQGNRTETELWREDIAGLRGLIAEYEKRAEHQEMNQTKMYTATAAVGAK ISTCDNSQTSRVKAMNIQDEIDWKRQVGGITVIGGLAAIWFLGPMFLS EPUS_01713 MASKPLKKVANRASLTRQSTSGPLDAGIDPLSHSVPTQVSSPHS DSTIVSPATSSRQSPFRPTIKSLPPPSPSNYRFNPEDPVPQTKDLSFLLHPSFYLPIS QTEVPPQFRTDLTPISASASLPELLAETDRLLSRGQFLAAAHTAAGALTSTALDSTDV KTIADLLSIRYSCLELTGNTQIAAQESKALEDLNSDFYYVVSPPPSFRGSQLETTDDL PIHILPFRLRLQASRLQSIGFSDPRRGVSALYDLGLECRDHISSSRITTIEKQLWVQR LKELGIRVANALIEVNDLECAKRTLKDLQSSGDVDWKVRMGLMLLKVGDLPAAKELLD DSSETVAMLKPLLATAEGQFEGAIQGWDDLRTRNQEMHLASIVRQNLAVNYLYAGHLN AARHIMESAVDQGEGHRSLTFNLATIYELSSEKSQELKKDLANKVASQDHAMLKGHGK MNADFKL EPUS_01714 MDPPKNKYSVILPTYNERQNLPVIVQMLHDVFEENMLDWEIIIV DDASPDGTLDRAKELRTAFGPDRIVLKPRAGKLGLGTAYVHGLRFVSGNFVIIMDADF SHHPKFIPRFIEIQRRSDCDIVTGTRYRSRNESISGVYGWDLKRKMTSVGANIIADFV LRPGVSDLTGSFRLYKKEALIKVIETTESKGYTFQMEMMVRAKAMGLQVEECPITFVD RLYGESKLGGEEILEYLKGVLWLWWTV EPUS_01715 MVADALIYHPSVAHYLRYVATTIGRDKLLRTFQYFSRFYAWYLY RTNSPPSQFAPYVAIKTQFGHTRKIMRIGKFVEHFKAAAELYDASGKVRANGGDQILQ YLAVMRQLGYAFYMSFDMLTVMDAVGIKKSAAAKRMQAQAYKAWLVGLLASALSGFYS NYMLMQRAKAINEKDGEGKVEAKKIERQRTAANIQLLSDICDLTVPSSALGYVNLDDG IVGLAGTVSSLIGVYSVWQKTA EPUS_01716 MATSKLSISKTNIPRTPSPSGGTGTARSNVAKTQLTDHPHATMV SNSVNKTALHPGGVQPTREHTEIEEELHETAHIDYDRVAIVANPSVSALYEDALVYET GSAITSTGALTAYSGAKTGRSPLDKRIVQEEGSEKDVWWGPVNKPMSPYVWKINRERA IDYLNTRNRIYVVDGYAGWDEKYRINVRVVCARAYHALFMRNMLIRPKREELTGFHPD YVIYNAGSFPANRYTTGMTSATSVAINFAEKEMVILGTEYAGEMKKGIFTVLFYEMPV KHNVLTLHSSANEGEKGDVTVFFGLSGTGKTTLSADPKRALIGDDEHCWSDTGVFNIE GGCYAKCIGLSAEKEPDIFNAIKFGSVLENVVFDPQTREVDYNDATLTENTRCAYPIE YIGNAKIPCMTDKHPTNIILLTCDARGVLPPISKLNTAQTMFHFISGYTSKMAGTEDG VTEPQATFSSCFAQPFLALHPMRYAKMLADKISQHKANAWLLNTGWVGAGATTGGKRC PLKYTRAILDAIHSGELANAEYEVYDTFNLSVPKTCPGVPDELLNPKKSWTGTADFKE EVTKLGGLFAENFKKYSDEATEEVIKAGPDV EPUS_01717 MALTPGLMLRSTSPATSDDSPQRGRRGRELQDETLTKDKAFRRY VAGVDRALGLFDATLQEWADYISFLSRLLKAFHTHPGGITLIPRKYLVAKRLAQCMHP SLPSGVHQKALEVCKFIFGLIGKDGLAEDLAVYLPGIASTLTFASISVRSLFLSLIEE YVLKLPTSALRPAVKALILALLPGLEEESSDEFEKTIQLLNRFKHTFGSVGLGQVFWQ NLFLASITSPSRRQGVLVYLSRYLPKLSNAVPDSAASVNDEDSKSVEIAAVTIPEPGL LLRCFATGLADEQMLVQRGFLDLLVTHLPLHASLFRQHVLLDDLQLLMSAAVGVVLRR DMSLNRRLWSWFMGPEQKVKNESIPSSPDDTAPVDGRNSTVDPDMPSYYQTYGVQTLT QSLQSMIKLESRTPSTVSRPFRIGLSLMDQWEIGNSVINVVFLSLIRSLHAYQQIATS REEFGEVFRSANVFFDGVESTLIWSRMLGLVLADGKAKGDILHDLDLATFVISNFNVR EEEMVVLHIPLVSLAMLENLSQVEEFKTSNASPVCDREVQCAMFHVLTRMIDMIPERA FTSKGSSSGTPTGTPTCAIKEMVKSFFDHNQDAAQASQLPIFPTMLGQHFLQLLTSMI TKQMRFDTDGSDLSRSVQVLSLLLTKLPSYDGLGGSVLVRAIEERLALEAELPFAVVS SATALVTNFLVANPPNHIIQADDVLQIVPLLVRRLWTYLSFSSPQQHIETVQLLEDIQ HLVWKEELVTSTILGLLVGADADLDESYHPNKEAFERFATLWSHSHKAAKALARSNGG ALASRHGVRKGLTDDHQQQSTSGFSSMLSQAMISVLNVLRGPETEVYVVCRDWLQNLA DLSRVFDTVIASIKKSLSSDTKDSASLDKIEAGSDLMDVLNQLVATQSRDQWVRFALQ PGTTSNNHQSFKARASGTESVQTTVAKLCQEVIQKHELHGDQLTFRQILRLQRATLNL LRQLFSRSNNEPLIELQIDHLLVAQLGRSVVLEGRLLQSDLLDTLLILLTSKVNVRRE REAKRDPSMEEERPEDRPKLSNTSISSRITLSTQQIDTSKLLEAPDDLLTCLLKGIAN SSSRDVIHKWVQLVCESIYFYTSYIFNILMKMVETFCKQIELCFVGMKAQYEKESLVE TNFETPLSHLLNGLDFVLARAHEQLLAEEDGLSTNNSPEPQQGFFGAMVSGSLSRQAR NNTNNNRLTVILCFQDALNTCFNIWSWQSLNLDSSDTLASFQFASQKIRGRSRRILEH LLSAEPLESIEALAHIWVKAIAENDTIRTESLLGLVHTLEGSRPGITMPAIFNAIYSR THPSVLVLSQKSTLSSNLTESDLVAFLSVYAQSLEDDVLEEIWKDCTTFLRDVLGNPM PHRQILIRLIHFIAILGGKMENTNFGEERKMRRELADLFIRLLTAIFTIKPQSSSREK TSVDFAGFGSDTVEQALDQNFAAVSALLEESDRLVPVITHMVSNIITPLFRSRQFPQI MTLTSLNLLLKISKIQNASKAWKKELTDSFNDPKFFSSPLSIVKDGWLQLLRQLAFIE KTLLPELLSRLNSPTAAGIMFGVGATAARLEADKKAQLNLRRICCIVLAVEYDTFATH LSMLQAKLEELFTASSISSPSSTTRTEIYMVIRALILKSSAGQMASFWPLLNTELRPA FSSIYDDNEDQTYNNYSLLQAAKLLDVLLLTNPEDFQPQEWLFVTDTVDAVYRPEDWH PTALIDEVAQAMMENAESNISTKPPLSATVMLAPPDENDHNSLRKPWLSGDQTRNITE AEIPEALLRPFFGQLSIHVYERTYSLSEPDMEACREDLLRDLFDERTLVGS EPUS_01718 MPRISNEGDDHNTQAHSSPSTPKSPKTTIERSKLEVPRPPLPPR PTNLSTLRSRPSTSYQEHGSKDGLLSGTTTAVTTTDVNTYTTNDGSRKLHTSIGARSP SSSTLKRGVIGSPRVSDTGDSSSLRSYVPQLETREEVSSLFGNDITDDDDEGNSRPFQ DVFSSQFEADAVDIDFDKEFDKIPEVDEDGNNEELAHSLWKSKSKHFMILSAAGKPIY TRHGSDAVISGYIGIVQTIISFYQSSGNSLRSFSSAGARFVILSQANLFLVAISRILE SDTQLRLQLEALYMQILSTLTLPNLTHIFSVRPSSDLRRPLQGTEVLLSSLADSFTRG SPSTLLSALESLKLRKSHRDIINNTLLKSRVDDLLYGLIVAGGRLVSVVRPKKHSLHP GDLQLIFNMLFEAEGVKTGGGESWIPLCLPGFNPKGYLYMFVSFLSLTNNNIRQAAAE EEIPKEDAVAIILISANKESFESMRSVKNYLVHELGRSRSLGTIQNAIQAGRPVPTDI VPGTVLRHFLFKSKANVQFFMPSFTCYETPRLRRRLLSVYHELHASVHTKHASVKVHH SVSASHAALAWVTPMFELYCVAGPGTGRNALAHSANKVVQWVQEEEERVFLIGGAVF EPUS_01719 MSNSKSFLHRIYPIDTNDTEGEVDIIAVHGLDPLNNPLHATETW TAANGNLWLKDFLPLRQPRIRVFLYGYNSSAVFGASITGVNGAAENLLNYLRLERQLD QNRPIVWVSHSLGGLVVKKAIINAYVSGDYYKSIHDATRGVIFFGTPHRGGNFATLGD RMAQICRVVTGNVRNNIMETLRKDSMFAGDINKDFARRAAALELRVVNFIENLPIARH LGLVVPQSSAALDWPEPAEIKLHLEATHTAMCKFGERNEMYKLVEDNVCELLTWSINS AIASTQQVQLLPKYPHATEAFLDPALLPMPGNVLLGENALLRLGPRMKYEPSMESLTG GVAKDHKLGGSSPWLSKLAGLRPFSNLSSFRPPGAKSTTSDSSTDTMTAWPIRMLPHP RPTSIVRRAQLFEKLLVASGDGPVALHGIGGVGKTQLAVRLAYWFLDRDPEFSVIWIH AASVETCAEGLRTFAKKYGIVDPQNNPVSDQHFPRTSLVKLLRCVRTWLGRAPVRKWL IVFDSAEEGDALTSPLTELGLSLEGDDVQSLSIIDCVPAGGFVVFTTKSRAAAAKFSH LKFGKMPEAGKMLEVGRFSLEEATLMLEMGLDDDLLMGTPTVPQQRSLTLDFGNGLIE DKIPHGVRQYRTDRASELAEKLDCLPLAISQAAAFMNKNRLSTADYLQRMTASSDEML AELMARPQPLETQMGVPRSIYDTWRLSYQTIQSHKPLAVDVLAFMSFLEEDSIILSLL QAAFCVGTDVKLVDALGELRNYELIHSGSVPDIFTMHRLVQATTIKWLKERKINVQWA RAVLMTIADKFPNPENSTSWPECAAWLPHASKILRVPLFETAADKAALATLHLKVGHY YFQTGRWSEAQASTEIASNLRTEIFGPLEMPTLEAKDQLIQIVRQLGQFNLAEATARE VKRYRKRQLGIKNELTLKSYGVLGMTLDDQGQYAEALRYAEKALKGFQDLHGATDPLH PDILISTYRLGASYELIGNFSKSETLLSEALQGLNQRGQGETQHVSEVLHRLSHLQRG LGNYRESEESALASMKMRRKLLGWDHPDTTKAYLSAGWSIQCQERYQESADVFTAVVQ ICKPKIGAHHADTYTASYFLAESLKGLGEFGQAKELHSRVLMGRKKALRSHHPDILTS QVGLAGVLLILRDFKEAEELTLEVYNFLKKEGKIFKERAAIAWTCMSNMGQLHSDRAL RAGSESERKTQWKEAIKWARQLVESQEHVLGSRHPKTIKASQTHTKYLNGMSGRRHST NSSIPDSTVANVTPFSRMTLAEQEDEKTPLYQTKSMEEK EPUS_01720 MTYNPGVSFFIPFHELDPSRESQCIYFTQKGARCQWPCQESDNR QAIVLHETIHAISIEAVSLDLLEEYVLCNCCRSGRAQHRNRIEDIGLLTPLALRWQDE IRRNAADQFNHTTPSPAPQENIFTPYTYTTPATPLPSHTTPFYTPTAWPSYYQGNVST SFSINSTPCKPVKSSSCYQYGRDLQPLLNSHFNPSEANRYDLRPREADVSTNSTSARP MSIHRRQLSEFRPHKEYPLHSDSVSRKILDPLEDRDFETGSLYIFNRASSPGHVKIGW TANSISRRLEDWSKCGYNPNLVSSVRYVPHAQRVETLTHHELINEWRRERMCQAPWCR KSHQEWFEISEERAAQVLSDWADFMKKAAPYDSEGWLKNWCREVVDMMDRNGEVVTAK KLLEHYEQSLLGEATLVEEPVDLGHAPKIEDVVGFGFVPKVGRLETTVRLLPIEEPAS PKESAPLKNEALPKQIPPVEIPSFTAEKQPKSDQLFKTKPLPKTEPLFKTESLLKTEP SSRTESLFKTGPLFKTGPLFKTEPLFKTGPLFKNEPPSKNEPLSITELLSQTHLQYKN KPPCEKPPPAKTTLFNIAPLPKTQFLFTAQPPFKIEPLTKTGPLFKAESLFRIEPLPK SKPACEEVSAPQLALVKVLLPEQIPLPPSPLLQPTTFPQDTFSSHETNSSPRTRTKDT NAIDIITNSDTDITSSNPKVNPSVSPSVSPSAIPSKDIAPPTNTHTSTDTGTDTGTDT GTSTKSPPLNLPDPSSEPSPLESSATSASPRPKAEPAPDSPAPAVTEMAETLLALSTD EQEKLAETLTSITQHQHNAGEDRQAESEGELLGCDGQPGEEEIESHGQDESTATEEKS GIADGWDEEATLVEDQTSRSLETAALKNVDGLFNDVSTGRADGVLKGLDGLKVLESEA LPLGKVVA EPUS_01721 MPSVHSKPLILWRDLSPRSIPLVKPDSNSRRILVVGGGVIGLVT AWVLLDHGYKVTVLAKEWPTWSDKQRLTSQIAGALWEYPPAVCGQHTNTISLSNSKRW CMDAYHVYNALASEPNIAKASGVSMKSGDFFFPCPIEEDVEELSKMEEIMASGVVGFR RDRNLVQERLVDPRHGVVDAYEILSPVIDTDVAMKWLLDLVKGKGADFVTETIQDDLL VLETELRKRFSADAIVNCTGLAGSQLAGDDTCYPIRGGLIRVMNDGTDFPKVEAAMTM TAGALHDFNEIVFIVPRNDNILLIGGIIEPHKWELDLTLESPTIKRMRARCEAFLPDL KKARVDPDYPFAQGLRPFREKNVRVERELRNSDAATGPSRIVHSYGQGGAGWSLAFGC AGDVLALVEEALQDLPPKAMNATQSKAEQKAASCARP EPUS_01722 MANDMSSSDNQLVLSPFFEKLNDLQLSRRALPTLPEEGTIAQAR KTLPKFLEAEGHDGWDEHLTSDIAPALNAASLSPNYYGFVTGGCTIPALFGDMLASVY DQNVQVHLPNQTIATDVEACALNMLLDLFRLDRDVWGQSSTFTTGATASNVLGLAMGR EWVLGRKAEKRGKDLLSVAEHGIHEVMADIGLRKFQIVSTWPHSSIAKAASVIGMGRA NLRSVQGTMEPGGFTMRIDFNRFEAEAQADNVATILVISAGEVNTGRFATYGLEDMKR LRAICDRYGIWIHVDGAFGLFGRLLSDEAEFGEIIRGCQGLELADSITGDGHKLLNVP YDCGFYFCRHKGIAEEVFRNGNAAYLTSAASEDTIPSPLNIGIENSRRFRALPVYATL LAYGRGGYLDMLQRQIRLARKVVADLWDSEVYHVLSDATSKTEALERTFMVVLFSAKD DNLNADLVRGINATRKIYVSGTSWQGRSAARIAIANWNVDVDKDHKIIQSVLNEVAER EPUS_01723 MAVQLAVGTPLAEALNTAVHTKLLEVGWGSEDDTSLAEFIVLML VNGKTEDQIASELANDILPEGEGTQEFARWLFEQVRTLQNGGPSVATETQPEQQSIPS FQDSDEAQRSKGDPQDAPLAYDSDMADGSAAAPGNAPTGPKNLRGGSQSGRGSRIMGQ VNRAMDRSNESVLHRVRQGSGTGRINSHMQDAPKGPRNVQTRNVRPGMQKALNGMAMP GNGMVNNNMMNPAQQGQMPMPLSPQQQMEMMAMMEQSARMMAQFMPGMISPTMNPNVQ QNGVQQGKSLFDRVEAGPGKRGRGGRIQRNGSLRNNSAGSGTGRDTAMDLSDKPLDKN LSSSMETESSQPQASDPSTTMCRFNLRCTNKSCPFVHQSPAAPEGVTVDMTDTCTFGA ACKNAKCTARHPSPAQIKAHQSEEQCKFFPYCTNPSCPFKHPSMPMCRNGADCTVPHC KFTHLQTACKYNPCKNVRCPYKHAEGQRGSYSDKVWTADGAKKEENGHVSERKFVEED GEEELIKPEDVAAQAAELIT EPUS_01724 MLSPQFILLSINLFISFGLCLWPHPREFTSGSSVLWVSSQLNIQ TSSGNATTGRYSRGSMYVALAGSWRYLVSQTFGLSDNVSIPTNSFSELEILQSAIQRT QKTITSTRFVPWKFYSKTTDFEPDSSASNQTLTTVKICQSNAAKGTISAKDFFSGDES YTITISESGAADIKSNSTLGTIRALQTFEQLFYAYSDLSRVYTPLAPISIVDSPKWPH RGLNLDISRNVVSPTDVMRTLDAMSTCKMSRLHLHATDAQSWPIEIPSLPTLAEKGAY RPYLTWSPEDLRVVQFYGLQRGISVFLEIDMPGHTGSIANAFPQLITAYNETDWSTFA AEPPSGSLKLNSPAVYDFLGTLFSDLLPRVSPYTTFFHNGGDEVNRNAFLLDDTVQSN SSDVLQPLVQKIMSYVTGMVREARLTPIVWEEMLVDWNLTFPSGSKDSSATVLVQVWK EASTLQTVLQKGYRALFGDYHNWYLDCGHGGFINPYPSGVSPPSIPYSTSGGVPTIIQ DPFLDYCTPMKNWRHIYVYDPLANITADVQHLIEGGEVHMWNEQTDAVNLDSRVWPRA AAAAEVMWSGPRNASMIEDASRRLADWRERAVLDYTIQSAMVQMTWCLMEGGCNL EPUS_01725 MSWHGVVETGGTFEPEPGRYHLYIGLFCPFAHRVNLIRHLKGLI SFIDISIVKPYPKGDEKGWPGWRFPKTDDEYPDATRDRLFGSEYMHEIYFRADKSYQG RYSVPVLWDTKTNTIVNNESHEILRFLENAFNPLLSDEYRVRNYYPDHLRDKIDEVCD WMQRDLNTGVYKAGFAPNQEVYDENVVPVFDTLKRLEKLLAENNGSYILGEEMTEVDL RLYPTLIRFDTVYVQHFKCNLGTLRHDYPRLNNWLKNLYWNVSGFKETTNFKHIKENY TKSHYDINPKAITPMGPVPDIEEDVEQQFDKLRIGNVRLP EPUS_01726 MDDTNDQWTSFQQLDRDSDSVHRQKVKHVLQEAEFPFLSWTAVQ TRQALDPGGGSTSHTSAELLRCSIDCGRFATGHENIALELEFSDSERWMATIQLPDES SEPDVIETSMLSGIATMRLLCATTEISVPQLYGFDILAANRFWFRYMLIKPFQIAKSP LYLHMKWALSAPCSTTFTLFVVSNSGDQGGALWESAMDHCGVDARASCTIDGSGRSRY GPFPPIHVDLHHNNILFDKAFNITGIIDWSGAQTVPVERFLASPELASFPWLSTVENR RTIASRDNFAAELCVRELTTPRSFRVGGPTTGGGWSEHDMTSSPTLISDLIPTPLWDI VYRCT EPUS_01727 MHFSTCDAAIAVLAVLISSTPVLAQTFTSCNPLTSGNCPPNSAL GRSVNIDLTKGASDSFTEQGSPSYGSDGAAFTVAQSGDSPQINSKWYIMFGHVEFVIK AAPGAGIVSSAVLQSDCLDEIDWEWLGGDNGQVQSNYFGKGMTTTYNRGAYHAAPGNH DGFKTYTIDWTSEQIVWQIDGVTVRAMTQANAAEGQYPQTPMMVKVGAWSGGDPANAP GTIAWAGGPTDYSAGPYTMYLRSIAVTDYSTGTQYTYSGSAGTWQSIQSTGGTINPKG GSNTLADTANAPAVTSAAANAPIPFEGTHRDDSSTYVTPSVWPWVGSATATLQTASDY QSSPLQSPSSPGSPSSGPEVVTRWNEQGFPVLVTIGPNNNPSNAPASYDSRGFLITAT AQPSATASAGAIQGSSTGFLFPSAVPQNDGVRKGTAVLRDLGVVLGMEVFGVLVLGVI EPUS_01728 MDDRIIRLVDIATIYVQEYMSAFDSSHDYNHVARVLALAKMILK DENASLPRPSHAPRGDKNDWEPVPPMGLSEPVVVLGALLHDVNDSKYLNQLESPVSLA ELLKRWGADHQLAIRVERLCQGVSYSTEMRDPQWVRNLIQEIPELAVVQDADRLDALG AVGIGRCFTFGASRGRGLPDSVRHFEEKLLQLERMMKTRTGREMAKARTERIREFMRW WNDETEAIAGGMAF EPUS_01729 MFGALNRFIARLDSDPAPQSATGGPSDSSYGFQVLRNTNAELPI EPWFDFIVGINGHVIDDPDPQLFAREVRNCAGYSLSLDIWSAKGQRSHTLTVAVPPAP KSLGLTLQLAPLNATQNIWHILSIPSPLSPAHLAGLLPHSDYILGTPSGTLRGEAALG ELVEDHLNRSLVLWVYNSEFDVVREVEIVPRRGWGGEGALGAVLGFGALHRLPVGLGE EIQAPGEKLFEAEQRASMEKASTNEGVYFIPAESKGPIQQQPPPLANPNVLAPPMVSP SAMVGGTSIPGAAALHSKKSRHRAGGPPNRAFDDIFQEGAKKSQEQDFVPSRKGTPVA PPPKTGETDGLSTTGSQRVGSSASPAAVEDTGEPGDTEAT EPUS_01730 MAHPRANRSRHGHRGNATGRGSGNVAAPTGGKYPIGNSTYGYGP TGTGFVTGTSIVVPTKIAAESPVDTAISPESDGSCVPGSGSTTTIYSTDVVTMTVTAE QTTTVRNPSAPFSNLTPSYGVSNMTATGTGGVAPSSIVSPSGTTSYPPSNLAPTSSST ISLDESTVEVISIPSTETSSAVSYESSAESISDITSTTSAAPVETSESPVEVISIPST ETSSAVSYESSAESISDITSTTSAAPVETSESSVESSDDSYPSSSMQGPGAFYQVSSA ASATPTPAAPSMSYGTSKRGLAYNSAALTGAFAGQAMSWAYNWGDRPDGTLAPGIEFV PMLWGEKKFGNWEASAKSALAAGAKHLLSFNEPDLAEQANMNTATAAAAHIKYMNPYA GQAKIGSPAVTNGGPSGGTDGMGLGWLRKFFDRCNGNCKVDFVAFHWYDSADNVAYFK NYVSDVIKLAQEKGVQKVWLTEFAAAGSDAQIAKFLAEVLPWMDSNDAIERYAYFMCS DGRLVNGNSLTAVGKAYAG EPUS_01731 MNNSQFRRLLDESSSQNTSINGATISSPLKSRNNASSTSLLGSR ARSSIPMTPRSIAGHSSSNDFARQIAEHKRAALGQPAAKIFKSRAAPKGTKIAVGYQD RAALLRQQEAKEGQRNGPQDHDDKAERIQALEEMVKLQQVDQATFEKLRNEIGIGGDL ESTHLVKGLDRKLLERIRSGEDVTATKEVTHTKNENAATSDGSGRQDVDKELENVLEK EVKATGREERVKKGQMAAPSSLAMPAGKMSRDEILKSLKASRAVAAEGAMQAKPVDGY LGSKFRKLGGDNQPEKKKFVETVNGRRREVLVVTNLDGTTKRKVRWIDKEGSEPKAQG ASAVNEALGMQVPAEIAAKQKAMFEKQKMEQEEDDDIFAGVGADYDPLGGIADVSDDS DSGTPSEAAATQRDTDAKPVQVADKPRNYFSSTTDEDKPNTRTAPMTNPSIVAALKRA AAIRRAQEIAGDGDPEMDTTPQGKNFLEKLRKREREDAADLDLGFGGSRLGDEEDEEG PTWNGEEGGKKSERKRGPKKRKANKHEMRDVMAVLERGKK EPUS_01732 MGRKRRRQQKDDTENQLEKKRQHIEVLPEGVHHYEHINQVPLEV QHYFRQRHNIWSKYDDGVWMTDNAWYGVSQELVAAKIAQHVALMTPDNKSILIDAFCG VGGNTIAFALSGRWKRVYAIEKDPATLECARRNAEIYGVRDKITWFLGNCFELLGPGE KAVSGLRELVAEYGIIFASPPWGGPGYKLDEVFNLNTMQPYSLEHIFNEFSSFTRCIV LYLPRTSDLSQIAACVEEGKKGQVVHYCTKASSRALCAYLGDFAKLPPAA EPUS_01733 MAMNGHYTAASGQDDGLFEDGYLAGIADTPQDSSFMDELNEMAA IPGEDQDGGNELNDILGEGGGNIDLNTLNDEKIGGDGDKADDAQDYGDISDDDLADDE PVRSGLLSGVREEAVSNDDYDDLFGDGPSSPPTLPQDASSFPAAYQEDDGNASDLASD NEDHMRSEVDRSQLATQDTTEQTFVDPAWLEQQSLLLKAKETPEDPQLRLEHALEVCK LLFPDFSRDEIPFWNRIIKPFTAEFPRKLPPKPPKPIRPTKVHLEVQPDQKLGFNSTI ISDKRYWEDYDRMVVIEDPNAHQDNDETSDDDVDIDEILPGGVTMKDLDMICADFDTL SGIAESDVDVQDVVIRNDEDADFFADEDWMDTDRPAKRRKLGMDPKDIVARYEYSMPS FDDPEHLTEKIAKKVVLDLNDPLLLTEEIDPATIRAKAELADPTKSMQNVKDLLRERF NYSNDAEYDLLKQNHQHKIRSTLGNLSIEHSTPALRLQYPYYQVKLATAQARTFHRPN LTFRPMMLAHFSKINRIKRKHQKGKKAKELYPSTKDLTFGDNSTSLLLEYSEEHPMML SQVGMGTRIINYYRRQTKEDASRPKLDIGETAVLLPEDKSPFSIFGHIGPGQTATAVY NSMYRAPIFEHEPKPQDFLIIRSHTGQYGSHFFMRNIDHIYTVGQEFPSVTVPGPHSR VVTTASKNRLKMISYRIARRKKSQRLSVGDVTKHFPDTTDMQNRQKMKEFMTYSKEHK EWEMKPGEFIPDEEQLQQMVRPEDVCLLESMQVGQQYLYDAGFGGDDADDDDDDKEGQ GQAIEQELAPWKTSKNFLNASQGKAMLTLHGDGDPSGRGEAFSFLKTSMKGGFKAIGE SAMSKMEEKKELGGHSYNVARQQRSYEESIRRIWAAQKEALSSHVEPSAEEMDDNVDG PEGGGPSNVFPRASARSEATTPAPFGRRDDDSITSFSKRSTASQTRNRTLKITRKIPN SDGELVDTVHIERDAAVIKQYLKKRSARNAATRALEDVVPTGDAEQDALDKKRLALEL ARLERNRERRFAREKAKGITAASPSEAGGAGSPGSPSGSTIVTGKQHQGTQRKCANCG QVGHIKTNKKCAPGFSSHNFILAAAPTSRKRKASAVASMPRKRQRNTAAQR EPUS_01734 MPQLEASHYLSTEGDVLRASNLYLLHPVNVAVKSLITNGDLYCT SEQSSRGGCRTDIRWVYRSSQSGQTTNIAVLEFKNTQVLHWADFLPASTDQQHAQAKL DDAQEKPKYTHLINNAHLLSKQAKKYCLKLSAPDVAIFDWHAMFVFDFTGMDEDAYDP VLAKGI EPUS_01735 MAADQENPQEIERRRSSGTSSLLSLSWRTSTDEIGPYGLTTLYC PREPLVDFVFVHGLRGGSYKTWQKYREPSYLWPQAWLPCEAGLENVRIHTFGYNSDWS ERKDSILNIYDFGRSLLAEMRSCPDLRKDKQAYILSRQESATSDLAKRIRSIFFLATP HRGSDSANLLNNIIRATGTMTTRPYVKDLSKNSLSLQIINDEFRHFSEDVRLWSFYET MKTNIGLTSTLIVERDSSVLGYKDEMIYPMNANHREVCKFDSPTDPNYRTVRNALVLA VEDILQDNSSSREDAKRIQMQHLGDFLGIHGPPLDDLQDLQELVAPGSCRWFLESPLF QKWLQEDSEYARIYWLTSKPAAGKSVLAAHVVSHLQGLGLDCSYYFFKHGDKTKQLAS GLLRSLAYQCASSSDDFRRLLNLLQEDSIQFDLDDERAIWRKVFMNCLLRAPVSGLQF WVIDALDECVNTARFIPFLAKIESAFRIRILVTCRPTTELDRHFTSWGFRLVRSEISI EDSLKDIRLYLQNEADCLPISDLEKRQSLIEKIISKSAGCFLWIRVVLQELERAWSEQ QIEDILDEVPPEMEPLYDRAVEIISRNAQSKHIAHAILTWVLCAVRPLKTAELQDALE RGMNMKMFRFDKSVETLCGHLISIQKSGSITMVHTTAREYLLHRVHSSGFIVDKALSH QKMAMTCLEYLAGEEMRPPRLGGKAYNSRRLDRSLFADYACTAFSEHIANAPSAFNEL MILLHKFLRTNVSTWIEYIAQNHDLYYLMRTAKNLRQYLKRRAKHVSPLGVEFQRVDG WSTDLMRVPAKFGRRLTSIPSSIYFLIPPFCPKDSMIRQQGDLGPNRIRVSGLSNSTW EDCVAWIEYKSTWATAMACGDNFLALGMKSGAVNLYNQFTCQEKHVFQHGEPVKILKF DGTSRRLISAGARHLRLWTINGELTWTSKLKQPCVTVSFAEDDSVILVATRSNEGLSL AVADGSTPLAATISADAKTLALVYRGRPVHLWSVEDDYLFAFCGRDAGKQNSNISPAT ALFNPNSKVSLLAIGYQDCFLGIYDTETQEERNIVSGGGMALAATPDGRTLGTSDAAG NLCLWDFETLTLLYRVRSCDEGLKSLSFSGDGLRIFDIRDTITEFWEPLALVRKNLDE DSSVSDSVHVAPATERFEAERAMEITALMSLPSCDTLLVAKEDGSVVCYDSISGVEGH KLFSHTKDIFVTTMTWCDQGNMLATADVGGRVLIWQLADAQGWQASKLLLDCHPRQSV RQLLLSPKGDRLIVSTVTRDTLWSISKDSESTRQLATLEHPSRATWRWTVSHRNDDEV ILMLDYDISFYTWADLKKTADLGVSLDFPGNSLLEAESTELQTFHVSSDKSALLANFT QVRRDRSSAAFLIWASTSMDDGLGRSNNCPVKIKPFLFQSVKYFIGIAGSSLLVFLDN DLFLCSCDISCLVPAAGTKNHDAKPRYTRHYFIPNDFVTRTRGSMAAVTAKGDVAFVK DGEIAVVSCPLESFITQRM EPUS_01736 MRTDIDASFTPAQIPEEDKAPTAEVDPVVRAALPRGSTVKIVTT HGASFWAIAKKVEVALPDGSDKSYFLKIYSSDVGKRMAEAEFEGTAAVHAALPRNTSG AIGWGTYESDPNKHFLLLDFHDIEDEMPHTSELVSVIAKLHQETLSPNGKFGFHVGIY GGCQPIDTSWTDTWEEFFTRTFQNSLKGEEAIQGHDYEMTELGEAMINKVIPRLLRPM ESGGRKLKPCLLHGDLWHGNVGIDLATDEPMLYDFSSLQTPRKLITGLTVVEMHPWRA TRYRINRTHQKAYRRLVEPSAPEEDHDDRNALYGVFNDLTVSSNWSANKQTREL EPUS_01737 MTNTLCQEEDATELEAQAGAPATPNLPTVPYTVFSRSTIRLLTA LIGTASIFSSLSANIYFPSISALSRSLHTSIQKINLTITLYIVVQAISPALLGGLADN IGRRLIYLISFSVYVFANLGLALQNEYPALLVLRMLQSFGCSAAIAIGFGVAADVAVP VERGGMLGPASIAMNLGTCLGPLLGGLLVKNAGWRWMFWVLLIFGALFLLILSALLPE TARSVVGNGEIEAPGWNRPLLPHAKFKTGKSTRQPSNSFGHSSEGLRTRDLCRAANPF RSLRLLLHRDIGLVVWSSAIVYAAYYVIQASMPQILENTYGFNEQEVGLSYLAIGEGV VWRLCERYGLSIIVSSQRCELCTEQPNIKDKFLNSGASYLLATLPLKLLLKQSTVLST PRALDKGLSLMRL EPUS_01738 MPIINISPHKKRGRSSWVFFPSQKVRILDQANFDGTTSTHDGIK ARFRRQSSRVMSRLGISSKDDCGDDNGNHQLIADHNSFERDDTSSTIIRSRSHHSRRH SSSVPGMAHRLSHKFSSTFGYPTVVHRTSLRSRPSVRSVRGSVEPMQLESPTSPLDVS AASTYNSRSGSSPAQLSTNPTSDGLSTSPIRSTARNVPFTENSRMLTFTRDFSATRLA PIRETPSIVVPSIVTVEATATAKIFFETHFRQILSSHKPRSQRRKDLETVLESCNYTH AKRHKARAAFIRQENEFLRQDRVLKTRANAMKRTKGVSIAGYEVIKVLGKGSFGVVRL VKEKQLQPESQTLTSFEPTKRASTTDLAKLKLTARGAFPLLGYRKREVTKVKKEVYAM KVIRKSEMIRNSQEGHLRAERDFLVASENSRWIVPLIAAFQDNKNLYLVMDYCVGGDF LGLLIRKNTLSEDVTRWYIAEMILCVEEAHSLRWIHRDVKPDNFLIGADGHLKISDFG LAFDGDWAHDQAFFHNHRHSLLEKLGIEVQGDEQDKTEAETAKVSQNIGKVMARPGES SGKEKKTKAVGEPADDEPVVDWRDKNQRRKLARSVVGTSQYMAPEVIRGDLYDGRCDW WSIGIILYECLFGFTPFACENRQETKLKILKHRAALRFPDDQYGYPSISGEVLDLMRR MLSEKEDRLSSPKYYLNDYAYSQAEDPNTYHIHGPADKSSKSYQGRHVYSDDADDIKA HPWFKGTDWTQIHKKKPPFIPKVKSWEDTKYFDDDESVSDTESSSSEEDDAEPTPVPE KEDMLGVGNAKQDPQVFENAVAAAANMDHHQLNGHQHENQHIIPSNTTRINDLIREQN QKMLAAIQQGRSRIPSLSSLTQQNSTTIHQPQFDGDAGALGPAAVGEDKKSKRPKKEK KRPRDKILRDPLTAKIALEMRRKGAFLGYAYRRPKDVQSVLERVENEVEIGRAEIGCR GCGEVHPCTQRGRRGNGYTNKCGNGFGTAGGGVPCGGVDGRRDSAISGIVGLGSGIGT RERAGERAREVEASKSARERAVETAKGGGRRGAHTPSPRCITPVENVGTGLGTRRGGW TRFPDVA EPUS_01739 MRRCFATTSRCLSHENPLGLPRKGAPPTLPRAQRGLPTKRKIPK VSKIVAVSSAKGGVGKSTIAANLALSFVRHNLRTGILDTDIFGPSIPTLLNLHDAQPP ELTPSNFLVPLQNYGLKSMSMGYLLPAESAPVAWRGLMVMKALQQLLHEVDWSPGLDV LVLDLPPGTGDVQLSIGQQLEVDGAIIVSTPQDIALKDAVKGVNMFKKMGINVLGMVQ NMSVFICPKCGEGTRIFSHAAEEVADGGVQGKCKEMGIDFLGDVPLDADICRDADRGK PTIVAEEAVGERTRSPYFESIAANVARKIGLQWQ EPUS_01740 MSFKTPSSDPPKHEMQYFPNMTTALPSESGEFRRVLWTGLYSQL VLMTVPVDGEIGDEKHTVDQILTFTSGIGKATIAGKDQDVKAGDLIIVPAGTQHQFIN TGPTPLILYTVYSQAEHKPTTVHQTKEEGDKEEEDGIDVPPEWARRSKAENEKMGLVE GE EPUS_01741 MSAIEDPRTAPASSSGSAQTAAKIDLLISLHLHSWPALTLAIQN NWGGPTSNDKGDWFAGAISELFSNGDVIDADDLEEVLIQVMLDEFEVVVDDDSPAEVA ASIFKGRQRILQGDYSEVDQMLVRWEEKQKKGPEKLPFKRVEEDDDGQETDWESSLSD EDDIVMDEAPQLVHATGQEKPAPEIDEDGFTKVVGKKKR EPUS_01742 MGDIGTLPEREALALRTLPAMTLSYPSNPLTAAPPSLYPTLSAA DRALARFAVKGNAIITGGAGVLALASARALLEHGARGVALLDLETTLAKSQPAIQLLR SDFPAARIVDLPCDVTSENVPLIIRDAVVALNTPQGDVDPSSSECANPRISMLLCFAG MVGCVPTISMSSSQWRKIMDVNLNGAFLCAQSAAKYMMPPPDQNYNDGSLNPELPGGR IIFISSMSGHIVNFPQPQAAYNTSKAALLHLKNSLATEWAQYGIRVNSISPGYMDTVL NAGDNLKAVRDLWASRCPMGRMGDVEELTGVVVLLCSERAGGYITGADVLVDGGTTCF EPUS_01743 MSGLESWEDDPSAQDENLSKRAQESLQLNGQANTFRPGANSFQP GAASFQPGQQYQQYGGYNQQYGYGQQPGYGGYPQYGQQGYNQYNQSQQQQQPQPQGGY NHNYGGQFAAYNQGPGSFQPQQQQRQAPISIAKRPTGDASQQPPAAPAQPAASTTSSG APSATQTAKILSIGTETTQPKAKVMSLDVPAAPAPPSSKIDSPTSKDAPAVDEGLKVT AAKAIEKTKEKPASSSEKTSPSPSGKSSPTPAERKAEKRDADAVLKEQAADVDEETLQ EVYGKEHVNLIFIGHVDAGKSTLGGSILYATGMVDERTMEKYKKEAKDAGRETWYLSW ALDLTKEERAKGKTVEVGRGFFETEKRRYTILDAPGHKTFVPNMIGGASQADVGILVI SARKGEYETGFEKGGQTREHAMLAKTQGVGKLVVAVNKMDDPTVEWSKARYDECTTKL GLFLKGVGYNPKTDIVFMPISAQTTVGIKDRVPNSLAPWYNGPSLLEYLDNMDKLERK INAPFMMPVGGKYRDMGTIIEGRIESGVIKKGSNYVMMPNREDISVAALYGETEEEIQ IATCGDQVRIRLRGIEEEDILPGFVLCSPRRPVHCVSAFEAQIVILELKSILSAGFNC VLHVHSAIEEVTFAALLHKLEKGTNRRSKKPPPFAAKGQSIIARLEVLGGAGRVCVER FEDYPQLGRFTLRDQGQTIAIGKITKLITDSDAAA EPUS_01744 MDILLSKVTQQAMNYAIRSGVTLTATYAIKQSARLLQNVPKSND HDQLQHLQQRLQGKISIISPAIDMIELIAARGNTSLESAVALTKELRWEIQSFGQRMA SVAATEEAAQGSNRSAQAKAKSEAEIKLVIASMKKLLGRIEDAVPLISLAITTSGASL SSRLPPTVSPSRLLQASTLLNAGDMQYCMTPEEAVQIGPSFTLTVYMLFSGHVRPHDE ASIRETTWKEVMHKARVKLRRVPMHLVQPQLRRVSDSSTNPSSTVDDSLALQIRDAAS VDEYAYQMMLIEDLDDDRFHTTEEDDIRPGPFDDVEKAGIRETFPVFQIGKIFYADTG KVLNIGSEESNSPVLLLKRDLNAQPPRSIMRKEELANGHEAEGTVNGDGPGEVQSTSG TDPWSLPPGLDPEWIALEIYNEIEDSEDESEPDEGSPRPRQSTRSPSVDPQLASSMAN LKLNGEPSPLPSPLANSRFTQNTHGLTTSRPPSWMSNVQTSLSLLEVLLRLVALSEYL QTSHLSANDQWLNFFLEESKATGAEGDENARQRVRADARRRVGFDPYDESPVKRRGEE YQYQVGVRDGEEWQSRSGNPRWSSSPEAARFQQNGAGWTPPSIQTRSMTGFSDVKTPS SLPASPGKGKDRKNWLKRREERTSSPLRSQTGNSDEGIGTSPGSGADAEPM EPUS_01745 MKRHHLSITTLEEHEPNREFIGRNFNNGEVIQLVLQRRDGSWMS FRQVQMVMMHELAHNVQMNHGRAFWAERNRFAAEMKGLWERGYTGEGFWGAGRVVDGL RRHEGNLVDVGEGREVEGLCGGTYRSRRGRKRKREGEVLAWKEQKERRIEKKFGKNGV ALGEDEDARIKLEIGRRGTVGGKPRVAGSKRGRELRAAAALARFEVGKEEEKMKSEKE EEKEDPAEYDSGTDEDGTPSGKDAVDANGNRLLDSMGFGMIKVCGDEDVDDVNVKQEM EDFDSLQCLPSYTEQQPRFPSEPSETAFRRTESPPAKSVPTPALNSLDSSKIDRQRKL LYSIPHHQPSPPPSPPRSTSSAILPEKSTAAAAKPAPSSSSSSLPPPITTHSTTNCPV CTTSHPSTNLTLTCTTCAHVLDKRKDPHAWKCASTSCKGSEYVNAGDAGRCGACGDPK G EPUS_01746 MNALNVLITPLPSNANQEQPSSESNKDNASEAQRHDRQHSSDNP VSTMAASGGGVVTDISATQKMISATWGSILTSLLVTPLDVVRVRLQSQAPASSSGSTP KFSAYTTSFKRLPPDLGVTACCREVFWFGDNAQFCLAGNNGSVSGAECAVEETQKRTF NSTLDAFRKIARNEGALSLYRGLSPTLVMAIPANVIYFTGYDWLRFSPASPINRITSD TYTPLVSGSVARVAAAVVVSPIEMFRTRMQATRGNSAGIFKSTLIGLHQMTLTQGYSS LWRGLTLTMWRDVPFSGIYWWGYEATRNALTDARERAQGKVLDANRSMTRRRSQSQDN PTNTFLDSFVSGAFSGAVAALITTPFDVGKTRQQVFKHSGDEAAASASKAARKAGEKL PEELTMPRFLAHIFKEEGMQGLFKGWAARCLKVAPACAIMISSYEVGKRWARTMNEKK VHSEEGI EPUS_01747 MENPDTALEQFRRQWKEEVSARTKHARTRQTRNYDALRPWPSKP SEEQKPSILPSKHHPQPPSAAADVLETDILPGSQRKGPGVADPLSQLSLGDADDDDFS PRGMAKEPKSALEHYERAVEKESQGNLGDSLSHYRKAYRLDAGVDLSYKNKHFPPTSK PSNPNPPNAPVTIPSTAHHSSTEPTESMSLSTLIASFSGLPIPGAPPLIEGDRAPRCP ISRMPTEILLEIFLRTAILDPASFARLALVCKRLAYHVFVENQIWKRIALGPEFGLAA QLYDFRTDLQGREAINHVLDSPGESPRVDESTFEDPKSQDWREIFHSHPRIRFTGVYI STVNYTRAGGASAMQTTWNTPVHVVTYYRYLRFFRDGTVISLLSTHEPVDVVHHLTKE NVSLVRGGKEHHPLNFTSSAAGTNAPSAQAGPPTAQQLMKHALRGRWRLSHPSAARQH TVADIATAGVDTEGDLFIETEGAGPRYMYTMQLALKSSSKSKNGVKNNKLQWKGFWSY NQLTNDWAPFQLKNDRAFFFSRVKSYGLGY EPUS_01748 MLPTPSTSHITSYEPAEDSYLLLDTLSSASETSWLQTHFARTTR TPLLLVEPGTGSGVILAFLSAHATTIFRRADILSLGIDINAHACTATTQTVSTAVTAH NSAALYLGALCADLTSPVLEGSVDLLVFNPPYVPTASLPALPTPLDKVSDGFESESHL LSLSYAGGKDGMETTTRLLDALPRVLSERGVAYVLLCAQNRPEEVMGFVREGLGLRVQ SVGWSGKVAGWEKLTVLRIWR EPUS_01749 MDVQLSEPPQSLGPLGPPSTEQVDITAASSPRSPQSSTSSTSIA SEQTDYALHEAADFGEDHMVQKLLERGFDPDVQNEDGDTPLHIAAWRGHYNCIKILLE YGPDINYSSNLFGSALDIASEEGHADIVRLLLENGATSKDSALYGAARGGCEPIVDML VEARANARFKNLFGQTVLHSAATSRNMGVLRRVLRAGGIEDINYQEKNDSPTPLHLAA YSGCSDAFHLLLEQGANPHALGGPFYGSVIHAACYGGNASIVRLLLSKGVDYHQSGGT YSLPLHAACFRGHRAVVDILLEHNVQITFENKKHGNVLVGAFTQGNFAIAQRLIERGA DLNAAAEQCQCLFFLACGQGNFDLVRLLLEKGVDPNAKVGLIIGNALHSVVSGRRQEE CLKKPYNFEPGAYYDTNSKEWRTVYGTSNVLHDGHLAVAKLLIDKGVDIDQKTPYCLA TTPLHQIIQTYFDLVYSQVDSDAATSSCGILQEIMTLLLEKGAAVDAVDWSHETPPIF AAQLGQLPLFKLLLRHGADIDRQGLNGQTALWWAARYGHVDFVSYCLGKGADATISNV WNESPRDIAARRGHDKGDRNVVKVLDDHERLRRQKYVNTHDLTSSILITAFHTSQARS GGNPGTAHNIETLAMRVTHRMLASPATAPLTPGQRSPPLALLPPIPLYRRLLRTHRKK LGPEQRIFGDTYLKAEFRRHKDIDNPLHIVGFLTKWQEYGQKLEGDSWKGETLDKSTV DKMSDQQLGQLYELMQAIQRRGKESADEELEGRSEN EPUS_01750 MLIKGEKYACDACVRGHRVSSCTHTDRPLIHINKKGRPVSQCPH CRGLRKARAQHVKCDCAEKPHTKEECPHDKTEVKKGEQKGCCCAHGARCTCSLKKEHS LDPVPEDLPPAIDEPRVSKERSRPPKISTVSSMESKMTVFINGHHKPVHKVNDIHNRV GAPYKIPARSHTIPGNRVDFTQRSTDSLPLTKDLLNFHTNPHFHASVTSAPQPVRRQV KSEHGSPTINALPIHLDNHVPPISIPPYDPNAYSYSPFGSGSPTISSAGSDPWAGKFP DQFPDNYFVTYERATEMENPVTSAGLGSDSAEVNWATYNLPNRFSSAAEYRLSNGGAV PSQPPSYASFDGFSHLSHPGLTSSSGDVSDVEDYVPVSESTILQNSSQDVLNDFASVG GDELNEPETFRLSSASSYIGMPQARMLASDNLDSLDIDEYLKSAEAHTREIALRNQRM QQQTQQQLDQAQVASNIPHIFTPPPDAGQHSFSVQEAQERAHTRTQDGISGLDNSPLT TTSLRNDPILSSDLTGVNGMMDADERDEGWQVCFKFSVTDLKPGEGVAKAAEYSSTGS GDSALQLLNPRSRSCLQAPPELPYPIKLIIIAADILPFPSATMASRLLRPTLRLNPSR ATSSSPRILSRPLQTSSARFQEVVQPTVQVRKPVGAFRGGLFGFLFGSTVAGASVYYY ILEEYKISNEMLTEDIYSAWGWANIWRNLQALQAATQRIYSHVSEIENKMALMERKK EPUS_01751 MDAYNFPDYRLKKKMDDPSKTPLLLVACGSFSPITFLHLRMFVM AADYVKFSTDFEIVGGYLSPVSDAYKKAGLASAEHRVAMCQLAIDRTSNWLMVDSWEA EQKEYQPTAVVLDHFEHEINIIRKGIEAANGERKHVRIALLAGADLIQTMSTPGVWSE KDLDHILGRYGTFIVERSGTDIDDALASLQPWKENIYVIQQLIQNDVSSTKIRLFLRR GMSVHYLIPAPVVEYIEQNHLFTEDGPASSTHSVNQEKGMGKESVG EPUS_01752 MSSRVTRSSARLAAEPPSEPSPPLSTRASTSTRKRKAPEPQTSS PLQENTGPEATPHSSTRKPKRPKVNDNPSITAPQARSTRRRGAIAEPEMSNTPSSSKH ADDPNTNNAAGLGASSSKRKGGRNKKPLQEASPSTQTPARRTKKRSTKKEPDPTPKDV DEETPKAIKKERESPTRPNQSDSNDAESPRDLDDEMSDPFGAAAAGLFGNGRGPPLGM SSTLRALSGMMSGMSSRLRDLLNNLRQKDDPTIQLVALEELSNLLLVSNEDNLSGQFS PDPYVKELILLMQPNEITGEENPETMLLACRCIANLMEALRGSVANVVYGGAVPVLCQ KLLEIHYIDVAEQALSTLSKISVDFPGSIVREGGLTACLQFLDFFATGTQRTAVTTAA NCCRNIPHDSFPVIRDVMPILQNVLVSPDQKVVEQGCLCVTRIIDSFKHNHEKLEELV DAPLLKLVLGLLLPGTTNLIGVNIHTEFLRVLAIIARASPRLSMELLKMNVVDTLYQI LTGVSPPEDTANAASKIDSVVIMQALIHRPREQVYETLNVICELLPRPKGRPLLSFGD YNDFVSDINDEGPRTRSKDSAIDKRVKLLEDCKADTRRFAMVLLPTLTDAYSSTVNLN VRQKVLSAQLKMLSNLDTEVIQEALRPVPYASFLASILSQEDHPSLVLLALQASELLL ERLEDIYQYQFYREGVIGEIKKLAERTMTAKKEPVFPKHSRSAESLKAKRMQNNLSDA ENGDPHSMELDDDFEHRGMNDDDDEQDQEPDHLDDDEDEDGDGDILIREDGEGMSDSH SSDSSDQESLSDFAASGVKDLIILRAKKFLEQYETSKGHELRDKANRILTELQTLAKD LQICYLGDGNGDGRQLFSQLAKFFHGDALESITSSELLSSEIIRALLDVFKHTSTNGN ARGDFLEAFMGTTVTNKVNSSIGKGDITPFSLLIQKLQDLLSRAEHFEVLTVSNSASE NSRGSSTSMLSKQLRLKLTADADSDIPPSYRDITVSIHAIATFKALDDYLRPRISLSE RPHTGQRRRDMLATLANARMRELSGAAEAGLFGSTGDLAASIPSAPPAGAKAKTPRLT SRSMPSSASKAESSSAAKESKPAPRRSSRRHQPGLSTNDSHQASTGPEPGSENVPHPL ECADEKQISDGEADVDVAGALEAIVDDLDDSPSDDDAPDPSAVNMEIASTGKVTARKE DGTRVATPLQPGTPVSAGTRPNPTSHAASIHSTRGGSLALSARQFSYAAALAAVPQDW HIEFSMDDKPLPKDTTIYRAVYHNRQQPQDAVARTVWSGIHTIKFKRVKGPSTEPTKI KSVMDAPEDEEVGKMPASLDDHPTTSSILALLGILHEMNSNIDAVLDERHTQKLISEP LAQFINTKLTAKMNRQLEEPLIVASNCLPNWSEDLARLFPFLFPFETRHLFLQSTSFG YSRSMLRWQSAQSDNDDRRDRRRDERPLMGRLQRQKVRISRTRILESALKVMEMYGGS PSVLEVEYFEEVGTGLGPTLEFYSTVSKEFSKKKLKMWRENESNSHDEYAFGQLGLFP VPMSQKQSESEAGKKLLNLFKMLGNFVARSMLDSRIIDVSFNPTFFRLANGHIKAPSI ALVKTVDHDLANSLVQVKKFVIAKGQVEEDLHMSAAQKAHALQDVCVQGARVDDLMLD FTLPGYPSIELIPNGSSTPVTIDNVDLYIERVLDMTVGAGVAKQIEAFKSGFSQVFSY SSLRAFTPDELIMLFGRVEEDWSLETLMDSIKADHGFNMDSKSVKNLLQTMSELTPTQ RRDFLQFVTGSPKLPIGGFKSLTPMFTVVCKPSEPPYSSDDYLPSVMTCVNYLKLPDY TDLNVLRNRLNVAIQEGQGAFHLS EPUS_01753 MPLIEELPVTTTQRTTQGWAYVPDIKQPVQPLEARKRGRNQGAS KGEISAKQQKAIQTKLNELDKENYKDTTIAIPAKSKERAGKKITPNVRRILMYQRTFA HYLADEEAQLGNANTVPALPQTPLLARSASSRASKSKPTPMPPPPPRPGSARRPSVAT PTQAPTMNRERSSSRSVTSIPSTPQPTISGRSITPQVEPLPQLPPAPPTTPQNSNTPS KDPAPDPDPDSKNPLLRSHPHLNLKPPSQHLLQTLLSEPPLSYSASRAQPLEDFSPNN NNNNTNTTGRSMRLTSKPPRHFCSICGYWGKVKCNRCGERTCGLLECWRGHEGVCAVP AY EPUS_01754 MADLDPQQKIALINENLQEVLRPDIIEDAIVKENRPLVIYWGTA TTGKPHCGYFVPMIKIAQFLRAGCRVKILLADIHGFLDNLKAPIELVKFRAEYYKYAI KALLKAVGVSLDKLEFVLGSSYQLTAEYTMDLFRLSSVVTEHDAKKAGAEVVKQVENA TLSGLIYPLMQSLDEQFLGVDAQFGGVDQRKIFTLATEVLPKIGYKERAHLMNPMVPG LAGGKMSSSDPDSKIDILDTADLVRKKIRKAYAAAQTLEGNGMISFVEYVLLPVSSLQ SSDGSMRFEVEQREGGKEPIVYSDIDTLKEDYKADRLTPQLLKGAVTKGLVDILTPIQ AEFRASPEWQEVEKQAYPPAEPAKKKKTPKDKGSRYPGAVKANPDGSVDGPGKETVEV GSSAEEAMGRLEVNGVK EPUS_01755 MSTDPSKWKLNHTMLRVKDPQRSLDFYKFLGLTQINKIPQPEAK FDLYFLAYNGPSSRSPNHHWTDREGVLELTHNYGTEDDPEFKVANGNEEPGKGFGHIA ISVDNIQAACQRLEDAGYPFQKKLSEGKMRSIAFAKDPDGYWVEIISQKPLEETEGVK ETDTQSYRFNHSMIRVKDAEVSLNFYQEVMGMTLMRTSEKKNSNFNLYFLGYGPKPKE EDSANGVNPVAGHEGLLELTWNYGTEKQEGKVYHDGNSEPQGFGHICVAVDDLNAACQ YLEDKKVSWKKRLTDGRMKTVAFVLDPDGYWIEVIQNEKMKRSANW EPUS_01756 MSTPSIRVASSAAKRASSLLRAIQAHPPSCPCHSNPSHHYQSPS LLKSPRRSFATPLDHTRQKEYAFEMAASSIRFGPGCTKEVGMDFKNMGANRVCVVTDS NVSKLDAMKQVVEGLSREGVDFTVFDKVRVEPKDSSIQEAIDFAKPYQPDAFLAVGGG SVIDTAKLMNLYTTFPDAGFLDFVNAPLGKGLPVTKPLKPLVAVPTTAGTGSETTGTA IFDLVSKKAKTGIAHRNLKPTLGICDPLNTRTMPSAVHASSGLDVLCHSLESWTAIPY HERTPRPQNPINRPAYQGANPISDIFSLKALRSTVEFLPRAVKDPEDFEAQSEMLLAA TLAGVGFGNAGVHLCHGMSYPISGQNPGYKHNGYVIDTPIIPHGVSVAVTAPAVFKFT GASNPERHLAAAEVFGKDVSNAKKESAGEILSEALAEFLVNLGDQPRGLEALGFSREH IDELVEGTLPQKRVLMLAPNLSLEENEEREQLRGLFEEAMNY EPUS_01757 MDSAGEKGLAGRPRVLVPEKLSPDGLALLRTTLDVDERKGLSPA DLLSIIGQYDALLIRSETKVTEQLLQAAKKLKVVARAGVGVDNVDVKAATQLGVIVVN SPSGNVGAAAEHTIALLMALARNVPDACASLKGEKWERSRLVGIEVKGKTLGIIGLGK VGLIVARLARGLGMNVIALDPYASPAVAQSANVILVSSLEDLLPLVDFLTIHTPLITA TKGMISSHELNQMKPGSRVLNVARGGVFDELALLAALESGHIAGAGIDVFTTEPPHKE PDSSAALLIAHPRCIATPHLGASTVEAQENVSIDVCEQVLHILAGDLPRSAVNAPLIL PAEYKTLQPFVKLVEKMGSLYTQHYTGRKQSSPRDTFDLIYQGEIATVSNTKPLFAAL VKGLTAPISDHAAGNVNIVNAELISKERGIFINEKKSRDASAQTYSSLITLIARPPSR STSFSRGKSGSVFTNPAEAMGEASQHIISGYCSDNSPHISRIDRFATSFVPEGNLLIC HNYDSPGKIGVVGSILGREGVNINFMAVAPARYSSNAIDVQPVDGSITPAKDRTNESL MILGVDKEVEDKVIKDLVSEGGVLNVNSVAL EPUS_01758 MSKSKIPTCYASCSIGTKPEHDLPAKLKAISSAGFDAIELSMPD LLSFANSYLDKEVSNYDFPELCEAGKKVKELCEENNLKILILQPFANFEGWSDDSPEK EDAWKRAQGWTQIMEAVGTDMLQVGSSDTPNIKSSPTELAHDLAQLADLLAPKGFRIA YENWCWATHAPTWKSVWEIVQKADRPNIGLCLDTFQTAGSEWADPTTKSGLIEGINEE ALRKEFYGSLQELSGTVPKDKIYFLQISDAYRMDPPLSPEPDESGLRPRGRWSHDHRP LPFDGGYLPVVEVTKAVLGTGFRGWFSTEIFDGRMQEKHGDDMAAFAKKAMKAHERLI KEAGGE EPUS_01759 MGAMMSLLFAEFGIEVSFYDPSEEHGQALLGHAKGAKLQDKIKY RKDYQTLCENLGSPKVFVFSVPFGSVGDETIDGLRPYLQKGDILMDASNEHWQSTERR QKRLEPDGIHYIGMGVSGGYQSARHGPSVSPGGSEEALNIVMPFLEKIAARDKHNRPC VTKVGPGGSGHYVKMVHNGIEHGLMSVLCEVWGIMRQAVGMEYDEIASIFDSWNKEGP LEHNFLISIGAEMCRTRDPKDNSFVLDRVRDKVVQDVDETEGTGTWTCEEAVRLHVPA PTIMSAHLFRLASADAARREKVKNGLDGGFKPAKMQLTGQERTDFVKDLQKATYASFL LSFIQGLHIIEKANHEQKWNVDFADMLQLWRGGCIIQSDYIVDLLEKVYRQDNHDGND LLDHREIGAPLKENYPALKRVVLRAIEADAPVPSLSASLEYLKYIASTELPTQFMEAQ MDYFGAHKFDLKSAEPGKPVAGEHHFEWKPARGVFDAHK EPUS_01760 MAGSVLQLKCDCNNYPWGKKGRQSLAARLCEKTSPDFKIDDDKE YSEMWMGTYPVIPSYVMSTGENLQDVINATAEKLVGKTVLDKFGKDLPFLPKVHYQLI FYLPFTEHMTALQVLSIAKALPLQIHPSKPLSEQLHKKDPEKFPDENHKPEIAVALTN FEVFVNFKPLADIESLLNLEPLTRFLPSDASSSLTKDALKQVCKTMLSASPEIVADVQ SSLSKLSRSQLGSQAYILDLLPRLQQQYTPEDNGTLIALICMNYLQLKPGQAIYVPAD GIHAYLSGDIVECMARSNNVINTGFCPRADRDDIDLFTEALTFNPQRGEEALLVPQQS ERGRQGRTKIFQPPMSEFDMLLTELEAGQGETVSAVEGPSIMFVMSGKGTMKAEGKTH EVGMGHVYFVGMGVELEFQTNDQDGLVVYRAFAE EPUS_01761 MKLYSLCVLSLFCFGALAARPSSADKFRTYHSRSLSSSPLDLDD TTYEKLTSAPRDYSIAVVFTAMGAQFGCKLCRDFAPEWELIAKSWNKGDKKGESRMLF STLDFSSGKNTFQDLMLQTAPVLMLFPPTVGPGAKLDAQPIRFDFQSAPSAEEVHSWI SRHLPPGPRPPLVRPFNYIGLITTTTLVLGLITFITLATPYIVPVIQNRNLWAGISLI AILLFTSGHMYNHIRKVPYVSGDGKGGITYFAGGFSNQFGMETQIVAAMYGLLSFATI ALALKVPRMADPKSQQVAVFIWCSVILGMYSFLLSVFRTKSSGYPFWLPPF EPUS_01762 MDTRHIALMNAEEISQTLKTTHLEQQYERELRQTERIYEEERSR ISRLEQLLHAYENDNLQQQLADVEAQMEDLQDHEEIAQEQLGQLNAELQRAQSDLRAR TREVERYKAEVNAMNTVNTDSTKLLTEKLALAREVATLKPELEHLRSQGALNQSILAE KLALQRELSTLQVELETERRAVQRTKANTSKSTEADSKLASQLEELRKETAKERREAQ KNERELRKQATEWESQKTILESKLDAFRNKLRSTKEQLKETQNELEEIQALKAAQVEV APKKTTVGNPRKRQTAHFDPDATIGTPGNNGVHAAKRVRTSTMPGDKSTFSITPFLNK TMSILPDTPVATEEQEQFEEPAKSATTQGEQASPIRPKQTKARKPATDSTKRKEGRVL QETKSAPKANSVAVKAINPPTKKQFGLAKVLEDNENEEAADTNSESTKASKPSVKKKQ KILGQRKSLFNDEDAEETKNRARTVGLLGASRGLGLRGGGGLGPVNVGSKGKTLAEFS PLKKDRGPPSITAP EPUS_01763 MASHSGWLPREGFVADPVGRLIKRTILNPALTLPLLLLTQYTPS GQQYARALSNGVSDEYDWSKEIVVATGGSDGIGKEVVKMLAASDIKVAVLDVQPLTYE APPSVKYFQCDVTSSSAIAKAASEIRLEIGEPTVLMNIAGVATGKTILDSTEAEHRWT FDVNTLSHYLLAKEFLPSMIKKNHGMVMTIASLASYGLVANLVDYAASKAAALAFHEG LTTELVTRYNAPRVRTLLITPGPVKTSLIRGWNQRDGFVDYMLDPGTVAEEIVKRVLA GQSGQLILPGFASFLFPMLRAMPYWFSTALRNKNEGVLAQYRGRLVEDPNKKSNSTRS SKKSALRENPQRIIPTSTSFSSSSRSPYPGTAAPSIASSYATANTHDPLNTPTRLPNL LTNGSMADQTSRSETGLRRSNRRGSNGDRRRHRSGSRESKRDKRERKRSRSREREKRR SDSRRDSKQKLRESQRGEDNEKSDSGKRSGPPNLDSHVENQFPGEFPTTFAAPYRPPG LAADYYGDQGESVADQPGVRPQPPSIIYTADQAHLHEPTAEALPPPEPSSLGQVGAAA AFFADTSDVENAVPTPTAKPSRPGTKPSKHSGIDTSPRTSPRLEARPTPSLGNMGIAE AAAMGAGVGLASDYFGTSTAPMPGPGGFYTSPTSNTHDELYNSIPNTSSSRPPRPPKQ HSGSNTAQDGAAVAGFAAGAGVNYLGNHHHSGQGSYGVHSHQHLHGTHGLSIGMADRR RRRGPLDKLINFFKDPEGVGEFEVYTEAIGVCKYCFDPRSSPRDAPRKHNPNRRYLGG RYGSSTRVDKVYRQHTSDDEKRRKSGSKKPWIAGGLAGYGLAKLGENVLDDRDYDDTY SVRTGQANEHQQFSNQSERHSSTRKERHPLQEDMPLHRSSSHRHVETRFRKDKGTDEL YEERKPQKRRSSSSSSSASSGSHHDTSRGAAMSTSQRHGTVYRKRSRSPKKQYYHKRV SPRHSYVDLTKPSSGGTGIVSFFSSPSANRRKGKKDKGIFGFGNVSSSSSDADLAFGE GSVRRKDSNREKPPKHDDRNVNSAILGLAATGVELAAASSKKDSKQKRNVGFVEQGGR HGRESRVSQPRWSHPKESESSNEENSAWEDASDDESSENSALAYGSKLSTRPSRESLG SSDGTSKWDWRWNRGKQNNARSRQGDRTSFVSAAAGLPGAALRPAASLHEQFDTRQGS AGLPAMQQLHPIPTSDPGVFDVTRQTSTVISPMSIVPASITTSTVRLQHPQPVTPVSQ SVYTTSATSSAPLYSQVSPVPPFFSDQTITPPNEPKARPPSPQRYNEARERRRRESSP THFTVSRDVAENRPHRRALTKDQISSVKFDLTKEQTERERRSQERRKFKRDSEDDQEP RKDSAERRRAREQEIKQELERLDQEDRRQSSAREAQQNDFAVPNAAVAAMAGGLAAAT VLAESASAKDDDRSIREHPPEREVVDGPCQSPAAEKGANEDTTESDNRRQRTAQKVAI RIRTSPNPTPHDDYVAYFTPPELQEKLKEHNDAAERRRAPEPSSPMTPVVIEIVPKGD DSPSSPSSPTSAGYKFDPFHYRPFGILSKDDPSANPWPVPRLDLIEPTPPHSACNSVR ETSSPIPPPSPEPVEKPEPKPKRPRSDVRVSWGEHETHEFEVVTPMDDLPEFSQEKTQ QLHNINDQNPDTKPVLVDVQSTESVPGSSHHSSKADSEDYGQDLEFAAALAAGAQEAG FDPSIVIDDPTYHRRDSPPGSEDKGVYQSPFAETVSDLGVFHDQSAPSQIGLVEGELP PTREAENDQNQEKVTGSDNDELSTSKLSKEERRRLEKAAKRESEVGVSTASEKVVQET TARQPDHGSIDNANDAEPKIPGGFNVFDYYDGQATPDIYVTPKTSKPEENGSEYFPIQ AAVDPASQSPPEQHVGRQEASEENGRPPEVATKKLRRSSTRDSDGFYDDRSVLSTPAK LKDGSGGSKKSKRRSRKDDDANDDMGSVASMPADLNNDKSSKRKSKDKKSGGVLAFFS SGKSDVKLKDTNDDENRNTREEPGTSKRKAKRKPKDRDVDDGAPTRKRSRSGSRSAGK QSERHRSPDDEFLSSEEAPERKPRDQANGNEDLSFLGERPEMPTVSDGASGSATERNL EQDAISALPTTTMAEPQPLTDAPTPSLLATRESQESTIADQDLASTPSAEQMRPEILS ELRTSDLSNSPATKGSPTAVPIHFRRPPLSPGAARSATVGASDATSLPNSPMLPRGRP GRPSSTEFRSREFRPLWLVERHAGSKIEQAEIEETYPSLPSSRTSSAHPSMENLRGVQ DLEDVFSPEQALSQPFGHRRSSSYPGWHPQRPTSPDFLDSRTATPTATEFPRDVKEKP KYEFHSPSELLQDPSTLHEQVRMTDPPSPPMNLPGALSPELSTQALEAGAAPDTEAVP HIKSTLAMEATPEATPASQVAAAETNILPQIRAAEETSTAPEASTAPEASTAPEASTA EQARTPEEASTAPETRTAEQARTPEEARTPEEARTTEEARTLWLASPGRTDDKSEDNR RGENGRRGENGRRGENAGRGENAGGDEDNRRGENARGEENSRRDENTRGEENSRRDEN GRTDENSTRRDENSTRRDENSTRRDENSTRDDCGTTSRRNSRGGSSNRVETTLETEKV LGPITALQIETPTQVKTTTEAETVPEATVLPQSTVVMPDAGVRSAQHLTPSISPKVLE TQGETDELVQTSTTKKRDEKDKKKRPQLSNEDEETSKTIVSDAGGNSTQNPVALVVPE SVTEEGTGEFEQVSSKKGKSKKDKKKRSQTSKESGPDTTVVPYPSDVNQESIDLAPLK SIEGRRESDQREDPKTREEADEYMQTSIKKKGKKDRKKPQQSRREAEPDTAIVADSPS AAQESADLIPLESIEGKEEPEQREELKSREETNEDVQTPITKKGKKAKKGKHGKGLGA SEMPQTKQIIQPPVRPVPVESTPSQVEDVYVEPASDAPTPVEATSDAPTPVEATSDVP TTVEATSVEPPPVEATSVEPPSVEPPSVEATSVEPPPVEATSVEPPSVEPPSVEPPSV KSPLIKPPSLEPQSVEPPSAESVSFDAVPVKSTTDEPVSVEPASFKVVPVEFTSNESA SIESIAVIPASTAKPAPTVELAPPVNPASTVEPASTVEPAPIVESVSTGEPAPTGEPA PTDKSILFDSASAEPAPAESAVVESRHVQTASVDPVSELLFADPSHENVSDLTTIEVE AEASKEILPQIPQPLATLDIAREQDEPEEVVQMTVQAESKTGIVPEMLQSPVTLDAVK EQQEADEPVRISEAATGPETAYEVPQPPVIRNADKEHEESDELERTNVETAPRIQPAP EVLHPPMTLNAVKEYKETDGLVHTNVEAAPSTQIAPELLQAPVTLTTFNEGEEPEELL RTNVEAGPSTQTASEVLQAPAFPEAIEEQDGPDDFARDVLQASASPEVITREEKHNEF VREELQARMSPEVVEMGEGSSELARDVQQATVFPIVKEEEGPSGSISDVLQAPTAPTH KTEEELDELTRGVRQAPVSLVFEKEERSGESMSGVPQASVSPVVDKEERPSESMSEVL QASDPPVLDKEERPSGPMSKVLQASISPVVEKEERASEPISEVLQASISPVVEKEERL NESVREVVQASIPLVVEKEEGLNESVREVVQTAVTPVVEKTEPAVEKKEGLNESVREG VQASIPPVVEKEEGLNESVREVVQAAVPPVVEKAEPNESMREVVQAPVTPEIVEKKEE FDAFTQVPTKKGKGKKTKGSKAAKIVESDRGAQSSSNPVLVHVSEAMEGQDEVGLALA NENIPPTGEMLSDTQRAPTVAEPTREEEPDPSVQIPIKNGKGKKDKKDKRKRQQISGE AEIDTPTTPDISGSSAQNLAESVEKREESGRTGEPKTSESDKEGADPIAGISGKKMTK KDKRKLKQLASKKEPPKTTVTLDVPVRFTQESTEPVEERDEPGQLQEPEKHESDKQKE VADEAVKVSAKKGKKSKKKDRQMAWEEDVNTNAMPDVTVGFPQDLAESVKAKEESKKV ESDKLKGADEDVEVSAEKAQKGNQKRQQLTLGEETNTNVMPDASASSTQDPAASEADA IPPDVAGLDPTTTNAAALAVQTKSDHSKEPDSYFPEAGLIHGHEQEGPVLEQTERFHD QPQAFSLELETDHGVEATKTGLSQGPDSDLPGPGLVRSPEREGSIAEQAKQSDEQPQL FAEVETDQGVKATSTTLDESNCAEGQISHFPEAGSLEGDGTNSEQPDQSHAQPRVVVE EAEADKGLDTTLTGHRQELDSYPPKEGSLERGNNISEQIKQPKQPELSELSHEQPQIV VRNAETDKGLEKALTAHKQEPDSDFPEGGSLERDDNISEQIEQPKLSELPHEQPQIVV RNAETDTGLEKALTSHRQKPDSDSPEGGSLEQDDNIAEQIEKPKQPRQPEQPELSHKQ PQIVVQNAETDTGLEKALTAHRQEPDSNFVEGGSLKKESNNLEQPEQYHQEPKIVIED AETDGGLKTTLTNFDKSGDDGLEGREMERLPVPEDEVKQSPGPQVVGGNVEPAEGGKV NLTVSSESEARVPRSQDMEQLPGPEDPIEQPYHHSQAITGNEKPVEGAKLSSANLNES VLHAAVDLEMEQLPGPKLGMEHEAEAGGERNRDSGIQFSEQAIAPEDPSQATFRDSGY TPSPITRPGWDETSNHSESERPPRQLTPTSSSEDLRKRPRRPSKRTSNPDFTPGLARS AGSDQDVARSGAELSAVEIETHREPSPVDSTTKDRSSALFNSPPSNLFEPYESEPPSD ARHPSARSDTHQSPPPRPNFEMTSDARDQPASLASHLGDQSTETQHPRDLPPEGPYQS IFGPPAPSHDAVERALTPPRTPLQTIPEDERENEKSTSLRRRRSPSMVASPTRSNTST GRAGTPNTAGRAFRYAQIMGAGTAAAAAGAAGLGAVGLGAVGLGAVGLGAVGLGAAGL GAAVLVSGSRDGESTDGTKALGHVQVQPTAVEQRRARQQLEAEALPSSSTYDPVKDQG KETVRDMADVYDGYGQVPGSPRSPTRPPSIQKRRSMQQIRDLEARLDQLASENRTLAA AKVDAEKELEEAHHNQSRIENASQEALSARQLALQEKDAELEKLREAVQWMQNEVTKL TQVNEGLASANQALATTHTQLESQHIQTQTQWQESTRELETLRSQHEKLSTGMEQIVR HEIDVALAAKNAELRQLYSSLEAAKEKIRELQQQILASQNDEVITARDEDYFDGACQQ LCQHVQQWVLRFSKSSDTQICRYTNEVRDDKIVDRFENALIDGSDVDIFLGDRVKRRD VFMSVVMSMIWEFIFSRYLFGMDREQRQKLKQLEKNLLEVGPKSAVHRWRALTLTLLS RRESFAAQRAKDTEAVAQEIFGTLARFLPPPSHLENKILDSLRNVLKLAVDLSIEMRT QRAEYVMLPPLQPEYDLKGDLKKQFVFNAALMNERSGETSSNQELEAQGAVVRIVLFP LVVKQGDDDGGGEEKIVVCPAQVLIARPEKEKPGKKMGASGAVGGERTGPGMGMGMNL DQKSMRSSHSVAPSSLDMGNVI EPUS_01764 MGEKREERSEKREARREKREERSEKREARREKREERSEKREARR EKREERSEKREARREKREERSEKREARREKREERSEKREARREKREERSEKREARREK REERSEKREARREKREERSEKREARREKREERSEKREARREKREERSEKREARREKRE ERSEKREARREKREERSEKREARREKREGKWTKKGKEASTMYACMYLFI EPUS_01765 MASETKRQNAGNKVPVALPNSVAPPSPTVSPETVLSGVMGARVR VTTSAPTSATYEGLLYTADPITNLLVLSIPPSSSSAPTAAASNSNPTSNSTSSSTPPT NTFKILPIRTLTSCTVLSTPSNPIPTPNNNNTLSKPNPSLPQPTYLDIPSLTTRTNST VAALKAQDAKRGRGVTREAQDIFDGISRTYQARWHGTAMVVNENVIIEHPYHVDDCRL VGGSAEQGLLRIQKVLGMEREKLALRRGLGSGGIGLGLGVGRARGGGGGGGLSNMGGG LGERKGG EPUS_01766 MSTSEELEMSDVISDRESDSGSEMDEETGLTKRERRQRKRQQDH LDVRIGEQIPVSRHEARLADRDVIKKLLINSVLILLWYLFSLSISIYNKWMFDKGHLD FHFPLFTTSLHMLVQFCLSTTVLFFIPSLRPSHLSQPYTRLPTDPTSSDNIDPGKPKP VVTPLFWLTRLLPCGAATSLDIGLGNTSLRYITLTFLTMCKSSSLAFVLLFAFLFRLE TPSYKLILIIATMTVGVVMMVAGEADFKPLGFALVIASSFFSGFRWGLTQILLLRHPA TSNPFATLFFLSPIMFVSLVTIATFAEGPTALFAGLSALAEKKGSIISILLLVFPGCL AFCMTTSEYSLLQRTNVVTLSICGIFKEVVTITAAEIVYHDPLTPINVSGLLITIGSI ASYNYLKITKMREEARRDVAMGAAGPDSFIGGGGSRRGSVGVLDEGDDEGARLFAGEQ SRNPSADPKLLSGTDRGGGTPRPPKRQQDLE EPUS_01767 MGQNLILNAADHGFHVIAFNRTVSKVDRFMENEAKGKNVEGAHS IQEFVSKLKKPRRMMLLVMAGKAVDDFIEALLPFTEEGDIIIDGGNSHYPDTNRRTKY LAEKGIRFVGTGVSGGEEGARYGPSLMPGGNEEAWPYIKDVFQSIAAKSDGEPCCDWV GDEGAGHYVKMVHNGIEYGDMQLITEAYDIMKRGLGMSADEMADVFDKWNKGVLDSFL IEITRDILRFKDDDGSPLVEKILDAAGQKGTGKWTAINALDLGMPVTLIGEAVFARCL SSLKEERGRAAKLLEGPTPKFDGDKQEFIDNLEQALYASKIISYAQGFMLIQNAAKEY KWKLNKPSIALMWRGGCIIRSVFLKDITSAYRSNPELENLLFDDFFNKAIHKAQPGWR DIVSKGALWGIPTPAFTTALSFYDGYRTKDLPANLLQAQRDYFGAHTFRIKPEYANEK YPQGDNIHVNWTGRGGNVSASTYTA EPUS_01768 MALPPPPGLKATSKPQPPSSLPPRPPPSANAPPPSFKPAVPSAS HTNGAGIPRPAHNAFTAFAPRSVTSSTFRTASAAQYVAPPAPSYTTPTPYPPQHDAYP AQPQYEPQSYADSSTPQIHNPFAPPTANASGTGYTGTQLIDPEMEAQILQWQSAYVSK DDNKSSSTSGFPRREGATGANTAPLGSSSRLETASPSVAASSHSTPGNSDNTAKTVHR SGGGTTWTDSTLLEWDPAHFRLFVGNLAGEVTDESLLKAFSKYPSVQKARVVRDKRTT KSKGYGFVSFSDGDEYFAAGRDMQGKYIGSHPVLLKRSNTEVRAAPVGQGRDKHGRKG KGGAGGGSGGAGGHGKLEHGGINKKQPKTKGGLRVLG EPUS_01769 MSVHSDENDDVHNTVALPIQISLNANQRRKEPPPPPRKIDKHTP QESINRFWSKFYAKYPGKVFTVLPENPYAQKKIAKVPNGVAQAQRAVRSYEQAREECI RDVKRIIRECRRVNQKYRDPHFDIEWDLKKGRRYCLEGLEKPDEDYNPKAVKRVTDIF EDPQFFIHGPTAGDVIQGNDGDCYLMAALCGLGNMSGLINKICVIHDQAVGVYGFVFH RDGEWQQCIIDDQLYMTAPDYDESNMERIMWDTIARRDTEEEYRRTHQTGSRSLYFAK CSEPNETWLPLLEKAYAKAHGDYASISGGWTGEAIEDLTGGVTSEIYSSDILDKNEFW VNELLKVGKEFLYGCSTGQHADWLEPNRPTNRRQGIHEGHAYSIMDAVEHTIDGITYR LVKVRNPWGNTGWSGAWSDGSAEWTPQWMERLNHKFGDDGIFWMSYEDLLRKYQRLDR TRIFGPDWRITQQFTSLHVPWSADYHQTRFSVNVTRTTPVVIVLSQLDKTYFAGLQGQ YNFKLQFRVQRVGDEEDYIVRSNVDYYMTRSVSTDITLEAGSYFVLMKVTATRVGGPT MDEIISAHAEHMRDKLIQVGLSYDIAHAKGVIVETEKEVEQRQWAEKAQAAAAREKLK NEVKARKEKVWMKEKKSRQRRKMQDRKHEEKAAEQRSRERGDGYQSDKKNFIDRSECH SSNVGNGQATADDDRSFAEELNSSHERSEGAQTSTTSDPKETPIESPANNTRQSPRSN DAVADKDSTTSSADKTLHDHPPTPKVQVNGIDAVTDVNLLKRRPAPLAPRTTLDGKLE MKDQDKRENQQEADPFTEPQWSNSDTESKFSWRTDLDYDSDEARSTYARSEQGRDWDA GEESGPEPWNAVCVVGLRVYSKDPDLSLEVVRPHPYSEVIKPEAGSALDRDDPAKGAV AEKWAKTPLRGTFDSE EPUS_01770 MENYQKIEKIGEGTYGVVYKARDLAHNGRIVALKKIRLEAEDEG VPSTAIREISLLKEMNDPNIVRLLNIVHADGHKLYLVFEFLDLDLKKYMEALPVSDGG RGKALPEGSTLDMQRLGLGKDMVKKFMAQLVEGIRYCHSHRVLHRDLKPQNLLIDREG NLKLADFGLARAFGVPLRTYTHEVVTLWYRSPEILLGGRQYSTGVDMWSIGAIFAEMC TRKPLFPGDSEIDEIFKIFKLLGTPDENTWPGVTSFPDFKSSFPKWKREETKSLVAGL DEHGLDLLDALLEYDPARRISAKQACMHPYFAAGSSAYSGRERINGYH EPUS_01771 MIPANRLDTHERPPEAIRKLFKKYQKCKAEDLHIDPDVIDMSRE VNGLGHQLQPASSQSCFGARDHAFREFLCYPSDGCGEEKASPVSAFAVTSIPGLYILP NLLPHEIQLALLSNLLHRDLSNPNHQTNVHLHHKISYSLASSNLPIPSFFNKDQAFIF PPQDPVVHKPINIAEFLGKKLRWMTLGGQYDWTAKRYPPETPPPFPADIKQLLKTLLP DLDAQAAIVNVYSPGDTLSIHRDVSESCDQGLASISIGCEGVFIVGSQDGTQAAVIRL RTGDAVYMTGASRYAWHGVPKILPNTCPDWLAVWPASDNNEKVYNHWRGWMSNKRINL NVRQMYDTLSPI EPUS_01772 MGSWKDIAAAKREAILDSIPSKWRMSRIPSREEQKDVTGPYIHQ FLSKEEIQITETDAVGLANQISSGSWKATEVTEAFCHRASLAHQLTNCLHETFFDAAL SDAKDLDDYLAKHGKTLGPLHGVPISLKDQFHVKGVDTSMGYVGWIGTFQGIKNDPRK GVYESEMVRELRALGAVLYCKTSVPHTLMTGETVNNIIEYTWNPKNRNLCSGGSSGGE GALIGLKGSPAGFGTDIGGSIRIPAAFNGLYGIRPSEGRLPFEGMANSMDGQNSILSV VGPLATTIGGVRLLVKAILSQSPWLHDPLVVDMPWRDWVEHETRDLIKAAASGNSKLS FATLKHDGVVGLHPPVARAVQVCADLLAKAGHKVIEWKPPSHQHGTKLVFNAWKYDGG ADIHSAVALSGEPLAQQLSVFGDKPEKQYSAAEISANNVALREWRKQYMDYWNSTTEF TGTGRPVDGVITAAAPFAAAPPLGYTYYGYTMFVNGLDYTSVVIPVTTVDKSLDVVDH SYQPLNDIDKTIQNGYDPEIYDGAHVSVQLVGRRLQEEKMLLLAEHLGSMLKI EPUS_01773 MLDENLPTFLLKTSTEKPKHNSTFYYTQHGSDAEPTYSLCHPDP ELLGSKNRYAAALYDAHNPDVLFAEVLLIPDWTQPSLSAEAIRLNGGVAPPPEPILPG EFVVQLYNPDQQVKVRQKPGSWGSAPSWEFEMPQQSFRQPSTSSIDRTQNDPAASELT PRVGFRWKKDSKFTKDLACFLSGKTTNADGSKRRNKEPDITVAIFHALKEVTLYEPNL QRVEIEDPKGFEVVLLLSAVVIRDVYFGQMEQAFNISHPPGARSASTSPSPAAAMLGL YGRRSTSPVSPRKKASSPAKPLITVPVSQRDNRIPPTDPRSQWEIDAETARLKKEAET EERERKRKEAIEQKRVKKMLEAEEKNEKRRKQAEVDKETERLKKIYGTQGHTNPATPM RPATKTNHYNNNSQQRPNAISRPQSVPQSGQPQVRWAPPAQGPYLHVPGGYPSQSGFL GNAGPGVWPKLREKKSFFGFGREHDTPKLHKKKSSAF EPUS_01774 MSRVDDSTEETRLLENEDHHVNNVSQENGYSHQNDGQGTDNVPL TRPGSTRELLAVLAATWVGVFLAALDATILATLTTPISSEFQSLSLLSWLVSAYLIAN AACQPVAGKLTDIFSRRNGLIFSNVFFGTGCLICGLARNEWVMITGRVVSGVGGGGLT AISTFVSSDLVPLRTRGIWQGYGNVVFGLGLSLGGVIGGWLNDALGWRWAFLLQVPFT VVSGLLVAFLVDIPAKETRESAWKRVDYRGTVLLTLSLVLLLLGVNPGGNQFPWNHPL VITALLLSMVFILLFVYVEDKIAPEPIIPVRLLLRRTVWAACFTNVFSTMSFFIVFFY IPFYLQISGHSATQAGLRLIPQAFAISMGSIGAGLVMRMTGRYYLMDCISLTIFIAGA ALICTLTFSAPDWQAYVYLVPGAFGYGGMLTITLVALIAAVDHKHQAVITSASYAFRS VGSTVGVTIGSAVFQNWLYRSLLQAFDKVPHKDRLVRRIRNDYHEIARLYSSTLRQKA LACYMEAFRATFLTALAITILAGLISLFMKEHKLHTNLARN EPUS_01775 MPGVPPDALDQLKRGIRGLFSSKKRKKQQSHSTETDASTSSQAT PAPPSKTEASAPTPTKPSEPAPATAPAISAHLAATEAANAPADPVPAPVPAAPSVGEA HLNPAPAAIGTDSEQNQAQPQTTSTSATERAQSSTAAEAAPVPEPVPASSTSAKEPAG GEFGDSGGAAAAAPPAPAAAAAEIAATLDKKKSEAAEGTAAT EPUS_01776 MGVCLSCLGISHQSSSDPERSHLLSSDDPYNASGYGCGSTSQGL APPRHVLNPEDVKREQEALEQITRWTTDAVIDIFPHQAILLSNSSTNLNPSNIYDQIP GDKSSKHIRIYPASRPVSQASTENSMRSRSSTQNRGAKAAQPRIFRTLEMRPGD EPUS_01777 MYHPLFSLAAALTVSSIIKTTSAGVAGLINPRYLDERQLCRGDS YNDVFNSVGMGRAGEGAQNDIEEFCHSWIPFRTVTSYYVTITPTTTCFPATVTVNRTT EIVYSPTSTVTVTASQTEFAIERRSHVRKKDAVEGRAVAAAITPRAELPKRMIQYARQ VISTAARSLDVEGLRADLSSACSCLFLPAPTTNLPSTAPEVTRTIGATNYATATLNTT VAGGITTITETLNVTSLVRPTGAASQLSGTVPLPSSNSTISGPGNGIDVRPTFNTRSR PTSSYGNGIDVLPTFNTRPRPTGGYGGYDTAYNGTGKNYGTGYSHGTGTGSLPQPTEN SVCTTCTAGIRNVTVTVTALATSTVLSTTTDIISDIIFKNTTITVTAAEATVTLPGFT ITEDETTVTETGVEEVPTVTEPGVTGTETVTESEETATVTISVATTGARAAVSAYRAG RF EPUS_01778 MSGRLQPDRSQSGRSSENTSSPHPVLGKMILPRLDGASYETSSV PKRTPHACERCRTLKAKCTGGTRCEKCVLDKAECKYGDGKRERNKKEMASQLQKSTSL IHQNEQLLAALREVTDDPAFDAKKHPNVLQLLSKYPSADSPETVEAPGKGRRRDRGSH DEGETATVASIASPGAADSQAPPVSLGIGSGASGYIGKSSEISWLQRAQEQMARQHVN VDHTSLEPDDNHPHGSYMDYHMDEANLLAVDEDTVNPMEVPPLPVAKALATAYFKTVH NSFPLVAQDTFMDVLISQFHVQPPLSWEGRRWLSLANIIFAVGAKWLYLTRARNADTQ SPTIGPGDHIVYYARARSLGLDHRLIMDHPLIEQIQALGILGLYLIVNHQISRAWTTV GHAIRHAIALGLHLRVGVGIDLNELQRRSRTWWALYGLEQLLGDFTGRPTSIPDSDIA IPLDWSKKGEVASPPSQTDSRAMATQKAFPSESSIGLEAMKFSPHLYFVCRTRLSILG HKIRSSLYASGRTNQPWSRFQQNIRDFDQELTRWSSNLPEAMRLPPRIDIQTSGRSQD WLRDQFELAMAYQSTRMILFRPCLCHLEGVIPYESALSRGINHQAAVSCVNAARTLLA LLPKGSGSAHPSQMLPCWSLLHYLTQAGAVLILELGLKAEHMPLQVKELLDDTGKVIA WLADMATDSLSAWKSWEICRKLYLQAVAGMGVDVMIPENIQKPPGWKPAYEQLLSQAL NVPANQQQNLQMLHHLHPHVGMSFVPASETMFVEHLTQASWPLLPEMPVPDGTMAFDG PEVYPPSTGDMAGEMDWRT EPUS_01779 MNCTGLTEPLWDSGSGQPNAPDAEILIIVRADLILEAQQPSNPR DSSRTTVPNEAWRLGSGDLAEVSHIFFHPIAPLDLETRMRFNCCNEGTPCDSCQLRQS PCIYDRNADQRRRAATQKNTQELNRQRTLLGGILAIIQSAQPDKIDYLCNLIRGNATL TDLATHIESSLIADPEVFELSQQFMRSKEEQTQGPTGSRRSNEDRIRPQSLILPPIPQ LAYPWTTLASDEVISHLVSLYFTWEQPCLQFVDKMAFLTDMKAGGVARPHSFCSPLLV SALLAQACLISQRAECQVLRTRFLGEAKIRLELQRGRASVTTLQALVLLYLHEGVTGR DRIGRTYYLQAMDMWRRLGFNQYCSRPYDCEESAAARQDWRAKTVAVWGIFCVENFMS FLSGFEPMLSAPRMERYFEFHSAEDWTDLDARDGDWSPYPMQRPEQRALLAKTLSALS SLCQIHHEISAWNNNPPDNVPLGSAQDLAFRCHSFKNLATWNAQLHLSLRPSARTMAH TYYLNNLYHATHISLFRPLQELEGVRMPIEIGSPRDLCFKHASECMETIWAYRKTFSL RHSSCIGLLGPYMVVLTLSPQLRDGAHKNEPFIRACQALVEHSENFPVAPYILAMLKA LDMEHQFGFPKEAIMILQESSLQPEELEDIPVEMRIPILTRSRGVAGDPGSSPVATTE SVGELLARWAGPFE EPUS_01780 MSLKTPRNSYRSAECPEVPSQNPCSSWYSEELEPKGPFSRSRGS HHESSHPSSSARRSHRQYDKPESSARSSHRESGYSSSLARDTYHESEAPSSQPHTSSS IRRANTTAAPSSKNPFARLVATGDLPRSNNPFSRHESSRHAESSGGLHRSNAVHSRSR SSHQTSRRESSASHHSFSASTIRGPSTTVRGSSPSSMDEHLDSYAGALVPQRSQTVAH HLSSGSRYYGNKQVARSGCTSSSCTSSSRTTGSTSSTTSAKGQNVTYNITNINIGSNN VGKGAEDLLAGMSTIEIGEPSGHARRSRSTRSHTSSGRYTPYAGTGRVWERDYDESLE DMKKEQRKRQREQTRALSAVADAEAELRESEERFLAQIGYPCPDAMFT EPUS_01781 MCFGSKSKPEPDAADGPPLRQTVIRNPNLFRTPTFDQGRARRAS GRRESPASGHSPSESLRNYDGAEERLRNLSTVSPGYTPSEKRYSTNNAGDDEVAPVVA TRAGTETDVGTESASTSTAVAPAPAPEIKGLEGGDRRSGDGVIR EPUS_01782 MFSGHLQKKPYSSISVHVERLTSESYDEDDLAGIPDLIEVVKLQ ATGPSEVARALRKKLKYGSIHRQLRALAILDALIQNAGSRFQRTVFSDEPLLERLRIA ATDSVSDPEVRRKCKILFGQWAAGSKTEPGLEGAATLYKQLPKSRKPHVDRREQSKVL QETEEQARRDEAADDEPRGLSVGPSSAKASASRTSSGPALLSSSGPNLVTKTKKSKKN KANKPFSLERETPALLQTIASSQVASTNLTNALKLVNRESHRVSDDPEVMNRFETCKQ LRRQILRYIQCVESDDFLGGLIHANEELVMALMAFEVLDKSVDDDSDSELEEAAHLSR AAAIAKRSGGSGSGPPPTESMVGLSLQSPEMAPRPGLGKMAMPQSEPWILAGKGKDMR RENGSESEDDDDDDDDDDDDDNEDNPFGDRNATATPSMEKQGFTWKEV EPUS_01783 MSYSQELKVAQLAVQRAAILTKKVFKEKAKGTFSKEDKSPVTIG DFGAQALIIQAIKKNFPSDEIVGEEEASSLRDNKDLSFQIWDLVKEVRLEHPDSDSLL GGSLSSEDAMLEAIDQGSSAGGGKGRIWALDPIDGTKGFLRGGQYAVCLALIVDGDIK VGVLGCPNLPVDDTTTLNEDIGANQTDQEGKGVLFAAVQGQGATSQPLSTSSLGPSKA IHMRPVPDIAQAVFCESVEAGHSSKGDNAAIAEKLGITSKPVQMDSQAKYGSIARGAG DLYLRLPVRKDYQEKIWDHAAGDLIVREAGGVVTDTNGKRLDFSQGRTLKDNKGVVAA PEAIHAKVLNAVQEVLGLK EPUS_01784 MHFLPVSIFSTLLFALFSSCHPVEPTPPPCGSCLTSSEILPIAT RWLNVFSTGGRYDLPNAATEDVQYWNEEFTYPGCPTPFAANRSQLWDIIGETAHSWTT CTNISFEVVSAWSSCDRIAVRWREHAVISSGVNGNASKANPGTPIMFSGIDLLTVDLA SRKVSNAETSSDRINYYAAAGIDPFAT EPUS_01785 MVDTSAYDPTVTTVTSGVITGIAVICTALRFYVRVHGKAGIAWD DWWILAGVNVDPTAEKAISAAIFSKSTSTFNTSPHTLYLKISFVCTILYFTCVTAIKI SILLMYRRLFSIDVSFRIQSLLVGIVVLAFWVAATIATVFNCNPVKYNWAGLVPPEYC FNFNVFWMATGAVEVVIDTIILALPVRMVLGLKVSSRQKISIVFIFLLGSFVIITGIL RVIYGYIPGSRAPEYSKSGLWSTVHILMGLICACLPTLRPLFARFFSLVSTTSSTLRR KYQGLSSQDYKRSTGISDSGITSCKSGDNIEIFPLRQQESFSNMYNSREAVHTDMEAM PRMPTWHACHCDAGLSNSNTHAKIEAVPRPRHDCSCDASLSDEGGVVGETQTEAVSPP APARIIPKRRKDCGRCGSIIKDTRVEIQSEPMRPGECYCGADSGLRDLWRNNVVRLPE REKGW EPUS_01786 MSRALCRYSKRLCRTFQLSNLCQTRLISSHINAAELRFGQPLHE THPHLLQAGEGRHHPYRREGNVPLTTYRPVTPGITALEYAQRRTKLAAQLPANAIAVV AASDVVFRTGHVFYNFHQDPDFFYLTGFNEPEALAVIGKASSNDDHVFYLYVREKDPK AEIWDGARSGTQAALDVFNADETGDISNLKRFLTPLVEDASHIYTDITSLPTPTSTFL EFLRGPSKPSPGFSELISTKKTKPLRPLLNDLRIQKSPSEIHNMRKAGQAAGRAFTSA MRHHFTRESHLDAFLAYQFRMNGCDRPAFEPVAAGGPNALSIHYVRNDSLLHAGNLVL ADAGGEYAGYVSDITRTWPVDGKFTPPQRDLYDAVLTVQRSCIRECRADTHTSLELLH QKAERELKDALRQLGFDMSGNAINVLFPHHLSHYLGLDLHDCIGYSKKAKLKAGHCLT VEPGVYVPSGDERFPRRFWGMGVRIEDSVCVLDGDGDADVGNGEGGVGPLVLTAEAVK EVEDIEALR EPUS_01787 MISFSVVNIAGNYDLGTIHSIVNETPVCHVSFAPDPADPFPAIL PMIGVMGSFDFPSASLDEPMDCYLHGYVSSRIMNLARASEQGLPLCVAATRVDGVVLS LTPNSHSYNYRSAVLHGYGNVVETKEEKLYAMQLITDSVVRNRWENSRTPPDAAEMQS TVILKVSVVSGSGKIRDGGPHDENKDQSRDELTEKIWTGVMPLWETFGEPVSSPLNRV EQVPEHITSHRAEVNQRNEEYAKSAATE EPUS_01788 MPKPRRESAAAADNRPAFNRPPGAKTRPAAVAAAAAADEIIPAT PKRTTRPKAKESPATSPVKKTSGEKHRGHGRSPPKSSPAKDTAKHASTSSYNSTSQLL SADSLAKLNAHNEKVETQEKELQRKRKENQYKTVGKNVSGQKRRKKNREVSGIRMEEG RTNEKHAYVRRRGGAASVQDFIRKRGGNGQGPSRRCLVVLVVIVILLLIILVPVGVLV IGRNSQQSASSAGPSNANLKNVDPNSIPAAAKGTDTDPFTWYDTSDFNVTYTAETVGG LSVMGLMSEWDDSNRPNNNVPPLEDRFAYGSMPIRGVNVGGWLSIEPFITPSLFNTFT ASDNVVDEYTLTERLGPGPAKQLLEAHYATFVTEQTFVDIVEAGMDHVRIPFSYWAVT TYDGDPYVPKTSWRYLLRGIEWCRKHGLRVKLDLHGAPGSQNGWNHSGRLGTVGWLNG ADGALNGQRTLDIHNQLSQFFAQDRYKNVVTIYGLVNEPKMMTLPTQAVLQWNEQAID IIRKNGIQQYIAFGDGFLGLNKWNGLFQDVDRALVMDTHQYVIFNNEQLAFTHQNKIN MACQGWSSMLSAANNPSTGWGPVLCGEWSQADTDCTQHLNNVGVGSRWAGTLNTGDPT TQVLTPVCSEPPCSCELANASPSQYSAAYKQFLKMFAEAQIHSFERAWGWFYWTWETE SATQWSWKLGRAAGILPQKAYAPDFKCDSAVPSFSDLGESYRYIVVDLMNGGDLRFHI SRKTFTEDALRFWMAELGCALRYIHTQGIVHRDVKPDNVLLDSQGHVHLADFNVASDF RPGKLLHSRSGTLAYLAPEVFDGSGYQSEVDWWSLGVTFYECLYNKRPFDARGHEALA ASIKAANPRFYVTQPPVSMPCLHAISSLLEKDRRKRIGAAGFETFTSNPFFQSIDFVA LERKQIPPIFVPSTEKTNFDATYDLEELLLEEAPLEARARRQKPRAELKDDATDREIR EDELHRMVETMFEPFDYTTTSYEGTAAAALANGKEERPEITSASSHRSHTRSSTTSPG GSPPLDAASMPTPGASATSHPEYSAKADKKQKKDISKSEGSSASNPTSFSRPIPPKRT SGASRKVSKSGGIQMVLDEAGSWSGLSDQNATLPVDSLEGVGKEGKGGGTGMLGFLSR KKGRDRSPKPQEPGILGKEGARRIIG EPUS_01789 MSTTRPRAPLASIPNATNSPHRSLTQSIGKRTRQQGPVLQENEP PQKKQVIEKNTREDGPTTPRRRVPPPTAEGRVFERASTNVKPTDFHRKLIAKKKEAEI PTGDTADSTIRAWQKHYRKVFPSFVFYFDSIPGDIRVRFSKQISNFGAQEEKFFSKAV THIVTTRPIPAESPTSETSANSGSQSTNDADDQPRTINPSLLDKNSVLQAQSFPAKAQ MGASIQRERAAPMDVLSRGRQMGMKIWALEKLQRILVSMMEYDASGGHNGRVNITTAA ITRLRDEDLSQVLRNEKLNGPLDRDLATSTKELTLFKGPFIYIHDMDAKTRPIMVREY TKVARRQDGAWPQFRSAPAGKCPFVDDPAPKKDSEKEKERERALAAQQARELQQAPQT RSAAADHEIQMNPPRRSPRKILREVHNVPVPAPGFRDIVPKAPTFEGPKALPQMSIGA RQDSTGDFIKPQYMHFAKEPAASGIQRSNITSAIRSQMISSTAAAPGAKAGTSKEVNE LKRKVLERSNTGSLSVGSIPSSHRMTDIAGALKNARAPPPQRAAKSKAQEKLGGIVEE ANMSDDELAAERAIHAPVKKKRAARKDPKPGYCENCRDKYEDFDDHIMSRKHRKFALT QANWTELDELLRKLQEDR EPUS_01790 MDFPAEVSTNTCALEGSSGIAYAKCVLSTASRPNLSDTEKGQSE SSRCNSIERLCSAENELRKARILVALDRPRAELFTFYSKEDLQRDAKSIFNRVVAILR KNECQMSSRDTIKTADLLLPDHGKLHRLFVYAILSMIKSETKQNENLVALDINTFLYR LPPTFQQQDRLTYGSEDIWRLLNLDIQLLPNGRVIVVNCQDSVTRFRRVTSTAKNEGF QHGLDKPSKILLALTGQYARYTGGHIGKLPRPINMAAPKANSSNQNKEEVLEIYKQQV WKDSVGQWLAENEFDFSVEEETWIELELPLCQADTEHPISPDGIAQRGKINWKPIFWP TSLCFVSAVHQWLTEESAFSTPCFEDPLQFVEDWISSAADREAAMRKMEQTAEVKQKS GQVEESDMSHINNIHIDAGQSFRRVTSLEGQTATIYPTPPDGMLSQVTPGVFSADGLA GTPGEGALPGQSDRAGGNVETVDLMGEQAAIGSGLYDEDLFEDVPGEKFGEGEMADEP NWDFFDEPDADMTNEDPMELDGPEKVASMVGEDRVLDHSEIEQANSQVAVVLPESNNG MRLDEGAVAHDPEPMTFEKDRPPHGVDDGTKCHGKFAIRSADLNSQPLSPAEVRKKLF IDMASPNCYPGKGLDTAHPNRRSLLRSHLELGTFQPDLKIADSRYNANGSFWFEPKQA GKSLPTNISEQHLNNIPRVGIPKRDHSPLISGSPGGSEPPNSSSSIEDTDFDSSDFFS ESNRCGSPGRSDGGEAEPLPTSPKPAEDILHPDTKSRIRADVMTILELLHPEVNEQLF GLNHQPLQRPPEPNLPITHDRLLTVAQVVVDQVSQSFFNYDRPNDSLTVSKLQSPAIF QQLQSIYGYATELDVAKLAEMSTATQDGAGGKGLTESPPPFIRLARTDIDMNALPTIQ PFWETLGLQPVNGKKNITTVCIHPAGLHIQEGSSAFLQRFGETYRNCNLGSHTIANIR DVTDNGLIEWDARKTSGVLELLKTCEQVGTSLTTLASTDDNVIICIVNSFRKKNALAD VCNAFVALFTNYAKACGKRKPNELNLQIIPMSFIASPDTVVIPSQSEYLSLALEVYNR CPLTISSNAVAESAAAVTLAEPVPKDIMFSLTSDTTSPLSKGGQTLHLAYSTSVDLRW LTACWTDTHGKTALTMTYCLCQKGSTVSRSRSEIILEMLEISKDIMINTRGKWRLFVA HDGPIEPDEMNEWSTLANQNASNKSLSHCVLILLTFDEHPSIQFSSTTPQNRSQHPPT AAVTTTAKYGTPVSTPSALAMTSSPDQFTVSTPFPPTPGASSSLLTAPTPPDQSNHAN SQWFSADTATDPDTTLHDPTDECWSLILNFGLNNSTSPLHHAQHDFQQY EPUS_01791 MKLSNSSKVPVYTVSGSSTARPLPEWLSQQRKRSLKRDPEYANR VELLQDFEFEEASQCVRVSDDGQWVMSTGTYKPQIHTHYLPNLSLSWARHTDTLNKTF LILSPDYSKTAHLQEDRSIELHTPQGCHCTLRTPRYGRDLVYSKASAELLVPAVGVNA NGLGEVFRINLEQGRFMREYEVDVGGDDLTSSGAGALQGGINAGSVNTAAIAEESHNL LAFGTSIGTVEFWDSRSRNRAAVLGVPHEQESAGARPEVTALDFHRSGITTAVGTSSG MVYLYDLRSPLPLLRKDHGYGYPVQTLKFLTPSTRSRIQTDEPKILSSDKRILKLWDE RNGEAWTSVEPAVDINCVAWCKDSGMFLTANEGRQQHAFFIPQLGPAPKWCSFLDSIV EEMAEDPNDPNAYSGRQVGEVYDNFKFLTMAQLRTLNLDHLVGKTNLLRPYMHGYFVA QRLYEEARLIANPSSWEEERAKRVKEKIDKERESRIRGKRLVATKVNRKMAEKILERE EKNERRRAQRVLANGGDEKVETNGTTEDFDKEAKGLLADPRFTRLFQDEEFSIDEKSR EFQSLNPSSRPTPSSNLDREKPLTAVEEEMIDEVPGSSSDEDGSDDAQKTAVQDDRIS STNYKRRPQSRKPKAKMIQMRVTSSSESKLVKDRSFGTRVSNMKPRDKVRAGAGAVGE KILSFEPQSGRHNKKPRFDQNISGESRSVKDRRSASGNTFRRLY EPUS_01792 MPFGSLLKKKKTKDSPSGQGVTSPTSPTKETSSTSSPLSSKQSG PPQSTTTAATSASSALTSTNGPVETKAESTPTPATIATPTEATATPTPIMEKVVPRQT KGKYTLTDFNFERTLGTGSFGRVHLVQSKHNQRYYAVKVLKKQQVVKMKQIEHTNDER RMLQRVKHPFLITLWGTFQDAKNLYMVMDFIEGGELFSLLRKSQRFPNPVAKFYAAEV TLALEYLHAQNIIYRDLKPENLLLDRHGHIKITDFGFAKDVVDITWTLCGTPDYLAPE VVSSKGYNKSVDWWSLGILIFEMLCGFTPFWDGGSPVKIYENILKSKVKYPPYIHHDA QDLLVQLITPDLTKRLGNLHGGPADVKNHAWFAEVTWERLLKKDIDAPYVPPVKGGQG DASQFDKYPEETEEYGKKGDDP EPUS_01793 MSFPSLPNPFSSSSSSSSSTQSNTTSPSSAESTALKNQIMQTLQ TEGNVQNAKYLIQKVNENCFNHCIPADASTSLTRSESTCLSACMEKYIEAWNTTSRTY IARISREAPGVTAAVGGAGGAGLGGLGGMQGPDVGKEMF EPUS_01794 MWISKDRAVPGPVFGHKDEFEGLGIFFDTYKNNRPGIVFPYVMA MMGDGRTKYDQANDGKANEIGGCSARGLRAASVPTKAKLTYFQDKSLKLQLQYKTEDQ WSTCFSLEPTTSMPLKLPNVVYLGFSAETGELSDNFDIISVETRNMYSTGGSSSSPGS KQEADNRKAGGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGVKRISAMIY EETRGVLKSFLESVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFGG EPUS_01795 MSRSNISVFQRGGNYLHRRLTKLYSDTKQSYETASVASKTTADE DPVLKALHREFRIQKDRLLAWGLQWSDSNAAPSPDVEIDQKLDQAGLGHVVALVMSDI QKLLIESEKMQNPQTSFQEKMPGGQLDSKALPGSNELTTTDNIAKSQSLLSQLITCID SLYKLSESRRTLSGGSNIEKGSKESLTKDHPSPTSPSGSPVQDQCGALRAAGLTCQTE QSIRQDKLFIDPSYITRVFDVTVVSLKPPPYEEVMPLEKTRVPGKLLVPRLTVEQRSA FGLEDNSQKAVIVEYVPTMRHEGSALDDQYCSALRYARQISESQFSVQVSHTGNLRLL GYTIDIRNTRHGFVYQMPTREASSDQQSRVPVISNLRAIIPSKRDDTDQPSPHLEDRF RLAFNILLSMLHSSVLGLRHECLNSANIVILLDHHIEQDNSGRSGISSFDIRRPYLLQ SPPSDHMPKQADGLSSGIYRHAHDNDVNGLERSWAFDIYSFGLILLEIGLWTPVSRLW KSKYNKFTFASRIKNIYVPKLTSRCGTAYMKVVQTCINAPDLFEARSGSTGTVETAQF WCSYMIQIGRNLSRCCAIDVDGPPCEPDLAYFEHLNDKQPSLEFPRTLERKGSTFKPT RHVTQFEAATDATLQIEKRPEPQAQSAVQHTILKKWNNVDIPQDCLDQWNTYLMPRIS KLLQKALSSSHESCSASLMMVGKTPETAKTTICIQCMSVEKVRECLKRNFRCKKGWGL VVLKGDVRRSGKPRRKGKCHDSARSRLQPPQPEKSAYQAKPQFGASIGAFRNNEHLPP VSFGGAILVDGKPYGMTVHHMLDAPSDDEEEMPTDGEDGVQRSSAKSSDAWLSDMSAN QSREHFRQELLEGSDILEISDDESECSTIRPDYINLETGNGGFWFADDGDETPGLEDD FESDSDEEDSSDIGDDDDDDDRASVGDTLGIDPADDEEVYVTQPAIDDVDDDFFPCIE DRDEDHLASHSLGYVHASSGIRRVVTGNLKHEIDWALIKIRDERLAVGNTIHQHITKS KKYRKTKGKPSAAPSSTLNPSVTQLTTIAPSSTLARLPVQCHGRTSGLQSGRISAALA LVKLHGRTSFSSSWVVEGGAFGVPGDSGAWIYDPQTGRLCGHVLAWGRQSKTAYMAPM DLLFEDIRKRLAADRVELPGSGGLGCAASRQLEKSQVDLLQDQKQRLGIPASAGMMDE DVEMKLDDDTPGPAAKPLELAMGDLQIGGKVSAQLNNNSIALSTKTKDIPNSLTCQVQ GRQQGLQKVMGDRVLGSRVA EPUS_01796 MAAEPLQVKAHITQLSRKMFPCLYSNEHDCDEAFQSHVEASHHA ILSHQVVPIHKTEDGQFICLHAEFGCSATFETTTLLTTHTQSEHRKEAFPCALAWSRN CTNTFTTRKGMAMHINAKHRQIREPCPSAKETGCKATFSGVSSAHSHVRHVHGEKPYP CPLADEYDCTGWLYTVALAQRHAGICHKKLGFPCPRAERLDCMARLNSKLEAQKHLHE EHQPDPFPCPGAEKYQCEKRFPTYDAARKHMGRDHERFPCPRAEELRCSQTFSLKLSA TKHAQLVDDHIKFPCLYADTAACDKVFASVGGPNRHAKYHTNHFPCQHQHHSTTETA EPUS_01797 MSNRPVAAATPLARSLIRASLRRSLKRSQYNQPAFRYSSTTVSA FHTNLQGPALPNIAPGRKVTTPQTLTEKILQRYSVDLPKGKVVRSGDYVQIQPHRCLT HDNTWPVAMKFMSTGATKIKDPAQLVFALDHDVQNTSPSNLKKSWDRPPNHGKLLCVE FIPGTLSLLTDANMYGALGSLGVALVRSDAAGIFATGKSWFQCPPVVQVNLLGTLPPG VRGKDVIVALCGLFPLDVLNHSVEFMGSEETMTSISMDDRLTISNMSTEWSATSAMFP MDAILERWLRYKATEAAMLEDRTTRQRITHEMVDELFSNPLRSDPGAHYAKKLYLDLS TLSPYISGPNSVKISTPLHDLATKNIKIDKGYIVSCTNSRSSDLKAAAKVFQAAAESN GGRIPKVADGVKLYIAAASAREQAIAEDEGNWQTLVEAGATVLPPSCGPCIGLGTGLL EDGETGVSASNRNFKGRLGSRLAHAYLSSPEVVAASALNGVLSGPGVYEVSETYTGVE YGYGTGKPATIENELGNALEQLESLIDRVQTTARIGDDAAQAVTKILPGFPAKISGEI VFADADNLDTDNIYAGKFTYQDYMTTADMAKVCMENYDPEFRSITKPNDILVSGFNFG CGSSREQSATALLAREIPLVVAGSFSNIFVRNGVNNALPCLEVPRLVERLRTIFSENK VSTRRTGWTLTWDIARSIIEVQEGENGERWEEKVGEFPENLQEIIARGGLAGWIKHEL AKAA EPUS_01798 MAFTDEALKAKLSTLNESQDSIVSVSQWIMFHRRHADRIASFWL QRLRDSSAAKRLTLLYLVNEIVQNSRARRKDDFPNAFSPIMAEAVQTAYRSSTTEIQQ KIRRLIEVWRSRNVFEVPIQDAIEARLDEIDKTRPAGKKSLMGGSLFGSSSGTGGLPK ELESLAPLQVAVSKANLSTTSTLNTANTEYDKLNDPDAQLPSPPLHAARLSALLKSLA VAESSVAESVKARKALITELENILETNRTSLNKDETTLTDLQTRKAETETKRRDVEDN IRRTANAESDTMYHHGNGERSDNFAPGMDKEMDRPEYEALTPPPIEAFTPPGGSPRMD QQDAFQFQSQPVDTATAAAEPDRNPDVQNLLASMSSAGASIPPRARPAAAAPGQHPGM GMGMGMGMSMNGYGGSGGGNLSKKRKVGHQGSVGAGELPPGFGLDLDEDVAEMIRQES GGGLS EPUS_01799 MADRFPSIDDLDLGDNPPSTAPAEGSFLDRERAALGEDADQFAT EQDRTTTSATVQDGEDDLLGENVSFREIQPEAATTQEDLEFENSYPAIDTQNQAVAPD GKITGGSGILETSGYKNYTPFSNEEESEPIRKWRERREADISRRDAASAARKEEIIAK ARRDIDDFYESYNRKTDKQKAETARQAAEFIKNREDTTAGGTSWERIAKLADLSGKGQ GGGGEGSTKKRMRELLLELKNDPNAPGAGGA EPUS_01800 MSFLLPTISLFGFLARLLASYLSLLLCATYGVYASLFLRLLGKH RLAQWTVARSFDLVMRYATGVRFVIVEGGEHLTSKRPLVIIGNHQTELDVLFLGAIFP KYCSVTAKKSLARVPFLGWFMSLSGTVFIDRVDRSQAMKAFEGAAREMREHRQSVFIF PEGTRSYAREPLLLPFKKGAFHLAVQAGVDILPVVAENYSRVLDVRARRFVGGRIRVK VLPPIPTKGLTAADVDTLTLDTRQKMLNVLEEFARDPGSKAVLKASAKKVL EPUS_01801 MMASSTIAHSTHHPLPETSTSHPDDPIPTEPSIFTISTPSVIQN DQSPPASTGSATSGYASDSDASTPVTESSVEDDATDPEQGDSGDDTPRRRRASTMLVS QNSEDMRRILGAEKVCCGGGCCMLSPSNNQEAPRIKNPVKPPDNKAFQGMGLKLGPIG LETDLNSIVDLPEKTVSFACLGTNTIIPGPTVDTSKHPPRFVTPHPPYEVYSAPLFHA RELTRPGAEKRTYHFDIDVTDYPVESGNVDFVVGGAIGVCAPNPPQVVDAIFDLLAVP RFVRDKQVLMTTTNGRWPTIWGDDQPRELVTTRRELLTWCSDIQSYAPTKGLLRLLAE YAEDPHERKILMYLSSAQGQPSFCDLRTGPHITLFQLLSAFRSSRPPLDHLLSVLNTL MPRFYSLSQDPQVSCLRDEKNCRRLIEVAVTVHETDDFANGTRTGVGSGFLERMAQRL IAAEKAGIDPRSLDLRIPMFRGLMANPLAREFVSDGPMLLIGAGVGVAPFRGFVHRRL RSANCANKVWVLQGVRDSLLDELYSGDWGVHEDKVKKIVQSRKGEKRYVQEEVRAQAD LVWFIINALDGRVFVCGSTKGMGEGVEKALVDVAMGKGNLNEDEARAFWEGKKEAGQY IAETW EPUS_01802 MLLIRRLWLLPASLLTCALYLLFQRFTRVPSIPLPPVPIDDEQR LHWHKRPEWYPVTSFIPLPTGAAADIPQIQHKDFVAETVLSRNRRLERRDAVKATFLR SWNAYKTYAWGKDEVSPVSGTWRSSFGGWGATLVDAMDTLWIMQLKDDFEKCVTMVGE IDFSTNEEDILNVFETTIRYLGGLLAAYDLSHGQYPVLLEKARELGDMLYAAFDTAHR MPVTRWQWRTSAKGERIFPSETTLLAEWGSLTLEFTRLAQLTGEMKYFDGVQRITNEL QRIQNLTAMPGLWPVVVNLKVWPSRAPYTHFTLGGMADSTYEYLPKEYLILSGRGEEG KQVRKMYEDTMEMVQKHIFFRPMIETGEDVLLSGNAAVDKKGKVSLDPQGQHLACFAG GMVAIGAKIFDRPDDLDVAKRLVAGCVWAYKAMPTGLMPETFHAVPCSVGVNTAEPSE CEWSEPKWYQAIRNRHHLETSSDTPTQQELIEFARARSLIPGFSDIGDRRYILRPEAI ESIFILYRITADKKYHDIAWEMFQSIENATKTDLANSAIDDVTQAIPAKTDRMESFWL AETLKYFYLVFSEPDLISLDDYVL EPUS_01803 MPATTLIFIAAPSGNPSSLANNPTPSPTSTLITHISRTYSPKPM GLYTLEHRLLIDTSSLLPGHAVPRRYTHFLTLPSQYPGKTFVGTSSAGTLGSVALPNE LANGSATKPMDPSGELTLITIPSQPDTLFPLLMQRMSPLWVPRQAHRVEGGASFLIGD WQIRIGELRISGGQGQGRVRGCVCEIEGLLGDNDDEDGDTQENNGLARAFLDDLLQGS GVDASGTMVIRPVERGRDGLIRQYMDLLKFARS EPUS_01804 MVWTVLRDWKPRYCISEAAGLSFARISTNTDRSYQADSLTEEQV SEFKEAFSLFDKDGDGQITTKELGTVMRSLGQNPSESELQDMINEVDADNNGTIDFPE FLTMMARKMKDTDSEEEIREAFKVFDRDNNGFISAAELRHVMTSIGEKLTDDEVDEMI READQDGDGRIDYNEFVQLMMQK EPUS_01805 MWRDRTNLYISYRQSYAHHPAKKPRFLGPSSNGFADHGSEERRG LMSAGAYEDDGDAIIEMDLLPPRWLDVQDEVTEILTELTLKSAKLDKLHQKHVLPGFD DEHIKQEEEREIEKLTQEITRGFHECQRAIKRIEKMVKEAQTAGSLSKGDETMARNIQ IALAARIQEVSTRFRKRQTNYLNKMRALGGFELPFQRTSTPAQNPYSDPALLESEADK SFSQSTLQQTAQKRFQSNDAVIAQREREINDIANGIVELSEIFQDLQNMVIDQGTMLD RIDYNVERMAVDVKAAEKELKTATGYQRKSMKRKILLLLLLLVIGLFIILLIKPKKSS QSKAPPEPEEPT EPUS_01806 MVVNYSKWDALELSDDSDIEVHPNVDKKSFIRAKQNQIHQQRYQ RRHEIETLKYEQIINDGLLERINALLDALKKYQDEARNPDELVFQALIESAGDPAKDE PPKPPEGVYSQQKEPTPKFSKMIGNLVDEVKKDVDEKKEANRYQGFINGVTGHLTKVQ SLQQELLTKLASLEKEESSKITSDSIHTGFNTSHVAKPTEKPKSTQASKDKKVELLNP GAGPRETFKGQDSAVSSGAEADIEDGSLVKSGEDSDSDIQPTPLGKEFAKIKLGDYKS CLQFISQHPSIVSERETDGLLVEAFNAAMDNKHNYARQCVHQGLLLQYCNKLGRDGIG LFFKRITTKDHQAGKVFMDDVNTTYQRIKTRAAELQKEKAENPASEGVEQIQLHAVDP NTKIHIVVPEPDSTDPTEMEARKIFDSFPPNLQKALQAASLDKVNEVLGKMSVEEAEE VVQKLGEGGMLSLEEGVVDATTEEGRKRLKEIEEENRINAAKEQEQEGNEATSRIADG KTPDPE EPUS_01807 MCCYIAFPLLRPGLDPNALQSYVPLIEGAKLRCIQREDYLNSLG ARVRVLEMVHDIVMLSAVSSLHGHEAREMFNEKFPKLFFELEGGMTAVSFVLPALPLP YNIRRDRAQRSLASIYTKIIEARRSANFDDNICPDEGKDIISHLMRSTYKDGIPLPDK EIAHLMIAVVMGGQHLASAIASWTLLRLASEPAIVDELYREQSAVTGSAHEPLCLKDV QKPTLLWKVIKETLPVHPPLQSLLRNSLRSMVIGSSRWVIPIGRVLLASPAYTNRNSD IYPQPERWDPYCWELGNDDKRTGDHPLSQGKTVLPFGAGSHYCIGEDFSNVQLMTIIV TIIWLFCLENPPGREGVPGIDYTSLSSFPIEPAEIILRPRDVN EPUS_01808 MTSSETITAASDQRITVSAAHDQETNTSYKYAAYLPVYDETTKL RATEPFEFKDRGLDGDKDKKNLLGQASLLSALNDAQKKDLALLLAERGVVVFRGQDFK DIGPEKQKEFASFFGRLHIHPVGAHPPGITLLCLLKVPSSGGDTAWVSQAAAYERLSE PVQKLIEGLRAEHSGFPQAENARRDGKFVRREPVKSDHPIVRFSGQVTGQKVLFVNPG FAKRIVGLKDEESDAILQLLFKPIFRCELYGTTTTMTLWDNRVTAHTAISHYDVHNPA EGLRHGIRLTTLGEKPQGINGLDCR EPUS_01809 MASKSEARTTTTSPQSETHFTLNSSEQTEAFKASILEDRKHLES SERPRPAIASLWSRNKRKVQLEEIATQPSVYDDPELAKYFQPHPKYENSHRFDPGMRW TWAEELPLVKKIDWKITAWSCIAFFALDLDRSNLSQANTDNFLDDLGLNTSDYNMGNT AFYTSFLLAELPSQLVSKKIGPDVWIPAQMMLWSVVASCQFWLNGRTSFLATRALLGL LQGGFIPDLILYMSYFFKGTELPFRLALFWMANRLTDVIAPLLAYGLLRLRGVHGYEG WRWLFLIEGLLTLAIGIWSWFQMAASPTQTKSWYRPKGWFNEREEKIMVNRILRDDPS KGDMHNRQAITLPLMWKSLCDFDLWPLYLIGLVFGLPAGAPDRYLTLTLRNLGFDTFN SNLLSIPCQVATTINMLILTYISEIINQRAYLGIFVQCWFLPCLVAMYTLPSGTSRWS SYAAVTVLLSYPTPHPMQVAWISRNSNAVRARTVSAALYNMAVQLQSIISSNIYSEDD KPYYRRGNRILLSMACVNIVIYLGAKAYYTWRNKQKAARWDVLSEQEKIHYNETTTDQ GAKRRDFRFVS EPUS_01810 MATLTETATPVVEDIKSAASGKHKEPLKPSGAFDKFESFDATPV IGREFRGVNLKEWLEAPNSDELLRELAITISRRGVVFFRKQDDLDNDLQKVLAQRLGE LSGKPSTSKLHIHPVSNSGRRLGGNDDQISVISSEQAKELYSDLFMKKQSGKEGWHSD ITFEPVPSDYTILRLTELPKTGGDTLWASGYEVYDRISPAYQKFLEGLTATYAQPVFT DSAQRNNFSLYSGERGAPENTGIDLKAIHPVVRTNPVTGWKSIFAVGHHAKQINGLHP AESEHLLKWFVQLITENHDLQVRLRWKNPNDLAIWDNRSVYHTATYDYDGLGPRTGQR AVSLGEQPYLDPQSSGRREGLEKESGLASSNTTATAAATTTAASG EPUS_01811 MATSSFSQWPSLRIAASVFATLFIGFGINGIVRPENALEFFELE APAAASDKKLVDSLMVIYGARDIFMGLAIYSAAYFGDRRTLGWILIAGSGVAFVDGAV CRAHGKGEWNHWGYAPMLTAVGSVLLGVLDRV EPUS_01812 MPFIPYLTFPASIFSSPTLSTLLPLVLGFGPGFIIESSSKDKKN GFAAIEARFNALRKPPLSPPGWIFPIVWALLYPSMGYAAHRAWTTGMASANPSVHDIT QRGATLYTLQIAVNMCFTPLYFGLGKPVAGFIDIATLTGMVYYLAYLWKEVDSTATYL LIPYMAWLSFATYLCAGQGYMNGWNFEKGGKREEGKAE EPUS_01813 MALAGILATGAAANYGRIIILRIVGERVVARLRSNLFRRTFVQD AEFFDANRVGDLISRLSSDTIIVGKSITQNLSDGLRSLVSGAAGFGLMAYVSLKLTGI LCLLFPPVAIGAFFYGRAIRNLSRKIQKSLGTLTKIAEERLGNVRTSQAFAGELLEVH RYNKQVRKLFDLGKRESFISATFFSTSGLAGNMTILSLLYVGGGMVSNGAISIGDLTS FLMYTAYAGSSLFGLSSFYSELMKGVGAASRLFELQDRNPTISPTRGTKVTSARGPIR FENLTFSYPTRPAVNIFNNLNFEIPQGTNVAIVGPSGGGKSTVASLILRFYSPTTGRI LVDGKDIATMNVKSLRRKIGIVGQEPVLFSGTIAENIAYGKPNATRSEIIAAARKANC QFISDFPDGLETSVGARGAQLSGGQKQRIAIARALVKNPDILILDEATSALDAESETL VNSALAALLRGNNTTISIAHRLSTIKRSDTIIVLGNDGRVAEQGSYKELSARKDGAFT KLMEWQMSGVETGAVGGVAPPGSEKDRDMAVSGEGGRGPVTEKEEIQHELDSGKEEEE SEGDGEREDVKIEGSKAQEVVEHAVEKSGKK EPUS_01814 MDRIRRVFHSEQPYEPIDGHRDGTAISEDGAADASEPEENPFSQ VEYWTFLLMGVAMLWAWNMFLAAAPYFQYRFSSHQWILAHFQSAEISISTITNLGSML ILTKLQKNASYPYRIASSLCINIICFTLLAVSTLVTTSAELYFGFLMLMVFGASFATG LIQNGVFAYVAGFGRSEYTQAIMTGQAVAGVLPCLAQIGAVLAVPGRNQQSPNQAPTE SPTSASAYFLTATAVSVIALLAFLYLLRRRRRTTLSRIIQPPATKSTTNDTSGGHITS PIINNPPSPSPEERKPEPISKPAIPLTRLLRLLPIFSAAVFLTFALTMIFPVFTIRIH SLNPHLLPSALFIPLAFLVWNVGDLLGRLLTLSPSLRLTHRPSLLLTLSLLRLISSSR STSSATSAGPTEGRK EPUS_09450 MDIGGEDLSIRLRRPTRGLSQGADKEEEEEEEADMNVNVDEEKG QDEDKDKLGGNRPGKCNRKRNRKRNRKRNLNGASGLDPELYGSGPHSKLFTSLVNTIT KLTAEKVALQEELQRAEASTSPDGENDENQLTQEGPEPHPIYETFHTVDCGKERQSFR DVPRKFKGDVKDDHLRGRDEVENITKYFQDHTATAFASIHFYSCDQYEAHGSLSSIGY KTGKLVRDGPPAKSESRIIAIGERLVEALKTIISSNPSRFPGYSTDDMPWFFYPPYSL FYVHNRTLTELLDSSSLNEGDRARLRLLCEWLEKDSGADWKEADDLLSRGKINQKHYR KLFRPDELMLVQDGDGVTSAAKVNLWPQYADGDDIIGFQWCFNGVFRKDKLRIARLYQ TKLKPSDKETDITSLEYFPLRFAGPEVYGKLLARGEKFWSCRKKRLICHKDTDQSHSK EAERRIMVDYQMYRRIYKDKMIFNSSRDDLGAEAMDNEQPPDGDFLVLLPAYIHGFDL QQKFWVKLRVDRITDVTWNKDVFERLVVPYQTKELMQALITAQATRSAPDMIAGKGNG LIILLHGGPGTGKTLTAESIAELEERPLYRVTCGDIGVEPGDVETYLNATLEIGKAWG CVFLRALEYYDGIMVLTTNRVGTFDAAFKSRIQLALHYPNLDQEQREGIWRNFFHMLR GTKERIDLDDLEMNVGSLAQHEINGREIRNVVTMARHLAQFRKERLVYRHMQHAIDTV IKFDKYLQEVKGCSDDRWARADGLR EPUS_09451 MTSLVPTTSSAISTPRADKQVQPVIVSVEVVEPECRSVIALRSE SDTSKWYGPAEPDNEGSSIAQDAYRKAVHFCQKELKGDQNGQSIWLDDQTSMSDVLKI VSDAAMRYDLKKSHSSSVKVKANKILNMVATRVTHYGNVFDVLAQHHPEYVALAWGAM KFLFVVTLNHQELIMRIASAFTEIGDILPQVEMSANLYPNEFIKHAVANLYAQILKFC KKATKWYKRSRVMHALVAITKPYELEFKDVVDQIKLHAQKIQHLSNVSCQAEMRDMHI TLRQIQNTMSRIASGNEGTPLPPGQKSTQSITPKKGRLLLENVERYLSSSIQSPEESL RSGCVFRDRRRSRGSSKISPSVWLSESLHKWASESRSSLLQITGSVVTSSACEDFALD IITLARSAGLPVVWALQSSINSVTSTVVVTDIVKSLIRQILLQHAVKFATKSSLTEEC FARCATVRDWLHIYAILLSESSCTFIVVQGDENMAEVMIELGRVWKEISDRNIWAAVK MVIVSHGLGPGNDLRPYIGANCFTVSLNEGRRPGLGRGVPPGARRVSRRFPNLQSAGA EQLRPLLMELLAAEPPRTT EPUS_05563 MPPRLILSPLSPKPSTTLTSLSSFLLPFLHQQARPASILTSLSD TKGAYNKRIRRGRGPSSGKGKTSGRGHKGQKQHGKVPAGFTGGQTKDEVVRGPHGFKN IHAEEMSAVNLDRIQDWINQKRIDPQQPITVKELTRTRAVHGVKDGIKLLGRGANMLT TRINIVVSRASASAIAAVEALGGTVTTRYYTKYAIHRIKQGKTAPFVSLKWDPEALKN PALNRIGMGSDPVQRVKGMGFQYRLPDPTSRKDIEYYRDPAKRGYLAHTVGEGETPSL YHRLPRTDVGVKRTVTKKREDAEENRLW EPUS_05564 MASRLAMRMPLMVARRSLGLPLASRRCITTSLRTNCGIRPAKST SLSCTASHQVFRRAYADAPTVNLSPTPSPKKRFRLLRWTFRLTYLSAIGFVGWVAYTV WVQRNPNDQIEPDPNKKTLVILGTGWGSVSLLKKLDTENYNVVVISPRNYFLFTPLLP SCTTGTIEHRSIMEPVRNILRHKKASVKFYEAEATKIDYEKRIVYAKDDSEIKGESVE AEVPFDMLVVGVGAENATFGIPGVREHSCFLKEVGDAQKIRKQIMDCVETASFKDQSP EEKKRLLHTVVVGGGPTGVEFAGELQDFYMEDLRKWIPDIKEYFQVTLVEALPNVLPM FSKQLIDYTESTFKEEHITIRTKTMVKNVTDKTIEAEVTKPDGTKELEVMPYGLLVWA TGNAVRGVVKDLMQQIPAQKDARRGLNVNEYLVVNGTENIWAVGDCAVANYAPTAQVA AQEGAFLARLFNTMAKTDEIEQQLKELSAKQAVAKNGDRDAILDEIEERQRQLRRVKQ IGPFKYSHQGSLAYIGAEKAVADVSWLSGNFASGGTLTYLFWKSAYLSMCFSTRNRML VVMDWLKAKFFGRDVSRE EPUS_05565 MNVSPSPCHSFRATSYKLRQVIEWAFGKRMTPAERLRKHQRALE KTQRELDRERVKLENQEKKLVQDIKKSAKNGQIGACKIQAKDLVRTRRYIGKFYSMRT QLQAISLRIQVRSTALGFIPTRRRLKTFPQTVRSNEQMMQSMKGATSLLGSMNRQMNL PALQRIAMEFERENDIMDQRQEMMDDAIDDVTGLEDEEEGEEVVNQVLDEIGVDLNQA VSPGFEQDNCPSLTRASSLAKHLLAFRRIPSAKDAWRKLSELEVVLEVEKTMICKLDW IACGGSSVPCASLDLSPVSKSDELHSPGGDSKKRRSSSAKAAAFLNSAKNTLLGNDRE GLLTPMQKLGKTDAALIVPQGSLNNSAGESHQTPNSSFTVGVTEDKNKKCRRTMEDTH SYLYNFLGTPAPVLPSDVSLTSKPTGEVKDTMTDGVSDSSQHAVETDNGYFAIFDGHA GTFAAEWCGKKLHIILEDLMRKHPNTPVPELLDQTFTSADQQLESLPLKNSGCTAITA VLRWEDRVPNSQSATGSTAIAPAAASAVKQSDAEDVDAPTRAAPSRPSTDKASQVVHK IQETASRQRVLYTANVGDARIVLCRNGKALRLSYDHKGSDENEGRRISNAGGLILNNR VNGVLAVTRALGDSYLKDLVTGHPYTTETVIQPDIDEFLILACDGLWDVCSDQEAVDL IRKIPSPQAASKALVDHALSRFSTDNLSVMVVRFDSKKLQSNTSSNIGVERDDSTANG PSEVETIVNDAKRRSGIDDEAVVQDESESEELKAKVMKQIEEEDPEPGPELAPEGQSD AEKVYVERSKGPTSNK EPUS_05566 MSQEYFPEDDGNCVGESSKQHDRESLGSPPRSTSLPLRPQYMTV GSGGYSTNAAELMAQLERDSGYSTGIAGDGQFDGGEMRAWREELLQDRPTPAHTPKLD GEANETSENERRILASHVHQLYYNQNRVALAKAIGQTVELLKELQHMNSQWPAHYPSV HRSEPSFTNRPALKHISSTRSGTNLSTSPSSQSTPRPIPPKRAGTSIGESSTGETSTM AEKRKTPEPRLITPQIAQEFSILRLDLKLGALSQAELVHSLEKSSIASLLDGKISQSV RHLLSLRDRIEDTSSKVLVTGDLNAGKSTFCNALLRRKILPEDQQPCTSIFCEVLDAR ENGGLEEVHAVHRDQIYDRHDESTYDAYALQELEKIVTDNDRYMQCKVYVKDSRPVDE SLLNNGVVDIALIDAPGLNSDSVKTTAVFARQEEIDVVVFVVSAANHFTLSATDFIWQ AAHEKAYIFMVVNGFDNIRDKEKCQRRVLEQIARMSPRTFKEASELVHFVSSNAIPVA PALPPLGKNVGRDSEDGEPPGPPDEDQSDEDVPIGKHGEPGSPGKGKGKDKEKIEDFG KLESALRRFVLEKRARSKLAPAKTYLLNCLGDLHVLATVNRDVAQSELDRVSKELSEI EPAFEDSKKHRIEVSDQVDKDVDAACTEVYNHTRDELSRTINRVGDANLGVEYPGLWS AFQYAEDLKLAMLDQIAASVKVCEDYGRARSIQGVSMIKSLGLLHIGDEYHNLTFRSD LMFQRRKDALARSVDTNVEMLDFFDLGGLWERQEKVVGTGMAMTVVGTLGTRAIGGFG WVDGAFGAARVMGSKNLRRLILPGIVAAAAVTVAYVLSTIPTSLPSRLARKLSANLAS IDYTHSNADRISSEVRRVLQYPARQLTVGLQRGVEELQKKKEDVSKTKRESLAARKFF GNLVRESGEGRRRVEAVDLEGPLPGGAASYVDQ EPUS_05567 MSGDRGVVAGNPQGQQERPFFLPWNRRLRHLQGISVRNLSMVST SSYRRGKTSTDEDIEYTSKLPTRLFVQSEARTLHQTRSCTDLNSPENEYKTFMQKSSS TLHDISAQKPGKLRRRSTLHWTGASSETRQRKLEDLARERMADAWYSLHVAGVPEPVY VSEVIERCTNPAFRFFDLNINGPQVARANQVQLKLWVKTDKLEKYICLLDLQICFGAL QFIGKSLDNFHQPLPPNSILFHFEDGIYTSFTDMSSHEQPIASLLKRSAKTGVSRPGR TSSYDALMQLANLDDCIQDALATRAKLEEQINSLLFCNKEGLHILEERRKAQEALNAV RSATSAEQRQFGSLNKRRDEIVASLEMRRNAIQSGRFRQNKNKSTVRTLGTAIRDTKA KSRTTVDAASAQVRRVCEDLEFIYPLEPIKNRALHFSIRHLYLPNSVFDDTNRDEIAA ALGFTSTLTHRLSLYLFTPLPYPVSPNASMSTVEDPISIGLAQRTFPLYPTNVSYKFE YGVFLLNKDIEFLMNRNGLRILDIRHTLPNLKYLLYVLTAGTGELPARKAGGIRALGT GRLTPMLSRQGSEDSMYSSASSNLKLPDGQAARHGSLHQQVNGKEKAETETDVSARGY PSTSAKTHAYRNSSLREAF EPUS_05568 MSGPDSNYLESVGDDLVHLGGNWASLQYELELNQANQPNRPAPP PTDSTAAPQSRLGPAPLPHQPPTTELSTVAMTTDAQPYGQSSSYESAEAEETNQEPTP PRDQHRRGYQACDPCRKRKVKCDLGSVDNPHDPPCMRCRREHKNCVFSTTRRKRKQSQ EADDASSDGGLGRDKRRLTEPTEPTDDSKDDRLGYSYPYSSASPYPPNVNTGPQWPLH PMQTSYPMQPRSSNGYELNMMVDSNSCTGGRSSQTLSPRPLAPITSPRTNPRNANEHM VNKEAANILHPSIATSHEALHLLSVAAGQTEEANRQNSQSLPSHLLSPSTTLGTPSSA GAPHRRTMSNTMAPGEQTSDQAASYGMRSGPNSNTAENQNYQEALKMWSRMRLVKDGW FTATEAMAYIDYYYEHLAPMTPVVINNYRPLSMHTSLVTDEPILAITMLTIASRHMQL AGPGATSRAYQIHDVLWNNLRGMVQRLVWGQEQFGGGFCSGGAVKTHESRTGQITWKG SLRTLGTIEALLLLTDWHPRALHFPPGDNENRLLDADFPLSEEDEAPKAASLEANEDG KVAFSSWLEPAWRSDRMSWMLLGAAVTLSFELGVFDKEHYNCKHHHGPDSECARKQRV RRMVLVYVSQTSGRLGFTPMLSFEAWRKDAVFEETDPIHRTGPTNPIELMQECWMGIA GLMYKANEEIFSSKQYTRDLTSSGQYIEAIAKFKPMLQDWKAKFDRVRHQFDPVMQHL LSMEHDYARLYINSLGLQKVVESWINISNEAATTGNNHNNGSHGVGDASAVSGGITFS LLLEIWRPNKEYIDEVSDAARSILKTVKEGLVPGGHLTHASVRSYFRILSGLMFTLKR FSFGASEQGVRESFMLLDSITDELSRSVVDDVHLSARTAELVKMLSSHLHAKFIRLQA PNSGSTGSSRENSRHQTPQRQQDQSSLQHQFAGQPGQPQARTALNNPFIFGQHLPSLH DPLAGIQAQSMSEVTNVAYMPPINYNDYMEGNHGLGQNPADFGTGVDELGDWFALPLN NFFSTDPALVHQGFGGIGPTVGSRDMLEFITNEQYDR EPUS_05569 MSPQLWTRDPTSTSAAPFCSPFTIPQGLFIVNETFEVTLTQNGV FRPVCTGGSSGIVHGGFGDNATVTDLRRPFYSSTTPQVFAIAVATVISYFLVILIFIT PRTFFVGGPGGGAGFLTRRGLSGNSSVVGVGRRPLLQKIAAVTVAISMTIATADTFKV VKRQYEEGFMDSEEMVQQVTESLEIRVVQVISDTFLWLAQVQTLIRLFPRHKEKVTIK WLGFALILLDAIFSSLNNFLVNGTNTRPTQSRDAIPALSYLFELAIGFIYASCIIYYS ISKYRFAFYHAKMRNICLVALLSITSVLIPVVFFVIDVSSPDIAAWGFYIRWVGAAAA SVVVWEWVERIEALERDERKDGILGREIYDGDEMLDVTTANGADWPRKRRLDSNGSDD AGSVPGLKERFRAKNRSLRLRTLLHSRMKPSGTVAPAADDNEAAPSAAEQNVSRPALP AAVATPVSRAETTSAASTVYAVRYHNLHNPSPQILEDGPYESMESNQILNESTPGNTV SEKAIERTGTEQDTRGMETQLRRNSRPLWTVVSNPFKRKRVYPPAEVAGAQVLDRNSQ RRSPAALVNPEKWNIRSKMDAFTAVQRDKLRSRRQAGKADDPLPVTIIPAQPRGTRTW SPDDLAKITSVPTHSGVGPSHDPLQLPRSHDPSSVNVVVGAAREWQTSSPEVMHHHQE PLPRGDRYSPVFQGHNDPTEGPMPALNVAPTGKDLVCEAREPEHIDPPSTIRDSSFDD VDGSGHLSTNISIRAEGVLASNSRRPSQEADGEAGDTSCVTSVRSGIPTTPSPQHLQL PPPDLSQESADPGTEPADGKSSVSTSHTDHLADEAAGSAEAKQH EPUS_05570 MGPERSPRRTSKRSVAKGGSGVDLDTHQELITRLYHTEEKSLEE VMRIISEEWNINVSERVFKGKISQWNIQRNLSRNDVAAALHLARRAERNERTCKVYIR DKHVSWERIHEYLRKKKISAESLVESDPCDVIPPYITVEVVDSAATTPLLSIPPIEPG LDLVTSYNYPPHSALNGSHATTMAPSSGSLAKTTPRHDLANGSYNAAFGEAASVTLSA ETYQKHLPLPWQHDQVGQVARRELAESTSESLTTIVQQSVGDLIDTTDPPVESPEMLN FYGFMSVTPSSVGRVPGQSQSISPLSYTKSSLYPQDHLLDDTMEAEQHKHYSSNDGSY SHSQVGSDTLQPLDWPAYFLSRSIWALLRKKDGLYHEAELAMKDASKSFERMVANRHG SCLTSLNYLLGLLEAHGKRDVAMELLGKFSVAASSMKSSPETESVKRTIRFKMDIFSG LKVEEMFPPATFRDIHSCFERSWGPNSPSTLACLCNLGWRLAGDKGAKRHEEALEVLS QARVSLEQNLGHDNPQTIMCLNVLARVLYNLGNYPEALEVMDISMSRITTQFPAEYHP FRVAARRRHALFMEKVRSGNAEPILRDVASKRFRVLGPDCALTQGSMKELRDFLRDQG RHDDANNALEAIKESASSISCGESVVPLF EPUS_05571 MPKKSAAKPHIPARHMSVKDQLPEPHQTPELVFFTNYLRNMLIY VVLGIVSGALDWNPNVVSILNVFATTLLAYLWSFTTGELAVALGHTLSWLWKASFVNF TEAIFSFLFVLKNNGIRTGVVSSESGSSRLAIHVRVRDRGTFWHPGAWPITQEQLVNK IKGIHKGLMLVPKKRTNLVQQHVMIMIKLSIEQWQQFGAIYRTFLDRHHDIFFAPQHA LCSSLRQKLAIKHVMHVGMWPDGVHSFLLMESFRETWTELLEGLARCRMASEDKDLRD SETWLGVAKMWYNNAADKLPHVERILYRFAMLTRPETIQQLLRTLACVSVALFQSARE IAMLLFNLLREVKENTSQRYPLIVSTYVKSHTGLGEFVVALLENAVGILFIVPSTLRH IEAYVPWARLMCLLNTLGNPGRAKRQAALFPPTERGTIRQVPKHFVMRGLIYSPSHYS VVQPGQSLVNVHGTSCEPAITRCQENESSVPIKNSTAHAEHGGSKQGGFTEPATISRR SCNRTLTLGKVLRAFSQLAPTYLILCQIPIVLAQQDHDISYIPTHLGWIATLFLASAA GIIAQKTKDKPSHTLGWSSWMFCVIAFVWDQLDSATRFIAVAIGLSPLLAVGMSQTWK RWRENDSYEQGKHTYSNTGSVNHILEAGDNPTQYVDGYDGYFWTDKSCPGAELIGDPK NSVEESSPPDYYEDRDSSPEPWNGTEGFQL EPUS_05572 MTLTILDVPQDVLEIIFLHLHPKSFLNFCCITKQFWTDQYRNPT YWRVSASTTFRIPISPLLHAEGERWYWLYKKLRTQTKAFTWGQGSNGELGLPVLPRSH TSAQLPVVDTRLNRNLIRQGNPSRRQPVNRTNGPADGMPLSNRRNISWPSTMDIPEEV GAIADLQCGGWSTTLLSSQGDLYSVGLLCGEEYVSPGQSYDRLTRLDYRSRVPIKSFS AGRKHVIGLDEEGYVWSWDRIEAPAWQICDKTPMKASTVVGGWSVSSAYTKEGIEYWR VPSGIFGEGTDQMRTPLGSDSLDAAGHEGESSADAGMFVKTTVIPGTGFRSQQPQSEI VHQLGQVLSHIVLEAYIVFITDLAKVFACRIVEDSDPAELSFEVSGFSAPGRQLKDIQ GSFRNFAIFTATGEVLSGNQDYLDRLYSWRTASTEADHYVIGLEEAAMGEARLGDIPA LQHRGVISIAYGDYHFHALHSDGQITSYGVEPRCCGALGLGDTSGGARFRGVKFGGGN IWNRDARLLPIGYRQGREIWFSKSQEAWLKRLEDLIRTPEAYPLHHPTFAALDGQEDK QGAYSEWVEREGRAWEDGIQNQDGLRSYFAISVAAAGWHSAALVLENTEMAKKIEEKW SDKEGRYIWESHGFPRISLPTGFEFPGSGELHEWRGGMPSVEELGLAEQDVDTA EPUS_05573 MPFGDFSTICAQASIPLCGLVGPGTSVSSTSSTGIMATCYARSI ELANTIIFQGATDTMHILALGMTIIMVLHVRSKFTAVGRKEITTFFYIYMLLTVISLI LDAGVIAPGSASIYPYFAAIQNGLSSALCTCLLINGFVGFQLYEDGTTLSVWLLRLAS LGMFVISFAVSLLTFKGWAGLGPMNTTGLFVVLYLVNGIELLIYVVMQVILVANTLQD RWPLGDIAFGVCLFVIGQVMLYTFSQKICENVQHYLDGLFFATVCNLLAVMMVYKYWD SITKEDLEFSVGTKQNNWEVKESLLPSSSSDAALLPVDDPRRTSAFEQLPPPLSSSVD YGSRNSFYQQQQQQGNNRASLSQQRTSQNLAASGLHVAGAGGYGRDRERESYRERERE REVILIHPTPTHLSKPRHNLALAPSQAQAQSSTPTLSREARRPEARQDVSGTPPPDQE VQTISFRFSVLPDPTTLSCLVDTQ EPUS_05574 MSSALAHPPATAFPEPSRSELTSFREGHQRYTGHVKSWQSLIKE GRSGTYFSSGLLTPPENRAMTGVSLNQHNSNTVASQNYYPSKFPYSSYPSGRGAEVRH HHNADSYDRHNRPTEDQQKLWSTTQEREDRQAPKETSTSDSTIASYLQIPSSINDSRG SLAEFAAEITCLFWFESASTLQRADGVSLLNGSIVKPLAPDAMPTMGFLKWVTTILTT TQVTQNVILLALLFIYRLKNFNPGVSGKKGSEYRLLTIALMLGNKFLDDNTYTNKTWA EVSGISVSEIHIMEVEFLSNMRYNLYVSEEEWKRWHVKLARFSTYFNKASKAPPVEVA KPAAPVTPTAQTSPYKLPSPPSPARHGPPMQMYLPSLPNPMAMAPHLSHSPARHYIGS EDFHGSRKRSLEISTDMPAAKRLMSTTPSSHSPAVHSPGSLSAYTSNSNASSSTLADI ALNRSPIAKLPMPNLPSTSTQRQRQHPTLSVAPARSMSMVYPNAQNNWSQPVTPVGTM PPNSMNLYANPIPALGELTRSQYASANASPSTAGYGSVTPTRQLSPSYFLTNRNSPYR PVRSVNTLLIPPPSASLHNPTRNIGLDQMRYQPLGKADTRAGVVPYMHHDAWPQPWST ASGMPSQYAFHA EPUS_05575 MSSSSGLTAPLPFYKLMALEATELPRKMRSDALATFKSNARAWA PGLGSTAFGGHVYAQAAWAASKTVVEGMIIHNMTGFFILPGRAEVPFIYKVRRVRDGG IYCLRAVEVYQTLVEEPSESTSNATKQQTILTEGLTEAEDMAPCFTATVSFKRREDPG RYTHFRYQTPRVSAGHLRKTYGKVLSGRRPEDQPMAPGADAIWWTRGIEEDLWEETGQ RFPGVEVRKVDMGSFTAGFDPPDRVGGWRQLSFYRLIKDDAVDFDGDDNRTSTLNLYA CAHLYASDRNSLFLITNALNFADQLVAMSSLSHTVIFHGDPAALSMCDHQGRPIWFLQ EAWTANGGLNRGCHESRLWRCNDDGQDEIIATTKQDAVIRVPADLLSVEELAQRRKKM GPRSRKGKL EPUS_05576 MRKTLLLVFVHGFKGGDDTFGTFPEHLRALLSHALPRIDVLAVQ YPKFETRGELKDCVERFREWLQNRVIDIEVAHSTPSPTVDPSVHTVLIGHSMGGIVAA ETLLLLANDQLLPASPSSSSSSSSSPSSKKTTASNFPSDTTVNSTPSTNRPTSNQPQS STAKSTTSSPTSRPDTPSSNNKPNTTSSPKARPSTPSFNPKPSTTSSPKTRPSTPSSN HKPSTDTNSPKLSPSATPMFPQIIGLLAFDTPFLGISPGVIAHGAEGHYKTASSAYNV LSELSSAFGWSGAATSPKSPSGTNSPKNSAPIKSPSQPAGLITNGGDAAATPRWQSWG KYAMFAGAAGAVAAGGACCRSLLTKREVEERMGLDWWTFGNQNQNQNQNQNQNPNPNP VQLQNQEKRKSTGDARSGGGEKGSGSRNGFKGSANFYTLLGRGATNTDSAEHVGTKIL VDGILEKRTFCKVPPPKLERKKQEQASQKSIGGPISGEKTGDGGRGGALQWIPAVNEK ASVEIQAHTSMFYPRDNPGFYALGEKSKEIVAGWVLEDGGKGWYESSEEFTSGAGIEL GGGPGKSKVGGKGTGAGSRRKAAEESSEHVWVHSKDAEDDGDGDVKMRDGSVDAEDLE GSVLVDKAS EPUS_05577 MAAVNRPTDTKLKEKDINQKLQLYGIFNAFSRGKVPSNKQIDVA LNSTLSSRALAKPSPKLSEEGRKLVGDLQQVIQQAKVLLLTKNEGNLLQDFIWQTQHI SGGDAKLPGAPTDKQTAQQHGNQALEGLRTLGTLLITNGQFRKLLNDATILIRDMAGD AAQNAANRVNPKEDQLAQLDEPAEDNTWHDAPNLSRDNLKSQMRSTYDKQKPFSQNDV DQAANNAANAADRNQTGDGQYDQQSGQAGAQAAGGTLREQASANVPEDTKKRGREVSQ KTKNYLSEKMPKERREQTIWRLKKMITEVQGHSDYQQAIETLLDLAETYGRHGRDLSQ AGAGTVKGAHADNSLEIAETDLKTLIERFANCTSSEDLFDALKNIYADADRDPELRNW FKSVDQFVRKCLKEQGFILQDQANDEGHQLYDQGQFLLRDRYRDHTNRIIDEAKFLAD QFDQDPQNKAFAQSLEKLFHDLGTDESGKPVFKKHLLEDISNVIVPGIFEHTRYVPLP RIEVSDPMIDAVVENLVIESDNLAPNVIEFGSDNYFRWGRKKISNKHDNKIMISASGV QMDLRDVSYYVKKKQGFPSITDKGVMDIYLGGEGFSFKIAASNAHKKDRQHFAKIDKV TVDVKNLKIKIKQSDHKLLFSIAKPILLKVMRPVIQKVLEKQIRDSFTQADAYVYDIH QEAQRAVDRAKANPDPDNVSNIYKEYVTAIQKKMTAKKEKAQEKAAGTKVNMAVTQYE SIFPNIQLPGGISTKATEFKDLAAKGDKWESPVFGIGSAKESTDLPKLSPVTRKPHNA ASGGVRGGSGLQSSGGNLSSPGFSQGQGTNTTSHAYNQGVGASAAGVGSPEYPSTNGN SAGGFGNQVDQAFNMKNAQVNGPPHASGIHSAVTAGQ EPUS_05578 MPRRAQTRLQFTPLPSSSPSKGDYSPGVQDRLANVRFNRADRRS LRKHTSTSIPTPEPSSQPQAEGVKGASATISQNEDEDDIESPTAKRRRTSSKMPRQTT LTPRRSARLHYQSPVHIRSVSTVSSASSQRLHSVEIPSPTPSRRTRSGNAQKVPLPSR PSPRNGTALSEFGSPETSGDDDDMIATKPATQRRRARRSPAAKDDFVVPDNTDIAPST DGDGVPTTPLGQRTPNRINQTPRSRRLKSRREHQELEEDLQDLQDSNSSWMQSSRTRG APVNKERERRREYIEILKRRRAGAKEPIQLDSEDEEEAGDNGYIANSIPKVSAAVFGH DQESSSEEDDIPQNPDLDSYEDDFIASEEEEAPIDRLGRPHPDIPLEFTSYASAKPRE LFIHVIEWLVKNKIAPAFSRHDALWKLAFTKIKDEITAQAGSRLKSSAWTELFMNALN ARPGLTTTELFQGENFLRGCDACNKAKHPAKYDFVFSGSAYHHDSLEPVDPDSSEAEA EADHDNASVDSRGHTLPPADTHFFLGRYCAANAELAHKFTHWKYNLNEDLMQYLDSQG VLSAEEIVRRERSKGGQRKREKEAEEIVDQMKATGVIDDLWKGFKADLDDARIGMEGH DRKGARGSRRIGSVRVPVSDVLRVEDDGLEKVRERDYRGTRHRDKPKMFPSDDEGE EPUS_05579 MDILSQGLRPRPQFILCRLHQAPQIRLYTKETLERKRPRRRQQL RKSSLAPRARTINRSEPKPPPPPKPEAFGKPRDASSNAAKAERFKESRRIEKALRHEH HGENVYAYAHIGTGQVVYSLTRVMDNNNILRQLVFHGKKTVPASLRRDLWTPYFSLHF PSSYSGLLAYHQLRELSLQRQLQPPDYLIKTTIQTASKEQRAKMTREEEEKWDEEHEG KPYPDGHAQMAHRRERARKLMNQKATSVADVAFVVDLMQREGHLRSAASVEELMKQRR AERLKEASKRRTKKLRAEWRKEEKREQWYIDMTRKVQEGTKWGLDMYSARRISLEHGG LIVDPRIGRARVTLPGREGDAKEIESGNAEEREGAQESDTRAVTTSPTTEPSSSSLSS SSSSSSSPPPPPPPPAVRILWSDLRDATFAASWPGIVFHGELERLAVSRRPGRRSGDA PPVFVERSVHVMGGMKMGGDEEWMRGSQSRTLWADEDEDGREDTEGDGVEGDESRKSR KERERESVSVEPPPPRRKGVIGWVKGRLGMAA EPUS_05580 MERPLSGAVLCCTSIPPEQRTHMAEVAIQMGAEHKLDLTSDVTH LIVGDSSTPKYKYVAREREDVKVLQLEWVEAVRQAWMQGDEFNLEALDEEYRLPTFAG LRICVTGFEDLTFRARLQEEISANGGEYRGDLTKEVTHLIAFAPEGKKYQYATQWEVK VVDLKWLEDSIERRMTLEEDFYHPTIARDKLGVGAWNRQARAIIQLGKRPRDEEVAPD VPRKLRRTASVKLGSQSESLWSEIVSGPPGEDPARNEKLRPSKSLPILKPGVLEPKSF ATDSTAAEDDTKRQATKELAVVDQQCNRRGIFAGLGIYLFGFTARQASILREHILSND GEVLESLTDSASSATSHLYRLFLIVPHSHPFSDIPSVKHLDSPPEVVTDMWAERCLQS KQLFEPDDHILSRPIQVFPIPGFENLVINSTGVADIDLLHVSKIVVLLGAKYDQVLKT GISVLLCNPVKASGEKLRHARGWHIPAVSIEWLWTCIRRGILQPFQAFLLKDGHKSEE IISDKNATAAAARPQTTRTTVQGGVAEHSQQVKPVCPAMSPVCREALPKNGDSHAASK TPKPKLLPGEGFVTSPQPNKDRSRTRGKIYAPMNLAAAASKHEAHDNGVNDDEGDGIG LPLQEISTNSSPKPEKAASPRRRSLTGHGDGESSLPDHKQGDDTPSAPEATATGKTTY LPPRAESIHGAIKELLNRSKAKNTTTATSNGENKTRRLLGRALSNMSNSSREGNNVRA SRASSIDSANTDGLGSVILDEISESRRNSSGAVRRGSFTGRAFAQERGVTGASWDLGD AALYREEYQEEEEAPQMTQLGYDNPDDAVALREMLAERRRNRTRRGQEDVKPPDTKEG KKIKDDLKVMPAGWGTGRRTRQKARSP EPUS_05581 MFCFAGRRPVTERAPQDDLLTLTRPGFQQVRSSQGRLFYLPAFP TNSTPTYPGFFRSRTPRSTCLCITSVRADARSRRQPLGASRTAQLPFRILARSRESAK RLLRLNLSQPSSQPVIIPSAAQSLSEGAARSGNVQDMVSADGGRHSPVRRERGGSSVG GPVSGMVHRPQLVEEARTASYQPKHTETSAPVSALCDEKPIASGNGLSISISLAEPVL YLQGFDQNDSTSGTTTMLRGSLHLHVTKQAKLKSISLNFKGRSDTEWPEGIPPRRTEF RDTEIIMNHTWPFFNAQFPHAENSYCADLVHLAKGPVVSTKEVGVTASSFDLFQRTAS PRPNVSSREAKRLSLQLNQSRSFGKGDNINGGPTVAQKGFKVFHPGDYVYNFELPVDS RLPESINVELGNVKYELEALVERSGAFRANLVGTKELTMIRAPAEGSLEQIEPIAISR NWEDQLHYDIVISGKSFPLGASVPIAFKLTPLAKVQCHRIKVFVTENIQYFTANKRVH RLEPTRKVQLFEKRADGPSVSAYPGSSIRVTAGGGIAWDHREAAARGEENVPRDPSNL LGNLEHDSASIGPTEMEFSVKLPSCHQMKDRAKSEKIHFDTTYQNIQVNHWIKIVMRL SRADENDSSKRRHFEISIDSPFHILSCRATAANTYLPAYTAGGVPATPADEFECGCPG AAMKRRNTPTTLPTTNASTTSLDSIPVLPTRSWTNSTAGLTAPRPAHVHDPSTGVQDP NSGAPRPMHLLRNPSFNPPPFNEEEPPPPVMTPPPNYENIVNGDSRHALADYFSRLAD EMGDDDEQEDRGRVDIPLTPGGRINRSMDASRTWLPLGAPAGV EPUS_05582 MPVEITPIKHEDIPGAARCIQSAFSSDPYFKWVFDPSNYNKNRN ISSLTLRCEWGIRNALFYVAKDTDSQEPSRVLGVSMWLPPKSANEPESWSSFLGSYLL WFKQGLLNVQHLGRGGLIYRRYQIWKRSQAEAQREIWTDPNGYYFCNIVVVHPKEQGR GLGRKLMEIVTDQADKEGRRCYLESSRKEPNVEIYGKMGFKVLRRMICEDDGEKCDLY CMVREPLQGDRQ EPUS_05583 MDEELPLSSSPQKRNPEEEVHEIVEQLRTSAYLNPIVVNFLKER RQKDLFYEVIARQANLIKSRSQSFDDGHITVYDKVLLCLTDNGNRFDNAAAQEYFCEE FLGISKDGNPMVAERILRHHVILKAILAQAANPEGQYGDKYSSETKYGQRGQDIGEAE AEGTKKDTIEHKIERLTSVYQKAKSDFEAVPYRDGIAKLNAARFLRDTAENTVMYFRD VTKSGDGHDVSSKMQDLIAELEQTCKMAQASVVTLSGGRKRKFDRHDYEVASRGGKGT DSRGPSKRRGSASHTGDGGDRGRISKRKKGSPRPDRRRNVQPIAQRSGFSREYPLSEH RPFGYTRPVDSYQPGKEEEKGTSHSHPYPQRRYPEGSASPNRGYEGRGRKQREEGMSE DPSLRYGRGFGQEWEEEGRKYYGYEREREREREHGHSYGHGSSGYGGGGGRQERY EPUS_05584 MSCRACHSRCNRLVKRPKWYPTRLLDIGVSGDSTWALRTCSKEP PSSPNYMTLSYRWGSVPCLKLLRSNIEELSTGKPIRDLPLTFRDSIAVARRFSIRYLW IDRLCILQDSQEDWLKESSAMRDVYANSSCNIAAAVSSDPHGGLFRFRYPADIQPGVV QLKFSNLSQENFFIFDELYWDRQVSDTVLHSRGWVFQECLLAPRVLHFTEKQIFWECF NDRKCEGFPSELRFGRRLKDFEPLFGATAPRNVQDRLLSEFAYDLWIDFINAYSKCAL TRSTDRLVALSGLAKLYQEATGDEYVAGMWRSRLPWFLAWYVRKPIPKSSSEYCAPSW SWASVGGPVLMPRITPSAIPLIDVFDVQITPSTGDHTGQLSGGFVVVKGCIVHSAYPG VLGPRWMKIGDDHMRLYVKEDTRNSNSEQSTQIYFLALICGPKSPDTSSILTGLVLQP LARSSDEYTRIGLFTVLDPAELKYFGVRLRGRKVMLTPEREDLLSVIKIF EPUS_05585 MTDTMMDVDNPSEAIESMKNGDIDESLYSRQLYVLGHEAMKRMG SSNVLIVGLKGLGAEIAKNIALAGVKSLTLYDPEKVAISDLSAQFFLHPEDVGKSRAE VTAPRVAELNSYTPVNVHKSSRLVDDLSQLNQYQVVVLTTTSLKDQLAIAEHCHKNGI YLVITDTFGLFGYIFTDFGKNFTVGDATGENPVNGIIADIDEDGLVSALDETRHGLED GDFVEFSEVRGMEGLNGAAPRKITVKGPYSFSIGDVSGLGTYQGGGLFTQVKMPKFID FQPLAEQLKEPELMISDFAKFDRPQQLHVGIQALHNFHDTKGSWPRPHNDSDAKEILE TAKKTAKDAGVEVELDEKLIIELSYQAQGDLSPMAAFFGGLAAQEVLKSVSGKFNPIK QWLYLDSLESLPTSSERSEELCRPLGGRYDGQIAVFGRQFQEKISNVKEFLVGAGAIG CEMLKNYAMIGLATGDKGKITVTDNDSIEKSNLNRQFLFRPKDVGKLKSDCAAEAVQA MNPDLKGKIDRMSDRVGPDSEQVFNETFWEGLDGVTNALDNVEARTYVDRRCVFFMKP LLESGTLGTKGNTQVILPRLTESYSSSQDPPEQSFPMCTLRSFPNNINHTIAWARDVF QQYFVSPPETVNLYLTQPNYIQTTLKQQGNEKQTLDSLQEFLVTEKPLSFDDCIMWAR NQFEKQYNNAIQQLLYNFPRDAKTSTGADFWSGPKRAPTPLKFDANEPTHLAFIIAGA NLHAFNYGIHPPPSISKDYYAKVAESMIIPEFRPDSNVRIQADDKEPDPNAAPPTFAD DAEELDKIVQSLPSPKSLAGYRLTPVEFEKDDDSNHHIDFITAASNLRAMNYDIPVAD RHKTKFIAGKIIPAIATTTALVTGLVILELYKIIDGKTDLEQYKNGFVNLALPFFGFS EPIASPKGKYKNKEGEEVTIDKLWDRFEVDDVTLTEFLKYFEDKGLTVNMVSSGVSLL YASFYPPSKVKDRMPMRMSQLLETVSRKPIPSHQKNIIFEINAEDASEEDVEVPYVMV KYRK EPUS_05586 MPVTLTSNVNTNGFLSNNFPHAPPRLYFTAEDDDFDDLTLEEWR DEGFDVAYLPMGKGGKEYRGRLNGLGSGLGIGETFGIVAYGEAASFCLEHYLHPGANK LCALIAYYPTAIPDTRYTRFPSSIRVLVHLAGSSVGVNRTQQLLGIQGKRRTIQRRIN PGIGAGGLLKIAYPTYTYDDAEPGFAEHDLDEYERVSAELAWSRTLDVLRRAFRRDVN LEKVWEENVQSEPSPLYKFFTRSTSGTLQTLTTQKQPRVTYAPTLTGGIGADELRRFS EDLFIPSNPPSLRMRLLSRTIGADRVVDELHMSFKHSQHMPWILPGIPPTNKQVAVTI VSIVCIRGGKLYSEHVYWDQASVLLQVGLLDPKLVPEKLREQGVYRLPIVGREAAMQI LEGEDGKQMNELVPGWGSRVSVKEEGGQAEEETETEEETAEVDEEAEQKEDDEQDQED KEDEESTQTEDESGEVEEDTDEAEREAAETQNEDDTTEAKNISDGDGSSRKERETS EPUS_05587 MSFIYSNLYAGTVASSRRKATPTDPLPKVERTLNPLLTFFLSPL PRPILTQAQDYRPCETGSYKPGSRRAGTEPPRSTSLPPPAIKDLRKGTPKTFSSLSGP KDILADFTRLASQISKSSQDQYKDLQEKMSAELLREIRDRTFYDAGTIAHWVMEQKNR LILIRRKEREEERIRNRARPNSPRPDTRRPEARTTPGKAYPFPLALTAGPPRRSPTPS PGQATSSKSKCVGFKKDDTVTCFYCNKPGYVKPDCPDLYVSKIKEAESDFGDKSSGPD EESAESENEMP EPUS_05588 MLQEILLCLAGHPSPLLRQPQTLETPAKPNIEHHLPLLSPPERA LLSTVAHLSDLHIKIKRHASLVSTSHRSTICKAVASTIISKQLQGFMKKIVEVERSIL TKDAGYVGGYGIVPLSAVVGEFAPWSRRLEWLWEVLRFMQPSEEGGTKLSSDQCRGAS MMRYLREESHTGYSDLEEMALELVKVAEMVWLRQLSTWVLYGKLPTCASEDFFIQPQP GNQDISNPSPAEFSIRRECVPDFVPPPTASSILFIGQSLHQIRTRDQTLSTQSLSADP AMKLLPAHLEYLKAINLPISSSSFTNVIASIRLSMSQNALSQLLPFPKVLEILHVLQD FLLLGRGEFAISLISKADKMVNIGNYGQNALLPVREAGRLDELKIRDGELATVLNETW SELAALRTEGDLLDDTLERAREHLRVVNRDAKSSATDFSSLLFPSPTSLTLPLSPNSP IALFLTKDDIRLYSEMNSYLIAIRRAEIHLASLWKYSSLRRSHPCQIAPTVSSTVYLK RRSAERRKREDERNMKMRRYWAVASKAQFVISELGGYFQGDIANGYWQHFLSWLISQR GDNDIASAEASGLGTSTLTEVKGSRPSTGTSSQRFNASQSSAASSAYRQSALNQQRIP NDPATLARAHQRYLRALHSSLLLNVTGFTEALFDALRLLDHFVALFARLQSAQQNLDL EVDEGVVDALANHRADEHEILQEMERSRGSIEEHLDALVRELRDSVDQGHGEGAWDGD VSNIDRLDPSSSQYTPWRPRNIDGLLMKMEFIGAKAQSEATDTEDEDERYYSQ EPUS_05589 MADRRRINGPASGTAIPIFTPSIAGAHTLQRTRKPNQLRKIYLQ PGVVPTASGSAYLELESLYHPSSFLNAVPSSLKLSCVIHGPKPLPRNANFSSNLQLTI NIKFAPFATRQRRGYIRDVTERDIGTHVGTALCGVIIPDRWPKSAIDIIITILEAEDD VQWGSGTQQQNTVGGLGNMNVVSGCITVASAALMDAKIDCLDLVTGGVAAVVPKDDGK FVHHLDPSVIEEPFLNSLCVVGYMPARDEMTLVWTRGNIPSYSDGQMCRFDSLLDSAV NAAKGAHVVLRQVATELAQAESTRLIIDESKT EPUS_05590 MPSRTHPDEKLLLLFHCLKASDYTTIDFHAVAATLGITVAAARM RLNRLKAEIETRASNPEATTLPISMLKTTTKKRAIEQHKHLPGTTAGGDQNARDKGQN HGKKIGVLAAFSSDPSTALESHILHENDVINYEEASSHSAATSTQDVFIAASLASDAI VPPPLAIVISSTTANRTNPWSLTPGRIDSISTLLPPSPISVPTRSDSLSSTDADYGDS DNDVSMEDVIDPPRKRHQAQKTTVRCMVMMVRIQPFFLPTTFLAAY EPUS_05591 MATARSSLVCLCANIAKAIKNVTEKYNAAPTTSSISAECSAISV TLMDTQSLLSQPHALLSRAMSQSQLKEALKNAQNSCDSTVSWLEEEAGKCIGIQTSME ESPRTWSMRPLCNEGLIKGLLQQIQRQLTDINLLIAAAQRNSSSEIGQLLKNDTFIGD LIDIRDTIDTPSPSLPGGYSQGPWEQFDPDSKSICVRTSTIRSGSSFRSGQSGPYSLL DGDGDADTVVSKSHLVPTQSDKTKKVPRSQMGSRFFKLFKSNTELHRAAKKGDSKKIK TLLDLGVDVNYEGSSGCTALHLATDCGHEAAVRVLLDNGADPEAKSSEGRTPLHSAAR TGHTGVVRLLLEKGVDDKAKDPSGSTALHVAAYNGQESVVQILLEKYPFMEVSGPSGF TALHVAAFNGQSSVVRLLVEMGADLEARSDTGRTALQLAAEKGHEAVVRHLLQHGANP MAKDSVGRTALQGAVSNQHGVVAQLLLDKMVPDAHSHWQRQRGCESGLSFELGAVEPT FNLLELDATDSTTWSRQVSNAPIYELPNSSVGVGVPVAELDAELRSSMPITRRMSPLP NRKMARGT EPUS_05592 MATAVPQSFEKELPIDHDEKVLLSLGYKQEFRREFSLWTSFCVS FAVLGLLPSFASTLYYGMGYAGTAGMVWGWIIAMVFIQCVALSLAELCSSMPTSGGLY YASAVLAPPGWGPVASWATGWSSWIGQVTSAPSVNYSLAAMMLAARSITVSDYVPTNY EVFLLTAFLMIIQSCISSMPTKWIAFFNSFGSSINMVAVIIVIILIPTATTTEPKFTP TYQVWSNIDNGTDFPNGIAMLMSFISVMWAMSGFDAPFHLSEECAVADVASPRAIVLT SVIGGIFGWVLQVVVAYTVVDIEAVFNSDLGQPWATYLLQVLPMKAALATLALTIIAG FCMGQGCMVAASRVTYAYARDGCFPLSQYWRKVNKYTKTPVNAVWFNCTIGILLCLLI FAGEVAILAVFSVGAIACFVAFTIPISLRVFFVGNRFRRGPWHIGKFGKPVGAAAVGF VVLMVPILCLPSMVGSDLDSSNMNWTCLVYGLPMLLAFLWFAIDARKWFKGPRVNAKH AMIGQVIKELGTQA EPUS_05593 MATPLSGTVVITGASGSLGSKIALKIARRHPLCHLLLTARDVWS KKVEVLSEDIRSLGPRSFEIAKLDLASFRSVRKFTKSTVDRVRTGDIPSIQLLINCAA IVSFKADAFTEDGFDLVYQTNCLAPFLLTIDLLEAFRLSDGGNGTRVINIGCSLMSHG KLDYFDNYTSKKIDSPLGVDEGLIRFGSSKLLLNALMYALRRSLAMTSKIDLTIFTLD PTRTSYSSRHATKTPAKPRMKTGLLLAIPYMTQMFLKNMINSASRSADAVVKVAFDPL KHDRIGEEKYFVLEDEYYVRDTVKLTNDHEFMEMFLRQSLMDVGVADEEIVSPVFQPR QTHLHQRNRILKLLGLGCVLTFFGLLILHPSTRNHLPLLGVASPAVKHFEIETLRYYD LETVQGTSRGWEREERVLLCTPLRDAEEHLRMFFNHLRNFTYPHHLIDLAFLVSDSKD NTEGLLTQLLEELQADPDPKQPYGEISVIHKDFGQKVNQDVESRHGFAAQASRRKLMA QARNWLMYSALRPTHSWVYWRDADVETAPVTLIEDLMRHDKDVIVPNVWRPLPDWLGG EQPYDLNSWQESETALALAETLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMEMEI DGVGGVSILAKARVFRAGVHFPAFSFEKHAETEGFGKMARRMGFSVVGLPHYTVWHLY EPSEQDLQHMQEMEAERNSRAQEEKEREERMKRIKEEFKDPNSQWEKDKAGIAEKTRQ EEKAAAEKKVAAETKEAAKETAAEAKAEQAGEKIQSPDTASTSEESVKGKRPLGKKAS SD EPUS_05594 MTTQTSFAEIPLAIAHSQQNLRLLELPPNLLDLLSAPRPPRYVT PSVHGVTLVDPLRKPLSQVFFPKAFEAPTQSYQVRQVSTSNSVYIIESSIARPASRRG DDIMQFDESPAQPSSAMTAIAKVDTMLELLPVSYDVKPMLERRLPLYTASELSSSEES LSLFLSQSASVSKDALFSEIPAPNAEIEQAWRDLLAFEYKGRCAKPSASTLFGVWHSV IHWAALERWDLAGDVNFKGFFVTEQAPSIEAMVAQAILINLGHEKLPNSTASSMHLDR TKVARWTGMTLLQARSERPNSNPQLLKDDYVSQWQDLLPEAWREDAVLNKLPSGSYAI EAVEGKETIIWMGSDESGLGAAQTATSEAAKATSGKRKWHEKFKAQRKEMKK EPUS_05595 MSSRQNTKKPPTNGPTALDQDVVNKIAAGEIIVAPMHALKELME NAVDAGSTSIEVLVKDGGLKLLQITDNGHGIDRDDMSILCERFTTSKLKAFKDLQSIG TYGFRGEALASISHIAHLKVTTKTAGSSCAWQAHYADGKLVPAKPGQSAEPRPCAGRG GTQITVEDLFYNIPTRRRAFRSASEEYAKILDVVGRYAVHCTGVAFSIKKHGDTGSGI SVQANASTVDRIKQIHGSTVGNELIDFNIEDKKWGFKASGWTSNANYHVKRTMILLFI NHRSVESSAIKKAIEQTYSIFLPKGGHPFVYLSLEVEPNRVDVNVHPTKREVHFLNED EVIELVCDEIKAKLAKVDTSRTFLAQSLLPGVNIPTIQTPNRNDINSESPQSERRSSA PKTPATTKKPYENNLVRTDSKMRKITSMLPSAGAEGSPAQPASGTMEGVTYEITNREP VQIRLTSIKTLRGEVRDAMHEGLTEVFASHTFVGLVDERRRLCAIQAGVKLFLVDYGL ISNEFFYQVGLTDFGNFGRIVLDPASKLIDLLMIGAEAEVENAKQEGSKEVQASASHI AQAVHKQLLSRREMLSEYFSIEISEDGELKSLPLLLKGYMPSFAKLARFLVRLGPFVD WTDEQECFQTFLTELAAFYVPEQLAATGKATASAEGADDDLSKRRKQLTWSLEHVLFP AFRARLVATEGLLRGVVEVANLKGLYRVFERC EPUS_05596 MAMNYVTFNQDYSRLAVGTSRGFRIFTTDPLSKCFETKEGNIAI LEMLFSTSLVALILSPRRLQITNTKRQSTICELTFPTTVLGTKMSRKRLVIVLEHQIY LYDIQNMKLLYTIETSPNPAGICALSPSSENCYLAYPLPQKSATSSFAPPSHAPPGSS HVIPTSGEVLLFDTVKLEAINVVEAHRSPLSCMVLNNEGTILATASDKGTIIRVFSVP DAHKLYQFRRGSMPSRIFSMSFNITSTLLCVSSATDTIHIFKLGPQSSSPTAEPFSSP SRSPSFFDKRRWSQGSESPVEPSDDLYDSELASSVSARKPNGTFMGLIRRTSQNVGTS LATTMGGYLPKGVTEMWEPARDFAWIKIPKANNSSTSGSLRSVVAMSSNTPQVMVVTS EGNFYVFSIDLIKGGEGTLTKQYSVLETNEKMGPSTMDY EPUS_05597 MPRSKRARVIPTSKTGKNRKELVQRLHSRIQAAIDAYPSIWVFS VQNMRNSIIKRIRAQLGDNSRIFMGKTKLMVHALGASVETEYAPGLSGLTPYMRGEVG LLCTRLAGAEVEGYFAAFSEVDYARAGTRAEAGFTIPRGELRTRFGVEGAEGEEDLIP VAVEPTLRKLGVPTRIVKGRVVLEESAEPEGMEDGEDGYVVCRPGDVLDSRQTTLLKI FGVRMAEFKVSLRAVWEKVGGTVREVGGMDIDATAEP EPUS_05598 MSPWRLKWLQVVSLLSPLVVEAQESASPGASLSTASFRPIFTVP ASADVGKTLLPNVKDPQAADAQTVCPGYKGSNVQSSDNGLTADLTLAGPACNVYGTDI NSLSLTVEYQTDSRLHVEIVPSHIDSSNRSNYLLSPEYVARPSQENGAASSSDLTFSW SNDPSFSFEVTRNSTGDAIFSTAGSKLVFEDQFIEFVTSEPAKYNVYGLGEVIHGLRL GNNFTRTIYAADVGDPIDRNLYGSHPFYLDTRYFQSEDDGSYTYITANDSAGDGSYTS HSHGVYLRNAHGQEVLMRADNITWRTIGGSIDLYFFSGPSQPEVTKQYQDVIGYPAMQ QYFTFGLHQCRWGYENWSVVEDVVNTYTDFGIPLENIWTDIGMSNIRFVCDSQSLALT FEADYMNQYRDFENDLGRYDYETGQDFLKRLHDGGRHYIPIIDAAIYIPNPENASDTY ETFDRGNATDSWVLNPDGSTYIGDVWPGYTVFPDVLSDAGVEFWANELVIYHEKLGTD GYDGAWIDMNEAASFCSGSCGSGNLTLNPVHPSFRLPGEPGNVIYEYPEGFNLTNATE AASASAASSSQAAAASSTAVSAPSTSTSYFRSTPTPGVRNVNYPPYVIDHVQNGADLS VHAISPFRDYRNATHTNGIQEYDVHNLYGHSLLQATYLGLSRIFPGKRPFIIGRSTFP GSGKVAGHWGGDNASKWYYMYFSIPQALSFSLFGIPMFGTDTCGFNGNSDEELCNRWM SLSALFPFYRNHNVLSAIPQEAYVWASVIDATKAAMNIRFQLLPYMYTLFHLANTKGD TVMRALAWEFPNDPSLADADRQFFLGHDILVTPVLEQGATSVDGVFPGNGNSSGNNAS QNEFYYDWYTQSPISPSLTGNVTISAPLGHILVYIRGGAVLATQPMALTTRDARKLPW SVLVALDASGSANGYLYLDDGESLNPEAIKEVEFEADGSTLTAKVTGGFEDGVPLANV TVMGVQKAPEGEVRLNGQMVGEGAYDSVGKTFRITGLEDATKKIGAWGSDWRLEW EPUS_05599 MEDAAYPNTRRAQPLLSRKNYFLAYNGVCLVLWSVITLRAIFLI PILFIHDHLYGLHEALHPLLAATQSIAVLEVLHSLVGIVRASPLTTAMQVASRLLLVW GVVGAFPEIVVRSRVFGARVQHYPGTKGGPYAYAGILLAWGITECIRYGFFVWKEDLG EGRVPKWLTWLRYNTFFVLYPLGISSECWLIYCALEPAAESMPAYNMALKTVLLIYVP GKQQPLLGQTKGDTDII EPUS_05600 MPTIPPSRLVALQSQHQNIRNICILAHVDHGKTSLTDSLIATNG IISPKMAGKIRYLDSRPDEQTRGITMESSAISLYFGMTRRSDSSPTSNSTKANARQAE GGGVTLESEGDYLINLIDSPGHIDFSSEVSTASRLSDAALILVDAVEGVCSQTVTVLR QVWIEKLKPLLVINKVDRLVTELKMSPAEAYSHLGRVLEGVNAVIGGFFQGERMEEDL LWRERGEEKRQKIRERQDSFISDMEGNKESNVEEGLEQQFQETDDEDLYFAPERNNVI FASAIDGWAFTIRQFADIYEKKLGIKKAILEKVLWGDFYLDPKTKKVLGQKHLKGRAL KPIFVQLVLEPIWTVYEATTGGGKGKGDQALLEKVTRSLAITLPPHVARSREPRVILQ TVFTAWLPLSTAVLVSVIEYLPSPPDAQAARLPEILEESPGAKAINLSIRDAMINFRT DEQAPVVAYVSKMVAIPESELPTNKRRGAGTTLTADEAREMGRRKRAEIAKAQAEANG ENAVATVANGFSTVRIGEEVDEQSGPEQPEDPEHLIGFARLYSGTLSVGDEIYVIPPK FSPAHAHASSELKKVPVKALYLLMGRGLEPLQSVAAGAIFGIEGLEGHILKTGTLCSQ SDGAVNLAGVSMPSQPIVRVALEPVNPADLGRMIKGLRLLEQSDPCAVYEQLESGEHV ILTAGELHLERCLKDLRERFARCEVQAGEPIVPYRETIVKAEEMEPPKNKDLPRGTVI AVTASKHVSVRLRVRPLPAEVTQFLIKNSASIRRLYSEKKAAEEQNRDSQNEEDKSGS EEPQDDIGMDDTAGTGRGTLSAADFRQQLQAVFAGVKEEIDVWKNAVRNISAFGPKRA GPNVFIDATGNNTCGRFLGDGNSPNAETQRGSDKVAKAKQPTDFSETISYAFQLATQQ GPLCREPMQGVAVFLESVTLHNSNHSETNDNNNNHNPPTSENASQTPASDTPRLTGEL ITSILHSISTGFLDWSPRILLALYTCTIQATPAVLGRVYSVLTRRRGSILSESLLEGT PYFTIVSTLPVAESFGFSDEMRKRSSGLAMPMLVFEGVFEVLDDVDPSWVPRSEVELE DLGEFGDRENVAKRYVDAVRRRKGLRVMGSRGVGRDAEKQRTLKTN EPUS_05601 MAKVLPGDVVGSTTTHLAGPGTHVHDSKICASIIGSVSTVSASS KSGKPTLSIPRLPSRSSSQPLKLNTLPALNSTVLCRITRVQQRQASASILLVDASPTT LLPASLTHLTSTTASSEDIYFSAVLRREDVRLTEKDKIVMNESFRVGDIVRATVISLG DEKNYYISTGGNEFGVVIARSEEGNAMVPASWKEMKDAVTGRVELRKVAKPVFQYGML RVRSGARQPATKPTEPVPRWLATIHRLPESRQLRSPTMDEVISPSEQSALQSRQREAA CLRHRDAGIFLLAHTAPLHPQSVPMRKRYST EPUS_05602 MADSLIDMLPQPRQVDMYENFIVDEAVAEWLPCVAAHPYGASFW TKTTRIDVEQEDTCIQSYFLKASPNDLGKAMALSEFDGTAAIHKYVPEFGPRPVGWGQ YKSDPNVYFYMCEFADMIDELPEVSSFCKTLAALHKKNMPDSNGKFGYHITTYGGTMP QYVKWSDTWEGFYIENLKGFARQERETHGPNDELDAMFPALFEKVCPRLLRPLDRGPN KIKPVLIHGDIWYGNVSTHAGTGKAITFDPSVLWAHNEYELSVMTVARYRLGRQWLKE YHRHFPICDPQEDYEDRLRLYAVHNHFCAGALRPNTKEMRQKYDFSGKRASGWLAIPV RLMTFDIWSKNIPAAMKAEDIGDTKRRTPQWSQFSRARQVSKWCQ EPUS_05603 MSSQASGYRKENPPQAHAPHRLKCTYKDCFRYFSNEKDMMMHKK KEPSHEYCHKCDVDCEDDSDLLIHMIESGKHIVCPMCGLEFKSNAGLESHIPQARNQR FEQSQNLKCVGCGDKFARAAGLMQHIENNECQVITQEVFERRRAEKAISKDAWAAQLK PEVEQQVPRGHMSTFSGTDASTEHGGVSLLDEDNPYESNIRSSRQDYLTPSLNMLSLR DQYPTLQNLNAPIQNTVLDQEGRSDLMSFKGDLLDENKINVASAWSASTPAASTLFPN SKPPLRPTKENSDISGGLGAPRSSLSTDPNAHLGTRPGPIKPAAILEPMNHYNDLTKK FECPGRKCGGSFDTVEAFNAHLTSSAHVGGRTVCPSCLSKFTSTMALVAHCESPSKRC NIRNTANYNQVLREITGGLIGTEGHHIDGSVRYVANDISQPGYW EPUS_05604 MSRKDDDLAYGDEYGKDRGAGSQGTDRGIVGDTFKFLKNKYQQS QHPQPSQSPYGYTEQPSNPAGQQYTHEPAGYNPATSGQAPYPGGPPPQAPQKKPDAVS SIFNTLHGVVHGVGAELVGKFSGQNEPQSTSHHGAQSGQSAYQGAYVSPDTTSNRYSS FAGQKNGNDVKWYVDGCSYMYAVSKALEGARDSIWILDWWLSPELYLRRPPMKNEQYR IDRMLQAAAQRGVRVNVIVYKEVTQALTRKYLSPTLPEYLHSMLPRSTDAVTSFLVKM GLMATAASMEYLEAENPLIAPPVTVSSSHTKHALEDLHPNIAVFRHPDHLPDAKTMQS SLVSSLSNLKLDAATASRLGGDALKSMYGMTDGVILYWAHHEKLCLVDGKTAFMGGLD LCYGRWDTNQHPIADAHPHDLRAIVFPGQDYNNARIMDFDDVVHWQNNKLDRKTSSRM GWSDISVSLHGPVVQDLRRHFVDRWNFILDEKYTVRQDARYVRLSLSGAAGVPPGRPQ SSQLGSSYQQENLQSQINPGHGSQPQFPPQSGSSTSYTPYQQGTSRPHSPQPPYHAQA TQPYQQSVYSSQQEGQYYPPPPPGPPPMSQYGSGQEGPYTTYERPPKPEHGYSAELEG NRPQNTMYNSPPPSQYYDPPPTQSRGFDDEESFDRSSDGDRGFGGRSSRYGDEGRRLK DEMKGIGSMLKVHAEHHLQQHAHGKHTGGSDSSRPPRPRPLGGAMPCQIVRSCTKWSN GTPTEHSIQNAYIDIIQRSQHFVYIENQFFITATGDQQKPVKNQVGRALVERIVRAAR AGQRYKVIVLMPSVPAFAGDLRDDAALGTRAIMEFQYNSINRGGHSIMEMIAREGINP NEYIRFYNLRNYDRINASGVMHQAEGISGVNYEDARRQHDEAVGAGYGGQGESIGGSI VNPSSQYQQYQSAAQYVAPGTATGSGRWDTVSECYMLGGEDIRNVPWDNDGDLSEIDA FVSEELYIHSKVLIADDRIVVCGSANLNDRSQLGTHDSEIAIIIEDPTPVQSTMAGQP WQATRFAASLRRQLFRKHLGLLRPQDMQRPDENFEPVGVHNRYDWDTPEDNIVADPLS DAFESLWNSRAKTNTEIFRKVFHAVPDDTVRNWNDYKEFYEYYFQKDDAKGDAKGDAK KAEQKPARYMWGHVVRDEFSPGAAGAREVKDWLSRVKGTLVEMPLMFLIDEDIAQEGA TLNALTEEVYT EPUS_05605 MSIATLDTTQHPNLPASAPTLFNAKAKKQLTFEQIAQEIGRNEV AAAAIFYGQAKPSPEDIQKLSSLLEIDQQILESQMGGFPDRGRTVEMPPKEPLIYRLY EIVQNYGYAYKAVLNEKFGDGIMSAISFSTKVEKETDKDGNNWAVITLRGKWYAYFTL RRSLLHSSVKQVTLHSLLGSVFLLSSISGSGWID EPUS_05606 MPPPMPNPSKRMTANPARPVARYRPGKAVQEDTSSEEDVSEAES EKQSTPGPAQPRASSFPAGAQRRQVPAQSARKEESEDEGFVTEEEDEGEANGVSLPAA HVEGQGRRVVQKSTTSAISRTTEADGISSTEEVGSEDSEEDSDEEEESSSEEEPHRKF QRPTFIKKSDRGKVGSTNADLSSNAATNGVVSASPAIDKIQADELRRKEMADLMIKDK LERDAAARAAGRKGWDDDDEVAAEDMVDDTDGLDPEAEYAAWKLRELKRLKRDREAIE QREKEIEEVQRRRNLTQAEREAEDREYLEKQKEEKEDGRGRAGFLQRYHHKGAFFQDD ETAEILRKRDLMGARFVDEVQNREALPQYMQVRDMTKLGKKGRTRYIDLKGEDTGKWG QGYDQKERRGPKPNFDVDERFRPDHDGGAKGPTGANASAVRDRRDGTASDAPGGPRAM RNGDYGRNGGEGADSYRLGRQSRTRSRSASRHRDRYEDEDSRSRRKRGPSPYRDRDKR RRVDAL EPUS_05607 MGLLYAIAIVLATFGIFWFMTTTLFASLFPPPFELRNKRILLLI GHPDDEAMFFAPALIALLSPENRNHIQIVCLSTGNAIGEGEIRRGELLNSARRLGMRS KDDVLIIDDARFQDGAQNHWKKEDIADLLSHLFTPQPSSKLDTSTSSFSTLNQPPQTQ VNGNPSSGPINPADKQRTPEVILTFDPHGISTHPNHCACYYGAIHFLQNQLKHPKPNS KSPTTPPTLYTLTSISRPRKYISVLDAPITLLTSLTSVFFSNLQQSARKARRNVQDGR ADRVIFVSGFRNYVKAFGAMVFAHKTQMLWFRWGWVLVGRYMVVNDLKRERVGV EPUS_05608 MQLEDWLDDLCVRFIINLPPEELESVERICFQVEEAQWFYEDFI RPLDPSLPSLSLRAFSLKIFQHCPLFSEWSADNYTAAFAEFLAYKSRVPVRGAIMLNE DMDRVVLVKGWKKGANWSFPRGKINKDEDDLDCAIREVYEETGFDIKAAGLVKESKDM KYIEVTMREQHMRLYVFRGVPMDTYFEPRTRKEISKIEWYNLNDLPTLKKNKQQEGMG EQLANANKFYMVAPFLVPLKKWISQQRKRESRPSSQPFETIQTVAEEIAPDYEADLTN GVDRPANIPSDLPEVTQTQSPPTDPSLHLKQMLNIGNFHKPSQEPFPTLPQVDMAKSN ALLALLRKGSRPDMPNVSKPNTPFDQMSFPLDVPRSPPHHHHPRPPQFSTLPSPPPFP ISSYIAKMETAVPMIPQETQRQSSMLAPSTFATASGSSDQTTSRSQIAAPYRRTGDPE FSHLTPPPSQGPRVPPASALPPLTNHKRALLDVFKSAQTLQQPPAGPPVSPTHKPLHT AAPPTNGQLSHTKAPAPTSIDPSSLLPTLLANRSRVHNQEGSVPKREPVDHRASLLGL FRQLPPPAAEAAPTGPAPKTPLAPVELAALSTPSIQPAELTQKRLLTSLLQQKSSIPN KTASQSNREFREGKTSATISGPLNQPQFEGIAKTLRQSSLSDGPARSPAPTHKALFDP NQQVQKKILSRPAGSEQSPARSSRSNKPSHAASPKKPVPRKDDSAKQFQPQILRRPAA DQQEALPQGTTQPALQPILDNPKPESDSLTAPALYPLPKPLPPDRLKPQAESHKQTLL SLFGNPAPPPQVSTPRSTRPTVPTRTSASPGASGSATVSPLTEKHVSTRSRLGSLTSV ISTGSQARPVREKRQTAASDKAFLLGYLSRIASQES EPUS_05609 MCLFNVPLIGRFGYSSIIGLIPAVGDALDSLLAYMLIRKCCSVE PGLPHKIKNAMLMNLAFDFFIGLVPLLGDIADAIYKCNTKNYLLLEKELTKRAVQRQE GAGISNPAAGSNFGQHYVESEERYGTNTPSGPPPKYTSTKKPRRPEPAYDPRESEGRG GYFGGRREVDLEAGGEPSRNQAFRSQRNA EPUS_05610 MPAAQLFNDCADCHSMEASIDVRKRWLCRQCFIKYIGSKPVKRI KSYRLKEEVIEQPQKLLLPVSGGVSSVVLLQILDGLLQRQFSNRGRKSYELHLLMVEA PSLVSNRSVAATFDRLKQKFPSHTFSLVPLPEVFKLDSSICEDLSDLGAQRGKTPQAS LDTLLSCSKTASSRTDVLQLLLTRLIVAFAKVNACNGILWGHSNSRLAAKALSSVSKG RGGYLPFDVADGPTPWGIAFYYPLRDLFKSELAMYTSALPDDFSGLVVHDVASAPTYT ALRATSIDDLLSAYINNQGEKYPSLMANVVRTAGKLQMPVSALKQSASTCGICAMPIP ETMASQESDEPNICYACQRLKLETISSRGPCYQ EPUS_05611 MEPFILVKFNKVPVEGDDDPLASLVMFEWKDEGLIGRRTDGSND VAEKETICDIENVEAKLCEQEQLGSFILAVNATENSKQPILSHAIHLKEPDAIRYPIA KTGFYCVSTFAFSDTDYSAIVTFRNAYGELPAAQIAKLPFYGGLTITYAVLGAFWAFL YVQHRSDILPVQNYITATIIFLIIEQLMTWGFYDYQNRNGNNGLNKALMVLVAILNAG RNSLSFFLLLIVCMGYGVVKPSLGKTMIYVRVLAVAHFFFGVIYAVASLSVTPDSAGP LVLLVILPLSATLTAFYIWTLNSLSATMKDLIDRKQKTKAMMYKKLWWCILGSIMVIF GFFFVNSFAFAGRNEANFVPENWQTRWFILDGWLNLVYLFDFAFVAYLWRPTANNRRF AMSDELAQDDDGFEIRSMADSLDEEEARTPDDRTPVTNGTTGRGLSPNPPSNPPPKPS DQAPSSRPRESLDGETIFAVGEDGDKWSDEDESPRNSEERRRLTGKNS EPUS_05612 MRFSNTTALAFALVGFVFADMGVSPASVSKDADPDRHHTRDPTK PDVVLLIDVTGSMGGSIENIKTNLVNVISDVSRVQPNAQFAVASFGDFGNPNRFHVEQ ALTSDLLALQNAVNSLTANLGGDDPEDWINALFQLSTGAVTFREGSSRIIVLISDEPS HEPSNGHTLDGDTIVALKEKAIRVIGVNVGAQRLDQLGRATKVTTATGGVIIDSTVDK VTLAIVTGLKNLDVTIKPDIVSCDVGLTVEFAPTEINVTSGTVATFHETARWPTTPPK TPPFNASVVVAVNQIGCFTCDPHSGKNMCHPTTSCAPTPYGTMCLTRPGYKADGTDDN NVKVQWRMKWPVHGHEHRVAVVPGTSADTLCDPKNTGPDVCKEVKVADCKAAAAAPEG ARLDVTDQKIMGGGEL EPUS_08993 MAPMEVDEAAPALFAQCRFALVCGDGLDDDAAKKLASTLKLHGG EIVTDQYDGENLPLEELTHIICATCDFPSYDAASDALIPVIKPHWVDASLAKSKLANP RQYSSDPRLIMSNVVICCADLPEGDKDAIIGGVLAMGGLHSAKVSSMVTHIVALTMDS EICRSIAMRKLNMKIVLPHWFDDCLKLGRRIDENPYLLPDPEILRHSNAIAPRAAENG HLTGAIDPDPSHAPSSTTIRSPRGPLQVFARKKVMLSQDLGIGSHLKDVLKDLIEESS GHVTEDSRKADMFICKYREGPDYRLTSQAGKDVGNLAWLYYLITNNSWTSPMRRLLHY PIARNGLPGFQGLRISLSNYSGEARLYLENLITAAGAECTKTLKQDNTHLITAHIMSE KCAAAKDWGVHIINHLWLEESYSKWKLQSITNSRYTHFPRRTNLGEVVGQTKIDRHAL EHYFFHTEDTEMEDAKDQSQPMQRKDPNMAPIPTSSSVNTPRTPHVRQKAARNSAHAA GTPRHSTGVERKSEAGKMRTPAAGRIVSDGKENQTPSTTSSRKAKDAAAARLHDIVSD ITLYEKEKKRVGGVVYGGRRKNDPDRVGADRKRSITEDPDSDATEGGESKRLRTGTAR STIHLLVSGYKKWVSHPKLEDANKKQLRDLGIIVTQDPSRASHLAAPSILRTHKFISA MAYAPTIVSTDFIDQCLEENEFLPPEDFPLQDKANEKRFQISLAESLKRAKENHNKLF EGRTIYCVEIINGGFDTYKSIIEANGGQCNMYRGRPGTMVPSRRADSETSTAEEATQA EVFLISGQDQERLWSRFRHMAERSRKIPRIVRSEWLIESAMAQKILPVGPHELR EPUS_08994 MATASSSLTTSSMFTFPPTYSFPPFFSPQPNAQTRSAQLSKWSS LVQSYCRHHRIFKLLLSDALESPLFHNSKLKRRLSAHDAKAVVDYMTSKEGDNRAEWI GPATEKASAWIWWRRPEEWAGVLEAWVDGTGQKGTVLTLYELVEGEATESQEFHGMDM EVLRKSLGTLVKKGKAQVFGGEGQEGVKFF EPUS_08995 MSGEYTALHHPLYKTRIQTDFDIPFLTEDNDPDSSMLSTPSNED EHDSDQNLYNEDEDQDLFPSSDRPSTPKNNHLNATAPGELSPPQSQSTSQRVATEPDE SVEMGGMGNGDGAGAFDSVNVSVNGTDGAGEMMGGQQNGNDKQYVPGSGWKNRKAQED YQRAAETLVDRDFSLREFGDLFDDRAVVQQQELQQEQQPEQIQQPEQLQQQAGNGTGG EAAKQES EPUS_08996 MDYQNRAGSKFGGGGVASQSATNADRRERLRKLALETIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAREQKEAKDGAAALGG MTMGGVAVRKNVVKIGRPGYKITKTRDPFTRQHGLLFQLQYPEISPGVEPRVRFMSAY EQKVDDPPDKNFQYLLIAAEPYETCGFKLQAREVDRREDKFWTWWDNDSREFWCQVMF KTERDERYSNVPGLAPSASRR EPUS_08997 MAAPIDPVQAAFQSVIHDFKDKLSDTKLYNEILKTTSIDEVYDA TDKLQEEQAKNGHLRHLSKIEPYLERLREYSGAIDTFVQTKPNVLALIWGPIKLLIQW TSTLKQSFDAIINTTEEIGGLLPEFKEVNQLFAHNVQLKDVLVLFFQDILDFYLVALQ FFSKPRWRYVFESLWPKQRDRIKLVITNIGRHTALMRNEFRMEHIREERDARLRALEH FEKMDRSNRQQEYNIIKTGISPKSYDDKLDWLYGRICEGTGKWLLRDPTFAKWLDFAD VSTKIVWLQGIPGAGKTFLAGTVISKAKTTGRAIFAFLSHVFSSTSALSILHSLIFQL ASDDDDLQAALCQSSRADLKNSITVAVSLLTTLLKCAGPVYIIIDGGDEIHEIERGRL FRQLLELSKNCEETKILISCRPEADIIAILGSASTSIRVDNRNAGSIQAFITRQSQRW FETRNFLSKERAEIEGLLAPIAANAKGMFLYAKVLWSSIELLTDVQSIREELRVLPED LDAAYARILSRINNLPSVKAKNQRRNILGWVGCSPTPLTIQELEQALMVDVENVDGIV RVPCSLNIVELCGPIVEVVDEYVQFVHFTVKEYIFNPRVDGSIDNTEATLSLAKCCIW YLLQSHFDPRITDDEVADNIISGKYRLHNFAVTMWSELVKRYISLNGSKSLSTKLISA LECLAIERSNSDFSGSIELAGQSDQPQLEKFKDQWPELHTMLCHVAQFRWRCSSSDYH MSKAQSHNNLLPNDLDADDIQPLLFDLVGLDRVEAVKSVLHHFNKLDSSVQKELGKLA ASSGSAAMVQLICIADSNRISTYPDFLIESIRGTNFETLRWFLSRIDSEVQEDKFHLS AWKFVLVALLEMGSIEMFQECEKYLLAAFSTTTHGNWKSR EPUS_08998 MTANDIGKKRHVFLDEEDTERGCKRVCVEHSRHPVGFDVSSDLS NPIPPQEAKLGTIHPYFPSTETASELTNSFDVHSPAPFRMEPSFSDTAHLLSNGGEVL ETGHSVADVELTSLANMLFMYPDTGTAAQTLVSGPNTAVLMNMSQHNNDEDPLTPLNP PGYQIQQLNDLESSWGTDFCNLNTDFWVESAASFGHQLDLSLLQYLPPIQSQAVGMTC FPTNAENSTLVAGIKTGLSAEWPYMNNGVNLLESELTTNVEMQSELQSPATSESSMLA SNSVPDACDEVPDSDSVVELSAAPKYDACFGVVMATATSSFKSSSGTQQVPVSITACA DMLKLSFQNSNKYAGLITCPALSTLLNDWCIQLSATLIAPNEKSDQVSKKAKVRNSCV SRKCPVRIIVYGLSSERVAVGNLLSGAGLYLQHPSTSEYDRHVKYTNPHYLLRPGSDM PKLEQLSISSDSGSPKSSEFLDEMNKCRFLPVFDLADDVGSSSTAEPSCRLRSTLKEH QLTALAMMIEKEEGILKGSKFPSLWELSSCPDATMKQVLYRHKITGAHEISPRPVYGG ILADEMGLGKTLSVLALICWSLDSLASELIASNDEESWGTLIVAPKSTISGWQEQIKK HIHLGQLRAVIYHGCERKCLSKKLKNFDVVLTTYETMRSEWTAKGALYLERWHRIVLD EAHHIRTRSSQAFQAACAIDAQHRWCLTGTPIHNSLDDYGALLSFVGVQPFADKAAFD FWIAKPIKQNQPHGLRRLEDLVRATCLRRKKSLNKGLLELPQRSEKIEWIMLSPEDRE LYEFFKMKTAKIASQLSRRHPGAPKVDHRKDTNILTLIGFLRRICDHGEHLLPTSALE AWKSRDNGSVDWQMMRVCRARCDMCGGFLDEIEAPASIDFELHCQHSICPGCAIRSQE CGADDGPACPKCIKQIVSEGDSTVSQVPGTFVRPSAKVNVLMRNLRQEQFSENEGDQN LPRKSLVFSFWTKMLDIVQRHLELNGFIFRRIDGQSSLQERRKAMCQFNDDPKCTVML ASIGSAGEGVDLTAANHVHLLEPQWNPMAEAQAVDRVHRIGQTREVLTTRYITRDSIE TYVQWIQQDKIRLINQSLDSANVSQVEIDEQQLKKLQLNVDCTQNGYNPS EPUS_08999 MGVWARSNEDRITGVHPPSYSEQWHSSNDVMILNPALKKKELRL FGYETDLAIHRFKILADVKVSPYYDPDYSRHLSTVRPEKEDGATTQTAKNTSSTRVGL PTKENADSSYSSKSHWLRSLKRKHLRKRTKALQATVTELSAPKFTAISIPTNPPRLRN RIVLSQDTISLSDPTGRISFEPSPFKVTLETTLLEERPYTICSTVLQRYPLQTEHQDL ESTLSRFEVVDNETGYVLSQKPPSSLSGASETDSERISGHKSKKSRKTPKHGREIPGI SRATCTELHPYRASHLTLVSHPIPCKSSTERLFDALRISDPKLLVGRRLRIRLRPAVA LWSARSMREVFGFEEEVKAWPDAYERPLVLKCLDGGVEAEEEEAYKGEAEFEVVE EPUS_09000 MPVRMPVKEQEEELKELVVDFDGSNESSPGTIRTYNHEGVQSPD HRDERKGVYIVVLVHVGQILHPSC EPUS_09001 MFILQALPLLAGCAWSFPTEQIPLPVSSLQQPLTPQRHRDRPAL RKLQGKFLHITDVHPDFFYKAYSSIDSACHSDTGTAGILGAEMSDCDSPITLVNATFQ WVKDNLKDEIDFVIWTGDSARHDNDEDIPRTEKEIVDLNRMVVHKFVEVFGKDDNLDD PDPTNDFVVPIVPTFGNNDILPHNIFEPGPNRWTRDYADIWRKFIPEAQRHSFARGGW FFTEVIPGKLAIFSLNTMYFFDSNTAVDGCDSKSEPGYEHMEWLRVQLQFLRERGMKA IMTGHVPPARTFSKQSWDETCWQKYSLWMRQYRDVVVSGIFGHMNIDHFMFQDAFGLK YNRRGQLQDLEARERNATDPMLSIQSKAEYLTDLREGWTSIPTPPSGMSCSELAIETD DEHVLKDTRRKKSQQDKEKKFLKDIGGKWAERFSLSLVSPSVVPNYFPTLRVVEYNIT GMENEHPAMGRSQPAAVEIDVELPNNQEFAPLSAASVHDVMEQPIQEAKHKKENKKKK HHPKPPFEMPEPPSSTAAPGPAYSPQPFTLISYTQYFANLTFLNDDFKTSGRTLEEYI GTSPSANKRSGNRVPHPQKFTFETEYNTKTDKRYRLADLTVRSYLDLARRISWNIRTD TPEHRVLDILPDGRVVDARGREAGAEIGDETDNDDDDLLSTRKRKKKHHKKKGKKGGK DRDRDALWHTFVKRAFVMTKTDEDMEDFDPYTYQGDTPRPLPPTPSTPILSNPTLLSA LASTHPSHAQSLQPLITALDTNLTLATRLLSLQTHLTTLRDQTQQLLLQHTTLSTQWR RKQAKMDVALQPWSPKAMYARLLSGIAEQEAIIRAVEESFLEDGGGGHHGGAAMAGER EVGDWIRRIREGTAVLERRRESRARWDEGRVGGWR EPUS_09002 MARQSRLASAHEKKMTTELTTLSRQWPASDEPPEFALWMASSEV DQKFLGHFAKHTSLENPYLSSMLYKVLGLSVILSKKLLRARKQRRLDPTRDTRLVQQL YHHILWLSREGLVMTEQYILPMVGHYVELKVLSYKLRASFYHIFVLFHNKPSINQASG PFPLFPEPLSPRVSSGKSKVTNRDSITRSPPRRRSPTNIGAPVGGGPPGLPPPPPTAS NSGDFILPSADYTLIATSAFITASDLAESLLPGSHPVRLSVKLEYVAYLYDCLHEKEQ SRRLAKRTIADVYNAQEGMDDESFEDAAEMVGLLGKMMKRGLGAGGSGSGSTRGGLAG GSGSQGSRSTPRVGEQHDMVTPMLKQELGVTPPRGSDGMLMAVPSPGMTNPI EPUS_09003 MSAQTPIPFSEPPYLCGLPSPYYTPSHLKWQKACRAFLEEHFLP YAMDWEREETVPAHVFQTFAKHNMLIPNLPAPLPVQWLKKLGIHDILGAVKVEEWDYL HTGIYIDEMARSGLAGPSGSLTVGMAFGVPPLIKFGSPELQSRFLPDLLTGKKRACIA ITEPTAGSDVANISTTATKSSDGQSYIVSGTKKWITNGIWSDYACMAVRTGSPDSGPA GLSLLVVPLKNHPGVTMRRLKPSGQITAGTTFINLDDVHVPVSNLIGTEGLGMKYIMM NFNHERLTMAVGATRQARIALSAAFEYCLTREAFGKSLIEQPVVRHRLAKAGAELESM SAWVEQFLYQMVHLPPKEADTRLGGLTALAKAKAGLVLNECAQCAVLLFGGNGFTTTG QGEIAERIYREVMGMRIPGGSEDVLLDLAVRQLVRNYQRHTEKIMERPRGGAKL EPUS_00454 MFLLTSHRVVNTIIALSFSFYVSADWFTNPPSFLKPELDATDNQ KLLDLSTTFTLGETVVISWNVPFSTVPYVSLALHHFDVRRDIVLNVFISNDPNEGSYI WTIGDNDKITEEELAISPNFSFRLLDVSGTHTSTGNPPGFVDHTLTSRGFVIKSNRTA STSTSISVSSTSGLLSEPISEPISEPSSEPTSEPTGESSSEPTSESTSESTSESTSES TSEPTSEPTSESSTTTSAISNTVSTVSSLAASPTQSTATTSPSGHTTGDKVAITLGAF WALLVGLLLYLYFQHRSQQKHRAQNSNVEGFSGLKPELEGKGVAFIVPKPDVHTAELP DNNLETPTAELNRV EPUS_00455 MPRTDRRWRNLVFLTLLLYLLYNYFPSPPKWENNRSANRPAPTR EQTTKPEFLYHSHFRQNPDVTLENQLEQALLNIENRALLPEARGTVKKIWQTGPEDAE EREYDCRRWQEQNSGWEYKYLTDRDGLDFVQSLNSIPSLTEIYTNYPVSILRADLLRY LLLWYNGGFYADIDVHPIQPIEFCNSLNPLFTEHYHNISLAVSIEIDEPYASTAMKKQ WHWSRTYGFIQYTLYAPRRFSPFLRRAIVRVIAHSVRHNRASSGFFHGPRYSEEDILE VTGPGMFTDAVLDVLSESLPQEHDLMSASVKADETAGEIKRDDRARVTWAPFHHLKEP LWIDGKAGRGQSAEGEGEAESVSGLLVLPINVWGNGQRHSGAEMFDSKEACVNHRFGR TWKKGWWEYIFG EPUS_00456 MQPAPLRLAQCIRVAFRVSKARPHSPVLRTEFHRVPGKNRPSRA IRLHAEARCYSQYSDTKKDLDQNGKGEKLSSSTDLPSKSKDESSAAPAPPSSKRRSEL PSHTESRRTDLSRRASHLMDSLQANIFTASQRLNDLTGYSGIEALKKSIENQEELVST TRQGVKAARAAYTTAVATRSDTQREVNDLLQRKHSWSPSDLERFTSLYRSDHANEQAE QRAHDELVQREKAAEEASNALAKSILARYHEEQIWSDKIRQMSTWGTWGLMGVNILLF LIFQVAIEPWRRKRLVKGFEEKVMEALEKEKIDVTSSPALAAASGDRVSTTDAAPAAP AAPAATGPDVLAEVVDQAKLAAAISMVDAVAPAASGNSSAIETAIEVIDAAEAIEAAK NDNGDPVPIEDLLPVQAVFGEPRTGFAYYQDAFRELFSEKKVIMTQRDVTTAVLEGAA GGAALMGLLLVLLRPR EPUS_00457 MRPLASGAGPALRSWTKHSLPLPFSRIAPAVVTVPHRRPVSEYS PTSSFNSPFKGEEYSPTTKIPSFGKYMSKNSETSNRVFQYFMVGSMGLLAAAGAKATV QGERCALPEALGEASLQRKPDFLVSMSASADVLAQAKVEVDLAAIPEGKNVIIKWRGK PVFIRHRTESEIKDARSVNVQSLRDPQADDERVKKPEWLIMLGVCTHLGCVPIGEAGD YGGWFCPCHGSHYDVSGRVRRGPAPLNLEVPGYEFPTEESVVIG EPUS_00458 MPYNNTAIPPPDEITGTSALPLARVKKIIAMDEDLVQCSNSAAF AISVATENFIRYLTEQAHNVVKAERKPRRNIQYKDFANAVARIDNLDFLADVIPKTTT YKQFKEKRAREEAASAALVPVAPGQTTLQNGFGGAVPIGHLDPHPDRSSREESNGVSS SQKLPFPMNRSPVADRTLHTSVHGHPPPLMQRDVEMEDGGISR EPUS_00459 MNSRSSSYSAHSQRQSSLVPERRLPRRRSTLSSVERGHHGASTD PAVHSITEEIAEIKRYEDFTTIDWVQDDAREQLRRRARRKENATFFAREGILGWRGKL RDSYEAGQAWIVVTIVGAVIGVNAAALNIITEWLSDVKLGYCTTAFYLNEQFCCWGAE NGCDEWKRWTSFGLANYIIYFIFSVLFALVSAFLVKQYAPYAAGSGISEIKCIIAGFV MQGFLDFKTFLIKSICLPLAIASGLSVGKEGPSVHYAVCAGNVISRYFDKYRRNAAKT REILTATAAAGVAVAFGSPIGGVLFSLEEMATYFPLKTLWRSYFCALVATAVLAAMNP FRTGQLVMFQVKYDRTWHFFEIIFFILIGIFGGLYGAFVIKWNLRAQAFRKIYLTKYA IPEAVILAGATALLCYPNMFLRIDMTEMMEMLFLECEGDQDYDGICEAKNRWSMILSL FIATTLRILLVIVSYGCKVPAGIFVPSMAIGASFGRMIGILVQALHESFPNSHFFSAC EPDVACITPGTYAFLGAGAALSGIMHITISVTVIMFELTGALTYILPTMIVVGVTKAV GDRFGKGGIADRMIWFNGFPFLDNKEEHSFGVPVSQVMTSDDMSVLPSTNFPVSAAED ILNSTPYSGFPIIEDRESKILLGYIGRTELRFAIDRARKQGLMSPVAKCSFAPSSSSQ LGTSATPATPIISSSPAPLTFDDIATSSGQQTVDFSPFVDATPITVHPRLPLETEMEL FKKMGPRVILVEHRGRLVGLVTVKDCLKFQFKVEAQETNGHGVGVGHEEGNGGRQQEM LWKGMARVGEWMADKISALTSGRLRLPPRRAGGSASASTVAGDGWLGGRGEERSSLPV DDNRHDGDILDGTEDIDAGVELESR EPUS_00460 MPLPLLAESLWRGLSSIPYGYTVLKFSSWALVISLLKYYFGGAR NTAERVMHGKVVIITGGTSGIGAAVVRDLALRGAQIILLSRHAANDPFLVDYIDDLRS STNNELVYAEQVDLSSLHSIRRFATKWIDNAPPRRLDMIVLCAAVMTPRWGSRQLTAD GLEEEWGVNYLANFHLLSILSPAIRAQPPDRDVRILFSTCNSYIGASLDLTGTQKETS SGQRSYGRSKIALMTFALAFQKHLDSYTRPDKQPNNARAFLVDPGQSRTPGTRRWLTG GSLWGLLAYLITWPFWWLVLKSPEQGAQSFLLAAMEGELARDAGGKLIKECRERDFLR SEVTDIEIAKKLWEFSEKQIEQTEKESAVRRALAKREKDAANQASPSAESMGSSKQGK TSSLRNSRKAK EPUS_00461 MSQEEDEYFLPLQDQQVFGAGIRRKRVAFVRAESEPSPASQATP ESSVADRYLAIVQPPNPDTENPQKQSDDVQEDSTASGEVMCTVCNLPITPSTSSVPTQ PHESSLAHQVCLSHSHPPSHLDRDHVGLKYLSSYGWDPDARLGLGASGTGIRAPVKSK VKNDTVGLGVKDSDHVRVKKQAKNMVRLNAKQMRLREAAEKKRELQLRDAFYGKDLEA YLGPGG EPUS_00462 MDAVYVLTPQPHIVDCLLADMEKRRYRRLFLVWTSELDHNLRKR IECLPTAREQIADYKTLYVDYYPREAQLVTFRDPSSFFVLFHPDCSNLVRGHLEALAQ KVVSICVSLGEYPAVRYYRPRAPTHEAGVLCSHLARFIQEALDSHARLHPDFPPPTST PKPRAILLITDRTMDLLSPLVHEFTYQAMALDLLDIKDGDKLTYLNTVNQGRPNEETK EVEIGEADKIWTANRHMHMKDLLGKIVDDFKKFREAHPQFAENDGASTSVNTIKDMLA GLPKFSEKKEAFSLHLDMAEKCMKIFQENKLLDLGSVEQSLATGLDEDYKKPKNLADQ IVRLMDDESVPQEARLRLLILYILYRGGLLNGDIQKLHAHAQLQPQDRDIIDNLDLLG ARVRKPLKDMSSRPDPIFPTKPPAGPLEEEVSLSRYEPALRSMLEEQIQGTLDPLIFP PTKPHLEGNGIGIDMAAQQTSLRTANKPTWARTRPVANEQRQRLLVFMAGGATYAEAR ACYQVSQNASKDVFLITSHMLTPKLFLHQLRGLNLDKRRLDIPAERPPPKAPAHLFER QQAPGLPQQQKPKQAWQPHPNTMPPTAAMHNMSLNSKPENGARPIGSFSPSLPSSPAT GQAGKLKKNKDEGEKKKKKHHFFK EPUS_00463 MQAWPGQNGPCFLCSLRYFARWTLRSSNQRGFATSSENSRNRVH ERISKGRWKDSILSTLEGGPREANGNTRNGRDRQLQSSGEAIRRTKSGGLVLRMEGSG KPAAELELQSLKQTLRDGKTPDGVESGYAELDPELKYFDSFEAKVTKCFEKQDRMNGN LDITNPLRVSLLQAFVRGGRAELRKALLFAYVDHILQSGEKRSGSSSTPKHLDLRYPT EWYLHARAIQREIHLHVGPTNSGKTYHALKRLEESGNGIYAGPLRLLAHEVYSRFKNK GTPCDLVTGDDVRLAEGGGALLSSHTVEMVDCGKSVDVAVIDEIQMLANKDRGWAWTR ALLGTKAKELHLCGETRVVPLIRELAASMGDTLHIHHYDRLNPLKAMSKSLKGNLKSL RRGDCIVCFSVLGIHAMKKQIELDTGRRVAIVYGSLPPEIRAQQAALFNDPSNDYDFL VASDAIGMGLNLSIKRIIFETILKMDGEKRVKLSISQIKQIAGRAGRYRTANQDDQED GAETKIIDSQDSRVTSFKGSVKQSNVGLVTCLDERDLFDIQTALNTEPDPIKAAGIQP PLEHINSFAKVLPPGTPFEYIIQRLDSEVMLHSRYFLCKLGDRRRIATLLDKVRGLTV VQSCVFTAAPVDTKSELGKKVIKALARCVANRTAVTVVDITEIPLDILDLPLSGRREY LVNLELLHKSLILFLWLSYRFTNIFLDREMATRAKEMVEEKINTALLEFSANPKLRSK VLQMRHAKPDEEVSGDSPDGSQEETSEGMMSGPVEEDLTKSFSELSALPVNWENPTPS DEWDPNAERLAIEQPAAAQP EPUS_00464 MASEFIGYTIVVTLKSPPPTKQLQGIVADVVEQQLVLENVTLLW NGQHLPLHRIDSSVIADLEVVAQQQNAFAGSENIHPAHQRTQDSEAPDVSAPEPFIDP AILSFTKPPIDTRTISADSHPSQHLQPDIISPVMIADSIKQSPVSVVHHENSFVSTRT TLSGSAAWVPAAKSPRRSERRDSTATATLTKPFDHMALKEEDPDLAGPSAKGISSLRG TARKNRRGVTRKAKDHISESTAGLNDASHAESPQTALKKAAGKSKGWRQTPLVEEATT LQAEPKTRRPKHQSRKSYLEDTNGWATEDATDIQDLGEFDFEHNLSKFDKRQVFDDIR RDDTTADGDRLFSYNRVVRPGTNGGRNLHHTENVLDLPTPKANTWKSEAGETEDELLE EHYSSGRASRRDGSRRPPPSGKSTLIPSKASATASPLDGSASSFRASLRLASTNKPCT HIPPLQMLEIEQLCTSELGLGDELLTENAGRGIAEAALTLPPHLPPSPTILFLVGNHK SGSRAVAAARHLRNRNIRVTICVLGGERENMFLESVKRQLDVYRKSGGWTVRWDEFQS KLSSETSPHDLIVDALLGMHVTFSELRTDDQAIAFEMIRWANRSAAPILSVDIPSGMN ASNGEVTVVDSSPLIIHATFIACLGAPKSGLVAALGTGEGRSHDWKLSVVDIGISNVA WKKYGTRRRHGVDFGREWVVNLKFVAAGGGGGGGGGGGGAAAPTTIGAGVGEFDGA EPUS_00465 MFSKAVQQYSYSGINAPPAAGKKQQQPLQTRPSPPNLQKPSGTT KRKFERTISNTGSLGALHGSTQFLNENDFDDDAAIDLTVNGPAVTYPTLPKVSPEIIY PSLPSQPTDTNRPPSSSAPVPWSSSPPEHHHPHPPSKRRTVPWLDKQGNDKSLAPFPS KKPDGSPYPWNKTASAMKAEQRELRKINNSRATTSKQGDMPDEKPAAVTALPKIFLSE EQKAILTAVVDEGKSIFFTGSAGTGKSVLMRSIINKLRNKYNKEPDRLAVTASTGLAA VNIDGTTLHSFAGIGLGKEPATELLKKIRRTPKTRQRWIRTKVLIIDEVSMIDGDLFD KLEQVARIIRNNGSPFGGIQLVVTGDFFQLPPVPEKDRAAKFSFDAATWNTCIEHTIL LTHVFRQKDATFAEMLNQMRLGRLTPASIRAFQSLSRPLNFTDNLEATELFPTRAEVD YANNNKMKMLSGETMTYTAEDSGVMDLAVRERILKYFMAPKELQLKKGAQVMLIKNFD QNLVNGSLGKVVRFSDLEMFAYSKEHAEEFDAAYRDGRSPDDAQFRKMREKIHAAVYK NGTSGRGKLFPVVCFQLSDGSFREIIVQPEEWKSELPNGEIQAKRQQIPLILAWALSI HKAQGQTLERVKVDLGRVFEKGQAYVALSRATTQQGLQVTRFDAKKVMVHPKVVTFYD QLVSISHVQGKKGERVQGLSASEYEKGSVEGREEEYDDDDEAERLMQEFA EPUS_00466 MAENAPPSGLASLPPPPSSTAGAPGQQSYDPTSMNGQMNPHMPP PPLPPVVIPQNNNPIPTAMASPMSGDILSPTSSGGFVRRAAPEPNKRALYVGGLDPRV TEEVLKQIFETTGHVQSVKIIPDKNFQSKGLNYGFVEYDDPGAAERAMSTLNGRRVHQ SLQEIRVNWAYQSNASNKEDTSNHFHIFVGDLSNEVNDEVLLQAFSVFGSVSEARVMW DMKTGRSRGYGFVAFRERPDAEKALASMDGEWLGSRAIRCNWANQKGQPSISQQQAMA AMGMTPTTPFGHHHFPTHGVQSYDMVVQQTPQWQTTCYVGNLTPYTTQNDLVPLFQNF GYVVETRFQSDRGFAFVKMDTHENAAMAICQLSGYNVNGRPLKCSWGKDRPPTGQFDA YSPQAPAAPASAYSAQPSPYGGFPQYGGPAGPGGPMTPQGPSPGGRGGWDAQPSPYGG QPMPTQGYGQMPGSAGGYGRGQPAPNPGWNQGQGQGHGFGNGYAGYQG EPUS_00467 MPKEQTTWKRRSKEKWTREKEARNRSGSPTPKLQCRSQLTDGEE ETEEKRGRADEGDDGALLFTLRKTGTLYRFWTSKRVTGGATESTVKIRARMLKDSISL SSPSGHEFGLPGAVGLIRRSSRVLLSLSSAGTGLLAPSYMRSASQMAPAKPPYDPIVS VEGMYKGSLTRLGYFFKDPKHYGGATLAVLRESAKRYDDALDDCLIQILDAKWLLEHQ LAQNRARRVREAAETEIASNKRKLESTPDEGSTYNHAPDRGDNPAKRIKVQHSPHDMA LPGPEQNGEDSTKGMHNSKHAENAEGEASAAAKPDILTAEEDGQKAGPPDNKESSAGA AGQEEKIITATEPPPDKPTAPPPEEMREQKEQAGEAVQAGRTGNDEEMNLDSMFADVN TGNDQSNDLNFGLDLSTANLVESNPFDSSTHETTNLELLPGLESYANASSDDFSMLNL PSTTRPDPSSVPGLGTEFDLPEIPGDSNFNDLFADGDFGGDASLMDLDLENGFFDT EPUS_00468 MSSSAGHIEYLPRRSSRPKSGHNNSIEPDAELTEFLVPAPTSQS GFLRAPFEAKAQDASQHTRFSKYSNIELPEVVAPKPASTHRAERQRSLTAEQLKTRES NKCFVPDNASPQSQSEIVQKDRQAQSQLRSMLASPATKLYTVAHLILFSILGTLARLG MEAMTFYPSAPVTSSVLWPNFAGSLVLGFLNQDQHIFREEWGSFSSPEDWSFHPSNLE PNDTDALQNVMQSHNNVKKSIPLYIGLATGFCGSFTSFSSFICDAFLALSNGLAPPSL GPPIPSRNNGYSVEALLAILILHIAVSISGLKLGAHLAMAVDPIMPTAPFKAVRKFLD PAIVFLGFGAWVGAVFLTVFPPRQAWRGRVTYALLFAPLGCLLRFYTSKQLNPRVVAF PLGTFAVNMFGTVILGTCFDLQHSRALGTDLMSCWILEGVMDGFCGCATTVKPRALST LLRQMQSSSSASITPRESVEGDSTVKGVGRPKKLDWDKLRARHVDDDPSDDVQVPHFE TLLHWKLPKLKAKDVEELQATFRNFAELAVKEVDYPDVEAVANEFDRWKSKVSLAKIT QEHFSSDVTRCTFSNEAMLQRTIMIEIIDRHQLHHFLTFDSEGQWKQHYSDCLISTDS DLVTLPKPDLNVSFKLDSFDKSAPIPGNLKQSFRPDSTGQKYGRCFPFLFFEVKRATD NLEVALMANLHSASQALLNIYAWMVRAQRAETFFEKVRVFSFVFNAQALSVRMHRATR HEHNLLQYHFVELVDFNKYSKDQSFQTLQRISPRLRTTLPKPRLTITSIPYHQHAPST FLLDLKLPPPTPPPHPHHRLPSHNRHQRTIHPTNINININTNPLRITRPRPLPLAPRL HTLLLPSSTSTHTPQLDLREIVNQPAGAQPALNPTQVSPVTTLMIWQTVAPGAPAQQV QVVYSQAFADVPDQWELPVAGSIGLGTIKGTVGVVKTNNKVRRGIVAWPTAVPQAPGE GTFLKVEKVEERDAAAFVILPPGNNDGGVVVREEQSGLEKRKGKGGTGSSGSSSAAGR VILGAGPPTLALLIALVACMVI EPUS_00469 MSDLPSNASTHRFRVKAGINRKNPEQRAAAIQAEALRLANQIQP QASPAQQDAARRTASTQRDARVKTQNTASVFGSGAGATSSKSKNAHAVSGTEELIQGA EGGESVSLERERLGKARAVASNEEKPISANQKKSGRQAKTTAKKEDAIYFSDGDVEGQ EGKPVDIEDIDRISISSDGDEEVDDDIVLSRRRRVNKTPKPTLGLRPVRAVRDTHVRD DTENEAYTKVRRKAKGIDPQKADKDHGDTMDVDEEDENIAVTAEQTTPPLADKSNLPS QSPTKRRRKSSTKGLKPEFETIEERAERARYASDLRRLKNELAPGTHEHHQGDEKSHE AAPLSDPREGGLYLFQFPPLTPMLINPSQPPKQPEIKSEPTTTFTEPNAPASASAPAP AEPQVKKEDGADTKTPLTTTSATEPERPPLLTACNPSSLPAGLAGKLHVHQSGKVTLE WGHGGHTNEATNLEVKWGAEVDFLQDVVLLASSSSPSSAAGGGGGGGDGGDQSVSGGF DGGKKAWALSQVRNKFVVVPDWGRIYE EPUS_00470 MEVSSLLTDDSTYQTRSSEFNKPALQPSQAHHNSFGSHRAQLKD EPKPTNLQSSVTNNKPIYPKTMDVMNPVSPTTLKQSTATPPKKVSFELLLDETTKTRA RIPMRVVINPHDTTDSIIATVKNWYGIYEGHGVSFEDTHGNTLIARYENVRHDMVIYV RIIPGQPYPSSAPPYPQQYGAILPEPQRRLSLGEPFQMPPPHPTLDHTQAPSRPTSRL ARKRSASPPDAGRGRSISQQKRGSRSELRSRNSSTHGSHNDDVINGYSDSDGGQSSIT GSRKARSEQFVSAEISLENVLQDGRRNRPKFDSSELPLFVPPQVPLTTSQSSISPQRR IGGQAAPSPFALPSQKLFHHNFQALPSPQGSNEERRAARQDNRRPPPSTKPPQHGHRL RNRGGAQNASRTRAGNTSQGILPTPDPTIASCISDEDVARQLIALGDASNFSLGRTSA STLDDAFSGVADVASSTGATSDSDEYSDHDMDLPKPRRARTYSTDDGADDDYHHDKII KSEEFDVSDGPPKAKKIKTKIFDGSSQGIRPKSSIARSGPTAKSRPNPLPKTSKSPAN PVLMKAPTAPAGSPVRKTSASMLNFQHQLAADEEDLSSKPRCQRCRKSKKGCDRQRPC QRCKDAGIGVEGCISEDEGNGRKGRYGRHMGVVVKKSAEELAEEDRSRTAGAVAHGQA SAEVSSDKSKKRKR EPUS_00471 MDAKPQRPVRILRVRPDENALPTLPGGKTIHQRNKSSPALSSAL GAAGLKAPAKRTAFGDVSNTNNGVRPTKDDGAIQLKQAVQVNEKPVSLVADKKTTTFL KQASRPYSVANLRGLLAGGSGNTSTEVVTKPTPTETDTSANYRKQPTKRSTTTIFKDV TLSAVEEPASKSRTEIESAATSISLTKPSLPLDVSFITNGTDVQQPEAILASDASLDR AEKSWTEPNTESNEVEDLAALRSDGIYIDDNGNVQIYQEVQLEADDRNQPTYPLSKPA STGQTKLEVYPASTTEVHASSVPVADSVQSQLAQRAEPEEYWEEDDENYDEEGYVTAR SFRSRGENTTGGATTVLFPLVNQKIKKEIEAAKQLVEAERTPEEIEDECFDTSMVAEY GDEIFEYMKDLEVKMLPNPHYMDNQAEIKWSMRTVLMDWVIQVHHRFNLLPETLFLTV NYIDRFLSCKIVSLGKLQLVGATAIFIAAKYEEINCPSVQEIVYMVDGGYTIDEILKA ERFMLTMLQFELGWPGPMSFLRRISKADDYDLETRTLAKYFLEVTVMDEQFLGSPPSF TAAAAHCLARLMLRKGRWTPQHVCYSDYTYTQLLRLLPRIVECCEQPRKHHAQVFQKY SDKRYKRASIFVEGEMARGFMLPASTKDSGLPYTPQFFDPSAWRHL EPUS_00472 MSFLKAFVRLLIAASFSLATAQNGSYPSNTVQIVKGVDLQLPPT AFGGGIEGAAVNAQGDVFAVDYRAAGAGPNKAFGFFSETEGGTESVLNLAANPIFNAS TEGVANPPLINGARFLKDGRILIADANNSRVLSVKDARSSTFCTDAAMLQPNDLALSV NIPEMIYLSGQNFTETTIAGQHGALWTCAGSTAMQFPPALLAQADVHRTNGIETSPCG RHLYLSSATNVAGNVTANRIYRFNIHTPTGILISQTPSVFYEFTGADAAIDIDGMRAD VRGNLYVTRNGDGKIVKLSPEGQLLMTINLPGMGGPSNLEFGGAEGKTLFAVGSCKAN ATIGCAAKFEGETVGKAFRLLQ EPUS_00473 MRRINHQIPFFPYRFLFLYFEPFAAFAGALKVVLTPVSYLQVFS PSATSTTYNPLEQPIYDQVGALLLLFAWCQAVVLRVAGEDVRVWKSILFGMFLCDCVH LASQYRILGWEVFVNPSRWRMEEWVNFVMLYGPGSLRLAFCAGLGLGEDGSRVKRAAK GD EPUS_00474 MSISIDRSLQDAIREFQGVLSPDQSNQLQAINAVPDTAAVITFV AQLDEKNAQRRASGVATRLYTILDYNHIAPFKQARKRRHGNTAQWLTQSQEFNRWMNE PGSSVFWCSGKLGSGKTILTANVINHLFLHRNGIDVCIGFFFCRYDEAISLKAEVILR SIIRQSLDATNLPRDIEVSLEDISQSVLSGIDELQSLLQKKVALSQVYYIVVDALDEC EKSERDLVLDVLQSVIALSHSKVKLFLASRDSIGRDIRKQFPSLQHLSMGSSEALSDI STYTRETLDARFSGGDLVIGDRQLLKEIQDALIQGAEGMFLWVAFQIDDICSQHSDED IRQALRNLPKDLTETFNRALSRILAKGDAKTVKQVFRWVAAAKRPLSLGELREAIAIE PCQPYSKPERLLNGMSRVPAWCENLIVVDEEDEVVQFAHHTVQQYLLEKPLEKRLSEF HFQLQDADLEVGESCVTYLNFNDFKTKLIRRPKFQAPITPSAILETALGYRAESTVAT SLFQSVVSRRRQKTTKVDVAQKLACYGEGNNENLPGKLQLEHPFLDYASENWLLHTTN FEHGKSKTWSLWTQMLLDEQSLGQTPWTHEQFISRDLEVGKWICDENHSALLRLIQSS DRKFPVEERMYFIRYMSMKGNLTLYEHFSSSELAAVLQGACEAGHFKIVDKLLAAKAD VNAVGKHGRNALQTAAQADHVEIVDKLLATKADVNAVGEYGQTALQIAAQRGHVEIVD KLLAAKAGVNAVGEYSQTALQAAASKGYIEIVDKLLAANADVDAVGEYGQTALQIAAQ RGHVEIVDKLLAAKADVDAVSDYGQTAL EPUS_00475 MPLLSHWAMIVLLIFLCISSEGHLTLSSMLRSFLNNYDHENTDF SRASTASNQFTEITRAPNGPGSNKFPAIAPTVLAGVPSYGKAGSSNTSINITSGGLSS NLTPSVTTEAIPVTIKSGNSTVTSLNITSTSSHISPSSGNSTATNTTALSITLSSDTE LTTTVIPALTTSMDASPSTEISTVSTSFGQQSGTSVSTSATRSSASNPIVTTPSGPLS EVSVSSEKTSPAAASTPTTSTSQIGETAMTASPTSSAIESSTKAPDLTMYTNFPPVST GEVPSVTTDGHIETTGSDHKPARVSVLNPHCFFCPPAIEFGVALFGLLPGIYPPGPPP LPGFKSPFPALTIGNNGDPTYSSPTPTDQITPSSEADSTKSNSSPNTETDLSSTTDDT RSVVHQQSINLILKLQGHNNGFVMLTTQSCPTFRPLHYVEPDDDGIEPIPGTSEETLA TAKRWRRRTSLTKRASSRRINFSRENPKCLVVDEVKTLTVPDPGNLPGFPAAKYWFVT AKASANNRTYIGTYNGTHHGTYNGTYSGTHDSGYDGEYNGTYYNATDNGPYRGTYRGT YNVSACGPWRMNKAPSAVNENMKDAFGKMYVDANRKKQTPEFLRTHSFVNVDHAFEIK SFWNQHNDGSKGVAKGKGERGSFYYVLGGRDGWTRLQTITALLPGTWAPFADFIGTDD TLNTWKGEIFQTAEQLTVEKMKVKHLQDSDLPSDWIDLLTYVAGALQLVHHPDVVEAF QNTKNRLYLAFKEIDKINDACRVETYADKFKRYMDGRLEQQKPRIEGFYREIISERIK PNELGADDPIKVKWEIFNAVYPESYFTLHYESMLPWEEKNAAQTVQINKREDSDAGCR LSSTATRQDSSSISISTGISASSRSSPDTAPVSSISMTATSSQSQMRAPSSIRMLRCM RPRKMQITPRQRINPGPDRLRLLLYAPALPLPGISPDCNDGFYDTQQECTDNCDDGEC KPTPGMGSDEVKIVQNCYCEPPLPSPTPKPEPPPAPAPKCESGRRSSARACRNTCPGG ECERYSGDTDIAWYGYTCNCGGWLDLWGLSLDSGIGNVTFGNVSAHDDFF EPUS_00476 MPSLQNLPPELLEVLASYLAQADLAAMAQTYDEGCEKLADCLVL RIDLAQLVRSISLEHEILYADPCADELLPLLPNLQHLSFFAHVMDFFDRGHEEDYNAL GLGLWWPGPDDRIPSCVRKAASRIDTWLPALKTCTLEFFDASPLWNLERKAVLFLHPT LDSLVVHHAYYERDLLDPPVEDSHAPVEYWRSPIFDADLGPGSTSLKTLRFFLCEIDP AALINILSFPRDLEHLTLTYPDYASHRVRVDPAPIDAVCIALEQQKHSLISLNVHGLN RYYPKPEFDTFKRLKELDIDLDMLCGAWDEDSSVLTDDHEPSFGLDKFFPPSLERLTV RYRLLRGERRQGVYRFECLEKCLANQNRILVSLQTLVLVEEKYVDRIYGSLQDVGEEM RKQIARIRERLICNGIDMLQETELLEADPYPPRLRDLMGYHGNKTYRESYERRWGPIP EGQP EPUS_00477 MQTKHFINDPTHLVNTALHSLTITNPSLAFDSSNKIIYRRRPKD GFASPKVSIISGGGSGHEPAFAGFVGKGLLTASVAGTIFASPSAEQVRRAILTRVPTE KGVLVITMNYTGDVLNFGMAAEKAKAAGIETEFFAIADDVGVGREKGGKVGRRGIGGG ILVLKMCGALAELGGSLPNVYNLAKQASSSIVSVGASLEHVHVPGRAIPDASSDENIP QEEVEVGMGIHNEPGSHRVSATLPELIKIMLAQMLDPDDKDRAFVSISESDKVVLFIN NLGGVSMLELGGITAEVTLQLQKDYKLTPVRTISGAFLTSLNGMGFSASILKLSDIGF GSGKSMLDLLDYPAEAIGWAAPIQPSTWNADNDHTMDDKPAATPSTSKSSELTLDPNL ASKVLTTGLEKMIACEPDVTHYDTIVGDGDCGIGLKRGAEAVVSELKKGDLSTDAVAF VNKIVSVVENSMDGTSGAIYAIFLNALAHGLREQDTGSKQEVNAKAWTAALKSSVAAL GKYTPAQPGDRTLMDVLIPFVKTLGESGDVKKAAEAAAKGAEETKGMKASLGRAVYVG GESEWVGKIPDPGAWGLKEFLEGLAGAC EPUS_00478 MASPTDNVSTRMMRLKVLYTFDVESKNNCLARWPNVLEVQTAFI DDLTQIGVIDLKTCLQALTSSSPELLSQHAIDYTIYAFDYSEEGTPLSGQGLLSTIFN PNSQNNLTDTTSKVITGRVTKNVLGLFSGSGQETLEVKLRLLPVTMQAQSSSVFGQSG HHDYSGINQQFGEANDWPNLLSHENGPIFTQQSSGLGSPVDRTGMEHMQRMLHEGSVP RENPTNRSSESLQISSNSQQGSRPGTPGQTQPFHPPARPSFGQASRPSSRASVRAVSQ PTHHKRRESFNSGYYSGDEVQVEEGPIRKRAKITPVGAPSRSDLNIERQPESLRMAAS TASSVRGHRPIAINSALSNLQGNHLAEEPVRPPTPIPGPHNSGNRRQQNQGSGLRRGP QQHLQADISYQGQSVTNTVDASATSPEFTMGPSVSSTPADIPSSPPVITNYGSLPTSP VLPRPPPPAEQQDSGFMDEIGDLIDGNKNFLWNDDLTDVGRNEFQLQPPIEANAATEM HNNFTPVSDRGGYLDDELTNSLLPPRPKPSNQTASSKRPLSRAQTTRPAIRPGMSSPK LAPAPYPRARQLEEEMAVQPALPPVAASDPLGRQLQRSTTWAGDMSDAPMSDAPVGEG GRAKSGSKKKVGREQTKARLEHALAQNEMPPYCDNCGTIDTPAWRRVFARTLPGHLYE TFEMWPETQGAYVWKQIEEKAADGTVIKFRAYKLSKSPEDKGEEWINVTLCNPCGLWF HKNKCMRPAEKWTRNPKDPNQKKKRAYRPKSKRVAAKKGQEDDSKSDAPDQGSEGSSP ADGATEADMENEDERQRPDIGTVEPELLPVHQRATTAEPMMTDGKAASVHHLPAGSET RAFQSSPVRNVGSHSHGQPVETDQTPKPLCRQLFPSPFNKTPTKSQAAPSGNDTITQP LSELPNFYRRSPRLNKSADVLGNHPKTPEKENRVSGPTHDDDLNDLFNDEEDSFLIPP QTPTPTRRSDRLLLKTPSKTPSGRNPRTPGAHTSPNAHRASQEMRTPKKDFIMGSNRT LEEMTPFTRLIHFELIKEHTAKTKTTDEPAPRASENPPAQHSDLDFPDLPDLGAISPS SRPFDSMHDLDLSSFNTSFPDIFQTNIQGSGSSPPNGYYNYLNSDFLDPALANGQWDG AGEMDVQTGSNGASLVVQGTTGLRRSPRKNKSG EPUS_00479 MSFPTPRILPSHLHAFAPSAHPSISTIRLLGHITSLRGDQAVLE SCNQETVTLILNRDSHLSLHSLYEIIGKVINLEGGQGLGVRVLGTTEWPSRSPEGVAV DLRFKEIFYEAAGGGGGVDGGMNVGMGGF EPUS_00480 MSLGRAFAGHRLDHNIACAAQNGFAGIEVFYEDLDYLAKELGHS SGDSTPSEDQLLAASCLLKEMCQTNGLEILGVQPFLFYERLVDRKEHTRMVEKMQPCF KIAKASGIDIIHIPTQFVGMKA EPUS_00481 MGPKKGQGPHGGGTKKAHQAVPDSDHIVFTNKHNNPPKGGLEKD GQPTVPRPDARKVIGGASWTGKLPMTLLSELCQKQKWNKPEYSMRKLPDAIGGGHVST VTLSAVNPRTKETTKLAPLQLPHSHQDLASQNTPLEARHFAATYALFRVSSMKNIHMT LPPKYKDLWKGELAKLKEEDVKAGRAWMYDADPFLTAIETKKIRDSIEVRKAKASEEK TAPSSGPNLLGQNSGRLPPKAWERAPCVDMGNKIRSEVEEIVKGHGVWNPYQILLSES QRYTIVEEISNLGFRRSHVEEAVQQCKDREETLEWLLIHVPEDDLPTWSFPDNYTSGI SLASGDLAKESKLKRLALAGYSSDECAAALRRSNGDERRAAQELQNNLLSIDRSSLML GSEKVTEMWQEELDTLGAVFSDRFSSVSPDECVIQGESTKASLSFHFRQPLGDYPQSC VPIIAIHSKDIPAYIRLSATRRALGYAAESLLGGPMIYNLVEWLETHLPTILENPGLL RDISQQNPQPNSEPVIRLIANRETPRTQQMRQASLQISTQLKAVREARASTSMQQNML QIRQNLPAWNMKDQIVQAVNSYQCVIISGETGSGKSTQSVQFILDHMIDTMTGSAANI VCTQPRRISALGLADRVSDERCSPMGDEVGYVIRGDSKIGSKTRITFMTTGILLRRMQ SSADLLQSVDDLSHIFVDEVHERSVDTDFLLALLKDVMNVRPDIKIVLMSATLDAKIF TSYFGGSDKVGEVHIPGRTYPVTDYYLDDILKLTNRSQTDSGVQNGYPDDVQAEMDEL SIGRSIRELGTGINYKLLADLVSQIDYDLGKDSGGILIFLPGTLEIERCLAALRSYER LHALPLHASLTPADQRKVFPSPPRGMRKVIASTNVAETSITIADIVAVIDSGRVKETN YDAASSIVRLEEVWASQAACKQRRGRAGRVQAGKCYKMFTRKVESDMAPRPQPEIRRL PLEQLCLSVKATVPKRDVAHFLQNTLTPPESQAIDVAMTLLHRVGALDNNELTALGRH LSMVPADLRCAKLLVYGSIFGCLEACLAIAAILTVRSPFVSPRDKREEAKIARATFSA DHGDILLDLKAFTEWSERSQSSSSKAVREWCSERFLSAQTLRDISSTRSQLLSSLKDV SIVPLEYGKSEAVYKTLNRDNTNFMLLRALIAGALNPQIAKIELPDKKYFASMAGAVE VDPEAKTIKYYSQDNGRVFVHPSSILFGTQTFSGSGSYVSYFSKMATSRTFIRDLTPF NAYGLLLFGGPIAVDTHGNGLLVDQWLRLRGWARIGVLVSRLRALLDDVLRQRIDQPS TYSVEDSVIGIVRRLVQLNGQDQ EPUS_00482 MSCADFFLAFIAILFPPIAVWIKVGVCTADSVINIALCCLGYLP GLLHAWYIIARYPEHDYDYEPIDNERGTERVTYYYVSHNQRGTGPEGEMNYGGTRHPQ PKGQQQTGVVSNAPAPSNSSPAGASSSREQHVPGGENAPPTYDDAVKGDHKVQTAD EPUS_00483 MAVGSCLITGGTGYIGSFTALALLEAGYKVVITDNLYNSSAEVI NRIELICGKRPDFEKLDITDEGALDAVFEKHSDIDSVIHFAALKAVGESSEKPLEYYF VNVYGSICLLRSMTRHNVTNIVFSSSATVYGDATRVPGMIPIPENCPLGPTNPYGNTK HTVEMAITDHIQAQRNNAQKAGKEADGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXRAVISFEPINGLVGPYCTRRELVLTENGRLDYSSH DGTAIRDYIHILDLADGHLKALNYLREKHPGVRAWNLGTGRGSTVFEMIKAFSKAVGR DLPYQVVGRRAGDVLNLTSDPSRANEELGWRTLRTLEDACEDLWRWTKNNPEGYRQDP PKELLELLKQKT EPUS_00484 MAEPFSKKRKVKDDDTSPHAPRSITDPRFSNIQSDPRYRLPGKR RTHVKVDKRFSHMLRDDDFGRKAKVDRYGRPLEADMERKRLKRRYEFEDRLDDDDDVQ KELQRVEKAFDPIRDGGYPQSSSSEESSSDEDEVDENERGQLVALGNQQGGEIPMGEV SSRVAVVNLDWDNIHAADLMAVFSSFLPSGGRLLNVSIYPSQFGKERMEREETEGPPK EIFTSVKEDTAGPAAVMDDDDEQQIKDSIVQADTGEDFDSAALRRYQLQRLQYFYAIL AFSSPDVAKAVYDAVDGTEYLTTANFFDLRFVPDDTDFSDDKPREECEKIPDGYKPNE FVTDALQHSNVKLTWDAEDASRKEAQAKAFRGSRKDIDENDLKAYLGSDSSSDEEAHL DLEAVVGSSLSKKEQERQKMRALLGLEDEPKRKSKSNDGPIGELQITFSSGLSGANGI KKSVFENEPQVEETTVEKYARKERERKQKRKEKMRHNRDGVGSIIDGKLPDGKTSDEP PEDLGFDDPFFAAPEHDKVSAARMRKEERLRKRAEREAEEAATAAKRAELELLMVDDK DDDGKGIRHFDMKAIEREEKIAKKKGKKGKGKKEFDTEKKSQKAGFEMDTHDPRFSRL YENHEFAIDPTNPRFKGTEGMKALLEEGRKYRKKDTNLEDAGFGTMLKGSSSMANHET DDVRKLVEKVKSKKKDRRA EPUS_00485 MPPTPSPLAIRALQTLALPIHPQRPSITSVRPILRLPHPAPASR SFSTTTPHLTTLNQVRRKGREVKRERRSLSPALVGRPEMKGVCLKVGITKPKKPNSGQ RKTAKVRLSSGKVISAYIPGEGRCGEQDPK EPUS_00486 MPPSEINEKRETFDLHLDRSEGDICQTAAHEGGSLEIGALERDM ASGSARYKGLLILYFISSSALPSANLPTAPGLGTKWSGHEKQPDQKSADSISSSSAVH ETPKASQAPTTSKPEAALTPATTPAPGERMNATFVTLARNSDIWDISRSIRQVEDRFN RQYNYDWVFLNDKPFDEEFKKVTTSLVSGKTHYGEIPEEHWSFPSFIDQDKARKVRED MAHRKIIYGDSISYRHMCRFESGFFFRHELMNKFEYYWRVEPSIELFCDIHYDPFKYM KDHGKKYSFVLSLYEYVETIPTLWDSVVSFMKKHPEHIAEDNSMGFLSDDDGKTYNHC HFWSNFEIGNLNWLRSQAYLDYFNSLDRAGGFFYERWGDAPVHSIAAALMLKKDEIHF FNDIAYYHVPFTHCPTGDALREKLKCHCNPDNNFDWKGYSCTSRFYDLNKMEKPEGWE AESG EPUS_00487 MSFFGFDTTLPRDDPRSGKSKGIFEAQDPFAQVRQARKLQAFQD TQEEPLEFDDTYDGLGDKLEETGDDFNDDTFGGGVEAGTMSKGQISKDFDFFGQTAQV SSAIEEEQLRYSLQQQPKASATTAQITAQKPKKTGYEKYQDPGYIPEIQAKSNVWGTK PQARNQEEQPERINTASSGAPPAKRMMSLEAVEAAMRAQSQPVPPPQQVLPPDYTQNL YQQSIPMRQYASPDPQQHPMVTQHQPQPTKPIQHHQRNPSKQEAIKPAQHPVQILQNP NRAQHSPQPSQDRGTMQDAQPSRPSQPTPTIPVITHPQQSMQLSEEQRRAYLEEDAKR AKRNHKIFLLSKGNGLMTPQDKNFITRIQLQQLVTATGNSGDADPEATLAEDFYYQVY SQIRGAPRQHPTQPLGHFAQTYLLQTGGRLNNRRQAVNGDNHMQRMQQQVQRAVEAAK LKPKNKQLIIEGSLGKISFSNAKTPKPLLNIKRPESTDSKPATSKKANAEVRSSDRKS VLRNLETVYQDLMQLEDHERQMPPPPTAGDVEAAQTHMEWREKTQVLNSKLWRDLKVL EPIVPGSTVPHPFIAFLSFAKGKKSIPRIFRHIDQEQRVTILTMIVVHLDQLDVISRA QIAPGESHPPPLVREEIELFSQVVMPCLLGYVSEAPINIIIGLLGLVLDHTTVLTVAK TKIGLGILTMLLSRAELVKESGNVNDEDWHQWTSLYDRLFDTLEPILGALFPGPVNTG DDMYIWQFLAAVGVGASPEQQQRLVLGVKDRVMETVMQSRTLPADMSSQRLSNVNLFM RAIGLDVELLG EPUS_00488 MAFPLAGPPKSLLGYHRQLAPNAAVRVSPLCLGGMSFGTAWKDV LGSCDKETTYALLDYFKENGGNFVDTASNYQNEESETWIGDWMAERGCRDEMVIATKF STAYQAYKGHDKIIQSNFGGNNSKGVRVSVEASLKKLRTGYIDLLWLHWWDYTTSIPE LMHTLNDLVVSGKVIYLGASDTPAWIVAKANEYARGHGLRPFVAYQGRWSAATRDFER DIIPMCRAEGMALCPWGALGSGNFKTKEQREAQDGRKMGPASEEDIKLSEVLERIAKR KGSIITGIALAYVMHKTPYVFPICGGRKIEHLKGNIEALSVRLTQEDMDEIDAAVPFD LGFPHNFAISIAGSASIGHEIGPGDLWLATMAGKVDFVQREAPIPGGLHNEEMEKARN GA EPUS_00489 MAQIDALDIVVLALLLVGSVAYFTKGTYWGVPKDPYASSYANGS AAKAGKTRNILEKMDESGKNCVVFYGSQTGTAEDYASRLAKEGSSRFGLKTMVADLED YDYENLDEFPEDKIAFFVLATYGEGEPTDNAVEFYEFINGESPTFSKGEEEKPLGNLK YVTFGLGNNTYEHYNSMVRRVDAALRKLGADRIGAAGEGDDGAGTMEEDFLAWKEPMW EALTEKMGLEEREAVYEPVFSVEEKPELSADDDTVYLGEPNKNHLEGKQKGPYNAHNP YIAPIAESKELFTVKDRNCLHMEIDVSGSNITYTTGDHVAIWPTNAGVEVDRFIRVLG LEENRHRVINVKPIEVTAKVPFPTPTTYDAVVRYHMEICGPVSRQFVSTLAAFAPNEK AKAEMTKLGTDADYFKSKISSQYLNIAQVLHSVCPDQPWSAVPFSCLIEGINKIQPRY YSISSSSYVQPKKISITAVVESIRIPGATHIVKGVTTNYLLALKQKQHGEPHPDPHGL TYAITGPRNKYDGIHVPVHVRHSNFKLPSDSAKPIIMVGPGTGVAPFRGFVQERAEQA KRGEKVGPTILFYGCRRRDEDWLYKDEWDSFKASLGENLTIFNAFSRESPGKKVYVQH LMKQNAKLINDLLTKKSNFYVCGDAAHMAREVSDMLAEIIADERGVDLKKGEEVVKNM RSSGAYQEDVWS EPUS_00490 MHLVYLVDATSEARQALFTQSTQTNPPDSISTSNHYASPFAERF PAKSVQPITSHNKDTSSARGRVIDSSAFGAAPLQLPGRAQSAQPSQPEASYARSTGVL ERKASNSYGHHRQTSVIHGLQHSRNPSFNTSTANSPSTPEAYNAGSNASIDSTIKSPE LSSPLTTSTIASSSHVQGLSGSTMTDQTIPMNLSHGVSHQRIPTLSSRSRQGHGQQPS HSRQRSEPRTPGEYALHHLLNAFVNQADQKINHCIASIGEMATPVEQLCGHGVDPTFD QLIKALGHIVRLKPKPLIDSLMYWRKAKAEAASQAKNQLNQQKSTPPSNSAPPGLLRR NTEPVHSNSNMTSLHSDNDATTVLSGSLAEEVVLTERRATVSVYLVCRVLIEIFEQST MAAITTDLAAKLEDIIFGQLKTLDPTQIASSSLRMANWRIYGQLLGQMSKIDFVNVAQ RFLQELDYCQKEIARNAGSIVARELESRAELLILGMRHVHVRSFPEASWIESAEFIRN IGRLFVSSHGSRIKQAYCHILEKWLLAIALDVRSDLSLSRWRESLDMLNMRLTQMLAK VRHWNTAYPLWALLLCVSPKELFLAQWLPAISSLGPKLKERPTRGPALQAICRLTWTY LYRYAESSGGTTRKIEDIIKLALPQGRKTHLSTDPFIAEPLIELIRIIGFRHQELCFR TIIFPLINSDLFTSGKDLKIEQMEPEKMVIGIRAFLAIMSDLEKGDQGRPTFPQRFPT ASMVEPLPTTSAYSRPQLLADPNPRIASQDDILSRPVNTTKLGDVAKHYYLGFCQILG KITILCDNTFGGQAVLNEKFGGIAPKTPLAETFGFRQKDEGQSIDQKQAYYDLLHVAI QALPRCLSEHVPFTSLINLLCTGSAHIQSNIAASSSQSLKSIARQGHAQPVAIGYPRF IFNYDLKYSTMSDEGMLGPGHIETTLTLYIELLRIWTQEIRQKIRADTEIVENGAGVV RGVRLEKSNVLTQVDEIESYGLFFLCSQSRRVRTFAIEVLRMVTDFDRALGSEQSNRI IRILKDDLQRILDLNEDHLNVAERSRLQKSKRKSATQNTLIEICSSEGPYDSTLWLKI FPNLIRITYQMCPNVIALSRLKVCDRLVQMQSSIEFLADHIRSPQHVAQESKMAARNA ATPPDVLIEQWKLYLTMACVTLSHSGAQSQSQLANAVHARKASRAATASQDKIGSARS LFSAVIPLLSAGPDAIRNAIVLALGSINKNLYRTLLESLQYAVTTCNDEAKARIGSHH RTPSSPLRNPKTDRLRTEVTHVYKLTSAFLKVPEVYNDDWILNNLVVYSKDLRIFLSD AEVQNDWKFQKLRFHYCGLMEELFEGINRSRNPSRWLPFESRKSAFTLMEDWCGYSAN PSQISQREDAMRQFAIEQQQESGERTNVSAAMEKEKTSLRVAALSAMASLCAGPITIK TESKAVLQFNLPRMLSWIESIFATLSDKLHAIGRRALRELITHNKEYPYIMEHAIERC YESEKLKALESYFGVVAEVLIDHADYPMAFWRILGAVLFTLGSESREIRMKSAHLLRT LEERQQRSSKLRDFDISIADKTTAVYKLAQFEYSRRLSKAHGELAFLIFSEFSLHFKS VSTDHQRNMVAAILPWIQVMELQVDPNGGPTAVSYMLLSNLFEITVKSSSVLHNEVQA LWQALATGPHGGNVQLILDFIILLSLERREQNFVDYAKQIVVFLSGTPAGSKVIEFFL LQLAPKNMVNEKKSADQSPPDMGNLPYVADLSAVLPTGNKQAGLSLGQIAMIFLVDLM VAPVALPTDSAIKLIHAVLILWDHYTVSVQEQAREMLVHLIHELVTSKIGDSTLAANK NEIENLVEAIRQNETDVVWSYEDNNGKEDDDGGSRVPASMMNLSRKVVELFSMAYKNF NDLWAKEALCWASTCPVRHLACRSFQVFRCLSVTLDLRMLADMLARLSNTIADEQTDY QTFSMEILTTLKVIISDLDPHDILRYAQLFWTTCACLNTIHEREFSESLGMLEKLLDR LDMSEPMVVTILMDAQPPKWEGDFEGLQPLVYKGLKSVESLDRTLSVLDRLAGLPNND LVGDSSRLLYSVLANLPRLLRQFDLETREQETLHCASRLAEVADQQGYAAIAQCLAEF VRGHHRTSRDFLHPAIAAVQSSFFPEYDGKSLVFLMGFLTNKNSWFRLKTMEILCVLI PEVNMKRPDVACHGPDLISPLLRLLQTELCPQALEVMDHIMEVQGNPMERHHIRMSLA SGSARAIRKEYERTQSLYGIPLTTGWSIPMPAVYSSLTRNNVHAVFYTCGDAEVMQRN AATTPEVEFRSDEGYSDSYFPTRRTNTMKSVDTVPDANMNDLLHKLDSLDDFFDEPNT TAETINTSTYDSHDLHDSGTHTYDQQTAPILKKSLARTASSSSFHNGLAESRPPTSHQ NSVMTPTAFNTTPVSATLDYTTLPLPAVPNMHLSVRPALHGRSITSPANNCAISQPTS APAISNFSNGGGSFLSEEDESLDEATFSDSESSPFPLLTTQTSSTSSGPGPSVSAGGP TLSTPKPVTPHSATEVNGSFSFEGMRRGMRRLTGTKGEREKEKDRIREMARMRALSGG ASAGLNGPLNASPRVPRVPMEYLNATSPGT EPUS_00491 MATITHDMETDPITAALPPNSDYMTYLTILEYQLTPERLPILTR HLAEDDGTLAKEVGWDLVKLILPLIKAAPKEAAKCLEVVARRGNPREVVVRVAEALEI LGADDDAAESGLDEEPITDELPTFEGEAQRIHLGEMDLHGMPPPSSTKEHPSNDMSAS EHPQETMAAPDSPALQLSTLLSMLGILHPRIKTQYPSRFLATSLPAALGAYRKVPITS ETASAFLGLLGKLSGKQRPVLPPRSSTANITNINPAMTFGTEVYAPLPDPEGQSRDNA KAPSDLEMSIVLRLLQAVTLEVLEEYLLADAETMEWSTRLLEKHSPQRAIPDRKSRSR IWQDDENLQSKDSMMDKFCRLANDLRLNPEDVFSHTNLPLVRNQKPEEVIMEMGTEKD EDEEPSEFPTSPSQIPYPHLGALFLYLASRVSAVIYSDQIVSPVEMSMTDLHHFVNQF VVPTPTVLNDHFFPSVSHNPPSAIDAMLALLLLVVLPPNRTTSKSVPRADDDYKALIS ILSYLCAEHPNPSFRTSAHYLATTLLHNHPSSNFRLSIIESTITDCGTFDNLKEVAIN WLKEEILFTISVPASTSSLGGSETTRQNPSSDTNNDNENNIFASPTFFSDHETLSSLI FPAQPPSSPSDENDNDQPQPSSPNPASETPTTAAIASLNLLFLLLTNPTLKARYHLAA KLTSHQQEPSSLAVPTLTEDAPPSTSDATPTPPKTATTTSNSSSSSSIESKTLTFLTT LQTHLAERAGKGTREEEGKLGLLALALGRVVGALEAWKKEHERECADDGEGQVGRE EPUS_00492 MTSISSTTQSTTSSSSTPFESRSHSPSNFPNPLRPSSVAGDDDP AAIVGLACRVPGAQNPSQLWKLIDEQRDVQRKMPEDRFNVDAFYHPEGTNKGTTNAKY GYFLDQDIGLFDAGFFNISGKEAEAMDPQQRLLLEVVYEALEDAGIPLESISGSQTSV FCGCFTNDYNAMTTKDLESYPKYTVTGTGNSILANRISYFYNLHGPSATIDTACSSSL VCFHMGNQSIRNNESDLSIVVGSALHFDPNIFITMTDLGMLSTDGRCRAFDASGRGYV RGEGVCAAILKRKRQAELDGDSIRAIVRGTAANHDGRKQGITLPSSEAQEALIRRAYR IANVDPADTQYFEAHGTGTAAGDPRESRAIGAFFSEKREQALNVGSVKTNIGHLEGAS GLAGIIKATLSLENRKIPPNMHFTSPNPNIDFDGWKISVPTKMADWNSPNGLRRASIN SFGYGGTNAHVILEGHNQSKYGEESVATLPDMFAGMVYKRPFMVPLSSHSEKAGKLLT HSLANYLEQHPDTSVQDLAYSLSVRRSMHKQRSFAIGRDQETIARELATPQPTAVWTA AYETKPRLGFVMTGQGGQWYAMGRQLIEQSPLFRQTLEICDEVLQRLPDSPNWSIIEE LLRSKETSHLAETQFSQPICTALQLAILDLLRQWGIKPSAVVGHSSGEMAAAYAAGIL SFENTIVAAYYRGLYMSNGKGSKAGAMMAVGMTETEAMAELKPYDGRIAMAAINSPST MTLSGDEDAILELKEKLSERKIFARQLQVAQAFHSHHMYPLAPGYQKALNGHPGFAAQ GAKLRMFSSVSARVADPRKMDASYWTANMTGTVRFSDALTGIILDDMDEQNIDLLVEI GPHPALKGPSRQVIQSLKLDIPYLASLTRGIPDYEGLLGMAGQLFMHGYPVDLVAVNS DHFIGQGGLISAVHKGSKLKTLPSYSWDHSRYWAETRVIKDHRLRTRRHAILGAPVPG SIGSHPRWRNYLRLSELPWLSEHVIDGKTIFPAAGYISMAIEAKADLVGNVVDIKAIT LRDVSVKSALTLTEKDAGTEVILELRPVSISAKSISDSWHEFAIFSFDDSERGIEHCR GLISAELGSPTSVERIKPYASLAELEKNSNRRVLLQNYYQRLDSLGLHYGENFKLLSG AIESGPGFAMAPLTFRQYQISTEPADISILHPTMLDASVHVIFAAIESQLGRPLDEPF IPTFLRSMKVSGSFTSAKAVDEEQKFHVCSHTTLSSPRVAISDLRVHSEGCNELLIDM QGLQLTALGGDTSDIATGRSLFFRTRWQPSFDLLGTSGCLSSINGIAQVMDIYAHQHP DSQILHLTSEISSSKETLRLLGGRQGERRRFKSYTPYPASSGQFDELLDIWPGLIKIE EPKEGSFDLVVVEKNADYDIEAYVKPGGFVISKDMDVSSEALTPLFCNSSFIARQKSQ TVAKITEPLTLVLSPVPSNRTKTITSQIEAAHDGVTSKITFAQLAKQSDLHEHIIVLA SLDEEVLFTDSSSGAADYNAVQKLLTQPGKNIVWLLEGATMECQKPEHAIIHGLARSA RSENDQLRLVMLDVAHTSNDHEVSRRLMQLLNPCVKEDEISERSGTLFIPRVEPDDTL NSKLPNGLYGEPRLERFSQRRALALRIGKVGLLETLVFGEDEKLIDSNLEEDELEIEV KASAINFRDIAASMGIIEDDKLGDECSGIVIRKGAKVDDSAFQVGDRVVAWRPGQGAH CSIVRNPASLCYKLGEMPFGVAAAMPLILTTAYYALMDVARLQRGETVLIHSAAGGVG QMAIQIAHMVGAKVIATVGSQTKRDLLKTKFHLTDDQIFSSRDDSFVGGVMKVTHAKG VDVALNSLAGTLLHATWGCVAPFGRFIEIGKRDIHENSKIQMDPFRVNVTFASVDLIT MFERNKPLGARVFQECCKLVHDGVIQPPETITELSYAEVQKGFRLLQMGKHTGKVILV PGKDDIVPVLPFKFRNKKLFHSSKTYLLVGGLGGLGRTLAEWMVRKGARHLAFFSRSG ADKADAKSTVDWLKARDVRVSVFRGDISNYADVQACIVACGCELAGIFQAAMVLQDAP LDQMTFQQWETCVRPKVHGTFNLHKATLQSQLDFFVCFSSVSCILGSKAQANYSAANS YLDALMRRRREMGLRGTTMNCGMIVGVGAVAEDAALQKVMERIGYDPVSEQELLYQIE EAVSADYSATSSGQGVDQHQTITGVNLQRQELFWAEKPLFRNLYANHDFNGEAAQGSV HKNLAALICNVTDPQERIDLLMTAFVEKIAAVLAVSSDIIQPKNPLSAYGLDSIVAVE FRKWFSKTVGVDLSLFDVLGSKSINALVTRAAGLIKVDEMKDEKTDNKKVETAKKTSG GKQEHATTQGSSGEIFRTQAPENIPMSTFQSRLWFVHNMTEDKSFLNLPVIFHLRGQP VISALQQALLEMKRRNEVLRTSYFEGDNFAEQKPVSDFDVHLEYQDFSTAKQPRVSLD KHVTQLCKQELDIEEGEVLRLALAKLHDAEYALVLIFHHISIDRGSSKSFLTQLTSIY DCIRNQNNLSSIPSPRIQYSDFSVWHNAQLQSASLESEIKFWKEKFTGASGTSKLLPF AKSDRPAQNDYKRAVQKATLGLKTLNRMKRICSGMKMTPFQFLLTAFRCFIYRYTEEK DLTILMIDGNRPHPDLEDVLGFFVNMIPLRCTNDCDAGFDSLLEDMKNVTLEAMEHSK VPFDTIVNTVDIEKDPSHFPIGQIVVNYQMHGKMPNYPTQDFDVYDITSDDVPTACEL NLEAMEDPATGLNLRLEYSTTLYDSEDMSRFLDNFLAFTTSVIKDHRQPISEIGMCGP KEIQHLRSNYWATGFTENTWNSMSVLEKILENAKAHPNAVAIRTSEDNTITYEDLVRR ARRIAFALRRRGATPGQYIGLFSRPGIDAISGMLGILLTRCGYLSMDPDFAADRLAFM AADSKAQIILFGPGLEAIATEVAIKTGQSPQTIGVVEAALEDSKLSLLKSASPEDPFY MIYTSGSTGAPKGVVLTQSNTQQMLSTLDHDYKFDAQDRFLHHSSICFDLSVVQIFSA LTAGATVCVASAGVRKDPLLLAGFMQRSSVTVTYFTPSQFALLLEFAKESLQKCVDYR VAFFAGERLPVRVAKAFYDLQTPATLYNTWSPSELVVQTTIHKTAYPDDHCFSIPIGF PMANCRHYIMDSYLNPLPAGLVGEICVSGAQVGAGYLNRPEDNAKSFVENPFCEPEDR NRGWTRLFRTGDKGRFRSDGQLEFHGRIAGDKQVKLRGYRVDLGEVEQRLYLESSKEA GNRIVDISVVARAVKNEEIDPSVGSAGETASLTDDRQLIAFIVLQQTLDVRQGQTFVT SLHEKISVHLNDYMLPNGYQFLENLPVTIGGKVDRQNLLKRDLHLVFPSSVSSPQASN EQITQESIDTKVIQSITNIFGEVLKLPRDHQIAPMDNFFVLGGQSILLLRLQSKIKRT FKTSISLTDLFKAPTPAGVYDIVMSKTQTKSKGGDGSKIASRSVNWAEETILPRDRRY MVPYGARALSRADVSEILLTGVDSFIGVHMLETLLSAQDSTTIHLIGTQQKLEHSSLV AYMEKYNLLSATINEETLRSRVRYIPGILAEPHFQLEDAAFKDLGRTIQAIYHLGGQI SLLKTYTDLKQANVSAALDIIELAAHGNQLTEIHHLSTWSVPHLQTWFTTNRTASSII THETDPTHFSPPSSDEFGYFKSRWVSEMLMTQASQRGFKVSIYRASAVTASTFTHVPE PADDFIRRMVLGMVESGCTPEIGRADPQFAVDFIPVDYLVSTLHSLSSSNNPGIHNKD MSIYHLGNPSPLPLSALPGLMSDIRSDGAVGRSVSLDEWLSIMLKSSNEDDQLRWAVL KDYLRMGHVMFALDREGTEDKIQMVGEKVRCPPVDGEYLKAMWKREGERV EPUS_00493 MPATIAFFGATGGSTVACLAFALEAGYTCSALSRSSSKLQTLLL ERKVPQSTIDRHLTIIQGDVRDLQSVSRTLSAPSKPNDNANSPVDLVISGIGRPPIFS PNPLNPTFDDPTICQDAISTILAALRSMPCSSKKPVLVALSTTGISSKERDIPLAMIP LYHWLLAVPHKDKKAMEALLLAEMAKPADEQAIRNFVVVRPSLLTDGPRLGTGKVRVG EESKKPAVGYTISREDVGGWVFDEIVKGDGMKKYAGQMVSITY EPUS_00494 MKNVSRSTTQDSIGPVRLDALSAAAESSAFNSSLTSSTFAPKPS DKRSANLERPSQSLRGMSIACEENHNSLAADLEDMFDEAFRNAGVIADNAFQESLAAF HHKVNNEPASTMDSLLGFSAGDRQRRTISYEKLLYTMTLELVEMLSIRFGNLGCYQLG DSTARFYAVSLANDDTPTMFESTAAQEADPLVDFDAHRSTQLIEVWFSVHPLSNIMSK TMFLRNYKSNNHDPALLAVMLADASYVHENDATGSKKEALFRWTAMQLRDRPAQNCGL STVQVLMLLGWHELCLSRARRATCYLGYAGRIVTRLQKNVIQAPETGRSQINGVDVAE VEAELVRNIYWLTFSITLWSFMQIDQPYPQLLPSTVPTEFPPVDESSSAVVKLDIVSD NVSTLAKQSRMIQELWPLSHIASTTAHIYALLPREQEPDEASQSVSWQARPLHQLRRL LSLNQDISSLCTNVRKVLVNAVKVLEVKVENALSKALVLTAYHTTIIHLLFPRLDSAD ERVYVSEELLEDFCTSSRSLLELFSVADAEHDGSNLITRMRSSTFADVFVLGLDVCGR AIEYFHIRSQEASELERKNISKKAVDIELISSQLHKISKSETLLTAKNLRPVKKKLKE VKLRFSHADALYDTEPPSSINSDIGIFPDSGGSTLKPMPTPTTSAPLFQAIQGIPELQ LDVPIQGLFPQEFSAPLPEGWPKASSLDFGSGPSDISSRDNQIDWTREQGIQKYNNEY NDAGLALHGNLHDDPGSLALLSGGPSGHINLAGFQQEYHQIASAPGQSEFRASEIAAG SMVPRLSIPEVGMGIDLFDLGVLDGAEGWPPGTKS EPUS_00495 MNPAPHPQVLIIGGGIGGLTLAVLCRKLNISYLVLERSKKFSPV GAGISLAPNALRVLDQLGLYEDIVRHGQSLDKILIHRNKTKWRTLDFTCVKSSFGYPV YSIERHYFHHLLYQAADGDKTVLLDSTVVDIIDDPTAPHVIVKYGNGCQTNADVVVGA DGIRSVTRRILARQAGLDAKNTIRFTGRVHMSGYTAPMENLGAKDLGVGNWLFYDDAI LTTWPCVDNRQWFIGVKKADLQDQEKLNRSVWANVTPDMVNDAYGEKFHPFAESGEFK DIVDKSERVIASNVFEEMDFPTMSNGRVALLGDAAHSMTSFFGQGACQAIEDATELAN ALQSYFSAPYKEDSSTEKPAQREASSLAQTLGAYSDKRGERAKALVSFSSNYAKVHTG KLPYGMGPLVRKLIFAYLPAWGWMWGLTWLYGYQPTVDIIRDPFS EPUS_00496 MHMSLRNTLLASSSLSSFAFVSGSPARQRAAEAVSYDLPLTWSS FGFLSSHMSLGTPPQQITTFVDWTWISQYVFTTTCHGVADKPFDCFAKEQSIFNQSQS STFLDESYLYPSRTWNPNHFFFYEDLTVDYASDIGVVGPSSARLTIQTADFQFDISDA PYPLTGVYGLSPVFKADNRSMQSPFYQAWSAGAWPEPFVAFHYCYNGSVDTTKSTCGG YDGLQTLGGFNMSLIDGEMSWYDIVFFTDVNEIDFEYSPPIYNYWTLQLTELSLGDKV QALNKSRGAGAIFDHASYGRGAPLSVNAYEELISISGATPIALDSPPNNGNQSFYEVD CDRVEALPPIKYRFGGSERPWEIVPSNYVETINDTCVLNVRTLGDGDMIAGNFGETFA KDKYIVFDFEKLKVGLADVRW EPUS_00497 METLLHIQGSETSPFTPLFLIHAVSGLALPYLGLGSLTKDDVGG NEGRAVYGVSSPIYASGDYRLPSSLEDAARQYISLIQREVQPEGPYLLGGWSLGGMIA LKMASILEERGETVLHVIMIDSANPENYPAFINRAEHEKITTLTYNKVVSKMNAPSLV EGDDSSSSSESPDDDNDEDDFSLATMLPRIRKHIYNGVNMVGTVARNHFLPQRCHAPT TLVKCSSLSRPVATLRDVRKEFVQKSFRDERMGWQSAKFKHFRTVQFKAQHDSAFDKA HVGELTGILRGVLAMIA EPUS_00498 MLDTPRRARLLADARHTAGKLPRTQLFKIHNISETTGYRIIQEG TARRSEKVHNRCRKRVLAPYECEAIEAVEDANFGFASSSHYRVAQKIGLANGSERAIQ RNMKDFGVGTYMAAQKKMLSQGHIEARIIWGFERRYWQLDQFKQYRWCDECHFATALQ RQARIHRRPGLEARNALSKTQFKLKRQNQSVHVYAVIGWNFKGQLHFYTGSGVGGRLI QDDYMAILKEIVAPDWDKDSILIEDNDGPHGTKGKGPNKVKALKDLLGIKWEMNPANS PDLNPIETI EPUS_00499 MILTRTIWLRDTRLSRVYLETKEDTWSFGDGMVVSLGYFDNLKT FVASLSRGINRRVALTHYSNIVRETSVSCFLKWAEKSEIDLFEHASVLVHAIVVQCLM GPDFYAENGNELYGLLHGMEADIGNMLNFILPDWVPHPAALR EPUS_00500 MLRLARQTIDVPTTDITIPHASVVSISPYLTHHAPEIYPNPDQW QPERWLEEPELAKRVNSMGRMGYMPFGAGVHRFPGEQLAGIIAATVVGILAQDYDITW GPKREQETTNLDFSKIGSPWLKEDVSVTIKKRTA EPUS_00501 MKYLSLLLLSLLPRSITSASIGIRQTLEACTLQALTGADAAERI VTPQDDTYTDARMGEKIQFEQFPALIAYVKDAREVAPLIRCAQSTGTKLVPRNGGHHL QFRSQFSFSQRGEANCRYLDPSFLSYSALNNTLVVDLTHLNYVDVSPDHSTARVGAGI RLGALYTALNAYNTSWVGGICPTVGLSGLLSAGGFNMQMRALGISGDHVLSAQVVLAN GTMLTASASSNQDLFWAIRGGGGGTYGILTEFTLRLTPLPRSAMVAIQWNETDTRFDV AKRFLDWAPRQPKEFTSQINVYKSKVQVLGWYLGGTQQQLQRLVNDSGLLELGHPQSQ TAGNCNTDNSRIFGYITTECLPDDKVDSSILNVVPEAFAPYENSPQFIYDEIPLSTSR TTASPWPRFRRINKSFFVQKDNLLSDDVLRGVVDRIGQLETEAELWAEWHAWNISEAG DNAFAWREQAYAHLQFQAHGSSDAATQQRYLEWFADLERYLRPVVGPASYTGYMDDTI SVEPLESYYGKNICQLVDVKRNYDPDNFFTNPHAIQPTAPTFTSC EPUS_00502 MIFLPSAAIATIFSMSSSFDKSHQNELLVSSEFWIFWSVAIPLT AVVLVIYALWAQRAGVRAWLAEKRRKRYLKQQKRLGSDKKPMGKGSRQG EPUS_00503 MSPVRALPRAPGNQKYTSHQLALIVKESIFVATTPDHAHVVFNL ERRLGILFTPCRSQLTLPSQDTCVDVQHKKRGRPPLKSDDSATRTISEPESATEAQRG SFAGSSQPGPQPYSYPYQRPSIAPRDMVPQAAGQQGGIQRPHVALAPSPYGMYAPPSV GSSYATASGTTYNPAAQRPPSSSSSLPSSQPPSPYYQTPSAGRSPEQQFPRGRGYRGS YSGQASPQEFYPPITGSYNVSLFPRTSVQQQGLFEVPASLYTPQQSIAPELQLPPIRP APPGAYTDPAMAQQQQRQAQQQHQEREGRAAGRPGDNGTTRQPDPKRPRMSLGNIVNP RND EPUS_00504 MSPRKYQIYDFTNYAARWLPSPGRVHERQYLSSHGETSSFGERC ALLGMHDVSAHLNSIALIERYTYMIVDALDEGSPTRKDIVSKILSWTAWSMRPLDSSE MFAALATRVEVGGFAKCRSESHEYLGPSNEEELIAFCPEILEVRQGGQVTFRNEHLRL LIRSSWSRGLGFASPEAVHESMAAVCFHHLCCIHEETILRPWIDTGRFLRCELRQCHL RLYSTSYWQDHYRAAEASSKKLVSMLHSTLEMALTARTISNGNRVIDPISRMSTGISI CSLWDLEILGRTYLEMGADVNYCYGSHEAPLLVAAANSSLRMLRLLLDRGAFPEVRDE FGLTALQQACQAGALDVVALLLQKGADPKSSYDGDTATSTRSISPAWAPLHFAASHGH LDIVKALLQAGSDLEASTAEPGATALHLAVKGGHEDVVRYLVELGAEMEAETSMVETA LDIGIQEQHDSIIRYLMDKGEERARNIVQHKTYPDQIFNNKFITPITSHFQSLSIKTT STSPDGPNLVRSTSFRSPMTPVPKSCARYDTTVTTVPPQSVAGL EPUS_00505 MSSRINQLCQQNEGDTGRSYVKCDCCRQRHKKCLPASRIWPEER CTECKESNLLCGPPLHYKDSPLSGPEGRSSKRAKSQSGLSLSDRNDKNNNNQDYGGLG PSEAAPNFGNGSGPRPHLPPISSSQRSPLSPPTTTLLSVSSGSTPKRKLCNTESLLDD PGETTSCDPTQDVLGSRLIDLGYLFILYEHLKGLELASKPPLSTEYGHSITNNIKILA VCTAYRVQHALEAIIDLIEVDGRSTESRNLRYREALDTVEDINKLGPRVKILVDLEVT ILTKLILIYEQQKDAPAVRRFSRRRAKLHHGSTIVPNASHIEHTAQSWVETYKKLPAL FDRLSLPAEAPLYAIDPSAFPAQHSALRCGDDDVAKFLCKSDGALQHLDMLKQNFVLA AAAVGKLPLLETLVQNDKSLLQSRDLFHRTPLFHTAYQGDLKTFESLVQAGADVFARD EASQSILGAASAAGNLDIVRWLLELGGVTSPNDHYLGSRSPLHDAARAGHKDVCSLLL RKGADANYIIDNVTPAQAARVNGFDDVANMLKLYEAHHAGQHVSNPSIGNLPPQALAD GILPLGVCQPGQSACPSPSPPEVTYTEAALEETFISEFLTDFTPIEYSEAGTDGPPLD GSGFVL EPUS_00506 MGRKFFVGGNFKMNGTVSSIKDIVHNISTAKTDPNTEVVVAPPA LYLLLTREHLDPKFEVAAQNIFDKPSGAFTGEISASQLKASGINWTILGHSERRTVLR EDDDFIASKTKSAIEQGIGVILCCGESLEEREKGTTVDVVTRQLDAVNKMVDKEAWKN IVIAYEPIWAIGTGKVATTEQAQEVHAAIRDWLSKSVSSEAAENTRIIYGGSVSDKNC RELAKEKDIDGFLVGGASLKPAFVDIINANQ EPUS_00507 MVLGLLSLATAVPLLATSTIQIQDSAQKHQQQGESGPASGDASG DSSWKTQTCHLTVRCTERMSDARKKALNGCNIVLRDGGLFASSPNTRVHSGHPFTGYL LPFPNSSYLGLVSTISKDPPMLNWIYIDRETYEVKYGVRKVSETQVTGPFDYKTGVVS MTITPSKGEVERNEEGEKRLKLNNREGFVAVEEEEDVWKLYFDVDNDGLKGVKAGNSG KRNAEIDLIRVLADEETKPL EPUS_00508 MAEPEGNAGAREEDKKEDRKGTHEAGDVQGNLKIHIKLNLDVDI RIIAKIKGDIAIGIL EPUS_00509 MSKPQPQPQAEPPDLTPAYRTFQHYTALGFLLGAPVLVALPPRK LDFYTFALGSSWALEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE EEEEEEEEEEEEEEEEEEEEEEEESGDGRPLSGIKKGLEKVWMGNEKPGWKERRLAEE QEKISQGEGYGGMIMDQIWEVWNWGKERGEELERKDEDVVRDRRRREEFPVIGKDAG EPUS_00510 MVESVTTESMEKKAELAQEGINVRDFAEEAIEREEVERNHRADE DQGLRCERGEDEHEKRVHETVERELEADENEAKRLKQD EPUS_00511 MDMVRKHCVEGTGNYDTAKACVDRTHQMIRMFKEATYNFVPPKD NIDKVPLGASSASMYPGYSPADHIYGEAAQEYGERAAELKRKGVDLQLDPNGPQYVVG QNKKPRLLSQEPETLAGSVSSTSAPSMRSQGQGGEPMEGVELSTGEPQYFVIDSKPSV VADLGEMQKQKNKANDKAKRRVSFKEEQEGEAASNNSFEPRKHKKAKMITSETPAAVI PEPAVQEEDISAEVEARLKAKEEKRKRKEEKKRKRYSGDSSEIAQGETPTIPAGAPDG TSSTSIEKPKKKMRKLDQSEQTDTAELSIKADAEKESKKDKKKKKQKATINGEDCAGL AETENVKPRKKKKDTTTSG EPUS_00512 MTNVDPLPTEKPSSVQPGSMYPGHAPYQRFHYPAPGYNNIGSQG KYATHYGGTQLADALTGLSVSGQSHMAPAPAPAPGVRPGQSNMDINSLARAHAYGNNP LMVLPSGQTIPLQSIYGHGATSAADQPSQLQYVPTGMFPNFVSNTNMIAPSMPAYGWP YGLQNDVPSLDANRRGSWSSHDENAPATPGMTVNAAQEYYANTASLDRGSTVSFPYAT PSPPSIVQPYVAGPMQPMKCADNKNYESVNLDILTQQPPAIPRAVPALWTNQEDLSLA KCLQNPEGITNIYIRGFLPDVTDQDVHDYAARFGDIESCKAIVDMDTGKCKGYLTPIV FLAALTFARFGFVKYYSPASAENCIRGFFHLGYQASYAQKSRNSRLKDLEDRSSANIY CTGVPIKWNEADLAHHFKPYHAISTKICREPDTGICKEVGFARFENRDVAEQVIKDFH NVTNEVDGVKLCLRFADTKAQKQLKQTSQEKRNWRAHEYKYSVEHTPSPDMPRFSQVN GISPASHLTYQSPAGGSTTFTPATSVSPPELAGSNKVMGNVKSTAWPIQGGLASINST PIYHPPHRINTARNAFTDHLPIKTNMQVVQPVEPTNKSKTPSPQKPTGNVENISPART QNENPVVMA EPUS_00513 MSDDASLPPNAPMINGPGSRPQIDGEEDASPSSTTAPASVPSLE AVCADLHGRVSAFLNKTPDSDSTRRVQEQVRISIGVIEKALTDYEFSSLSLSYNGGKD CLVLLILYLYSLYSHFRPSAKLTASSNNNNNNMTTTSTPPPSIPFPTSIPAIYAQSPD PFPAMDNFVTTSSQRYHLDLCTIRTNPRPGQHSHTHVHQSLKPSPLSHSDPPLPSTPP PPVDATKRVTIRDAFATYLSASSSNDASSTRPLPNKILAIFVGTRRTDPHGSNLTHFD RTDHGWPDFMRIPPVIDWRLSEIWLFLRAEELKEADGKPLEYCEMYDEGYTSLGGVGD TVRNPRLRYVDEDGRERYRPAYQLTEDGDERLGRG EPUS_00514 MANDELDALNALEKEAKEYDKDAEINRIIKAFRLDAYAVLDLQP GVPDSDIKVAYRKKSLLIHPDKTTNPSAPDAFDRLNKAQSTLLDEKARAQLDECIADA RGILIRKHKYNLDSPELKTESFKKEWRQKTVEVLVDAEARRRKQMKAKMQEEGREKRK EDEEIEQRKRKRDHEKSWEDTREERIGSWRDWQKGAKKEGKEGKKKKLKVLG EPUS_00515 MAPSRHRGSRSVRTHPKTLKRKRGEDTDNVDELSKRVADLELRP ATAPILFAELPLSQATQSGLSKSHFKTLTTIQSRAIPAALKSSDILGAAQTGSGKTLA FLIPVLENLYRRRWTEYDGLGALILSPTRELAIQIFEVLRKVGRNHTFSAGLVIGGKP LREERERLGRMNILVATPGRMLQHMDQTAEFEIGNLQMLVLDEADRIMDMGFQGTVDA IVEHLPKERQTLLFSATQTKKVSDLARLSLREPEFVSAHEGAESATPSTLQQNYVVTP LPEKLDTLWSFIRANLKKKILVFLSSGKQVRFLYEALRHLQPGLPLLHLHGRQRQAAR LDITTRFSSSKNACLLATDVAARGLDFPAVDWVVQVDCPEDADTYIHRVGRTARYEHK GRAVMFLDPSEEEGMLKALERKKVAIEKINVRQKKMQNTIKNQLQNMCFKDPELKYLG QKCFVSYVRSIHIQRDKDIFKIKELDLESYAASLGLPGTPNVKFVKGEDAKARKNAPR QLLEFSSGSEGDEEARRKDTAKPTVRTKYDRMFERRNQDVLADHYKKMIDDKENLNGA ESGGNHYHDTADADEDDDFLAVKRRFDAGDHALGQEASSSTGSDSSDSENTIPTKKPL RTTNSNPTPPVKKALHLSSNTEPLIIDSNRRAKLLKSKKALLKYKGHGSHLHFDSEGL NPHEAEYYEREDEFRKKGLPEEQREVFLREERERAREADVRDKEVERRKRREKKEKRK GREREDGHLVLGAEEGVAVLAPYEEEEEEGGAEEGPVLAGNGAGTEKRRKKWFEDPSS GEDDDKRERERQGNSKAKRRKKGAEANLRGQEREQEQVPQTVEELEAMAQGLLRS EPUS_00516 MSFRNGTYRSETKPCNLLQLDKAADEETAELANARYTRTSTVTV VTCPISTYTLETGISSNLPSTTGTCVSATICPGCQGQRYVNGNGASYQVQCDRTFSGK VIADNTNVDMVQKRSIRPSIQACLASCDTTDDCVAAVLTGETCTLYSSIDGIAQFAGA QAGVRSAYAATNSIILSSILSRPSSSSSATPFSDQLSNYISSQLSENSPVSTSVDTIV YITTSATSSSSAFSSAVDSYSSQLSEYLSSQLSANSPQSTSPDTIVYITSTTTSASSS TRTIASSPTPSSDASISSNSGLSTFTSSRPDPAQSSPAGSTSTTSTLRSTSNLATSSS ASSSSTAFSGSSSLSLSSASGTSGILSSSRTSTATSSTTVSSSSVSTQSPSSSSPSQS SSSARSSSSSSPPSSPTSSSSSASTSVNRSSTTLSTTSSSSGLPSTSTSLTSSLRSTS TSSSTSLSASTIQTSSFLSITSATGPTPVPNNGKRGLCYNQANLTMPFSLSGQNSRVS WAYNWYYQACPNGQTTCGYNPAIQHIPLLYSNSPGLLDAWPTAAQSAINAGTPALMSF NEPDVCYDGSACMSVNASVSTYLQYMQPFAGRAQLGAPAVTNAGSPSGLTYLEWFLGN CTGCTVDFINIHWYSNKFAGASYFEYFVNQTRAIAQGRPIWVTEFALNNENPYTQAEL QAFLRQVMPWMDQQPDVARYAYFMAAPGILINSAGSDLSGTGVVYNNFTNATLQPDLF EPUS_00517 MLKFMDYVLDAFGDATHWNRDNSYSSLTATSDALLSFSTPTSLS LNVSSLSTPHFATSYTLSTLGVIDGSLSYLYSNVSLDGIPSATPSIPLRSLVRGYRDI ALPPVLPKPYISLDGARKPTLLHATLALPPPSVLTALYTRRINPRTLFSLSVNSKSTT SPTTGPSSPPPASLLAHLQHDTGRYRVEALGSTDSALLGLRGLWNFGYPTEPAAQQSR LSQPGADPAQGLSDLPIPAEPSIYRPKPSLLSAGAEVYYSPLSSVIGLSTGLRFTTLP PAASVSPSSASNWKPLAGTSAAFTSATANTLASSANSSFPYTMTLIATPLTGSLASTY SIKPTPNLALSSRFGFNVYSWESEYVLGAEIWRQRHRPRITIGKSDGLDWATDKAAQW LDDEQKLVLRQKKDKEEELEESVIRLRVDDNWNFRALWTGRVKELLVSAGVNIAPTAT SSQRYQMAGSGLCSGGTGLEKRWKGSVGVEVAYSS EPUS_00518 MVKLKEVEDEHFAEKPATTKDDALLESDNDDDYTDTDSEISVAS DLDVPTSESLLDRVAALVDIIPPSARGKISSTSSSIASFTGSALSFTGKSLWVVSTSV LLLGIPYALAFGQEQEIMEREREEGLMREGASSMLQAGQAGAPGVTAPQGGQGGKPSL EPUS_00519 MDVIIAQHILPDERKAKFFVGKRSDINEPLMPEGKPKEKSMDKP SPAGKEAKSGKEDREDPFDRVKLFNDDGRPMDKNGPIPEYLPGQLPSQLPQHLPPQLP QHLPFDQGTGQPGGPPRAQHVGPSQPTGPTANPIYGDPFSAPTPASKPPHNIQILDES FAPENGANGVFPVHNLPSHGQGNMRPYTPPPHVQHPHGNNFHGPDARSGKPPNQPVIT QEPLKGGHHPKQRSVYGDSSRGSDDDYVLFEDDEHSSHTSYGDDHERFPHRGSLAPHR QYSVKKGEKTYREHRRSPSYPTEPLRRIGAPQRESRYNNDGRHQVETIVARPARRNSS RERCRQIRYVQPPRLELEPRSPTLTPISNSGYSPCRRLPSLLFPNEVLDRERDDRERE RRAEEYMRLGALREREEAVRRKERDLNDREFLERSGVKLGRRSSGHGWYDGYDGYDGY DGYDGYDGYDGYDRYNR EPUS_00520 MADYAQFPPHSEDVRDNFAKLGVNDKREKDVNFRTLQSRRKVSP AHTRATPMRYKYYVFTRTENWKVADKVEIIAPQEELERKVAKGKKSHSVLEAMKNMSP DRRAQIHRLLTEKNLANEHGDAVSGNAFLSTLPTQGTGR EPUS_00521 MSFGCSAGDLFVLGALAWKLYKNCKESSIEFKRVSSEVASLHVV IKETEEYVQETHGRSQLRCARLKILIDGCRDVLVELEKLLNSYESLGTQQQRTWDRMK WGLEELADVRSRIISNTTLLTAFTCSLAKYSSSTTRIEMRLNKFIQEVRAGLREGSVI SSSDVAENIDSEDIWHLLGRELEDVGISASVVEENHEYISNWLKTAISNGMLEEMDHS RRPEMEGSVDSGYGSFPGDTSDTRSLGPITVANEEFGNQLSQHSSRVRLKNSTLLTKT DTKVTKASSVSSVLFRLFKKDTAIVEAASDGDIARVGSLISSGANVNAREQWGWSALS MCAYGGHVEICRMLLDHGADLDNIDVDGDTPESLAANRGHADVLIMLEEERATTRPHL RTFAAVVTTSRRIELTGSSVISQSSNRPPRYIQYFSILASFLRSSSGHASSTLEPVPQ QLAVNSLAVLLSPPAEKGGGLFKSGSGKPSRIAQFFSATPKSKAEAQSAAAALLAASR AQEQLFLNSTTPPISNPSLSLPTISLSTATADCINMDAPPTTLFQPPSPGEARRLAKQ HAQFAPLNSQSHRYTSRHQGGEFPEPIMDEPPYYYLLTTYISYLILIIFGHVRDFFGM RFREENYRHLKSRNGYAALNSDFDNFYVRRLKMRINDCFNRPVTGVPGRYINLLDRTT DDGNYHFQFTGTTTETLNMSSYNYLGFAQSEGPCADSVEENVKRYGLSMASPRGDVGT SDIAVECESLVAEFVGKESAMVFSMGFGTNAAGFPALVGKGDLIISDELNHASIRFGS RLSGAMIESFKHNDMRDLEAKLRDVISQGQPRTHRPWKKILVVVEGLYSMEGTMCNLP GLVRLKKRYKFNLFVDEAHSIGALGPKGRGVCEYFGIDTKEVDILMGTLTKSFGANGG YIAADKAIIDKLRVTNAGTIYGESPSPAVLSQINSALRIISGEIVPGQGEERLQRLAF NSRYLRLGLKRLGFIIYGHDDSPIIPLLLYNPAKMPAFSHEMLKRKISVVVVGYPATP LISSRARFCVSAAHNKDDLDRFLSACDEIGNVLQLKFASGIAGGAPPLEHGVTWEMEQ SRRRLQKHDKSVKALVSTPRWRFEDVVKRGVQDVKVPLR EPUS_00522 MGSRKISFNLADATPHICIVGAGISGLRSADILLQQGFQVTMLE GRDRIGGRHATGNRHPIRDLAIATNTPLHHWNSKQNIYTSSGELLLDEKASELSTLLW DIIEEAFEYSKKNEKSIPECASLYDYVAVRVKEKLPGQVGDQKLILSMSEMWGAYVGH PITRQSLRFAWMEECCGGEELFIETTYKAILDRIAELPLEKADIRLEERVVKVQTPRD RDAGKISVTTEKGEHLLFDEVLMTTPLGWLKQHKDVAFAPPLPPRICSAIDAISVGHL EKVYITFPLAFWTDDQADDFAGYTNWLSPEYAPETNPRCWPQEIWNLASFSPENRRPT ILFYLYGECSNYIINLVHGKCSEEHYSLLDAFFRPYYSLLPNFSSYDENCKPKAILST EWQKDEMSGYGSYCNFQVGMKEADEDVKAIRKGCPERRLWFAGEHTAPFEELGTAVGA YMSGEALAQRMLEMYLTR EPUS_00523 MTLDSQLSDLGMETPCFLESRELWRHSHPEQTQIYAFQQHIEKK YGLSFCRYKDFHQWSVSNPASFWEEVLHWTGVKLNEPYSSIFEPSAPMFPRPQFFPGC TMNFAENLLYPSTNPDPESMAVIGATETTREYVSWASLRERVRQCANAMRAHGLCKGD RVACYLANHANALIVMLAATSIGAIWTGISSDSGVSAVLDRLVQIEPILLFADNAVQY NAKTHETQGKISQIVPSLPSLRAVIIFNTVPSCPFDLGSTVKGPSPVTIPYGTFLSSP LSNAPMEFVPLPPDHPIYILYSSGTTGSPKPIVHSSLGVLLQHKKEHALHCNIGVGDR SFYYTTITWMMAHWLYSALSLGSTVILYDGSPFQPHGQMSLPLLIDELKINHFGTSAK YLSVLEQSNLLPKQSIPPAHLPSLRSIFSTGSPLAPSTFEYTYRAFGPDVLLGSITGG TDILSLFGAPNPLLPVHAGEIQCIGLGMSVRCYDSTTGRDITETGEPGELICDVPFPC QPCMFWPPGPEGEKKYRSSYFDAFEKDGGRKGERVWCHGDFVRFNPTTGGMWMLGRSD GVLKPGGVRFGSSEIYNIILKYFSEEVEDSLCIGRRREKDKDETVVLFLQMAEGKPFN DQLVQKVKDYIRKDLSGRHVPGFIEETPAIPVTVNGKKVEGAVKQILSGMNIKNSASV ANKECLEFYKEWAAKHD EPUS_00524 MAPFEAAYESAIDAGILPGVVLLAADVTESFTYTNAMGVQSLGV ENASKPLEPETIMAIASCTKLFTAIAVLQCVEDGLFTLDEDVTRLLPELAAIPIISNP IDPTTNQFTLRPRENPMTVRHLLTHSSGLAYELFSPLLVAWRTSRNEIPSSGPTVETR ANHPLLFEPGTAWMYGLGADWAGKLIERTTGLSLEDYMSSRLWSSLGLTDVTFWPRTK PDMAGRIAEMSTWNQDGKCVSLGEDFDLNNGPTDCLGGSGSFGSARDFFKFMQAVLRR DERLLKKSKSWEELFRPQLTPESKEALQRLLESSKWFDIELGANIPLDGAKSWSLGGL VSLDGYDGWFSKGTMLWSGLPNLRWFIDPKEGLCGLVATQLIAHEAKAIKELSVQFQK GVYELFALSKV EPUS_00525 MTECREYDPEQSSRKKRIAPQVWDEMRPLILELYLTEQRTGLRR GQNTFLSQKDVLLTFLSTRQYTFALKKWKVTKNTPGRVKRSAARKLHENPKRHGFQYK QRALSPLDISRCPIRYPGFDPASSPTASTPSQMTWETATNASMTPRAASPRASAADNK QSDSIDLKKMTDAMLDLSHEQAQGSVQDLARTPEARTLISGIEEQVVTSSHRQGWNTD SQFARLKWRLELLLDNLEAGKTVEYSDIESCELWLSVFEPSRKSEENLISLFKDILTR IKAMERMKRQSLSSDTGSCLKRRKMSLCL EPUS_00526 MPLHKCQVCGKILSRSTKLSEHIRSHTGQKPFRCDICKAHFSRS YDLTKHKERHSGSYKYKCEGEENGVTWGCGKGFHKKGDLNRHLKRGNAEQCRRARQAV TPTRSEAGERPTQLNGANPSQSQPVTALEPRTCKNSQQVIIQDRLRAAQLAHLRTKST PPGNLEKSPFRSYYLSYILPPPQREEQHPLSSSQISNRLLSQQPTRKQQGCDAMDIDG GSVRQSQEAADVLANMKDDRSMRLPMISAATDTDPSTITPNTSDNAFIHERGNSADQR EQDRQQSGVIPRMQDQMNTSQASATPAEQFPSNDGTGSSQKLSLVQNSYRGMTWEGLS RGSWIQADLIVSGTPPYSFQSPSYHFPSDLPKVEANFIYCGRTLPTVHELMGHFGHQQ ALLPTAETSAIPESRSKSAPPHSEEAGSSIALSGFRDERHNIGGITIRQRTPRILLVE DDPTCRKFEGKFLFTLKCEIDNAVDCLEAVNKVSEVQASLSLRYDLIMIDIIMPNLDG VTSSLSIRQFDRTPIIAITADFRADDIQMYFRYGMDGVLQKPYTRKSLLDMLEEHFSH LKKTKSVIEDSMHGPTNTASNLGTPDAGSNQFSYPESQLNNNITPMGLLLNEHWLTEL PISQLQSTNP EPUS_00527 MTGEVIWTIVFGIIATTIGLVTIWQNFQIVQVKIEILQRSQSRA WYRYGM EPUS_00528 MDSSKKPLFTGEYLSNVDGNEAASMASECTCEACTARLERSKIK KGLVLGLRTAWIRSTSRPTGTTSCSCAALKYLGTSSANGNGSGSTQNTFRRINTDFIK GKGEGRIFLLHGPPGVGKTCTAESVAELLERPLLALTCGNIGTSATDVERLGQYLTWG ELWGDLVLLDEADIYLEKRAYNEVERNSVVSVFLRALEYYRGLLFLTTNRVNAFDDAF KPRRHSGNNFLKLGVKDDIEVTKKGRKYIRENETLRQLQWNRREIRNAFQTFGGEGAN EGKICVTSKHMKRVVNMAKAFSDYCLALNRGRSDFLAQPPLAMRSKKAELASKTKTKS RKQESESTSGSSSDSESKRKTFVKSLKDESESEEETSEEETSEEETSEEEVARKKKDS KSKKSKAEESSMEEKQRRKAKYKWRVRKGKPAEESDESDE EPUS_00529 MSNAPVLETVTTVTGSGLGEESNAIESGSEDEYDANANILTDTN GDKVRHSRPQSKTKPLEDQRITQTELKIRSSELLNALHSVVKYYPGQTLMGDVATFQE PFRLLVHYREELEAYKQQHPPEHNDEYRQTCNEHIDILLGFLKRHFGKSLEEEERRQH QDPPVCTFEWAWLLLKPGDTIYAETDERIPGLPSP EPUS_00530 MKPFQTLASTPTLAIVSSSTPPTSPTTPPTSHPISHSLPPPTPT TTSSKPSKPGAQIPTRAGFGTSGITTTVLARALRFKVPVLAFSSSSRLEEDDINRAAD SHYWDADAQSTLVNLAYSLIRRAAAAHATFIPEEAVTSRVPRAGRLARVVGLGA EPUS_00531 MSHNARKGQSSENNVQTDSGRESSRPVSLSQGLERSPRNSICAV SPETLPSDQSSGPSKPSGSQNVPGVVKNRDRGFSLRRTLFAKNIKMLPQARPEAIELT APIHATSNAPPAKHEEETVASARSGIRIWRLGKDLYTKASKTILGITELPPSADGRHI FINEANLLDERTQSPYIHNSIRSSRYSFFSFFPRQLFAQFSKLANAYFLAISILQLIP GLSTTGTFTTIVPLLVFVGISMGKEGWDDIRRWKLDKEENNRTTYVLNREFDAKEPTS ETDDNPPPLPQLWTAKKWLQVKVGDIIRLKRDDQVPADMILMYAEGTTAYTETMALDG ETNLKNKQPPVSLLNHCRTLDQVSNCHGEIVVEDPSMDLYRFEGKISIADQILPLTTH EVMYRGSILRNTPVAVGIVCYTGEECRIRQNANKNPRIKAPALQSLVNRIVILIAGFV LVLSLGCSFGYSAWNSYEDRAWYLSGTTVNWGQVLASFIIMFNTLLPLSLYVSMEIVK VSQIFLMNSDVDLFDEVSNTPMQCQTSTINEELGQVSYIFSDKTGTLTKNEMKVNKLS CAGYVWNHESDINSLAAETRVAETRQNGKENQSVSRGAGQPEQSPRRSNAWGFIGSSN SVHGPEDAGSSTDERRRDVGKPARPSHNTTTTQLIEYIYKNPSAPLAIKARFFLLSIA LCHTCVPESTEQQEGIRYQATSPDELALVTAAQEMGYLFLDRQSSTVTLKIFGSGDGA EPVFAKYEVLHVIEFTSDRKRMSVIVREPDGRICIHCKGADSVIRDLLRLSDLAAAQA KAIEQQTTKEKSLGAQEALRRQSTTPSLGRPSFDAVGAGRRTSSFQGKGSVRYSVDQW LSDREADTAISPTSPVTAHTQPLSRRTSGQPSSRRSSMQERNLQLKSHDTIPVSPSSA EVKDLVDDNLLLKESWVIEQTLRHLNEFANEGLRTLLHAARYISEDEYRIWAEAYREA TTSLTSREERISEVADLIEKEFELIGATAIEDKLQDGVPDTIDRLRRANIKLWMLTGD KRETAISISRSCRLAKDYSTFIILDHVVGPVDQEIANALLDIKQGTVAHAVLVMDGGT LASIEGNTAHKTALLDLATLVDSVICCRASPSQKAMLVKSIRRRVNGAVTLAIGDGAN DVAMIQEADVGIGISGGKEGLQAARTSDYAIAQFRFLCKLLLVHGRYNYDRISKYVLG TTYKEMLFYLTQALYQRSNGYTGTSLYESWSLSWYNAFFTSLPIIFLGAFMAELSPAT LLAVPELYTRGQRRGSFNFKLYLWWNFMATVEAMLVFFVMYGIYARTTFTLDNGLFAM GVMTYTACVIIVNMKLQVLEIHNKNILVVIALVVSIGAWFAWNILLSAVYEDNVIYNV RSGLLERFGRNALWWLTLTVIVLSFLLFELAIKALKANFFPSDVDVFQVYEQTPVLRQ RFQVSAAPFHQPAKPHSNRKNKADGKTKAAVEADREALVEAMLQNRASDKDPTLAAAS TLGKDSKIGSVIRNSIDVFSKGFGLVRKEHRC EPUS_00532 MSETSTPSPTASMSTTPATSASSAARRPPRKSTLTQQQKNQKRQ RATQDQLVTLEVEFNKNPTPTAAARERIAQEINMTERSVQIWFQNRRAKIKMIAKKSI ETGEDCDNLPESMRHMLAMQALESGKPFPRHLLGRNSGPMAPYGSGGMMLNGESNGTG KVVIHHFTCRSLSIGSWRRVGQNAMDLVIFYSPDKACITYYINNDSAGYKIEFPFAYI KHISLESGESSSINNTTPPRPGGLVIELNRPPNFFMDSSGSGGFYQCGDFTEDQQASQ VLLHHLGGHAKVLSGQLAKLVSLESFQNRHSPFEINAVPATAPTSPAMGIARPASQPN VQFARPQPPHVGMYQENRLGMNLHPGRGHKRQRSRSVPVAIDFSMLQSPIPTFHIQHP STQFTPDASIFQPLPQYPGQGSLGQNLRIDTSPNYGMDFRPFPMSAATTSPSEYGFPP NQTAGMPAADFNTPYSLPFLSPSPMMDPSHMLPPSHTPLSHMSHQDPVIADQSPPLSS MHPSASADVFSMTHDSNLPDDGMMLSEMYSKQNLNLGMASPSMDDGSLMAMADVSEYH TPGETMDMPMMPYGTIDPNSLKAENST EPUS_00533 MPPSPRTASTAHTPLPTEAFPTPPPLSSPSALSTSTSKLRLLIL EPSRSSALPEPRSSPSARYLASSPPSQAPSLIPSLLQALTGEAPPPQVSSHDGLDDPT ERVSLAGYTSHPPFRVRTRYFDTKVGLWCDEVPLPLAASRSKPGSLRRVGSEDVGESV SAHQHGDLGVSGPKVEGEEGMKGEEVAEPTTQTWLTQMLTPEPEAMEVRGVIGAIVLV MPVPDALEPNTASLSPATDPELGYGDDENELPQLTGQSAWKLKPEYLDIIEAVNTLRS TIEDERAGQDGDVGAVILLQGSIPNSTTTPSPQGTRPVLPASAAAYDTERHRADALVD AVEDQLLTDRAVLGWDIIAWNGVSKSPLPPTNTEAAAAVVQTADEATDEARNLYGEKT GLPRLHELLHNIDWSALPPPHNSSSPPPSPPPTASPHDRNRKPTSDPDLDLDPDLDLD ARLLSPDEPYDSKNRLMNWKPAHPDAAGLEAEEEEEEEEEFQVEQLQGLLQQAMAIRE AGREMESGERERYARRMVGRLMADL EPUS_00534 MNLESRLVELEDLGRQVQTHGRKVGAMEMCSKIEELTVEDLKRV ARMVFGGLVQNPGKGTGAPTVVVQEGLEEGVRRKQIPWEEVQDRIARWKLGRP EPUS_00535 MTATSLSNPTAASTSAERTNTPSPTPRRRGLSSLRRLGPPSPGE SSRLSPRNYFSRSVSYPQNPPNTPRATPAKSTLRSNAPQPPGATDPASRELVSREITD HPTSNTANSTTSPLASPPGAEPPDMARHRPPTNPRATTSESVHSVEAQRSTRVGGDTS DGIGNVSNAQTNDATQEASDEKTRQPTIRFFPHQDLRQGRPSLSFNPIIRTLPHESSI IRVGRYSEREGIPISTPTGPSDAPVGFKSKVVSRKHCEFSFSNGSWQIKDVSSSSGTF LNHIRLSQPNTESRLYPVKDGDIVQLGIDFRGGEEMIFRCVKIRIECNRAWQKRPNNF NMSRHAQLQKLGKGDAKVDENKGECSICLGDVAPCQSLFIAACAHVWHYKCIRRMLMG SNYPQFTCPNCRAITDLEAEFDVEDGEEWEQPPESPVHVEDIPASTTQPANSLQNQQD TVHVGGAAAAYDEAGDVDLTNIQFEGNADQTIATPQTTINGLLSRRQTLNSSASPAIA PVDGIEIPRASNAAPLGVVVSNDTPATLRTATPTSVDLIGGEGPLTPRNDAGPFVFDG SAGRASGRRLTASATDEPE EPUS_00536 MRFESPLMSPGPRTPVPGAIKHIRTKSYPMKHSTRTKPRRWPLV LRFIKGAVHAMILIPVLLHAAWAVGVISIHTYTHRDISLPSTIIPSLSIVVGLMLVFR NQTSWNRFWDGRNNLTTITTSVRNLARTFLTCSYLKDQHLDSLEQADIERTVRVLVAI PFAVKNHLRGEWGAAFAPMANKDIEQGGNYNITPRLNPEYENLLPAGLKGHEDEGLGL PLQLTFMIEAFITRGGHRGWFSPPQASLLTTQVNSIVAAYGNMETIRITPMPIAHLIH QKQVLALFCCVLPFAMVDEMGWWTVLIVTLVTFTLYGIEGIGCQLEDPFGHDRNDIKM DAIVTDTRVEVFTLLDEWRHTVRRGEQSGVKGEMFFDAVMPTPHGTTPRN EPUS_00537 MGKLTSTIGIPIKLLNEAQGHVVTLELTTGQVYRGKLLEAEDNM NVQLKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRNAPMFRSRGTRGKGVGLAR GRATVNRARAGRRG EPUS_00538 MPLFSNLPAARLTLSYLLHDPSSVLPHAQVPTFLFLPLPLSAAL PSKPSPQVRALVLDKDNTLCPPETNMMHPTYLTKLDKIRSSPEFSHSSHSILIVSNTA GSTASAVHEAEAKALEAELGIPVLRQHPERRKPFCGPDVLKYFSDHGVTSNPAEIVVV GDRLGTDVVMAREMGAWSMWVRDGFRNPEMPGRDYRGSLAKMEVRFERLLRGGLGKRA PFPKGFPS EPUS_00539 MASSSPFVAGAGSGGAGDISPDQGRPSSGELSVPGTSTSGGVSD VPVTPHEQEAPVNTGGLQDQAPSQDATNLGGPQAISHTASLSDQAASQSQITNIQQNS IVPTGLVSTSGYRTRSSTQSLPAANTRYTPLPAATKTDPAVKRGRGRAQNHPSQAAPQ APPPNTAATSGQAYPTLSATNTTAIQAPVPPSSSASSITSTVAPYPQAAPLPALPPYV QSSAPAASTPVQDPVSSQTITQVQLALLNELLAIYYARLPTFSTFPSGQPYPYPAPRV VIGPLADRYPYTSSLGSDTMGTQPQYPPYGNPPFPLNAYLGRDLNNGPGFPSMAVTGG PSMACFQRSAADARVDVGFGPGIEIADEFKALAERSALTPSTTKALIDAGYKINVERS PQRIFDDMEYEEVGATLVPENTWRDAPEDNIIIGLKELPVETFPLKHVHVQFAHCYKQ QAGWEKVLARFARGNGVLLDLEFLTDSNGRRVAAFGYHAGFAGAWQINHKDQPLPSVS SYPNEDDLIADVKKAIAQGTEKTGKAPKVLIIGALGRCGSGAVDLCSRAGVPTENILR WDMAETAKGGPFPEIVESDIFINCIYLTSKIPNFVDLPSLDTPNRKLSVVCDVSADTT NPNNPIPIYTVATTFDKPTVPVEVKGEPPLSVISIDHLPSLLPREASEAFSNDLLPHL LRLKDWRSDPVWARAEKLFREKVSTLPESEL EPUS_00540 MLLTFLASNSFGSDEANVTKFMVRLIEATKAEHIIGKILCVPGP STEALGEKLFQEAISLRNLQLLRVLLDSGLDLNSQMCRCLYGGITTPLCRAIKKGNFE VVELLLEHGADPNGHHKILDQQDLDWPLIVAIRKDDYDLVELLIKSGVSLESEYEKKY QTSALLEAAASLNPKIVRLLLENKAEVDFVTQEGENCLIKALPARWGIWDLDKCSRRE NISDIVQQLVKAGAALDCRFLSPFEDPEPKTVLERAADLGHEKVFQYLLDRGAGITSH CVYYAARGGNEDLVRFLLDQELDPSSSLDNLEYPLLAAIREGHYSIARMLIEHGVEVK PVITGRGFRLYTPLQAACVYGDLELVPILLDRGADVNAQASLNADDDYDDQTALSAAI DNRYEDIVCMLLDAGADANAWPPFHACDVDLEASEHGTLTALQAAISLNDAETVSKLL IAGANVNVVLREHHECETPLTQAIEGNNYELIHRLIDGGADINNPSARLYGRTALEAA AKAGNSFHFRRLLSMGADPFDPQALLVAVEKSLDIEIVKALLEKHKLVYGQFRKGFGG TALQMAVKMKKMSILETLLDAGIPADSVSQKSYKQPFHDSSPHSLVQGETAFGTAIRL WEDEDMSQFVMKLLSCGANPSGPVVVTIPVKTALVATIEYGKANLLQMLLEAGANVAA SATGKLGRTALQAAAASGRLSMVKILIEHGAQVNDEPAEDGGVTALQAASIGGYTAIV SLLLSKGANINAPCAQRNGRTALEGAAEHGRIDMIQLLLDAGAAVHDEGERQYKNSVQ FAKKGGHLTALRLLQRHHAASMVTSRQAPEQQLLGMDWQSSSELGAGQTLSHDIAPNF SFDDYISPLSFTQPDGAAEPSTACWDVSFPLPSVGENNPVPAPVLSTPSFDIAADFDY PSMDIEDIFHTFDWDQPPEQCLGTSF EPUS_00541 MQLEDFLSSSASRPPLRTRTEFNDKLERLRQRTGVSDLDQEYAR IYSENTIARYESRNHAARAYKFLLCSFKPMTLNQLVSAVALQEDGNLHPNVFGPYILD ICSNFITVTRDEVQFAHASAREYLESRTVGEYEEFGRNAQHCEAALTSLYAVRHYCGQ LLNHAIETKAWNYEKLYDLLPVELHFAFYAAMFWATHASELSVAARKAKCISSEIVQF LTSAALGDWRDWIWRTKGTGDSRNLASISCNEKFSVPNPIPLISSFGFVECLEMPEIA CRIPDQGINSNGLTPLHLACIYGQSAVIEKLLRCFREHVDINMDVIYGATPLCAAIKS GTNSIEVVSLLLKHGVITTNSSEKGIQALRVAVIRGSIEIVQMLLEHMKKEFTHQEVL SYLVQQNGGVLWLAVQDEGRYAAFEFLLAEVRELGLDIANSAFKDRQGYQLGGRLLRE ASIWSNLKGLQLLAKLKVPIRETGFGLTALRCALTTETAEHLLRLDSSLLWARGVDGA TALHEVHHRCVAEVLLRHRPALLWERDNQGRTALHRARDARIAEYLLGQDPSLVSARD AQKKTPLLTASEYFYSSCELYPLWRMLLRSGGDINDCDETGRNIWHLLVQGKDLRENR YDDVLNFPIEKGLVGTRDHGGNTALHILGTLEVTIPEPWLDSLFRLMGAGERLLATNK AGKTAAENTLMSLLQRHAGWDTGTGRPFYVGSRSRRVLSRVIVHFMEELRQEEDSRIL SEEQLDKSRFLCHRLIEASIHNVKKAEERIRQDRLEGDEIILGYLQKQRECGWQLPMY KANWFKEVDKDGVCALGMRARRTLVHSA EPUS_00542 MASSTSSSAGIIAELANNLQNIDLQLPDDPAQGHSVPYPPGLDD IARRAEFEVAFIAFTRLVDLHLKFGDSLKNITIPSDSEVFRKATKTQIARAKVQAVSK LGFRIWKEQYGYTATRDDGKALWSNASFDRWAETRINEMRETLLAYSNNEEWRAQL EPUS_00543 MANNRVVKYVFVFLTAILGPSKLVLSPDEVAKYLQWEIYLRILE SFYDHLLGEPKAYVTQALKLWTANGLLSMRNGTACSWRSLRVLSDYISRARQYLREAE ADTRSPLPTPHKDTLKRLAILMHQMGQAPPPAANLPVIEGVVPMQPLLRLAPPTPVQD DSEDSYGDDGFNGFSDDSAGG EPUS_00544 MDLEAIVGKVQNLSDLELATLLCLIAKQHCLIEAEEDLVDDVAQ ELALIAVDVFNLSYTVLSLDDYESNEAFGNAILDQRSSRLGSLDESAKIAGNVRSYPQ HRFDNVATQAESLANSSDANLDTRHLVNVVIAKDFNFASEAIQIQALELIRQKHSFSR TTTNTAPEDFLFLPLVSRSSEGMRLNKHLVRFGNIAPVSGLPLQIDRIFISHYHHPED GFPNLEDIDAEALSDDHSSSSSVLRKTLPRQGAGQGGIRRFEGAEIEKMRQLSQSTMV SAEVRRYLQDVVVFLRLERGVAGGVSPSATSQFELLTKCLGTLHGLEYATPSLVALAA RKIYPHRLLLCAPEKERSMQYGSDLEAVREAMEGLTADDIIEAVLNSVEVPL EPUS_00545 MNSSNPRAGWEKVGDQFYRKIQLYESVFDPDLELGDYLVAGAPH GALFRDEGKITRYRGASVARSSIDLYSSAGKLISRLNWDKGSIRGLGWSEDEQLIVVT EDGSVRCYYGLHGDFHPFSLGHGAEASGVVECRFWSSGFVALLSNNSLVSVSSYDEPR PKVLAMPPAGELHAWSLIPPAYTLSRTVEALLAMDRTIYVVDATECEDRGLSDGPFKH VRVSPNGRFAALFTEDGKVWVVSSDFQDKLSDYDSKAKTLPKHVEWCGNDSVILAWED EIHMVGPKGVAVKYYYDGQVHVVPDLDGVRILTNEVCEFLHKVPDETEEVFKLGSTSP ASVLLDSIEQLEKKSPKADENIQRIKPYLPEAVDTCIRAAGHEFNAHLQKELLKAASF GKSVLDLYSSDEFVEMCERLRVLNAVRDYRIGLPMSYEQYSRLSPERLISRLLNRHEY LLAIKISEYLHLPANKIYVHWACQKVKIASSDDDAISNLIVSRLKGKTGISFSSISQA AYDEGRGHLATSLLNSEPRAGKQVPLLLNMEEDEIALDKAIESGDTDLVFFVLLQLKK KLPLASFFRTINNRPMASALVESSARAQDTELLKDMYYQDDRPVDGADLLFEEAMRQT HTRTKMDKLKLASRLLTDSRDANAQLHTKIFNETAQLLKMQEAFDKEIANTNGSFVGL SVNETMYRLIRSGYGKRAAKVQSEFKVTEKTFWWIRLRALVAARLWGELEEVAKNRKS PIGWEPFYNETLGAGNTRLASIFIPKCTNLTVPERVEMWVKCGMIVKAGEEALKAKDL TTLHDLSSKATGQQAVEIERMITQLKPRR EPUS_00546 MAKTPKLKANPSSANPRSRASRRLATSLSTSAPALSSSDPTPNL THPPPHLEKRNNNANAVLNGGITKKRGQKRQTRHQRLRQEKGLARAEDVLGKMEVKVE RVVRRVKVGRERRRAWDEVNGDVDGVKKNAMGNAKDEEENKGEVKDGMDIEDEAEWVD ENGTVEILGEEKEAEIFSIKSNVVPPEPEVDELENEEDKIT EPUS_00547 MAGRESVLALRKLTSSFRKFHRSDPLVQCLAPHFARSMATEAPL PTMSSELSDQTGLSMSSDSFLIDTTPSPQSTITPTPNSQSSESQVITTIYSWPTLEPL RFEMYPSNHLHIPLRRDILHRAVVYEGDKTRQGTASTKWRKDVHGSGRKVLPQKGTGR ARAGDKKSPIRRGGGVAFGPHPRDFSTGLQRKVYDLAWRTALSYRYRRGELVVVDNKI TIERHTGARLLTNIFEGNQWGSGFGRSTLVTSAYRERLFREMALVEMKRHGIVKDMFD VDVKDLLETGRIVIEKQALDTILKAHTSDLGAKNSMKRAAELVARARQASGVVEELEE FPETELRDEDLDDENLDESEEDEDFEGDGLSERARG EPUS_00548 MHISTLVLLGATTIQASVLVERAVENGPCTGAGGAPGVCIPTAK CTVDGGNYISNACPGLPNDIKCCTKASCGSGGNCRFSSTCSGTSLSGLCPGPADFKCC VPSSGGTSGPYPPPAIPSVGACKAASVSGAQKVVAGNPGKVRQIYCTRDCSCPGSSDH CCGLAIDFMCSSAGGVRTDIGGPIAEWVMNNRASLGLKYVIWGQKIWNPSQDSVKPWS QWRGMDDRGSITANHWDHVHVSFNG EPUS_00549 MARCGTPSKQLLQSLRSWSISPAANSRHEIARPFCTTTCKSEEA QADVAPKPSFRRNPDPQLVSSRRLERRLMKANNPPIGSRRRRVALQGTSGIPFEQLPY QCFQEARKILIADREEKLKQIETDRARIIRLRDSDPALSGGEARKRQRLKDLIGKLEH LKILADINDPLVKRRFEDGLGDMNKPIYRYLAERKWREARRLILMQRITQMKVIPDVL PSFEPSVDVKLAFVPPITFSKRGLEYGDLSKGIRSGDFVYIQPGEFVDSQMSENPCWL NVQSFQRGEKLVSVVVVDSDVPNLQKDGFDYRCHFLAVNVPINPTQRTINLSNLSKTE QLLLPWLPPHAQKGSPYHRLSVFVLQQKDNIPLDLSVASRHVKSEGFILRSFVDRHLL KPIGVHLFRTKWDAGMADVMRRAGVEGADMELRRLKVEPLPYKRRNPPSFR EPUS_00550 MIEVPPGHVWVAGDNLAWSRDSRFYGPVPMGLILGKVTRYSHPT SDWIIDMVKTGKDQLRPARGGGVEGLGFGEWTFTRREFQELRDAAGKEEADLEKVERL EPUS_00551 MTSPEDDVAPVKPPPPSLSTVIPLPVAKTRSPERDESPQTSTAS LPLRTSSPPASTPPTSIGISERISRSVSPHGRLSRSVSRMGRSSIASPLSSYSDTYDD TRSLIVRSFSPAVAIYASPDTDEIARNKGFKNGFREMVRPFGERVTGKVVVRDSVGSS RAWDDFGVRFTNLGEDFGNDRGSMNPKYGSPFTRLEELLERYMEMPSDGLDTYSSDGR LMRPDGTTSQMSPYYRLFLSRLLSATMVSPHETFLHPVACVIAISSGNEAPIETLRQL YAQTAQGSKVSPSFVNPEYLRYYVLVHDEDRDDLSKSKALFDQMKRHFGIHCHLLRLR SDECLPSDDDSVEVPACEWLSPAEDLVRLNEIDNLIDMDIDLSYLFESDVTALKSLVR ELVAQSVIPHMENRVALWNDQVASRRRGISGRFMSISKKWTGFGSISSRNSSSQSGSG SGVSGNYDSLQGIYRYDTPEALLRKLADYAFLLRDYKLAASTYEMLRTDYGNDKAWKH HAGANEMCAISNLLNPMATAAKTRVDGFDQMMETASYSYLTRCSDPQNALRCIVLGVE LLKVRGRTAAETAAKWAIRIQELGLVGAVGHVLVSERVASCFAAQVGTGSGAFSGRKR KAAFWSIMAADEWFKLGKTELAAGRLEDADAFYGSIVSSEGTERFKEMSLFLEQLHLA INMKNASRSRNVSNVSNGVLKPEEEASKQLTEETSEQLSAPGHRRSMLCADNLLDTGL LSPGRLPRPDPFPHEDDDFE EPUS_00552 MNYHQDPAFADSGTTAVTLLQPSPYDLKLLISVVITIVTCLALT FPNARPPSIKRKLWETFVYLTPSTVIYAMEYPSRRKWRGGPGNVGFKRSDFGNQQAKS EALQRALGFDDSISSVVQHARRLSGLDHVLGSSAIAPAGLGNWDNSCYQNSIIQSLAS LSSFDDHLALHMQNMSEDDSMSTHDALRNIIGRLNNLDNEGKRLWTPMALKSMNSWQQ QDAQEYFSRIVDEVDKEVSKVATERITKLGFCDERDAGGIPKACQYNPFQGSLAQRVG CTRCGYTEGLSLLPFTCITVNLGTQREYDIRDCLDEYTALESIEGVECIKCTILRTKS SLEHVLLNMNIHDAPQPSVKAESSPVSTLRKTITERLQVIEEVCEAGDFSDSGLYKRC TISAKSKVSSIKSKQAVIARAPKDLVIHVNRSIFDLGGVQRKNHARVRFPLDLHLGRW SLGSNCIATGEGLLENWNTNPTESMLPEAGAEQLEAGKLCKLRAVITHYGGHENGHYI AYRKRFSNLKQSHRTHAEERDESWFCFSDDVVFPVSEDHVLAQGGVFMLFYEATDHAG STANVPASALIEECAATAQHLTPSILHEDGDAQLSTIGNLEAHKTEVDSAQVAHTTVS SSDIKLTAKFSPSTVMSPSPEEPDVDLNVVVQTETASRPSVASVMRTSGALSPRSRKG RQSSNISIHSPSFVIAS EPUS_00553 MHIQSLPMWVGSSNNYAYLVTDEATKDSVIIDPANPPEVAPTVT EHLSSNSINLTAIINTHHHWDHAGGNAGMLKQLPSSSTPVIGGKDCDCVTQTPSHNSR FPLGQNISVTALHTPCHTQDSICYYMEDSSKSPPERAVFTGDTLFIGGCGKFFEGTPG EMHTALNETLAALPDDTRVFPGHEYTRSNVKFLLQVDPDNEAVKKLRDFADANQETQG CFTIADEKRHNVFMRVREEAMRKVTGCEEPSEVLARLREMKNRM EPUS_00554 MPILFSSSDASSLSEKVLWVDEYVSRADSGLLTPTTKSLGFKTY IPSSAKAVLLLDPVEYLAAFRGQLAKSACSQQPSISNEQPPVPIEQQPVTTELQAQEK QEAESLIRKALGMADPVIMQPPTISVTNGDTNPSPDHTNLTTRKSASLHKNIITKLRP LPLQYVWSVYYEKAVSSDTTGNASTAANYTDRLSTLASSVPDIGQFYKIFNNIPWSSI QSRNTVHIFRSGVQPLWEDPENLDGGCFTLKVRRQNQGDEKPKRVWEEICLMGCGGEL QAALAEAGIRDHVLGMSFSPRLYWVCVSIWLKKGDEKSASIVQKTVLERLSAELRPAN ESEYYFKKHSEHPGWEEAVGRKKDD EPUS_00555 MGTDHKRRLPVEFPGDRRVTKRLRSPNTGESSQLQSDATKREKA SLHGSSVLAGLARKSDRDGVVETGHGDTDTSSSSSDLEDTSEEISSVDKDETSSTRVG GTDKGDSCEDDDLIQDLPVPRKPLMSVSHATSDLRTRLSAFLPQLQKANADLENATEA CLRPLDEVADDEDHYIEMNLGLGVLKEKRPPSAQVDGLRLADEDGTSSSEDSGSDPTE ARGNVVDQSVESAAITNFIGAKRSGRERPSIQDLTDGCNADG EPUS_00556 MAYQRLDQAASSQPQAFPTNISSYFRRPTKVVKTNSRGSSPKNL SRRRTTASHSTRHHVASKGSISNYNTPVGGSNNTVATTRPTSWHPNSAAKATPMNDWM SSCQFPDSTYATYFPNSFATTEVNGLVTPLTQPSSAESCYQEAFTPLEEMQFQNLDNT YSFPSQAGQDAFWLPQQPLTLRYPIHQPSIYQQSLPSDHQIPFTYTSAPDLTTGTAPP TPDLVAISNDAVGESGKPSVVPQTEDEVLVGMGLYDAPSPSNFTTSHERQMVLPHRGS AGKGLKLEETFHPSNEDASESEDDLSNDEDEETEATDPFLSAPDHQKSQPSELASHPA IATLADQSFFFENDPDGDQLQQAHEQHFTAPIWTDVYSGAPCQWI EPUS_00557 MPTTIPYSQLNPAPWKHPSELAMVRDWFYPEHISRNFFDLAASS HIDRRQDAINLVSLWRFHEPKLNHALISTANLTDAILHDQPSKRDNLSGIALRSIYAM AFCRFVNALVDRDVRKSTTTTIAKDNVAADADAGSGPHRGQSSMYAHALELGLPETFV ELRHQAIHEEMPSLEVLRMRTGEALEWLWQRWWKFNVKGSAQPALSEWEERHKEWQSA AEEEGGQNQLGLCQRCRKRKHSDLSGNKEIETNAGPGAEHDYHSLQRPKQQNLDKEKG SHDSSSPEWQGWVMHFSKGSGGLSRLKEAPEYRQDKRDSLPASSKEALAKLEYDARSN SKT EPUS_00558 MTCVINPELLPQPEADLAPTPSMSDAESVNAPQELNTLHPHKSS VHANSTRILYDPELIADDSDTDDDENVADVPGPLLTSSQRKQAQNAVFEDYVRGKDES QLQERLQGEEDSMHSVDEINILACANGRRIIDHVRDYQSELFARAKAGNIIAVLDTGS GKTLIAALLLRETTPSVALTEQQHRVLCENLTAMPALVHGRKFDSWSQQEWNGLLNTH QVIVCTAAILDQCLNHVYIKMEDINLIIFDEAHHAKKDHPYATIIRDHYFKPSSPSLR PKIFGMTASPVDGKKDVLQAARLLERLLQAKIVTTKSLSLDTYAPKATVLEWEYDRLL EPFETPLFGQLKFCEDVSAFDVEFKQAKAISAALGAWSADQVWKYAFEEQHLHTILRK YERFESPRGGVAGLDKATGLRKLKRAEEMIRLHHFAEPAATRDFLSSKVLLLYHKLCE YFGGNENTRCLVFVDERITARVLHDLFRRLDVPNLRPDKFLGIGGNKSGQAGVTGPQI RDLKARFESGIVNCLFCTSVAEEGIDSPECNLVIRFDLYKTMIQYVQSRGRARAKGSI YGQMVERGNASDRVKIEDAHYHELQLRRFLSDMDEDRFLENELLSVHGAFSKQRPNKT FETGAGTLCNYRTSILYLDRYASSLQYENPGMSRVMYEAEIAGGMFRFKTILPDGSPI KGVTGEAFPKKTSAKQSAAWETCYALRARGLLDDNLNSIFLRQRPKNANARLALSAAK KDEYEMLVKPGFWTSKCGQVPDMLFATVIYLVPLASLRRPHDPLVLLTRSALPPVPNF PVYLDGNIQTEVAFSHETGPFAVDDTMLLCLKQFTLQAFHDLFNKEYEAEDAQMPYWL APTKWRPGDIVPPDLKELVDLDGSNDLKPIQWSRGSDPNSWRDQFLIDKWSGKYRYWS RAVLPDLNINSQVPNDVPDRRYKGAKTEKILEYTLSLYGSSKVRFLGDCDPSQPVLGA ELVQIRRNFLDKAESKEFVEYTREYYICPEPLTISKLPHGFIATALVFPAIMSRLDSY LIATEACQKLDLDVAPELALEAMTKDSDNTEEHREQQIHFQRGMGKNYERLEFLGDSF LKMTTTIMVFIRYPKSDELGFHVKRMEMICNLNLFNVAVDPSLGLTQHVRTKGFNRHY WYPEGLILRSGRGAPQANMKPVKHETPKHALAQKTIADVSEALIGAALLSSKGPNNYE MAIRAVTKLVNSKDHDIQSWSDYSRLYEPPAWSTNTSPDGFTHGVDSAASKIQRRMGY TFRHPRLLRSAMTHPSYTDSIVPDYQRLEFLGDSILDMVCIRSLYDRFPDRDPQWLTE HKMAMVSNKFLGAVAVDLDFDKYLYYSGVHIPQQIHQYAFKIRDKLAEAKRNNQIDFW TEIEDAPKCLSDTVESYIGAVFVDSDFDYREVEKFFERHISWYFSDMAVYDSFANKHP TTELHNILTKQYRCQQYRVLISNPASESTSLPATKAAPKITAGVVIHNVVLSDAVGTS SRYAKVRASKNALQLLRGMSKVEFKEKFRCGCRDDYVTGVGGAEVPVGGGEEVSAL EPUS_00559 MAESRPSLRSLFLSARSKEAELQHVENSNSAYQELFQLAASTYE ECRDLIERLAIFSRNETNEDIATSAIQYLSVDYLLAELLLKAYGSNRQKLLQQASSLL ESFLNRLDSYELLSKQNRRLLDQYQENRENFHLASTTDAAERRRVKVARFQEEKSLKT KLELLRDKSAQSSIDEDTTRQLYFTELELFANQSFQSLDMIVQESLILLQAQSESQSS HPILDIRENGRSDSDGYSERLDGSVSQIARGGPLLSKEGRPLQPFTITDKRSQLRQGV FQPGHNLPTMTIEDYLEEEKRRGGIGDDGGERQKPPVEPDEDNIELADQETMKARAWD EYTEANPKGSGNTLNRG EPUS_00560 MPPTSQNTQSFEIGTRKSQLALLQTEIVVKALKEAWPEYQFNVR PRDTAAGDLDKVTPFKDMPVKNLWTHDLEQSLVEEKLDLLVHSLKDVPTQLPADCGLG AILDREDPRDALVLKTCRTKCGLADLPAGSVVGTSSIRRAAQIALRHPHLRVQDVRGN VPTRLKKLDEDDGPFDALILAAAGLIRLDLGHRISEFLTSKNGGMLYAVGQGAIGIES RAKDVQMSQILEKINNNSTSFACLAERSLLRTLEGGCSAPVGVETEWITGCEEGPKLV MRAIVVSVNGKESVEIEMEEHIMTAESADSFGIKVANALVAKGADKILDAIKAKKRTE VVDLRE EPUS_00561 MGYGISTTIPLIPLPKDSVLLPGVTLRIPIATRPDIPILLQSAF SQAAASRKGTPITVGCVPLKSPYLSCDGQQLLESKRDDRVRRLNTEPDPEKASKDDLF RYGTVARLIGVQGRSNAEPSLLVEGIQRFRIDQVSQRKPYLQADVTIYDEDLPDEQDA ELRANFHQLKQLSRELVALLRLTALFRGSSSLSPLVARRFEFFIAKKEFAQAGSLADF MTDMVDGSFEEKLRVLASLDLNQRLERVLDLVDRQVSGIKSNIKITSITSTSVPTNMG IDVSQLDQKQREALMKRAMAGLSGAGMPGSIGGDQGEDSDVNELDELKQRLENAGLSL EAQKVADREMKRLKKMNPANAEYGVCRTYLENLVEIPWTKTTDDVLGADSLTRARKQL DDDHYGLEKIKKRLLEYLAVLKLKQAMNADIDAQISRLTGELQNLEKSGDEDAQSRNN EAGALQIKLRMLQERKAVDKSPILLLQGPPGVGKTSLAKSVAMSLGRKFHRISLGGVR DEAEIRGHRRTYVAAMPGLIVNGLKKVGVSNPVFLLDEIDKVGGSNFHGDPSAAMLEV LDPEQNHTFTDHYVNIPLDLSKVLFIATANTLETIPPPLLDRMETITLSGYTTIEKRH IAKQHLIPKQIKTNGLDSGQVELPDEVIDTIATSYTRESGVRNLEREIGSICRYKAVQ YADAKDAGKLENYKPHVSVDELEDILGIERFDEEIAEKDSRPGIVTGLVAYSTGGQGS ILFIEVADMPGTGRVQLTGKLGDVLKESVEVALSWVKAHSYDLGLTHDPNEDIMKSRS VHVHCPSGAIPKDGPSAGLAHTVALISLFSGKAVPPQIAMTGEVSLRGRVMPVGGIKE KLIGAHRAGVKSVLLPEHNRKDVKDVPVEVKRDLEIVHVKHIWEAIRFIWPDSHWAGD QHFASIESRL EPUS_00562 MRTPHHPPKYASFWVPLWFNKLDFKNYLKNVYNVDVLHIRSYVQ QSKVEREQRPGSRTPGRLFRPQARKRMTVELVDPFIYPEEEKDLSPWESETFKKELKQ NQELSKEESRAIQPPMEPDLKRREGIAEQAQDFLQGRQRWRPSWQSIPTDTRVMQGTS RSPGIRGTI EPUS_00563 MAQDEERPTAAEKGKGKVDDINGEKGKGRTAPEKDGKPTVNGKV IEGLPEDELNEEDAQLKSELEMLVERLQEPDTNLYKPALDAIKNFIKTSTSSMTAVPK PLKFLRPHYDELTAVYERWPSGPDKDSLADMLSVLGMTYGDEEKLETLKYRLLSQSDD LGSWGHEYIRHLALELGEEYQNRLTDDKDVQDLVDLALSLVPYFLSHNAEADAVDLLS ELEMIEKIPQFLDENNFSRVCLYMVSMVNLLTYPEDHQFLRTAHDIYVQYNKLAQAVV IAIRLNDLDLIRKDFDSTTDAALKKQMAFLVARQQIWLDVSTETEEDQELAECLNNVQ LPNHFKSLAKELNILDPKMPEDIYKTHLENNRTGGTSAESARHNLASAFVNAFVNAGF GNDKMMLVEGHETWVRKTKDDGMLSTTASMGMLLQWDVEGGLDKIDKFNYAEDPISAG ALLATGILNSGVRMEADPALALLGDADNLQHKSTSMRMASIMGLGLSHAGSNKEELLD LLLPIVEDSSVDMQLSAMAAVSLGMIFVGSSNHQVSEGIATTLMDEDRQKQMKDKWTR FLALGLALLYFGKQEQVDVVLDILKAIDHPMAKPTAVLASVCAWAGTGTVLKLQELLH ICNEHIEDKDEKKGEELVQSYAVLGLSLIAMGEDVGQEMVLRQFGHLMHYGEANIRKA VPLAMGLISPSNPQMKVYDTLSRYSHDNDNDVAVNAIFAMGLVGAGTNNARLAQLLRQ LASYYHRDPNSLFMVRIAQGLLHMGKGTMSVNPFHTDRQVLSRVAAAGLLTVLVSLID AKQFILAESHYLLYFLVTAMHPRFLVTLDENLKPLTVNVRVGQAVDVVGQAGRPKTIT GWQTQSTPVLLAYGERAELEDEEYLCLSSTLEGLVILRKNPEWEDTSK EPUS_00564 MKLDTKALRYLTNDDWRVLTAVETGSRNHEVVPTSLIGSISGSK GGLQRCISTLAKANLIAKVKNAKSNLHRAKKKDDGYRLTYGGLDYLALHAHQKHATVY SVGNQIGVGKESDIFVVADPAGKQLVLKIHRLGRISFRTVKSNRDYLRKRSSGSWMYM SRLAAVKEFTFMKALAGQGLPVPEAVAQNRHTIVMGLVDAFPLRQISEVPDPARLYAE LMEMILRLAGLGLIHGDFNEFNILIKEEKEEEREVPAADRTDAEQQQPQQQQQNPPIK LVPILIDFPQMVSIDHPNADFYFDRDVSCIKRFFERRFHFRSDEPGPHFAEAKAQLRG GDVKRLDVEVEASGFSRKMARELEAYMQDVGVDGDHQDVTNEEEEGGGGGGSVGSGPE ELEDEDEDEDALDKTHS EPUS_00565 MDTPVDSTQRRSDPTCISSIDVVHDGNDAPTLPVLLASSENTLI DRLLDRQPLRVESLLRNAQYQGQTADLEAAEWISEHVPGPNVTDKQTVLNLAVMASDA YVPASDDPAWLNWTGGFNRSRSFGFQSDGIRGHIFADQGNSTIVIAIKGTERAIFEGA GTSTNDKINDNLLFSCCCAQQGHWFWHQVCSCATNTYQCDKNCLIRELIAENHYYRAT LDLYSNVTELYPDSTIWLTGHSLGGALSSLLGLTYGHPAVTFEAPPDALAAERLGLPL PPGSISHHTRSHTGVSHFGNTADPVYMGSCDSLLSLCSIWGYAFESQCHTGARCVYDT VTDKGWWASVGHHGIEGVINNVIKVYGEVPNCEPEDAECVDCFNWKFDGTTTTTTASS TSLTPTSSSRSHTRTQTCRTPGWWHCLDKTSSSISTFTASVSAPTTTCHTPGWFGCKD PTSTSMVSSASVATLTSQARAER EPUS_00566 MAAEQPRHAPGNGLLTPGIVDGRTVKQKGKPNSRKTSARKSPSS SHTIAESPSSMNGSDKSPGGGTEAATTTAKPKRVRTGCLTCRSRHLKCDEGTPVCQNC RKSNRECERGIRLNFIDTTTPKAPIYLIPPTHDWQVTFQDESREIADEYKDGLAKYAP LEKEDQYDVQAEMTYDFSQSMGAPILSHQSLPPVGAVVQTYPESQQPLYPDHHHDSYQ QHHHHNPSITTSHFSEPSQPPTQSYNSAPTIPAEEEQCRPAFETPREVLFMQVFVEEV ALWMDSMDAEKHFSELLPFQSLHQPMLRYAFLACGGRHMNLINPAQYPDDVALEYYTK ANQLFLRLLQNPDRDTALCATTAVILNVYEVMTEKALQRMNHIAGSRALIKECGWSAR SVGIARACFFLNVGLELFSCLHFNWQVAWDPDDWGMDMNMNPQQLGGNEEVWTHKILY ILAKVANFRASIPRFREQTTAAEQLRLNKRLQMWMDLKSWCDRWHACIPPTMHPMAYV PPFQASMKSSFPEVWLIKRPTIVARMFYHSAMALLGGIHPDVGMDQEYKDSLEQMKIY HSRQICGIVAHVKDRGVASASLRCLAIAAECLTHRREQEEVLEIFARIKREGGWRIQF VIDELHEKWGWNTHDTAMSGVNTTNNASSFYQQPGQASLPPLPPQAPPAPKKPPSGIV NPLYRNADFSKPDAPYKNYYVPPALPPPPPPPQHNQHPSGNHGMSVAGSGYAFSGLSA I EPUS_00567 MPSKRDAAHLAHQPTAIVGGQSHSRARGGGGSSSSTGRLEPPPW TRNRIQLPLPAGLPPRSLLLPLLASHAASSRRAQPSTRNRIQLPRLPRGLPRRTLLPL ARSHAASSRPPPQQPPLPPPPPPDPDDWGMDMNMNPQQLGGNEEVWTHKILGTYASEW SMHTARRRRSPPDDDDNNNEDSGSDSTTAAAAYQCRNVMQHLQVLWDLRGNRERWLRK LAAILEPEAQRTFVFRLVRTWEGEGEEEDGVWVEVDREGGSAGERGRGRAVEERERET ERVWEVLRTRGLVA EPUS_00568 MGPNDNAGRISRRSYVSVVLTLFALCLISATARFYVRVRIQKQV SIDDGFLLFGILCLAAAVGIMFAFMDSLYMTQAMQEGIPDLEMPPDWLQRTFDCHKFL VVSLCLTWCSIASVKFSFLFLFRRLIDRIPRLIIYWWIVMVFNVAVAGYGIAVNFLAC PWFYDMRAIQCGRGSYVRLAEQFAMSHIVLDIIGDLLILYIPVRLIWQIRVKWTEKAA LSLSLCLTIVIIAVTITRAAGLHQHERLDTVWEIFWQTMSAEVGLIMTSLTAFRTLFV ARCNNDERRPPRNSSERSWSFRSRKLLRRFFLPSTWRSRSPGQSSSGQNEQKSPGQKE HLPSIPRGILTGVRTFIDGRGRTTMGASRMMQSTMATTDDWQYSWPMSTKVQVRHEIS STSERISDDARQPQPGREYV EPUS_00569 MALVTDAARKVRRISRACDYCHHRSIRCRASEEGDGKRCQNCVD FNQACTYDRPAKRRGVRARQRSNSATSSPLEDQPKPSRPESLSHQNGLDGQQHTPQTP PVNNATQASSNPSNPDSWRAPYVASQGLIMDLVEVYFEVIYPIFPFFHRSTFLRKISR GEFDRNRFFFAATMGVCALASARARDGAIFSNRFVPESLSEVASETFFNAAKEQIPIN LATAQDHNCMRACALLAITSIQYGQIRAMHQHLGRYHSIVAMDGLHDEANWPKDMGHV EREERRRLFWSMYTLDIYSSTVWCGVMRGREAHSDVLYPTEIDDELFDDSGFHAASED SPPVIGPNPSRIGAPIQTKSWLCGWNFTTDLYRILEHAVNHYRASRIRLKKKNFLHEV FGVTDLATQASVLDAVMHMYANLPQCFKQTRPITFNHTEDRYSFQAANIAATVQLLRM VLFSSGGATIEQRCQVASEVVAAFALVPVAYLRAISAPLLHHLAGIGSILGFVLEEPL SGQNFIQVRNVLLSMAQLLSNIDSGVNATTDASKRLQALVKRIDEFIHEKGSQTFRSF PLTQHLEAHQAVPQNPGTDRLTSVNNDSNGTEHDSNNNYPSSAVSSAPTTALNMNFQL PPELLDDWTWAFDFAQPFQT EPUS_00570 MVDQDHGPRLPQRLPMRARKSTSSALRSQIASASGNAQAAPPKI KPDQDHGPRLPQRLPMRARKGTSSALRGQLAGACGNTQEPPTKIIPDQDHGPRLPQRL TMRAQKGTSSALRSQLTSACGNAQAVPPPLTSLSAILDPYPLVAGMPIPRSAQLPSLS ANPRVHGGAAMESLVPSDPEPTEGRVRTSQAGGGSFPLEQGTKLPASTARRIGATESE VQGARPYSTSPNMDVPYLLRLQDREARTPSLSSAFSRCLMDFPKGFEIIPTSGEGYMC GFGAVINTMTAMHPSLPRPTVKDLQNVLNSSAYVESTAEFGLTNTDNFHVDQIGAALY FWGTIHHGLNLQLGYIPSGQIPQLVPHPNEDPTIVIWISNDNAQLLEDQLPEDQTLMP TILNHFSGVKPLKDKDSDDSDINEQNDQSDVRATPSIALSESPALVEESEVLDVLMWL APSNLPKLQDVSGGNYKRREREAEHDQASRKKQAYYCTPESRSQTGEGAAVSAVDPTG RQTRGEADAAPSRGTDSGRSEGAAVQVEGHPYTHAVVHEIDVRESYINKCQGELSPNK HRFPDSRVGKHKAILRILKRRQQTLAGDIKEMERMPRPQEYGSTVRRILDQSKDPESR HNLFRRELEGLVRCEITPDNKSMIAELICVIKEVIKVNDPVPRSPGGRLVRTSARKAA ASFTRYAAESRLEDNRTRARIRRARQERAARVR EPUS_00571 MHGLDTWGQPQGLPVRQIADGQPQVPTYTMAPVSMIMDGQVQGG MHTMIMMPASQTGDGLPQASVVTAAPVPQISDGQTRAKASTRTLVSRVSDAQPQALAA TDPAASSSAGTRLVACKSEGTLELTLNDGVLKDAQGRTGYIASNFQFQFDAPPQAGAI YTSGFSVCSNGSLALGGSNIFYQCLSGNFYNLYDRYWAAQCSPVTLETLALQDCA EPUS_00572 MPHKHKRQRRDEGASFDLPPTSIAKSLPAFHRIKPDDERKRKRK HDETRANADGSKVTNLKDDTPRAFARLLNYQKIGKQPAHTLDDGITVKGIKRKRDVED TTKTALKAKELPTATSKTATNNALDIKILPGERLGEFSARVDQALPITGLRTKGQTGS IKIPGIKAEERKTKHNRRLERMQKQWREEESRRKAKLEEEMEEKEDEREEQQLLWGGV KAGRKKGKKRIGEKNTSGEDEDPWAELEEKRRDSRQKNLQDVVQAPPQLKGVKGKFKD YVVAGVDVGDVPGRVGSLRKREEIGSARRKVIEEYRKITRRKNQVNQV EPUS_00573 MTSRDNPPTFSGGHSPKLHNEPLLGRRIDDERLGVIQFVSLSFL TLAAIFPNQAAYIVDHWGDPSKTAQGLLHWPTDFSRDIQPVPCHSHNDYWRKVPVYSA LQAGCIGVEADLWLVDDDLYVGHSTSALTSNRTFRSLYINPLLDILTKQNPTTKFHPN RDSTLNGVFDTDPAQTLVLLIDFKSNGHTLWPYVQAQLDPLRQADYLTYFDGTSRIER PITAVATGNAPFDLLTSNSTYRDIFFDAPLDKMSVEVPSSGQESKQGASGNAPADASL YNPTNSYYASTSFDASIGTVWSHPSTIQLENIRAQVRGAHNQGLKARYWETPFWPRGL RDHVWNVLIEEGVDILNVDDLKAATQGTWGKWR EPUS_00574 MDDLTSLEVLSLVSKVTSELQNHLGINDKTLAEFVIDQHSKCAS LSDFKSTLEGMGAEFPQSLIESVDRLILTMHPKYKESQKQNGLAKNGSSGEDVDETDR KARIFKGLAVPDRQQSWEDADWPKATTSKPVVDTQPLDDTFALLEGLAKKAKPTHNGS NGRKRSRSPDFHEYDPDRKPRPRYWSGSPSSDNEKRSRHSKVDEFGRSINGSYRTDGH RRSRRRDHDEYDSGLRRPPTPEVDDQPILYKVYDGVVTGLKDFGAFVNLRGVKGKVDG LVHVSAMQDGARVNHPGDLLSRGQPVKVKVSKIEGSRIGLSMKEVDQVTGRDLMPQRR IASGANMERLDGRPDEDPYGSLSSSVPVIEGDMNGRKMKNKKRMTSPERWEIKQLIAS GAISAQEYPDIDEDYNATLNGEGDFEEEEDIDIEVRDEEPPFLAGQTKQSLELSPIRI VKAPDGSLNRAAMSGTNLAKERRDLKQQEAADQAAEQAGQVDLNAQWNDPMIAPEQRK LASELRSAKPSQPTESVPEWKRITQSKDQSFGKRSNMTIKQQRESLPVFRFRKQLLEA IDQNQLLIVVGDTGSGKTTQLTQYLAEAGYANDGLIGCTQPRRVAAMSVAKRVSEEVG CQLGKEVGYTIRFEDCTSPETRIKYMTDGMLQREILLDPDLKRYTVIMLDEAHERTIA TDVLFGLLKKTLKRRPDLKLIVTSATLDADKFSEYFYKCPIFSIPGRTFPVEIMYSRE PESDYLDAALVTVMQIHLTEPPGDILLFLTGRDEIDTSCEILYERMKALGPSVPELII LPVYSALPSEMQSRIFDPAPPGSRKVVIATNIAETSITIDQIYYVIDPGFVKQNAYDP KLGMDSLVVTPISQAQAKQRSGRAGRTGPGKCFRLYTEAAYQSEMLPTSIPEIQRQNL SHTILMLKAMGINDLLHFDFMDPPPTNTMLTALEELYALSALDDEGLLTRLGRKMADF PMEPALAKVLIASVEMGCSEEILSIVAMLNVQTVFYRPKDKQQQADQKKSKFHDPHGD HLTLLNVYNGWKQSRFSNPWCHENFIQARQMRRAQDVRSQLVGIMERYRHQIISCGRN TMKVRQAVCSGYFKNSARKDPQEGYKTLIEGTPVYMHPSSALFGKPAEHVIFHSLVLT TKEYMQCTTAIEPKWLVEAAPNFFKVAPTDRLSKRKKAERIQPLHNKFAASEDDWRLS AQKRQGRGGGGGTWG EPUS_00575 MAVAEGTHRFQELRINTGRQNPREIPRSDGVSNNNGIWTSDRYG YFNNEPAPTYPVRRVEGAAEHVSTPPISIPTSQNAVDEAPITRASFASGPQQAHQSSP LDFQTRRPSVTFDSTVRLDCGASQHIEKPLQRAGKPRIRGRSLLEVMKEDQERQQRAL SGPDRNQCDAAPHERSRERDRPHVGELRHPLLPATVDELARESQTDLPASMTSEATLS PSIEGARTPPDNQTNDFLLSPITTSPIIHAHSFEYPNRSQTAAADFFAHSGSLRKSPR GLIGRQEGRRSLSSTKSPKSAASSYLAGFSRSCGSRNGNSGDNLDNSPASPDAEGQTI GEDYVLGKQIGYGGFSVIKEAFRMNPDGSQRQLAVKIVRRNIEGKSEIENEQAQAEFD HEVELWRFLKHPRILPLEAVYKTDDATFCFIPLNKGGTLFDLVKANRSGLPAHLAKRY TYQLSTAIRYLHEDARVAHRDIKLENCLLDTSVDPPNVRLCDFGMAEWITNDSPSSFD DPPSPNINYADRLPQRNIGPSDTSTSAFAGGSLEYAAPEIVNLANSYHYLRQQREAQR GVVSTASDIWALGVCIYSLVVGSRPFQGAIESRVSTAILKGDWDQQRLSEKGGEEALE LVMGCLEMDVQERWHVNEVLGSGWLREEVERNGDQEGGYGGGWGL EPUS_00576 MTTLKPQLIRADTLDLQTQTSPSAKDHTRQPTHPSPVGLGPAAP HQIQSLRNAEQDALQEQMNSPLVAHHHDQGDFTEEPEFYDDQQDEAHDGLQPQDGQNA GQHVDDSGVDDSDIGDQGDDDGMDDDMMDKISSSPSIDDGKYPFSIVWPFRRDSIDLG VAHSSSSVPPDEPPSSSSPYVSPPEHYPINFRKVREKVPDSHHHGGYTGHTLDVSDTA SPSSVENIVNANLARFQETDDVMDDSEEGLDLNDIDRYLVPLDDPLLNVDEEADSEFT EDGNADDLDWEDDEATVTEEADTSSDDDTGRFLFTNDSRFIDSGWGGECLREVEDIDF EFVYALHTFVATVEGQANATKGDTMVLLDDSNSYWWLVRVVKDGSIGYLPAEHIETPT ERLARLNKHRNIDLSATMLGDNPEKSKNPLKKAMRRRNAKTVQFAAPQYYEPSDFDYS DDEEGIEGDPEQGDYDLGSNDQNVAQGEDVEGDGIAPTGIKTQQDGEIMDGIQTTNGA DNSYEEKLNSPQKRGNSDEINPDERPEDPNARSRRGLVRNTDSFFKDDGVETKKISLT PRLLRGDSDLNIPGQQPDLKPKGSLETFDKVVSSESDKSSDEKKKKEKKGMLGGFFKR KDKKAKIQDNESEDGKKGSDELSRVSPQSKKSSESLTQDAKPVKGEKAPQRQTSKLQK QPRPHVSPKTSPSKENFQRPEQSPHPSMESTMSPSSQDSSSTPSTVRSPAAEQSRTQE NISPRIGSPEARQDRAASPSQNNTIFSPLTDALQPAPVRSRSKDLDAQPKAVPAKQVK NRFDIEDMNSDEDATPTVEAEQVRHMPPTLVTPSLEQYQERLSESPIEVSPIEPSQNH KSSSGSRPPMLMVDTSDSERRSVSPVSPTLSSSPSLVEADAETPNGAEELTNPTASSP HADAHTPSTARSTPTWSDASLRTYMDNDDDIRDLLIIVHDKSNVVPAGPDHPITGHLF GAEKGRLAEMQLNLDSMLTNWLARKNQTRLSR EPUS_00577 MSGPYDQYGQQGYQQPGYGQGYPPQQGGYPPQQGYQPPQGQYGQ QPPYQNYGGPPPAQYPPQQGYDQNFGAPARADSFGPPQHGGFQHGQAGGQYGQYDASN PQGQPGYYGGQPQQYPQQPQYGQGPPQQIYDANGQPHQFPPQSSDKNAPNYDPNAPPM TEGERGLLGSIGGGVAGHYLGKNTGHGFLGTIGGAILGNLMEDKVKKGKHGKNHGSSS HHGSSHHGSSHSGSSWGGKW EPUS_00578 MNLHYSVLFLASILLLSSSASADSLNLFKVQAITNFPQKCAATY SIDISDCSPSEITVSGEIEPDGRGVCSLECIAALSMVNNNLLSACTGVQANANTLIGM FFAQKGVSYLCPNSVVGNGPAPSSTGVESTAAETSTSSSSSSSSGETLTTTTTTTTSS SSTTESSQSSITSAPSPSFQPTTAVDKGVATVLTSEAQRSDSSKSNPDAFGGGGSPFE VAANGAVPGRVRNSVPVMLYAATFWSVMAGCWILVGG EPUS_00579 MTRLAKMWHRPPSPISVPGYLQARSDDESPTSATFPSSTDSPQA TVSTIAPNSHGKPIDPKNAAAFGIITGAMIAFGVISFSLFLLYRRRSDHSCNPPAWWR KRKNAAEPAEVEISDPMTTRGAVSDDESALHDTYDNKLNESQQDLVPKTPEGQPKPNL VQKIQQALYGPLNQALKKKGKQGPSPVDMEKGQSSDTLDDGISNRQNVRTSGDEWSRR PVSAADMSIQTESSMALHPAVTRLKSQKKSGPRPGVSAFSWSTTAPTSVPPIRTSLRE NPLPPLPSDRDSRRDTALTTMTEDSGPVRHMSVTGWVTNMQKRRQKREQRLQQPMSND GEAALPTDLLAPAAVAHPKGRQWTSKDTGSVRSSTITLETNAKTPALETATVAWHVHR GAGQVDVPPVPRSLGRGQKEEPELENLNPKYSEQAEYLQEEQYAQQDEYAQQDGAYSH EVQYAQQDEYAHQEGEYAQQADYSQHYSQHYSQHYSQQEQDYLQEHDSQQQGYLQERY EYQEQQDYSQQYSQREQEQQQEDYYQQQQYRHQGHDGTTPEIHINSPSEATAIPEEEW VPNITRYPSGTTTTTAGHGAAAGQSPRI EPUS_00580 MGLTDSSWYFDIARQEYEWFFAQRDMHDHEWQHSTCIVALSQLG RRLSPINPVALLFLVIVAAPSHLTRTLLSLRLGHPDNTGQVTLLNFPLSPCGLLSNLS LSGSVSEELEKLELQSCCDLHLGEKYAAASRAGSTDDIFYSEHRNHASISMNDICDTM MVSSYEKRRSSNRAKRRTTAINKSFLLGLLASSTSVTLAQNCIPLAGSTTCSAFSASS ISTNTELISLYPFLAFVSNTQEFDNQLSQYVMSGYVQQKYQILLGCDNVDLRNTSLLY ARYTTSVICNGIVQNSRQPCGTPVDDVRPLCADACAELAISEEKITMDSQLCGNPADN YVEQIRADFTNCALPADSLSGDCVTGARNEPENCGFGPSLRGLCDYCRASSENATDSC CIGSDAPGRCQDVVLPQFTLNPNLLPTSTASGAPNSSSTSPPAAAESNGLSRGAIAGI AIGSVVAAALLLSLLAACCIFARRRRRGKSVSDSVFNQPTPQRFGAQSMQQTRPASSQ IGYEVLPGGRVARMSALQGPSAGDAAPQAGSRRGYDTSDSEAYESPGSNNKMKGPVTG KRDGSLSSNSAFPAGQDSSPNSDSNGQYSSPEGVNSGQSEQLASFKDYYSSDEIHPND KVSVLWAYQPRAGDEFELERGDMLKVVGIWDDGWATGVRIDERAEDYDGKHKVQRDSG VSNGSAARAQSPPPTGEIKAFPLVCVCLPEAWRKTVEGDASTESGSAARPET EPUS_00581 MASSATNETVSKKRNAFSELMSPKPKIPKGTPAPNPMPSSTTSE TAENIPPSRRDGLGTYIANPASFPPTVVISHNPDYVTIRDLYPKSSIHLLVLPRNPAK SHLHPFEALDGSDPSFLTSVRAECAKAKSLAASELRRRFGNFSTQDQAREAALSADPA PDDLPPGRDWSAEIMVGVHAVPSMSHLHIHVISMDRHSDRLKHRKHYNSFSTPFFVPL DDFPLADEDDRRWPGREGYLKRDFRCWRCGKGFGKEFKRLKEHLEEEFEDWKRE EPUS_00582 MLDRHISGAASKQLLVCKSWYPAAQSVMVEELHLSEYNLPYFLA NMLAQRKLWRLVETNCRRVNLKIRGPQGKSMFKNHSAEFEWGARITPCLTYLATRLPR FMRLEDLTIEATAPDPKPRAFHAYLRRQQMANFLRPGYTSRLRSLNLDLCHTLKHETV SNSYGNHLCAFISKFLLTLQHVRIRMDSICAEAMDTGDDENTANLESLVFNMYIPNND TRPGFPVDGRALPCQTKEEYPIRCPLRRMMRAAKRLSERKATIKTARIVTSFYPEQRP VAIDCISERRTILANRNDWTADGPLFNGYVNEEAE EPUS_00583 MELSMLSLILAMKSSSFERSQLSLFERKTPLSHHDGSDRDSDDD LRFLRAPFMAYTISKRKLEHLALRGLSIKAFGKDLSTLQFDQFWDSLSATDSRSYQLK SELFNNLETLELQPVIPRRGEDDHPSLPPRDRKPPRAGSRSASSLKEERSSTPTTTQK TSAHQTNPNRDKDKALEEAFAHQLELATTELQMGKRNFLAKAGHDMPLSDNLAPTSSD SGSGSGSADPRSAKKQLDALTAQCRNVASLCATRHGNPDPNNTTPTTTLTPTPLLSAS STSSFPTTEPEPEPDPRVPYHRRVASILQRHSDPRQALPRLERALQPYLVVRGEKGED DGLNDEMIRQYRHAIKAMEEEIADLYRVPPAGAAD EPUS_00584 MAPSYPTCFLLLLSFWALRALAQAPADPLKDFCRRYGHQTAIID RKLYIDGGWLYANPISRNPTPVINRGLLYNDLDEISDGMPKQHANLTKNSSVPAVAGG TLWEDEVNKVFWLYGGEFQSAPSPFELWGYDVILNQWNLSDAGTAATSPIQRVSYGAG VSVNDIGRGYYYGGYLNNLTNPLWNGPQIATSNLIIFDMEDHKLTNNTGYDNMGRAEG TMVYIPASGAGLLVYFGGVTFPYGNETAVAAPLDTILIYDIGDASWHSQAATGEIPEQ RRKFCGGATWAQDRSSYQVYIYGGFGFAENATGFDDVYVLTMPAFEWVKWYPEKPGPG APHGLLTCNVIENSQMMVMGGNFTNTTECDVPKIQGQHNLNLGQYNRDNAKWFQYLPN VTEYLVPPEILQITGGSPRGGATKKSPSNGWDDNRVATYFGQLAPNSSRTPTRAIPTQ TAIPVVPVTPPSKKRNIGAIVGGAVGGVAVLAFAAGLIFFFLRRRRSNQQPQPTPVPT ATDYSSVQSPISKYATESKFTVVDPNYTTPVLSPNLSSHSPVHSNAHSQPYSTPPPVQ TTQPMYHPAHAPEPIEYYPPPDGSRPNLAHLGSSEMPTIRSPP EPUS_00585 MSSPPFGLRWRASTIFIIATVAVGIFTDLFLYGLIVPVLPFLLR DRISVPQDQVQSYSSALLASYAGASVLFSLPAGWIADRTSSRRLPFLSGLAALLAATL MLSLGKSIAVLVMARVLQGMSGAVVWTVGLAMIMDTVGVGNLGKVMGTIFSFVSVGEL AAPVLGGVLYDKTGFAGVFGLSAGILGVDFIMRLLVVEKKVAARYEDPKQNAPESDTT GDAEDTSPTEEDALLPKTEKDYYTIPPNQNSIVRNLPVLYCLTSPRLLTALLLAFMHA FILGTFDATVPTEAESLFHFSSLRSGLLFIALDVPYLIFGPLAGWTVDKYGPKPAAVF GFGYLVPTLILLRLPHAGSGSGQIILYCALLSLCGVGMGVIGSPSIVEASNVVQKFDK ANGGFFGTNGPYAQLYGLNSLVFSAGLAVGPVLSGTLRDSIGYGNMNLVVAILSGVTA ILSFVFIGGKPKMLRSKNR EPUS_00586 MRRFLSSAICLLSLQAIGAYAQADPVEEDISEDKLQSPSLGVTV SASFPDAEIFGIKLVNGKATKALISFSNEEPEPVTVKFIGGALWTPPFDPQGNKIVRN LTATRYHVEVPAGEKQSLPYNFATELHPQDLRLELAAIISSSDGKDFALQAFNETVSI VEPDTSIFDPQIIFLYLFMLSCAGGVLYLFYSIWIAPYFPQKRTRGGGEKPKKTIGSH KKIDAGESAEALGTDGPAVTTGAKGYNEEWIPAHHIQRPEAKRVKSGNPRPKSRGKAD EPUS_00587 MARFPTFSPESGNPFSSSPSYSNGNLTTARTMPDQSTIDLFKDR HNRLMSTENEKNKLIEDLLCRLELTEDQYQRSRLDHDREIRYNREGQMRENQLQNQLR GVKGLMDRNAFVVVLLDGDGMIFSEELLQQGEKGGKEAASQLWGAMNDFVHRAIPHLS SPKILARIYANVKGLGDVLQMSGIIDRATLFEDFARGFNGSKLLFDFIDVGNGKDKAD DKITEIFKLYLHDIHCHQILLGCSHDNGYARLLDDTMGDAMLMDHITLLEGVPFEREL ANLRASFRTTKFDNMFRDTKLATPYQLKNAPGPIQRPLQTIFANIPIGSPLAQVPSNS TTDEITNSTTTSITSKSNTPAPTWASMTAAPFIPSASSPAARSGMKNGTGPLKSTPGI NRNRLGQRVDDLDESIPNEDIKRVKKLKLCNVYYLNGADACNNARCTHDHDYNITKND LKTLRQVARMTPCYFKTECDDPKCIYGHRCPQSQPNSKECWYKEDCRFAGWGHGIDTR ICKTTKV EPUS_00588 MKPPTGFEELASVAEKGAGSEFCAVGVVADFLPPTKSRGQDYVI TFTLTDRSWFQGEGLKCRFFGRTEDKLPNIISKGDVVVLRSTKLRQYNGQLMALSSFQ SSWLVFHQQDIPLNDTEVNGVLPFSKMSDQTPSPGKDIIDYAVEICNFLDRSTFGEPA PVTSLQASSLMGSNGAAPSPAPKKFQLIQDLTLPRTTRDLKFADLLGEVRKVYMNDFR VELSITDYTSHESLYNYAHTLDDEQGRDGDEFNYIPGQKPWSGPWGKMSMVVTAWDSH ANFARKNVGLGNIVFLRNVQISLDREGDRMEGNLRGDRYHPDRIGISICKPRQAQDDD RIKALLKRKRDYEADLKLHGIRGMEESNKPKDEAVQQPAKQPELTAREKKKQRQKERR KAKQKEAQKARANTSKSASESLNPNIRTNKVPETITPVKISEITDPSVLHGDFTTPAG NPFTVPFKNNLYHLNRIRVIDFHPPNIADFAAPHKRSDYECLSDYESDSDISMDLTDP HGAEVEWEWRFELLVEDASSPAPQESGRMKLLVAGPDADFLLRSIRATNLREDEKSLA KLKEKLFLLWGDLQERKEEEEGEKEAKEEGVVEGRLEPSGRPFECLVKEYGVQARHDG AWERVFALFGTSIVSD EPUS_00589 MSERYIPEHRRTQFKAKNTFKPDELRRRREEQQVEIRKQKREEN LAKRRGIQRGDGQIGVGGGLTAGTDSDDEAGTIEGELNTELPEMVKGVFSDQIDSQIA ATTKFRKLLSKERNPPIERVIETGVVSRFVQFLRSPHTLVQFEAAWALTNIASGSAQQ TQVVIEAGAVPIFVELLSSHEPDVREQAVWALGNIAGDSPACRDYVLSQGALKPLLSL IGDGRKLSMLRNATWTLSNFCRGKTPQPDWNTIQPALPVLAKLVYMLDDEVLIDACWA ISYLSDGSNDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVII NCGALPALLALLSSQKDGIRKEACWTISNVTAGNSTQIQAVIDANIIPPLINLLSNGD FKTRKEACWAISNATSGGLQKPEQIRYLVAQGCIKPLCDLLACPDNKIIQVALDGLEN ILKVGEMDKEAADARAAEAQVNRYALFIEEAGGMEKIHDCQNNANEEIYMKAYNIIER YFSDEEDAGADIEELAPGQTAQGYALGTQQPQGGFNFANGTDSMDM EPUS_00590 MTSQDGAIGSSPPTTQETGERRKSGRTVRKPDVFAEEHHEGSLL SNGSGKRKRQSNGDALLDLDADASQEEESDEQSEGSADEEELKERRRQSRQKKSTPKP SKRAKISNGTGTTLAIRSAKVTPKNVSQKARVQKARSRQSQVNQEGLYAEVFGRGNSG EDAAAGWFQRYEQNNVNAMCEMVNFVLQCTGCKAKVTVHDIEDVDNIPNKLGDLQDEY QEASITDYPLISKHKDYAAFRPVLVDFFSAVIRTMHNSSTLYEDQTLFDNIQIWVDTM SSAGIRPFRHTATLISLTMSTALCQVARDLESIISMSRRQAETEGRKKKVNQARVKSM QQKTEADEKKLATVENILKDEFDVVFVHRYRDVDPKIRVECVSAMGNWIMTYRSMFLE GQYLRYLGWVLSDTVAHTRAEVVKQLKLLFRNPGNVGPLRGFTDRFRSRIVEIATRDA EPGVRADTIELLDRLRDAELLEPDDIDIIGQLIFDSEPKVRKAVAKFFVSNLEDLYKA NIEPIGDEQIDSLMPKAYDSEDYLSPTRSWIKFKCLAETLKAYDGNEEEGNGHRPTSM LVASNMDSRYMLATQAIFSHMHELQEWESLAGYLLYDHSAITSDADDADPAAALQGIY KLNDGEEAILLEVLDYTVKLYLIQTVESQTEGKKKGRKTQASKNNVHDKQETAAYHLS KIIPQLLNKYGSVPQAASAILRLEHLLDMDLLNELQSSETTYSALLDDINKQFMTHSD RSVLAEASVALLKAKSSEPVKEAADNKMQEMWDDILNTLQNLLHDQNSEARGTLPRNL LTEVSNTVSRLSSLASISDCTLFLETTMPASSSKKKQQSQAANPSPLDLLLQLCRRGT VDEEVEDDVAALEDDLATCAIKTLLFYFMWKIQSIRSSITSNNIHHLEHHPDLLTDLS THRTTFADTLSEITSSRLPLDPLRLLSLSTTLDLYTLFSTLRHVRPAKESQHLPPPVR NQLDDLIPPIPSPLQTATLQTHDRLEKIFAKKSRRKLDPSSSVSKPNHPEPAENDAPI GDSSDSDSDSAADNDDDEDDDGAPDVAGGGGGGKDARKASVLLAEQNLCEFTGKLVLA VIAGVVGDAETETGTEKMGVRERLCRNRMRLGANYREVLGYLEDKREKLEGKKAAGPA GKKGAGEAMGMGKGKGGERKGEEKEKEKEKERKSEPLVLEEDDIRDDELENEEGGEEE AQEEEVEEEGRAREGNGEDVESVMGD EPUS_00591 MLGIEALASLDVRQSGTDISPIGYEFTTETISPTSITLVPILRS GLGMLDALQTVLPYPVSVHHLGLFRDPLSLHPVEYYNNLPFTRPNSSTAPEGNPSAAN LAIILDPVIATGGTAVAAIQTLKEWGVQRVILISVLGCAGGVAKAAGEWPEATEVWIG GIDEELNDRGMIRPGLGDVGDRLFLTIGK EPUS_00592 MVRIKHRYLLIQILYPSLQTSNPEAPDSVLFHAPTAPHITSSTL IHLLRTQVSLLFGDYGSGVLAAGFSIKYFSNATSTAIVRCPRAHYRLAWAALTFVTEL PGATKGPTEGERCVFRVVRVSGTIKKAEEEAVRRARRMISAIQGDGENTGTLQAVFGA EDEQGIEDINADDVEISDEG EPUS_00593 MPFAQLVIGPPGSGKSTYCNGMQQFLNAIGRKSSVVNLDPANDA TSYPCALDVRNLVTVEEIMSNDELGPNGAVLYALEELEENMEWLEDGLKKLGEDEYIL FDCPGQVELFTHHNSLRKMFLKIQKMGFRLVVIHLIDSYVLTLPSLYISALLLSLRSM LQMDLPQVNVLTKIDNLSNYPELPFNLDFYTEVQDLNYLLPSLSSESPIFAPDGKFAG LNRAIVDLVEEFGLVGFETLAVEDKKSMMSLLQTIDRASGYAFGGPAGANDTVWQVAV REGLGKLDVRDVQERWLDAKDEYDEMERKHWDEEAKIREEKSMNGEDDDFEDVNIPTN SGVKIVRGSRKP EPUS_00594 MSHRPFYLSQPDSPERESLANRSSFDLSAEDPESQVLFSSSYSH GHHIAFFDKVQSFLRGRGFNLNFNKLLRTKRQRRRGKKGLCQWPGRRRLCFAFQAFLV AVLLLATFIAIVRPSYSKPPARYHELLLLAQSSSEAGRANPGKEKVFIAASIFDPKGN LARGAWGKSLLSLIDLLGPSNVFLSVYENDAGPVAKAALEELEEKTTCEHSIVFEDKL PPDAVPEIQLPDGTMRRRRIAYLAEVRNRALRPLDSSSTKFDKLLFLNDVMLDPVNAV QLLFATNAESGKADYRAACAMDFSNPFKFYDTFASRDLEGYSMGLPIYPWFSSAGRAE SRQDVFDQTDSVRVRSCWGGMVAFDAKYFQSTADDFDDLDAAGGITGANFTGFRFRGE EELFWEAAECCLIQADIQNPDEGETGIYMNPYVRVAYDTTTLSWLGLSRRIERLYTPF QYLINIVAGLPRFNPRRAQQTWQEVQEKVWVPNTTLPSGGSFNVIDRIATHAGFCGTR TLQVLKEDPQAGEKNWEFVPLPNG EPUS_00595 MPGNKPFNIDDIGNVNSFLALIGGSQVSSPPEAERTTVSASERS SVAPPSGLAAAGIDVLKQDRPVPNRHSQLIPALAASGRAAPPSTPTAGTVAASNTTLT SLDHIEDGSDILRAIANASNLTLNDSVHAPKNFRRTRAQNPDLKYATMPARHAPYSYN SFDENYNRESLSKMKKSEQEKLIPKYQDEVIANFVREKSPEMENKAEPTKEPESGLPD RNTNVTVSDSSSKVTQSTKETPEPTNKATNYPKMSITTAPGEATDDDDGQKDDGNSEK EDREHLKHFKSWGKPEPRNGPTARIRKVILSNLPADSDLTLVQSLVYGGALESFNLMA SKTSAYVTFVDADACDAFFDAHPNGLVFKNPKTRRNHVVYVAKGQDVDVVSSVLRAYL DCQASRVVRATGADEDWGMGALYKLAESKNRKVETIVDTYRDKVRTIIFRFTNIADAV TFRGQLLRDEDWEMCNIQFMDDPVQKATGVHLEE EPUS_00596 MSNIEQSLSLLLPSLSDHLPNELIALSNSLLAQSRNKASNLKSE EEIARPYACCEIACKRLGSKLKLPALHGRPPCPPRAYKKLLTFLEQALPANLPTKPTQ DSSEKGKDVSTGTTTPKKVAPRPSQSTSRTTSRKRRTAFAGKVLESRDSGHQNNDDGV PTWVMPLIRRLCHTFSTPLLVPHVYTGLCVVLGLANLGSRQEDEESIYRNDVTGLTLA LFFLVLSRMQQGKVSSESYLTDCKRACAVANDEDTNSGVTKDAVDDWIKKISSEGWTT DQEWWSSVPEDVFDRLGKPATGESVEPEDGYQAGLISRKKRKRTDIGNDERDAEGTLL PGLGTMMQESIDWLGEDRQADYMRWRAGVEKKLNQLDKSVGRTRTKAKGVTAR EPUS_00597 MKDFGVGTYMAVQKKCSYKHIFEQIVASDWDKNCVLIEDNDGPH GTKGKGFNKVRVHRRKGYEARNALSKTQFKLKRQNQSIHVYRVIGWNFKGQLYFYTGS GIGGRLVQADYMVILEQIVAPDWDKSCVLIEDNDGPHGTKGKGFNKVKALKDHLGIQY HLGIQWESNPPNSPDLNPIETIWRIIKQRLKSRGVIFEEAILRRAIQEEWDKITIEEI NRAISTMPDRVAALNERNGRPIPY EPUS_00598 MAIRSEAVVGRFIPHPFCNLPSPQYGSNETGATISLPTVIPVWI QVDSEIRHCLSFHQRCWWARSGYALAVLLENAEYSRHAQYQCLVFFALVVCSSLGAAT EPALDVKPWNSFMTDDGNPIELSWDWHTESKSPTIRFSIEPVGLIAGTATDPQNWYAA SNFHQALLRSLPRTNVEWFDHFKQQLCCSAAAVSLASEGHSSRIFYAFDLAEDGIKSK AYFFPGFKAKATGQTNLTIVSQAIVTAPYCSPEKLEALAVLQEFVMDSSTPALEMDML AIDLVEPTSSRLKIYFRSRETSFSSVKRTMTMGGRLVTSDLVHGLQKLRLLWDALLER RGRSDDEPLPRVEHRTAGILYNVEFCLGSAVPKVKIYIPVRHYASSDKQTIGGLSDYL YRTNAQNERFMPAYLHALRSILYVSLRFIFFLLSPLSLARLRPFVSTVTVVLNLHLLQ RESI EPUS_00599 MLAEDKYATHSESSARCTCDVPSHNYTYSFEPKHDWTNVYASSA EIKTYFADFAQEYGLHKFIKLSHRMQMARWSEKDGQWHVQVDNLATGETIQNSCHILI HACGYLNKPSWPEIPGIDDYRGKKLHSADYDETISLQGKEVILIGNGSSAAQILPTIQ PVVKHVKIFIRSPLWLLPDISSDQRPFTPEEIEKFVQNPNATIKLRKLNETTMNSIFS VYLRDSVLQAQSRELLQSQMKKILNNEYLEEKLIPSFAVGCKRVVPSGFRYLKALLKE NVTIVHGAVGSFVETGCTSEDDTVHPSEIIICATGFDTSYIPRYPIIGPNNRNMQEEW ASSLDSYMGVAASEFPNMFMFLGPYSPVSNGPTLVAIANVTNLPIPETQADYILSFID RYQTEPIHSLAPKAAAVDEFKAHVATFMGRAVWSDACRSSHNNHTVNGRVPTTWPGST LHYLEAMREQRADDWKIVYKGNRFSWLGNGISQTEWDPTSDLAYYIRDRDDGPWGSRW RKTAERSRSGTQPRRELHRQLKLAAKNGAEKNMEDGRKKTDESEQNGTIHHRETGRDG HSWPHRVLAEDA EPUS_00600 MSSEQSAAEAWNPPVTGTPCWIEIPASDLSRAKNFYAEVFNWKF RSDPGAAEHKDVAMFSFPDPKFESLGGGIKKITDPEGKKGGGPIVYLYVDSLEDIAKA IPAAGGKIIGEVIPEGSFGFYQLFEDTEGNVGGSYTMAKK EPUS_00601 MRLTSSTLGWFLLGSNLVSAHDAILNRAAKIVTVYVPAPTFTPP PSYTAEPSLIDSALNSTNAFRSQHNANPLTWNTSLANAASSWASKCQWKHSGGPTGEN LAIGYPDMTTAIDAWGNERSMYDFSGPTGFSKETGHFTQLVWKGTTSMGCAAIDCTDR NSLKGFMVVCEYWPPGNVVGQGNSYFRTNVQAQVHSEVRRSSGSTNQETTTLTSTMET STATVVQVVTSAEYMATRSQSTALALGDEAAADQLEAKAMGQWAIGVAVAAMIVAGAI G EPUS_00602 MPLAAITSYSIPFKIPGSQKDRQKLHYFCVQAASDLSGNLCSGA DFWSNTVLRCSHEEPVVRHALIALSSIHLDLVTSDCPEGQGFGNELTSVESLLQYNKA IHQLRTYLSSRERPSVKVALICSALFYCFESTRGEYDSALGHLRNGLTILRDAMARRK SEAHTTESKDEPEDLQPLEHFFTRLDLQATAFDEGRVPLLEFTSLDERTGLKPIVATD IFQNLYEAQLALDKLRNQAWRFCTINAPFKHVQPEKLPAHVVQEKKQLVSQFKHWSSA LEALWLQQDSDSCQRSEIQTFQESATMLKVLHRTAQMLILANFPHDYSIFGSTPNERG REIISLIESLRLTDPSRRTYSCEVGVLAPLSMLGSSCKDPQVCGKAMMLLAASRRREG LVDAQMVVQVARRILMLRTGRQVDLTPKVDEPMRQTADTVIDDIAMKLWVSDSMDLAQ DGSYAAPRIAKMFGSAVKLFNVNLR EPUS_00603 MNPEISHGSPSPTASTIFLPLGRPPRRGSRASDSEPIDRETLNE ALDNIHNAAYQSTSLTVFNEYTSPPDASLATEKPGIAEELQGSLSGLYSKFKASVEGA KDFISSSNRISDESIPYGEGLPSRNVISGTSSPSTKPVSQSPRIPQALHVVEPGHENL PSISRQQSRLHSPVVPSFEAAQKDGVPTLSKGSSKAGSVMSKASGPETPILRSPAAQM SRDVVSLAVDPTVAEIKLNAVREPVSQIQPVEHQGSASSNSYDFDGDLQITMQHSQHN DASSNHTKSARTQSFSQHQLTSSKLAPSSPMQYQHDLSLISHDQEKATSLVSSMTAQK SLRSQTAIGAAGSLSISSADDAVEQSRNGTKKVSGIASRGQTSSQTRADALRLLEGDA LQDLTKSPDSKHDVKSPQSPASPSVRPAEKLLPRVSTSKLPGFNLARISSSNSTSTET SRADSKNRTQVEALDGAVDADQGVRQAPKIVVNKLRSKLLSREFWMRDENAKDCFHCG EPFSTFRRKHHCRTCGQIFDNKCTILIGGSQFGSNSSVRVCKPCEAIINAHEHDSSDY SMEDFSRSSPTAIRPQTPIAQKELNGTPLVDDDAASIISQSLEQVRKTPTMNFPVRRA VDSRNRRSAILEFDADDRPLARPSSSRSLKTSHTIGHGHKRHYSRHQHIRNFKAYHED RAPFQRRLADDLPKDAQQSAFHHDNIIDPDLAQYLSDDASSEDDQPNILEVSEGKLSR SVPEGERASFGGLLAAVRKGRSRLAERSVASLLNSAGGDDASIISSRGNLSRPIRKRN LSTASSVHVRLSPNLHKEDTTYDLAGSRLPSGSRMTRSASMRGAGAPPVELNQASLQH VRRLLQQLLNDANVPRPSSWETALVPILLKATDTVDPDVQRGDDIDIRHYVKIKKIPG GRPGDTSYISGLVFTKNLALKSMPRSIQQPRILIITFALEYARHEQHFMSLEPVIRQE REYLENLVGRIAAQKPQLLLVERHVSGMALELLEKANIATAFNVKPTVLEAVSRCTQT RIIHSMDKLAMKPGQTGVCESFDVKTYVFNGKRKTYMHLSGCPPQLGCTIILRGTESN ILSKIKRITEFMVYVVYNLKLETSLMRDEFALIPSVSANGTISPRKYLGSNDNAHKPM STLNAVNERLHAHTAPHDEKPPGKDQQSVTSISNSNPTGSAETAEIPDDIPVPTFYED LVEKHQTKILSASPFVKFMQPYLLMRARELERRVAYLKRLRDQDLSIEQSAEEKAKAQ RFTLIRPEMVHTSLIGAGAKVREILHVVHDTEYDKALHNYETQKRQWETYLSGNRNLF DPYAHQNIVVLFSQVSTATSVPCSGPDLLAFGFYNEHESDEQFEPDCTLGQYVEDLCY RANDVCQSSACDRKMYDHHRQYVHGEAQVSVFVQPFPAKMRGMQDIILMWSQCKICGN ETPTIPMSANSWKYSFGKYLELSFLSADLHARAGLCPHDLHRDHLRYFGYKDFALRIH YDAISLLEVVVPRMRITWKVDNDLTFRNEVYVKSERRLNRFMVSVKSRLKGINLQAVL PEKAEACKQEIERLTKRANEEHLALVKQLQDNYSNSRHWETIPLNQSIRSMQEKVAEW DNTFAEFERDFFPSEKDIRRLATLQLKKIFLDRDVSVTSLTSTDEGIATPRSETSLEE KGDDAEDVHPPLRPRKMTHLSPEKTQSVLQSVVEEHSGAVPESLESSQGSLSMNEKRG PQEAQSAVDQTNHENVRHLDLAISSVMPDHHVPTELDPSQGDTGSKHLQEGAKASNQV STPFGGTHSCGGSSSEYNDKPLGAPANPILNAPSSIPRATDTLAKKEGASRPSVPPLL RAQTQPAAILNAAAENSRVESGTLLAIQATQGFGSKLINKEDMSLVGNEPMVKAADKK VPERFGLSQLKGSKLGKGQSLIPRSVALRKDSRVSNLAKHFEQLSREFEKERIRERRQ RAARNRNSRIYPLASSRPIVEVYKSVDDAVESKDASEESLMSNDRQTSEQGEMSGSTS GLAETVPSAKSEDSTTHGEDTATETIETETEMHDASHAPSDGEEENSDTDPALNHVQI PESPEDLAKLSPEDLDLKELPKHERTSLMKMLTNFWAERSSSGWTPLDYPLTPADHVF ADCDIIIREDEPSSVIAFALDSDDYRSKLGDIQEHRDGLTELDGPGGVRTEEGQADVM HSLLRKTGTHLRYQFQEGPAKMLCKIFYAEQFDAVRRKCGVSDRIVESLSRCMKWDSK GGKTKSLFLKTLDDRFVLKSLSPIETQAFLKFAPNYFQIMSEAFFHELPSVIAKMLGF YQVIIKNPVTGVECNWFLLIMENLFYDRVPTRIFDLKGSMRNRRIQSTGERNEVLLDE NMVEFIYESPLFAREHSKRLLKTSVYNDTLFLAKQNVMDYSLMVAIDENRKEIVVGII DCIRTYTWDKKLESWIKDRGFAGGNKNRPTVTSPKEYKKRFREAMDRYVLEAPNCWHQ FKPVHLERRRGRVDTNSNQKEFNMDKALTEVATFGI EPUS_00604 MHRLTEWVEPLLAEGLGQYISSKNATSLTQDSKQHCSIVEELSN LCVRPLTDQYLFLVDFRSSEGDVEAILTDSASTIEAVFPRYTVKPWQTRSRSLLTSFP AGTLFQISKAELRVSDCFVPPRIELWVHSFEIVGDLGNEIPSITKEIGASSDLGRLLE SYAELHNHKNTQEDTIVMSSPLRSQESSCSSKVNCEGIDDTDVSEMKDMSQPLYTQIS LPLATKHKHHPLTPTHPRGCSEIMPNEMMSIRDTSPLLVASKSVQASIAHVEDPVNRV KPMLNQLSAKVPSYNSIQGQQASSRSCANPVKEALGDAPSVSKNRDHWPGGRTPLTNG RYLPRYITKLSKEQQQVLETANAWQPSKGHRQIRGSLPNPILKIFTELADEASDESST QRSMKDQVAMIAEERAEGIQLDNARDSDRDSLDRESNGSSSPISICPSWPPTPQSPAI LEPAFPENSSPLQAPYRRFTPSVPRCGSPNGSLGSVTQGCQIVENKSLVNSQEGKSIV DATEFSRDDESAGVAQKLSQSSQGTIDSAKSKRKRECDSPRVSPEHGLPQDGDQEQFQ TIEPLRPLLVHSNADEFSQRDPILTAPHTQHKLNHQTAGGKALIHVQRTPFVPQTSSL IGGPDVCEHQAIFPYKNADEPVANDQEPRSSTSVVAGTCMYPQAESLKQSEVGSRMSL HGGYEYSKDEQMEVEVVHDIIPALETADSLNATFDAPSSSPNPSISPPEERHSDSRLG KRKYCNDDYGTPVAELAGSADGRRAHLSPVLSKRLRRTSEFPSLEALEDLSTVRAPSE IARESRREFFRNQQKVVTGRMPILDETDKQTPSHDAYAKSREVSRSMQQRHPTPSTGL TSRKDMRPESGVDTIFHLSLEEERMYKTYKATYPKYQGTALHFHKACKQIKALQKEGR APHPSLWDDFIFRRHHDYRDYLMSVTEACEDALPYLQYYTEHVEKPSYMQLVVKASYI LSLGTDSALGSSVKSPSLVAQNHGNAANNLEDSIAVGSSASNVEPAQHINSPTVACDD GKKQDVGYELNSYNRDETEPTQESCVKQWVEELSMDKMSRMESPELGSPVVATRKESN PQRVLDDAAEVPVSSSLEHQPAVLEHKEEELAWCDDPNTPFRTFARTYATLASERKQL KGSVEIGAKGCLEPKLQNLIDIFTLYKK EPUS_00605 MAGILDRCVPSRRLERRRQRALHENLDARFGDTHITAPTEGAWN QIGSDAGKEYQPRPVISGGWSPGMPSCEPPTVSRLSVENAIEDGSHDKESQRHNFTIT IPFPWPSRKSHTRALSLANKAEINSSKNEEQGELSPRSLTSSPEPTPRSFISSPDPNT KSFVSSPNTTLPSQATSPVVIYKPVSEEYKQQLAEMAGGITRFASFSSPPPDRLQNTS PINVTYKPASEDFVKEMAEAGMGLSPMIPQRETFSHPHASSKSTQIGLPLDPRPTLVY SPEPRDATTGMPENPETTSRIRSPDLSLGLPAEPRVGTSRSASRGPTADRIGTTRSVS RGPAPDRAGTTRSSSRGPAFERKGSVHYSSRPSLDHHANRRSASRGPLHERTGNALQN RSASCVPTSTRTPYRESVSSDSSTGSGITPSSSPTLNDPSVINTTTNTKRLSKAERSH QGSVGSATGYYSAVAKEYRRIASDVEDMEYEKRRQQEIEDDRRRKRKEKAFQGPQEMV PDADELW EPUS_00606 MAKGEPLDFIAETFQVETCKGEKKPFAQLSTWELLNLKPYTVLD LTDRIYKEYGISSTFMSNIMNKYNIQSTGKAILEQKYGITKPMRYFLSKTRHYSWPKA SAIVGIGSDNVVGVKADCAARLDLEDLEKHLEESLSEQRAVYAVVAIIGSTEEGSVDS LSGVLALRKRFQARGLSFLVHADAAWGGYFCSMLPPDYHPGDIVNMPTDVGAGEGYVP DSGLRVETQEDLFALRFADSITVDPHKAGYIPYPAGGLCYRDERMRFLVTWTSPVLSR GTLTSIGIYGVEGNGYGALLREVTFTCSRLSAEWAAMTTEKDSFICVPLNMLPSELKE GSTKQMVEEEKQRIREQILNKSNAQIVQEDAERPDDEKAMQLLRALGSDLNINGIAFN WRHTDGTLNDDMEEANYLMHRVVERLSVDQPEDDPTKIPIVLTSTVFSDELYGECKEK YAERLGLKPCTMDLMVVRNVVMSPFPTDRNSLSELAGTLKCVVAEEVKVCQERNKING DYHNFLMQGIENVYLVHLPMFHVAKHRRQVILAVNLPAKAKEAYVSIKNANATESITF VTATKVKLDDITSQGGEFRGSITSRESGILLKDVIATVKQVVKDRSLISYHRENSYPS RCMPFYLYGTKTEANIDHILLRAPNIQLTAERVKLQIDKPLSTDQLASGVICCLDSVR EAALQPFPGTADVRSNPGFFFSPGKNLPVTIYRDPRGPSEDGPGTVDVIGKSGDVLAK GTLMLGNGGLYIDSVALNKDPYKRVEKYVKWKEEFDMIGKSREEGARGCAAGPAACRG GDLRNGGELLDDAEEYWARGDGDPEGEDLEAGEEEAGDGRVRPGPGPGP EPUS_00607 MLAHPLATALRGRGTVEVGRVSLRGGAADAPAPDVRLDLPDDLD EGEGRGDDEEEAEGEGKCGTRRQGTAAAAAAAVVTGPGSPVAVRARGRVHEEGGDEDG GEGEEEGDGDKEEEEEEVVADEKRRIKS EPUS_00608 MSWKLQAFESKHLPASSTASICNAWRYCIYTSLGGTEIGTIEPE MVGWWSTEEFASKVD EPUS_00609 MRTDGAVSDESDEVINRAEESNVHPTQDHDAVAEDATSEAPQAS QHHSLKHHLLGPSLTKAGQDAVDQKKVSEVIYEASKGSKFFNNEESKDKTLTTKIERI LKRKAQLEKLDLKADLRRADDYIATLELSRDLSQTIVHIDCDAFYAAVEELDHPELKD VPFAVGQGVLTTCNYHARKFGCRSAMAGFVAKKLCPQLICLPLNFDKYTAKAKEVRAI LAAYDPRFESSSIDEAYLNITEYCSTYDIAPEAAIAQLRAEVQEKCKITISAGIACNA KIAKICSNKNKPNGQFCVPSDRTAIMTFMSTLPVRKVNGVGRVFERELDAIGVRTCGE IYSQRAYLYKLFGEKAFQFLIQTYLGLGRTDVRPSDEYERKSVGTESTFRDISTKSEL REKLRHTAEELEKDMLRVGFKGRTLVLKIKLHTYEVFTRQLTTPKAVHTADDLYNYSL PMLAKLEKEMPNMKLRLMGLRCTHLVSTKKEVGNFFGPAPKQSSSAEDNLDDDGWQVF PEVEFEESARQERLNEMDELERLSQEQTEHQSPEPNNIDFHEPFGRYKTNHLRNSAAK MKKSPTTVVQQTETWSCPICALPQPADNNNAFNSHVDFCLSKQTIKEAVAGHSTLDPR REYSSYDSSGGGGGGDAGANQQRPRKRKALASKSSLIADDRQKRLFFT EPUS_00610 MSLDRQKSGTPAKPFTPTLSTALRNTKSPLTPKLAGSKNASPTS PPRRALRSESTAPPTSRKEDFRSPATPTLNGNITPRSGARMSRIGGESPSTPGDSTTG TPSRTRPLSAIELSKPRRYLGATTGLGIDALGVKQNTGAVAPTLATGRLVSSESGRRV STGSARNGAGMSASPRFVHANDILPSSASKVGQMVKTEENTTFPYASDAAGRTNSPEP LQPTPQSLEKKDQGKFFYADGRPSDHLSPSRNPPIAAKAGPAATSSPPSRTLNIQIAT RDLDLPPSPTKAESLRSAPATFNSGSGKPVKARIADGSVKPTAPVTPSDSSRRTSIAS KTSTVRQVGQRRPSIPVDSTPSTPPQKAKLQISPRAYIGQVASQQPEFPQSPTERISP RSTSLSSSNTGVSTQTLDSTPANSAHPLSPAKSVPPQSPLQRSNELAANARRERKVLD LEISNSSLLAINRTLEREMRKQSLELRKFRRRSRCRQVSTASTAMRSVSGQSGLGTVA ESEDGDGDAEGEYGSLSDLGEMSNSEFDGSDPESAYDDDSSLLSPTSPSERSLRARTR DEKRLRLDLSRHQQLLIDSQKMNQSIKRCLNWTDELINEGRKALEYQVKVSDVQVGGK VLVPDEEEDEGGGGGVDGGSSEKGLLSAGNLLPVSTPNEVKQERKLWRQGLEEMEMEV DRMLAQSSTLKEVVPA EPUS_00611 MKVLAITSALLIAFSGAAAAVASPAESFNDGLSARDASPEAAPM PGWWGFRWSRHGTSSWKRSADAAPEPVPGWWGFRWSRHGTSSWKRDATPEPVPGWWGF RWSRHGTSSWKRDVSATDAGANPEDDAEFIYLPKGMTPDDLALMLKDAEMEKEEEGEN GQA EPUS_00612 MAPAGRQLRKKKDIHYRIPPPPRLPSDPKKSAKPVSSKEDQSAA GAADMAVSDDSIFADSQISQESDVDFTFPVPTFPFKRARSATVVGDDMVVPSSSPKRH RIRDPSQLPTPTTSSSLQQKGKARSTLSPATEAAFQQMPQEIEKAITSLKSIKNIVEG LLEFKAILDLEAARVLPDVRRRQATGSQWTID EPUS_00613 MDAILKGLNAAQSAAVTSTAPVLQVLAPPGSGKTKTLTARVAYL LAHHGYQPWNVICCTFTIKASREMRTRLKSLIGERLESKLLLGTFHSICRRYLANYGY LIGIPKGFQIADSSDSLAILTRVIKRLNVSLEPRSTRTRISRCKAKAQNVDEHLQQPP RKKASADEQEFLQVYEEYQNALAASNLLDYDDLLIRCADLLRARPECVGNVEAVLIDE FQDTNTVQLELMKLLAGKNRRVTIVGDPDQSIYGFRSAEIQNLTRMQRYYPDTSVIHL EENYRSSAAVLCCAQEVIEQDTVRPNKRLKATHCYGTFPVLRRLPSAHDEAKWIVAEI KRMKAMTGNLTTFSDYAILIRSAHLSLLIENALGKAGMPYRMVGGYRFFDRDEIRTLL DYLRTISQPNNNAALSAIINVPSRKIGEESLKELLRLADESGTSLWSVIQKYTQGDLT MKKKLSRPAEQNLCKLIALVKEAKKRMVNVTAESTAKFLLEFCLNKLSYKEYLQGKHA GDHENRWANVEELLNQANDIAESGSNRNGVDSEESLPEIEGLDKQQSDSNDEALATFL ANITLSSDLQATEDNQEQECITISTIHSAKGLEWPIVFIPAVYEGSIPHSRAEDTDEE RRLLYVAMTRAQALLYLTCPLRQSRSDTETTLSSFLPLKLHRHFVQIGPVVTDKVVRD IALILRRSPPSEEELLKGLKSLSERESSGDNLWPADGSAKPKQWWDFEDEATSTRHKV DLNTDRQHNIHSNRMHYSDLKAGTTMTETTMTGSSSFSLAGISVGFSTAARHLELTQT QVQDEMICREREITTSKRTTVAKSRRGKTKASGGQGSLANFFAHGSFGSTTERPASKP LPEPELPSYINAPLKQEDHNIPTVFTSHKLSTKPASLKRPQPLAEIPNGKRKPYGFLS SSPSREVPPDQSFKGSCGGDTNRATGPESILSSTSKSTTTMHTTSVDMLRLQSAPGRR KTYGVRRMMNGWENRKNH EPUS_00614 MVETDSATQIFTKEQVSAARRRYVKMRDEKRAWTADNEKRKLAN QPTRSLRQYKKDMTPSPPPDTEEQCQPPSGKLERYDYRQPQPDRLPKAKIPLAKTESE SKAVQRSREQYANLHKPGRFFSVNTTAGAKRRAEDDNDKDSKRSRIGEVPKTGVKRSA AELDSGRAKRAKSASFMDHLNGYDEEKAAAADGVRTGSKTATADSNQKAAGQSGKAAS KAKADPALDTAKRVDNKNDGDKQAKPKATAKSPTPATKQTGEVKGSKADMASSAATIK QKAAAANTSKANAEIESGPTSDTKAEQTDGVAKSGPSSKRVGKQPAAGSEKVDIQKTK TQNSPITSVAAQTSATVNPKEEQTVKKVTAASPTGSKQEGCAAKHHAADGTLDKDHSP EKPVATGGVPPTAGVKRKGDDEVSSPAKKPKREASNHQNSLLNFNKACFMNASLHLLH SIPTFAVLENESDKETKADAILQPEEMRSAVIGRGRPKLAACAKQREHLKLRKESGEL KLLPHLKSIMTQMSKVGEEPRAINPFVFQQVCGTMFTENKDHPMYGDTQGDAHEFILK LLEQVRKEQPELGVDELLTAQFAEQQVCACGARKTFIEDGCHLSIPEELGSRRMSFDE LLGRNLRGGSRFLEYRCENCGWLGKWSTKDSWVGKRMIKSPAYLIAHVSRGQAYAQGG QMEKATNKITPPMCKTILPSGDDSPVYYHLEGMIEHTGNSPHRGHYTTTRKIGAQWWH CDDVGRRGVIPLTRTHAKNHDEGYIFLLKKVGKDVPYVDPPTDSHP EPUS_00615 MRISTLLLPFLAIFLPLTIAAADGPTIEVLKAVECDRKTKTGDT ISVHYRGTLASDGSQFDASYDRGQPLTFEVGKGRVIKGWDQGLLDMCIGEKRKLTIPP ELGYGQQNMGPIPAGSTLIFETELVGIKGVQGKDEL EPUS_00616 MANKSLKIPHRCIAVLTVIQALLDKLGENRHLCAICKIKFEPAN VLAIFGCCGEFYHRECAAGWLNSPLRWRSSLDTNTPPQFISCMFCTTRWHPRTFNDFF PPKQIWKRIEQMAGDMNVTYISAGVPPNGSSLVYAATYYGRAGVAVVVAELIGQAVDG GDLAQLFNDCFYGFKNQIPAQASADYGLDGALGDRLNGQLDGESGVNGDDIAMQLDPV L EPUS_00617 MTNFDAYRTAGLGKSSIAELAKHNPAHIYFGGRNSNNASRVIEE IKTKVPNAQLTFIPCDLASFASIDEAGKLFASKSQRLDILICNAGIMAVPADVTTDGY EIQFGTNHLGHALLIKHLLPTMLKTSEAYGDARIVSVTSMGFRGALSPGIRFNDLKSK QDILVGGRWIRYFQSKLANILYASEIARRCPSISVAAVHPGVIKTDLLNSLGLIERTL VAVITIGTIVTLEQGVQNQLWAATVDKKDLQSGAYYEPVGRLGKPSKYSQDKHLASEL WDWTQKQLEGHNL EPUS_00618 MADSYEDIEERVELAVQDILAAREEGEHLTITEKAQEYDVSRFR ISRRLRGIGPRMGRKPKNNRLSEVQEQALLRYILSLDEIGHSIHYDQISKVANNMLRA DNDSTSSIVLEKITEYLPPPPPPPPPLRPSTPPENEILLPTTPLSARALKKQAIQLEN ATPSRQKLIQEKFIKGALIQAKTAVQVQKDLEASTAAERERKERRSQSQRQLQKGGVL LASQARNMVTQRVEEGGTQLQRALWREEALRKELEDERRKLSNLQWSVENGFPLVEEQ EPUS_00619 MGWLSVGLLVVSGGCILYRHPPASIIQLLLGRGFQRKECLAQQS QTAEVQSRDGDDKQEPSFPGSSPSILIVATQEGNDAVPADGSPPSATPSAVLSPSSVG ASTKLAPSPVHAPPVKSPAISKPPTFSSMPPPPRPSTSAPLRPPPSAAASLRVPPTKN FSNAAFAPSRLTAKSPKSSRQVTLTPGHSPLDWAALTSDPNNKLRGKDAPTDQLIRVS PSRLRYQNGRKGRDAWTEYQGKVYNITPYLPFHPGGESELMKGAGRDAATLFMEVHPW VNWEAMLGECLVGILVSEGEEQHGRNDGAAELDEMD EPUS_00620 MILRSLCRPQRQLLAVFSSVASAPYKQRCTASVEAGLLPRYSHP PSSARRGLATLPTGFEGHRIPALPHDETYAEHGVDGFLSPEGYDLAWTQYQSMMIEKL NALTMGTADATTKPQRLVEKYGRSSEQASLFNHASMACNNHTFFSCLSPRKTEPSPTF VSEIEDSFSSYETLRIEMIETADAMFGPGFVWIVKDEKLGEMKLLCTYLAGSPHKLAH FRSQQNDMATYNTNALSASRSMSWREPRNAVGSFGAHSRNAAGSDHALGGYNSFPILC VNTWEHAYLRDYGIGGKRTYLERWWDRIDWAVVEGNARLYGPDAKKFPANAQLDAKMG HWFRKHQMLTT EPUS_00621 MAPRSTISKLVLVCLASSVVPCASALTVAISAAQALGILEGRQT STCANSSFTRCADTKLPSDFCCSADSTCISLDSSSSALCCPNGADCSKIQPISCELSF QDVSGRPDAAIKTTRLDERLATCGSNTCCPFGYTCDSNSQCVIDKATSSTGSASKSPS ASATSTSSTTSATPPAGIDSTKPAVAEGIPLCPRFPGAAVAVGFFPGMLAGALMAVIA VICLGRRRSQDDRPYSKGSSWSSIKYSNSHNHGRTGTGTITGISEPMPMTQGVRTDFL RRQPNGMIRNGASRAKSWLSTKSSPTFGDSNEKVSPLNPISHWKMPTPPVPNNIPLTT LGKTVPVTPPSQIRPSAASLAREPSTESIKIYSPPSMVRPPSNSGFSPSSHHQPQHGF KSSIMEKLSPFKGTELKAKNGSPFSPSMNVNSNIAPRGGALDPTPSSYGATTMPQTPA PQRPTQADNDTILPSMQYQTPQTNNNPYPNTPARRPPPASNQSRHLTHMTTFTDVLRD AGLESREEHPPPAMPAMPKGLDWKSGVKKAKKPRWENIANNSAHKKGGNVEYI EPUS_00622 MASSEDAGNEDDTEQKTTEPEEPKKNAKKPGIATTAKKQPPPEK PEAVWLRTKVILSFWAVVVFLGLPMWWQTTSIYRARLPIQQMLEWSEGTACQPVLPLE IWVVSPDLVCEDARHVVQTAQEVLDAMNTFPVQPIRVRLAKSRPIKDRKGQPFAEDAD CFLEDYDPQSTHPALHLHLVPDEDATELQLSFDPHSARADLIYGEVSTHALGSCAAST IYNIFAEEQAGLAYKLAAQDNNNTAATYLESVPEDIRSAITARENRALKYASTYHLTF SLFTPGGSPSSWAIESALETHIQPWTSAFSIISNFSINSQIQPYSAYSPSIQPFQDRE TNASLLRREDLSAFINAAEWPLSPSIGSHGPTINFVLYVPSPSEIPLTIESSDGGTSW LIPQWGGCLRTSTSPRSTRPSKHSPPSSSPSSAYPARRNPK EPUS_00623 MAVAELITEPALRPLLSASQNALGQILEMLSWVDQNQGDEPSLE AQLMLSKYQKRMIAHLAQVRGHNRRAISGVRVTKQETAEARQEVDRLLLQLQNLYYEQ RHLMGEIGACEGYDHAYTNLPLLPLEDYLEIFPDQVSLAEQDLMPLRIEHERQEREKL EQERQELLKSKENLIKVNLKKKDELKKMDEQLEKMIDGLKPVSDALTKDI EPUS_00624 MAPSLPPELLAIILSFFGYDERSRYVTVSRQWQLLIERRAFHKI HLKSTDLQAFSDIFVGHRRALLADLRYDVILPSYDDRQRARFERTKDKQANNEVLTEA VHGLFSVLASWDEAKDHEIATCEQRRHISLNLRAYSLTDVDERRHEHVWPEIRDSYDF EECARFRLRYKHSFLQVLRVGELPEVTQISDFQCNRLSWPRRIEGSSLAGVVAKLPNL EILGWTINDNEKRYPVVRQQHRFDFAQSLQHITLHHLRKIELCLDTSNPRNDHLSLQS ALLPSSPLVDHLSCALHVLSQLPRLEEFRLLDDTVFSPCFFWPDAAPSDNLPFWPNLR HLEVWLSDTTPDGEWLYLGKPNEAHNDESIGADFLAQLAFEEDEAAHANDSEDSQVPD AVHAGLEHQIDGYEPEQLFRTMLDHQRINPMLIAMARAATCMPKLQRMALYTGGDNSY GMGVTYLAAGQDINSSWEGGKVTEWSPEWGGDLLRKRWHILLSPCTDWEVPYELECTW KEMGSWDDEVVILIDKGSYRDTTL EPUS_00625 MEENFYVRGDGTRVYFFEADELRALWAGGNHEKGGGEGSAGGGG GGGGGGGGGEGGEKEVRSSPGFEILDLDVDRRLIVNRQKKIKMYRCWIQGRFRKGLDS SSSSIEVVDQIDQQTG EPUS_00626 MLFLKASFLACAALLLSSSFAAPAPQKSNTTNVLYPDLLEATTD ELAEGLKKGLFTSVDLVNAYTARILEVNDTLRAVVEINPDALSIAAELDAERKNGTKR GPLHGVPILIKNNIATADKMQNTAGSYALLGATVPRDSFMAAKLRRTGAVILGKTNLS QWANFRSFNTSNGWSAYGGQTLGAYFSNQDPSGSSSGSGVASSVGLALAALGTETSGS ILSPCDANNLACVKPTVGLTSRDLVIPISEHQDTVGPMARTMKDAAYLLAAIAGQDPN DNYTSAIPFRDTPDYVAACRTDALRGKRIGIPRNVLPRSEELIPILDAFESAIALIRE AGATIVDNTNFTGYEQLSNSMSPGIVLDVDFITDLPRYLSQLVANPTGVQDVEDVRNF TQRTPVEEYPDRDTGVWDEALDGLGFGNTDPRFWAAYQENLFLAGPLGLTGALANYSL DAVILPTSFAPFVPALIGTPVVTVPLGFYPPNTTVIPTDRGLSAVGPNLPFGLSFLGE KFSEELLIGLGYAFEQRTMVRETVQPLVLPVTELVDVVGMGTGMGRGGNYSSKER EPUS_00627 MHLRPMQLSDIPVIADVSAETQLEDEIVEFIAPHRSKYYTSYRD GFVRRIHARRLKPGWIYWVAETDHGDEPTALQKMRGEEELGGRLIGYAAWTRKGSRGE GDDVAHMSVLDAEFESCLAGLKSFYWDFFNLDWSMDPSKRAILTGLFAQTFGDDIFPE AWLLANVVTHTDYQRRGIGTRLVQWGLDQCEAERVPCGVESSFAGRRLYEKLGFRKFD DLRYGENEKETMAVMVWEPPGLEGRWFHKAKAAADILETGASSMLVT EPUS_00628 MSCAISALTVESPSSSSSSSSPGYFGTDSPNLERYPRRGRRHSY DSPVSRRSHIYDADAIFVRVDLFLSELERRLAWLDNYRQERILHLDATLKQGYAALEA VRDSCSYASGELIWGAAKQRAKICVETLESRFSNAIPSKQSLEQKAQASMKLMDSYLS ELEARAHARQSNFSAAIDEGWKRVDNSIAHTREIIDEGFDRARKAKEVLKESISSALL QAAETRLIRYEDLPHPWQVNPHIIRGYRFTESKVECLTSIFKPSNETVNIWSHVIGLI IVLAIAFYFYPTSATFPLSTKTDVLISAIFFAAACKCLICSTMWHTMNGIADKTLLER FACVDYTGISFLVAASILSTEWTAFYCEPVSRSVYMALTAVLGILGTILPWQSTFNRA DMAWARVAFYVTLAATGFAPVIQLNMTRGPEWSFYFYAPIAKSLFVYVAGAMIYASHV PERWFPGAFDYVGGSHNIWHLAVLGGILFHYTAMIQFFNGAFRRAVEAGDCLA EPUS_00629 MQLHQCSVNGQTKTGTSPPPSSIYILELTELARHPQTQSTLLSL PLEIRLIIWQYLRLKRINYRHGAGLFGHYQEGLENTTQYIIPWKAPIHDFYGLAFACR LTYTEIQQVHNPATILTSHWDLSKLLYVLHARRPIVIDTFRLATEGRGTVALPSEINI HEIQQQMARALLELKRQYESVEFQKISWIDLRTEGVGTASETRHYSLRFEIHVGKKRQ HTLGGRIQRGKKYPAQVWAREISALEDHLSFAKLDELLNKMEDTPEGGMVNMD EPUS_00630 MPPPPYPSPGKPPQAPFPPRRVYPTPLLRLKIQDLSEKGARSFL SCIDAAGVLEEAVRTVLDLLYPGQYWESWPGSRSVTLVLSSFGGVAYTRGKALDHDHK EIHLSTDYVAGIKPDLLKREITGVIVHEMVHCWQWDGCGTAPGGLIEGLADWVRLRAD LSPPHWKRTTGDRWDAGYQMTAWFLDWLEKEYGTGTVPRLNHLLKEVKYDEEEYWCGR SGLHKSVQELWKDYKRWVADEDKEEVGELEGKEGKDENETHYDESKGREERRRAVAAA REAIEKCKQEIANRSVSEMDEVVPITEGAETKLENGWTELNSSDVELGRRESGSEVTL AQRPKKEREQ EPUS_00631 MGLWGLLCDCFKRHSIVKPHSKPSYARLVEAKKPPAYSSLPIDV ATVSSHRSSWKADGIDEGARLLPPHLTVIDEKEPLEAIVDSAASSPQSSTVSLPSTRI TALTVTTNNTGASRASRMSHYSGITFEAPPSYSSRRSVTLQRSNRSSWDRPHPVHAED WFDQFRDP EPUS_00632 MSTEEATVATRTSLSKFEIVLSCNPPILASFLLHAPTTTIFQLY HTSSYLRQFLRSYPTAWKYLSFRLLQPSPTPPPAAANVPGANGSVARQSRNYALDQLL LNVVNPYSSCLKSLELDNTAVSGQILISTVLSQRRETLEHLSIRGCKNVSLKYHIVPW LTLFSLQMGGQSGKAVISPGHQELALKSLYTYRCRHHRRRPYLPSSLTRKDSDSEPTH ELVILCHQLGIWTDTAWCTTPGVRCFRRRGYVTMRAPADTKEVWVVYDRLWRSKNWVG LIEDRNEASRVKAPAQRDGRVWEQDENGTEGEALGVGNDKVRYDDGKHLPMHLRKSHC EFVEAVTCDNCSSVILERCEQCSVLMHCAGCRKTLCASCAFDRPYTRNRPGDAELEEG PGEERKDKFWWAPGYSISPCSMQDQDDTANGNGPVLQLPNPSSKFKWCCTEPVFSGGG GITFGPGNSGRDFERMRAAPLPKGEGWEDAEFHSGRRAEKEAYLAQEKIPSSRIRLNK TDHYDVMHQLLGPEKQPSLVPRNLCDECYASKHWKVKCKACSQSLCLQHDLRGLKMRL CGYRDLASEKEALNRRQKSIETMELSLERLKGFIPACNWSGVPDVWHKDRYERLRGLA RSSEIDDTDSTNAELGQGPRLPALQSSTTEQAVLHLPAATPSNHNENDRLNRPTSPSS NATAAASRSTSPAPSVDSVTIPTPTPEEAPEKQEDGIEPHPKWIGCLGFACPQFRGSG DHRQRCSASFKECAGCKVNVCKECADKMPKPCRCEGCVERSLVGTANPTTAAVTAVSA TINGVIVTAHAAHTVTASTSTIGIETNRFWCPNCRWERVRGGRCKAGRQRITTKGKGK GKDKGKEKGKRKSQGSSEETTRPAPAPTGIDDETAQNVAEFEDLVARGDEALHAELNA VSGPPDSVPMADPSSIPAPPPLPPPMQPRVQGPVTVSINNAQHPSTNNAEERDLDRIS AMVDDLQTRIERLRVVYASRRQQSEQSDAGDVSSAERSAERSETVDGNGDGGSLAAVE AEEMD EPUS_00633 MALNGLGAPPVLSTPDTHILNETVPVTGPADTRVSDGDLYTRYE IQRTLEEIRNHSWRKVALQFPDEMLVDSARVFELLTRGIRGFPRGSEESHDKREETIT PCEQLSNHTSQLELDDAEIPVKLSILADTSYGSCCVDEIAAEHVDADTVVHYGRACLS PIARLPVTHIFTRQSLDCEQVVNSFKDAFSDKRMKIVLTADMPYDHHVQVIYQKIIEE GYEGVFAAEIIHAPASPIPNRTVPQEVRENPEKLKDWRLFHISEPPTSLLLTLASRLA EIRIYPKGSKTRNQSMTMSPAVNNSLLLRRRYALVTSLATVSIWGILINTLSVKNYLH ILDLVKSQIAAAGKKSYMFVVGKLNAAKLANFSEIGGWVVIGCWESSLVDSKDFYKPV LTPFELELALRRDEDRVWNGEWSADFQRILDARSAKVDRASEKPFDESDGSDGDYDSE QESAPPEFDLRTGRYVSQTRPMQAASRVTTESSKSNDSPHSSALTRRANGDVVAVNGV ASPAAQFLQSRRTWQGLGSDFEIGYEQEDTEGSAIQEGRSGIARGYAVGTQGSRT EPUS_00634 MNAFEYNANPGRVIFGSGSIKKLPDEIRRLKLSSPLLLTTPRQA NLIDLLESVLNSSSPSIKPAGTFTKATMHTPYHITQKALSYVQTITADCIISIGGGST TGLGKAISFNTGLPHISIPTTYAGSEMTATLGETKDGHKTTRHDRRILPGTVIYDVDL TTSLPATISATSGINAIAHAVEALYAPNGNPITNLLALEGIKALAESLPEIVQDPTSQ PARERAQYGAWLCGTCLGTVKMSLHHKISHVLGGSFDLPHSETHTIVLPHALSYSAPA IPGAMAKLASVLPGSDGDAIKGLNALLEKLRVKKGLKEFGMREEDVQRAAEIAVENPY ANPRQVELELVKELIRRCWAGEEARADF EPUS_00635 MFDTICSLPLTSDLFAQAINPSEPIVAVGLASGHVQSFKLPPAP TSAESSPRPDEAPVQPFGRRRSSTASENGLGEVETAWRTRRHKGSCRCLAYSLDGEIL YSAGTDELVKAARSEDGRVIGKFAIPEVDGKPDAPTSLHILTPQTLLLGTDSSALYLY DLRDSKPVTAALKPTQTHRPHDDYISSITPLPPSSTSTSGLPKQFLTAGGTTIAVTDL RKGVVSRSEDQEDELTSSLFVSGLKAGGTSQGEKVLVGGAGGVITLWEKGVWDDQDER IVVDKLGESVDCLAKVPEGVGGLALQGQQKLVAVGLADGRVRFVRVGPNRVVQDMDVK HDDIEGVVALGFDVAGRMVSGGGQVVKVWREAPELKKSVSLNGGKRVVGSDSEEDSDG GEEDSSADEKPKSKRKKRKRGKGKDKSGGQQTMAFDLD EPUS_00636 MSQPPIHPDPTTCPDVTDHPDNDPTYRSEVNWGSVKTVASSGIQ IVYGGPHYGRDPVNSPPRGIGIWKRKSPNYRSWDGLLELPLHLIVTRWILSGVTFGLR LPIMGMPPREPSSMLMQEARRRIEPFSHWFAYATVVPARLLAEWVNIDPKTATMQLRG SWREYNYSTASPQEDMERDDVREEHKSLDNLYYIQLLRNELPSFHFWSYTDPIGNTGE MRHGLIAKGAGACYEIEGDNLISNIHTSFNRVQNLPLCNSDPLESEQITNTDPSHSRQ KSRTRSRSPGGGKAQTIYRTRSDDDWPRPSHRVRRRRRVIQDISYIPETGEGEEMQEV KINRRKKRKAGDEEAYKPDLAARVSTATLNGSEEDDAEE EPUS_00637 MSLQASGNGNNGQNKTSLKSTNLDKVPSSSPLREYLASDQSRGV RFAADDRAGGGNTTLHDLNNFMQQNTDKNIVDDARNSMASGTSNTHWGRK EPUS_00638 MVIPPRPQPAKRGSMRPPARPNASTSTIGRSESPADSMSSGSTT RARSPSAPPSAGLKRKERDFDQDAGEETNINVVVRCRGRSDREVKENSGVVVSVNGLK GRSVELSMGPSALSNKTYHFDKVFSPAADQAIIFDEVVKPILGEMLTGYNCTIFAYGQ TGTGKTYTMSGDMTDTLGLLSDAAGIIPRVLYTLFQKLESDEVECSVKCSFIELYNEE LRDLLSADDGTKLKIYDDNNKKGYSATLVQGMEESHIKSASAGIKLLQAGSHKRQVAA TKCNDLSSRSHTVFTITTYIKKPGETGEDFICAGKLNLVDLAGSENIQRSGAENKRAA EAGLINKSLLTLGRVINALVDKSSHIPYRESKLTRLLQDSLGGRTKTCIIATVSPARS NLEETISTLDYAFRAKNIRNKPQINSMISKKTLLREFTTEIEKLKSELIATRQRNGVY LSNEQFEEITVESESRRILSEEQSARIETLETSLRNKVQDLFSLTNSFNSLKRDNETT RLALENTKDVLLKTEIVLRDTEQLLNEEIKLRKAHQATEEELHGLGTGLMTKLDESVQ DLGSLHSKLRRRSDLHLLNRQTWESSTARIGEVTKIVDKEMEHFQVEQSTRLTEMSEK VEQFLNAEIDKIASNQAFLSARDADHERAESQLRQQTSGAHDEMNQVLEEIKVLREEV KGKVGEGLNGLSAAAARISAEVICELEHFHTQLHLSYSALGREFKAMFDDVVKHANSQ REEADELRNQLQEANEKIVASNAAASSSLEKCLTEERQASQHDRDQLLSQVKALMDES AKKQELRLSSNVDSTRSQLISSRSEFERASKVYAQGMGQWSEKERSFVGEVVKSKDSL KNKMKKDWTDINSRNTAIQNTTKAVHNETVRIVDAQLNDMAIQMAALDEFVTRAREQN GQHHHLQHESLKDLSNNVRQTFANVNDTLQATSARMHNHGAACRINIDSLQDSTSSLS KSIQTPLAELQANLESNPLIDYVATGETPQKKDWTYPTHLPCTRSHDSLLAQIRGLTD AKPAVARSARKIASPRKTASPRKGFSSPSKLPSPTKTRIFHDDSAAATVQVAPTTWKA SDPAEEIKGGLKEVDMNVLAQASSAGDLHGQPSTSFAKSLNHAQQQPPLKRHATTESR LPRKGRENSVLAQSIGPGSGMGRRLRSSPQQ EPUS_00639 MAIFQGSSWSEARATLFTKQPQFPRSIALSGKDKDLAPDEVEEA RIYGQGQIEFVRRFMPSFWVHLSETTPQDLIAEFGPPDAIYRKNDNRISIHGNDVEYR IPGLESTSPVGRATSVDTDHSSTQSYSEGSDREQPSNSADKDVVNPECFFNYFHHGFD AFVSFPTSKSPPFPGTEARHGTPLFGPELRVTKILFHANVPGSYPFNRHRRSRWSIQT GPTGVGVELLSSEMPFEDVSDVLKRMWHGSYRSEDEERSMQRGMVLNRGWGESPESSV ELLGGWEDNASPHGTGGLESSDGVQGLGNTELFGFPGLLFEVVKNGTVSCLTVY EPUS_00640 MTTAAKQAVKSWSSTLLLPKSSFPARPSAKDTARYIQRCADDLY SWQRRERSADNTFTLHDGPPYANGTLHIGHALNKILKDIVCRTQLAQGKRVSYVPGWD CHGLPIELKALERHDWKKGGDHDALAVRKAARAFAEAAVQEQMSGFKSWGVMGDWAGH WKTMDKDFELRQLSVFKELARKGLIYRRLKPVYWSPSSETALAEAELEYKDDHVSTAA LVKFPLVRHPFSHDEQLVSAVIWTTTPWTIPANQAIAVRKDLEYVVVRSKAHGNLLLA QSRLDFVSALINEELQDVQHTISGSGLLDAQPNYRSLFNIHDSDRPLFHADFVKSDAG TGLVHCAPGHGMDDYHALWPLIQAKEISILAPVDSKGCFTGDAVPNDGSLLEGQKVLS DGNKTVLNLLKEQQSLLVSYNYVHSSPYDWRTKEPVIVRATAQWFADVSSVRNEAIAS LEDVDFFPAGGKVRLTSFLQNRSEWCISRQRAWGVPIPALYRVDNEEAVLTAESIDHI ISVVEERGVDAWWSDAANDPSWISPQILQHARVEDFRRGTDTMDVWFDSGTSWTQLPG GIPSNRRPLADVYLEGTDQHRGWFQSSVLTRIAYQQSTRNLVVPTAPFGSLITHGFTL DEKGKKMSKSEGNVIAPDEIIHGAAYPPDSLKKDRGKGKAGSFTASPGPDALRLWVAS SDFTKDVIVSDTVIKNVHSALHKYRVTIKLLLGALKDFNPTDLMPYHELSQMDQIALL QLFQVKQVVRVAYEEKEFYKAISAINRWINTDFSGLYVEAIKDSLYCDDFRSRTRRAI QTTLYRILTELQAMLAPVTPLLIEESWEHAPEGIKASDVHPLHRSWTPAPKEWNNVDI DTILPVLLATNTAVKSAQEKARSAKLMGSSLESFVTLLAPNNPSGKQALVAWQGSAMR QMLVVSDLCIALGGDERVSELEHELSTREEIQTWMYNEPIEFPDGSKGWALVQKPKSS KCARCWRYRADGQAYNEDLKVSNAGITDTPVAANDTKTEQLCARCRAVVLDLQKATG EPUS_00641 MIVDPTALVKASSSVAPIPTVIPGPENVYQKAGDVGNRTLWVVC VIMALSSIAFYAMAFRVPVQKRLFHILTAFITTFAFLSYFAMATGDGIAYNQVKVVEQ NKHVPDIVHYVYRQVYYARYIDWSLTTPLLLLDLALLAGLSGANILVAIIADIIMILT GMFAAFGSHDGQKWGWYAFGCIAYLVVVYQLAFNGRAAAAGKDGKTKAFFGAIAGFTL ILWTIYPIIWGVADGARVASVDAEIISYAVLDVLAKPVFGFWLLFTHDSMASTSPSVE GFWAHGFGGEGQGTIRVGDDDEGA EPUS_00642 MAALLKSASYSLVHDHISRSPHESTTVEPKSCLHALKDSSKAEG LKKARSRLGRLWTWIATDSWTLEYAALTIAVASLASIGILLGIYGERPTSAWTYTITL NSVLSTLATVMKGSTLLPVGACLSQLKWTWYHHEKRSLLHFQLFDAASRGPLGAASLL YQIHSWHLASIGAIVTLIALVSDAFIQQSVLYPSRTINETASVPFSQSYGLVSTYRGR SQGYAYEVEQSMKAAIYNGIFYHNASVTGTGVSANCPTGNCTFPEYASLAMCSRCRGV TSSIKDVCVPVDTTRIGDNVPNNCTMQATLPNGLTMRNIYSEARKNYSTYNNYMNTST NESLNEKTSTGFWNLVNLTILIANLNTQSSDSSDYDLMYRNPTAFDCGFYFCVQKYWG KVSNGVFSEYILANFTGGETSYHRRKGGGGENWIVNVSKGHLPSASNLTFSVDDESAN ALLFYLGNLFKGNGSTNCDSGVPSFSSDVMEVIFLKGPSNVPQIMANVATAMTNNLRL KSETVAIGTAIVLETYIHVRWLWLLLPLIMVSLATILLALTVWQSRRWDIPNWRSSAL AAMMHGVQEDEEGALNMRALALLGKERISELKRWSEVVQVRLRRRGPSGEDYGLIPAD E EPUS_00643 MPRISKNLPLAALLAAGANAQMSTSSVVLNPSDTAVTTVTFSTC PSSTASITTITNPHTSTYTGAPRPGSDGDVTTYVTVCPGFCTGGPSCTGGLGPTTHTI TATCPCHEVAPGGVGPGMMTTVAVCETCGMGGTPVTATLHTPIPAATGDTTPPGTGSG GSSAPGAGTPGSAGPDSPAAPGGSPAGASTPGASAAPGTGSGSSTPGSGAAPGGSGAG AAPGGSAAGAGTPGSSMPGMGSGAGSASPSGAAGGSKYPSNTTSPSMSKGAGSGSDNR VGSSASPTPFPGAASRLPVAAGLLSTVAGLCGILAFVL EPUS_00644 MEQAFLSSPSQVLKHFQVAEDEGLSSRQILASREKYGRNALPEE PPTPLWQLVLEQFKDQLVIILLASAAISFVLALFEGGDDWTAFVDPAVILTILILNAV VGVTQESSAEKAIAALQEYSANEAKVIRDGHMQKVKAEELVPGDIVSIAVGDRIPADC RLLSIQSNAFGVDQAILTGESESVSKDCKAIEDPQAVKQDQINMLFSGTTVVTGHATA IVVLTGPSTAIGDIHDSITSQISEPTPLKQKLNDFGDMLAKVITVICVLVWLINIQHF NDPSHGNSWAKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAIVRSLP SVETLGSCSVICSDKTGTLTTNQMSVEKIVYFNEAGNDLEEIEVDGTTFAPEGNLSRH GKKIENVAASSATILQMTEVLALCNEAQLSYDAKNGTYSSIGEPTEGALRVLVEKIGT EDAAINQQRRAVPVSDRLHFASKYYENRLPLQAIYEFSRDRKSMSVLAGHSSSQKLLV KGAPETILDRCSHTLLGANAKRVPLTKKHRALISDEVVEYGNLGLRVIAVANIENAGT DPLFQTAKTTKEYAQLEQDMTLIGLVGMLDPPRTEVAASVRKCQDAGIRVIVITGDNQ NTAETICRQIGVFGQHEVLEGKSYTGREFDALTESGQLQAAKTASLFSRVEPTHKLRL VELLQSVGEVVAMTGDGVNDAPALKKSDIGVAMGTGTDVAKLAADMVLADDNFATIEI AVEEGRSIYSNTQQFIRYLISSNIGEVVSIFLTAALGMPEALIPVQLLWVNLVTDGLP ATALSFNPPDHDVMRRQPRKRDEALVTGWLFFRYMVVGTYVGAATVGGYAWWFMFYEH GPQISFWQLRHFHKCSSQFPEIGCRIFTGTESQTASTVSLSILVVIEMFNAMNALSSA ESLLTMPLWKNMKLIYAITLSMVLHFAILYTPFLQGLFSIVPLNWSEWQAVLLMSAPV IFIDEALKFLERRIYVKRADTKLRMPKVEANGKVKHS EPUS_00645 MASERESKTFLARLCEQAERYDEMVTYMKEVANLGGELTVDERN LLSVAYKNVVGTRRASWRIISSIEQKEESKGSDKHVGTIRDYRQKIETELEKVCQDVL DVLDQSLIPKAESGESKVFYHKMKGDYHRYLAEFASGEKRKVAATAAHEAYKNATDVA QTELTPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSLSEESYRDS TLIMQLLRDNLTLWTSSDGGEAEPAAAAGGAEASKDEKTAESKADAATESKDEKTEDA PAAS EPUS_00646 MVDYRSSRLSLFHLLLLLLVSLSSLSSSIHVTPSSPCRSVCTAS SLRTTADDFVCSDKDFAATTNGTRFQDCVECELGSTARYGVMSACTYISIDNLRFALS TCVFGVPVEKESISTPCQVTCDPLGAAISHNLETNATVEDLSYCNIPSFKNTAGINRC AECYGRMNGEAFMANFLQVLHIACLQPPRPSQPFPVAANTIFNSTPIATPTPSSTAVP GPSKPANLALMISLPVVFGVLILGSIVACCFYSARHRRRIMAERNGMRRIQDKHSPTF PPHHQHYHQNEMQAQSPQGFESAPVPEPVYYSPISDQKENPYVQPQGPTSPLPPFQQP QFPMYKSYSPADYAHQNPSPARPSIDTSQIGPGPNQQKVSNLHDQYFPLPPPPPAPPQ LEMQPPPPLPSIPQEGVESLRQHHMKTPSLAMPMPRSKKSLDIQGRTQEQQRQRTGVG GIGESGDRDAIPLQDYGRPSGNEEWTTGDDGVESPQVFVYDAVNDRYVKESPRERTPT R EPUS_00647 MAKIMNPELRQTLHTISRNLESANETAQENIYTFTQLYIDPCLS GIRGCLKDCTAPCFPGREEHLRRKRGRSRGRPELDFDFYDDWDEDDGTGNNLLGWGND ELDSLLAGSSRQERRGGQPRRQRAMSYGSRGRMKRSPAHKNSEEDPTIIPSSSYLGFL ERLPFRFGGPLRYKPSAADLQEHPGRLKGNHLDEEPLLDANEESDQDILLTQGRQRSA TVTSRSTTNSLSSRGDLIPSDEEADAVPLDDEFAMALARRNTGVPSEDHSSGRSRTSK QPRTSRTSTRTLSSLSTKNSNGAGRVSSIKSPQDLEQIIAPPVLSLAELQKEEEQARI DEQSEQAKKREAALKLAVDRGLSSTDKISHTDPHLEPRMEGDEDTKVPPDMQPTKVLD QT EPUS_00648 MVHYIRFLKTPRVEYRSSKSISVMALITITNDLGDSFLLSDAKL TSCLLTADRAGKVLFKQEVRWHKGSRELSIGLTAHISQSTPLLRLHVFHDKASGLIPS ILSALSAPFKPVHQTRAAALVERELSLPDLSSLKIWEETGESIAKHIWYRLAYIRTSL LVDFGRDASLGCVLCFQDICSAKSRMPLLKEVLQGEASGQINVLELGAGCGIVGIALA HYFPDCMVHLTDLVEAQDILLKNLDEATPAANSSLWFQILDWNVDSGGTSLERDVDLV IISDCIYNSDTCSDLVRTLCQVSLISPQCRILVAAKRRHDSEDVFFDLMRHSQMRILE KDTIVLPHETSDLGVQPPEVELYLYGLAETG EPUS_00649 MAPSAITTYEEIYDLEHYSNTLKLVTENNGGKYNKIESLTSKES VLDVFKKRIAEINVDSCQPGEEDAFYVADLGEVYRQHLRWKMNLARVKPFYAVKCNPD DEVLRLLAKLGTGFDCASQAEIEQVLKLGVDPARIIYAQPCKTKSYIRYAAKVGVKQM TFDNADELLKIKQFFPDAELVLRILTDDSASLCRLSAKFGASLDKTQELLDLAKNLGL NVTGVSFHVGSGASDPASFTKAVQDARVVFDQATSAGFNLQMLDVGGGFVDGSFETFA SALTGALEEHFPPHIRVIAEPGRYYVANAFTMAANVIARRDVQDGLTGERAYMLYLND GVYGNFSNIIFDHQHPSAQILDCRDPYQIPTDYSIWGPTCDGIDVITERCTLPGVLNV GDWLFFDEMGAYTRCSATRFNGFTNKHKVIYVSSEPGALALLKNEFGDLAVDSQLAAS QAISGVKELLNGCICCNLVGQLSDALLQLRSEVIPDRILIETSGSAFPATLAMEVNRL AREHDGAFVLDGVISVIDVENWKGYEDTSYTARLQAKYTDLIVFNKWEHVDERRFEEC LDRLGDLELQFAWVKSTRGWVDQAVLMGIDGALIAKGGVSTATELNNSTNEHQAHGHD HQEEVEVLSVVLNPTYEGDATVDLDAFEEFLLAAPKDEIYRIKGLILASKPPADSTGE RRPSTMAEAGLYVLNWAFGRWSYTPLSSQEMVALKGASLRLTVILARGEANKWQKKLA AGDLVQLESHPEHTNITVVKTS EPUS_00650 MDTKQIYEKVQEHYSLAANTTNNTYGHKVAKAFGYTEDELEQIP KDANLGLSCGNPSAIAKLKEGETVIDLGSGAGFDVFLAAKKVGVHGKAIGIDMNNDML ERANKNKEEAGAENVLFVKSPITKIDLTNECADCIISNCVVNLVPEHEKQLVFNETFR LLKPGGRLAISDILLKKELPDELKKSMALYVGCISGASRVNEYEEYLRNAGFRDILIV DNKHDLNVYNDTPRNDARSSCCGKGCAAPASVDDPLVAFMSQSKHVDFNEWAGSFQVY ALKPSS EPUS_00651 MATRRLSTTSTLLSDKASTCTLLSHLEPGREEAKTYGTCYKQHL DEADPLVDIRVGEADVYTSPSTEARFLARNSLPLIATYLLQYSFSIVMVFVVGHIGTR ELGAVSLATMTACITGSAVCEGLVTSLDTLCAQSYGSGRKTDVGLHLQRMVLLLLVVM IPIGILWLNAGSIFPRLVPDKDLALIAGSFLRILLIGAPGHAFFEAGKRFVQAQGIFN ASLLVLLVCAPLNVLLQYVFVFQFQWGLTGVALASSISKLLMPFILFLYVRFVNPSSL ACWGGFSKEAFHSWTPMIRLSIPGVVMIAGKQLAFQAITFSASYLTPAHLGAHSILLT ACVVMFHIPFAVSVVVSTRLGNLVGAGALSAAKTATRTYCAMFAALGSADAVLIFSLG SHIPRFFSNDPMVVKIATKVMPVLAAYQFFDATTSLAGGLLCGFGKQRVAGWVTMTTY YLLAMPLAMFLCFGPPALELKGLWIGYAIGCGLLTCAEGAYLRFMNWGLVIEDAKERQ A EPUS_00652 MEVIGTAGAIVSIIDVVTRSISTLADFGKRIKAANLTLTMLLGQ LGTVRTALDQVLALIKEGLKDQEQHYLLVMNLGDTIKCCNLLVRLIDEQVSNLEYNEN NLLDFESKINLVLESKGTEQCLTQLDRQINALNLLITTFQCRTLLQQKAFMEVRTTQQ VFQQVKDDSSSLLVLCDSESFITARTRTTTATSRFSLNFVFDAELLQHKAYKSTLRSL MRRTRSRSSPQAARDGVIRTASDMKAAKASSYIDQLLQTDARQLHSESNPRRKRQLQP NRIESKEYKTHVRAMKLGRPNSNGGQLSPDVKEAIRWTMSLPDLTQRCYVSAEDLMDD LERILQYDFMPTDLDYLRWSRQLSPTTGVQEVVLNKGQLKLRIMSTSGQWSESKKWIH HFENVTSVIFVVDLTHYDEYPLVDATQNRLMEHLILFNSVANSEWFKRSSILLLLSNV AEFKAKLSTHPLENVFPEYSGGNDVDRAAKYILWRFNQVNKQNLSIHSHLVDFNDPNI LRLVFASIEQTLMENTLGSLDII EPUS_00653 MATTEKQLSSQSLDDVPPKEKDVAALPLSDATVDIETTYGVNEK ALLRKLDYKLLPALTLLYLLSFLDRSNVGNARVEGLVTDLHMTGNQYLTGLTLYFIGY VLFEIPCNVVLKLTSPKIWLPTLTLAWGIVATLLGVTQNMAGFFAARFFLGVTESGLF PGVVFYLSMWYKREEQHYRISLFFSAASLAGAFGGVLAWGIAHMRGVGGLNGWRWIFI LEGILTVLVSLAAYFFIANYPSTAKFLTDKERASIHTRLASNSDATRNEAFTWQNVRL ALSDPKVWLYGLGFHTMSLPLYTLSLFLPTIITELGYTAAQAQLLTVPPYAVAFVTTL AVAVASEKLKIRAPFIMGPASFAVIGYIILLSSEKAGVAYVGTIFAAAGIYPATAIVL SWPANNVSGQTKRATANAMQISIGNLGAVLGTQLYRSRDGPRFFVGHGFAMGYLCMNV IVVGSLWAILRAENARRDRGERNDRLVGVATDEWLGDDDPRWRFQT EPUS_00654 MPPALTATSSPEIATLRTKDTTIRSIFPDGIKTSGQHPPSYEEL KPYSEFPSQITGHTVWNAADCKRHPERWTHHFTTYEISELSAAADAFKATRTPLTGIT KENFPLPYLSRYLASVRDELLNGRGFILFKNFPVQEWGNHKSAIAYMGLGTYLGYFVS QNGKGHVLGHVKDLGEDAKQIDKVRIYRTNARQYFHTDWSDIVGLLCVARALEGGESD ICSFHQVYNVLKAERPDVVETLTKPIWYFDRKGEVSKGEEPYIRTSVFYLEQGHHGAS RVYCKWDPYFVRSLSRFSDAGAIPRLSPEQLTAASVLEETCQRLSLHMVLEVGDIQFL SNAHILHARTEYKDYPPPAPRRHLMRLWLSTPAAEGGWRLPFADREEKKRGGIQVDNV APVAELDAA EPUS_00655 MARKHQLREELSPRFWPGKLNGQEVYEATIDDLQRHLSNRNFTS VDASVWLDVCRSDDSNIDQVDPYLKSVIEVNPDAISIAANLDEERRHGNLRSSLHGIP VLVKDYCAQRCSRYKTPEDAGPIILGHTNMCEWAFLRSTDCSSGYSARGGQTRNPYDL AKGPMASSGGSAVAVSANIVPLALGTETDTSIINPAGINGVVGIKRTVGLTSQSGVIP ISKTLDAVGPLGHDVVDAVHGLNVIAGADGRDSFSISFVRRRDEDYYHFLSSKAVLKR AKFGLPWKGCWEFVPRDLKQVCQKVFDAIEKHGGEVLRTEFPCANDRIARNGRWGWCL GSPDKRESTVTDIDAYNEITASLAELSGTSIKTFEDIVDYNDEHAAAEGANPGDNPGF ASGQVGHVPDFRWIAPSIVAATEGRETETYHRALAYVQHTCRDHGIDAALKHTTSNGE AIELDALLLCDCLGVGQQIAAQAGYPIITIPIGLDPTGIPIGLSLQHRAWQEGTLVKW ASAIEDLVANELGMGREPPRFRNHGANSIPVDYPW EPUS_00656 MATPKITLYLDVVSPFGYLAYYVLRHSPIFRPCEIEYVPVFLGG LMKACNNRPPLEIKNKNKWIGKERLRWASLFNIPITRTMPPNFPPLTLQVQRVLTALQ LSSPSSLPTALDALYHCFWVEGTPDINNPSTFGPVLEKALGKELAVKVMEDGKEDNAK NKLKENTDRAFEGGAFGMPWFECVNRKGETEGFWGFDHLGQVVSFLELNGEGGGKVET GLVEGMKAML EPUS_00657 MDQLKGALGSFGGGNKNNNEGEMAQASEGKSTSQQSGGGGFLGS LGDKLNSAAGGGKESEKNEDYLDKGVDFVQERFMGAGDQSNESAVEQAKDEQISDFLR GQYKSTTGSDVPIKDKETRFG EPUS_00658 MVLELHVWGPAFGLPSIDAQCLATVAYLKQCLPPSDWRLIPCSD PSVVPTSGLPVLKVDSTWVHGFGGIVNFLREHSLGKCDLDQDLNAQQRADTIAFFSFL ESRGQPLLDLSLYVSSENYTEWTRPALAQILPWPKQWILPHRLRDAAKVRSEHLGLSS LDIEAASTEDGDTGARGAGNIPKNLVAKPKQTVASMLGKIGQQNQFRLDAVTSDFFEP LSDLVNKSGGQSWVFGTGQASSLDCLLLGYMALMNPPLIPPRRWLQDALVSRYPVLLE WTTNFRQECFGGPVSASDVFSSPTSEMRTSHPCLPWHSPTSVSIQNIGSTFLTASLDS LPIVSRYSSGRIRRTSPQSTKVDGTKTTMVHVSPYPLFGGLGVVGSALGAYMAYYMYR GSSKSRSRAQYGTGVAKRNMTSQRDFGEAGRMLGLI EPUS_00659 MASLLGVGYESSSEDENSAVAPKPDNNLNAALVAAPDVSLEVWS LLCQRKWERNTNILQDRAQMQLMLARNDDNALTYNVTYDNLAKPTQGPANPFKTTNGN ALKRKNVLTGTAEETAISEATFRAEHRTFQSLGYTKDPSVDGAYVGNRITAAKYGGRD TVQLKPTKDESAAIRAKRQKKGDSSIVEGDGAYLGPWAPYKDDDIAYEEEAAAVDQEL ASDEEWVEEGIVETNLPAPPKAATAYQDDVSTSETTEFHGASLLDYQGRTYMHVPQDL DIDLRKDPGSTQNYHPKKLIHTYKSHTKPITSLRFFPYSSHLLLSSSADSKVKLWDAH HARELLRTFSGHTKSVTDTDFHPTGRTFLSASYDRQIKLWDTETGTCISRFSSGKNPH CIRFQPADGGHEFLAGMSDKKIVQFDTRSGEMTQEYDHHLGPINSLTFVDENRRFIST SDDKSLRAWEYNIPVPIKFIADPSMFALTRASPHPSGKYVAFQSADNQIVVYAAGDKF RQNRKKGFRGHNTSGYAIDVAVSPDGSIVASGDSGGYVCFWDWKTGKMWHKIMAGGEG RGAVTCVAWNGQESSKVATGGLDGIIRYWD EPUS_00660 MTELRWPHEFWIAVILVCDIFGTILLICVLNICVEHYWLWQRRR NRRYGEVLDADLELGLGPGLQNNVEYYEMQDRWVRKTKEEGEKGVGDDSEGSSQSSTL PCYRSTDSRGSGSRSTPPPEYASQAGTE EPUS_00661 MLALFALAAILAIEGAFAAPVDEEFKCPQFPLADNPNPKCTYSG AQAVEITPAQILTVAPTSATCDWIISNECRTNEQAALWISKSFTQMGIFTKAEQAALI ALMAFESGEFKYNTNQQGHVGQGTRNMQSGEYNLKYAQSVPMLREPLQAIGTWDLGKV LKLVLPDELSFGSAAWFLQTQCSPDIREGLKKGGEEGWANYIKECVGTEPEPRKAYWQ AAVKTLA EPUS_00662 MEVLAKLVCFPPLGQLTVIGRKQREIEFTVLLEASKSLPEVAWE VSVWHNCPTKPTEDSNTWENLPLVPSANTPFTLIGASAPISYNYSFSAPLKLDPAPNA DNLAYFTIKFRAGPNVPWQWMKDQFGISDGSLLFSQGSQDKLTHTWASSIFGDEHLQQ WQITSLQSQAPGATLFKVESKDPIPRNSADDAKYESKIFGSSNTGFCRYMALVRIWSP WLAPRHGERRFHITEDALLCSFLTCEGQHAVLLPINGVNDTVTVFRSDADGHIIVAAR NDGRVEGKFEFLAAVSDDFEVANAAVMYEARRIILQSRSSTEQVSLDAAHVKTESIDS TTILISKDDASNGTQSEPKPQWLEEWYDGLTYCTWNSLGQDLTEEKLFVALDDLAANG IHVSGLIIDDNWQSLDGMQGKTSQFQRGWTDFEANNKGFPQGLKPTVAKIKDKHPAIS NVAVWHGLHGYWGGISPSGTIAKSYKTIELEKDARTAGGKMLAVDPSDIHQMYDDFYK FLASCGVTSVKTDVQFYLDLITSTADRRAFTTAYQSAWTTAHLRHFSGKAISCMSQIP QILFQSFLAVNTPRILLRNSDDFFPTIPASHPWHIFCNAHNALFVQHLNVLPDWDMFQ TSHPYSSFHAAARCVSGGPIYITDTPGDHDLDLIAQFTAQNVRGQTVILRPSVVGKTI DIYDPYYEGRILKVGTYNGAAQTGNGILGLFNVSDHEISALIPITDFPGVHAASSEED MRFKSGPSGQFLIRSHVKGQISQPITPTAPTSPSNLTLVTLPIRGYDILTVHPIYEFS FSTAIAEPQPHRHPASTTAPTKRNPIPTSIAILGLLGKMTGACAITSTYFEPYNSSSS KNLRMYISLKALGTLGIWMSDLGERSVEEGFLVTILGKVVPRERVERKILGDAGCRTE EDDSKAKAGVLEIDLLGAWKDMGLESRWSNEVSVGVFIHSGMGKEGDGDDRGEEL EPUS_00663 MPRSASLLSTPRLTKPHVCLSCQRKLSYPGSQLAQAQQRRWITR NHIRRIRDAMQDWEERAEAIRNGQKQSILALLEERGFVHQIVGLFTRQDLDYLLTERR VGAYVGVDPTAPSLHVGHLVPFMALGWLYIHGYQSTFLLGGTTSKIGDPTDRQAARED KRPSERKMALANMHLQLKRLGATMEAYAGRRGYAREWAWRRDVANNSMWYQKLTLSEF MRVLGSGARLGPLLGRDTVKNRLENGTGMSYAEFSYPLLQAWDWWELFRKGTQIQIGG ADQFGNILAGIETIKAMKKVEAVHFESDEAFQGHVGHTFTASMSKAPKDGGNINEPIG FTVPLLTTSAGVKFGKTAGNAIWLDQQMTSTFDLYQFFLRSADADVEKYLKLFTFLPI PEIQSIMEEHQLDESKRVAQHKLAVEFVELIHGLGAAQSAQKQHMTMFDKNLTVDSVR ASQLEAEGKESRAPGDWNVQLNKYAKPTSAADTPSTHMKLPRSLVVNQPFAKVLWSAG LVSSRGEGSRLIENKGCHIGSKIARGATTAQMGDYLAFTPLTTTKPSETGNYLIDGDL LILRIGKWKMKFITVISDEEYQQAGLTCPGWKEGDDAGREDYKQVQRETMDFRAERRR ERLGRQKKREASAGVVRDYQMESE EPUS_00664 MARDDVHPAASFPATPTPALKKSTSNTQNMKNQKTLLGFFQKTP TSASTTSSLPDRVVTDVKKPSVLATKNFTRKSSSQITPAPSSDALDEDDNLSEQNVSK ESSRSKEGLPSPVSSANGEPVGQTLADAEELTAFGTPSRKAKKKMLSYAESDSEGADS IDDDVFKPTAKSRPARPNKRRKVSESADEDVYEDENVFDDVDHDEIDSFIAPDDSDED VQRPVKRKRASQPQSRKVTATQSPGQNDEEDELEPSGTSNAQRWIFDPNNPRALQPRP INAQSKAPGAYDKKQKPKAHTKEPEDRYPWLADIRDIDRHPREHPEYDPRTLYIPPLA WAKFSPFEKQYWEIKQKFWDTIVFFKKGKFYELYENDATIGHQLFDLKLTDRVNMRMV GVPESSLELWANQFVAKGFKIARVDQQENALGKEMRERDEKVSGAKKQDKDKVIKREL ACVLTAGTLVDGSMLQDDMSTFCVSVKESLIDDLPAFGISFVDTATGQFHMTEFVDDA SLTKFETFVAQTRPQEILLEKGNVSVKTLRILKNNTGPTTIWNYMKPGKEFWEADITI KELQASEYFPEAWPTVLEQAKEKELLMSAFGALTQYLRTLKIERDLITIGNFSWYDPI RKSSSLVLDGQTLINLEVFANSFDGGSEGTLFQLLNRCITPFGKRMFKAWVCHPLMDI KKINARLDAVESLNADTVVRDRFTSQMTKMPDLERLISRIHSGHCKAQEFVRVLEGFE QTDYTISLLSSSSGNSSDSEGVIGQLIAAMPDLGSRLEYWKSAFDREKAKQNGILVPE RGIEEDFDDSQDTVEAIQAELSDLLKKWRKELGSSAICFRDNGKEIFQLEVPVKVGKI PKNWDQMSATKQVKRYYFPELRALIRKLQEAQEVHSQIVKEVAGRFYARFDENYEIWL AAVKIIAQLDCLISLAKASASLGQPSCRPVFVDDERSVLELIDLRHPCLLANVDDFIP NDVVLGGPSNASMTLLTGANAAGKSTVLRMTCVAVIMAQIGCYLPCASARLTPCDRIM SRLGAQDHIFAAQSTFFVELAETKKILTEASPRSLVILDELGRGTSSHDGVAVAHAVL HHLASHVGCLGFFATHYHSLAQEFVAHPEVEAKRMQILVDEVERRVTFLYKLEAGVAE GSFGMHCASMCGIPRGVVDRAVVAAEEWEHTGKKVREGEKRREVGGELALGVLSDVAW VLRGDGAGEGGDGKEVDEGMDERGLEVLMKYIEAL EPUS_00665 MAEQAGPLAGLTLQDQKLIMNAFKSLKGGFDVDYAKLAEKMQWY IKDDSTKPNTKRANNAWLSTRKKLFPDGFPASGAVSDTGAAKVKPPATPRKKKDADAS QTPRAKKTPTKKSELKAESDDEEPATEPVASTNGVSDKSAAASPARNTDGESEEVAEA NVKDTDENATTETEVADADDDAAMDDSTALKTNENAPVTPVTATSVADDMSAGSMTPT PVSAKVKPAPTTPKPKAAPKAAKTPNTENAAKAATVTLPETPVTPTSKATPRKRKTKA EKEAETTAAAGSDDSDADETPPAKKRKSVDAKAVVKNEGDEEATETESDGGQSSVKPT PVKTPRKSSAAALEKKAAKEKEKAEKLAEKLAAKEKEKADKIAAKEKGKADKQAAKEK EKADKQAEKERIKAEKAAAKKTPTKKAAAAKASPAPNRDTESPPKSKAPRKPAAAQQI KKADKEEADAKAALLRQEEIDKKADKVFKGARTDKPAKTDASKNDAAAEITATHNEPL EVDGFIAINANGTNSPAGSTAASETAKAALKIAIEEEEGDTIAVATDDKNVAVSIEKA DDTIVVGEGA EPUS_00666 MLSSSRRSCFALVCLQIYHFASFSTAYSTLSNETLRSLPRPGNH FDIHDGSLLAPILVPRVPGTSGSDAVLTHFVNFFRSTLPSWDISFQNSTSTTPLSKGA QVPFRNLIVSKDPPWASPGDTSRLTLVAHYDSKVEPTGFIGATDSAAPCAMIMHAVRS IDSALTKKWKAMQDEGVDTFGDVEEHKGIQVIFLDGEEAFVSWTDTDSIYGARSLAEE WDNSLHPAMSTYKTKLESISLFVLLDLLGAKDPSIPTYFKTTHWAYQNMASAESRLRE LGQFKSAQGRTWLPESKKDPHTSSSFPSYIMQDDHIPFMARGVDVLHLIPSHFPKVWH RIEDDGEHLDGPTVEDWAMLTTAFAAEWLELEGFFDKPSMSRKDDNEKRSKQDVISKT EL EPUS_00667 MLVRRHSTNVQTGRHLYQNDVASLARSLPPRNLQVVTVGSDSTC YSPRREIPRPRGLCCAVYRQKEMLNPVPAAWKDPEIPHAASPHRKMVEPVGCRAPTMY RDIPIALVAARLTDQLERAVSLPPRTPIKFGISPEYERAVHVVPFHRQMGVE EPUS_00668 MVHTVPKLKDSSLLIEKCYVNGEFVNAASSSTFEVHDPSTGKRI GRCPEFNASDTEKAISAAAEAFKYFRRILPRERSRMLRKWFNLMTENVEDIATLITWE NGKPLADAKGEATYAASFLEWFSEEAPRTYGDTIGASVPGNRVLTIKQPVGVCGLITP WNFPAAMITRKIGPALAAGCAVVAKSPGETPFTANALAELSRRAGIPPGVVNIITAHK NTAEVGETLTTSPIVKKVSFTGSTNVGKLLMKQSASSLKKLSFELGGNAPFIVFDDCA DINAAVAGAIASKFRSSGQTCVCANRIYVQSGIYDQFAQKMAEKVKEFKVGFGFDVGT THGPVIHGRAVSKVEGHVKDAVSKGAQVVVGGQKMPDLGDNFFQPTVLTGLKNDMQLA GEETFGPVAGLFSFETEKEVVELANQAEVGLAGYFFSRSVDRIWRIAEALEVGMVGVN TGLISDSATPFGGVKQSGFGREGSKYGIEEYMIIKTITMGGCGGELQR EPUS_06804 MDPSVLKSEVEGGRHARSIEKYGTPSDTLDMERMGKQQTLRRNF SFFSIFGFSIILMSTWEAQLGVGTFGLLNGGTAGMIYVYIGSWVGFAAITISMAEMAS MAPTAGGQYHWVSEFAPARAQKFLSYLIGWLCVLGWQAGTGSACFLAGTEIQGLLVLN RPDYVYQRWHGTLLTMAVIGFCGVFNTFLAKRLPLVESLILILHISGFFAIIIPLWVL APRSEGSAVFTQFNDGGGWGSTGLACLVGILAPVVSLLGSDAATHMSEELKNASKTLP RAMISTVAFNGALGFVMLITFCFCLGDVGSILSTPTGYPFIQVFYNATLSTGGATAMT SILIVLATVGGMTNMATASRQLFAFARDQGVPFSGFFSSVPAGWGIPLNAVITTTAIA SALSVINIGSTIAFNQITSLGLCALLSSYLVSISCIALKRIRKEPLLTSYFSLGRFGL VINVTAILFVLLAYIMIFFPPTPHPIPSGMNWSVVIYLGVLSISLLYYFPKGKHVYVG PVKYVNKNIGRMVIKAERMGP EPUS_06805 MQLKALVTLLALGTAVVVARPTPDGKSDCEKYGHEWKQNYDGVY GCYDDKKKECEKYGNEWKEDKGKWYCYNKNDDDKKNDKKDDAKKDDGKGDDGKDDGKD DGKDDGKDDGKDDDYGKRDGSKKDDGKSDDKKDDGKGDGKKDDAKKDDGKGDNGKGDN GKGDNGKGDNGKGDNGKGDNGKGDNGKGDNGKGDNGKGDNGKGDNGKGDNGKGDNGKG DNGKGDNGKGDNGKGDNGKGDNGKGDNGKGDNGKDDGKNDDGKDDGKDDDKDDGKGDG KNDDGKGDGKNDDGKDDGKKDDYHY EPUS_06806 MKLLTKEEEAAHYRATLQGGTIGVIGGLAVGTAGVVAATRRYPA FNQLTLPLKAFLITSAGTFSGIIAADHASRSYEASRNPADQAYASRKEERRQAEISGM TWTQRAMAWGKEERYKIVGASWVASMVAAFAIVGRHPTLTGPQKLVQARVYAQGLTLA VLIASAGFELQDAKDDKGRYETVEYIDEKDHKKHTRQVEREQKSDGDTLWKEMVKQEE DRLRERDEAIKKMEERDRKRRAKEHKEHPKKSKKEEQPKEEKKEEKKEEQGEGEEEEE DYQPVPGKKGEGKMMQKVSDPPHPGKSAIP EPUS_06807 MSNFSLDASKFLAQSQSRLSFAGTDRPAPDRSRRQNNQTGWRAS AASKPYISRIGNPYQPSSSQVSGFPFSSRLHPQQAPLFYSATDEFRENNDEEEHEKEL ADYYALQKSRRQLGGKDLEESSELEDEGSRSLGTGDDGRETDDRGFGRGGGIRSSWRG DYKSGRGRGKDVDRMAEQLEADGNDGRSERSSRSQARMVDVGLEDTMRSDLDDDEPLP NYMDDPPSIQQFGKPLRASHPRFGVDSTVFPHETDQQTLLEHPRPPSSSGDDVPALMA QVAADPPCHDAFWGHLYLLCLAATFATWFLVWLHTNAPKTPLGDTVYTTLHRSFHLLA IYTLVSIFVSLLWLAALRSYVRPVVYTILISVPVILYSFSFYSFVSSFKGTRSASSIQ DTVMRWTAFIPAALATLWILCVIRGRHSLHKAISILEFGTRILAANSALLAQGFAVLA LIVSWTWLWLSMFTRVFLGGHLSTRSSVGRFIIDASTWWLGAYFILMYLWTIAMIFGI QRSVTSATVSQWYFHRLAVPAPTSLTIVQAALTHALTTLFGTIALSTGLSLLIRLPLL ILPRRIAFLLSAATYSFIPTPVVALINPLTLTYASIHSQPLSTSARGLSQLHFLGSTA TNNAATTSLYPNTFSSPPYHAASNRRGGASDGWTSNTTPLLPYRLAKLLLHATRLIMS LALGFGGWVSTAHSLRISGSVKGSLYAYIVGLIAGAIGWGVLGSMEGVLAGVVDAVVV CWGSEVGNPLAGGRGEARYCREAGWLFGGEENGEAGVGGARGEVALP EPUS_06808 MPVSSEPAPPPWHYISKLVCIGDSGCGKSSLTIRLCEGRFVNSH DVTIGVEFGSRIVPVGPPANAALHINDPSSAPIGGMSGPTSPGPQRKAKGDQEQKKMK LSLWDTAGQETYKSITRSYFRGASGALLVFDITRRSTFESATGWLNDLRQIAEDDIVV ILVGNKSDLADKAGDNRRAVTREEAEEWCKREGVMRYVETSAKSGEGVERAFLEVAER VYQNIEAGKYDLNDRRSGVKGYGTGGGREAGAPQKTVTLGLNDAMKSGQRGAGGGCC EPUS_06809 MHFTAAAISTLATLGFISASPTPMAAPADLAARACTTIQPAYIS YFEKATPTESTSGFGNYRLARTGGPNSNTIKSAFRFDNIPAGATGCMLQFRLGAGQEP AVGANDASLFTVEGQVDETTNWNNQPIKDAQVSSLQFPTTRAPEAYETIVYASTCPES GSVSFLLEESDWQQNAGSIAFRQGPASGFSMIYNC EPUS_06810 MPVDDLLEWAAETSRHGSGRPSTYTSNKSTDEPPSYTEYRSVVN RPPSPAPRKGRLARWQDVGHCAAACSGRCSVHAAEQEQQEALHAGDSSLRGVAEALQT QHRRHRGNGRPKFQRNDSSQNSHRSSSPMPRTSAGKQRKSRLDLAREALLNGNVTVAR AIVAQELERLGIAEDK EPUS_06811 MTVYDAMIPFENLPEHEYKVSERPSLHLATPETTPNKAQDNDFN WNQWKANINPASPESLPSLDHPLSPTSEDFSQLPKCRKCGLTTNQHKFVQAYICGHLC RDIAQDHFCPDIDALHLNSKDCPIHSPKKTRLTRRRSSLSKPPMLARDESTYEDLDKE QLSLKVSEPPVDVNEVVRGDTTPIKNDQSKASGIPMYHVSYDAGGEADWRKHCRVEKA MQRMLFGDARVSAHIMLEHTQRIRGNETISTAQGHHGDNDAGLYPATTAADKIDAKQA TNEKGSRAQLLKRRTRS EPUS_06812 MPQTVDSLRTRPAEGTSGGNFANRISNLASTSHHVWQLPNWNSQ PGYSNPGIQAMAIDTFPPHDVWDPNDWNQSNFSNPSHRDSVVSKAESAYPSGSPVPEE FGQNLDFACRHPNARASSYGDLSSIGERLTPSPFSHAISPSTPGGSAWISVADSAYGS LPGSSHGSGSSEAESMIHATHYFASTNAENSPSCTIEAASRTVTNLGHLQTSPINDVP STLKATPADQKDRLRQDAHSKVEKRYRMNINSKIQQLREILPNNHSCERPSLRRKSGT ELSKRDILSLTITNMERLQFEVQNLTSQNRELKARIARMQSDTAHE EPUS_06813 MSSQKELAQRLLHSARLKLDKETSAGEPRLFRVLVCANMADNAC RSAREDETRQRASKRECGRRRHSKSPGNKGTAGASSPAAFMNLGDKCTRRTQPEQTKS MQTPSRPQASLCDRTAFRRSIVRSDERLDDNSVGSDLDPHESDPIYSDDDDGYDYHND DDYSEDDGSDYSSGDEDDVDLQARQDHIGPAQRSSSTGLSYRTEEKSRPSPR EPUS_06814 MPRHLDEESPLLEHSSEDRNHADHHLDFAPDDTRNPRAWTRKKR LFNVSIIAAMSILSPLASSVYNPAIKNIALSLHTTEEKVIATNTGYIVLLGLGPLIIA PLSETLGRRVVYIVCFSVFTLLQIPTALAPNIATLITVRTLSGFFGSVGIANGGGTIN DMFPPSGRAEIYGWYLLGPLLGPVLGPILGGVIAQRLGWRWIYWVILIICSVNTLIGI FFLRETYAPILLAQEKARLEQENKVTYTYEGQDDRKLREKLLTSLKRPFIILSQPVVI LLSCYQALIFSTTYTIYTQMQNIFAGGYGFSTEHVGLLYLFIGLGGLTSVLFLVPRID DVYNKLTARNKGVALPEYRLPLTNIGSVLVPVSLLWFAWTVSFRLHWLIPVSALYFYG IGQVMVINTVQNYFIDSFSQYAASAIAAGSVLRSVLGGVTPLFASTMFEKIGYGWGTS VFGFLAILIAPSPVVLYYYGPRLRERFPVSFSS EPUS_06815 MSRANSLYTNRGPTANPRNKSAPLHNTKLQVSRLDAQVKHLIDD VLPKAPYLISVPSDVPYRHNSRFVSNWYLGTPFAKEEEALQYMSFLTHQDGEQSLIKA VGGWSDEKGNLVDEDPSPQQTYPSTSNSPSLSGQRKKISLTDYKSKGKGEEEANRSGG MAHAAELQSCGKDSQVNGVSRGEARNSKVTAGSSPKRQPDRKRSFNDVADASSNIKSP KPEKRARTKSPVKARSPGSSATTVPGTTNANKTRVPSLLSPTLPPAEADNITSIPDLL SPTLPSSIEDLLAHNEDEEEEPNGTTNHKRSDSVKSILTAPGPGGSPLSASKKTGISR TDHERHLSPSLQIDMKEARISPGARSRASTSSPGPRQRHIIVLKYGKRNRKRVEALLK FAPRSKKPVPRSESATEDKHESVKAVNGTMKTPNEATPKREKEGPTSQPSEQPLKRPK LTPSSLNLSERPTTPVPSAFKSPSVHNPVPPRSAFSTPKKDFKTTAMRRVESSDGIDA RTPTASMTRQSTPGSTEKPHTFNRTSPPTDLGSASSQRDDMRRAWKAFNTKYYELGRK LKHEGQSLLHQEMPDQRHGVLLHVEALLCFMLNQVALSYANNGSDSGWRTILPYLVFI HRISMPFPHLQGLVSQLGAVCRQTISKHDLDRLAREPLPVVTDDLNATVSAPTPSSDG NTKSSTTSNEDATSSSASDKARRKWTTFRTELIENAKDLHRAWLDGYQKLSPEVLKQD FPDTWQKRARDLSVKAASGPGAVLEKGLSAENLRGNYTYFLPLDVNTGVVEAVRFGMA ILQEWAAREAQEGNVKGWKLRVDL EPUS_06816 MENRVVPTRSGVQYTPEQWAKKRDIITQLYATEGKSLREVKEHL RTKHDFRPTDRMYQRKLAQWGLEKKHKAPEMRAILRIARQRQAAGQHSVFRIRGRQID IEEVLRYFKRRGEDPSTLDLPECAPPHTITVETPAPSPPPSLPPQMQSFGSIDDEDNP DETPSTTLDQPLIPYPTPETEYAFLWASDSSSDSSIRSDSVVSTPVVYSDGQVTLQLI PDNPSLAMPIDATLDFPYARYLLYWTQQFLGHIVPPTFYSEDGASQIIFKPWRRTLST WARAISEGQELIRRGQEAEADKLRKRALASVRKHITNPSPITLLRYFEIICALCELDP HFLDVTLRHVFAEAGKYLYVNHPITALTRMFLDPRARPFRGPLAQQGIFKSLAILLET YRPRHPRMLYILDSQTQAHLDAQQYEAAIKSAELYLQRAELIVGENSFESCQAWRMLG DAHIKQNQLEKAATAYHKAFTLQAHLTSSKYRPAKEVKKDQSTIGVRTQRGLADIAKR RVQYPQARQHLEVALHMAREAFGEDDVLVQLVQKDLVALNVTQMTEAFAIMPPNIWPI TNQRREPQLDNTLC EPUS_06817 MAEDQIVINATTFFDRLSRFYASWKADKRSGADALFGGVGSIVV LAGKAEQESSYQKNNALHFWLLGYEFPATLMLFTTEAFYVVTTAKKAKHLENLKDGKI PVEILVVTKDPESKTKAFEKCLDIIKGAGKKVGTITAKKEPTGPFVDEWKKAFGDLSK EVQEVDISPALSIAAFAVKDENELLAVRTASRACGGLVTNYWVEEMANVLDSEKKISH AALSQKMEAKIDDAKFFKKMSKMPSDFDTQQLEWTYGPVIQSGGKYDLRFSAEPDNEN LHSGTIIAGLGLRYKTYSSVVARTYLVDPSKSQVANYKLLLAAHEAVLSEIKDGALVK DIYNKALGVVRSKRPELEKNFAKNVGAGIGIELKDSSFVLSGKNTRTLKDGMTLSVTT SFADLTNDKPQDSRGKIYSLLLTDTVRVPSRNDKVAVFTKDAASDMDSVEFYFKDEEE PTPKKDKSKKAVASSIATSNIKSARLRGADRKDAGKEVEESRRRENQKNLAMKKQKEG LEKYAEATGGQNGTAQKQFKKFESYKRDNQLPPRVKDMIVWVDTKASTVILPIMGRPV PFHINTIKSVSKSDEGEYTHLRFNFLAPGQGVGRKDDQPFEDPQAHFIRSLTVRSKDT DRLADVAAQITELRKSLSRREQEKKELEDVVEQEKLIEVRNKRPIKLPDVYVRPAQDG KRVPGEVEIHQNGVRYTSPMRNDHVDVVFSNIKHMFFQPSVGELLVILHLHLKNPIMI GKRKTKDVQFYREATDMAFDETGNRKRRHRFGDEEEFEAEQEERRRRAELDKQFKKFA EQVTEATKELNIVADVPFMEISFFGVPFRSNVMMRPTTDALVQLTEPPFTVITLEEIE IAHLERIQFGLKNFDMVFVFKDFHRTPAHINTIPVESLDAVKDWLDSVDIPYTEGPLN LNWATIMKTVTADPHQFFVDGGWTFLRTDSDDEDASQESEESAFEMSDAELAESEESS EEDSEFDDDASADEGEEDSEEEEEGEDWDELEKKAKKADMNGLEEDEDRGKKRKR EPUS_06818 MSVIDPMDSRALHSTPLRGDDETTRRKPSNNAATGATAAGGRAL IARGAAFYFRAPVKAFFRTRVDYLAYAKSINPRIQSGEFSWRTTTPGLLTHAVKTYGW RFIPEQVLPPLLANAAVGAILYTSYLQILGALHEPSSQSAKRVFPPPSPGATFAAGYA AGGIQSLIAAPLDALQIRFEKREKHYENKSMWAYAKGKLGEIGMRGVFAGWGLSFMKD SLGCGVFFSVFEYLKAQSYYKFVAVYYGSLEPWVVTQLAEVRDTPSTSRHDVPVIKPH YVLEPGFLMLAGVAASVAQQGVIYPLNKIQTRHYERLEALDRQARTYERYPSSGRMMR AYYLAYQETWRQCMTEAQGIGGFRKWLFRGFWWNSIKQTPATSAGLVIFELVRRRYGI GNEEVKINEDGYDILLS EPUS_06819 MTASSTLRHALSNTTLMISTTASALSSTALDLPSAYSNYVIHNS SSVSQIESALRSLTYLLPGSRLHDTELASESLHTFVQLLSIYHDNLLARASKLMPAAQ AALKQKTSPHARYTKFWAKRSALYRQLAIALKVAQYTELLWEMVARRRLGGDKARWRV VVLLESFKAVCRLLLMRLTNTRPLVSPPLPTREDVAPPELPVLSEADPPLDWNGMSPD PLGPQISIGSESLGSGRSWMMPRTKLTLPDLPDPDAITSYLVTKVITPDDIKPAKQLL HKLGTLQAQLAEILYILRPVVYALLMQRYAARYGKKGGKAQWTPWLVGISIEYLSRQL AKRSLERRISGGVSTGLTALEREELKKRGWGMGWWAMRGAFYENVTKSVVDGVVGRLK GKPLLDLVGGVVEDYGYLWDEYHFSTSTM EPUS_06820 MKPGSRGPSGSPQSNPIGHHPAGKQSPRKRDRSEQPPLSTTTSS ASSSFSSVDSPQTRCVSTRTGQYSCQKPNQATSRLHTGRSTGDDRKAPSSQIEPQTQT QREVAQAVSTFYLRQRELYNPLVGQQEEVSDNMPQPERNPQQSLPKLVPRSQQTSNPG AQNVAAPHIRDVRAEVQDPKGRKEIFERELQRLLTSKTETRKATDLSKTAEQSSRQAQ GTCQTRGGMLPSRHANPVGTNTTRAPGQISAMGRNASSTRSGASSETYDPFGTLDTTE IEMMHELILKHKPSKDK EPUS_06821 MSVAGQEHEYEEKHVHQVYEQIAGHFSATRHKPWPIVESFLRDL EPGSVGLDVGCGNGKYLTVNQDIFIIASDRSQTLTKIAAHRQPHSNIVADTLSLPHPP SSFNFAICIAVVHHLSTHSRRIEAIKSILKLLKRGQAQRAPSKLLLFVWALEQKSSRR GWDTGDAQDVMVPWVLKEGTKGEAEQDRKYNRYYHLYRQGELENDIAAAGGVILESGY EKDNWWAVCCPARIAER EPUS_06822 MLCKWHSPPSASLCFRSHPDKVDISLLLKPKDEEEARSTTSAPA SSRSASVPTPVAASTTTESTPLSAGGSVPTKRQQGIHPSESPAKKQSKWSPEEDEKII RLRGDAMKWEDISKQLPGRSAISCRLHYQNYLERRSEWDEDRKNKLARLYERFKHQMW APVAEEMSIPWRAAEAMHWQLGEQEMARRAGVTPFSLSSTSNTAAPNLPRSHTRSSSA APRARRESAPRALQPHPPQLPSVAELTAGLPAYSTHPQPYQQYRTSPDYFHQPGGPRR EPUS_06823 MAYQPPAPDIPLQVTVPSASKDDKNPQISAERRINPSWTISQLK AKLEPITGIPPGCQHLRIRGLDGEWVVIGGRGGSIEVRISRIYCGIAFVRVSSLCLVN QI EPUS_06824 MVPRPAVRRERDSDYSAVGTAGRRTGVTLPQGKLDENGLEEITG LFSSPVKPSPVKQHPGANNIDAQNSTLEVSEEMSGMDSSGLTPTQTLSARRSLGAPAL PPPRSTSPRKSGISGSARRSNGIDILSPSKAVQVVEAKNHENSASPTPIRVKPRRFVP SPEKQPLKEVMANGEAVSSAPALALMKAVENSKATSNEGVGKGQGEKWTNQAAERESQ EHNLTANGEPNQPTRDDDSVHNPMGDDEMEEFLSSIENTIQDASPVQDIASRSVEEPK AKGAESVQPLHNQDLHQKPTAPQSKGRKRKSEDIEAEPTSMSAKKPAKKPRTTKADTS RAKGKQKVGPSINLESSTNPAAVQASSQLIPPRIPGLIKDANTHLSQRQQTELDQIIE KVKARPGKLKTLYVLKREKSRKGGADDVRSGRAVVKPIAYWNAEQCVHDEGGAAGLEL GARIPLNSIKEITSERKQTKKAADEDSSDEQDPNEEDWEKEVGVYRGQVNAWVKPGQT DVENSEVVDLAFHPSSMLTREVKDAPGFRFAKLISNEFLGSGMLDLAPGSMKMPKNSR TMHMCFFVFKGRVTVRIGAGVEEGECERFSVGKGGVFQVPRGNRYSIENELEKPARIF FSQASETVPRDEKT EPUS_06825 MDPTTEDTQPDALEVISEFTVFIPPSIHHESLISGASYAHYTPI PPLITTSKDDAPGTECVLGVDEAGRGPVIGPMVYGAFYLPVELHRSLLAETHHFDDSK VLTPAVRARLMKDVCTAGTDLHNACGWAVKSLSARDIGAGMLKNGGSYNLNAQAMDAT IEIIRKVIDSGVHVTEVYIDTIGNPGVYQKKLQRIFPTMKITVEKKADSLYPCVSAAS VVAKVTRDVSCDVLYQEVMKNTPEASAEEATWGSGYPSDARCVAWLKNQMDSLFGWGN ECRFSWGTIKDMIEIKGSAGVKVDWPVEDDEDNACLSDYFLNTNAGSKAASEADQLRL WFGSNVGQEAF EPUS_06826 MAPPTGPTAIEWEQQRPSITKLYFEQNKPLKEVREILAHKHNFH ASERMYKDRVRLWRLNKHNKASEIQAALRLALERERSGLTPVPGFQLGSRYVTSAEID RYLRRKRIKNPWEWALESQQTAQTQTLRPSTDLGFMYERSERSLATTNIEAMNPILSP IIPFSLTPRQEDLTIEMVHRTVHAYRDSKCPLLRIIEGRNYCDHVMVYPEESHWSLSI GVVNLRMRKYTQAVSCLDQTFNSIRPLLQQDDVHMMQTLFGHMMILARAGVGDLTFQF LSYLASMSTIVLGHQHPIPVVANILIKSPPQLLHRVIEFGIRSLGLLTQPVIIPPSGP SAEPDGQMWIEAARLITWPVNILALLERRPEPRSYVEWKGIENDAASCLGKLAEFSIG EPUS_06827 MPRKPVPPKQIVSREPSNGKSTRKILTHPLFTPVQISTRTPLLE TDYNFHKSNSTYFSDLDVSRTKLMTRIISPGFKKVNAQLEEEGHRGRMVVALGAVHVT FRKEIGIFEKVWVRSRLLGWDEKWAIIVSYFVRTKKGRVDGTNGPDKGEELCAVGLSK YVVKKGRFTVKPDRILRMGGWLPKKQPDQAGSETCNGEIKLSLADVQRKDDEAEDAEE GLESAQTPAIEGRVVLDEKTAAAAESAAHALSVSDADNSAWDAEAWDWEEIEEERLRG LKLAKTWLALDGELCEEFDKN EPUS_06828 MKNRIIADGGSVQNIPNIPADIKALYKTVWEISQRTIVQMAADR GAFIDQSQSLNIHLKEPTMGKITSMHFAGWKLGLKTGMYYLRTMAASAPIQFTVDQEQ LQVVDTNVARQRAAPKKRLTSSGYNGSYAAIPRPMYAQKGSGHGSATSVNGMPTPTAT PPPTSEQKTFAPAAIKKASRLSEGEDSDDAKIRPVAVVCVPPQWVV EPUS_06829 MPIAYHDSSSDSDYGGAGRVRKQGDPKRFVQHTKNRTRVTIQSA RVEHHARDPVISHTRRSQYSRQFDQHSGIPDSGTHRPAFIPVFPREQLEERRKIPPAQ PMASGVQPMAPPVHNMPPPVQHMPLPVIHSAPAQRIGRHHSLGPLKPARDYEDLDYGE SVMRRGVVAPIQILDDFEGPKRYGESDSEPGSEYSLPVRLHFGHNDIPSPPTSVPAPQ LGFSGQASLGKSSYYQTVISQVLHSRYAENGIEEESWGELTIQPIDHRYPANSSVDLF RWVHLHNEVMHFGSFLDTVSAYLEDAGKDKNDTKMMLERVRRQAEKPLSHLSGKYLDP VLIQDTFFPKNGPSGKSSHNILFLCLPFFSLEVYSPSVLPEKSFAHPLRSLLQSPYSS IAKGRDLKQAVYLIFTCARHPTSVLLGNRLKMTKLPLASPNHQNVIQVSDDAGTVWLL DPSECKMWFAFTSAFEELSSEFETEYDILLHGTRIESRDWPRVLREAEGGIVRLVIRQ KRRSYRNDTLTSTSSKDGVLNDSSDKNAGGNNEDANVLMTSGSDSGSRCKPPVGSHSS GSDLRSPVTQHLRCIVLDKEIFTNSRGLYLDRPDGWFFEFDYTDGQLVDAVESIWELT EPPLWQRIIDTPHFVRDFEIVDQEGHRGVMFQLDSKADADQEIVLTGAQVTETLRIGR RCWCDSFIRPTTSVIYFRRNTPAGPLYEQEFDFRDTGLDKQPLNMVYYPVYLSGATTC ELKKEAAVWVDPATRKVTAHMENHRKSAKLKASRKRNCHVDHNVKDSSIDITTGRAFG EAVRSVLAGKKIGKKARRGTFRQIDPTKTGVQETGVAKAANYHASRVHGDQASDELCS PRTPESKPCPLLSEGRRAKTIRTQSQSFILLPWLAGVTNHQDIRAGSADKGGQTAVET IDAGKAYSDSDHLVNVNRLDEILADTDEFLMKKAKNDERKLYGRCPTADLKSLDDSIW EARIKSRNQSLDGLRDCIKFVQKTKKLLRFFVDIDCGACSVQKCLGGLQLIVEVCGPN HLFSSQLLSSFLGLEKTVKKLRDRLTGENGPLDLPANIRQVSAQDFLRAWVNFIMAVV HIADIANCHRSDGRGIIVADSGVQILRSRSLLEAGLLSMRQSMHSSVLAEKVAVMPLG MISLIVARVLTDLTDDRPDILSTYSTYLERLELDIHSDPLNRAHQEKISHLTQEIRAI IAVLNDQLNVLNGIDCSMQQDLNPNAESVTRRKRSQQAPQSANIRMIESNKDRQESAI LAFTIVTIIFLPLSFVASFFGMNTADVRDMTQSQWVYWAAAVPVTALVIGLTLLWAGE VNLARSAFRRLLEKVKSFFGGRASFA EPUS_06830 MEDTPSSKRRANEDLSMLTPPTPRVNSFTRKSSSNEETTKVSSN YSESSATTSRVTPPSTASPLKRSRDTISPGPQEFHHDGITSFATADPPVTDLLAAPAS SPYLLQEHHNSTKSSSRSTSRKSSSSEYQNNTIVDTIRELGYRKYLQLVNLPQFLPRR LQAAPVMPQPVTSAPYTPSPKKKQIQKQARAGVAVKPSRVNLQAQPKKRKMSEAGETP PQAQSMKSRRSEADQRPPQAQSNKRKRSEGAQTSVFKTPPAKIRKSEDRLVTKSEEPP SKRARTAPPTATQIKTTPTKPKTRKTPKKATNIGTSKPEKSRTKEQRWGDYLKSIVGG EKDVYHKLPDMVIPPLGQSIDQVPVRAYTFNKGKVQDYGDGQGLHDREMELAREVGLS YDAYRQQKRMAFIGYAAMQLEGKKEFKKSHTQSLCNIDGNKATHLWIHFHDCWGWMPE NPTPPINPASLGDLQLPDLHVAQASQ EPUS_06831 MSHTEVAHTDSIETEDVKKDQEKKQKSRRPANTAFRQQRLKAWQ PILTPKTVLPLFFVVGIIFAPIGGVLLWASAMVQELRIDYTNCGTDASSGGTNIDFSD IPSEKYSSQFRSSTVNNPPQWRRNRTVATPEYGVEQQDTNVCSLRFSVPNDIGPPVLL YYRLTNFYQNHRRYVRSLDTDQLLGRALDNATIADSACDPLTLNETSGKAYYPCGLIA NSLFNDTIRTPTLITAPGTPDGREIYPMTNKGISWSSDHELYRDTSYTRDQVEPPPNW RKRYPDGYTEENDIPNISQYEELQVWMRTAGLPTFSKLALRNDNVTMKAGTYEIQIYD YFPVHVYGGTKSILLSTRTVMGGKNPFLGIAYLVVGGICVVLGVLFTVAHLVKPRKLG DHTYLTWNNDNPTTATATGRDSGLGTNA EPUS_06832 MRGHKSGLSISVLLCLPSILFAATLPEIPVRHCPIQAPSSALRF AHSFLSWAEPLDNDLQNATTVEARISPNVKTKRQAVMPLYTIDTYMHIIADSASASPS SSAYVTDLQIRAQFEYLARAYTDASIGFRLIGYSRRTNDTWARNGDDFGMKTALRRGG YSTLNIYYQSRLQSAPGTPGIPAGSILLGYCSLPAAGVGARTPAAAYVLDGCNILSGT MPGGSVFGYNRGGSTVHEVGHWNGLLHTFQGNSCGTRDYGDYVADTPQEATSTNGCPA MKDSCPYSGVAPGYDGSDGAANPYGAQGYSGPDPISNFMDYSNDACYTGFTPGQGARM LNIWQIYREGR EPUS_06833 MSSRNSIENTWPDDTVIDPSLLDEDRKNEKNSDTSRSRSSRSLT SISGSSGASSGKSGSGFGGPTAGTENREISPEEISGEAPAAPEMSPEPRGIIDQASHQ YADTSVTPMGFMNGVAPRYASSICYRNAAITMLLNLPCFTNWLSGAYSTRREGGPSHP LMDAMTELAATYWSQPTAKGSARPAVRAKRHQLDTAMDGFWKRFLKASPGFTPKPTQT NFYCQEDSALFLSTLLECVYDELDPISVHGKILGGMFRAWHATSTYCSAKCQKKRKRK SEDGQPVLNPPNWIHIVNFLSPKIRHKGARSFQECFDASLEQQQEADCPRCGRLTTRS AKDKFIILPKILLTQLNRSTNTQGKIQEHCEIPRELTVAIDESARSKYKDAKYRLAAV VAHSGESSTSGHYISYVRDPARTGSWIQLDDDRVRRIDFAEINHNRESIMEDMLPFMM AWELIDLPKEPAASGEEAEAANRKEAEARKQQLDEREAALDTRQEKLNEREKALRVRE GELEGGKNRLKTMAADFFRNRNEKQQALAEREADIERREQRLQVEKETAFAQPAAQAR DQVAHEVDEGKDTATFCATFRNAAHHDENARAIFKLRDFNPDVPTKIESTVQLTDLEG NLRSVKKGTAVNDDYIIIFNVKGGKKRKRGDDDQAGPPPPPPKKAKRPAKQDNRLRTP PQENEGPQTSPPGKNKSPGAKKLKTSPKSNEKPKSPKTTEAISKKSPPAPRRSARVNK GKNSKRA EPUS_06834 MPLASRSPESFITSLVDKISLLVPPLPSTPSSSSPSASRPRPLD SNVSTDPVSPPSPPNFSTLLSTLPKEDQESVTRLLMTLHFFFPHEFIPALDLLDRGLV TRLVIGSLPSPTNVSEASHRKPHVDYQAPWNEPTGDLDPPLNTYMVSVGPYLHETDLG IHVDFEAGRQIATENEVFYVQSASSQTKTTRQRRHHHTSVSSTNYEVRLGAWNCTCPA FALSTFSRLMDPKADGRADDNREPLKNLLAGDDHHNVDFYDSAMSQTEFEWRFGGTFT RTISDPEHGIDSERKGASVPVPVCKHILAALLGKHIPGLFGSGVQLRTVSAEEGAGWA GGWGDGD EPUS_06835 MPATTAETLSLVTRQVSVAPLVLLSAADHYGRSAKGTRKRVVGV LLGQNDGKNVRVSNSFAVPFEEDDKDRSVWFLDHNYVESMNDMFKKVNAREKLIGWYH SGPKLRASDLEINELFKRYTPNPLLVIIDVQPTEVGVPTDAYFAVEEIKDDGTTTSKT FVHTPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITAQLQSLQGLHHRLRDISAYL QRVLDGDLPTNHAILGNLQDIFNLLPNLSTPSTASKPINGTDKTSSPSSIENSELARA MSIKTNDQLMTIYLSSLVRAITAFHDLIENKRQNRQAQEDKDAKTKEEEAGGKKEEGK KEAGDDKKGINGVANSGNDNNNNKKDEKKPDGSDAKEKGSGKAKKKS EPUS_06836 MAPIRDDTVTDLKDLVAKLEIRVRQLEDRLGRDGAKPRTPSQSM RMILMGPPGAGKGTQAPKIKDKYCVCHLATGDMLRAQVAKKTALGREAKKIMDQGGLV SDDIMVNMIKSELATNQECANGFILDGFPRTVAQAERLDQMLKEQQQPLKHAVELQID DSLLVARVTGRLIHPASGRTYHKIFNPPKEHMKDDVTGEHLIQRTDDNAETLKKRLGT YHAQTAPVVSYYQKKGIWSGVDASQEPGAVWKSLLGVFGEEKPAHTGMGSSILSKIGL KS EPUS_06837 MPSSAFDLPFQQTLARESWSLYAVGALFVVARTYARIHRVGLGG LQPDDYLMLAALGWFTTLVVCLNVVVTGGGSNLFPPEQLSTFSPEDIEERIKGSKVVI ISEQAMLNTIYFNKACMLIMYRRLTMGLRQQRIVKAIAAYVALGWVGTELAFFFACRP FKGYWAVPPPDPQCTTLQHYSITQAVFNISSDLMMLGVMLPLLVQANLKPKQKVVLVG IFSMGSFVIVAAILTKVFNLADVYSTVYMLWYIREASVAIYVANLPLIWPLLREWFPH LRVFTPGHRSTSSNRKTGNSGSSFCGMRRPGTDGGDSHPMSRISKNKCSQYTVGTETD IERTGSVERINKPPFDGHGILAETTVDIEVDEFTSSAEDLDSEKNAQMQFEGQSRGQY EWEMTDPRSARTTTNISAKELMYPEENERRPEQTERENRSRGRGERRNSLTRSEDQDV SEETKVGQAL EPUS_06838 MADDPDQTSGDSPTTSLESYRRQRRRLLSTAHSSPHPSCSTHTI PSVHVTIADRSAPGRAPHTTGSSNSTLSSRCPSIIQIQPADRRGRAQPPITTGRQDAA IASSSRSAGAGSAAAASIPVKPPTLSQALRPGIRLGIETEFLLSARQRSHQAVSLSRF VQILADNHNKEVWSQYPQMHHSLCQPEDPIDYKEWSMVYESSNATGREPWGLEMRTPI TEVQPGWRDSILATWRYLLRNYEVRSNHECATHIHISLVPVYTLGQVKKIASAVIYFE AVFEALVPEERRGNEWVQSNWLESPELAQKDKSRSDSIIEIERACDIDAVLNLMQRCG DPKFAWNFVPLTSNKQTIEFRKPPGSETADEALCWAELALNFIQASLRYGSFTDLKKF PQNIKGLRSFLENGQDPKISDPDRLQMLWANQPPNAAIAPTDSGSQMIKTKEELHIIA AADMRHSRRHPIFK EPUS_06839 MSKPTPIRWGIMATGGIARTFTKDLLIDPQTRGTTDILHQVTAV ASSSSIESAKKFISENVTPRQNEDNPKCSAYGSYTDLVKDPAVDIIYVATPHSHHYQN VMLCLEANKAVLCEKAFTVNADQARILYKTAKQKNLFLMEAVWTRYFPLSISIRKRIT SGDLGEVLRVNADLSIGLPPEENFPVSNRMINKDLAGGCLLDLGIYSLTWVFQTLYHT LPPNQRQPPKVKGVSMTPEPRTGADEMTTMLLEFPKSTPTSQTTAHAIATTALRVDDD PDNLDSAGPAIRIQGTKGEIQVYGPAYRPSKYTYIPCRNRDKGEKGNPEVSEFEFPGE GQGMYWEADEAARCWRDGKLESEAMSWEESTVIMDVMDEVRRQGGLEYPSEIESTEYP LDLKRRES EPUS_06840 MPMLGEESKNHRERKETYIKSLEQQVLQLLNQQATAAAEKRAVE RENTMLQGLLHRHGIPIPNEAGGFGPTASISMLDVPGGQQRLQVTMPETTSDYFATFD TSRSSLPRGNSSPHPPDAEMRQLSSGASGVSSIPPPAFQTRLPSESVQIHPPTTPQKS LPPLPPSAPRQPIPHPYGLDAPQIGIDFVLALENPCLHHTSNDLQSAETYGHILTTHA PLLTHRPRAPQPTSSWTIPAAEIERLLNLSSQLNLAGEITPVQAWSRIRSYPGFEKLN LDQLETLEKALLKEVQCYGFGAVINENAFDNILQQCFYGLQ EPUS_06841 MIFCYSRPDYDFHRKTQHPGYVETPGRKSRSRVSGTVAVHRNLS KTPDPPINDTVEVVIEDFSRRKQTTQTPKGHMPDRHLPNGHLPNSHLHGSKEVNAPRI IDGWYEGTDARVDSSGHFEFGGSWGVSAMMIGFPMLMYYMWIGATYCDGRFPTTLPSE SLTTFLSRMGQIIYTGAFPSLKAWAIYWTFFLFEAACYLYLPGIYTKGKPLRHANNKQ LTYYCSALWSFYTTLFVAALLHLTNIFKLYTIIDEFGPLMSVAIISGFLVSILAYASA ILRGATHRMTGYPIYDFFMGAELNPRMFGLLDFKMFFEVRLPWYILLLVTLGTAARQY ETYGYVSGEVAFLCMAHFLYANACSKGEECIVPTWDMYYEKWGFMLIFWNLAGVPLSY CHCTIYLANHDPSIYRWNRLALAGLFVLYLFVYWIWDTANSQKNRFKAQLTGHDIERR NFPRLPWRTIKNPKTIPSASGDVIFVDGWYAYARKIHYTCDLFFALSWGAVTGFKSPF PWFYPVFFACMITHRAVRDIQRCRAKYGDAWREYERRVPWLFVPESFDIRGG EPUS_06842 MLSRLFSWLTGQQIEPTIRQTNLRVLRIPGDGSPPHLVQLNTIE SDDNIDCCQRHIPDFRPYWGDGEGFQWRDIIGMEVRDQQAAPELNGVYFGWKSFALHL MPLSKHTGFCGDAFFAKSPLWEHDDNGAVYEDVPVAFLGSSLLETALEKLHDR EPUS_06843 MSSSAAASSSSLKTVNSHPQSSSPAQFQAGPTNPSDGSFQRRAG SSGSFGAGSSSRHNPTARNNQPLRKQHKAQRRARLADEDAIAESAAMKSINSRKGQTS ITHLMNFSLPPRHYLQQHQYHPHNHRHQRRNPTWGIGSGYHAIDKARYVHANYRFIVR PDRDYHTQAIDADVHLDWDAVLQILASAETQSASCPICLSTPVAPRMAKCGHICCLPC LIRYMHSTDDKNPLPEKRARWKKCPICEDSIYISETRPVRWFLRQEASMLREGGDVLL KLLVREAGSTLALPRDAMESFDNTEDIPWYHVAEVMDYARFMKGGEDYMIEQYNEEIN QLQDLEREDELMFGEDTTWTQKAVISISDAKEKLAGIGNPPGPSKQPLERKMTRPPIT LQPSPDGAPQMYSHYHSSTSGQSLSFNNVSAQTPLQGSMGDIPSDKDVAKLSQAIRDM ETNGSNPLTIAHELRPSTMNISASRSAHSHAFYFYHSLPNFFLSPLDIRILKAAFGTF SAFPSTLLPRVEHISTGHIVDDELRKRAKYMAHLPYGCEVSFLECDWTDIISPTVLAT YGNDITRRRKRNQDKDMREERERIRAEKEEDDKRWAAARRKRPNAIEKSLSESDFQPL AHPDPANAGSSLDDTSAISSTPPWMAPRVHSSFATLASPGTSPDTHRTVWGTTAVAPL SPNVEPVPQEGQAIDDGWLQGWERDLLDNDFAAAMAEASISGEGSSKVTSTAGTGRKK KTKKITLMSTNARRGA EPUS_06844 MNHHPPGMPPYGQMPMPPPQRRHDMSYSPMPPNMRPPPSYQGYY HPHMAGQIPQYAPQYAPQWYPYQHMHHQPPPPRTYPSYPQHQVQQVHSHSHAPMMIPP YAPHQTPHTPANAALLLPQRSSASPSHGHFTPQTNALSSTPLQSPFSPPPSSTPSTAN AQPETPPSTSSAVSQTASEISSSPSDPFFPPLPWSSVGDSPFPHKARRQRRRVMRSQL GTAPVEYPLKATTAAVESSLDIEEVQQLPEEQLPSTEQGQQPQNEQVTSIPAPAQTPT TQMSEAPSETGSTDPTTPSPVVTPQAVKSQPTFTAQPRSHRPVVPVVPAVPILPLSPK TSRYRHDSVSVVSSISQTPQLESSTEQARRSSTTSVPATSEVSPGASVETSKPASPPA PPKSWADLVRSNAPPKPASTVSASSQIANGLGPAKNETLSDVLNTMDVTATHGAAKIA FLQPRGLVLQILVFCIPFYEFLERLGQRAAHSFKSDTPLIDAMILFIREFPIIDSAES FEQLRLRLKPDELEQYGEPFIPEYVYQVIRQLPRFRDMRRGHQQDTQEFLGFLLEELH EECAQAMRSDSSVNSGISTPTEKSSSIAESTDQDPGWMEVGHKQKPAVTRSSGAIAKE SPVTKIFGGKLRSEWKVPGNKNSVTLEPYQPLQLDIGSPHVNNIVDALKGLTRPESMQ GDFNSSRGSRVTATKQVFIETLPPVLILHLKRFQYDHTTKRTEKIWKKVGYPLDLVMP REVFPPSVRNKLTVHGGLPKYQLIGVIYHHGKNASGGHYTVDVRRQDGKEWIRLDDTL IRRVRSEDVAEGGSEEDPKVLAAALERHNQRSTTDPVGNMFEQIEDADENKEGDDGGE NAWSQVNGHSRNRSSMTAVAAAVNGGEATPMSKSGIHTPRDRYAVKDNKVAYLLFYRR VMS EPUS_06845 MACRCGHPHGCPYDHPVREVADTQTEAIYPYGPGVIPRGYEYPT GRRWLGQASHVPPFPAHPFHIVQRSRVVREYLSPYASPPVHEELEQEGLLASLSYRPA PRSHAPSRNANFESSVQRQNHNPAYDGDDAQIVRYRDPSFVPTSPYTSRTRDELRYDG QAQGTNLERSFGSSSRNGAFEPRAPMQHPYLAYENAQDIRYQSPSPVPRLRSGYLSGE DLRRSRRLHGADANRSYDTASPDGPADWLAPAHSKSLVEYSPRNIRYRHVGPFPPQRD GHLLREDLKGDGRWQGQAESVQSRRQSLREYVEDGRGRGREDHERYRYEEDGDYAARG LRAHRPTNSSAPADRYELQIGANKNVRFREDMDSEGRIPERAVETERRLKAPYPFT EPUS_06846 MPWPGLSNFLTSRPRPQLEDPYVTYVRKRCLENNERYRCGIPNL PLLEREEWAQDSKQAILDWQEKTVQRHNQDDETDYRNWNDLSRARRDSAMRDRSGRRT TWGRSRNGGDEFLSSFSGSQEPTNLVGETDEAYLARTMRSHGRSGMNHASGRSFPDRD FEDGGRATGEGDDTRDSFPQESSTGAAGQRGRNEHLDGEIHSSAATGNTSCKESCRDD EAEAFLNSSGDAGATGRGSSNEWSDEAEAFLNAHS EPUS_08682 MPETVSSKLHSKPSHKKAIIVIPFYDISPDDKFFNCVRYIITKK GVNQRCGNTISGPDREKAINISKEIRGLASSKDGSLEGRLKNFADHSLCRGQHRKQPR SAKAVDIWTQEIHDEVSTRTRLEQETAIVEEIEHAVRHVEHVEQEEHEEHEEHISIDL EDDNASTSASAGLDSTQAKRPTDDSVTSEAIKGGNGTLYGSSRIPANIKEGPKEDNHE ESIKMDSPLPSQSKNVFDAALDPEPQSSHRPVTRSQTASLNTVYLPYWLELSAVTPSA RLSSVLDLLGKPLPPNPKNDGWIYIYTRPFEPHVVKIGLTTNPIPKRVKDWSTQCGYL AKLENYPIKTQHAWLVEKLVQEELRHVRRVQEGCKCKQLHVEWFEISVAEAKKVVERW SVWMSEKAEYELTPYVTTRSRTARSRTARSKTTLLKTPHDGQCLILTEKWKKLLKRYW EAHMQNTLGIDAWDTWCRMEEPGLSTITTTTTITITATTTTSRLMCAPSCPERLAPAP APAPAPAPAPATPAPAPAAPAPIPTLTTPSLGPELVHTTLQFKPTPKIGISIDIEETQ LSLSSTPLPSRSSSPCPSPRPATPTTDHKTTLPETPPNKGTATARPTLNSTLGTPDSG YSSA EPUS_08683 MDSSSTVEEACWEEAVDIFNEKLTNDKEKIIPRGSWKNATQPCD GLEELIRANREAYRKTFDTRRPRFKRLGEALQTVHKFAPVFDVMVQQQSFIAVLVWGP MRFVIQLLAAESRAGPEIWQGLERIASSVPRLLHGLKLYAKFPGVVKATAAIFAKAIC FLVNARAFLDKPPIRRAFNAVPNSKLDRCLNELEKEEEILAQEIRAANGQAQAELQRD HNALVTSVSLEFAKQGAFRAGWFTLREARHSLIHENVNVVAKALPQMCLDASAVDDAQ RRARGCDSISAWLQENSTKAEIAAEREPGTCEWVHRSAEYNRWVQTQNQGVLWIQGKA GQSKDHFGISFGELNAAGCGKSVLATYLAACASTDVVLTHFFYCSRRRTLAATAYFAV SLLSQLLSKYEIQRSDRFPFFIEKASPLVSHFNSGLECPADMLLAVLDDALTLVSSFT LIVDALDECTDPEDCDRILGYLGDLGSRSNTQVILLTRAGKFRQNVFTNAILISMEGS VVESDIRLFVEGKIERNGVSNPGLLKVKPHIASKISSCSQGMFLLARLVMDDIKTAGT VSEIRVRLDKAPTALDDYYQRNMEETARKLHERDKITRHSIFLLLIGGPLTPEDISTT LALNTKTHCVDEDELFSNPTREVLRLCEPLVTIVKGQVQFVHTSAKDFLLKFVVAQED SDAFLARKCLSKLSQSQYRLRSYPARLLRMNLLAGVALEVGLEPTTKESIPYRYACLH WQDHVTALRNPSDELLAMLSRFLLGNEFVTWSETLFQIKSRSTIGSQIQVQSDVREWF GRLPDETKEKIPINSFFVRAHESLSNEFMREGEDIILPYLPLIRLGQYFNVGGKTNAD FQKAYQYKMTVAEGFEAALGTRSPLTLRAKTEFFKEFFFQKRFDEAERGFEEVARIQQ EVVSEDVPDIFITLQLLGLAQICVTKFQQAISTLTEAADGFRRLSGDKYFLTLQTELF RGQALERGRSLREAYDVYDNTLKLWIPIGGPAHPFSLMLKTAFGSVCRKLGCFAEAEE ALLGSLTARKRLFTTENVTYVDSVLQLAALYYENERGKDGLEYLGLVQDSAGLKDEFE RECQEKHIRALINLEAGFYTKPKTVLQRLLDEASGQGRNKNNRELLWVRITLADVLRQ RGKYDEALMVFSDLVSPRLAELPVQAGSEDAVGYKFPSSLVDEPEPPAQLTIAERALR LLRDAKQDDAELLLQENGLKWKRQKDFWVLQGGPITDTASMSGLKAFGFKIIDHPSAP DDGTTHSSHEQEDHQDSDHSHLDVCYKGWVARIDHKM EPUS_08684 MSGSTSLSVSRLDMGGFNCGIKIVPGQPKSAWTCPCGLGYWDAV KSEFITGDRVRRREALNRADYEAHLRECSQCHAQAQQDGLTSVSSQEEGQETQSGART RPSTKTSDSRISHPIPARQRQYFLPMDRIRPEVIQGSIKTYLGADASVKLSTNRDGTP GYIIHSNNPPTVEIISELMRLSLPPETAAPVASGHGQRPPLIDRTEPRYDKRGLNPYT ALDPNTYPQVDRGSSQQPETRIHQQLERMSLQQSDPRSHHHQEPPSYQHQDPRSQQPP QDLGAYPHTQDSRTAYTDPRTGQTLYQPSRDPEVRPYPRDHETPSTEHWVDPTLHRTP QDPRTYPYSQEPRTTQPSVPRQDPHYNAETRPKMIQDNGGTEGDLHMIKGKVKTRPEG IDGDQSLSTFLALSGVGKLVAQPVASHVSAAQMFHPR EPUS_08685 MFPVEAEQERSHSKSDNLPNPQGGSVHNSVTTSEATPLLGHTPT ARDTIESLTQHPPISDPLLYSTFTFRGLAIGLVLGTIICLINIYFGLKTGRVTGFPLA TAYIANNIACQFGPPLGVPENVFVVAVATAMAAMPMTAALVGVIPALEYLVGPEDGGP IQIPWFRLLTWSAGVCVFGPILAMACSSYFLMFKDLPFPPGTATAILIKRFHAKATSR NTFTGSRFEERGDPGTDSSGMVTEPSGSSPLVHQRETASWAFNVSIVVSGVWTLGGHL IPLITSRFGLTALESWPWNVQFSVGSVGQGVITGPKVAFYMLFGAIVRMILCSWISTK MNWQPDKNDDWDGFRGWIVWPSLAALLAHCLVNFVWACTKRSILSVFRGTATSPCLGD TALPQHSNAGSPNITSSASSVEDRPAVTERAIETRNSVYSGISSKQIGLCLALATLLC IASAKLSLAESATWIQLLLVVLLALPLSIVAIQAMGQTGMNPVSALGKVAQIAFGLIV ARTSSNAIIVNLIAGAIAESGACQAADLMCDLKAGSLLKASPDDLLKGQLVGSLVGAF VASSSYALFTWSYLPNPGTVAAPVDMPSAHMWFEAAKLCAGKGLPEEAVGVSIVMALV FTIIAAVKIGFAEAWWGCLIPDGVSFALGMFNVWSLTAGWVIGGLVHWCWSRYYRGEE DVIILIASGLMLGEGGVELASILLKLAWNLVFK EPUS_08686 MAGTRSGRSANRKSTPLSPPRQHTTEPARDARRSTRRRAEDVCT STERALRGASVGSEASVVSRTTRKQRAAAGPALKLSPLHEALDVNGSDSTSQIRRAAH QEETADEESDGTSAFTTKSHHEDMIFGLKALFVDSEQIVDFLNKKDFSDMLVVRSFQQ LNSRGSEKLRRLLSRLRDSCEPFGKWELNDPVPFNLQDIVRKILGARYWQQVGIGQWR PDAIFQLANLANFAAAILGPSATSGQESGVLNTMLEQFPYPFTYGFEEPIEGPLRKGY SSLLEETFVVGLEIRTQLAIALFVERESKPNFDPDGVLDEVFFTEDDEKSKRFRSFNA EGLCIQERILPKRFERPVSQRIQALREHFPDNIEGMVNIRSLKISFPWENFLSQTMSW VQARADELIQQIENQGGVNKIQQSLQEKANSARNLRLHDVAEDWQRALPGANKAVASL QNVPPVSRPALPLDNSKPVKPIRKSLREEAHRFKELKALVAQESAAAEGVATATALVE EELEGSPSLGANFEDAPVSTARELLLDQNIDLSLTKEIFTSDEMKRRESNKENIDIRS RPKTFVDRQENAQKVPWGDESQETFSSTPTNRATSRKRPRTQTQDADDKEDDFENRWP ANPNKRRKEIRDKTDQRKTSGRAPTKRNRSKISESVLSVVGTEDDTEYEADRDQAATD QQLADIGEGTSPLRSSHRPPPSHQPPRRTAPRSSNTHTDSPPASSAPPPSTAQQLEFM RARARDPTVAMKPRKLQTRTPYTPAEEARLIELIEEYGTSYTLIKQLDEQHEDGPLLL EKSQVQLKDKAQELKFQFLKTGAPLPANFDTIPLRNRLKEQLEALGVVGG EPUS_08687 MSKAEGEINQAKKSFIFMVDFLMGGVAAAVSKTAAAPIERVKLL IQNQDEMLKAGRLDRKYSGIVDCFSRTARSEGMLSLWRGNTANVIRYFPTQALNFAFR DTYKSMFAFKKERDGYAKWMAGNLASGGMAGATSLLFVYSLDYARTRLANDAKSSKGG ARQFNGLIDVYKKTLATDGIAGLYRGFGPSVLGIVVYRGLYFGMYDSIKPVLLVGPLE GSFIASFLLGWAVTTGAGIASYPLDTIRRRMMMTSGEAVKYKGSLDAARQIMAKEGMR SFFKGAGANILRGVAGAGVLSIYDQVQLLLFGKAFKGGSG EPUS_08688 MAGVTVHTTSPIHPNGATTPKGASPSTAAARYSPPHSTRRSSQS PTPVTSNPAVLPSPTFASAQPGSTAGPAPTGQPPSPLTSLPIPTATLPALSTRTTSSP PSPQPGAVPSPSYSEARRASIPPPPKAGEVPKPAAYYAPQYDGNTTSTSFQNLSLNTS VPPLPTHQTQGTVLTPTRAQPPPAVTAHATTTSITQDLSHPPGYVQDSRASFSERPPE LISPLNLNQSGHDRRKSRSGIGILDGGGGHEDIGDEDKGLWDTAISWAKTVGEKVIEG EEEMWKRINRKT EPUS_08689 MLLNKGADIKTVDKDWRTSLHDAAQSSSQYVSSIISRLVSKDAN VNAIDKDGRTPLHDATRSGSKYALTIIKVLVEEGADVDAVDKDGRTPLHYIAQSGFED VRSVITIFMNKGADIKRVDKDGQTPLHDITQSGSKDALCVIEMLINQGATIKTVEKDG RITLHDAAQSDSEEIVLRSLSPTGFFKVDGEISWNGLDTYDCEGIIYRWYGSLMIIRV DSQAHCFIARSVSEILDQFYPGFGLEILNWIVELCRSSRVQGGYPSQAEVYGLSEQYV STVVAGSILAEGTDFTSVQHMKMGAVAFARIRGNLRRTKLSWTISSNSRNFALNVKAA LIWTLSALQSRPLGLKGLFPWKAASSDFELPNIRPFKPTRTESYCWTEMFNYACIAHS PSERYLHHPGIEGLKIEFNLLLELAAVDREILTKDGVLLFGFDTALIPLEPPESRLWH FLLTKGRQITPGRVKRNFVGSRFRGEVGPEYRNGYVHVGWCVTPVVTIGTVESDTTPA DHISMSSGVLTVKKLEESAERASSNDVSFFARLGLLGSSAGVSGGRKWEKKFKQATVI TRRTRKGNFERVLDSATATPCILWDETARRAWLVSAVSVLLFASLRYIRWKRISFKNG QANGQVGAATIRYATQSSNTTSSALSALRENQILLIDKADNVRVNDEIRFGDIVTQTW FEMADADDVCFNDTTGNRHEIKEHLVGYNLNEAICGMRKQLRSLLIEGSIKSWQALAD AKDSQVIFCKNAGELFRCHSSAGSDLCYLQECPKGALSCLFQDLRRFYGERWDCVSDS SNRLTEMRGLPIGNDHEWIPHGYKPNFCGQNRLCTKSLQSITPNEVNEKKIKKKTSQS IVRDGICDISLMFPAQDDPTIITFGFLSSPMVKSKTQRRAFLPMLGAVAG EPUS_08690 MVLNLEKEDLKEGDLKKEEVKEEEVKKEKVKKEEVKKEKVKKEK EDLKEGDLKKEEVKKEKVKKEKEDLKEGDLKKEEVKKEKVKKEKEDLKEGDLKKEEVK KEKVKKEKEDLKEGDLKKEEIKEEEVKKEKVKKEKEDLKEGDLKKEEIKEEEVKKEKV KKEKEDLKEGDLKKEEIKEEEVKKEKVKKEKEDLKKEEVKEEEDFKEEEDLSNILLLI DILFLDLLLLFDLFLLDLFLLDLLLLEIPLLEILLLY EPUS_07410 MQIVSLPRLSQTTLSELQNGMNAGNFTAEHLVQTYLKRIEEVND RVHAVIEVNSHAVETARSLDEERQNNCSRGLRRGGAIILGTTNLSQWGNARSADANNS YKSLSSNGWSGVGGQTYGVYHVKQDPSGSSSGSAVATSLGLALAALGNETDGSIICPA GRSAVVGIKPTPGLVSRDLVILPKRTGSVGVLAQTVEDAAALLSVMAEACPNDRASEQ IPFDEIPDYRSFCRRSALLRARIGIPRNAFRNNDGNKTDTVELAALEETIPIMTHAGA IIVDPAEYPDHATFFEESPHLKVIYNNADWKAQFEDYATKLVKNPQDIHTMADLVEFT KACPAEEYPSRNIDGLLAVRDALPEDDPSVEAAFKQVHKWALEGCIEGAILKYQLDAL IVPSNVSATVAAAACCPVINVPWGYYPEGTPSVWNGRHNLLLRHENTPYATCYDYVKG GF EPUS_07411 MNSNRTHSRLRVPGPRCVRCIRAKKGPCIGGKPQCDSCRVKGVP CRWIDQPQEEGNDTDNEVTNIKQRRKRSRPSQTIPSTTTSSSQTQADEDETAFESPTK RQKPTEAPLPNPKRTKLVHTEVIRYKAERHTILVDDDPAHAPPHIDSYPADVPDRYRY PALVTADYAQATPLVRIEEPVTFHFPRICVETEEVAIGPLGGEEEEWRRLAPGVHGGW MAWPGGRWVWEGEGEWRWVE EPUS_07412 MAPNLEPFFKQVDTLSESFIERLRKAVAIPSISAEDDRRKDVVR MGQFLASELESLGAEVQQRPLGKQPGKEHLELPPVVIARYGSDENKRTILVYGHYDVQ PAGIEDGWATDPFKLTVDEKGRMYGRGSTDDKGPVLGWLNAIEAHQKAGVDFPVNLLC CFEGMEEYGSEGLDDFINHEAKRFFKDADAVCISDNYWLGTEKPCLTYGLRGCNYYSV AVSGPGQDLHSGVFGGTAQEPMTDLVRLLSTLVNTDGQILIPGINDLVAPVTEEEKKL YAPISFTMANLHESLGSETTIYPDKERTLMARWRYPSLSIHGIEGAFSAPGAKTVIPA KVTGKFSIRTVPDMDSATVTDLVHRHLTSEFAKLASKNTLDVSLQHDGKWWVASPRHW NFSAAAKAVKEVFGVEPDMTREGGSIPVTITFEQATGKNVLLLPMGSSTDAAHSINEK LDRRNYIEGTKLLGAYLHYVAEEPMSEG EPUS_07413 MKTFSAPCVVRSVIWPQSHSCNNLLALISRRHQSSYRRTRSRLN IKPDPSFLPSKTEPHDHIIYNPPPSPPNVYHTPTIFLPKTDKRRQLYEEAAGKNSRLA ATSSTPPPELPPPVRPTYQKRYHLTQEDMEEMRRLRRKDPTEWSANKLAKKFDTSSIF VAFVTEGIAKEKREQQKQVTEIVKSRWGVKRRTAREDRALRKERWGRDA EPUS_07414 MSMGSTKAATQPNDTESRGSMAPLLPGSFPQGTESSQSSSYEPE TTQLPESATDPTADSGKPSKRPFWKLGKKTDEDKAKEKGKTSSLSKSTATPHIAPVGV LRSASPLRSPEPSRGSVSSQRGQPYGSPGSPAHAVYSSSPRLHSPASSQIFERNVQED VVPPQASPQIPSHIITENHIPPALDASSAAITNERLDPDSVEIVTHAMHLPAVIPVGP SLEASLTSFGEDHGLHRPETAGDDTASNYGTLDNADVRRLSFISFADVVHAEHAEHAE PGAVDHHTGRNSVHLGNPSGIVPTLAAPPRSPSPVHSPLSSPGHGTSPPSSVSPSFKG LDTSPAKGHRGSGSSMPSSAQSPLLSGAGELNIETMRQALRKTGSGDLSGIRSQPLSA VGNDDGTFADRPFK EPUS_07415 MIIRLHSLALLATLLALPFGTFCAADDALISPDAPVSSIIATAK SFLAKGSHSDALTYFDIAITKDPSNYLAVFQRGVTYLSIGRNAQASADFDKVLEIKPG FEGALLQRARIKAKNGDWAAAKDDYLKAGKGASQETSDLEEAQGAALLAAEAENRHDW EACVTQASVAIMTASTALSLRQLRARCRFEKGEVQEGVNDLNHVLQLSPGSLEPYPRI SSMLFYSLGDMSKGIEKVSECLRSDSDYEPCKKLRRREKQLSKTLGKVRSLMDSRQFN SASKLLAGTGEEAGALAGIKEDVAQFTHSSFIHPKAPNGLYATYVERACECFREMNSP RRAAPFCAEALTLNPTSLHGLLYQAQKQLGAEDFDAAMAALNTAKEHYPDSQVVQQKL QEAQALLKRSKQKDYYKVLGVDREADDRTIKRAYRNLVKQHHPDKAAGRGLTKEQAEK KMAQINEAYEVLSDPELKAKFDQGEDPNDPMAQQGGHPFQGSPFGGGQQFFFQQGGAF SGSGQRQFKFQQGPGGGGFPFGFPG EPUS_07416 MAPIKRPSATLPDDSSDDESVASRTGQASSKKRRRTDDGRPHVR EDVYSSEEERDRSRYYDGTPDSDEEAGYEQNATQAVRDKYREDAQNAPMDCGILESVH VINFMCHENYMFDLGPLINFICGKNGSGKSAILTAITLCLGGKASSTNRGQSLKSFIK EGKENASITVRIRNEGDGAYLPEVYGNTIMVERYFSRSGTSGFKLKSQQNKVVSVRKA ELDEICDHFALQIDNPMNVLSQDSARQFIGSSSPADKYRFFVKGVQLEQLDQDYRIVE ENLDNSESKLGQKQDDVKILKTKMEKAKQRLAMSDRHEGIRDRQRNFRRQLAWSQVEE LERMAENYEVAIQDANRKIAGAEEVAAKLDQDYQAADQESTEATEAYHRAEEEVRKIE DEKKEAKAAQDEIMKGVRDAQADQRQLRESLKNNEAVIKARRREIEEEKERLAELDGG GAAVRLAQLEEAQANAAAAHRAYEEHQALRDGLEARIPEAQQECRERDQPLRAKRDDI ERRQRDIENLSRDRNQQDGAFHVKMPALIRAIQNERSFAQPPVGPVGKYVRLLKPEWS SIIEKSFGQTLSSFIVTSKNDMNILNRVMNQVKCTCPIIIGNNYALDTTPNEPDQQFM TVLRILGIDHDLVRKQLVIQHGIEQTILIADMEEASEVLYGRDRPRNVKRCYTFDPNT KRRGLHLTYTRSGEASQDPIAEWNGAPRMRTDIEAQVRLREEALQQSRQQLNQLENAL RSARDVLEKAKQAVTRHKRREAELKVSYQQADDEVERLQDAINQDSVEGGKLDVLKQA LTEAEEAKALDEGSFQDAVVALDEKKRQLSAAKAELTRLDQEIAVLQTESKKKEAEAQ RLSKRRATLLGDKNAAIVRIDDGKQDRASIQRESEQHQIKLADFIENATKVSPRINVD EGETYQSLKLKYEKLQRDLQRYDNQLRSTREQIALEAAQTEEAYRNGLQQMEDLERLG QALKNALITRRDRWAKFRSFISARAKAQFTYLLSERSFRGKLYADHKHKLLDIQVEPD ITKRDGSGRGAKTLSGGEKSFSQICLLLSIWEAMGSPIRCLDEFDVYMDSVNRKMSID LLISAARQSKGRQFILITPGSKSEIKLDSDIHAKELAPPERGQATISFDRV EPUS_07417 MGDNLTEQLRKATIKDDSTDGDWKSKLQAPVKDSRVQTEDVTAT KGLDFEDFYIKRELMMGIFEAGFEKPSPIQEETIPVALTGRDILARAKNGTGKTAAFV IPTLERINPKNSKTQALILVPTRELALQTSQVCRTLGKHLGINVMVTTGGTGLKDDII RLGDTVHVIVGTPGRVLDLASKGVADLSECPIFVMDEADKLLSPEFTVVIEQILAFHP KDRQVMLFSATFPMIVKDFKDKNMQNPYEINLMDELTLRGITQYYAFVEEKQKVHCLN TLFQKLQINQSIIFCNSTNRVELLAKKITELGFSCFYSHAKMAQHNRNKVFHDFRNGV SRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLINWDD RFNLYKIEKELGTEIQPIPRSIDKSLYVYDAPENIPRPPSNAPKPNTSDQDSPSTNGQ VNRRSQGYQANGQQYGSRGGYGQQQRGGYRGRGQGQRRAPPPDSAWPNGQASATQA EPUS_07418 MACERGSFDWPEELTADGFHEILLHKKGAMCGEPDLLFQVCEII YWMMQVRDSRLAEKDDRANLRSWWKKDFLPRHVSLPAVARASMAAKKLRICPNRFWNL ALTAERAQDDLPALIEIAEMTKHPEQLAHAHHDECSAEFCQFTDSNSTLKEQLHKCGD RSCHRVVFPLKELDRAVNEDLPTAWAIKKTQLYSDCRRGAVIATVGSITIVMILITMI ADRPEQRETYETTTSLLYLVMTMLYHWHYGLAIGLGIFLAIRVMDSMQPRRSKVVMAS SIPRNYIAISHVWSDGTGVGLRVSGEVNSCLFSYFAKIALQLQCNGFWWDTVCIPTER ETRRIAMSNMHKNYEKAKYTVIHDRYLLGVDWTDDGTPALAVVLSPWFTRGWTALELS MSKRVKVIFRDPEDHSKQVLKDLQDDVFAEPTHYSRLGHSIASGLIQRVQGEQPSLSD LLTVLRTRTTSWQRDRMAIAGLLSRVENYDYSDSQAETTRKIIKSFEEVPKKFLAHGH STLSDTGGFSWCPSSLFHSEAAMAEQRNHSNVVRVDKDGAASALWPYRLLSAQDPKRL RPHSFNMAVEMRVKQALQNWDRCILLHQDERSFEPGILVMPVGIGIPGKEHFVSPFCW QVVECEYIGCVFDDRMSRGKFGKIPIRLGAKVTTGPSSMTTAKDVIMDFYRMMNWEWE D EPUS_07419 MDTEEPPGKPLRQSNGPYPSFEERCTLSIPLPQFDSDLDLITPL DSETLNDETRRCWNVHLDVSGLRKQVSTAGPPYWDWGDFEFGSEQKVNDEGKVPITLQ GYSEANETFKCSRPAPYLFCRLLFMD EPUS_07420 MPFPLLALPVMPAWAHQLAGILPLTALIEFIDVAMKLHTFELYG SVPLWNWPITPAGARLLLSTENTSDACCLDRSNRCEMSLHCIDGRHGDWYPSSAPTTT RLCVSTCKVDVIVRNKSQNISDERGRKQRLEFFSIALSQPVARSATVSQPYVAAKRAL WLACSLRYLLLSTLGWLCWVGAAALSLTAGLYVAATYLTLMPVTGYIVSFTHGGKPRR LLDVRPCNFTRVVIATSSLNAPEWWAFYGGSSYLNSLLNKPLYRAHDRTVSPLLRVAT RGVVISQWAVAVVSCAQQDGNALVIAFWLFICAITSAYIYPPEKCVVDWLRHNNLVIR RIQTTFSSRRAMLSALVYLNPDTRERRLGWINPILADGQDRREWESALMGLIETGSCV DPRSKTQYWWKFIEEGLDIGRRIEILLHQNMGNNDGGTNITTSGSTPC EPUS_07421 MASQLYKPLDTERRETRVISLLPGRWSEIVACELSVVSLDDKPE YEALSYVWGDPENTLPMLLNAHTVQVTVNLRNALRRLRRRNARIIWVDALCIHQGDLH ERSQQVSIMTDIYKGAIAVQIYLGESRVLDTISEEEQATWDLVDQPPRTIWGRFNEDA DAADYAKIIAFHNIQKRADGPANFGSLTEWLRQADLGAFAIMRYLADGKCFTDCLRRD AGSPIWVAALESLKHLLDSPWWRRVWTFQEAMAPQLATVIYGENEAPLDVVEDSGSVI WPLHIEGPQRCCKEFFFSLPVEQRDILMSFVTAMTDQERCHHSHYMYECPQTERLQEL LQYTRGRVASDARDKVFALLGLVRHLPDPVTILPDYSMTTAQVYTRTAIELIRHANNL DILMTYEIKDIVSPIPSWVPDWSSSGNDERADVWIHSRALEFNAGPCGAGQVADLRDD RVLVINGLQVDAVSNITAPLAKESDVISTRLHEWLSALYGYYKTRGWHLDEFYPGAAS LTGALTGRNSLSKGSHTVQDALWRTLIGDLMLERYSIHRRATPNDELRFSLWFKNEYS GLYDYENFYLINDVDAPTESVEEPHPEIESVLSTLRDAGHRFRVVMPRPPEPRVIKFS DYIAPIGRAFWEANDGRSFFVTSRGYMGFGPRDMREGDLLFVLVGGKVPFVLRQVSGG VEYEGFTEEEKARVYSLVGYCYVHGIMDGEAIRGHEDEVGQIFLL EPUS_07422 MHRFESGNLHASQLNSPHNKHATRGARLSSAKAKIQIYFLSELF KAILQFLAAKDLTDPLLLNGATCEIPKSDAPVLFDGAGQINLLFKMTCWSYQLLRQEA RATIEAFSSKAVDIFESIFVKRLDQDCIQGAFEDEPQLGGILQSNTYPDEVSIDSELS SPIKLVVMGQDDGCMLVQKEVKSSLGDRWGEAWDS EPUS_07423 MSQQREPSMENPKAKRRKLSHSSEDSLGATFEDGDSSGSSSEPS LSVGQTNGHSQSEGTRSTSSKLSRNGHVKSMRHSSTSKLSSQRLMAANTAGSSSMMTL QINDLLGEIRPDYDKLMSGLEETVRRLQMIILDLPDVAPMTAPEAEKLLRKEAGVCVP FPHPRPGRDTKYTLEYKRPARVDVVGSLPLQLGIKSKDICTADLAITLPDSIVQEKDF LNHRYFHKRAYYIAQVAAGIRGHAKKEFNFSFEHQDGITWKPSLVIEPNDSSPPGFTK SKLRVRIICAISADVFPGNKTLPTKCCLRTSDSTSPTPYYNACLRSDAITELYHRLLE RTSTSCEAFRDVCRLGRLWLRQRGFGGCVTRGGFGPFEWSIVCALLLQSGGSNGRPAL SPRYSCLQLFKAILQFLAAKDLTDPLLLNGATCEIPKSDAPVLFDGAGQINLLFKMTC WSYQLLRQEARATIEAFSSKAVDIFESIFVKRLDQDLLRFDQCLFLEARAFLQVQHEH ENAQEGLQMLHSVLSRALSNRARLVHLRYDDTLKWSIDKSLKQGTKVDKRIEIGLLLD PDHVDRLVDHGPSAEDKAAAADFREFWGDKAELRRFKDGSITESLVWSEESPVTPQIT SYILQRHFEIPSSAINFLGDQVEHVVLAPNRLLSRKSAFKVVNDAFQSLTTQLRQLEG LPLSIRSILPASSQLRYASDFTPLASTPLHPIDIIIEFEGSARWPDSLPAIQRTKIAF LIKLGDLLSASDSMITTCVGLENTTGPASSYSNTSFLDIYIPSPQAHLLQPIPFRLRI HHDRTLTLLQKALSSSTKPPNRTALTSTLQSTTRHYLSTPTHTTPVSRLCTLHPSLPR TIHLLKLWTASHHLTHSLPEEILEIFAARPYVHPYPYVSAPASPQTAFLRILSFVANW DWTAEPLIIDLNASSNPYHNNPSETEGGGGLTREAIAKAQTRFTAWPPPAALPTPPSL DPTGVVWTQGARPPRVVAARFTALARASIELVRSRHGGLDMQADDWRGLFVSPLTDFD FVIHLKKNVVGSGHAETAGGGGGGGGGGAAPTKFKNLQIDRYSSRYDADADDADDEVM RKKTLCLDPIALFLQDLQRCLGDRILFFHGAQGSRLVPALWNPKVLPLPATDDGKRMS QQWRVGMAYSTIPVLNAAASGGGGGMGQGPEAGEVEVEGLINQRGILAEIALLGVGLV ERIEVLKDLVR EPUS_07424 MRTGYPRFFIHLTIQELEQEILSRYGRDGEKTMLFPSRATATRC QQFLLDKEPSLTSNHVRLIALIPSVRGNVLVQETQTVASDLFAVAFPSRCSTLAKQMW QHSGDGVSSRRGEFCLKALREGILCREGDQSLPNQLQARTCKGPRRYQKKEPLTENER GNGNGDFPVQHPSPTIPGHEGKEYSQFVEERFGRNLNADLAAKAKLAIRRRIAGCLTD DAELEKALEVSEDVSGHRVNGLSEGDVYLYPTGMSSIFNTHRILMAHRAEPRKSICFG FPYIDTLKILEKWGPGCLFYGNGSDADLDDLERRLTQGEKYLALFTEFPGNPLLNTPD LKRIRSLADRYDFAVVVDETIGNFINVNTLARADVVVSSLTKVFSGESNVMGGSAVYN PQGRMYQSLQKTLDVEYEDNYWAEDAVFMERNSRDFISRIQRVNVNAEAIADVLSSSP LVKEVYYPKHRPSRIYYDQCRNADGGYGGLLSVTFRHVEEAVVFFDALEVQKGPSLGT NFTLSCPFVILAHYNELDWAAQFGVDADLVRISIGLENTAELRFIFESALNAVGQLGL NKKATI EPUS_07425 MAWRCTGRTNAELISNLAASGLIKNERVLQAMSGRAKVDRAHYC PDSSSAYEDSPQSIGHGATISAPHMHASACESLLAFLHPTARVLDIGSGSGYLTHVLA NLVAGTSDAERTQASGGKVIGIDHIQPLVTLATNNMCKSTDGRALLEKGRVEFICGDG RKGYPEGGPYDAIHVGAAAQSLHAELLEQLKSPGRMFIPVEEQGGFGAQWIWVVDKDP DGKIRKRKSMGVRYVPLTDAPR EPUS_07426 MNETPRLRSAYPPTPPSDPRPQILRDGLRKSFGSPNSPAFTNAR RPSPQVKQPIGQTNAPAKDATLIPLNVVDAPSQRAYVAGLYLALLAWKLSNYWQIFDE LDSTWCFLKWNVIDMLFLFGLPALHIPWLEWSFFTTLTVYLVHLIANAFLMFRIPIPV IAWAGSLLKLVYDRELSISDHRVDPAGILHNSSIILGKQIIQILPEGSVILNPEREAF CLGAQARSIELPIQINQTTPAMIELVRYDLDTYEKEIITISAKHVRKMKRQAEKLYPK NNKSSPRVLKYMVKESGLYQLQRVIDESKLEVRKRSFNTLVAPCPEAQIRTDLTNKCK GDLSDVALNVTGVPPFKVTYSKRINKQQSTSSVQTIQPPGLDSPLNVEEVSSTLVDPR EINLDWARPISIMVPINESLTLDGVWSYTVEQVEDGSGNVVRFASEKDGPIASPTTIR QQRIVVHNRPRIFFDGCNAQQALQVAREDSIRMPLKMQPSGPLASGDWPLHLTYTFAP ESVDTEVSPIDTQSVDLASEMIPPRISKAGKYSLDHISSQFCAGEISEPSSCIVTNPP RPDMTLTKEDLSDNCAGNTVGMKIDFDFTGTPPFNVRYSISHHGSTDLRTANFDGLRG QLEFKPTWAGSYEYEFLEIQDKFYGPVSLKRKGLILKQDLKPLASAQFMHKLDNVHAC LDQAVTVDVRLTGEAPWKLDYELVHGGKRKKVSVQSDVSTYSIITPPFTSGGQQSLIL TNVQDKAGCPQSIREALTINVRHERPRAAFGEINGGQEILALEGKPIRLPLRLKGNGP WTVEIINQDRPSSPSAVMLKQANDAISIEHSGTYEIVSVHDTCPGVVDPTANTFRVAW IERPTLFISESAALRLDETSYEKSDVCEGDEDALPISLKGNPPFTLKYEQKSQPLKGA ASVSNKAPITAAIGSASIQMDTSKAGDYTYTFKEISDDRYAHSKHHFTPLTVRQKVHP LPSAKFTNPGKTYSYCKDSSPDPSTPTDTETILISLEGTPPFSLEISLTHHGSVRPEI VRLKDISTRSLSWTLPRRTLDLGTHSVSLRSVKDSLGCARTIDHDPSSIRIRVSNPPT IIPLESQTDYCVGEHVSFSLSGQPPFHIFYHFQGRERKATSSSTTFRRIADGPGEFTI TGVSDSASGKCRAEKDIRKVIHAMPSVKISRGREERVEIHEGGEVEIVFDFTGVPPFE FTYTRSENTHPKGGKKKSHPVVLETRHDTSDEFTKTVRASDEGTYEVVAIKDRYCAFS RPGVAMGKGYGQKLLKQ EPUS_07427 MEHRDKRPRLDETEDVDYSPAGSTPVPAASVLPKSDADITTTTA HDAASETNRDTSMQASPDATGTPDKATWQGWAEIENDPIVFNVMLREWGVRGIQVNEV VPLDAVFDSSPSSTFGLIFLSRYSTNNKEEQDIAIPQGLWFANQTSTFSCATVALMNI LSNIENVNLGPEMSQFFMATSDMSSKDKGLALHAFEHVRKVHNSFATLIDMKSVDRRL KADAKVFAQKQKQAAKKPAVVTTTSSSKAKPSKKGKKAKTKRCVLSEESDAAVDEADE SGFHFTAYVPAHNLLWRMDGLQRQPESLGTLPSDDSNWLAMAVAELSAQWQSAAENNL EFSLLSLVAAREDEAGRMEENVQADRLREDWGPALAELVRVVADSG EPUS_07428 MSALQGIISSQRARIPKIESIVELGYDAKAALLQSHDRASESDD HLARRYWSHVALGCLHRSRAVQEWAALKDGEQKDASFEEPVGALDLFILDDAPEGDID DQIFRKLDEYVDAVRHAHPDIEDQSPRQKAITTAEYLISNNLVAIPEDREYHNIEHNF LGRALFSQGKNSLPIISVIIYCYVVRQLGLSAAPCGFPLHVHAVVYPPPGLDLDGNDP PSGTELPALYMDPFRSSNPVPVSSLHEQLNFLARHLTSTSTDHNAFLSASTPREITIR CARNIVNSLQQSTEPSSGRPIDLVSARYAALWALILLNSSITPLRPRQHLLMLMHLFV EKFPYDASLVEKYILPLIENPSVSDHRRTCLSVRKADMEAPERVKPRLCNPDTWLVKF RVGQVFRHRRYGYVAVVTGWDGTCDADEEWIRRMGVDRLDGGRGQAFYHAFVQEDKSM RYVAGENIELLPAHEVVPEAFPLEIGKWFKRWDDMDKVFVSNIRDEYPDD EPUS_07429 MGDKRCANHEYAEDVDAMILEYLIYNVTKACIDDFAARNVGENA LQASPNVLTQLHVLNDFLHIYRAKYKSKELDEEVRLWMEILELVALVVYRLVKPFPLS TTVTSVAAQRQLAERRQYWLSARQKTSQVTEKESTIYKTLNNFCTQPTTTIENQLPHP TLGSIIPLFFNISARIAIFIDQSMSEQWIELAAQFMLQAALESCLMLDGTVEGGNPLA LSFAWGWIPSTYWKDFDSSDKSGIEAELMINDMFADDRGNQSKGDPAWQKARLKYMSL LGSLQSGERLDNRSLVTQLQKITNEYPIREFERKVMVFAQQMWEFCRKPLLVQIEEGR VKGMTECEFEDFKKRIFVQL EPUS_07430 MGQAHSSNGDTPQNQGQNKVDYYELLGVERQASDDEIKKAYRKK ALELHPDRNYGNVEHATKLFAEVQSAYEVLSDAQERAWYDSHRDVFLGASQSGQETHD EFFYNIRMTTADDVLKFTVKYHRRTDYSNSSAGFYGGLREFFGQLAKEEEIACKWENM EPVEYPDFGQKEDDYNDVVRPFYAAWNAFATRKSYSWKDAYRPSDAPDRRVRRLVEKE NRGLREQAIREFNDAVRALVAFVRKRDSRYQENQKSEEQRQKSLREAAAAQSARSRAA RQAKLDELDQVNLPQWAEPTRVDERVGGFSSGEDVEQLEIECVVCNKTFKSEAQYAAH ERSKKHIKLLKQLQQDMRNQDQQFTEDGNQSAAAKPIHQTVSSSAEEEAGCLNKVPSL PDQHIGSDLGREKLLDEDKTPVGNLLASNGSLSSGSDGNDYAPRSEVESRLVDLEAEI LAGGLDTTAIKDTTSASDSDAPSKPKLGKAKQKRAKRAAQKDAGATKSTTSSFSCAVC QADFASKTKLFNHIKERGHAAPASVTGSKGGKGSKGKKA EPUS_07431 MKFAHDFDASLRKEEYPQEWLDSAISYRQLKKCIKKVQKELREL GLDPHILEQLWQNVDNNDEIVTIRASPEEPRSRPYHYYISSGGANFKPRLTVAIDPRD GSPLDAWLSPETRNFLKGLPRSPRTEKQLFISAAAGIDGGTSQPTLLSVSEHGNTVEL EIGDSTEAFETVEIPLTSDSEFFQILKRELDDLNRLQEMKQKQLTIQINKLGSDITNL TEIASKRSRAEVEAWREIFRLYVDSQIFFSTSKQDTGTRSCSAAQKQLEDFSNGIADK RKRLRLSHNGSAALNSFLYINASLLQFMKFQEINRTALTKIMKKFDKRTALHMQANLP RMLADEQFVAHDLAKAACFTIQEQLLPIVPQINDYLCPVCLTISFKPVRLRCNHVFCI RCLIVMQRAKQDHCPLCRGGVVMEACGENVDAKLMKFLKMKFPAEVKAKQKENQHAAG VDRYGENYDKCAVM EPUS_07432 MGSGAARKRASRQKSRQAAPTTSQVASWGSSTSKAPNLDSGSRT QLLQGWNSFSNQDQLFTLSQEARNTHRSAWKDSNRLRDTAIQFVSGGNLHQDNPNREE KEEEADAEHYENAPEQIKNVPMPLTNQPNEQTPFMNKEEVVGASIEVETVITEQAEQG GFPDANRNPSFVRTRSISASSTSSSEVILFAGRGNHQKKKRKSPPPSMPGCDGAQASS GSRLKDDSSSPKRLPANLPSRLKPDATEFVPTQLTESGPRYSLRTQDVVGSTSFPKDV SGSTNHSDQKRSKGRPRRDQRTFLESYDHEEEIIRDYIENIKENQSTEEDASSDSNEH PDTRESGLHDGKAQTGNSVPERKSQSKSLRDEWSSDDLRDFDELETSEDELTDPGGVF SRRERPSGRQYLVTPKGQSTDFAKWILQEKLTSTVARELIIAFEETHVGEPDESEKDI DDEDDEGDWDVSSSDDPENEALNDLIRDHDSEHNENERILEHTSRMTDADLARILNKQ AELGIETDEIVLFDGALEESQDFISFSAKAHTSNRTRSKQNRRSKGTFPSAEAFADVL DEDPYNGFDVMDFDRPSLKPKKRGRKSANGLPFELEDDELADQLAQSWANDRAKKAMK KVEREELRQAGLLGAKSRKGNRIDLQTKYKNSGMDMDQVKAEIRTFLLDDDRESLALA PMQSPQRAQVHLLAKALYLKSHSQGKGDTRFPILTKTDFSGRYDEDNISQIDALLAKR KFSTYWGKKDRTAPKSRGGGKARRSGGGGVAAGASYMDGDVVGASAPELGSENRGRAM LEKMGWSSGMGIGKVGNKGRVEVIQHVVKNTKAGLG EPUS_07433 MFKSDLMNSGIIRYFWDPELKYNDQSGIPIWCLGREYTSPPPKP VSTTDHGDFVEIASSAADSQPPLSTTASTVSTSPESTASVPPTSSSFDDASIVDGDIP SMNNESAEGGWPSAFLDDFESRIWMTYRSNFPPIPRSQDPSATSSMTFAVRLRNLADR EGFSSDTGWGCMIRSGQSLLANALIMLHQGRDWRRKRKQDADEAKTVSLFADDPRAPF SIHRFVQHGATACGKHPGQWFGPSATASCIRALSTEGASAGLRVYVTSDSSDVYEDTF RSVAADTSSQIQPTLILLGIRLGPDRITPVYYEALKSTLTYPQSIGIAGGRPSSSHYF VGCQGDSFFYLDPHETRPALPFHADPSGYTEEELASVHTRRLRSLRISEMDPSMLLGF LIRDEKDWADWKRRVAEVRGKTIVRVYDKAPPGDGQTKEREGAVDEVETFDDTEDEED TLTEVGV EPUS_07434 MPAKAAEKKPSTGGKAPAGKAPATEKKEAGKKTAAAPSGEKKKR GKTRKETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVATEASKLAAYNKKS TISSREIQTSVRLILPGELAKHAVSEGTKAVTKYSSSSK EPUS_07435 MSGGKSGGKSSSGKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHQNLLPKKTAKGKPGSQEL EPUS_07436 MSVNPALKTVRYSHPPRSERLTVCLTVDSSLYRRALKLSLDWAV QRNLWRGQAVYIRSLFDAKKDIKDPRQQRILFMETEKLLQKFKHPDPYRPPTAPGGSK YERNLPAPDLPPPSSEQVRSL EPUS_07437 MSPHSTQHVYLQHDFTNKCRDDGEGTPNGRVDIRRNLKAVQQTH VSKVGDYVERDEEIATIETDKARRHARIDVSVNSPEAGTIKEFLAKEEDTVTVGQDLV RLEAGESGGGEEKQATQEPKEPAPGDQKKSSDPQEEGKPSKDEQKPPPQEEKKAAPPP QKEDKAPPPPPKEDKTPAPPSPRSKEEKKSEQPRPQGSPFGAGSRGENRVKMNRMRLR IAERLKQSQNTAASLTTFNEVDMSNIMEFRKLYKDDVLKKTGVKLGFMSAFARASILA LKEIPAANASIEGPGGGDTIVYRDYVDISVAVATPKGLVTPVVRNAESLDMIGIEKTI AELGKKARDNKLTIEDMAGGTFTISNGGIFGSLMGTPIINLPQTGVLGLHAIKDRPVA INGEVEIRPMMFLALTYDHRLLDGREAVTFLVKVKEFIEDPRRMLIA EPUS_07438 MESRCRSSVVDIARPDDGVLRINDEAIRRMSVANPDIRNINQEA RNATENEQNMTPRQDLKLYPKAVLFSIIFSTAVVMEGYDLSVMNSFYAFTPFKNFYGD QPDPEEGGMLVSAKWQTGISNGVQVGSIFGLYLNGIISEAIGYKKTKFGSLVLMIAFV FIPFFAQNLPTLLAGGILQGMPWASFKPRQLLTRRKYARSLYGQLLPPTSTCVGLWAS LSPPASCAAFLIPVPILIGTIFAPESPWWLTRKGRYEDAKKSLLALTLRKSDTPFNPD EQVSMMKATTKLEKALSKSASYLQCFRGTDARRTEIASVAWVIQAFCGSAFMGYSTQL YERAGLTNENSFNMSLGQYAMGAVGTMGS EPUS_07439 MAPNFDACGELYKNASSLTPNPYIQFAYNGSIRGVLREGDRPAL ITFEGCLALCGQGFQPYKWIEASSTITTWVLPVIGGLLLQAPFESNRFWKTFFALVRW MGSPMSSLSYILWNIKVTGKCALMVDMAVPYDHVPEDQDSEFSQMRDAFYILAVMNQY EIKSRMPEIAAEKLLRVALFSNSLKLTSDEDNVQNLPRLRKVLAHDLRRGRRRGTVPV FLAILWFILSLAISIESAFGDLGVNATAHNLGLGLMLSWIPVFIIGSIIDRNPMSSDD TCLRLNKLLDRVRLALLDTNLRDTYTRDTGRTSDDFQWTRDLNDHAYFSESFFSEFAG QGRCRWHYGVAHPILAGIEKAYVADYGRDWMRDSETARNTMVVGPEELTGLRWFDWRE IWQILSAIAIVVGTITGAMVISYWTPTVGLGCRSGGYLIFIIISFASLFIEMLVWWLT PTRSGEVSGWLQKAAIGDPLVRFGSRLERQLSRSQSYSWQTSLGEKIQNWMSDWEVLQ FRSKLEILVLKPLDIFNTSWLIYIVLAQTFGWYRTCSCMTSTWAAGGGYLDFESIVSY KAHGISLYWGSGTALSLICLTAGLLFIIAEWCAQSHLSTMDYEAASRGLLRTRWWKYH TVWLRRLPNVIIDSAVVGQKHIHHCGSNTNPSPSSPTQRRMGIAGTSSDPIHCYAAVR AVEAWRWEQITHEDARMPEDDYDDELEGFLRGQSGVCGER EPUS_07441 MKNQQGTIQRILADNFSVVPHAKITYVGWLTKESIKKRNSSIVI EFTQPEMANAIIYAGFLWEGLIYNCQLYDRSCRIKQCLRCYDYGHIGTQCSAPQKCGY CAGGHGSRDCSVKGSPGFKPKCALCGGIHTAWNAACPARQKEMQRVEKAKQLRNHYWP IPPRRTASATDSHNKTGPTLSTSSSDDTTGPAT EPUS_09050 MSTKTHPVEGSDVQPFQDDNSVVMESPSVEAEGGVKETIINPPE QESVPRISLNWTLDPTPHSFSTELPPTICLILTSHANKPITIYNEALNPSRLLSEGKF PIFDQTDNIEVPHRRRIYCDFEPPSKIKVPLREKLFHTLYPAVPGSVSRACNPFRANQ LGPQTLLGGLHQARSRASEKTRLRGGRAQARPSLQLASGTRLGLDPVVGVRGEGRGHE SAKWETRRQESGISSLEEPSPTHQT EPUS_09051 MHKAHLAFLVLLPAFLAAVLVGILLQWLLMKREQRRIEDLVELR TKSRNESVTAVGESNVDVRGADEGAGDGGKGTGKEKGNQGVKVKGEGRGDVCERWREG VWKTIPGSFDEENLGKV EPUS_09052 MDTPPSPSMAVLSEEIHASPTDEAAVPLVAVAGPRSKVIPLRVE VNHEQLKPASTSEVAQPTRTVSEESLPAKAIYPPPVSPTQTFQPPAPPLAMMHVAKSP TSANPPNSKFKRWSRRRSDLEPRSPPMSPVTDNLGKINPSGSIASSSASSAYSANSAT IQIPQPGTNAYTLKPHRKRQQSDPKYLRFMSTISQNQRQTSPEQYVGYPGLASHMTET QNLIFRRFDDVHVRLLLYLQDQISQLEAQLRTLDERNIAESGIHNGTFREDADKLRVD TMEKLRICVGEYDTMILAFSKMQESKASEKSVARLKDWLKKYSGGSNGRQSLPKGGAI AREELEWVEKVDDLTYLPISTAASSTPVKQSSLTRLFAGKKG EPUS_09053 MPKTLKETFAAKLPAEVERIKKLRKVHGSKIVDEVTLDQVYGGA RGIKSLVWEQVRMLSEDWAARSDLPGYVVELVDRCPPDLHPMAQLSLAVTALERDCAF AKAYARGTNKKEYWEYVFEDSMNIIAKLPTIAARIYHNVFREGRSVPVAVQKDKDFTF NLVNQLGFGNNEEFTELMRLYITLHADHEGGNVSAHATHLVGSTLSSPMLSLASGFNG LAGPLHGLANQESLNWLQKMKATIGDDLSDKAITDYLWSTLNSGQVLPGYGHSVLRQT DPRYLAQRTFALRHLPNDPMFKLVSQLYKLAPEVLTQHGKTKNPYPNIDAHSGVLLQH YGLRETRFYTVLFGISRAMGVLAQVIIDRALGFPIERPKSYSTDAWVGFVGAKL EPUS_09296 MSSRFVLANLFHYEDRQLSLSFEHVTTSTSWQDHGPGSTIGFYN LCNGRIEADRSSPTYLKHCKAMEKARIASMILTQLLNGNTTVGGNMEDEPRQGDKGLP SASMGNTLVVADEAFVNCGAIHTHSRNLFFSTNFTFPIITSNETKHHLASVQHLHVSS PTLKLDRVCIFNDHLVKTSLPHAGSSKVNASHLSLAPATINELEYIARWSSTIADMAV MFISRRGKERSGPTISIGLDIPSWHYHPSVVQAYERGHCNATEALRSMDAVNRRHDQI SYVFTSAIQHELRKRGANSGDYDIHSSDKTNPVAAAIRQGLQIGKLPSLEDFVQGLSL QNNHPWGDFCTLIPEREQPQDLDGLVYLFYVFEAVKSALTKAIAKHHSTFSQGRPEAA APSTPKGSRIGTKPSRLILSVDDPAERRIYTRAQQVLRKLRSSRKDLPSPVLVETYMC RRVFVNGNKTRARLYRQDTTPQPIALLTSPKISNDADNARMVGPLDVVRELYGYECAY NLQMWLMDVGLPSH EPUS_09297 MPNLWETPGGVCDAEDQTVLHSVARELWEEAGLVAKLIGPPVGD GYVFDTLTGTAVRKLNFIVKVDAGDAGIAVRLDPLEHQNFLWTTEQEVHDGSAGKTKL ELTTADQKAVILEAFQVESTSEQET EPUS_09298 MPPRNKDSYCYTPLSDGPYIRILTLYPGHQADPLSGELQVIHIE ETGEYEPLSYVWGDSGRCSEFICFDKSIELTTSLQGALQRIRKQDQPRRLWVDQLCIN QNDQEERSRQVQFMNTIYKNATHVLVWLGDDPLDESRNAFELIRSLDQTFKNKEEKEK FRVRYTEQLHKQSVEDWAPLEHLTNKAWFTRGWIVQEIGTRAPATLYWGKSECDWALI NGVVQELADFHHLRGRFGLKTSLIKYMFQRFIEPPRLSRHANRFSLMYELQRARHCKL TDPRDRVFIFLGHYSLRMGNTLLAEMRADHSKTVEEVYVDVATRALLGDPERSLITLA SVQHPKLPASPGATIENDLPSWVPDWRRSEGHLMSEPVSPHCAHGNRTSQVTIEGGLL SVRGTRVDVIEICSKTLKWKEFHCDPTRKDLAIESLWTDVCGETSFDLKTRYMDDPNS DSAVFAYLQTLSVGGIATALRDGRRYQDIDRKDLFARGLAYLTKALGTSNLVSPELHQ MAEGGDYHNWTRDADCAASNRAFARTQQGRYVLGPRVMQLGDILCVLYGGKMPFVLRA WSNGDFLLVGECYTHGLMEGQAVDMMEAGELVEETFHVR EPUS_09299 MDTTPYRQIRTLYNADTITVYQAYSAEIAIPAVQQQKLSASPAF SPTRMTWIKPSWCWMMYRAGYSYKDARQSHILALEMKHEHFRELLMHATVVNHEPPRP LTEEEKERKVRVQWDPERDPRLGMLPYRSIQIGIQGDLGRKWTEEWIWAIRDVTDMAR EMKRALDEDANVGEEELRRRGLMPDEKVYSVDEELKEVLRMA EPUS_09300 MILAESVNEGWNNSFPLTGTRPQPEFSVGFRREAFTDEQLGKLA PFIGNFISGDQSYFMATYYMYFPFLTYESMTLAVRATVELFRLIGREMELHQEILVFS ISHDHRSVRIYGHYPAIDGKDTKYYRHPIHEFSFTALDGKEKWTAYKFTKNVYETWIS AFHNFPKVLGFQKTFSAMIFRDRASLILNHKETVSKVLAAAQGTSTPNTSFTGRGAPK RPRKRLAEGQ EPUS_09301 MGPLEWVGNSQQLPSVAKPVKAPRYDDRNQSDVYMLFGAEDLVP IVAADGSVHEDINAVPGYAIRRYRPRVEGGFSQIEQWTNISDRTDVHWRQLSGNNVLT TYGLDSNSRISNPEEPGQIYEWLICNSRDCKGNVVHYTYKPEDGVGADVALAHQHNRG PGTDPRRTSNRYLKSVVYGNTIPLLDGSGNRPLFLSTVQMDSAGWMFEVVLDYGEHDT NNPTPGDTNPWHYRLDSFSNYRPGFEVRTSRVCQRFLMFHHFPEEVAVGKDYLVRSVE FGYTHGDSADLASIFTYLTSVQQSGYRKGPQGQYIRKLLPPLEFTYSKAHLDDELKDI DPAFLRDLPAGLATSKTQWIDLHGESISGMLTADEQAWYYKKNTSPLYETVSQGRKVT KPRFEHNQQIRSMPNGALRPQKAQFADITGTGRLAIICEDDGLIGCYEHDDAESWLPF RSFNQSINGASYFNSNIKLVDLNGDGLTDILAPELGQWFASLGADGFGLPHAFTNAVD EETGPSLLYTDDMQTVRLADFSGDGMPDIVRLRNGEVCYWPNLGHGRFGAKILMDHSP IFDASDTFDARHIILADIDGSGTTDLIYPHRDGAKIYFNQCGNGWSTPRLLETVPTFD SVGTFTAIDLLGNGTSCLVISSPLPNACSMQYIELMGGIKPHLLTKIDNNLGSVTEIF YESSTKFYLQDKYGGHPWVSKLAFPVHVVESVQTFDRISQNSFRTRYAYHHGFYDAED REFRGFGMVEQWDVEGISAIADPKVRGSANQAAPWAIPPIYTKTWFHQGASYEQGTIS RRYEQEYYREPGQNEATAQKWLLPDTVLPLDISAADIHDACRALKGKMLRQETYAIDV FAKPAEGPPTSSAPFTVVESNFTLQIIQSKQSNHSAVALASGRETLTITYERHLSDPR IKHAMILETNSFGQILKELSITYGRIQSDSSFPTSWDRDMQSQSFLTYIENTPTNAIE DPHVYPNNFRLPNFCETRKYELTGFDIQARNGPAMLKDWTADDFAVINSAVKIGFEVT PTPNVKQKRLLKHDRTLLRKDDLSGLLPLTKMEPRALAGKTYKLAFTSQMVNLHLQKD GVPLLSSPESLLSGETPSEGGYVSGGSLSSSGLFPSEEGNGTIGTWWAPSGQLFFASS NDPAQELDSARQTFFLPRRVQNQFKAESTVTYDKYNYLVLETTDLVGNRTTVGERDAS GRLLSSGIDYRVLQPFLITDINGNRSQVEFDALGMVTATAVKGKVGESLGDNIAGLDA DLPEDTLLDHIRNPTAAESSQRICREYKNTVDWAALDPIIPKHNTDRFDPAALEGVLS TLVEDENFKVSSTHDAMNRLLTSVLPHSTPDNISTVRPGYDLLSLVRIDYNLHGKVDG DQPVWTPFLKDVDYHPTGQRRFVLLGNDVRTDYKYDIDRKLIQMTTQRGGSAADTVQD IKYTYDAIHNITNIVDAAQQTKFFRNVVVKPINDYTYDALYRLLEATGREHLGQADQP FWYSNSDSARFGPQPGDGNAMARYTEQYSYDDAGNMLKMKHDSSNTQQGSRSSSWTRT FDYREKGSIDSTQTGNRLSSTSVGNATENYGYDNHGNMVRIPQIGGSANVDNAQWDYI DKLKSLNLGGGGTAYFTYGSDGKRVRKVIEKGPNLVEERLYLGSAELFRRKKSGGIVL ERETIHAMDNQRRVALIETRTIDTEGTDRAPGQLIRYQVSTHLDSSSIELDDTAQLLS FEEYSPYGNTTYQGTASTLETPKRYRFTGKERDEESGLSYHGARYYAPWLARWISQDA LGIGDGLNTYVYCHDDPVGGKDPTGMDDTPSFASFDPLMSEISDSDFKFESITPQPAP LTPLATPMTKAQADAHSSVQRSKYRANPTPETPYLETKSVPPWKGAKVQAGHTVPARY ATDGLSPADWDKQTMQRLESRSYDVAIMDQSGEIDITTRHRAQDFTMIDDAVADAQKA QKAATGVSALPPEGSMHMGDYQIWRSENIPLDEGKVEFIKSMGEAPIDHTITTEMKLA AAEGDLFVKASKASKLGKGAKALKLLGKAGRHFVAALPVLGMVMGHASAAHAAANGDL QGAALDEAGFIPVAGDLLDAGRGGLALGEALDEGLGISDVAVEHGAVADKLAQDMGFS RETSMYIGAGTSALSAITVSPGIALHKTATEYADKAADKLIKWWNSD EPUS_09463 MRPIDPNISVKILFQAEIHQKRGPLYLVESLYPKSTKKGPKAKS IPKKWAEKQPNDHTTASIPDDSTADTQLDKLLIPPEEAEEHFKGR EPUS_09330 MNILEDKTLAHSLKRVKHETSQESEALGEDEIVSSTVPSKYRGT ATDREDMRTLGKKQVLRRNFKFVTMLGFASTVICTWEFLLAYDHGPSFIVHHLSHHSP SPRLISIVLLNGGPGTLFWGFIVCTIGMSLVYASMAEMASMAPTAGGQYHWVSEFAPP SIQKPLSYLVGWLCATGWQVFLAGVAFIVGTIIQGLIVLNDEKYEFKAWHGTLLAIAV ISFSIIFNTSLATRLPLIEGIVLIIHMTGLFVVIIPMWVLGPRANARDILFTFSNNAG WPSTGLSTMIGLTSPFGCLLGYDCSVHMAEEIKDASSTLPKAIMWSLGLNAAMGFIMA TTFVFTMGDVTSLLESPTGYPFIQAFYNSTRSLALTNLMTAIIIICCISCCISEVATA SRQIWSFARDGGLPFSGFLSQVTPGWNIPLRAVLVSLCVSALLACINIGSTTALYAIN SLGGVSVISSYFITIACVLHKRLRGEPLPRRRWTLGKYGVAVNIGALLFLIPLWFFLF WPVYTPVTLQTMNWAAVMYTAIVVVAVAYYLIKGRHTYTSPVTLTKRDI EPUS_09331 MATGLIFAPFSVDLVQDEKMQADMPSLFAKLREHREGLASTTRS VDVDNGGPQQKGEEDEERSLHAAQINGVMIEWHRNMKWTTRPIYSSSKGSDSVPRKAE THQSTQVELTGEEGYRSGKMGRFSTS EPUS_09332 MSEFTVPAGNAPPFAVVTATDRTGWIIITLAYGLSWVLLFSVIR ICIRLTIAPPFGLDDVFLGLATIFTIAQSSTTLYAASRGLGKSVELLSPEALTEVQKL FYSSTILLNFALGLSKCSIAALLLRMTPVRRQLLVFKGALVFLATWTVASILAVALQC NLSHPWVLVGEECPGMFLRWKIVESLDVITELGLFALVLYLVWNVRLQTMQKATVVIV FALRLPIIMIIAFRIATFDEAGYTTNPTLDETLFIIWSQAELGFSIVAATLPTLRRFI SGLATYYGALNQKKANEGSTYEIRLEGETSKIPLASVTKSVDHEGRRFAQPDTQPNEK QPLRNSILGRASKNGGCSASDSNQDCQIGNRNNEQQPLGEWGNRGVTATHVVAQDSNS RGSNESQQMIIKSMSWAVEHESNWPI EPUS_09333 MDKLRLQQQVSKETLRLHSPIHTLLRKVKNPLSIPGTDWVVPRS HTLLASPLVTSKSKEYFEDRESWSSERWNSQVTPGIWSTTAMALSPLERRVPTCHSVL DDTDAFQHNSLV EPUS_09334 MFNPKWGAPPPGGDHNKGTAIEVASWVFTSIAFITVILRLYGRL RLTRNPGWDDFWIVLSMIFNLTYTIMIVVAVNAGNGRHLYYLNLSQTTSAIKWNSIAY IPGIMSFSVPKVGVAVLLMRLLNPSRMQQYIMYSLSYACIVISALSAVLLWQQCDPSA GLWNPALKPVCWSPSILVNYSIFGGAFSAFTDFYLAAYPCFVLSKLNMSRRKKTSLTA VLSLGFIAGGIAISRCTRITTLYDRTDYTYATTDLHLWTSIEGSFIIIAANLPTLQPI FLIVVSRSLGGSNNACSNEKQKGSAYKLSSIVVEGRSGMRSGDRRGPKDQFGFHTEDL VRDNDSVDRILPHHCIEKTFDIRFEHESSDGYGQVSERNSVHEHIKPKSHGAYRNGFD AV EPUS_03440 MEQIQSLVLNPLKPYLLPISNNLPKPISDTLISLIGRTCHDALF HKLDITTRPECLGLAISKALGIVIITTASIVKVPQILKLVQSQSAAGLSFTSYLLETA SFLITLAYNVRSGWPFSTYGETALILAQDVVIAVLVLRFTCRDGAAGTFIAGVAAAVY ALLVSDTLVNENLMNYLQAGAGALSVASKLPQILTVYRQGGTGQLSAFAVFNYLAGSL SRIFTTLQEVDDKLILYGFVAGFVLNAVLAGQMVYYWNEKGTTAKHGEEVSEKVPQAV GQGTGADVKKGVASSTRRRG EPUS_03441 MRPVETEGQDQASLGLEGPNIVYYTKRKEEGDATKDKPLSSIEE IKYDPYIGEVATISQIEMVVSSIQALNYFPADIIAKTDTNFPPEQRHLQLRTSSNLRK TLQARSKAQTECRKYLFVKGFDEIETPILFKSTPEGAREFLVPTRQRGLAYALPQSPQ QYKQILMASGISKYFQFARCFRDEDMRADRQPEFTQLDIEMSFASTDDVMKITERIIQ VLWQTFFSQTIFENKIKTPKEYNMRDIPYHAPLYFPQITYDRAMTLWGSDKPDTRIGF HLLQVEDFLDSTLKSKLSPLKDPVIDLLKVRVSNPEKTRHFISTFLDKPSNAAYLDNP HGVPGIFIFDPSKPMNGLSAFEHDAAETIVDLLHPQRGDLLVLQARPDQPFMGEGFTM IGNLRRDLHAALISEGIIRKPFRDEFLWVTDFPLFSPTNDSDPGQGGKAGIKSTHHPF TAPKTMWDVDRLNTDPLSCKADHFDLVINGVEIGGGSRRIHDSKVQEMVLRDILKVPE NHAEEFRPLLEALRAGCPPHAGIALGFDRLMAILRQSSSVRDVIAFPKSAKGEDKMVG APSAIDAERWAEYHMAVKGGEEGVKRETEKDELTTGSKEADQELRSVADPKLQTPGPA QPDESDITPSTPS EPUS_03442 MSSSERPASPTPSERERLDAEARKKEEAEQATLPYKWTQRIEDV DVTIPIPGNLKAKDLIVEIKKMRIKAQIKGQDPLIEGDFPHPIMPDECTWTLETTPTG KEISIHLDKQYKSEWWAHVVTTAPKIDVTKIQPENSKLSDLDGETRGMVEKMMYDQEM KRQGKPTSEEQQKQDMLKKFMAQHPEMDFSQAKMG EPUS_03443 MKLSHYPRGVSSLQSHSGLISSNLQAVAKQLADNQQLLSSLVAY PLPQYPSAQAHLLEHLLRTKLEPEVEEWVEKGQEIAQHHSQGRYKGLSESDRDELWRW APLAANDEIRKQNWEGDYTMAEKESGIEHVETGLKRELQEPLDADDHDHGEDGAESDE ADDDEEDIVEIRRKPNAPGLEFDLSTAKRPTTQMSIENIFRFMVTGSTAPGRPP EPUS_03444 MSSTPTAGPSRSAKPNSAASKAPRASEWTEARSSESSGDDDQQA ARTRLPLSTSPLADTGSGSGVAGARPNADALSYALATLSMDLPLIPMEQLPTWARRMP RKDPMTSRHIPALLQHRIPAHVNPTLTGAAAASQPSHQLPPPRQDDAIIWFMAPSPPS GPGHHLPTLCRLEIPQDDRTTEQEWKLRCREVSHMVRRVMKIRARGGPPRPAMRTNDP TDRKLMEWILAADRLHKVMPDPMADTVWVSPVLLVRDTLYRAWVRLFMEIFVLSPPTG SGL EPUS_03445 MDTLSVVAAIAGILGAGAQISASLSTLITKSRKAPKDIKKVKDE VDTIRSVLHQLQAFLLGSARTNRARTSLILVDQVVVTLSACVSTFSELDVIVGTLVSD DKLGLMDRLRWATKASAIDECLKNLQIKKSSLTLMLTILTCQSSYVAEDSVKHLEGLV ENMLTGHERLAQRLASSETTVARTIDIQTQTDNARLSTTSSIRENQFGFVFQEELNES WVYQRAARNMDGSSSLVSSAGRTASWSILTGLSLSDISNIAVLSLPIYAEEISNREMY QFGEVDSDTLKRDHSLKTVVEQKGGVLVLSKLNKIASTRLRRNHSVRPAIEDEWHLTP VFGVPLRLSIEMACAAISGVRKDGKPFIWGHVPMVVARCCAYISAEGLDSKDIFFKSG SATRIQELYNLFSSYCSTGHYGSAMTWDKYEVDDAASLLLRYLKLLPEPIIPYNCYKN FTAIYVELASAMNIYGGHNRARLDAKTKSRLLATVNQALEGTPEVNHHLLMYLLAFLQ ACAGHSSQNLMTPDRLIAVFQPSLLSLQPDKMSIQEHQIAHQVMVFMIDNLVEGESDT NPYRHIFDTS EPUS_03446 MVMIIQTKAARLGAAFGSKRLCPRHLLRMLRPSSVHFLHRSSIH TKRKLHGAITPEKLVVPQTFIHDYFSANTSIDRGWVKNGVMAALSSIAEQPSTRSHSV HKPILVEDRVTEGNSSLSEQQAGAEVLDIPATLSTTEGLPHHRRKRLKAISTGSEHAI PLDASSQLATAATNLPSSASIRRIVATYLSLSKPRLSFLIVLTSTAAYSLYPIPDILT TTASLASATTLSASTLTLAYLTTGTFLSSASANTLNMLFEPKYDALMTRTRNRPLVRK LVTPTAASLFAFTTGTAGLSLLYLGTNATVAALSAFNIFLYAGIYTPLKRASVLNTWV GAIVGAIPPLMGWAAAAGQSATAEHHSWQDLLFTEEALGGWLLAGLLFAWQFPHFNAL SHSIKDEYRNAGYRMLAWTNPAMNGRVALRYSLLLYPICAGLCWAGVVNNGFLALSTV CNIWISREAYRFWAKGGAGGSARGLFWASIWSLPLLMVGGLICKKGVWDGVFDRSISH DEEEYEEEQRRDRSADVGMSNFSDDAITVAFPSQAVSRAS EPUS_03447 MRALGLASFLLALSPLPWNAGAYQNLSTSSLTQQSFSILDDRPD GCPPCPRCFDCHYEEFACTQFAECSQYNGKCSCPPGFGGDDCSEPVCGSLADGKRRSP REGQYCDCEEGWEGINCNVCTTNQACNVMTQEGDGGVCYKQGVVVKENYQMCNITNRK ILDQLKEQKPQATFSCNAEHQTCNFQFWVDQKESFYCALDTCSWEANDYSDRNTTKYQ CENIKCKCIPGRMLCGEEGSIDIGEFLEASIKGPASFSSLSTEGGSTRDGSKFEEPAM NNLIKSVFGDESITLDCRSGECLYKTEVPGYTRPIKEINTPLIAGVIAGCALFLVGVT LIIWYLTRRAVYKRYGAIRLTDEDDDESAKLMASHKPASLQFENLSYTLNGKQIISGI SGTAQPGQLMAIMGASGAGKSTFLDILARKNKRGHIDGSFYVNGEKIPDDNYRSVIGF VDQEDAMFPTLTVHETIMTSALLRLPRDMGISVKEQRVYEVERQLGIYHIKDQMIGSE EGSGRGISGGEKRRVGIACELVTSPSILFLDEPTSGLDAFNAFNVVECLVTLAKTYNR TVIFTIHQPRSNIVALFDQLILLAKGKTVYSGAFSSCQSYFDDIGYSCPPGFNIADYL VDLTMHAGMSRSPALESGPSYLNERDGLMTGSSSMRAVKSIASTSNVSVENSSFGSPR DSNLRPKVRRKQSLKQKQDRQLYTRKKSAAGDDTPPTPRTDEEDNINGVASETNRNWV RLARQDGTVPPQIMEDPDQLPPAANGADTDLDILVSSYKESDVARSIHDEITAAVHSA SSANGTVSNGPNGVSVSNGSMTSFRRVSLPRQFLILSLRTWRNLYRNPMLMLTHYAIA IILAVLSGYLFYGLTDDIPGFQDRLGLNFFILALFGFSTLTSLTVFSSERLLFIRERA NGYYSPITYFAAKVVFDIVPLRLLPPILTGIIVYPMAGLVPAWPQFLKFLLILILFNL AASSICLFIGIVVKDGGVANLIGSLVMLFSLLFAGLLLNRESIPKQALWLQDLSIFHY ALEALLVNEVTYLTLIDHSYGLDIEVPGASILSAFGFDTLALWRDVIGLAVFSGVFII IAYGAMHFFLWMDKHETRAKYNIAETCACSISISDLVSLSEQPNTPSPLSYTTSTTKL TYGSIRGSSALRTNIANLYSARSAASSALSTHHCLTPANILITSGAISANFLVLYTLL SPATTSSATTRPTRSCTRSRARWGRRPRPRPRQQTGKGRPNSSSSTTPTTRPAPSSPH PTFSEIAAIAAAHNITILCDEVYRPLFHSISPTDPDFPPSALDITPPTPPGGSGYPNI IVTGSLSKAFSLAGIRVGWIASASVPLINKFASSRHYTTISVSQLDDAFAAFALAGHC VHALLGRNIALARTNLELLEELFARDGEAVDDAMFCRRLLEEKGVLAVPGGVCFGDAY GDIKVRERDEDGEGGDAKHGKGEEGEFAGYVRIGSVNSTDIVREGLDGLRRFMREEFE SVPLWRSSREREEV EPUS_03448 MALGPSTATDIPQFGLRDVAVVTGQQNKILVIKATPPPPEKLVV RATPPQDSLPAPQEEDPFVLPASTAPALLQTNVRPKRARGATLNYKAMHEGKQNQPKR AK EPUS_03449 MSFTGDLTHLIIRESAATETSPSCDTGNEYDGRLGLRVAALFVI WLGSSAGACFPIYAKRHQGLRVPEWTFFVAKYFGSGVIVATAFIHLLAPAHEALSNPC LTGPITGYPWVEGIAMITVFVLFFVELMVMRYARFGDYEHSDDQSANGSHRQIAENEH DHRTERDGTVVDNKSITLMPNAVSKGTSRSAHVPGEDHLGHQREHQNDEANISAHNTE DYAAQMTAIFILEFGIIFHSVFIGLTLAVAGEEFNTLLAVLVFHQTFEGLGLGSRLAV TPWPKSKRWTAYILGLAYGLSTPTAIAVGLGVRNTYPPESAANLIVNGVFDSISAGIL IYTGLVELMAHEFMFSNMMRKAKLQVVLAAFGMMCLGAGLMALLGKWA EPUS_03450 MLPSAGNWSSGPFTTNGDAESTGYRSSEDDFIELVPPNSSSPVS PAFGETITEQINIDVEEDSDGDMRDIASYGEGNPDVRWLQEWKDLNLNLAIASQIEFF KTRPAFNNSSPAYIYFFRAIRRTLLEAKAAAVTIAEDPIATAHLKLLKRYFEYFKDTT RHLLSIDLKTILTYDFRLCGNVESLENMLNMNGGFLEATTEMAFFLCLEEEWERVSTF VQAAKKNLAADKSHASFNDIVSSIKDLQHGRPLLHPEGPLAPGLLEMNYEFTDAIWSQ WIEGCISYFKYWTADSTRTIPANDFIRHLSHYQSAVVLFLKHRTISKSKQSILLTLAK NYMSQYRDHAATELETKYAKLKENVKKSHLYLTEPRFWDIAEDLRVLLNEPPQSIDIE AWEAAVPAMLPHSKVMAARNAVNALYKEFQTKRYPEDEVAYLWLLTWRNIKHILPYLT AGRSLNAIRQESGELPATIEARDIAIPFVQENHSQLVSIVKEDNDALYQKDIEELVGK YCTHIDSYVQGKGAGQARIYEQFAKLSNTKRVPFTCLVGLEETLLKPELKPANGPQMV AHRVVMRLNHIMEGPKALKRWELFENGNMRTYRPTSTWVKEQAALPQAAGFNYQNDRD SYSRPTPASSQPQGSGAGGGGDDGDGDDRRNRPSEEIGESSDSDEEIEVVSPGGTKRI EKRKKAKTVTTSVFAETLTKAKLENLAELARRRNTDRAGLDEEWEEDEVIVSGLHPLP ADSLDYHRRRLRALRMEERSAVPHAQYPLPESAAPERAAALQIARELADPGVLTEGPR PEDVEHYINPALRLPTIEEENGTSQQVQNAEPHVVRRTLSRIRNRLGSPFFGSSPRRT TPKATPEIPETRAQRARREREAGEATPPVLKRQADKFAVKKSAPRNGKPRHSKYSVLS FSKLDVPNSLGHHRQSLEVRRVEVSQAGADVHSPSDDDTITDPDLPSSDRSPANNSAA SVGSNRKTTLTSRESHPPSTNSSAKHSNQENRTPSTKSSANHSNRENRTPSTKSSANQ ENQENQTPSTNSAGNNPNQENESPGIDSSQENQSPPRTNRQARGNAEDWNSPSPPYQY DNTLYEGSPIRAASTPTPVQGDKSRRSTGRRLPAPLPAGAQAEPSGPNRGSLHASPPG PPEDDGPEGQIRAFNHWVRMELASNNPDMISAYRLFRELQTTQNGNALDNLFEMLRVG HEVGHFNDYVFERNVTFGPEARRLVRRGVPSAARSPRTPPAQTDRFWPGWWNDPLEGT REGINRPGAAWAEPPVEDGEARVLVHRSSPSRAGSRSIDSSYSAVSPSPMRDEQGNII PWRASIEREVTEALGEVVPRSPLTGASNRGWSFSPNPVTGLPERQFNISINPDNRPST GPWDLGEPLLVQDPLNPGQLIPSPHFDPAILALISGGGGDDHGEINIIVPRGWRINVA TTSADRGQGVPPPSGDAARSSSSSSSSGPSGDQQVTISGPGFAIHQDPPSGNTSVRER SNAPPTLGLIDQPLPEHKDVIESIEKDFSQSSSQAHSPQKSTGSSSTKSKNSREGSSS HHSSGGESADKGGKGARSSNSYNSSNDSQAQSGSEKAQSGSGDIQVAPPTTATQAEIQ EESAAADNSKSASNLSSKSNSKSPTPPTNTTQAQPSSSPSIPDSAGEEPWYLHWETSA VVALRAELLRRGIPLRGLRLKQQMIDRLRRDDQENGVGGVWQPPDDGGEEQGEEEEEE NMDEDEEAGRNAKGKGKCKWGKNEEKVGKAGRYHKETRDQSGSGTGSRSLEIPQTPTQ TSPRVKVEDEDDGRRLLKRRANPFMGNADASVRAVKRQAREL EPUS_03451 MEVERSEPEGADSVARVVVKACDPCRVRKCKTSARQPEKKKRIL ISASYEKEVKGVNERLANLEKTIHALVATPRSPADIDDRFQRTNIVRSPRALEDGQNV PFEGASSFAAHSKQVSQAFRSAATSAGLPSESLSTSGLGRDHFNDEKKGPVEDLYELP PMSLVLKTLRAAKTNPKRYFPGLSDLNVTSMSDMCQKVYFPTEDCSTAFVTVVICGLW KMFHNFGERDLHQHGLDELEFERARALCKRSLDDAARCTPLLIEHSYRQIQALLLLSV FLLETSRPSLALSTISACARACQDGGYHCLKIDSPDPQAQQKIITFWFVFSIDRGLSL NFGRSPSLQDYDVTASRPTLVEAQGDRDLFFCFVSVELGYLQGDVYEQLYSGRAQSES ANVKAQRARVLADRMIRLRHQLLSFETSDDISAMHMIEDYGLVLQSHLALIYRAIPST RGDSPLHFSDECVTASRAAMEGYNAAWEKYRTREGTAWKTGINWTYLFSPFTPFIVLF GEVVTFKNEADLKLMEVSLETLKSAAQHSSGLTKLHDACEMFFNLAKAYMAKTINNGE SYNGPLHQLPSMGAFDQTNLHGLDWDAMLDDWDLGLGGENAREMSSFLTGSFFS EPUS_03452 MSLPETKHEKSLASSEAEDVLPQEEKQPEGSFKDYLRIFSYADK WDWCLNAVALVASIGAGATLPLMTIFFGQFVAKFNAFQSGYGSSDAFRSDVSHYVLWF IYLFIAKFCLIYMSSLAISVAAIRTTRSLRRAFLEHTLRQEIWHFDKRSTGAIATQVT TNGNRVSQGIAEKLAFSVQALSMFFSAFIVALAVQWKLSLITLSIIPVIFLITGACIA IDAPQEARIVRIYSQASNLAQETISSIRTVHAFWAQAKMVKKYDDFLQQAHTEGNKKS PNYGVLFSVQYFCVYCGIALCFWQGFRMFQSGEVADAGQVFTVVFAALIAASSVSTIA PQITAFTNAAAAASELFSVIDKKSELDPLDESGKMPTDSCDGNIEISNLAFAYPSRPS APVLRDFNISIPAGKTTALVGASGCGKSTVVGLLERWYAPSSGSIMLDGIDISEYNTQ WLRSRVQLVQQEPVLFRGSVFENVCKGLVGDQRQLSAEDQMKLVREACISSNADGFIQ ELPNGYHTEVGERAGMLSGGQRQRIAIARSIVSDPKILLLDEATSALDPKAEKVVQDA LSNVSRNRTTLVIAHKLATIKAADSIAVIHEGTVVEQGTHLELINKKGHYAALVSAQD LGDEEEASATGQKSADFSEKVGRQISLQPELELSKTADVEAQNLVSGTLNYSLLRCIW IMFTEQKKLYFCFISSTIACLIGGATFPAQAILFSRVLNIFLLDGQEARDQANFYSLL FFIVALGNLLAYFLIGWNCNYIGQTVTHRYRREMFDHVLSQDMDFFNQPENTSGALTS KLSALPTQLQELISANILLILIVLVNIVSSSALAIAYGWKLGLVIVFGGLPPIVMSGY LRIRLETRIEGLNSERFADSASLASEAVTAIRTVASLTIEKPILDQYSDMLDSIVRRS IKSLLWTMFWFALSQSLDFLVQALGFWYGGQLLASGEYTTEQFYVIFIGVLFAGQAAA QFFGYSTSLTRAVGAANYILWLRTLKPIMQENDQNRKKGPEGDSAIQVQEVDFSYKQR EAARVLRGVTMTIEPGQSAGVVGSSGCGKSTLISLLERFYDPTSGRIRLDDKDIAEMS PRRYRSYMSLVQQEPTLYQGSVRENICLGLNTDPPDDQLREACRQANALEFVQSLPES FNTPCGTGGIQFSGGQRQRIAIARAMIRNPRLLLLDEATSALDTQSERVVQSALDEAA STRTTIAVAHRLSTIKNADVIFVFANGRIAEQGTHAELQRLRGRYYEMCVAQSLDQA EPUS_03453 MGLLGVLLTSVERLREVLPLWQLISFALAAFVTLVILANILKQL LFKNPNEPPLVFHLVPFVGSTISYGIDPFQFFFSCREKYGDVFTFVLLGTKTTVCLGT KGNEFILNGKLKDVNAEEVYTQLTTPVFGKDVVYDCPNSKLMEQKKFVKFGLTSDALK SYVTLISNEVQKFVATAPVFSGQRGTFDVCHTMAEITIYTASRSLQGKEVRERFDSSF AELYHDLDMGFAPINFLLPWAPLPHNRKRDRAQKKMAETYMEIIQQRRKAGIEKTEDD MIWNLMSCAYKDGTPVPDLEVAHMMIALLMAGQHSSSSSSAWIMLRLASRPEIQAELL AEQKRILGEDLPPLTYENLQELPLNSQVVKETLRLHAPIHSIMRKVKSPMPITVASPV SRSYTIPTTHTLLSAPGVTSRYPDYFPEPMLWEPHRWDEDHPLAYKDADDQEEQMTDY GYGMVSKGATSPYLPFGAGRHRCIGEQFAYVQLGTILATMVRLLRVENLPGKKGVVDT DYSSLFSRPIGPAIVQWERVGESGGEKC EPUS_03454 MKLAALSLAPSDGEETEGISRLTASLHRPKSLPSGLLDGILRGV APSSRLPMSLRELDVLLALCKAAPGLQHVEHAQRLVVQLREYLPESHTQVFHSSPFLH DIKPSPWEALTYDLTHALLAIGLRFPELQLNISASIEAYISNCIKSLNAISPITQEDH TSNQHDDDQDAAEIVSITVSLKGFMEAAASHAHYWPSLDRVNIIRQLQGMLSERFLVA VETASSTIRTSSHSEHQYRDWRKYLRRYAAKGTPLGAMLLQQGFMRFVVASTSRFVAS ETAVAAGDLLDHYMTGQRFGGLHDATVDEPMIEYLTEVITDEIRVLEEGSDYLQLSSA WQQRLAFSVKAFALEAFLHCMMVDEGIADAEVLFGWLEDSMSNEVQMADENLAGVVLK SFAILAEVMAESAANFARLLLRFIVQGTSASPIVAIAAESLAHVLRILSQDAVITTLY SLGNVLSSGSGTEKIHNTAASPNGHVVDQHHSGSFTRVRTGSVISLSMSGDEETSIVC GNVAHAIAVVANSCNDNRITALVQSMILQKVGRINLVVDARILEESAVLATTGKENEF RALLRFYSRLNNEALKNNNTIIIEAIHKARMHLAAHLDNQTPLFRIFAIHLLERIVTK GDVVEGDTKRLPNIEQAAEEIAPLLKPLAVVVSRKPAENTEGSFEEDDELLAMAREAW FNIAVHGITLQSRLGQQYYHELRILALNSEPLVDEDRAELLESDVELNTILRRSMTGQ HTAEQKKNLISILPHRESEIRHLSYPKVVYLNAAFIVEGLRARSGNCSEVLKYFLDPV MKDSDMGTCMSGIAQEIINIYLNRAVPGEFEEFGSVYVSRQLAQILTGCCHRIAMVQQ VARTCADRIISQVPSALCQKSALFALLEILTTMWSSCLDAEIDEYEFKSTFTSTRGKI TLELSDDYAFRKRTLNTFYADAKKWVIGVLASAPLDVKGLLQTYLSEYDDTGAYGHVS LGRSFALEIGSIIPPLDQRLRAIERQAEHVNVNVASDFMAQYTTRQEYRHADVPEHAR DMLPLVHTKEQVVNGYLKSNGTNQEVQTLLDDIEYRVSVGSHIADIELRDVLRRVAAL LCRSKGPQSIIVYHLVNIPFQAFTKASIKLGISLWLGVIHENPRMEPRILTEIAQAWE RTIDRKVGIFSDQFNHKDPFYVKQEFAPSDKGAILKHQQLVQNMISPHLRVHQVFASH FNAIRLGSLNTQRAFIRMIRKTLDAFRKISTHPLAREVYFHVILLAMQILRFNTCLSE NSAWQLKDQLLSTGLHWFSFPPVWSFGGNRLQMKAELSILADVLSALSATQHIGSTTI NTRQTLQPKQELLRLLIESERIRLAVWLFPLEHYPTHLAVKDTDLIPLLRTAWSTEPG IAIQMATRFRSEALRQAIRFLLLNFPEKATGETDALEILLGPTLPSDVSFQLKYLLYW APVNPMQAVTYFLPAYGNHPFILQYGMRALDYHSVDVTFFYVPQIVQCLRYDALGYVE RYIIEAGNFSQLFAHQIIWNIKANAYKDEDSQVPDPVKPTLDKVMDSLISSFSLEDRD FYEREFAFFNEVTSISGKLKPYIKRPKPEKKVKIEEELRMIKVEIGVYLPSNPDGVVV GIDRKSGKPLQSHAKAPFMATFRIRRSYEQSAENVGDLVGDAPKDQERKRPKNISAGS ASDLSMMGGLTTANTFEVWQSAIFKVGDDCRQDVLALQMIAAFRGIFNSCGLDVYVYP YRVTATAPGCGVIDVLPNSISRDMLGREAVNGLYDYFITKYGGEDSIRFQEARANFVK SMAAYSVISYLLQFKDRHNGNIMIDDAGHILHIDFGFCFDIAPGGVKFERAPFKLTPE MMAVMGKESSNPQPYRWFEELTVKAFLASRPYSEKLSHLVALMLDSGLPCFKPETMKN FKDRFVLDQTEREAAEFMKGCIRKSEGNYSTKLYDEFQLLTNGIPY EPUS_03455 MAPQNEKSSLKRGRASTRDEQDVKKPRRSTRISSQSHPKSPSTP VINKGYLPSPLTNQASTATETYKDGTVTPPEGRPSQIRHHTPASSPRLNALSSPPGDT QPLSQFVFPPRDLGDSDEEPDESTWGYLHPLDERWGKKLIMKKRPACPAPAAPLEKAR LKHKKQDRPTGNLAKDEERYEKTKREVGFPAGGYLIGRHPECDVLVDIPTISNRHCLL FSEHKHGETIAVLEDLSSNGTFVNEAIVGRNKRRELEDGDEITIVDEARFVFRYPRRQ RESSAFRSQFRMLQQLGKGHFASVYLCIEKATGFKYAVKKFERRMGDSQRSQTEGLQQ EIAVLMSVSHPNVLCLKETFDEADGVYLVLELAPEGELFNWIVMKQKLSEEETRKVFI QLFQGIKYLHERNIVHRDIKPENILLTDKNLSVKLADFGLAKIIGEQSFTTTLCGTPS YVAPEILAQTRQRKYTRAVDVWSLGVVLYICLCGFPPFSDELYSAENPYSLAQQIKMG RFDYPSPYWDSVGDPALDLIDRMLTVDADLRITVDECLNHPWITGKLDNWQLNVSLSD STDGLTGQLNQLDFSKRKMERQRTLLSAINDVKIMKKIREDDPNSSAPPTEIKVFDKN PDGKKRLAQDLAGQKKVPAKENDPAAERATEEFMGMGGKGDMALFDDDVSSRYLPQEV PQ EPUS_03456 MDTYMRTGIGAEGSGKLVALRVSSIGVNYTAKFSSWEELFNLSS RQLRERGIEPARDRRYLLRWREKYRKGEFGIGGDMRFVEDGVGTMRVVEVPKRTTTTT TTLPLTTPLMTRLIINLPAGETEPTKALDEGQSTFDLRKVAGVRLANGHMIEGPYVQP TKGSNGSVATLKVQEGLWEHRRGHKVDGGERRKAEVRFKRGVEQRRKARG EPUS_03457 MAVSPLLPRSPSNINANISPAKAKPLTVRNSSSASTSGKPTQPK QEFDLAEKMNEEIASKYVKGKKLGEGTFALVYRGHLRSDPNHVVAIKKFKIPSQPEQR SEGLNVDSIREIKYLQELSHPSIVALLDVFSTKDETINMVIEYFPNGNLEQLIKDQSV AYGAADVKAWMGMLGRAIYFCHANFVLHRDIKPNNLLIAADGEVKLADFGLARGFADP YANMTHVVITLWYRPPELLFGARHYSGAVDVWSMGMVFAELLLRVPYAATMSDKEIAQ LETICEAVGTPNEENWPGVSSLPNYMEMDKQVPVRGRDYYMAKFPTAGAQGVDLLMAM LKLDPNKRVTAKQVLEHAWWRVEPRPTDRENLPKQGGGAEKMGEDVARQPGVLADHDK FQGVARRLDFAALK EPUS_03458 MPFAYLELLHEQEQKQSSSDPVEGHLEKKENNIVCLFRVDPSFR TTGLLGKCAHPLPNQPTEQAAAAATAAATAAAAAKHIVSSTYIGIQFKSEDFGYTEGE QLLRFAQSILNEQILRSQQQQQPTQCTITDMPDEIIAQIAHFCELHDRVCLALSNKHL AESISWLDTCPAGGLSITAKWSPAAAVYERQSLLKRLNYHQSSPRVRYCGKCKMLRPT DPAFWREEWEQLRLAYQTSLSKVICSLSVIALQTVAAHDKPERILLAWQEDIPALEGS TVVNCPTCVAHQNSPYRGWAWGKEPAEPARLFNYNTELFDATMQHPTAL EPUS_03459 MASRVFSSLGSNDGGYDGGITEVFEFETSRAKFNHQEHAREVAR QRQHMLAGELSRLDAGEYQQDILDHMMRMDADTLPDVDSIDIQTEIQWYMRPYLLDFL VEAHSAFQLLPETLFLTVNILDRYCSKRVVYKRHYQLVGCAALLVAAKYGDKKEKVPT IKELKSMCCSLYDDDMFLQMEWHVLSTLDWSVGHPTVDSFLKLAVKDSIPDPETENLA LYILEMGLFHREFVSKQSSTLALAALALSKHILGRPQARAGEYASRYCETTLVELSQK LHQPSEILSRKYASGRFSGVSRILNTFLVDQAALAAAAAASQRAPSPSHSYASIPKSP YVEQYGYTTPQKPQFQSTMQHGYPTPPITPEEEYFGKDPRNHSVPNHYTPITPTPTAP MSQCSGFDYRDDYRTAPPSWVFETYHDSRYTGIV EPUS_03460 MNEEGESGSLDATLEEGLLNTPTRPSMTSHRKRAALKPESENSN GFHNGEAQHISSHHATCQKQPDLITYDELPAWYKDNEYIIGGYRPESFSTSTCFASLT YMHNETVNIYTHMIPAIVFLLAQSFMLVLLHQRFPEAKSLDYIVFSFFLLSACITMSL SFLYHTLMNHSMGVSYLWLRLDYVGILALILGDFISGIRVGFYCEPTLQKIYWSMTIA LGILTSILVIHPKYFQGLEYRNLRVTAFVTLALSGFIPLAHGLIIYGWNRMWVASGMP YYLLEGGILVLSAFFYGTRWPESIRPGRFDIWGCSHNIFHVLVVLATVVHLGSMSVNR SGKRYSTPEQQPLNMWIWRPERYNLEYFVEYFVDTE EPUS_03461 MFCSSDSPVFSPNLTRIDGWVDQPNTRGTLDIVWTSVFTIFICT FTLLCLNLPAANDTAWQMTARKLFWMSLAIAGPEFVLTAACGQLGTAQESIKAFTELG YPQWTIRHGFFADMGGFLFVPRDSTPFPVTSKHLHWLVSRRYLSYPDVSPKEIWDKSK QDTVAKLISCFQIGYLMVQTLGRAGQRLAITTLELSALAIVVCSIMTNICWLRKPAGV LTPIRLYSELSLEEILKDAGVADLPWKQTPLDFVDDLGPSWALNVQPFMGMPVGPHER PMPRFGNDRLPNLNGRHETLLCFATLVYAAIHLAGWNFEFPTRTEGILWRASSLFIFV NTAVFWLCETAAAWHRKGRWQRFFYRVLDPERLEEVESARLQKLANQEPKQLPLAWEF WTITPLAITYAAARGYQIIEAFMGLRSLEPSAYLNVEWSNYIPHI EPUS_03462 MIDADAQQENPPYRNNHSTPATHTPPPDSLISPTASLDYWSRTP ASITGMLGGYPQISLTDLRGSRSFLTKLRRGPSGSFTPSSHKRLKLGVDCGAGIGRVT DGFLRHVARWRVGGRAGDHGDGDKYDLIWNQWCLGHLTDSQLTAYLRRCVHILQPAPD PDSDSTSNDNGVGEGGGGGWIVVKENMSTDPSGGDIYDELDSSVTRTDDKFRRLFADA GLEVVRSELQTGFPKGLGLYPVRMYGLRPKKERWRESHAS EPUS_03463 MPPKKRGRPAGTSSAAPQRPTKRVRVGETSIIGTQDSTELTDSG RPRRSSAGEPDYSTRRAKAPNNVSAASSKTAPAAQANVKKRRKGAAKLFGKRRVSAMA QPEVETNEAPKRGRGRPKKATSETTVEEKPTTPKKAVGRPKKAVGRPAKGVGRPKKNA PAKSSNGPVAKQKGRETTANHEEEVFDDEVEDSEGLPNGTSNDVDEEEETESDRQYWL MKAEPDSRLENGVDVKFSIDDLRAKGGPEAWDGVRSLQARNNLRAMRKGDHAFFYHSN CKVPGIVGVMEIVGEHEVDEMAFDPRHPYYDAKSTRENPKWDIVYVQYVRKFADIVKL SELKTYAEPGGALAKMQMLKQARLSVSAVTPKEWKFILDLAGEDLDEDDSEQAEDTLV ENANGANKMKAGNLVHGGAEEGDDEGVDDEGVDDEENVDGDDDGNDDGNGEDGAEGVN GDDDEEDK EPUS_03464 MASTGPFSVQCRDRVPFASTFWFNFETSVAIFVISAFAIPGVLV LSNLINSSPNDRSSSAPTCNGPDSNAAIPPHCHPALTPAPHMPGKKKVPVSRILTLVK WMCSTTLLGVASFLLTFQILMALFTGYCLHGLVYTTDLVFVCVIAAIGSVIVAVGFIA WLFTTVALANDFIAWCLDLKRCKIGRVQDSALADVRDTSSPQELPRTGSEVIRHQRVE PPTTTLPPYMV EPUS_03465 MADQDLAAEEKESASTVPQKRVLPARERRESAAKRRASSPITAL KSSSTPKKPTPSKSTPKTVPTKYNKRKVVLEITPTRHTSTPVVEEGLPAKITDSRPLP TSYQPPPLMLSAREYQNIAESAVLAASLHRSRLRWLAEGIFEKYWTKPSKKKGTEGLV NNPDLKTMHRLGSSAIIIGPHTFEATIYTVRDNPTYRHPNQYPQRPVAPQPGFQNYLP AGTQNPNLQARPVTNYAGQVPQVEVKQEKPVAGATIKPPTQSPKVSGNARPQASAPPA SQSASAQSGPDPVIRMLATRAATNPDLKALMKVVASSKATPEQLKLFQSHIDELNVMI RQQQEVEKSPREPQNSHADQSLPKPTQLDGPGDDIPQTSHPPHDGKQRPLPYPTHPQR SGALHQTQQRPAPKIGPLGPPLNAPPYPHYLPPQQKVPAPEPRVKAIVLEFTSPASST VPASQDRYLFPEYAVLDTPLSGQGLEMVCSFFVVRKGFDLLAMQSSEGTSTGTPLGGL IRWKPNEEYFQPVTMTMKTSQHRILETIARAARPLGEVQNKMKEIMHSKTRVKDEWLV MRLPREKGTSAEGAGGRDRGFVDSAVEIEEDGASGDEDDELKAFYGI EPUS_03466 MESTNEQKPRHKWLVGHRHLLCLFSKLYGNNIDDITRILNHILA KELSAEGFDAGMPTSTVRGQLLNIKQGASGYDVWQKVVLNPSIAEARKTFRDGRDAIE DAALALGISLHLRTEEVDSLKPHGKRLGKRGRKIQELRGVLGDIISSDDDSESNLQSP RNRRRRSPSIDTSPVTPSRQGHGQLLTPMSLQSGVNTPGVLSERSSAKRIATNARRVT TTPRKATTKSQDLPSLVFRWYNDRSQGLNTETELVAGKFLDQPQEIPPPEWALEAVTN HLIPHKLPSPFISFRESLRPCLFRALKAGVETNPCITIVDLQKLKDVTGRKWGKYEAI KASPDLVRHFNLTLGRKGTYTGGGEWLVYGKIEKEAIIATINIRDFNYYEKRIPLLAQ ILQLDLVRSAPTARILSFKMSESPADMTWDSGVAVGSLMNYLRVPAECWKDVGDNIVE SWAFRGWRRRRFDQGYEAYMNGIMYAKDNPDNLLDVEDAARAGNRDVVKISGVRSTIM GEHTSQLQGVSPEQEQELIDAQLHAELASFLWDSGSPHEGIPGSTNRTVIANSFRNRD ASTRPRAESQQRTTTARFARPRSSSLFTFPRPTIPSPATDWEESNSRDVSPAATERSA LDTFTTELLAKAEECSTVGSFGRRSTTTQLPSEAQVSREEEYSAREKSPENVLDEDLV VIDLCSDNDDDSVELEIKKKKKKKKKKKKKKKKKKKCVMASRKHQERERQIFHRPKKE KKREEPETGGCRFDCADSRDTVEHPES EPUS_03467 MATELTVQSERAFQKQPHIFLNSKSKAAKSKKIGKGGRRWYKDI GLGFKTPKEAITGSYIDKKCPFTGMVSIRGRILTGKVVSTKMHRTLIIRREYLHFIPK YARYEKRHSNLAAHVSPAFRVEDGDTVTVGQCRPLSKTVRFNVIKVLPKTGKAVKQFS KF EPUS_03468 MDPSASRPPRPKSRQSIAHLSPSKDHEYDKENATADLGSIRKRK LADSNADRKKSRSKSLGPGGLEALKESAGNTTKASPAPQIKSILKPPVPLTPPKAIPP FDEHRKRSVGRSARKSPKPNGAEQLLIDFSTPVSSRAVTTITGAENVQDPFSPIKIVP TPDGARTPMDPGEEPEAANREKQAEELRKAQKQAILDQRAARRKSMANRRVSFAPEAT LHTWNVIEMVEDSTTSSASNSTRRRSTMTAAQTPNKSEQILAPESDQNEPPSTPPEQV KQPLVKASPANQRDMHQKHNRRRSSGMSDTPSEAGNENLSSPASTYSGSSAVGDSSPV HIEDSIHSSSDEDGDAAMSMDDATQETVRSVLSSSSTASSLDDRLRRAAAEAGTRGIE YDEKGDDLSMELAEGTITSAFQPWVHGVPQIQAEAGPVDVENPESSEELSMELADGTI TAAFQPWVNGVAPIATRAGSQTIEDDENHDDLSMEIAEGTVTTAFQPWAHGAPKVQVN VDTRSIKDDGSSGDVAMELADSTITTAFQPWAKSNPKAPVLDHSALQDQENINPFSPA FKKQVDTNRVDSSMEPVSIAVLHDNQEQEIEKALAVVEATNISVLPHDREQGGGRRSS NEQPDFSSVPRPYQEDDKVNMALAVEQKAVSVLWDDQKPQIVNTPPIVEPVTAPTPSD IQEQQIKEMSVVIDSNDVSVLKDKQEQEVERISLQEFLSMANIHFMELSTTKRRHTLA PGKPSQLPLDGSSTSSGACFAAAATTLPLLELYQHATRELKSYISTGRKVIGAIERDT MEEQPALFREYVDARADVKTVMENQFRNGKANARLQSKESWYAWRRQLVEGLRGGLYD IKSGMEEDAQLLTQQEAILDRIVPALVKHFAELEQEAGMLQQRAVDFESIDHESLNNA RSQLESADLEVAHKMDLLSQLQQQMADKAEALTAADELKSEFQSQIAEAERVQDECRG WKAEDVRKLKEQVKRIEQGTGWMLVTAEHEVEEGGVDFGPALTLRYRNALRLFFYPGV FQRSLSERNGRRRSRRSKSDSGPSAPISLTYAPVEVNTMTPTDLTTPQRFFLQFLQGQ LHGLAVLPKGSVSSKTLLSLVSTGWDLAIKVSEEIRLLENAGITNVAILSDEKLGAKC MLMLPDRCRIDVQFTLTANTTTDSTLSSSIVVEATSRYGPVAGLLSGGKTSKVREALN KQARSKEVGDGAWVAAVRGLEEWVNLQKKETNGGPQHEKKAACKPTPKSEPASIPAST APQMDAAPAAALGSKKELKRPVPIEEVMEEAAARQDEVRRQEAEDVLRQQEEMRRQEE EEMLLMSASKTPPRPGRRPGALRRSP EPUS_03469 MHRTYSMRQSRAPTASQIENPPPPSSSTKAGRLFGRSPVGHVFR RQAAGAFGPDLAKKLSQLVKMEKNVMRSMELVGRERMEVAQQLSIWGEACDDDVSDVT DKLGVLIYEIGELEDQFVDRYDQYRVTIKSIRNIEASVQPSRDRKQKITDQIAQLKYK EPNSPRIVVLEQELVRAEAESLVAEAQLSNITREKLKAAFTYQFDAMREHCEKLAIIA GYGKHLLELVDDTPVTPGETRNAYDGYEASKAIIQDCEDALTNWVSSHASVSSKLSTR ARTLSQRRKANAAKHREGQGVDLANQDQPMKSDRDSWVPAGEHRGAHEGQEEEEYEDE EEEEAEEANVNGEGRGREREGERSAHDAVTA EPUS_03470 MDLQNLVRERGFLPGRQICIAQAQPKRRPGVKEYHEIRHREEVY SSNGFKPGRSPRTGKFVIGINHDKRGLGQRRTPRSLSTDTQQTHLNESDSDDANAKLL RSINQASAMYNKESQESPGPHMAAPAEEFHHEYPHGSQPEYTSPHSPLKPLSHLGSPE LGERFTEMDDVEQASESRSEIDRDTANSAPHSERLPATDSFMGSPELQNSPSPGRMLA TKELLREVVVEEFQQSTSNPESLACGEDGSNERGDVTPDMKLLEAIFRPLVEEMRVGQ EYLVAGYLTRARKDAMEFSGPKIDKSLPDPFAAANTVPTKQSSSFNPLRRVKMESKVV NARNKAPLNESQAIAFQSDTQRLPKYNSIVRLGLNILAPNDKDLRYLPYFPSEEEKDG ADAADHKRREELLEGFDNRIKFLPQERKCAEQADFWKEHAQYFLEEVGCTCVDVMFYL LHDEDAEWRPECQISEEALSQWQDREMCCSACETKFEGDHWDRLSETLETHKPDEKTL ALAGLVCSVFSKFAQFSIWHIVSTDADVLSLMKETERRWWAEREPRRPKSQSLCMLCH VFDCPTHGAYLEDDLPSRSSSEGGRGSPKTDQDSSSDSSEEVETKQNIRQTVALPERP RPDGQQHMCGFFCVDPRIQDEDILGLHQNGEVKGTYNTKIKEIGDPGFADEDTCSESC FWDLSNRSGRSINDLVRSNRDERFADWTEKDIKLYRAMLAACTRIRRGPCIMAITVAR PCSVIFREILFDLHSTPHPVPISGTENPQPPLTNGYKDKNYWFESSQTYDHHKRRPFV PCSHVGPCHKNPDCTCWTGKIACEWICGCDRACSRRFQGCRCVARGAKVCFKDSNCDC WILNRECDPWLCGKCGVLEVLDPVNRHDDSILKGRCKNAMIQRNVPKRTLKGPSEVHG WGLFAGTDIRANEFIGEYKGEVISEEESNRRGLVYHYRGIEYLFRLNKEQEIDSSRAG NKMRFINNSERPSTINIYPQPMLCNGVQRIGLFAKRNLSAGEEMFFRYGYPESVTKHF WEKEDLQARRALSNDNVLDGNEASKAERNKGKGVKAAVVATKQTARKGVSRRIKKVLQ RPGGKERHAHFLDDIADDDEPEASSSQPLSHPLHRSKKRKRNSSPVVDETAFDLPGAE SKDSREEEESAPGPSGSSIGARTEIAESDTDDEEYEEDDSEDDASDDDEDEDDDNSIA SDVEADIFDEDLTVNPTARRPRASTSTSASASASASASASQSLLSSRQSSSSNKRSLQ TAAARAVSLENRRRAKERKRGMSEAGGGMGTEVVEHGPSGAAAARPVIGSTGSSGPRS GRGAKKSSGGKDSDSVIAPGRGSGRGRKRGRPVGWKKGVHFK EPUS_03471 MCERTWACLPITALAYVSQPHQDLLLSGQGSTIKVESVTRKDLL AREQVFDFQSIHGITAHDDGESQSKITSVFLLIWGGRRLALGRIDLTSTGLEERFDSP PLKIGHEVEAADWILDASFANFTTTSPFIAYLVTAHNDVCALTLDSPSDLSVKVCQVA SGLSSILYSAHIKPTSSTTILVAAGTVFGQVIVWSCYHPFVGISVAETGWQSHTSNIF HGHDGSIFGVSLSNGVDVLGDCYPRRFLASCSDDRTIRIWDVGNCDCAAESSPDAQGQ KHKDILQIGLGSTENASLATTWGHASRIWGLEFIPMNNSSSVSKLRLISRGEDATCQV WDISHEAHTALAERADLRGQPFRLSHTSTDHYHSGKHIWSHTHHFRDVRSAIFTGGGD GRIIARMIPTLGASSPYFSITTPFCSLFETIEPKNGGSNSHGIHDSVKQYVFASDTVI LATTNGDHVMRGVIEVGEHSFDQYLSISWTCLCNATEFGTVDLMTGDALGNVVYLGGA TGKIWIYQHGLHSIQFLTTTDWKISNIFAGVCTIGDDGIVVRHLFVCSTIPMCAKVLQ ITQSSGGLVSIGVSRTVDISLPPTFQPTAFLDGSAGSILVLGSRSGAFAVYHDVFRAS GTGEQIAPNVCIRHVHGSDSVTSLQYLPAITPSKHKNSQFDVLSTGRDGAYAIHRVTL ENTGECTTHINLTTLHRSCPPFGPNIEGASIMASSGGTELSLHGFSGKNFVVWNESTD SEMMSIPCGGAHRRWAYHMSGTSEQLRTTSQSRCFAWTKAGVFNLVKASSPAHEVFQP GGHGREIKAMALCKRRLNEGWSGASTGRLIATGAEDTAIRLWSITNTGKHGHTGVQGT DSRDAISCTQILKKHTTGIQHLSFCKDFLFSSAGCEELYIWKINFGVSLVGIGTVFQA ALPKHAPASDLRITNFEVVFVSGRYKDDSSCGIGYFLIHVAYSNSMIRVFKYTNDQTS SPENRFQLLGQGFYNTTCLTSISGLIGCLPWFLAASTNGAVTIWPDLDHRRKTQDSPS LLSRTTEHFIHQNAILALHTVSVAPNHHLLLTGGDDNAFGITLIREGALGSVLHSNSN DDNNTSPLPRFRTLLIPKAHVAAITALEILDSRRQAGLLVLTVISTGNDQRVKIWRIT VDVDQLPRADHSTSMGADLFGPEVLEAIDVQLVREVWTDVADASSVVVIPDADATVDR TGNLDLAEVEYSRWSKRLLIAGIGMEMIRIDLVDEGEEA EPUS_03472 MKRSAFPELEEVQAIKRQEKHIENAAPATLMRPVSPPTIARKAS KPSPRLSAVEAGELTVDDHFGLFSSKLLEASRTVLPGTSRISHGAWCELYQRNLNPQG RHFVIHQHDHPVAGTHYDLRLQCNGTSSISFAIMYGLPGDPNSRRLSRNATETRVHNL WNHLIETASHSTGSMLIWDTGEYSILPYRESEQAQKSSSDESDDSEPINPQHGLSESQ KLHDAFQQGRIRIRLHGTRLPHNYTVSLRLSKDDYRSEQPGPPRWRRRRRLSPGPARR ETKQTSSSESESDASASSSAPFAVVKKDQLTSFRRTASPPLRDHHTTAGHISPSDHSS TSKKRPTKPAFLHTNHPSKPNSVNKVSNITGAHSGNVNENKDEDEDELETTRLTNTYP GGATNTISSIHQRRWFLTLDRHNSGFVSQLNQATGLKRWVRRWRADDDTRDGFERFHV LGRDVERSVVTGRLAREILSDEGVDGFVPRVGWRGVVE EPUS_03473 MPHFSPLQISSFLILTVAIAISYKYLTDRTSTESEPSMSTAKSL KTAMTSLSHAKIVPRHSSARGHADHGWLNTYHTFSFASYYDPEFQSFGALRVLNEDRV APGSGFPTHPHRDAEIFSYILSGELTHRDSMLQKGSEGTNKDTFYRMKRDDVQFTTGG KGIAHSEGNEHSKDWVHFLQIWVTPWKRGLEPRYHTSTFNEAEKRKGFVSIISPLKGG PNATADEEKAAEPVIAGTIPIHADLLFSAGIIPKGEVFQYRIGGADAVQNKIDRKTYV HLAMTKGGKAKIKLDGREGSFLQEGDGAFVSKVNAGDALSIESVGEEEAEVLVLDSN EPUS_03474 MASATTTDVFNYRYHHGTNLGTIFVLEKWLSPSMYVQGSAGDSE LDAVRASLASSGLDATRAKWQNHWSSAISDDDFAWLTGQARCNMIRLPIGWFTLGPSF CQGTAFDGEPGQVYVNAWSAVRDLVRRCHDRGIGVLLDLHAVPGGANGEIHSGTSSGR ADLWGNGDNLDRARRCLVFITQEVAAGMPGVMGIQLCNEATWAAPGMYEWYDSVIGAI NDVNKTVPVYISDSWNLSPALDYIQRKNRSVSNPVIVDTHKYYTFAASDQSQSPQQIL DRIPNELNELQSKQGNVFDNGAATVFIGEYSCVMSEPTWSKVSPNDRPGLTRQFGQAQ SRRWQQFGGSSFWTFKMDWMDGGGWGFKQQTNEGAIIPPPSMMLPAQEIRNRIRAAGE QHQRLQQTALREHSEFWDRTAPGTRFEHWRFGQGWDLGWNDAQFFFGARAQGGMPGGG DGGDKIGCLDVWVQKRMRETGSMKEQAAFGWEWEHGFRKGVADFYSTVGV EPUS_03475 MVNAGRIACIFTPFALCLASLVCIIIVFLGGWNARSTTLGNYYF LKADLTGFTVNNTGLDPVPGINLDNDVLNQALNQAKAAANINDFYTIYLWNYCSWDGS DKYSFCSKREAYFAFDPVEVWGLENTGVQNAFPKELQDGLKAYKAVSKWMFIAYIVAL VATCIELVVGISAIFSRWGSFCTAFFSTLSSLFILAASITATAMFSVLLGSFNGVFEA YGIKASLGPEMMRTTWLAVAFSFGAGFFWLLSVCCCSGRSPYGGKKDTKRVKVEKTPY TYERVGSPYLGPQGGAPPYGGAHGQQIPMHNLGPNAKGGAYEPFRPSHV EPUS_03476 MQFSHDEASFARSVLVVVVVALSAIAACSALSFQRIVLRFRQNR KPQYQSVSELYEDEDGTATQASEAAYSYQLPRISVLLFSIVCLLDSLVLCVITTQQPV ESNLRVEQWLHFCAWTFLLIQAVALFIEPQYIARYRLSAFGSLSSFLLSIAIIVENLI RWSSIFDRSKRSNVYLTLTAVQLVGALALILIYSLVPRRPDVYWNEKVVDRQYTTSIL GRLGFTWLSPLLHFAAINKGLNLNDLPEIDYQTRSKTLRRKFDQVGKKNKLWQLLFLS HKTAFISQCIFVTITSVTNFLPQIALLFILKALEDRDSGRDSVFHLWIGVFALGLSIT ISSWLEAWLFFICYSRIGQPIFQQLAAVIFGKSMRRKDIKGVNTKVPDVYLDGAAHIS ENTGPKGEEPTKKQDDDDDDEQKTRQSTINLVGVDSYRVSNFATYIYIFPGTVLKLTL AFGFLIRLIGWIPLLAGLAIPAIITPVNAFASKRYASAQDDLMRIRDKKMAVVTEALQ GIRQIKFSAFEDNWQRKIMDVRRKELKTQWRVFKYDTTLIAIWIAGPILLAAVALATY AIIHKTLSASVAFTTVSVFEALEMTLAAIPELITDYFDASVSARRIETYLGSAEKEHY IKAGSMISFQQATVVWPAEDEGIVEDQFRLRDLNLEFPNGKLSVVSGRTGSGKSLLLA AILGEADILTGTIQVPRAPPPSIRFDEQANPNNWYLEGSIAFVAQIPWIENATIKDNI LFGLPLDSSRYQKTLSACALEKDLEMLPDGELTDIGANGINLSGGQKWRVSFARALYS RASILVLDDIFSAVDANVGRHLYENALTGDLGQGRTRILVTHHVSLCLPRTRYGVLLG DGKALHAGTVEELRKDGRLDAILAQDVEQQQNAEEAAQTQNAPEIDDGGGLKQAISQR SNLSTRKSSHAMQDINADTTISPKKFTEDEKREKGAIKYTIYAEYIRASGGLRHWLLV LLAFLLCLLVILGRSWWISIWTRSYQNETVIAKDHWRPQAYLQYPKKEIHAVQIDGNI WFYLGIYLAWSVGQCVIGSSRYFLVFLGSIRASKDLFEQLTYAVLRAPLRWLDTVPVG RILNRFTADFNMVDSRISLDLGFMMHNAMGVISVIIAAVFVSPWMIAFALLQLVICMH YALRYLTGAREVKRLESNSKSPIFESFAAALMGISTIRAFDKAEIYVERMYRKIDTYA QAYWHLWLFNRWMGLRLNMVGAVFATVTAALIVSIRGIDASLAGFALSFALEYTVSLV WSLRQYANVELDMNAVERIVEYSNIPIENQSGDTAPAAWPTEGRLEVANLVVGYAADM PPVLKGLSFSVQRNQRVGVVGRTGAGKSSLTLALFRFLEAREGVVLIDGVDISKIRLQ DVRSRLAIIPQDPVLFSGTIRTNLDAFDEHNDRELRDALERVHLVSGMSAPSDEPSGT TTPVDPNPNRNIFRSLSSKISEGGLNLSQGQRQLLCLARAIVSRPKIMVLDEATSAVD METDAMIQRSIREEFQDSTLIVIAHRLSTIADFDKILVMGDGRVLEYDEPRLLMEKKD GDFRKMVEESGERDVLEDIIHGKTAPIDAAS EPUS_03477 MDRTNAEALAPERHANSAAQPHPQPQRVAQSHRFDQAGPQLQES HFQFGHMSAALPEAGSANYQGNAALRQSQGNSGEGLPLRNQEYARTTVFPTPPGPGVP APQYPRQPYQQGFHTAGFPPSYTGAPTAYSHSQSFGHPYPPQVSQMQGHPAAYDHAGT AYYPIQQQYPRQIYDNTISVMFRQEPDYLTSTHTPGVPTTHVNVATGLSGSALPQGDP TSMSRPNSVSSAYSSTLRGPPRKPRQSGYALWCGNLPAQTDIVSLKDHFSRDAKNDIQ SVFLISKSNCAFINYKTEEACTAALARFHDSRFQGAKLVCRLRKPSAAPPAGTPTGPR ISPDLSTLDQPARSADTRPPGETAAEDVERIQPIARKTGEKFFVLKSLTVEDLDASVC NGSWATQTQNEAALNAAYNSAKNVYLVFSANKSGEYYGYARMMSGIDDSVDADERPRP QPSPSSAAPVDSSVTIPIPATEHAPKGYIIDDSARGTIFWEAESDETAETTKDLEDEG AMTETTDSEAEPQQQSLGHPFKVQWLSTDKVPFHRARGLRNPWNLNREVKIARDATPI EPLTGRKLVNLFSTPQVAPPSAGPPQMVYTAQNQPLPLASYPLDPRFTRHY EPUS_03478 MADTVLNDAPEPRDAEPEVESTESKAPEESAKGTESTEVRPSEV TVNATVNGAHLPQLATAANETVHEQQTQSPGGARSGEVQFAELNRPSVARLQKGMELE HELVPSQKDSVRALRKFTTLTNAEIFRRVGVSNSNGYRYLRNEPEKDPEDKSKPGRGR KRKLDETVVKQIIHDIEKQPAGEKTKSWEDLCKGAGVEVTPITLKRAVENAGYYKCPA CQRVSKMHGLGKDS EPUS_03479 MESTRQVYLLPLTDDGAPDVPGGYLYLPTPGDTPYTLRFTVDGT SSICRQGSLWVNFPEAGQEFDRYKFREFKLNPDFNRTLEIDVPIVSAGAFAFYTTYMP LPDLTTSSSRIPEPMRTEVYYVDVEPRLRLNSYTLPLDALSIFSVVSKFMGKYPEEWE KHLRGISGRGYNMVHFTPLQTRGESNSPYSLYDQLSFDSEMFPNGEQDVANMIRSMEE KHHLLGLTDIVLNHTANNSKWLEEHPEAGYNVETAPWLESALELDTALLQYGEELESL GLPTDFKNIDDLLKVVDGIKEHVISKIQLWEYFAVDVPSNSKYVVDAWMSGETRLPDG GFDPSMMGGGVDAVKQWSLYQKADFLKNKGMKNGLRIDGRLSRTVDPLVGSALLTALV GRYEEGSDTKHATNITNNILNELNLPFYREYDADVAAILDQVKGRLKYTRLDDNGPKL GPVTKANPLIESYFSRLPLNETTKRHNPKSLALVNNGWIWAADALKDNAGPDWRPYLR REVIPWSDCVKLRYGSGPQDNPYLWEFMGKYVRLMAKYFAAFRIDNCHSTPLHVAEYF LDEARKVRPDLAVFAELFTGNEQTDYAFVKRLGLSALIREAMQAWSTQELSRIVHKHG GRPIGSFEINVLGDENKVPTETSLTNGNHPSLKEEVKRIRESPLHALFMDCTHDNEMP AQKRDARDALPNAALVSMCACSTGSVMGYDEIYPHIVEIVHETRHYSSAYSEGKVVAG AGEGGIGGVKKLLNQIHTMMGKDGYDETHIHHEGELITVHRVHPDSRKGYFLIAHTAF PGYGNGNGGLSPVHLTGTKAKPIGAWTLEVDAGKEAREAADANKQFLIGLPAHTKDIK GVSLVADGDDTIITIPEFFPPGSIALFDTWIPSAEHSEGLGNFVVSGADEAFGELDLI DLNSVLYRCDAEERDSSAGKDGVYSIPDHGPLVYAGLQGWWSVLENIVKYNELGHPLC NHLRSGQWALNFVVGRMESISKQEGHNRLRKPAMWLKERFDAVKIIPSFLLPRYFALI IQVAFNAAWRRGIALFGSNIQQGQKFLQELAMVSVQQTGYVKSASLWPTKIVPSLAAG LPHFSVEWARCWGRDVFISLRGLFLCTGRYDEAKEHIMAFASVLKHGMIPNLLSSGAL PRYNSRDSPWFMLQNIQDYSKIVPDGMDLLKTKVPRRFLPYDDTWFPHDDPRAYSKES TIEDVIQEILQRHASGLSFREYNAGPELDMQMKPEGFQIDVHVDWETGLIFGGSQSNC GTWMDKMGESEKAGSKGVPGTPRDGAAVEITGLAYSAISWVAALHKEGKYAHSGVKNS QGQEVSFQDWADKIKASFEKCYYIPTEPKEDAKFDVNPQVVNRRGIYKDLYKSGKEYE DYQLRCNFPIAMTVAPDLFTAEYGLGALKIVDDVIRGPTGMATLDPSDANYRPYYNNS EDSTDFATSKGRNYHQGPEWLWPTGFFLRALLKFDLMRKNTPEEKIEAFQQIERRLKG CKRAIKESPWRGLTELTNKDGSYCADSSPTQAWSAGCMIDLFHDASALASEG EPUS_03480 MFNISTPETRKNAQKVYNQQARREEEAMAGTERMLKAADDRLSR AEQTAIELRSPNMTCSAVENKQDALKAYQRHEKVRRARMTPHRNELQKRYNEEASLLS WSKPDGHRLTPDLCKKIAGLNNQTAAWDKKLVGPKFYKVRGYNPLTAPDLLQHEISQT PTSKSTVLAARNAAASIVQNHDPLHRLLVIIGPCSIHDPAAALDYCARLLKLKEKYQD DLLIIMRAYLEKPRTTVGWKGLINDPEIDNSFQINKGLRIARQLFVDLTDRGMPIASE MLDTISPQFLADCLALGAIGARTTESQLHRELASGLSFPVGFKNGTDGTLGVAIDAIG AVRHPHHFLSVTKPGVVAIVGTVGNEDCFVILRGGSKGTNYDAKSIREAKEKLAAKGL SARLMVDCSHGNSEKEHRNQPKVAAVLAEQIRAGEEGIMGVMIESNIHEGNQKVPPEG KSGLKYGVSITDACIGWEDTEKVLEKLAGAVRERREKWARNGQHQQQQQQPTTNGVNG STEKAMAGMEWNGMELNEEASSIALHRICMDSPIRGLEPRPRLLWRALAGCVDLNPKT SINRLILNEWGQDNEANADADAKVKAKGLTDIELAADNDDEDDDDLKMTGV EPUS_03481 MSGFKRAPVAGGRAPRKDPLASLKMASMMDTKPVLPAEIIARIV DYLAVPDLIRFARVSRRMQEMVYDDTRWVQRLRRMGCWNEVEARNRAESLRGVAHNVT TPTAKRKSTNPSMAGNGSDRPPPSPKMVDTLQPTVNGTPQTGRMPPLKNVSSVSDGFD PAPVMSSSTSKPVAAVGEHPNLSVLQHVRSVRGHARQEYGKVFRVLAPYYNDAVSAEH PMRCMVFTSHSSPEDQAYILTQLQRFAKSDLSPGAYARSERLTEVVSIFDTAALLEFR KGYEEGDIDGKMREFAHVLHILNGGQSNVELYVHDNRLALNKDKLGSPGDCIDYASGH GQLSLERTQQFFDRLQTAYSAEYAVLERVFPSPSIVVPKLLEHIGQVVLSPYLTALFD EAHSRGMEVYLMTVSGTFAQTQNLLSELPKPEGGYEEYQIVTSKVTSDVFEPHLDLYL AEELAFFKQTSEAEVEQWDRALSEQAASTETFLMSNINRQADKKDFMSSFKKVVMMPV NILPSFPALSSSKSTARPLVNGENTNTQKHDSRSSTPAPSIDPVSSNPLRSVTPLPVE APTTELAAKVALMTSKLENIRSLFSIEVALSLVHRAKASLERAAQFRQLDGAPGQAAK QQCSAIYLTLLQILGTRHVKAGFDKAVNHLSDYNPRQAGADTSTEPGQGVAPLTTFLE LVNVGDLIQQMLDVFFESEVIRLGIANRDDFFDPAVKEKKKFEQMLDERVAAGLGKGI DVLMDEVEYICATTQQPSDFNPDANKPNFDIGPTPTAKKVIELVGTHTSMLHGSTDKT LLDVFSSEVGLRLFTTLTKHLKRQRISTTGALPLLSDLSAYASYISTFRNNDLNSYFT ALREVGQLYLIEGGDGAEEMAGIIADAERYRGVFTVEEVLEFAERRRDWLLVKGRVEG AMYGRGCVVM EPUS_03482 MSGNIGETDLSVLLSTLHFTVHPKVFVFATTPIDMSPSPQSKLP PSAIQMSFREAEGTTVITTLDDLKKYHPDLEYQFPCRMITLQVHSSLEAIGFLATVAS KLAYKGISCNPVSGYYHDHLFVPVAMVDDALEVLTKTAEDAKARSTKE EPUS_03483 MPGMPLQSPRMERDAEGFLIMDEPPAVSTKYDGREWALEVPQQP IRARMCGFGDKDRRPITPPPCVRLIVRDPMTGREIDAQDIDISFFVITVDLWDEEAQK EVNLVKHAANSPSISTASAVAYPQTPTANSATQAFYQYPQSNFASPNNAPQQNPTSPY YSTHGQQGYAGVASNYSQYGHTQYGFNHTGFGPNNPQSNGQFMHASPSHPSYMLTHQQ QALATPHQPTGMFTRNLIGALSASAFRLHDQNKKFGIWFILQDLSIRTDGIFRYEKEH PFQEPTNLSSPLRSLKFNFVNVGLQNANPTPLNTNTNTTTTSPSNPTSPLTPASAHSS GITPSSGSGASLNTSAAPVLASVFTRPFQVFSAKKFPGVIESTELSRTFAQQGIKIPI RKDGDGSGGSGGGGAGAGAAGKRRRNLEEDDDEGPGDEE EPUS_03484 MAVNDPEHPELARERQDVDVPNAPLVAEKNFQTGRGGAGNIHHL TEEERAQAKEHNQHVRATSQGRRNSKERDHLRELAEKGKEKLFGHRDSKP EPUS_03485 MAEPSTSRSTEIYSTYDETITSRPVTGRQSHRRSKGRRPATALT SNIEDQDIICAISESRGISPTVGLAFVNLSTSEAVLCQICDSQTYVRTCHKLKVFNPS EVLYMSTVADSKLLSIIRENLEVDRYGIVMQSIDRRYWSEASGHEYVQQLAFPDDLES LKVSIGGNYFAVCCFAATLKYIELAIGSSFCSQSLRIKFEPSEGSMLIDLSTIVSLEL IQNLQNAKSRDCLFGVLNETLTPMGSRFLRSNILQPSTDAAKLNGRYDALGELTLKEG MFYQVRQAMKDFVDTDRLLASIVITKSEGNIQQIEHSINQVVALKTFLDSIKPVWQAL TGALSEELRKICELCNPENYRMVQDLISSTLNEDVTYSVQPLEMRNQRTYAIKAGVNG FLDVARQSYKEVCEDAFNLVVTLGNEHEISLEIKYDNARQFYIVVSTSELEQRQLPAV FINVFRRRGKIECQTLDLVKLNQKIADAHNEVIMMSDRSIQDLINDVRTGVQPLFKIS EGIAMLDMIASFAQLVTTQDYVRPELTDTLAIKAGRHPVKEKIQREKYIPNDVYATQQ NRLQIITGCNMSGKSTYIRSVALMAIMAQIGCFVPAQYASFPTTHQLFTRISTDDSIE ANVSTFAADMREIAFILRNLERRSLVIIDELGRGTSTADGLAVAVAIAEALLESRAYV WFVTHFRDLPRILEERAGVVNLHLAVDIAPDASKMKMLYKISDGYEQEKFYGILLARV VDLPESVIGVATEISNTLHERNEARKNDKALLPIARRRKLILSLREQLTQAKNGVLEG DALRQWLKRLQTELIVRMAAINAEAAQATKGVEEAEGGETSSSLQTAMSATTD EPUS_03486 MATVLPPPSKRQRLDASERVRVQAEHDEIPDGLGSVRVQFVDQS TGGGTGSAISVPIANATVKNLEILLNSIQGNDPNERIPYRFSFHSPKPVVEDGSNVVD VVSDLYTSVLRPGLKSTEEIINLRFTPQAVFRVRAVSRCSASVAGHNEAILATAFSPT SSAIMATGSGDNTARLWDCDTGTPLHTLKGHTSWVLAVSFSPDGNLLATGSMDNTVRI WNSKTGEVLGGPLKGHSKWITSLAWEPFHIQSPGKPRLASASKDSTVRIWDVPLRRIE MVLSGHKGSVTCVRWGGIGRIYTASQDKSIKIWDGRQGSCLQTLSAHSHWVNHLALST DFVIRTAYHDHTGQIPATQAERTDKAQQRFGKAATFNQAIVERLVSASDDNTIFLWEP ATSSKPIARLLGHQRQVNHVTFSPDGAYIASAGYDNHVKLWNARDGKFIATLRGHVGA VYQCCFSADSRLLVSASKDTTLKVWDVRTGKLSMDLPGHQDEVYAVDWSPDGQKVGSG GKDKAVRIWRH EPUS_03487 MAEKQPNINESQSSNYEHFCRRIGIWPMSTHLSISNGSIDRPAK NKGTYEQLVNSQRKCRIEYYTTASLINFALFAQIIIAAAVTAVSASSGPRVAITVLGT LNTILAGSLTWVKGQGLPDRLLSYANELRRVREHIEELERQYEETPNFRLDVDEEAEK IYTMYDTARKNAEQAYMGTFKNIKEDGLKGNRATAKTRPPVPDGNGPGLRANAED EPUS_03488 MRTKRKRGTEQSSIAKRLKVSSPAAKGSELGPISHNILSYCYSE VYTLRQFLVDSLPSTSRTRRQNISIHTLENGSAFLDTTLVGRSNKTKPAHDEERHREF VSFTKSQRRSTNSSNGTPEEYHLTEIVDFVIWSLFQQGGGSSHRPSHVLCHGYQKAPL RALQEDTDTSPTGIPGVICLHTNESTRKLQRRPWTEILSILGSNGDAILRNLLLDCGV FVRIDQGKENLHQICGVPVSDLFNKRKLLEQPPNAISAPFVWGAKSCTRKSRSPSSIT FVRSRILYARPSMNAQGGVRFGMKHIHVLNRQPELTALKQSIHIMKYIFPLQFGLHNV FTSAVDKNETAQEFKDYTLRENEIKYADHRSMQKIRLAACAKDIKIPKRLRGPPFAMI QKIRKRHSRCSYDQQLRHHCPIRTCDVDASHGAALAFSQSSSHLRTQLSSNHSFVPPT PDQADDVSKPVAGDSILAHATPTAYVSAFCCAVIRRLLPGDALGVEADSEHNWIVLFA QIDHFVQMRKYESLTLHTVFQNLKINCISWLRPLGTPVEAKLSRSDRGKRLEIFLEFL YYIFDSLLIPLIRSHFYVTESNIHRNRLFYFRHDVWRTVSEPSLTDLRFTMFQGLRAQ DLRQARHSRLLGHSQVRLLPKASGARPIINLRRRLIRTQGGKTILSQNINSKLMPCFA TLNYERSIQPQKLGSALFSIGEAHQRLRAYQNMVQTSTEKLYFVKMDVKSCFDTIPQE AVVRMVQGLLSERRYHISKHAELKAIDSRWSTSHFERPLTRFPLTARPNGAPPGLSDP SLSQLAQKRNRTVFVDLWDTKACDARSLLRLLHEHVQRNVVTIEKKFFKQRRGIPQGS VLSSLLCNFFYGEFENSHLGFLQPGESLLLRLIDDFLLITTNQDHARRFAQVMVSGNS SYGISVNPKKSLANFEVSIEGLKLPRLHGSEMFPYCGVAINVNTLEISKSRENKDAKV SNGLTVELSRHQGLRFQRKVLGSLKIQMTAMLLDTKLNSRYCVSSNLYQNFLEAAMKM YRYLHGLPKKRRPGESMVIETWKELVNLAVKMTRGKRSTKTISEYECAVSKTQMHWLA AAALHLVMEKKQTGYKTILQWVREVMDRCQGGMKMSAMQLGELMEQGDKVFQRYRY EPUS_03489 MSLSFTSKLPGAGHFSQPSHAAIQALDDLHRCQVDLNHHHHHQQ HPDLVGSSPVHTVEGEDDLSIHGSHSFASLLGGPVDLYTASAGSQLSDSIQFAHFSDS LTKRNPYQSVIYPAPLPFTTPYQRSLTLNQPISSHEMTTTITAPGSPPELSGSRSSKS SSYQSSHLDGPDAITNDVSNFEEIGLEEDAEEPCAEPAAWKRKGPADRSTSRVSLMSA QPLMPARDLTTHHQRPTYPSLQGQIHHALNNTKVNGPGLPKAGGASAGGLKRGFTAPP TTTLPMLIPGCPPRTRSSSPSPKHPASASFAITGHNIRTGPPNRSAKPPSRRGSWQPS RKTIKELEAEYHDSDDELPIDASLWNVPMSPRPPTARSSSARSSKRGSPERDAISNGP RPIPLAHAASAPESHPPLPISQSLPRNQPPPRTSSLQAATSAANSPISSSAPGFFGDT RSKSWTLAMADLSEEARVLTETLESHADEKGRLHEENIQNGVKSSRPSLESNAWRSAR GSAVELPPIQKGNILIDPMPLSKEKEAVLTRTRPSWLPPKDPKEEKRHLKEYQRMMAA SLDAEKKKEDKAKSRQCEKDDTREALDRIWQQYVYPDWDRVTHEHRTRELWWRGVTPK VRGQIWLRAVGNPLGLTHLSYTRALQRVKSLRARPVEGLSEKERSMRTWYSDIERDAE FAFPELNLFQIQGPMRQELIDVCCAYVSYRNDVGYLYGIQLIAALMLLQLPAPADGFI LLANCLNGPLALAFLTNDSSSATKALQHADSTLLYKLPRLHNHLFRSHEDGGLGMHPA EVFEAMFRTLLTNGLDVERLVRVWDCFVFEGDRIITRAAVALLGCLQAQIFGFEGSPQ EKRRMVKELLGWGPTGRVHGYWHVRGDADSFMADVKEAGKVNLGEE EPUS_03490 MAPLAVAGSKAGGFGFLAGGFDLANLDADLDEAASLLESANIRG PEGVLPIGVGFQNWGSDLKLAVAAIQKHPPAAAWFFAPRRLSDLLPWAKEIRAATGGR TKIWVQVGSVGQALEVAKTTKPDVLVLQGHDAGGHALAHSASVISLVPEVVDALAYEW LRIPVIAAGGISEGRGVAAALTLGASGVALGTRFLACKEATIAKGYQNEIVRVRDGGV STVRSTLYDVLRGIMDWPKAYDGRGVANRSYLDAQAGMSEDENRELYREEMQKGDEGW GAEGRMTTYAGTGIGLVREVKSAEEIIKEISNDAMLALKKTVGRYSEKHVVFGKPPG EPUS_03491 MSEFDDPDPSRNERLSPYPERARPKGAASGPEHTNENTYDRFEQ FLLAEGEKKVEMTLDTRMPNTCIFKFNKEDHTLGNLLRARLLQDRRVTFAAYKVPHPL FPTFELRVQTDGSLSPKEALLQACRDSVSDLNTLSREFTKEYELRKMVNEGRENGI EPUS_03492 MYSSSNSFLGGANSARPGPGPLGQQQSFSNFQPIPQQPQQQQPP FASQPTGFGGSSIQPQITGFLGPGQQQSFQAPPQQPQFTGYPPQNLPQQTTSFQQPRL QPFQPQQPQQPQQPPAAPLKPQQTSSQIAQSFSTGSVPHASTQAQSKQSNKIPNIRLS FITATDQAKFEQLFKSAVGNGQALDGEKAKDLLLRSKLPGNVLSQIWILSDTTKSGQL LFPEFALAMYLCNLSLTGKSLPSSLPDKVKNEVSSMVDIISFNVADDRAAAATPPSNA PNFEAPLRQNTISPPAPQAPQPQQASNQQLLTQLTSQPTGFYNQATGFQPSLPPQQQQ TGFMQNPPATGYSGPRPPMPPMPSSFVNSMSPQQTGSGPLQAQPTGMPGQWGFVNAPS TGLPNIEALQQRLMPQAGREGGYTTQGLSGTAKVPWAVTKDEKRIYDQLFRAWDGFNK GFISGDVAIEIMGQSGLDRKDLEAIWTLSDPNNRGRLDMDEFAVAMHLMYRKLNGYPV PSRLPPELIPPSTRNFNNSIDTVKSLLSQDAESRKSSGAFLQPQRTGVSYLKTHSFRS GSSSPSFGRKDATVFRNNDDDIGYKSSARRRIGAGGRTPSPSHSDAGTSDASYDDMTL DLIRKKIREKRILIDATDFKDENAADEDDVLDRRDRREAEDLFRQIRRLQDDIDSHPN SAFHSSDSGAERRSMRRELQRYQDRIPSLASDVRKLERSIVEAKLELFRCKDARAHPN AMANIVGTGPGGEVTEGDRIKARARARMQARAAELSGRPVPAADDEGAAQRRLEEESS RIRAEQERNERMARDVEDSVKDFASALEDGLRDQGESASQEHERRRWEEALGVENEVK DLIFDLQRGSRTARVRKDEQNSRDTRTPSAPVDEGKRAATNGSLPSRSVAPPPPASSS SSSSLTTGNSHQDRIASAKEKALKRIQDRMAAAGLKPAGEAGGETLQQRQEREKQERE ERLKQAEAEDAKREQERQQRLASEGAARSPSSAKPSSKKPPPPPSRKGRQDSVDLSND KRAADAAAKAKADDEAAQEIKAEQQAQEATRKQIELETKAKEDDFEREQEAAQARLKA LEEQVRQGKIKKQEEKARKKAAEKEAKEKEAKLAAQRAELEAARERERQLQLQLESLG DDSSSDEEGPQEITPQDTTPSTSQVLPTTASMPAPAPAPAPPAPPAPPAASSTSSSVR EATPLSSPPMTNSTPVVEQSRNPYFKRSSVSSEPGPPAAAPPVPHTQPFPPPAAVSST NPFHRVAQQEAAKPMVPTFTGAQSRRRQESDEWSNVDSDKDDSDNEDEPPAGGSAKHL ASILFGTMAPPRPLSAQDNSKPATPVQDAPLPPSTMPGSFDEAEFSEVSRSPQVPDNT PSPLAFPPPPPPMPSTGAPSAPPPPPPLPESGAPAAPPPPPPPPPMVPPAPGTTVPPP PPMPASGATPGGGGVGALLGEIQAGKGLKKVQTKDRSVSAVAGRVLD EPUS_03493 MPRVANQPTGKSRTATQQTLATPQNTSPVKLLLNDDRTEKAARL QSRQALHDIQMNQIRAAASPMRKMNAHENKRAASSSPQTPRSAAALARDRDRGKGKGN NDPPGSPGMLDVVSHSAVTPMKRVPILANFEEWMKMATDNKINAANSWNFALIDYFHD LSLLKEGDGVNFQKASCTLDGCVKIYTSRVDSVASETGKLLSGLADSGAGKKAKERAE GEEGGSGDEEEEEEEEGEDGVRKKAKKKTQRSHEQTLAPTFASLQLKKLELEFTVDPL FKKASADFDEGGAKGLLLNHLSIDSEGRIVFDSSDETADTKSYPNTTQMQQTLVDPSA PPTEELSNLSLLPDNQPQNQEIDLASLASKFFPDLSILDSQDICPSMKSFTLGDATAD LNLPFLKAPEDWRESHQANGTDRSSIDIPIYDKSGIFIDSENAAGFDEDDDDSPLVGF DMGPDVGFGEGGEAWAKDAALEPMLRVARAEEIDRDGGEDGLVIGDFDPANPNTDAYA VGLNHQRRVGDSNSGDPTENILSYFDAALKSTKGKAAAWAGPEHWRIRKIKAAQASSE NPPAPRVRKEKREPFEIDFLTPMDQGLAEMLYTPAASNAAISLPKAQWKTKGRNLLPD DRHFNSRSLLQLWLKPKARVGRRRAVPGLPDRGARGGSSEELGMGGDMDGHVEMDEAY WAKRREEAEERRRREVEEEKDRKEGDYDANFFADDGAALPFGDGIPDGVDDDDDDGAP GFTDAREMLSPPLTADSQHQSAPFGMASSSQQQIPTESHLLPGSFGSQLVTQGGRRLR PEYVNYARVAKKVDVRRLKENMWRGMSQRLMSAISSSAPAPVETVSPDDAANAPPTPA PTDPGLDADGDVDMDMDMDMDVHASDREMEVAEMAKAGTHQTKEGGELLFTHLIRDLK SVYPEQQMRDISTSYCFICLLHLANEKGLILEGDYGQAVQNMAGGAGGEGMDVMTGLM REIRVRRDESVGVGYVGE EPUS_03494 MAPAIISLAMPLSNRALADKPTKASYTTDDLTLEAWGEGYHVGS LVILLLIVLCNYRRHVLLHKLILLELFFALWHGTFIFCQDPTYGWYLSSTATLLFISY QLHNIISWRKIKPFLPRWGQLTFIFTIIAVQPFWIVEAWNNFEYFNGLGNLSNIQTRP WEALARDPWWIFTTIKLIQVIKKDYGFTLLGLIRTSPRFAIMIFCMLLSLVFLIMDII VTVTGMSRNSGINPYWRLALVFKCASDTIFLDDFKIVLDHIVSVSFSRFGGSLGGRID ASHHRPSFNPAPPSSTTLRNMIPPVVPGGGPIITIHSDNDPAAGGNNSADKTHSRKPK SWRLQIPIASLSKPKLQIRQETTITTTNEPSDRTDMAPSVSHVSEDTFVTKPQTAKPG KKASSPSSLG EPUS_03496 MSQKDEQATKQVARICLFRWLQALRFERRSSSEKREHHPAPKTT PTRLDESLQDAPLDSFYLIQNVAQSTVYSEETILYLAYGSNLCRETFREKRGIRPVSQ INVVVPELVMTFDLPGLPYTEPCFGNTRYRQAPAPGDSVGNTEKDRYHKQMWHKGLVG VVYEVTLADYAHIIATEGGGAAYKDVLVDCYALPDDPSLPVPAIPSGTAFKAHTLFAP PPASGGGRLARPDPNYAQPSPRYMKLITDGATELVLPYEYQEHLHSIRGYQMTSTKQR LGQFIFLSIWAPLLAFIFGAGRTFADKKGRYPPWLTTLAASIFIGAWASYDSFFKDLF GDGERTIGLVSSLNSLDEKRPLLFNLAERQHEIVPEKRLESTDTMV EPUS_03497 METSRRGFSELYTFLRDAFQQRGLVSIPPEVLQLFKQCPLQDID CPDIASFAKLFEGTRSSNRFRLEANSFIYTVQILFHLRPNLVQERVLHSLMVSVRLLK DEEEATRQLSELAGRKIDRFAVAKKRAIISNKLIPWLLKHFSQDSTIVRLKVMAAQFL FHLLQGDAEAKTILRFCPPRDRCSLGRSITASSHFPVRILAVNLLREISLADIDVRLF WSPDLLGNNDPRPPSSFIADVQWLDDTVKYLRDVDNRQTISDESRARTHQILSLRAGS LVLSDVTSKMLVTVSERLLFIIPAHQNRPLQILEIPLIVNMEYHRSDGTVTRFDNTVA EAELQLQLHNAGFYILNGCKSAIDQVNLRFVTDEDCNGFCQAMAGSLKKISAGVQTSA IEQLDVDIVGIRPRPKKQSRAVMIELSQDAVVSGDTQVLSRTPQAPHRVSTSLRIDMS ATSESSISPISLPSTKAEEAVPGYPKEDNEDPSHDNQQVQKELHQNAGGEAGTGSRTV EIAEDSGSMRRASDMKKSPEKSIAPADPASTSQESIIAYTTGGHLPQQTQHRRPTKSK IRSPVQTPNLQHLAQNALQHSVEGSITTILHHTEPTARSQETSHSKAAQASNDAPPPP LPTLANLGKKMAPKPDSMKQAASSALPDVRKQNEASRSRTSRSHSKPLRAKNTVTVGE AAVDWDEDLRTDQDDKDHSKVSETSKTGKPRSTRNGTKKTAGTKTASKHPPSRKKGPK KDNINGERSEAAAASATLASTRPRRTAANVSYIEQSEQEEQSQRTIGETKDMDISARR RQTSAVASSPSMDNDPNHHQTVSVDDQEQVGAKCGAGAELGDPPADTEVVVEVSRSLE GLPATDQLGTKAEMKDSKKGASDLMRQSHHNQNDGDDKPDHDLPQHTGTVEVEVVDDS HPMDKQKLAEVLGNASKSFGSVLKDVMKESGMQPIEAPLVEAKKKPFGDKPAFVNSVK SALKQTPKGHKIQSSRPGTTKQAQTASTTKQGSNSATRRGQANEILQSARLSDQTRME ESTSRQTLEGRVNLAVVQPTTNASMPKATPERSKRLSKAPTGVHQRPSDINQSSPTKD SVLYENDHKTTPNSAPVFAKQQPWVEDDSPALIMPLSPAPLVDKRRPSAEAGSSAVIL PPDRTPSIANEISIPKPRNAALFPSSSNKEADVGNDGRKRSASPAAERRHVKRARKTD PVSGRNQVVNKATQRSDLTPQLTASIPGHGLSTTPRSSEPSIQPNRNIVPSDRALGTA RMQRTQQPADRSGTQVTCPGVMATESSTKLVESKAQTPRRGMGLTYLPDHPDHPDIPD IPDDRLHRKAQIIVFSARGPRNQGTISSDRRAHNQGQSNSVPTETGKHLAFKNLHSES RIAGPTKRKIPSQFRLFRGDAKRKVDFLVSDPESTDDGLDLDEESIEDVALAPAILDL ETGKSASQTSKVDENGSPRLNVPRPSQISNLPHDFRTLDDSADLEESIGTASAFETPE SEGLKSNGSAGFGLKTAVSHYAAANAQMIVKMKNPPRRASIGVGKFLDHSFPQASKNI EIKVFKASNSLDEVGPKRVPRKTKPTDQFHHERDSDPLTVSVLQGRLNDTTSALQDKT PLFDIDQLNVSVANEQLDSAASARVRRLRSSTPSSPEREALDVIASPPSFNTRLGKMI MPPPSRKEQNISVRGKEQERELYLRREQSTLIDAETTLVDAEASEEEKRLSSPQAQQL SSPSSSPSEEDDAPVPSSQQVPSKNLHVDRKFWNEKLAETQQTVTEILEQISQKLLLR FSNAEQGIRFKIDHYHYGGYKILNNFVEQFDDRVTQEKALPSPRVNAYRKLLDDAICR LQQAKGSSRAGPSLHDLEKSRSRRSEYVLANLEKFAATHAS EPUS_03498 MAHISPHLNNSEYTVGWIAALSHERAAAEAMLDKKHPPPQHKHT NDDNIYTLGSINAPNGEHNVVIASLPPGRYGTTPAAKAAMQMLSSFPAIKFGLMVGIG GGIPSNDNDIRLGDVVVSKPNGTFGGVRQYDCGKTTARGFKEYGALNSPPWVLLNAMG ALESQHDTRGSAIPNILDAMYKFYPLMAKPRKGPGYIYQGVDHDRLFHPDYEHISSKN DCNECDTEQEVVRLERPDRDPFIHYGTIASGNQVVKNARKRDQMLENCLCFETEAAGL MNDFPCLVIRGICDYSDTHKNDRWQRYAAATAAAYAKELLQITDAADIKSTPEARGVM HELQEIKSITKGLALDREQQQLDRRLQEIFKWLSPLSPSARHSENQKQRVEGTGMWML EDPKYLDWSSKTPKCQTLCCYGDPGAGKTIITSLVIDMLGEHVALGSKIGLAYMYSDY RDQKQQTTENTLGAVLKQLLGLLPHIPVDALKLYEEQVTQMKPLKLTEAKNFLQIICA QFSRVYVCLDALDELSDLRGLLECLSDRPPSMQLFITGRPHVREAIQKYFKEEQSIYI EAHRSDIRRYIEHEIGGPNDVEPDAMDKKLRMDILEKVVDSAEGIFLLPTLQVHAVLQ ATTIRDRQEALETLPSNLGEAFTGTMTRIEQQPNALSRRAGKVIAWIHLAEQPLTVDE LLCSLAVKDGDTSFDLRGIPVRGTLLNCCHGLVVTDQETSAVRLVHYSLDEYLRGQDQ IFGLTKAQWHSKIACTCLTFLNFPSSTGRKASDESDMKISILSYAATQWGNHLRMSED LPDAPLDLAKEYLNTRSKIDFLSFRLLRDVMYPYVSIRTISPVNIAAFFGIARIMSDL ISAMRDFDSKGAYGQTPLSLAAQKGHKAVVKLLIENGATVDSVDTKYGQTPLSYAAEN GHKAVVKLLIENGAVVDLVDKHNQTPLSQAAENRHETVVKMLIENGATVDSVDTKYGQ TPLSYAAENGHEAVVKLLVEKGAIVDSVDSDGQTPLLCAAKRGHKAVVKLLIENGAVV DLVDKHNQTPLSQAAENGHEAVVKLLVEKGAIVDSVDSDGQTPLLCAAKRGHKAVVKL LIENGAVVDLVDKHNQTPLSQAAENRHETVVKMLIENGATVDSVDTKYGQTPLSYAAE NGHEAVVKLLIKNDAVVDLVDNLGQTPLSYAAWNGHKAVVKLLKESQSRKRRRMN EPUS_03499 MASSPTTTYPSTSTTNTFLFPWRTSKIAITNGPKSPRFPWVTSP RGPLNANPPSPLPPSWVPPTIDAEKRSSLSASIKQYFLISKRTKIVLGVVIACILILI IGLAAGLSARNKPQDLPLPSDNGGPYQGELTYYAPGLGACGIESKDGDDIVSLSHALF DAVSTSANPNLNPLCRKMVRARRGEMTVDLKVVDRCTGCQPRDLDITEKTFAKLARVE QGRVDVEWSWLEEVPDAAS EPUS_03500 MDTLKQKMSSLTPFAKKHKVTIVGSGNWGSTIAKVVAENTAAHS EIFEKDVQMWVYEEEITVPKSSQHYDASSDLSTKPQKLTHLINHFHENVKYLPGIPLP KNVIANPSLTNAVKDSTILVFNLPHQFIGGICKQLRGHILPYARGISCIKGVNVQEDS VSLFSEVIGKELDIYCGALSGANIANEVAQEKFSETTVAYDPPSVDSQNPTPATSRGP SPASSHADLTQLAHRDVSGKASGVKLKPLPQEYPALDHSTLKTLFHRTYFQVRVVSDV AGVSLGGALKNIIAIAAGFVDGLGWGDNAKAAVMRIGLLEMVKFGKQFFGKTIQPRTF TEESAGVADLITTCAGGRNHRCAKLSVQRGVPIEEVEAQELNGQKLQGTLTAVEVNHF LKIQGMEKEFPLFTAVYEILQGRAKVEDLPQLIEGSGEIQPFSNNKNLYKSPPLATTQ TEPEYPPTSQPDSSSKPFVISSPISDSVSSAGMLPATTHTADKKLTMTSPSSKSLPIA SSSSSPFLVPPHPLSTSRYHRQLLVPSISIRGQEAISKAKPLFPFQAHHQASPLTHRI FM EPUS_03501 MRLCYPPPPGHVSDVRDASTKDSDENGKMSERGPCYRCIFPHPP APETVQSCSEIGILGPVVGVIGTLMAMEVLKILTDTATTTAGASAANNLTAAAAREEQ DAWRPTLLLYNALSQDPRGMFRNVGLRKGPRRDCLVCGEEEVLDGLRAGRRKITREGI VNGEVDYGAFCGRVEDVRVLEGGRRVSAGEFAERMRLDGEGGGGDGGLEGKRPVVVDV REEVEYELGAKVRNSIHIPISRILRSGYGLVDDQDGSMEDLLGLRLPEGEAAEEDRPI YFLCQRGNDSQIAAQKFLDSIEQDKTGNRRRNRWIGDVVGGFEALQRHQQRD EPUS_03502 MSRSSRPPEHPTTLPLPSTVDLNLPVELLLTLKPLIPLTLKLCA YCLTYRPTDPSYWHSIAGYERYDF EPUS_03503 MAAEETEKKTVYASEDREAAREALKLLKDAYEKSLKLSSPQVAE EIRGRVNQRIRELDNANIALEESAMEG EPUS_03504 MPARTLSSDASTLHSISQSISQSEHLDPEIRLQIQSNIHAIYEP SVASLYHITTSRGPDGTYIAFLSKQDNVAKALLNPSLLASEPRSSRNAAMLSLLEATE QRIHEMLVKSNPMRDNHGKKSSNTVSTPDTKVTRTPTSDEVSIDYGEKPKEKGFPWKK HIRVESAYARKVCN EPUS_03505 MPAAVAKPVLPPLQTPKSSTFPSEILSPLPSSLSTVKQEEDMRT PITPPVAYTDFLKTLTPVLSTASPRSALPRSYSFESSSGKSTPTSEPSTANSGSFPFS RRDTHKSPPASAATVPKSPFTHHRSARSPTDLRRIRIPPSPLCSPGNDSPRSASINAM RSPFSPADWALDSNGTRYFETPRSACVRPVSVRSVVTRTVTYKRTPPLEPAPKGKKRK MSEKSMSPQPVLATTPPTAVA EPUS_03506 MSVLQERVWAGRLPLEIRLASSECRIYDQSDPYLISVPRLCYLP LLLPRLHAFFSPVLIADPTTTPIYDGYFTYDSVPLKWHLPIGLLYDIYVLSSSQDPAT PPLPFKLILHFQNGSGLNLISAEPAVLHDAFINSVKEADFLRTGTAKPIMSLSAADSR ALWGSVQSHDMATYKRIYNSLLPQNFRNIPIRIYLPSGPDGEKASIKVVQAHIPPTVN STPSAAGGASRTSTTTQTLGSALRSLMPSLFPSSRTPVLARTVLHGAVVPLGSQLEEL ARNATYTDGWLGVVVVMNS EPUS_03507 MEGQTPVAYVRSQRKSSNRDEFDGPHQLLNLPSPRSIAAKDQPF PVLPMSLSSEEQNDIFGRLNEVLSNCAFDFVARYQFPIPVEPEKRLVITPQDRDWTEW VYLLKRLATKRRIPARVLHDNQIKQLVTVLENSLDAPCTASHQSRPLKDDRSVLQFIS AGTQVARMLKDAAAMGHLDRLYSQTANCIQDRRWTGLPI EPUS_03508 MKSKTTAGQRSEYDQRMDRSRDPGVGLAFAAENAEREPQNRFRL EKKNLVALRERQERLRDDGDRQLFQIPLWLDSLQELTPNGVLMHHKRTGSGTSIDCPA TFPGM EPUS_03509 MEIGSVLVTGGTGFLGSAIVRALREKHPRCHITVVDIQVSNDTG FATDSDLSFAQADVTSPESLSNVFSKCRPQVVIHTAGIVPPLSERYARRMEKDVLRVN VEGTRNTLRAAKEAGCAAFVYTGSCTAVIDDVSFQYANIDERWPLAYRSSIYGESKAL AEELVLSSSGDGIKACVLRPSVLCGEGDSQLLPSIHACIANRETSYIIGDGHNLWDVT YVGNIADAHVLAAENLLSTMTAAGEAFFIQNNEAIAFRDLSLAVWKHFGHIPPFEIVI PAGLAWFAGFLAECFAWMNGTTATLSRGSVRDACSVRYANGQKAEKILGYKARVGIEE GIRISCEDYAKRLRQRAKG EPUS_03510 MSLVLLANICSHLQNASKARLGLTSIPSTILHLRLALALQNAGF LSTVVRGGQAPPPPHLLLNHPAPNNEAAEIEPVTQENVASRRLWLGMKYWNSEPVIEK MQMVSKPTRRIWMDTEGLSTLVLGRQSGYVKGLRRPGECLFVTTDKGVMEARECVEKK IGGMLLCRVY EPUS_03511 MPWTIWPALVVLWGVCWMFYEEPNRNSGDQDDIPTTSDTTGLFE GIDSIDPFRGDCPSFFLSTKALNTLPVFDSNISDISWDMSMWSEPQFNPQNLEQQLQI HVPEPVANCGMMTTLDSIPTSSAVDSIDTINSLVTNHEKSSEIVITPRFSSCQATSTI QESTENERLETVESGYHTPLSTFLVHKLAQDEQTISSQNSKHGQSEKHFCTYSDCNRS QPGAGFYRKDHLDQHLRGLHKQKLVPRIRVKSAAASSTCKLTTTSETTGAIVQSGKRK RESEGELSCHGGDGLFEELTKERRLRLLAEQENQRLHQKVENYEGRMQKYEERLDRMM ALIEEHKGAKER EPUS_03512 MPPLPGEERLQTVFADIHYYFSAPTPRPLHHRFDKGSYFYIYHN ATKQTSRLEIANNPGTSDQDAFNGSLDGVYMRYSYKFPTLLTILVDPHDGTPNPASPL TPKDPQEWRLASTDPRDQGLLKYRLHTLDIYFWTLEDAKAVIQTFKSILRPQQLDILD APRTSSHAQSEMSPVVQQLESIAITDPAYRNGQTRSSQNSAATLPPPPPPPPPTLANS RQSPSSQVDSAARSPSIRSNETPTSFAPMAYNPAAPPAPEPIAHREKTPPPADATNGT GLAAAAYADHTQAYAGTHPQPYPSVPGPPQLSTSGNYGSPPPQQPAHTSAPPAHTFYH TNSVHSNSSSQGTPMISPHPAPPSSVTSPSVRQPSTSLPGYAPPPQDPNAHLYGRSPG IPTAQPVRPAPQPQSQPGFAPPPPQQHQDPNAHLYNAQTPLESPGAQIYGSAPLAPHP HQPLPHLQPQYADYLSSRPPPQPQLQQPPPPPGSGYPQYPYAPQQPYHHHHNAQHHHH HHHDSAAADGNLYDVHNQIYRPSQEEDRHKHRKSSAVGIGHTGSVGSVGSVASGTGGK QKPAGRLEDAAGKVDKKVGGFLKKLEKRL EPUS_03513 MSIDMSTSTSSVRLEVYDRLKKAFNHLSSMLDAHLTDEVLYKLA VDHVQMDLENETERGGPYFLPMSQECMDSLNKRPEFAITFDEEWSNSIPSVPDVPISV KCLN EPUS_03514 MSTKYNETARSASEITVEQFISLKVLWPPRHKATELSTDNIAKL YGSSTVALQALKNETWRNDPSWKAYLTAITASQAVGAFGSKLRRTMKLSRDLGVYALV LQAQLEASEIPNSFDESRSLRFTPRYNMRHGAKGEHHDFQGKGKEKEASPKSQGSQHP SETSEVSSGARTISPTAHAEAHDFPVGDEQIVNTAATNFLNALFIHDARPADWTLQRK QFKFKSDSVNFEARTDGHLQVHGHKRSAAILEVKPRVRYHEEGFRIEMQESAQMALWI FQEPNSHWAPPTGGDKYYRVLISPDRQEIYVTIANYTAKYVDYLQNKVKFEHADFLRM NMYGPFHTTDSGHMAWLGAILRKLLPYAAAYRPSRQLSPSQLPRTPSPPTIPLTDERR GRAPGPQAEPPGISQRRTTSSPLNPRSKNSSPPSFEHEDPSRLLFGGLAISDNPRSSS QSQSPQRPGISGTQEAASGRTLSPFRSPPRAGHAAASGGEQSTRPQGGQPGSQLSREQ GDKKGKRKK EPUS_03515 MPTSPYAQPKGFPDVHYLPVTHQAQDGSHNSGLSMEAGYPSPNF SSPGFSSQASPGPFKSLEEVRSFHEMKQEDAIMKHRIRLLKFILRLATLLLALYMIAT MSLTFRKYFNTRHIIIDVPGPTGPRGPWAGQTKTWPTTVLLVTSTLSFIISAVVMASY VRGVHAANAAHEYGSYLGFGIFAAHVGMWIAVAVAYRTGKDGNDLWGWTCDERAMNIQ KAFERVINFKRYCDIQTSSWITSVAQAVLMVFGIQLKALSTIHVVSDLHDETDDEMGH LRRRYRDLVVRLHSRRPSRTCRIVGSTGTLRELEPALRVELVVSHPQEMRDARWVVRC CQS EPUS_03516 MECRLSNMQMLESSKNLSFLGRRSLVPRPLSEATDIETDFEDDE SSPLSMESMDNDSQTTVSDGLQTPASAVLEGFEFHLDQKPTTKGPTGPHLFRASSIES INTAQSQDLDFYLSMSPIHDTTPKQQNRPLTSLNNAVAELDESQVRTWSPRQVASWMR DAGFDSSVVQKFLIHDISGVVLLDLQFEDLRELDITSYGKRHLVMSSIQHLRNSSMLS ASPVLSSSHSSSSSRRRARQQRQQTSDDISPAESVSIVAIEQLLPKPHKCSKGENCSK WQRQQRKLQRLREEYPIQTESSVIRPTSEVVPSIVASSDVLGQSQLPTLSLTPETLGE VRPRDPQENVRHFLNLQHVDVETSSQPPQQTMNLSQNLRHLPRLTIPAEVPALTPLTP RSATPARRSRTPNSALRHHAKVELQNYPYHYGGIASPVDLYRVNTAFSATDVPVTAMP VDPLDRETSQSVPPNMRYGGVPTPPIAEPLQRPQSTQPRHHRRQPSFVPSIAPLVESP GTGPCHLASSASLPEAEVISGLAHSGSMRKRKTTKLVRHEWQDHHFTLKGTQLAMHKD EAAAKRDSLALERIDVDEYAVAVSSLASSSKLSTAFKKSILGGAGKGSMEEKAFAFSL IPADGKRQFFGEGKKSHHFSVQTRDERIDWMREIMLAKALKRSKAMGSDVRISGNLI EPUS_03517 MSAQSATSSTKPAGMSAPKSSPTKMASKMHRRSRSGMIDLTKLE LPWLPCVARVSTYLLTKQSGCFTCRLRRKKCDEGKPKCKACKHLGLTCEYKRPMWWSN NDQRRRQKEDIKNIIKRTKLSEKSSTLQPHCTIPTPPGLTYSVPTSDGFSDGIGRTRA TSEDSQDSLEYDFGYAQPPTPAIYDPHAYSMHPVHIGTNVPPYAPSYPYEVDIKTERQ MFVNDIPTRRDSSISTFSTFQPPAPHTALPSFPSGDDWIQHDYFETRRDSWSGEEGLD FNFFDFSHGVVPHTSQQAIIPVDDCDRRLLDHFVENVLRLIFPILEVNQHGSVKAEVI LPALESNKCYLHCCLSIAAIHLKATEGIQTEQIDNDIMRHRYETVSELCKALNRDTDH LQILEATLGMIFFQCSVGRPDDCLPDIPWHQHFQAATSLVHKLELPRIVEEMPETGVH PPFNMTLTSWIDILGSTMLGRSPQFANTYRTKHLTGSTSGLCELMGCEDRVMYLLSEI ACLDALKMENRVDEISLCTHITALAQQLDATESHHDALVTPFSNTGAIRPRQLSKNMT AVFRIAARVYLCSLVPDYNRYQQSTINLIARLAELLHFIPSGPEGFDRSLVWPLLICG AYSIPTSPFRRVFAERIERLGEQAEFGSFGRMVRLLREVWRRAADTLLPTSATCEPTP TSTTSTSDTRSSNKNTQNVHWRDVMQQNGWDFLLI EPUS_03518 MLFMNAMKSVLSTRLWSGCFLLLPALCQASSRHCDAWDSAPTVT LASGVLVGTTTSLPSATAVVNKFLGVPFANSPPERFSPPQDPRPWSQPLSVLAVKPAC IQQWPYAQEVRDFVQRLWNNPGGPPPAESEDCLYLNVFAPSTAPPPGGRAILFWLYGG SLQWGQASNLWYDGSVLAAYQDVIVVAPNYRTNVFGFSNSPQLPLASRNAGFLDQRQA LAWVQANIVHFGGDPSKVTIFGWSAGGSSVDNLVTTTPKDPPFRAAIMESGQYTYGTY FPKGVGLAAWQSLTEALNCSAVRDALACVRAADAMKIKEIIEVASLFFIPTVDNVTQL ANPSQARSERRIANVPLMLGTTAQEARTPLGLNNLMASLGNSFPDEPQLRADIVAAYP IGQGGLNTEYDIITQIDTEIRSQCVSSLIASRNIEAGYPTWRYIFNATFPNNEAFPNG GAYHGTQVPLVFGTYPSVNATAQEVALSSYMQAAWAGFAKNPERGPGWNQVGSFDGVD LGVLGQGGSAGVTVMKSAEVDFRCGLYWAALERNGIFY EPUS_03519 MSNETPWYYPPGWDRERMLDADDKERETLTPEQLDTFRQGLRAE LGEEGMEEFQMESFKRWKQREKRKQAAVAAAAVDAPLPVRDPPPYLETMNLATQRNGR EWPQWGFVVFRTTSYADEARWQTMRRRWDQMIDEQFQEDDFAIPGVREAKQKLHFHWI EDPELEDASPATIITKYQDINLSSGMVHNVCLCINQASMESILDSPMPSESSRRQRKK IPYVVAVTRSANQPAASAAGDKKEEDNDAQVEYFRGYFNVAVETLLNDLFAATADDMM DPDVLGSHVKENEIWCNAFRYGIHRIDTGPIYRERGG EPUS_03520 MRAESSPSKFGFCGTTKDFCGEKKVKAPSCSGNSAGEKLIGYYE GWSSTRACGGMLPEDLFVNQYSHLNYAFAFIDPNTFAIAPMAELDKELYPRFTALKEQ NPGLETWIAIGGWSMNDPDQPTRETFSKLAASESAQAKFFSSLVNFMSNYGFDGVDID WEYPVAPERSGNKADFDNFVSFLKNLRNALGSGGRKYGLTITLPASYWYLQHFDLKKM EDSLDWFNVMSYDLHGTWDSTNPYVGSVIGAHTNLTEIDAAMELLWRNDIDPKKVVLG TGFYGRSFTLADPSCKRAGCPFSAGGNPGPCTASAGTLSYAEIGRIVSAGATVEEDLD AGVTIVTWDQNQWVSYDNENTLRRKVEYANNKCLGGVMVWAASTDDGEGTAIAALSGV AGRVWGECGLDCPTGFTAATGTGGKVSGLAGIFNGCKERESRYYCCPTGSEPKCEWKG QAPFCGATSGGKCGDDQVKVTSDSQGTGKLSVPSDLERVLAYNVVEWFGAAPICTTIG ILNIAATYFTSAPCEDAHPKQLTTGKQGAGGEKPCLYNAGFKSYCCKDPIPFKGCSWH QGRSSWTAWANSVFFQGPVGALLNLGAPLIGQKCEGQCPRGQVPIATDGLNCQAGTYS YFCCDNPNEPTLPAPADAVCPSPPRIPGRSSDPDPDGPAPHIFSASSYFDQDCSVTSS QALKRARDLEWANSSSLEWSDIANDISLLDIRDLDKRARALPNALTFCLPGQPRTVLV PQTYSGYRTVAGLANKGWIHVAKPLVCGALGLAVDVVQPANVNFVTEHVFEKKTLRNA LQFMAEGKLPGGGTLSQGAASVQGIFSKNGIFFQQWPGGLTVGFGATPEDTSFGELGH PAPNLNAANLQVVDADLNAIKNFITQYQNFAADSSWDTYTPKQQTSFLSDVVDTFNYM KLAQTTGSYSAAFRGLVSFWKLFARIPGAQANYDYAGAFEEIVKADLAYQVTKALEFF TKRVTEAETYWNSATAKVVFTPQEIKDNLAALADLAKNKATYISLDTTAMLK EPUS_05298 MNQNQASATFSGGSETGAEQQAGSLSNDNNQTPLRRFLACLNAR EISATPATTSYPTFVLQPGVYAKTLESLEKSDGELWAFVSESLRHELIDDKYLIVKMP VSLTHEVTVSKALYLIQTQLDLMQETSNEPVKRLTQDIIMGGSRNCQLYGADTRLQPD GQFIVQGSYFPGVVLEVAHSQDFSSLQKKAENLIVRSKGKIQLVIGLETAYKISAWRS EVIRVGDQDTVQIKTIVNRDMIQDANSNRKSGSLVFHLKDFGTDLETKYPGADLTKAI TLDYQKLAEIITTAHKSDVPFPN EPUS_05299 MPAAEEPAKLDARLLLVSNRLPITIKRSEDGKYDFSMSSGGLVS GLSGLTKTTTFQWYGWPGLQVPDDEVEDMKKKLKDDYGAHPVFVDDDLAEKHYNGFSN SILWPLFHYHPGEITFDEEAWEAYRETNRLFAKAVAKEVQDGDLVWVHDYHMMLLPQM LREEIGKSKSNVKLGFFLHTPFPSSEIYRILPVREEILEGVLHCDLIGFHTYDYARHF LSSCSRILGLTTTPNGVEYQGKIVTVGAFPIGIDPDKFTEGLKKEKVKKRIAALEQKF QGVKLIVGVDRLDYIKGVPQKMHALEVFLTDHPEWIGKVVLVQVAVPSRQDVEEYQNL RAVVNELVGRINGKFGTIEFMPIHWMHQSVNFEELIALYAASDVCLVSSTRDGMNLVS YEYIACQRKRHGVLILSEFTGAAQSLNGSIIVNPWNTEELAGALQEAVTMSDEQRLIN FNKLDKYVSKYTSAFWGKSFVAELNRLSEHGERKLKMRRESLLASPSSPMAATGLESP DPTAVTRGGVGDGVLEEADGLLDGVSKGVNSGTDGKA EPUS_05300 MLHTLHRLFGLTTGIPQPCAEPQAHPDLYHSNVIVDDKFKNLAL TDWQGTCIVPWELVEFPLFLSTVSRAMDAAFNNDQNGQPKPADARLRWKERAEYVQMV KAAETAMNKDAALSRTLGTTDVQGLAHAIKVYTEPGKLSFYDKSWTCSGRASCVSRN EPUS_05301 MTSLKIPILLLKTKSIPNDSYEEYFSSPSSLFAPVFVPVLEHKP NASNLEQVKKLLQEGELKGRYGGMIFTSQRAVEGFAQVVQELERVRNLAENEEESILV GNEHSEVGSTQTQLLPSLPPTTSTPIFPIYTVGPATSRTLRTLLSTSPTILSPLHPEI LGSETGNGEALAKYILEHYRTLFQPQTQLNTSKTSQSSSNDGDAEDFNNKSHPSLPPL LFLVGEQRRDIIPKTLMSPSLSPEQRTQVEELVVYGTGVMESFPTDLDRAIRMCRNRA STGNTDTDIAIDRNTKRSDPSQSKIVIVVVFSPSGCRQLLRRLGFLDEGDKARSPPSA PTTALSLPPDPSSSAAAPPLQNLTLLKHQQSGQTGSALASSIDLSTTGRPRYIIATIG PTTYAYLQTSFNYQADLCAETPSPEGVGKGVEAFLRKEGLL EPUS_05302 MGQPQPPSGGSRKISFNVSDQYDIQDVIGEGAYGVVCSALHKPS GQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRNYESFTEVYLIQ ELMETDMHRVIRTQELSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDL KVCDFGLARSAASTDDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEM LSSKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKIPLKHMF PKTSDLALDLLEKLLAFNPVKRITVEEALRHPYLDSYHDPEDEPTANPIPEEFFNFDK DKDSLSKDQLKTLIFDEIMR EPUS_05303 MTTPQGGYPPQDAYAPNPAEPYNQAQYDATSPPGTAAGVVPAPG AGAGRKKRAYAGQAYDFGAGANAALGGQQQGGGAYEGGFTQQAHEAGYPQGGYQQSAA APAQSPGIGQPPIAGVGGYQPPVPVYPGQQPGMNSMTQQFGQMDMSQKPASAAPSSTA RPLALNQLYPIDLLNTPFNVAELDYPPPPAILPQNTSVTPSPDANCPPKYVRSTLNAV PTTHSLLKKSRLPLALVIQPYSSLHDAEDPVPIVPDQVISRCRRCRSYINPFVTFLDH GHRWRCNMCNLTNDVPQAFDWDAANQKGLDRWQRPDLNHAVVEFVAPQEYMVRPPQPL VYLFLVDVSYASVTSGLLATTARCIRESLDRIPNADRRTRLGFIAVDSSLHYFSIPRD DTENVETSMLVVSDLDEPFLPTPGDLLVTMTECRENIEAFLDKLQEMFQNTQNGGSCM GSALRAGHKLIGPVGGKMTVITASLPNLGHGKLEMREDKKALGTSKESALLQTGNSFY KAFAVECSKQQISIDMFLFSSQYQDVASLSNLPRYTGGQTYFYPGWNAAREEDAMKFA TEFSDYLSSEIGLEAVLRVRATTGLRMSTFYGNFFNRSSDLCAFPAFPRDQAYVVEVA IDESITKPVVCMQTAVLHTTCNGERRIRVMTLALPTTQILADVYASADQAAIATYFSH KAVERTLGSGLEAARDALQAKLIEMLSTYRKELAGGNMGGGGLQFPANLRGLPVLFLG LMKNLGLRKSSQIPTDMRSAALCLLSTLPLPLLIQYVYPKMYSLHDMPDDAGVFDEQS GGIALPPPCNLSSERLAPYGLYLIDDGQTQFLWVGRDAVPQLVQDVFGIPDKTQLRVG KQTLPVLENDFNERVRAIIAKSRDHRSKGVGSIVVPHMYVVKEDGEPGLRLWAQTMLV EDRADQGVSLQQWMGMLREKVMQ EPUS_05304 MSVRVVARIRPLLQSENKKDAIVEAIGTDEASSARPSIVKIPNP KNLSEDFTFQFNGVYDEQAMTPTVKHLFLGFDVTIFAYGSTGTGKTHTMRGGKSLADR GMIPRLLSSIYRRSRKMEKDSGGDTKVDISMSYYEIYNDRVYDLFESPEKRTATGLPL RESGGGKTVVVGLSEMPCGSLKEFELLYDKANANRSTSATKLNAHSSRSHAILCVKLT VTTPTEVRVSTASAIDLAGSEDNRRTGNDKERMVESASINKSLFVLAQCVEAISKKQQ RIPYRESKMTRILSLGQNNGFTIMILNLAPVKSYHLDTLSSLNFANRTKKIEVREIEN EPIFKGPPRPPPGTTGPNIQRQPLRPLTAGINMNLAAQKTDTKTGDKPSKAFSVYSDR ARSRASQIAPPRSSPLKRGAESLASTRPTKIAARPTPTSFLRRAPPPEPTLSKATIEQ LVEQKVSAILAARSSQPKPSQSLSNNASSPLPKQASNAAAAAPAISEEVQRRLASIEQ RLEGQEGARAEGLSFLLMAKQHQARGELNSALKMYQLARPYFPGNEKLERKIEVLKGK VEGRRRGEVGRSERGGARVGGEQKEEDGEGDESYHDDNEDAEGRGAEDSDADSDDEEA FHPLRTKKIKPPPQRQKRLRLSSPDPLATDLAPPTPRTIHLLSVINTRDINQIKKLKG VGPKRAEGIVECLAELGKGQDGAEAVTSLEQLSGLRGVGRKTVEGMRGGIEA EPUS_05305 MSATEVRSSGKVGEDSNMKAVNYQGPYKLKVEEVPNPTIEHPDD VIVKKPIAGRENMGVVDKLGSGVVQLKKGDRVVIPFNIGDGRCHNCVEGNTGFCLGAN PGFAGAAYGYVALGPYRGGQAQYLQVPFADFNALKLPAGKEHDADFILLAEIFPTGWH DVKISGFQAGEIIAVFGARPVGLMASYSTSILGASKIYLVDRVPERLSAARKIPECMP IDFTQSDALYQIIEANDEMVDRSVDCVGYQAVDSSGEKEQPNIILENMIRVTRACGGM GIPGLYLPQDPGSSDEKGPKRQMLISFRKLFEKICRLLMSPLYLPWQEFVRQEPSE EPUS_05306 MVACTQPLAAEAGHRILRQGGNAADAAVAVAAALNVTEPASTGI GGDIFCLFYDAKTKRIHALNGSGRSTAKIDLPTLHKELGVKHGQKGSMHYLSPHTVTV PGAAAGWVDTVQKFGSKKVSLEQILTPAIELGEDGFPVSELCARLWSESEDILRNASP NFRELLKRDPKAEHFARAPKVGEVMRNPTLAKTFRTLAAEGKKGFYTGRIAQAITDVV QLCGGYLDVDDLKHHMDVGPHHADPMSIKLTGHGIGKPTTKNDGADAELELWEHPPNG QGIVALMALGILQELEKSRAIPSFSTSNHNSTTYLHAVIEALRIAFADAGWWVTDPHP EHNPNLKKPTDLISEHYLAERAKLFSPTKCSDPVHGEPSPAQNSCDTVYFSVTDAEGN GISFINSIYSSFGSGIIPEGCGFTLQNRGANFQLGPADHPNIYAPRKRPYHTIIPALV TKGGAENRQLHYVYGVMGGFMQPQGHVQVLMNMEVFGMDPQQALDAPRVCIGAGMLKD ADVFDRTVYLEEGITQDTVAGLEKLGHKVKVLNRFNAVFGRGQIIKCRKDEDLGRYVE MLEQQQAQLVAGLQELYRRTQNGQGWEGPPLKESSNGTPLTHDILERLGALRSRRHNV DAEHFEEDFNSLQQRLLANGAGLLQRTPSDSSSDACLSPTFSQGSRKPPTRDPLSFSK LPPTPPTYSPFSQHASPTLSVDSPNENHSPSLQRVVDEGLQQHQAWASSNMVIDTTDA VNQFGSSIDFAAMPSLSHTSAIPNGTIAPCLSMRDWNRDIDFHRYFTAAMMY EPUS_05307 MASSQTLELNDASKGPSDPCDVIEATLWQPDLKDELNGIYREMG LGQDIAAAFPNLLKRPIEEVSEAEVTPLPRKERSRKPKDADSNKTALEGGEPENEKPK KDKPVVKFNKLLYRTAVSKIPGFTWCIAPGCEYGQVYHRGGQEETDAWHEGQTCLEYQ ENGRLESEPTPRDFEIAIEEQKSKETLKAQTRRCPGSGCGVPIMQYSMYGGCDKMKCS MCQLEFCWRCGADYRTMKANKRSAHKENCYWHKRIFKLWRRAPGSAVVAEWEGMPQTT ISNASYLKDYNDTSYRGFHDMGL EPUS_05308 MGVDTRRPALPAPTDSSAIESNGGSITPTDLATDLSRRTDKTSH TVPDDGRPITIATGKKRRPSGKLSKSQHHSQTSLLIEYFEGGKGQDITSRPSVRVKVR PSASRKSGEKGDGEIVVTEAHGSRKPSYRRRISLGTDSPKQAVDIGSVSSLSSLSEES RLAHRGAPIEVEVLPKEGSERSGTSVSKTPRFIVPSSDISSMPADSMLEGNPPPVTTH PERSRSLSREEVVEHSNLKTPTRRRSRSLSRERLTQKVLEKLANRPQEVSSSSRKRHG EKSSSRRGSKDHSDADARSHGRLSSRQREDASVITGAESSIHTNSALSVNRKSGDQMS FKSGTSRSSVNNPKLLDAFEDVLRRVIMPELKELKKDKKVASNRSKFEKIIDQSDVSG SSVSREEIQRRVSKHGSAPELKLRDSAGKGSSGFRRRERKRKEADLDSPSERSLRRRE SGDSLSLEEERNQRRKSKDQQLRNMAAGALVGGTLTAAALQHHDSRSSLDRHERRKQS KSRSRSASIAETEEIFDKHGVPPMPMRSEIETELTRSSLLSDQTATAPFQGELRAVSQ GSPREVVSSASRETGSPASRTPTRTPVDLRKGLGTYHGNLSNRDLSSYNRGAHGIHDD QQMSFGEATPTSPTIATGSLDTQHLLADEERQRRYESNLHHQHPIRRGLSPIQSVASY NMSEPNRNSFMLARSSNSLASLNKEQQLKEERSVASLSSAPNTNLARTKRPEGINLET GSVILGQHDSGSQDDSRDIDADAFFDEQHSENERYRESYARSDPKVDYRHLTNFTDDS LDASYLEKMSVRRPVTRGQGANPEYVHAPLGVESAVASLYDPSMESVGSTRSSAISQA DSIERQKRANQQLKSANLHVRDSGSPLKQEYTHDEKSFQQRVGVTSPPQSVASSEDDR DMPQLGASAVPVSSDPMPEIGVDLDSPQSEITTNPSVIHGPIGGSTHGNRDHWPYSAT PPSKNGLVSPASENRGLGMTDATFAGGALGAAQLGAVARGHEEQSKDMPAGLNLRYDT NAAQGENQIRDAYMTNPAALTPPKDEGYISAANPGTYSPDPKVREHGGYSAPVGGTVS PAFSDDPFIAKHSGHLSTTEKGIEGIQSKDIVALMDHLTVRDARRNARDTEILVTLVR SAAEMRNSFEDMKRFIAEQDDFIMDTADKQHEKTVQKVINGPRPPPAVMPLLPTRAAS EEDEDAPAKRRNVFKRALRGLGTKNTAELQNIEAMLMRLLGEVEGLRAGQEGRPVNSR AQSNSVNSVDNAREPTDPGYEPEGQAGTSSTGDRSGFFSNNSSRQADHRGGAAGHRDS INRVSTVMEGDEDLESRKSGERDVMDQQFANGRTLLSPDRETPDAQDTRGASPPLRTT PRIQPPENRAFSNENTPQMSTDGKSQHRKQKSSSSSFYPKMISRWSKTTASSIIDNFR GNAQVTPKSQPYSQVSQSGSTPHVGEYDYDPQEDALRTTHSYLNEEYAGQDNRPPSPL IPSQVSDNPKYHAHRNSMNLQHPQPRQGPTGRFQNHLENEAQTYTGEQFSPTSQSSSM WGHQQGIVQSNPLVQGNANAQNRYSGYSGNDRLSPISDTGYSESSSAILDLGQEEHEQ SLKSGASANSVLSASGYRRQRKPRDEEPLVPQRPPKLPMSPAGSRQSNYVDHVSAARA GSPAYDQSPVAALRSPQSQTRKPSGPRPQRSSGQYSPGHLNNIKRTRFRGSPNQVETS TSSDEYR EPUS_05309 MLRHDSSDEDSRTIAIGTKRARATTGLHRISWKRTKYRHRVGYQ DLRDFVPRGGHFGLAPLAESRLPEDPLDDLGQTSDFSGSSSDYQIPQSSSTIAMNWNS SAQSSIRTSLRGGGGDLGQNRLATGFRSRAGQSSGAQGPHDVVEISDDSGVEDGSEGG ILLNVDASSRVESSTDADNPVEISGDEDGEADGSPSEKAMASSDHVKAEKQRLAPRSN RNVTLQAQSSKQSNMTSPMFQIDARRGSRILADLNPEDLEKQIKYTLFHLPRDQIDLS RPVVCTACLTEGHTDEICPGLLCSSCGGPTNHSTRLCPKCARCSKCKDRGHDVTTCRS KLKNTNPEPCDFCGGADHDEVSCIQRFFPAHTELPGGDLQLWISCAQCGSKDHLAGDC PVRGSRPASAWSLRAYSRYNIVNLNLQTGAQAREKEAENKGIRPEGMQIKGRGALSTN KYPKRGQAAENAGLQFGDGDDEDFTTRLADTRSRRPSPPRSHIHFHDNDRGRMNRGND DHHYRPRPDRNEPPNDYNRNNSSLSHDYRDRNGYGGGRGYQDDRDYRSQRPRSRSPPR FNGGDSWRPPPPLPRGPPPQASLPARPAPPRNQQQSQNQNQNQKFSKKGKRGGKGGGN RGGGGGGGTVRPMPSAAKNAWNRGRL EPUS_05310 MSSGKSGRRRRSSSIIYHEPPESLEHISDQSALPNLNSQWVNAK GAWTIHFVLIVALKILFDIIPGFTQEVSWTLTNISYMFGSYLMFHYVRGVPFEFNAGA YDNLNMWEQIDNGDQYTPAKKFLLSVPIILFLLSTHYTHYDLTYFTINFLALMAVVIP KLPWSHRMRVGLFSGGPDDP EPUS_05311 MFERTSSPLSSLPNSQLQLPPPSDARTRKAYRPARALPFELNSH ITIYFEEALYTQAFALLQSLVSSSAHANVNEPTFVPSAAQLALASTLTVHPALTTRTS SKERWSQADSALRLLRLVSQVVGPANAGFRHAFKFLRFKFGSTRSSGGQILRNSERGE AAEDGSRLDDGKIENQYAQGESLYSRAEDFWHAVGWAFNCSKLHPKRWERWVLWLDWM VTVLEDDWRDREEVDSKGSLIWSYISHASGGSGRNRRILRSIFANGTTKAVNEFRQVF RNELKEPRKEDAERVKKREVDVDVEAEVYGDYLAKDEDEASEDEADSTFFAKRTRTRD PSLRRVTSKASASSLNSAYDEGEELSEDGPAVLGGSDSLRLRMRLLQLLSDVSIKLPD DFETGNKLYTMFVEFIRPLPLATFQRVISPAAVPETFSIDAQTTLCEFLLTRSLLEAA APNTAEPYLRQSKMEECYLPFAATSPSVADNAKVSLCLETLLRHLFMAGKLTMRPALE FTIQEGIQNRCEKASDGVKKSASARRRDEIAWAWLLESGERLTDILSRLQP EPUS_05312 MTNRPVSRPRPSDVAGDGNGSHGGLQDQSNSVWKDVFPMIPAAA RLVRPHVQPSYPTRAACEEDVLVKLVEQNYSWPPVEKTRPERVQRFLKRCIFNYLFTQ STSDNTVTMTEQTTVITGKKSTSTNIHQKHPSSALSTAKTPATLSSAQTPSTPATVRV LASPSTAKPLATSMTSRMSRREMQVLGVMDSLDNEQEIALAMCETWTVWPAIPLTRSS EWSPSPTGRSMIGSIAKSWLHILSKSPATS EPUS_05313 MISYTTSSAASPFTEAVVSSMRRLYPEALADKSFDNTGLLLEAP FDSLRRQMNSVLLTVDLTQAVASEAIENKHCCVVAYHPIIFRGLKSLTLADSQQSSLL RLALEGISVYCPHTAVDAVPGGMTDWLCDIVTGTPPEKTTSVSKAESIPQETAQPPDG ESNSQSQTKNPQQNSDLQPKSEDDVFNDDVALPRSAIKSSTKRPHMKRSYSKPVYPQS SSNQNSNSNNPLTTYLPHTRTVLHPSPMSSISSIPSPSSYSQDNTGFGRLLTFPHTEP QHLTHLIERIAFGVGTPKGFPVAIPQDQQVEDIMIRTVGVCPGSGAGVLKDCGADLIF TGELAHHDALAVTERGGCVVGLFHSNSERGFLHAVMRGRLEGLLKEEWARVRAQYLER GDKEGEDGDGDGDRAVVNEELEDALADESVNVEVSRVDRDPYGIVVLEGSQVEGMKI EPUS_05314 MDGPAGTASANNSSDFVRKLYKMLEDPSYASVVRWGDEGDSFVV LENEKFTKSILPKHFKHSNFASFVRQLNKYDFHKVRQNNEEGGTSPYGPNAWEFKHPE FKANNKDSLDNIRRKAPAPRKPSAMNEGEIPIQQIDLMNQQLVAQSQQLEQLSQRYAE MAMNQQMVLQEVLRVQKTVLNHEHVIQNVMTFLHSVDAKQRRDSKIMFNTGDTGQTAQ LTPTSQSIAVPEDEPASPLQHASKLLNESNAEGQFNLASMEQLHDLSNKTPGVMSTPP ADQHMRNANRAPQSADSSSTLGYARLNNGELDQVVYPMGTNNGIDPMYSEHVNNIPYS LPPKELDPSDPRRHYADGRKKSTFADPGWSRQPRILLVEDDPTCRQIGGKFLYSFCCV IDSALDGLEAVNKIQEGVKYDMILMDIIMPNLDGVSACHLIRQFDRTPIIAMTSNIRS DDIQMYFQHGMDDVLPKPFTRKSLLDMLEKHLSHLKRLPPGMEPPPSATTSSIPQSST GQSIKDDASSPAQSPAGSMGNWQSPGQYPGVSPIHTNIPTQYAQMANHSPYGTSPHTP ITAHIPGRQQQPYQQPQQQQQPQHRRQISEMSGGVTDMNGFNKRQRVYVQPGPSMGMP GGPQ EPUS_05315 MFLQHLVKPLRNTNNFYLSTSTANKARLFSSTSAVMVKAGDTIP EVTLVEDSPGNIVELHKEIGTGNALIIGVPAAFSPSCSNSHVPGYINHPKLKDAGKVF VVSVNDPFVMKAWSESLDTNKSSRIRFLADPSGAFTRALDTEFDSAKVFGQNRSKRYA ITIESGRVKDVYIEPDNTGVSVSTAEKVLG EPUS_05316 MAHTPTQVYAQLPVFKYQTFDQSCICYSTIPTSRSSKNAVRYYG PVTDLAPKPNELPKETPAEPATAETPADPKLDSTSKAEEPSGDIPVEATAEKKEEDAG GADPEKDSAPEAATDAAPESGAQVSPNDSAGEKTEDAGGPEAAEAPADATVGASADAA DDTPADTPADTADAALADTPDASADAALADSLTDTPGGGDSPPMDSAADAEQDEATKE DTTTEASSASKADVGDPASEEISTSEPSTDATTVSDDVATEDSEKTNDADTPADIAEE TAPMAETPAEASDEDAPPAAAESGAEEAEQSASTDTPVEVDVVVVEQSTENGPGDDPA PAEDVAAAEGGAPTAKLEAVEAAPTEDPVPAEEPELVTEAAPAEESTPTEEPGPGTEG TAADEAKGPGEAALPGAFPADEPAPVEGVSVAEEVPQVEEATPAADAAAAEDTPTQEE PPVSGETPGAEPTAEETPTAEGLAPAADESAPGAEGWASAADEGWAPAADEGWAPAAD EGWAPAADEGWAPAADEGWAPAADEGWAPAADEGWAPAADEGWAPAADEGWAPAADEP APGAEEWAPAAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEPAP AEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEP APAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAEEPAPAE EPAPAEEPAPAEEPAPAEEPPAPPAEERAPTAEEPAPAAEEPAPTAEEPAPAAEEPAP AAEEPVAEEPPPVTEDVPAAEEAAPPAEEVPAEAVAVVDDPAPPSSPNAATEKKHHRH RSGEYVRKNRQSKSSSDRDNGHRVHLQRSKNDKGLFKNQLAVAVGQAKEKQRAKHSTT EYKERKRKEAEAEAQREAEREARHAARREKRKAERAAEAAKAKAKAKAKAKAEAAAAE EAAKAKAKAKAKKVELVERITLPVSPSYEYRRHRRRTSFAESSSNSNGTGGASSADGS TDPRPGLLRRMTTGEGVTSGKLLRLNTAKAVENVRPALVSRTSSSRYHTTDNYYEEGN RERRKKHTRRDSSPDSRGPAGDGMEETRRAMIRSSRRRSSRGPYSEGREELPIVKVLG SLFGRR EPUS_05317 MDILVPSSSSPSDISTTQILSYCMEAQPRTDARSSPTVASSSEL TGDHLQEEDLNLRRRTAARLSTDSATDPTLLESPVELVSRAQTAEEIVEVVPAAVRVP RSQRRGLFARATILAEIEDPYQYSYKTKWFIVFLVAYAAAAAPMGSAVATELHTTPTV VNLTIALYMLALAIAPLWWSSLSEQFGRRSIYLISFILFIVFAILSAESTSIVMLTVM RVLSGGAAAAVQAVGAGTVSDIWKPIEKGQAVGFFYLGPLCGPLFAPVIGGALSQKWR WRAPMWFLAIYGGTTFLLILLALPETLKKTKTTAGVVGEQESGPLPSDSPPSALSRTS TREVVHSRATQCIRTLRICFVDPLKSILYLRFPAVFLTVYYASITFAALYVLNISIQA TFEKPPYSFSTLVIGFLYVPASLGYVIASIGGGKWMDRIMAREARKDGRYDEAGLPIY RPEDRMRENAWLGAIVYPLSLIVYGWTAEKGTHWIFPMIALFFFGLGSMIIFSLATTM LTEFLPRKSSAGVAINVLLRNSFSVVATIVAAPLITRFCGVVDEALWTTMARRDGQNG QHLKGGSQRRARYESLSYDLPRMR EPUS_05318 MSSQVKESVIEARARLQGHFAEFSGDKYGQGWENLWAKGDFLPW DKGAPNPALIDTLQEQQNIIGNAMVEVDGKLRRKRALVPGCGRGVDVLLLKSFGYDAI GLEYSETAVKACRKYEEEHGSEYQTYDEMLGEGSAQFVVGDFFQDDWLAGIGGSEKRF DLIYDYTFFCALNPSFRPNWAKRMSQLLGPAPHSNLFCLEFPTYKPLSTGGPPFGSSP EAYMAHLSHPGQQIPYDEHGHVQTGGPDEATEDALVRVAHWHPERTHVVGKDENGIVR DFVAIWRHR EPUS_05319 MEELQNKHRKEQRDLQGRITQKKKNATKKTRKGVNDECDRLQRE LQDRQQAEMDALSVPLDNDQLDSFHSEEETKQEKIESSCKPTGNANGKPADLSSSHEC SPTLQQASKKPNRQKARLARRAAEQEAQAAQAAQEAAKLPDLRKQEIENMQKHFDKLG LSETFIRPDGHCMYSAVAMLLPNISSDLDRTESNGVLPYQAVRAKTAEFISQHPDDFE PFLEEPIDEYTNKIKHTAEWGGQLELQAISRAYEIDINVLQADGRVEKIDSGRESETG AIWLTYYRHSFGLGEHYNALKKAP EPUS_05320 MADMAGRKVFKVFNQDFIVDERYTVTKELGQGAYGIVCAATNNQ TGEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPESFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSMDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHYLGTPNEETLSRIGSPRAQEYVRNLPFMAKVQF QKLFPQANPDALDLLDKMLAFDPSSRISVEEALEHRYLHIWHDASDEPACPTTFDFHF EVVEDVGEMRKMILDEVVRFRAHVRQQQPSGMAAGGGAPGQHNNVPIPDNAVQWGAED PRPQEAIPRGDSLEDSLEQGSDVYMRR EPUS_05321 MHFFAIAVGLLALMMPLFALPHSEAEVLEQLRGIPDGWIQGAAP AASTPLKLRIAVHQPRQAEFEQLVIDMSTPDHPSYGQHMKRDQMKAFLRPSKEVSEAI LGWLRSEGVPEADIEDDGDWINFKVAVGKAESMLNTHFYYFQNKDAGIQRIRTLQYSI PLALHGYVQMIQPTTRFGQLRPQVSMVMDSFKAESEYHPSGYNATFCNYTVTPDCLRG LYQLDGFLADPNVGNSLGISGFLDQYAQYAELERFVNEYATYARNASFDVVSINGGLN TQNVPNSIDTGEANLDMQYGIALSYNTPVTYYTTGGLGLLVPDLDQPDAASNQNEPYL DQLQYLLSLDDDKLPKVLTTSYGEDEQSVPEEYSRSVCSLFAQLGSRGTSVIFASGDT GVGSACQTNDGKNTTRFLPIFPAACPFVTSVGGTQHVEPEEAVSFSSGGFSDRFPRPA YQEDAVGAYLDRLGDTWAGLYNPDGRGFPDVAAQAVNYSVYDKGVLKKFLGTSASAPT FAAIVADLNSILLSKGKPQLGFLNPWIYQKGYKGLTDIVDGGSRGCRGFSIYSGLPAP RVPNATWAAVEGWDPVTGYGTPIFPKLVQLIDVGGNSGGGSDDTYSSV EPUS_05322 MRILTLLPLLAVSIVVIARSPQHVGKKLPERRIRSAPMEIPVAE SKAEKRQSSRFMTERTSGYSVNGTGLPEVDFDIGESYAGLLPISNTTNSPELYFWFFP SQNPAADKEITIWLNGGPGCSSLEGFFQENGPVIWQYGTFKPVPNPYSWVNLTNMIWV EQPVGTGFSQGSPTATSEADVAAQFLGFWRNVVDTFALQGFTVYITGESYAGYYVSYI ADAMFNADDEEYYNLSSIMIYNPSLSSDAVQEQIPAVPFVDYWGPLLSLNETFNADIH QRADSCNYTSFFEENLVFPPKGPLPTPPNIDGNVEGCDIWSDVYHAATEVNPCFDLYQ VATTCPLLWDVLGFPGSFGYVPAGAEVYFNRSDVQAAINAPIQGWAECTSLDVFVDGR DESPPPALNVLPSVIDRAERTIIGHGVLDYILIYNGSLLAIQNMTFGGSQGFSSPPED DFIVPNLHPELSLSSLAGSGVMGKYHTEKKLTFVSITIAGHMVPQYAPGAAYRQMEFL LGRIPSLGTAGSFTTQMETGGAYGPFKASAAQTPCDNSIVC EPUS_05323 MPPHSSSTPDLPTLLHTLQHALQTNPSSAQRELSTAKIALLHSN ALIPSAQTATSTLQTARSILETGALISIHLKDPSAFVRYWSQLQPFYDYSAPDYSPSP DRSKITGLYLLLLLSQGDYAAFHTVLEGLVAAEGEGGSGTGSGNASIVENDPYIRYPV ELERSLMEGSYDQVWKDTMGEGVPGDEFALFSQDFLHTIRRGIGSCAQSSYPSLPISS AKNLFFLDSEGAVVDFARENGWSVEDGRIYFPKVDELGAAAVAGGEEGVVGEIGGDVG AQKETIQNMVGYARELEMIV EPUS_05324 MAIDEDDVPSERFIDQPPSCIEFCPADASIFVVGTYKLEVREER SSPEGTASQPRSGRVELYQIRESAQSTGFGVTQCIKKYDFPDSAVLDLHFRPQDPTFF AVCTSTSLMVFFRLEIVESEESPRPSVRHLGSCQIGEDDTVLATAFTWEPRPDTCLLS FAVAFSSGDVKLFGLSERDFQISSEVSIDQAHSLEAWTLAFAGPSSRTKNEKMLLTGG DDSILAFHSIESGTDSDCVTAVQLFQDRKSHTAGVTAILPIFETNYVHLGSGVFVTGS YDERIRVFTLEESPPYRRNMIAELSLGGGVWRLRTLLQLPCEEVGGDHVCSVLLLASC MHAGVRIVRITRRLSRNPNQEACTWEIDVVGAYTEGHESMVYGADSASVRRLTDHEAE YPGDTDPLLRSGNQDNDDDPPRDFVIVSTSFYDRKICVWSFHYEGAAVVKVNTGLDSV DSHLNID EPUS_05325 MEKAVRYNYRPSQISGREQQDEYNSGHNEGNNSGARMTGTVPSR EGLKVKIPFAFKDQLCDKLCISSDQRSLYRTPTSSRNSCTKKFHLPGEPRITLEDACI ENYLESELVTRDLDKLAPHLWLVAKQDSSHVSSLTHQIVRGREIIVTEKPELHLVWIY DRVFIKPIPKYLLSHAFWAFYLINANSPISKPLREDIARAALGFLRSYLHLIRHKSDF TLAKDDRLRLLPKNISYSNFVSFIMAFDTVEDTRVSPRYQFGELRLTRLNFWSKIFLH RFTFQKVHGQYGAHFAQFYGPILFVFGSLTQFEQFSITFSQASRGFSIFTLIFVALVA LFLISILCIMCLRETVFAIRDLHHRRMSGSAGHVLRRGSSAKSMA EPUS_05326 MSRKLAQDGNSPDPSARFAWLRDFLPEDIPNARVMAFNHNTGWE TNALSKSLSHYGDDLLRALQRVRQTDEEKSRPIIFIGHSFGGLVIKQALVTASGSRAD GFYRTVTKNTQGFIFLGTPHKGARLTVIGKVMSLFGHWKGSSTSLLELIDSKSCINQE LHKSFMRLLIEGCVAQNTVCVFETVKESLFGFPITHVVDESSAAIDGSERIGFEKPHR ELQRFDSRQDENYQDILVYIRKWVEHSEEKVLAGRTEMTKEQQDCLQSLAFPEMNLRG NEIKEEASQTCEWLLKHEYFTDWLRQDQALLWIKGKPGAGKSTLLKYALREGKSQASR EKLVVASFFFHGRGAPIQKTAIGLFRSLLHQILGQMPELLFDFTSIWKKRCETEGRPG ERWEWHETELREFLEDAIPRLSKPYSIRIYVDALDECGQEVARDLIFHFQHLISKVPA EAKTSLKLCFSCRHYPIKDYGLTICVEDENNQDIRRYVRHEIKTGFSDPVQAEELETQ IVQKASGIFQWVVLVVSIVLTTHSQGKNFKFIRKKLQEIPPELESLYEEILKGIDNKD LPQSLQLMQWICFAFTPLSLDELRFAMAVDADSPYTSLRELYERSTDYAETSEQMEMK VKHLSRGLVEVKEHQQRRVPQFIHQSVKDYLMQSGLQKLDSSSKYSVTGSAHFRLSRS CVRYLKMEEVIDYEYGKEEERQNVEHQLPFIRYATREWLLHAEKVEAEGICQNDLLVL FQWPTSEILDCWTRYDRKLISLYYCPRHYEDSTLLHIAAAHGLFSVVVAIIASEGTFD INSRDKEGRTPLSWAAGQGHETIVTLLVKQKGIDVNSEDLHGDTPLVWAAEEGREAVV KLLMRHKNVEVNSRNKYGRSPLSYAAEGGYEAVVKLSIEREDVEVDSRDEDGRSPLSY TAQAGQETVVKLLIEREDVEVDSRDVGGQSSLSWAAKWGNTAIVKLLIEREDVEVDSR DRDGRSPLSLAAEYGELEVVKLLVDREDVDTFSKDNNGQTPQDWAARYGRKKVVQLLE SYKSTE EPUS_05327 MASQISPAVISTSSHSKRARRIPDNEWDIWRPKLEQLFIEDGIS RKDIVGIMTKSHGFDITEVQLKRRFEKWGVKKYIPENDLKTMIYIKRKRQENGEDSRF QWRGHDVEQERIERASKRIKGPLNSPEMTPTHIKIYTSQHQKPQSVLSKSSSEHATGD SFALPDIDVPLHEPDCASGDLDFEFNDVIDFGFDLRFISEGFSHNDSTPTDYNLNDFI VRDAPTLTPKQLDQRSLSGDLYNWKSLLAEPFISEDSAQAISRPESAFEDIGSENLTK PLVLIEESFFAELSKMSNNQSSGISEDITEWVASEFRNSLAASYEASALGTRKRLKSK TDEPSWTPLTQNRFLGAIMTQKATSFSTNIAQKLRSLQISSKTCSLQDIPVGRLLIKL MVFASQGTSTTTTASFTFTPNDAICKKGVSATLLRAFDSLGSPTIARSITSFNIIPRN HPIVTALEHNDIRKVQELFSSKQASPNDRIPNGTSLLPFANQSVEMTQLLLREGATTG DCFRQVFIRDYASPVGRPPGEFDFFRRISDIALEAGASVDDCDDPDPLSPVYDGIFHR LVWHTLYPGRNAFVSELPQLVAYFVKIGFSIEALNSDGETPFLNAASSRGICLTFLQI LLEHGANPTAKDKWGRGALHLAVCGYWADDDDGDDDDGDDDDDGWDGSDNDLVLTSRN QDEVRGVKQLPNTDVEESLLEKLLFLLQAGCDPEGDHNGLTVCYYAKRCSLSSTWHAA LSTFNAERRGTETQG EPUS_05328 MVKISTGENVMWRTNEDVTTLVINNKVVDDLVRIKGKTTITCES LLAVTCWTRATL EPUS_05329 MTDRDGSTALMWASLRGHEKVVQMLLDRGAEVNAQGGYYGNPLQ VASLRGDEKVVQMLLDRGAEVNAQGGGYGNALQAASSRGHEKVVQILLDRGAEINAQG ELYGNPLQVASEGGHEKVVQILRKRKHPTIVDM EPUS_05330 MEDDQSQVCAVCLNLCYERFTPKDGDISPRRVNTVGFDELKHSV DKGCRICCVLQQAVRIFWGSSPDREGHSPEEKIWEIVEPALSIKISGGGVGEHSENDE PAGSSHRPKVVGDNNSAESGKHGGIDNYEQDCVDESDEEGYESGFEVERSLLWLHMVI RPGKSMILQRSESGTKYDYAAVTRGVELYTKIGIIRTPRDWPTKLSFASGEPQIHPAF GHSRDVPVSLELETCKRMLHAWMKDCILNHSNCREDAAPLPKRVIQISGSSFRLIEPP PYTLARYVTLSHCWGEHPELVFKTTLENLDDRKSGIKWEELNPVFQDTLTITKLINCD YLWIDSLCIIQDDEADWREQSLKMSEIYSHSTLNIAATSSPNGSTGYFTGRQCFSDLY GDPRGFHQLSVRSWELKSSSDQPLSIFVRPVLEDGHDYVMRNMVNRRWQVAPLLTRAW VLQERLLAPRNLHFSASELIWECRSTLSCECTGLDSPSTGPYSTHQVSSVEEVSIYQS SRVPRQFFKGHFAQVCGDSNSIQETFNFWLLAVECYSKLSLSRSSDVAVALAGIAQRV RDHIELEYAAGIWIGDLARGLLWMGTPRSSLKATRRSASMPTWSWMSRSTRHFPDDPL CSLIYHDALLDGFLQDERFQVHGYSIKFESDNDGLFSSPKSGQVEITGAWLPATITLE PLHLSIDSRMDFRVNLHCDDNTYSELLCADCPYWDVGRGPLLEGEEVCCLLIGTTEER SYTEQTEHVLVLRKVEEQASCYERIGISVFCLYEYRLFQDAPVSRIEIV EPUS_05331 MPEAPEQLVQERKAARDIAAEGLQITAEARLRLSPKSYSLPSTR KFALSPRYTRAFRILEAVSKGNALRINLPAAWKMHQVISKIHLDPAPNPDDDPYQRNL PPPPDHIDEQGMEQWKVEKIVSKLVDDEAILYKVRWEGYNEEDDTWEDSAALEHAQEV VGAYEEALERSRLRGRRQAGGATLYREPRGRPQR EPUS_05332 MAWREQLDKDSSEEDTSTSEDEVVANFGVACRECQEAFPSNNQL HGHLKAFNAHAVEEIPTVIDLTAKPKGKQLEGIANCTETCVKAYLSANSDTTQVITAV VDSGFGRSAVNRKLLDSVPHTIKPIKHLVIRGIRGRKKVSELAKFVLYLRSNKGVFLK LEIKALIFDDLGTSLLIGTDYIKAWNLDRKPIAVIRLQITRELMANFVICAGKDCTIP ANSVGQVALRMSHSGKADFLFTSQHPEIPNGVVSATQNAVMFSNQDSEPRQIKRGTIL STAESIRNRNFAYAAQATKILNSFLDQEPQNRAGSLKTSPQKAEDTSVGWLEEAYQPR YQHELPEGIVVPDPSTSTHREVRVNDKLPLDQQKQLRKLAKRFAVIFNDGPSMARQPE EEWLRIKVASELERNLKPRPPYRNAPRAKQAIDDTFNENIQLGRMAPAKHSPYSLPVF VVYKYTPEGAVKKARPVVDLRPLNDVAESDAYPLPLQEDILAAMALATYISSIDFVSS FYQHFTHPDD EPUS_05333 MVGAYVRMRQQQKRDEGSKRHFTHTSPRTLLGILRLSQALARLR FSDQVVTEDVDEALRLVEVSKASLYNDSRSQGDQSNSTKIYNLIRGMRDSGAAAVGDG SRGELSIRKISERVIAKGFTQDQLEQVIGEYELLDIWQRAANGTRLVFIEAGDTDDEM NM EPUS_05334 MAWEDGRFSRFLKLDREQSKYYICDDDLSKIFWAKHLRFPLTIH QLTSDLQKLFLSRISDQEETLYANLQRAKDDTKEYLFEMIRSFAGKEEEIESDWKRGR TGSSRQFLSVVLSYLQHDPATVWIDETGSISPHGRKYKSLYHIFTVEENGIDFDFSAS QAKRSRRFVKWEDMDFLSKLGIHFDAEQLKDIPLPTWFGASAGITGHIEALLSEMVIS ASPKASQSHEQINPANADKAEGSSTIEDVESSFTVVDGLKERND EPUS_05335 MKFQEDYYDPDEDVKPSSPGLVPTQINYEPENSPPPYFPVYVSS SGSSSPEPDTRTNNQEGYTESAHVPVKKKLKRKRRRKGRTRPSQGDAVLIHYLDPNRP DIAREVAQHALNSASQSEVEDETERDISGDGDGEDDDGGTKDNYRDDSQATALTSKAQ AVLHDVYIVSASIAMHGAMNGTIKKHSLDNGAASHTSLNALHNPEASLKSLYPHSPLK FVPTFPEGRSEIEDESITKSPALARFAIFAAETDPDSTLPAIQKSPPQSMSSQSPNGI QSLPSLQTTLGQIIGTPIADTPYPSHPSFWRDNPKEVSFGTISPPASVPDPIPTSSYP TSKENASPESSTTSQSLNFTNRAIATTAFKCTY EPUS_05336 METGIEDIEGLVCDLNIADDAPQDCGQEPLRSTCSHPVSLQNAI HMLIQSTKTITSQAQSVKSLADDANSSGNLPITEVCSLREGIKKLRNITFNLQTTIDA LNEATERSVIIRLRSLGSQSATIVYKILSHFDKQIKDIVRQVLNNTSDREVLWKVAEE CYNQVTSPSGNLNADDYFVPLEEACPEWPYDPDFESEEYYEHENRLDVDEDYAAAFQE QTEHRSEARRRDRQSWPEFWIRVLNNSPAGPTLFYPPASFDTQSLNFDAVPQYLFRTF DEASSGRNDESVIASLASITGSHESSRTDILTLERHKAAGLLHMHLNKSCFTGEASDN LMSWTSSLLFAIQYAFWRLRFGPCRRSDIKICAVDTKNFPQGQFAQDIWLLKAYHATA RRLGDPMRRFFDFRLENEDFYNGEYLSQGAVNHTDRSCVVSLEHLIQAGLFELYPEFR DASGSEKWTRRVLELRQNWSAEQGTTDREIQLALGVGSSCFTRFEPSDIASILLTFKN RKCPRLNPTNQFNKQRPKWADKPDEVRRYWIATEAVNSYNRLPHAWINYKTLREIFD EPUS_05337 MPKRNEKKVKKKKPRAIRNQANTRGKSEQVQSNQVDSKDRHSEE NPCDRPGNQDSSTSEDYPIFLPFNTQHLILTILQSTLEDCCQDFGKRWLPQLMEARRW TEPGSIELHVWVRVVSDHWNEIPHQAINQVIGKDWSTTLWAVVNIRHLAVHRRPTSAR LILDFLHFAEEFTAMLQDSKSMNCIKTIKNKLNSVVDDLEVKEVLLQEALREDFAEIT KKQTLLKKEAVENMKQRSKENITAAGQTITDFLTINSYSSKRILSYSNDDDFNSRKIR AGARGKRPRTLGGGGMEVGQRGRCKSRGIFKTI EPUS_08138 MYLLRVLSRCALFVFPALAEVSSFNDWPHLRVALPDVSIHLRYY GSGPPLMLVHGFPQHSPTWNIVGPILAQNYTVIAPDLRGTGDSSIPFSYNFTASAAAD DLEAVLDFLNINQTYVFAHDKGTGVAAALAAKIRGRIKRLGLSEYLLPGLSYETLQAP TPTWNLYSNWQLAFFSVPDAAQFFIQGREKQMLSWYFYHVGYSGTSAISDDLLTLCTD SIAKPGYLRSGLEYFSNLTVAQDPALFSSTLIPQPFSGPTLVLGGEASLSNTSLIEQL FSPVASDLTADIVPKAGHWIGGKFGRA EPUS_08139 MSGLEVLGAASAIVRLIEAASKAVDFIQAVKDADKEALEFSSRA RTIRYLLQEGMWEWHPSSGEWLKRSREYQDWYSIKGARLWWSGVAGAGKTVLATIVVH DLSARYAQDNHTQVLKIYFDNSRERSRTDCLGSLWRRLASRRQFSDKEIALLDKRYVE QRILPDQAKWKEMIANEAQRYRKIFLILDALDEFADRHPMQFVEDLVSLLPLANTLIT SRPDLRIRNAYRLIKAEALRIEAHNVDLQEYVHSRITGSANMDLVVQAPDLGDLIKDM VLKSSQGIFLMAKLLMDDIETQRTLSKLRKKLDDPPGNLKQAYDNSLLRIERQATVDA DIGKEVLFTLCIAKSPLTVKQLQSVISLDLEDVSLGPEDEITERALIGSCAGVVVVDE ISQIVRFGHQTTRDYLYESHATQIAQAQLVLLKKCLTYLRSPNVRIERWSKDDHIQRL LKVYPFLEYAAVHSGDHAREVGTEHQEELLSDIMDLLQQDENLACAVRVLLYKTSSDW IGRHKWGAWGAEQPTKGKMRGINLVGYCGLDHALTHILRASPGEYLRSQDSSGNALHW AARGNHEAVLKILVEQPGVERPIKQYSELAHTPLHVALVFRRTLALEILLDNGADPSI KVQREPDWHSLQLAIRHGPSGHVDILLRRGNQESLLWARDILGRLALNIAADSEDTES LDKFLPLYSHAVRDRHEMAELVDFMQQNPLHQAAQNGHKRATEALLQHDLAKVFAQGT NYRKHLPCRCLEWQTRKALCDAASRGHPEVLRVLVDFFGASQHADRLFRRALVNAAED GTIESVVALTTKINFAAQHDDLFSALLVAASNGHFEVVRHLLKTGAPINAQDHQGYTA LHLAASNGLTVVTQTLIAAGSRLGIKDVRGRTPLALALQEKTASQARKLEVVRHLLKM GAPIDVQDHKGHTALHLAASKDMGLVAKMLIAAGSELDTEDASGRTPLALALQEKAAA TAKLLVHAGAAVPPFDDCRDWLASPAGYNRYKELDEVERAQIQQNIQSAPHAPYTPLS ADEIFRAALYVSKMLGFRRETLPLVNLILELAEYWIVSDSQRDGEHDFDENDRTPVYL RSLPIVGRNANPVRRIEIYIVSHDQSSQNGPGSFDNSWTWFEVRRQMRGGQKGIPLRL LLNKTRRRDWTRWRISWPHRQGYDRTP EPUS_08140 MANPRASTAVAANTIAAEFEDCMETYQSLCSAMQANVAAWSGTT SAVCEYRKTLHQWGYRTGAATRALDYALHKNSDLRDEVLELLEGFKATLQSTLELVQI AALPLLSPALLDYPQTSYFDRSPNDRTGLGARPVCEDDLQPKLEDICHTLSLLERLSP TLGNPPPMDLFNADIHDNGTETEIYLARRMFPKASDLLACRLGKANWQRRLFLRSLRA RLRREKSSAHQMAITPGSNRQFPLILSQRLPTAQNQFNSKLPPSHRSILGRINSRRTS TSDSTANTSTFWSKSESLTAETATSVAESDDRGKPSQLTVPAPPVALEKGATFRCQYC CLDIVVGETCAELRRRWLDQTKATCADAGNTPLKSEANLELPTSLEVSTSADWVAHVF ADLEPYICTSEPCFHATKTYGSIADWLKHELSVHRLARIWTCQLCQEDFGHSGAFEAH LVTAHQVHPEQAPALSSFCERFTGDPPDLDCALCALKCTSVDSFRTHVAGHLEQLALC SIEPDEKAEEEIPASPIDQASPADYARLEEFVFSQSQYYSPDVFREHVTDAAQKQVFG QNIISQWSRSEAGKSTRIEKSKRPPMQGRGPSYSFLSNARKQKAKELGAEQEPQTPPV GASSTPRETVRTNPPPRNVDFVGRIGDLDNVHRELSRPGNICVLNGIGGLGKSALAAE YTYRFAVAYSYIFWVEAETPMICADTFAQISITTAAQKGIPLPSFDEQRLVDLSQEFL EQTTDRWLLIFDNVDQKLDLRRFLPIDMARTAGSVLITTNKGDIGLASSPFTFTQINL GTLTLEESRRLLLSATPGPHHQDAKSHPEYRLAGEIAKRADRLPLALSLIAGYVMVSR CTLADFVDLWNERRKNIRTSLQSSKCPVSETDEAMETLWDIGLREVTSDARKLLNILA FLDPDHIQKDLLVGPHEDPMLELLHVSEAGRYRRMTNELKTRRLIAIEFKNGEEVISI HRKLQARILRDLDKDPFSRGGVFNQVFSLVRSRFPSASPIQVPEPEKWPMCKKYLPHV LSIQRLTLGTLIHLPFTEELAKLFSDGGIDLWERGLTTEGLKLMKTAESILDLLKVDK FQLLRADIHVIISLLLQDSGLVHIGECHDRIKTALDIRRDYSDNREALTYTRNDEVLL YNAWSDYACVLLQINMFEEAEFIFERCFRQYQDWGSTNVIPYEYAKYYHHVSFCLMYR GSADEAVASAEKGLVYVEQATGKSAAYYRWKFDLACLLLQHPKFLESSSWQSRTVDML KDILAARLLLHGKFAFLTLESYYALGATLFYLGNLPDAENCLRTILEIEKVRPGSCSE VALARTQFLLSEILLLMEEGSQASQHPTSKSEAATTAAAAAAAATEQVPAKEASVSAP SVQADQAQPKEASVSSAHPPRWTGQHLPTSGMVSTPAATADHRLEEAKDLARSAQKAL DKLKSQTSGPLAQLNTDTQSAAARTFVEEHPMVLYDLMQPVFDGRFAGRDVMEYLRLI KKEEKKKEKVKDELGPMAKQVLDSIEEQENEEKEASRETRLPGAKARE EPUS_08141 MSGFEVAGVVLALFPIIVDGVRTYKHLTSGQSLEYLIKDILAEQ IIFRSWMGHLLMPSVSVEALRDMLDPKSNKFGRWQDPGLQSAVQQSFGTTTTAFLLVT LNDIHKELCAIKKALSYTTLPDANTIGSRFSYTFQTARHTREDSGVRKRLQRLQELNA KLGQVFAVPKANAAPSANASACLPSVSGLEHATNVYQTIRKSYQCNCEGPHLTKLRLP PLKRRKIRSYHARGDRKKPVLNLLFSVEEPGDDYESIQAPLRIQSASPTRPSDHSLVS TGTSDEDFRIETSRFAMYKRASSSELSKSFSDLSLVGSNISTNTTVTKFSGSRSCSIS VVKCINPAVEQIVDLCKTIKSVDVSEGGQQDSKSIGALPTVGTDTYELLADQSEKDSS VQNMTTLEDIFTSNSCKLARGVRVELAVHLTTAVLQLCLTPWITSSWSWGDFSIAGLE GRQLDNLSLFITHQFYSQYKGHSISSISDQSDSSLLRLTPGEPAVTRLGFALIELAFG KRLASMQGQFQNISGDPYYRDLAIAAALMNSGCILHEEGVRYHQAVLTCLKQEVQTPD GYGKKSLSFKDPIFQQDAANAILKPLVDLWTDFGGGSEPLA EPUS_08142 MACLRCTERQMQLWDGLTGLFRDDDETVIITSMHELAAAYVICV GAKLHREGYTPYTSRIPNKREASASELIIGFRENIEHVGKGVETRLQTTAKSSDPLPS WVAETIRATEQDTRTQPWRLAFRDQANCRFDDGKDLVKVEKSVGGTLDPSCPFRTQGS SLAA EPUS_08143 MPGEVVDVGRKGFDSDGGLEETEGADSYVLASMKFLQWQSLYEV EKPFQIFINIPSHVEDKRTTNLVFEDNQLKVKDVRASETNFSLDQHGFMYCNHETALR DFTNRRHVEESYLPEVESLLRQEADGVDEVFFFDWRLRKNAPEVEGAVIDLNDLTEWL RPAMHVHVDQSPAAVLNRIQLQLSKDADRLLRGRVRVLNVWRPLIDCVEDWPLAVCDG TTVETSDLVEADHVRRQYTGSTLYVKFNPRQKFYHMSKQRRNEVLIFKNFDSDLSKPA SFAPHASFCNPHTPEIFIPRQSIEVRALVFTKAALEI EPUS_08144 MAEKTYWESLSEKDRQDSVNKDVSRALGDKIGWAYTGVLKQKWN DEVLPAILLIINNKENYEKVFRKSNTRVTRYCALYMVGEAQQDPNDKPWECAQPTIVT FHPKLRIARKLCKLLKQNECLKKLNLGFGFTFFEDQKIVLTAGNDEWYNTLFQQEILC GLPFWTPCLPQTPSSIYQRATISGVILVNNVPFLLTAAHVFYPGHSDNGDDAGNDDED DDDDDDSTASNLYTDGSSARNTPRSVESSDITPLFRDHILDHLRDKDVYIFRKPEDSH TDDHPVSSESASSDPSAFKRFGYLSQQLIQLDIGLVICSDLDWALVTPDSWKTLKPNK VKSPAGNIVSVDSIAYNPPDGSAIVVVAGTSGVFETQASGRVNGIILPGSTHMQEIWT IDTCCRTLYSLPCCFG EPUS_08145 MTRRIDIPYFRRGAGAAGKLEVGRQLCLGIWGINLTSKAQHYAH SYLDHCNELRSLFEEDGLLRAKRTEIVELVGLVKQSQHKTVKEIEDEIRKAQFYWIAP QADDESIQNVLNFAIRLWLFLEPDLSNQALTLAEVVERSLPRKSQAEGHTKKHRKSKT VSKAKAPIFPFAPEKLSREKDQSLIYLPEDFSEKSLTRKGAIKLEWSSYLSDHLTFMG KSRLRIFRHASAIQGHSTLFASLPYPPGFLQETERTLALLFDPVGNETSKRTRRIERK NHVDLEAAIHSLITSDARLDLRTYPYWQERLREICRVYEDAQPKSLRQWWFDRRNRFN WATLWAAIVVFCLTMIFGLISSVTGIMQVYASFRA EPUS_08146 MRALTDVETQTLFSKLANYTGRSLNQLIAPTTSNGETDEDRYVF RLHESRVYYVRLSLANLATSIARENLLSLGTCLGKFTKTGKFRLHITALDVIAPHARY KIWVKPNGEMPFLYGGNIVKAHVGRWSEDCPEHQGVVVLGMDDTPLGFGVTARSTAEA RKMDPTGIVTFRQGDVGEYLREEDTLFVT EPUS_08147 MSVDKINVWDDPRVQKRSAHLNGRTYGYLYGEPREGCRGTIFLV YFLQFHGFPDISMGWRYQIPMLLSLGLRVVAPDCMGYGRTDAPPYTLRDYGFKRISDD MAELARQLGVDRIIIGGHDWGGGIVYRFAFYHPTLVTHVFSICTPYLAPQPTYTPLKL LTRTTVPNFSYQHQFSSGAIEESIQTRSQIKSFLNAAYGGKPADPALVVFNPNAGFNL AGLEKLGHTPSLSEEELEYYASEFSRNGLHGPCNWYRTHEINWEDEWEYIFRFGEVKE LPRLEQEVLFVLATKDEALRPEMARHMVEGGEGPKGLLPRLRRREVEVGHFAHWESPA EVNEVIKGWVEEVVFGCKGEGGGKERVGTGSKL EPUS_08148 MISSAVIVPEPEDLSPTSPLHKRRQSAELYHDSFKRPRLEGQLR KDSDGYTVTPSAASPPRRKPSITGSGAVEERKRGQRLFGALLGTLSQSSTTTAQRRRA DIEKKQLGKLQQRDEELEDEVRRKREKLDIARRKEEKVWEEQSTQLRHSNLLARAHFL ETRAEPTLFYRPWELRAEEEDRIKGQIQAAEKAVENEVNEHQRRKEDEDNKKNEGQHP SFSASQIDGAQPPQQPGNEENVTKQDGQPKAELVGADATNEEQATTGSSVLEVDAVNY DDNTETQASALEDEARKQEEKTSEDHGGEELVEGQEDDVIY EPUS_08149 MRTPSQIVLFAAALPLALAKELPRNEALAAEKYDNGAVHESIMR AKHSSWDRQRAEGLFESSRYQSSLGYVPCEDGLAMDLYDFKSHADLGSLTGEGSSSWG WTSNDGREFVAIGQADGTAFAEISKEGQLVYLGRLPQQSVTSIWREIRSYKNYMIIGS EAVGHNVQIFDMTRLLSLDPASPTTFSTTTDLTGLFTGLPVGRSHNVVVNEELNYGVA VGAQPRNDSCAAGLIFFDLTDPSNPTTPGCAGQDGYVHDAQCIVYRGPDERYYGRDIC YGYNEDTLTIYDVTDKVGINSSVVISRTSYAGASYSHQGWVLDPNWQQYLLLDDELDE VEFAGPAADQYPVTYIFDISRLDDPKQTGIYKSKAFSIDHNQYVYDGLVYQSNYGAGL RVLDVSSIPSDPTGGSIEEVGYFDIFPEDDQAPNGGTIDFVGTWSHFAGFQSGYIFVN GIERGGFVVKMKQFDKRGRGKQYAKPRNV EPUS_08150 MEAGASVLTFIAVALQSTKSIYEAVSGIKSGPQQVESLASAVRT LDSVLTQLSNCPAVKSADPETDLKVILSLIESCKGDVSHYEGELRKVRISLNDKKTEK AWKKVKTILQEKDFQRMWDGVNHHVSALGFQLNILQSNRGLVCKDKLVQIDEAISERA VRDHVNMAVALQQSEEVSVINANVKDLRDTSHSMQEQIRDTFAHVIPKIEHISEMSLA QSENVCVLLRAIQDQVSGLSTEIRRPERIARLQPQCSKGLDPTDDCGDPEEDCEPLES IERLCQLAKQKGGALSNNDAEVIISDLDALLAFASVPSLHTKSKSPVPGKRQSGMVQE KNNHSSNRELKRMRNLLGSSDTLVVNQKGKAESHRLSTFKNRKISSKRSRKEIPKAYG KITMTTTESHYIATSDSSQEQITTSPQTTREFTASLNAVLDHPRFQANLTVSFHQHAT ADGFFSLCPSISVGLIRPNNSAVFECVRYGDMDGLLSLIGQGKASLRDCDSYGTPLLH YAIGQPTVCEFLIQNGADVDEMARSPFWGVPCLPLSITSLLYTEDQPRERLECRRLLL RAGADPTIEERSDVAGSPVREVVLAGDREYLQETLDLGAGFIDLEERDEIGRTLLLLL AYNRFEGCNPAKFDLLLRRGSNIHARDDEGKTCLHICIRLAECENVLEEQECLVLLVQ NGADVNACDNNGHSISETAYTVSNHYYYDLDLGGYRGDLWDSVLLQCGYDINEKRKGF PRRSEYVESYTRQDFESLWRGREELCPYYHDPPGWDPDGDLESLDGSWEGYPENFSGG EDSEGLTLTGHVVESEDEETTERMLDHHLSPGADDSYTTPDPRKSLFPVSRISPALEI DTLESTLSTWQNLASPQTVSDEEELCQVSDMSGPGTPYENWPTAPQTTLPAQNFQAGS VSGVFELLEPNPWLWENGDRHPVLRGIILAHRFSRRPQAWGPVIGSPLARDETTMQLV FPWNPGIDLETSASIPHHLQRYGTTGALSSI EPUS_08151 MAELRSHFPTRPVANPKAVVSGEKYRFTVLTDALIRYEYAPDGI FEDRASTFAINRDLGSVPDFETYEDESGLEIITERLHLTYDRQPFSSSGLVVDVKGKI TNWGSQWRFGIDENLWEGVENFGGTARTLDGVDGRCKLEGGIIGRVGYATIDDSVSML FDGNGWVGSRRPGGTQGKRIDGYLFAYGLSYKEAIKAFYAVSGKQPVIPRWALGNWWS RYYEYRAAEYLSLMDLFQQEKIPLSVAVLDMDWHYVHEDRVTTAGWTGYSWNKQLFPD PKGFCEALHERRLKVTLNDHPADGVHAFEDVYETMAKFMGFDTSQKKPILFNPTNKTF FDAFFDVLHRELEENGVDFWWIDWQQGTFSRIPGIDPLWMLNHFHFLDNARDGKRPII FSRYGGPGSHRYPIGFSGDTRASWASLDFQPEFTATASNIGYGWWSHDIGGHMLGAKD DELSTRWLQYGVFSPIMRLHSTLSEWQSKEPWNYRTEFEEIMKKFLRFRHRMIPYLYS MNVRAATEDEPLVQPLYWQYPRAQDAQHNKNQYFFGSELMVAPITKPRHLQTGLGTVK AWLPPNGRYVDIFTGTVYDGGRILNLYRQLTEYPVFASEGSIVPLDAAEVPVNGGTNP KDYELFVVVGKDGKFSIFEDSRDDPEDRNTGGQAYEQRQIPITWTQAEGCLRIGPVKS DVGAADAEPRRNWKVKFISCSGIELKNVAIVDFDVGISMDRSMAGGFTINITDVPSDK EAVIEIGKNPQLDILDIKEPVRSMINGFQCRFDIKDKLFECIKEDGLSIAARSSRLLA VEVEEKLVGPVFELLLADSRLQHVSPFMPDGSLQ EPUS_08152 MSAKSMKSEGAKQPATAATNLIAGGGAGMMEALVCHPLDTIKVR MQLSRRKRAPGAKPRGFFETGQNIVKRETVFGLYKGLGAVMSGIVPKMAIRFTSYEWY KQLLADKDGIVSSKATFLAGLSAGVTEAVAVVTPMEVVKIRLQAQYHSLSDPLDIPKY RNAVHGLFTVLKEEGIGALYRGVSLTALRQGTNQAVNFTAYTELKQWLQNFQPAYHHN KDLPSYQTMVIGLISGAMGPFSNAPIDTIKTRLQRTPGEPGQTAVSRIVRISRDMFKQ EGARAFYKGITPRVMRVAPGQAVTFTVYEFLKNKLEKSNLAFAGGKYEE EPUS_08153 MWTLTRFHHFIIVLGFLFFLLEPTSAQAAGGNGLDRFCANDNDV INGTSTTWSALAEEGILSLSESYLRPDEQALLPWIYTVIVFIFHLPTVIIRVVRWENI QLLCLIVTFSTNVIYTLAYVSTKFEPENILVWTPIMLVIDCGSMSQVLFLVNEGRLVW LRFCCQIGRMETDDALSRARNEENLRFEKQGVDPLSDEQLRELVNQPLWKDTRVAVAV TAALFLLHVLVLQILGLYHACKGSQASEPPKVRWCSPIFQPFGLVVRDGECNLYEIDQ SFRKGIGCIALPGIWQQRWLRGTVIGTAFSIACEVTDILILSIVASDWKPLGVKMRRP WFTMFTGIAVLLVTLVFGKLYADDLPPGITHKVWLVSKSVTPKLYSAQLESAGLRGAI IGWSDGLFHSWRFDYFGPSQY EPUS_08154 MDHLTWRNDLGRPRPTVPYLLDDDTTICSELDQLSAWPALMDWD LDPNLPLLESARRCQAWLFFGFVRILSDDVGQPFNIDHFIKDSDPRRLVDSSYLKKTF SCARRRLLRSGSLSDLGKTVLAELIQTLRISRKWIMSLSYRCDENGTDCNPWNCDFCS VFLSTLLLFDYFCETLAEGLEETSHEVERAQHLIPSHRRLSRSLAAGLRLMGRCPTVL ERTKLSSLNTYRLLGIPVCKAYSHPDCHDRYCSAFNIDSDTYVMQHTTSCGNRACSVM RIDYTRLHELVRNDRLSLIHSKLASNGVLVIEIIDGTSKTNYAAISHVWAGGLGNFHE NALYSCQLYDMHRNLSTRSVLGHIRTSQSSYYWLDSLCIPVHDDSLKTKAIYMMSRIY AGAKSVLVIDPELRDLRATDLEPREFDLALFCSPWMARSWTLHEGALAVDIKLKFADG VFGYERLVPKHSLCKRTTIQGLWKREVDQVEPKPMSEMFAVDPCFKFLDTTLMPRHEK FIRVWNELCHRSSKKTEDIAAILATFVDCNAGEVLQLASHQRMHALLRTQPILPTSIL FTPMDSSPKEWCPKFPTSKDDFNLLSPDMGTLESTDSCHFRLTEIHHVELLYCHQPIL VCGEIGLHDTRESWSYYIKFADSSSKVHETTMVNVLFLFQNPIIAGSGPCNGTGICFI ALRDDDEGLHVEFAKTFQWKRARPLQSHSDSQAPDNTGGIKAAELLATCEHLPGASGP DFVDKSRRFRVVSPISSSDIHPRHPLVIDMGMADWPTLNWSRSKFFPFMETSARLAQA LYMFMPLALLMCFWNGIMTMLYACVAGLSVGPKACIETILSGVFVFLIRLCLANLEYK YTHKIVNKQLQRKWALSFYTFEPTDRTGHVNTLLPTNGMETILLCSIYAIFLIPGVAF TVKDSISTFPCLNPKRDGYIGSTILGLISI EPUS_08155 MIIDSEEQFFIKDALLSSNPSRSPLTSAIPSCSPESQARPLLNI LTAATIKLKPYSPASTELHDAFTTFLRDGALFALPITITSESLTPLPALPFENDSFES SLPSLQPHVQPKTPKYIILRRSLEEGGAGDAGALTVVTYVPSDAPVRAKTLFASTRTT LIRELGRERFEGRNLFLTERREVLDPQEWKDRDRDEDAGGDEEGPYTKEEKELRGVRR AEDEERYGGTKGRDILGTSGGGGGGASGNGVKTLGFKAKMDDAAKQALAEMARAVQTE GGGGMLVQLSIDLATEILILSSSSTDVSPADLAPKIPADSPSYTFYHYPSSTSIIFIY CCPASPTIRERMLYASMRGSVVHVARDEGVEVSKRIEIGSPDEMGEERLREETAAAGS AAADDGGSVALKAGFARPRRPGKR EPUS_08156 MPPKPGNAFAQLRDMEQQTSQSSFQHSRDFSQDTTSSSGTVVAI PRNHPQEQSSTGAAASALAQDMPDTPRTTMAIFTEETAPEEGQDISQDYTTPLSRKHA RRRKKNIEAKRSTGDLEHPLPAAHTSDMPAPLFSEKQSGLDFIKSKFSKGKRAFSRAN PTSVSRPGNAFAPFNFEQRPSTPQQSLHSDNQTAVTDLHNTDPAVVYATASPQSSTPA ASVLSAGPQQTTLPNIRVPATAAQVPTLAASILSAGPQRTTLPNIHIPATAAQVPTLA GIHIPGTTSQRFTARNVEVPTPTSDVSTSSMRHTPTTTSRHSAYLSAHAPTFTPQQPT FSNVDAPAITAQQSAFSSTPASTVTSDIYVPATTSQQPASFNVDVPTTRSGQSVHSDA DISATSMQQYGFPSVRVAPNREQSSVPGTSENTFQHYMPQSSIPDRYRVSTAYGHHTS NLLPFQPHAGMMPYSRLVPRRHNRQGHISTATQHTYGTNQSQYASVNANEGIILSDTS ITPTRQGSYAVAGNAELVSRPNMC EPUS_08157 MASTVITLTYRKRGTIPPVFVAGSFSEPPWEAHELSQDAEEGSG SFSRTFSITPGTYQYKFRLGTGDWWVTDETKETVTDDQGNVNNVLLVQDTQEVSPHAD VSGDQQKMSMEDIEEAADPSNMLPKAGEPELPPAIPVSEISVQAEDGPTTSLPQTTSA PITKVSSTSNVSHDLPTPPLSEGGDEVENKEPFAAFSKVIALESEDQTQASRRSTEER ASNMPSSTWNRNRMIFLAIAFAAVPIMAWGLSR EPUS_08158 MLPIGLFWFAWTSDKDIPWVPQAVADINIGMKVFMVFVQSFDYL ADVYLVFANSAIAANTTLRSLLAAGFPPFATQIYHKLGVNWASTLLGFLAAAMTPVPI YFYIFRKKIRVMNVLTSEVQLSKCADGTYCSCGQSNVNTTCCDSKLGENTALSGAPTA RLRSNCLAVIATYHVCVSIRYTLEVGYEISVHVRDREQGYTQKGVEKAKECTGGAPGY QEQIFIQMFNGVFD EPUS_08159 MSGTCIVCLGDLGDGPTDSQGHNTPSAKSPIREGDAESVTTASL NPESANRNENNTELIAHLKPCGHNLHNDCLTPWVERANSCPICRARFYTVELSTKVGG EVIRTYDVQDRSQVADFDPSMFLEEIDDNNDTEPCQVCEEDDNEDVLMYCDQCNRLFH SYCVGLQEIPVGHWFCDDCRAQRDVNTRHPCPPRGTRSQSGPFRRRTRGQQRRFRSQN QATDASWTRVWQSVWDRLNLDLDFPDDEEAAATAIRRHRQHNDINRREHEAWQVRRRI AEMQGGGDSRFRDTEASLLDHQHTSAFQTARNHTSRTRHHPGTPEQETTEDQLAWEAF DQARQEEIDPEQSSNPNRRKRKSATASPAELQTDDYERVNKRSRTSRMHDAENASGSA ASSRLRRPVPRRVSPLTSPLVAENNTPGPSFLQSLLKEVEDSSAPNNPRGGFYRQSPL NAPSPITISEHQSPRPSSPALSPSPSNHSSPRAMSATPPPTYSRSNSPTGLSSSIQPI FSSSDFYPSRSSPDPPSVLTNGRTSRIPAGGGWLAQPTRHPSPPLSRPRSSESSPTRP CLSFNAKSDVQKMVSSALKPYYHKKTISKDQYTTINRDISRKLYDQIGDFEALGIEGR AKWEKVAGDEVGKAIATLQDSSGVNQISGVEILKVAS EPUS_08160 MSSSRAYSLVLLGATGYTGKLCAEHITKHLPTNLKWAVAGRSSS KLQDIVTGLKKINPDRSQPDILPVNLNQQELNDLAKKTRVVLNCIGPYHLYSTPVVAA CAENGTHYLDVTGETPWVREMLQKYHDKAKATGAILIPEIGLESAPSDLVAYTAVSTI RDSLNCGVRDVICSVHEMKGAGLSGGSLATALSIMDQYSLSEVRTATKPFSLSSAPTS KRPSSRSLFSRLLGPFSYPGLGILTTSITAAPNVAIVHRSSGLMPQLYGKNFRFNEYW RVSNYVFGIFVHFAVIFGTFLAAIKPFRSLIRRFVYQPGQGPSAEATSKDMLEFRAIA VAEDTTGGKEKRATAKFRYEGGIYYFTGLLLAEGAMVLLENEDLVKTLGGGLLTPACL GDKFIERLQKVNVRIEGEMLP EPUS_08161 MDIPSRQFSSELLKILQLIADSHFVALDLEFSGISSRRTRAGKS RLSLQQVYEDVREAAKQYQVLQVGLTVVEEDARKGVYVLRPYNFFINPIPAKEEYNRI ERIWSYQSGAVSFLLKNKFRFDLPFSEGIMYLSRKEERQIRASWAVRDAEREALEDMT LKADDKPLVDHIHLSVQKWLSQPLVDREDYLNIPHTTEEKPRIKHIPAVLNRYQVRVT HQVIRKDYAHLKTLGRDGFVRITLRNEKEDAEQKLRQERYREQDVVQAIEFRWIIEAL CGGDISKIPERCFLANILNDKNPSNDDASRKQYIEELQRKLSTRRRVLFGHNCFIDLV YLYACFIGDLPEKIEDFQELIHGFFPAVVDTKYLASLVKELRFNSNLEALEKEMRTEE APAINVPVAFDRYVWGEHFHEAGFDSFMTAKIAIKLSAKLEKEGKLREAAKPQKIIKA GETILIDSAVDIEEEQMEDYVTAPESAADTDSIVNELESKLAVVFSSPAKKTFEMSQP FDARKLPDGRGLPDTYRQTQPEVPSKGEGIKPTGQMRDEPQPEPSQHSNSSLTPQDDK LTLDTSSTSTPVVAVKEKVVGWRSPVEVKRIKNGLAHNSMLDVLDTPVGPNTEDTSFP EHDTITKIIPREDENAKHPETDLLVWSDRDEDDDDEEDHEEEAEGENANAAGEAIPIE AEESEKPMTAQELEDRINKMSAKGDMMPRWESESGIWKVIGNKLIVNACEEGVCLL EPUS_08162 MTTGNSSLANSQQLSSSPFPIPPDRKPSPEISKAYKHASQLFVT RRFPEAFSVLEPIITPAQQANGFKDSDEDSDSKPAIAMATTTQRIKVWSLYITLLNSI VDLGYDEGKRSFGQNRYKEIVNCVRSGDIWETVVRDGYAGREGSVDAEVVYNLATLLL GQAPSQTLTQSRLETYLAFSSHLDLNLGITHHLDHENSHHLQSRSNGAGTPKDLASRL KILELFTLHVLPRNEEWEYARSFISMSDVLDEERREAFLLSLQELQDAKDHDMQEETT PRQQEDAHLQDRSENSNRPHSENNAVQRRTSGENGPVHKRTSSEIDYGIEKEPPNGNA IPAPKTQRPASLSNAAASAAVTGASHQSPAEAAKSRNMRKSPQKRPPTYGQPLRNLFQ VLQNLVRNMTNSVRLRQTWDQAVKHEAWYMSLPFPCGFIFASYCISGSSTYMAAANAQ SISALLQKSSLDDHEEILKACNAALKISKTDVAAQHVKAVALLKLDRYEEALHVFESG GKNLKETAPLEYAYSLYKDGKSKEAADVAARLKDGRAARHVEAQALYRSENFQATADV YRDLQSSDPTTEQSDLRINRGAVEAQLIWSGKRTLAERRKPGREDLEAFETAYNAACG SIARGELRQAEVLLKRAKELCKYSDDLPDEEKAAELLPICVQLLYVLERLGKSEEAKA IASEFKAADVADKATKQIAQHNLLVGSEESPNPYLAHKMLDNGARFSDDDKPFRFQQR ILNANLKTVDLQALKFDGVARSTSKVLKSQQLPSTTAELTSLGVLNAAAHARGEDGKS ALKHLLPELERSPYNIGLLLTIIQIYVSIHNPTSAINHLESFFTRLEQSPFETKQELR FSPGLVTVAVALYKSQGRQSHARRELAQAASYWRRTSDPPQLLLQAAGATLLESLDPE DTSLAAESFTILHEKNRQDRLAAAGYVAAHASKDSSKVKNEVAQLTPVHQLIQGIDVD SLENAGIPQPSNALAIAQKTASRKRGAPDQALNRPKRIRKSRLPKDHDSAKVPDPERW LPLRDRSTYRPKGKKGRKKDADRTQGGIVADDVGIKDLGPPTRPPGVGSGGNKKNKKK GKK EPUS_08163 MPGTRRRESASTAAEQGHGEAQPQSLLRFNEPLSWKAGKAIPVA ELLSRLQKLAKELESLEPEGEVDPKSVYKVAQELASPNLLAHKDRGVRAWTACCVVDL LRICVPHAPFRSAQLKDIFTTIVNFIIPQLADPSNAYNAQHVYVLSSLSEVQSIALIT DLERPEQLILSLFTTCFDIVSGSAKASTGEEVSKGVEGHLTQILVQVIDECSSISPEV TDVIIAQFMRVDPRTNEQDAARKKNSGVPDGSQGNLLQKTYPRAYGMAKAICGSVPEK MTTFISQYFNNIIVDASEPAQTNSHVGKGHGSRGSISDADDDGKDLKELSKAHRLIRE LWRACPDVLQHVIPQVEAELSAESASLRLVATETLGDVAAGIGVAGCPPPVHIDPAAY PSVDRSNPTPSTLQINLLQAPISPKPFAQVHASAYESFLSRKLDRSPSVRAAWATSAG RILLTSAGGIGFAEGEERKLKDGLAQLLADADEKVRLAAIKVIGVFGFMDVIDKLATH GSVSSPGSVLATLSDRVRDRKPSVREEAMQVLGRIWAVAAGEIENGSEEVTAMLGDVP SKLLDTYYVNEPEVHVLLDHILFEYLLPLNYPPIKGKSSKSDSQKQASKGKLDPLTEE DGADVDLIRVRRILTILNGLDDRAKRVFFGMQNRQTQLSRVMVQYLKACENYNGGVFE KNEAEVQKELSKWIEAISKTLPEPARVAADLRKFAKMHDRRNYQLIRFCLNHESDYRT VVKAIKELTKRIQTRGTNSPSLDTMTPLLYRCSLLIYNRSHVPGIMRISRSDEKGLAD AAHEMLKEISTRTPEVLRTHVQELCKDLESTAPDDSNPEEPSAADMLKACAEFARRFP AEVPRDRKFMVAMTNYALFSRSPRAAKHAVSIIMAGAEKKEMYAKELIQKATTSCTHS SPHFLARLATISQINLLAPKAADAESDTIIRITMQETLLHNRQPALDLDSYTWSTSQD EETRAKELALKCLVNFLRSHLDDESSFMESATPIYEMLTRLIEQEGELSDLKDTPASQ KSYLRLTASRFLLKLCSKGRACEDLVTPHMFNAVGLVAQDRLPGVRAGFITQLKKYLA LERLNYRWYTVLFLTAFEPERSLKNDTIAWLKSRGQALARKQQAQSLSKTGHQNIMEL LFARLLSLLAHHPDFPEKGSETFDSEFVDFAQYIIFYLTAVGNEDNLSLIFHVAQRVK QTQDAVTGTPEASERLYVLSDLAQATIRHYADFLSHQKGHSANANILQTWPGKLRLPL GLFAALPNHDAAQQIADRNYLPEEVAEDLERIIKATLKPNKSSTSLKHSEKKRKSETK EAAADESEEEDESKRAKRAKKTALPVRKASKSGTSKAKTPRPNKKRKSDDDVPSSEQP ARKSSRKSGAQATNYAERDSEDDDKEMERWEKLSEEKAEKARAKEAEEESEGKEEGAG KAVDEEGEPEAQTNGHNDDSEKENDESKDVDDGMNDGTDEDDANSSRDVSPTPLRPQL NGSSSKTRSTTRVSGDAKSVTMSNTTVGKPTQAKKKALPLKKIPPNGNMRVTRRTKAG T EPUS_07883 MPLHTFEPALAAPSKSVIPITLKDVDVDSFGAIVRWMYAPDKIE LFKHQQREGSVNDEPLKDLNINCFPPVLLLTDRLVMKILIYDMCERLVDGFEMSLSWC TGRRDATAAEEAEAQAWAGDGKGADEDEVINEEEAGVDVEEEADEGEQVGEEKGARES CGLENILSGCLTSQTIFGTNAITESFIQSCTAPKKGFSAEMIELEPL EPUS_07884 MAPQAGAGGMSEQEQKYAKIIQSGMESCVVKSIMAGGIGFALGG AFGLFVSSMSYDTPLTPQGQAIANLPVREQIRRGFKDMGSRSYSSAKNFALVGAVFSG TECCIEGLRAKSDLTNSVAAGCITGGILARNAGPQAALLGCGGFAAFSAAIDAYMRMP GDS EPUS_07885 MSSSNLPWWKTATFYQVYPASYKDSNGDGYGDIPGMVTTLDYLK ELGVDAVWLSPMFDSPQVDMGYDISDYTTVYPKFGTVADMDKLIEEMHSRNMKLILDL VINHTSDQHIWFKESRSSRNNEKASWYIWRKPTYVNGERRPPNNWRSIFGGSAWEYEP AREEYYLHLFCPEQPDLNWENPVARQAIYKDAIEFWLERGVDGFRVDAVNMYSKDPKY PDAVIQDAGAEFQRAEKHYVNGPGMHRWLKEMRKESINKYGEVMLVGELPHTDSREVI LRYISAAEQELSIVFDFAAVDLGKRATAKHQWFKPSLPDFKQTFVKAQDLLVDTDAWT TVFLENHDQQRSINRFTTDDPRYRVKAGKILAMLLATLSGTLFLYQGQEIGMVNVPET WGPEEYKDIKSINYWNEMNRKYPNDEKMLGKALRALRNGGRDNARIAMQWNASKHAGF TSGTPWMRAHDNYPEVNVEAAQRDPDSIYHFWQNVLKLRKEHSDVFIEGGYEMYDLDN PDTFTFTKTVNGEPRALVVLNFSYKEQKDPVPQSLKNTKLDLLITNGEGRSRSLGAWE GAVYTISSEHLRSKE EPUS_07886 MAEGIDRKAEERIDFTTSKEVTVAPTFEDMHLKENLLRGIYAYG YESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFSISILQVLETSIRETQALILSPT RELATQIQSVIMALGDYMNVQCHACIGGTNVGEDIRKLDYGQHVVSGTPGRVADMIRR RNLRTRHIKMLVLDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMTTK FMTDPVRILVKRDELTLEGLKQYFIAVEKEEWKFDTLCDLYDTLTITQAVIFCNTRRK VDWLTDKMREANFTVSSMHGEMPQKERDSIMSEFRQGNSRVLISTDVWARGIDVQQVS LVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTSEDIRILRDIELYYSTQIDEMPM NVADLLG EPUS_07887 MIIEDQRFVHEDLERLEQAISDRLAEDPRNIKDRLNRDHQIAGF LTRIQEQSQRLLEIYKDAEGLRPKEVQVLSTGDPMEQFYKQLEEVKDFHRRYPNEPIE NLERAYKRRHPGEGEVLMSETDNMFTGEESNGRFLDLTTLHEDYLNLPGIKRLNYLQY LDSFDAFEPPRMPIKRANKLSDTYLKYVRDLSSYLESFVRRTKPLQDLDKLFTGFDRE FETAWKSDSVPGWGKEDTAEPVVNEPKTEGTGEGFWCSDCEKEFKNENVYKNHLTGKK HVRAAEAKRAGGAGTNSVSTNGTVASSNNLTRLKERAIASHEFRVRRLADYLDNERSN TRVNVERKQGMTERERQQELDALFAEDDAAAAAGGGKHDSDSDSEGEEKIYNPLKLPL AWDGKPIPYWLYKLHGLGVEFSCEICGNFVYMGRRAFDKHFSEARHVYGLKCLGITGA GAGAGLFREITGIDEALGLWEKIKKERKDKEVKEDSIVQMEDGEGNVMPERIYLDLQK QGIL EPUS_07888 MPGRTTARSAARSARPSTASTKSSAPSLNSRASTASRISTAVAR LPEDGPSTQLRVEICTTFADSQKSTSGHRKLVVRLRKIQEACCYVPQSASKRKNPLGD GFEEADFNAEVERCVLRVMGVRKAEVAGDRVVRFLALFLKTASEKDLAIFGQEVNGED THAVPETPTSRLTFSLLSLFIPMLSVKEKTVRFRATQIISHVVNTLDSIDDELYHLIR QGLTRRIRDKEPTIRIQAVMGLGRLAGNEAQDEPESDHSEDLDSTGLLEKLLDVLQHD TSAEVRRSLLLNLPLTPSTLPCLLERARDLDGATRRALYARLLPTLGDFRHLSLSMRE KLLRWGLRDRDENVRKAAGHLFYERWIEDCAGNGSEVSEGGPVKEASSPSMPGLLELL ERIDIVNSGIETGIALEAMKEFWHGRPDYIDAVNFDDAFWSALTPESVFMARSFNDFC QQNQQYQILCEEKMPEVTRLGFYLQKYLNTLLENITSAAEFGGGEDEAVEQEFIVEQL LHIALTLDYSDEVGRRKMFSLLRESLAMASLPEEVTRLVVEVIRLVCGCDAAGEREFC IVVLEAIAEVHDNIAPEPVVDGEEDSFVSARSEVSGESTSTNLQARRPPAADGPSPEE DEQKVIKEIMINMKCLHITQCMLQNVDGNLQQNMHLVTMLNNLIVPAVRSHEAPIRER GLLCLGLCCLLDRSLAEENITLFIHCFSKGHENLQITSLQILCDIITTHPDLLSNASE EPSPSTTDPTSIPLYQKPLLKCLTRALSPKYTPLPTIQSTAATSLSKLLLTSRLHLPE PSIDTLLQQLTVSFFDPRTSDNPSLRQALAYFLPVYVHSRLENCERMGRVAVRAVGEI LRGSKLLISHVIAAVSLKIPVHICWVSCVSVGLCL EPUS_07889 MAISKTIPAAALPLAISVLFYSFINALASSLVLLISLSAIVITL STVLSMIQQINYIVAWMAIKDAAYLNAGDRQSNPSYAFITTYNELDTIVFLIRFYCYN VQSLLFLFWAIGLWHATWKARADLLRLKDESIVLAAKIFAILFPILIVSTMRSQLIKK DPVIKLIWSNLILLASTTAGSIFLILILYTYIHVHRKAATKKPGPMPNMDFSFRMNRR ASDASEMPTKPAPATDMRSIAYDRWLIARFLVCFGLSNGLQVCLIVYYYHVSARNTEL ADRGGPDYTLSSTLKELALSIPGVSLGLLIFIVFGTTAPFRREYRKWFQPCKRKRQGR DGPIMVTRLGSVNAANNELHSGQMRTAVEESSIGVDDLERRNSFSSTWQLPPIDLDGF SEKEVFPRSALGDDRR EPUS_07890 MTTETQTIPQSHSTPTQKFSRYRSVRQAATTQAPPPPAVTQLSR HSQNESIQRSMSRYRKPSSKPAPFSSPPVPEPTAKPSRIPQSHSASLAALTGEPCPED QESPSSTPSKYVPSRPRQYSSPRNATSPRKINALQDTPLTRRAPSTEEATQPRQLSWE AICDLRARPQPPPSSARREDCEMLVAENEHSTPVKARQSAERGAKLQKRRLVATEERK RLEDVRTKQQELNKTDYQAQEKARPSMVQQRDQQQGAASGRAAGARAAKKESLDLRRG DSVQQRLDSFTRNESALSPGNGSMQDPLASQKAREPLSKPRQSCDAPTSKPAPSNHNV PAPWVDAPVSKPAPSNHIVPAPWVDAPVSKPSVTQSYNGGPAQWIDAPISRPAPQQDG PVVVPQYDAPVSAVNAGERHVLVKCNASSLTLPVTPSTTPKDIIHSASLAMSEPIDSS RSKLVESFTQLGLERPLRNYEHVRDVMNSWDHDAQNSFIILPLGNDGHDDQLDLAVVP KQQPGDTSVYMYHSQRPKTWDKRWMTLRPDGQVTIAKRQGQETSNICHLTDFDIYTPT PGQQTKRIRPPRKLCFAIKSQQKSNMFLNGANFVHFFATGDRMVAKEWYKAVQGWRSW YLVNVLGEGQTSKRASMTTNSVRPATAKTQRRASIDAATYQAGSFKPAVDLDHDGLHL SDPSPAPRASRSADVFHARKTLSRDRAPPPSAFLNKLSKDAESGAPTTNHQRMPSIAK GPVPGEGHDSTFAPTGLLGRTYSQRQRAQRDREINGGRTRSMASVEPPTGLTRKASTK SVRQMPKPLIDLTPQYQEPPQHVKKGRAVIPEPGQQLVEVATGLELLPGAIMTPSATT WRRPQAQSPPRENLGRPRGKSMHTDRRSMTIDGNRPRPSMDTGRPQMNDHGGFLQGGL LARTQSKRAQGDRWTGHGVRTGDRNAADKPMIDLQSPNQFADGSLLRQLEAHAGGDEP VIDREKRREETVRVGEGV EPUS_07891 MSNMANTATPTPKLDRYVVIHVATTCDEHGVYVTKDSAEVIEIG WILLDTKTCDELHRESVLVKPVNTPITPLCTSLTTLTWEHVRNAGAFRDAITRFDTFA NEHLISKNLEFSFVTLDSWDLRVQLPREARDKAVVLPPYLQHSRTYDLRAEYAKWQQH HPESLPFGPSSLANICAALEVEPVQSSAPIKHNLPFHLQALAPASPRRAMEEAITLAR VLRGLIRKSQPQHDHPEILSRPMDARADVRAFLSERSKVLHMNGLPHDTTQSELESWF TQYGGRPIAFWTLRTPDQHKPTGSGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSS RVLDRAAEILCAFPPSKNRPRPGDWTCPGCGFSNFQRRTACFRCSFPASGAAPSDPMG GYGAYGYGPPNMMPHHHIGGHHGHGMGHGRGGGPVPFRAGDWKCGSEGCEYHNFAKNV NCLRCGAPRSSAAVVADSAFPPTMHQPGGYGMGPNSGMGPGSIGNTPGPGPFAVGAGG FGPGAGFGQQFGGPPPSQYALPSGLGAPTAGYGPPMGAMTPNYTPSNMAHSSFGNPAA QAAFTGADSQPQSAGGHNGFYNNEGADPFAFLNSGLGGLSINDDQTRRNGNANAAKSP S EPUS_07892 MKFLKHIRSKSKVKEKEKEKEKAQPQGYASQYAPRSGRDTTARL PPAILQRIFLAVCPLTADDSYDSSEESLIDYGCALCDLKDLAQCAMVSRKWWNVAQDL LYRNIRIEPVHYCEREYELAEKRKHKSFFDRNADPTDAPRGRLLLLMRTLRENSVLAS RVVSLRLPYMTREGSKAELARTVAVLPNLRYVDVPEGFYSDDISSTALKQELQVSCPE IRRMKYNHGSERSLMQIPRQRIWQNLEILELCGLQLEIEDLLVVLGSFSSLQDLKLVD LPWLDDSVFRPSSQTLPLFPPVKHLTLQDTPRVHAGGLATYLSQVQNREALTQLSLSS TGVQPQQLHHVLSRAPYLESLSILKAVERPFPVDPPSRPLASQSLRHLHYEITSALSA RFGGQPPTASYYTYLMSSLLAQPPLLPNLTELYVRDPNFAETLLLAPPSRPFSPVKPT FTFSQPLSVFSKGAKELEWNFTSVTPPATGHQKKGSISTRPVSLMGAESLSPAWYQMT KQPGLEVAGRLPAMEIWAGNEGTYGVNVKTTDIFSPCSANPSAASPPQPSAAAESLNP YGLRVSSAQGVVESLTGAIGSTPLIKLQKLSDETSCSVLGKAEFQNPGGSVKDRAALY VVKDAEERGLLKPGGTVVEGTAGNTGIGLAHVCRSRGYKLVIYMPDTQSQGKVDLLRL LGAEVYPVPAVAWENSDNYNWQAKRHAERVAKEDEAGAVWTNQFDNVANRRAHVETTG PEIWAQTKGKVDAFTCATGTGGTLAGVTRYLKDVSGGKVRCYLADPPGSVLHSYVTSG GKLKERTGGSITEGIGQGRVTDNLAQEVGELDGAVHISDEKSIEMVYRCLDEEGLYLG ASSALNVVAAKEVAERLGPGHTVVTMLCDGAYRYADRLFSGKWLESKGLRGAIPKKLQ KYIVLP EPUS_07893 MARELPRRRRAPADGVYSNPPSPQAPRAPQTMLDTPRRARLLAD ARLTAGKLPHNDGPHGTKGVANNKVKQAKIKLNIKWQAQPSNSPDLNPIETIWRIIKQ RLKSRGVIFQTETLKAAIQEEWDKITIEEINNAISTMPDRVRKTYYEWNKFKQNELNL HYKENKNRYLAPYVKQQDHHIYRSRFQNELWFDLLDTVIDWFGADKFKDVMAYYRKED RLMDEVTLEQEVSDHLRKIRRHTNVVPGLHKSDIYQRPALITVSIGDSANPVYVRWRN GTTCHEDMGKPKEELIRTDYDHGYVREEDAGSLLYRHVEINLEHSMTRAGQKPKGKGK RKELSDPFALSDDDGAPETPGNGRENGKRGRRSSANGSINFCYMAAAAIFPQKV EPUS_07894 MSNDDDHPVDIENPPTDLEGVNAPLITKPDQTPKTEEEVWLDGI PSVQKPGYGNWIWAEKQCARRSGLTVGEAMAIQAAYRVYQTDGDIQEFQHYVLEFAAA TLGSIAEPTGMGSSRWLKPLLDIARGPLSVMDVVIQSSPTVSPVWASFAIFLTTFSTS QNHREFVQERMHTLETLTKLLKLFVPDKDGQSAPQEMSRQDQETQYHTDRALKTDDEQ NLPASSAGHTRIPETSQEPAKLVSAVEQTQAKETKPQSGLSDALRLDRKEFDNLIREL LRSRSHESGKQQPADGTSSPFLIIGWFAKGSTDPNERLITLEDDENLFKQIRKRVKFI RGWREYISLKSLCRFGLYKCDIPRGAHVKLSLSNQHASTLSHFFRAYQTSRWHPDKDV SNAWTAWVQKNLNANNEPIEGKYSLELVYNWSPVRLSVLVLFPVLFSFGTGMLYMLKT GDVSTAWTISSYVVTTAGAIVALLAVLGSLKER EPUS_07895 MADQLKPITLHSHASPSQVQGPNPWKIAILFEELKLPYTTVFKA FEDVKKEPFISLNPNGRVPAIEDPNTGITLAESGAIAQYLIETYDKSHTLSYPSSPEK FQAYQWLHFQMSGQGPYFGQKAWFSNFHPEKLPSAEARYENEIKRVLGVIDSHLKKTG REYLVGDKCTYADLAWVPWDTAVGWLVPGLDVQKEFPAYAKWNERLTSRPAVKKVLEE KAKKMRAA EPUS_07896 MSIKFALMVEVQKTLTGYRFKLQYNNRALSNNGQADEVGEHFNA ILNSMGEAKMKTGLLVDSPLKGLWEL EPUS_07897 MEKEALSAAGLYNQHAALKWKQDFIYLLGGDITKVKRRNYWKNF AFLIVSHIANEAELFVDSHFVTNAQLNQHLVSTKAAYSAPLN EPUS_07898 MLIARAGQADINSVKERAKTILNRLKNASTLVASALPNLHTSSS KRARPLAAGSGPDLSGIEASLTLLTQAIQVQTEVAAQAANIELPVWRDQTEGWEEETN PPELNAAAAAAAPSAAAPPATASASASEDENKDKNKNKDKDRDKE EPUS_07899 MSPSEQKAQILERAVLRLATQFEIQNHINNDLCTALGNEKKRRR RGVRLNLLGQESSSEPQFFSPTKVLAAKEYQDSKEAREQEELRQKALEKEENARRRAE LAQQRQDNALQRVMLKQAAREEKEAQKAKKAAEMKEKRIDQVLKKQAKELAANERKKE AAKRKLDAAAAAATRTALVARKQASQNASVRRRSVVIRPKKVVFRHNRSTPVGQSAEN QEDRTDQAVNVAGAEVAQKQTRSGRSVNPNKPSTNNIPIIVIGVIVAAIPSYYFINSN KTPAFRQSKIREALNKGDPSNEYREPRDNDVKTLEDKKRKQGN EPUS_07900 MPRGADFDDGVPRSDNAIEPGHNVTHGAGSESTSEGVDRSNKAA PLPEGTSEMKDHHWYSGMGSRGHPESGSGKGGHEPKTLGEQKGLGAKKTSEKADVAPE LPSVNRLG EPUS_07901 MNTFVANKAEEKLGDRPTVTTNKSKYRQNETMLALRWHGSRDVR VEEVPVPAITEPKDVICKVTGTTVCGSDLHLYHKEIMQLQKGEILGHEWMGIVDEVGS EVKKFKKGDRVVASFQIACGECSFCKEGLSSMCDRTNSSRLQEKLYGKPFAGLFGYSH FAGGFDGGQAEYVRCPIADVNLLKIPDSVPDEKALYLSDIVPTSYHATICADVKDGKS VAVWGLGPVGLFSCKWSKLAGARRVIAIDRVPARLALAKKMGCHVINFDEQSDVVSQI YKLEPEGVDCCIDAAAFRYTKGLLHTVERTMALETDSSETPNEMLRAVRKFGTISLVA DYAAMTNQFLIGALMEKGVTMRGCGQAPVQKYWHELLEKIESGEFDPTIILSHRFKVD EFSELYDAFDKKEHGIIKTFVQTRFSKPPSKGTPQLSSFKAGDIKPSAVAT EPUS_07902 MKKGDIMGHEAIGFVEEVGPEVKKIKKGDRVIILPVICCGECFY CKKQEYSLCDRTNPVPEMDKMWGHRLSGIFGYTQLTGGYPGDQAEYCRIPNADLVCVQ APKEGVSAEKLLALADVATTAWHGCELAEVGRGHTVAVWGCGPIGLSIQKLSFFRGAS KVYAIDPDPKRLKIAESFGAVPVNVTEHNDVADYLLEQEPHGLDKTIEASGFRSAQSL IHKTMRTLDLEGDQSDTVAAAIKACRKGGNLALIGDFFFNTNEFPIGALMEKTITLRG GQLFAQKYHPYLLNITVEGDYDPSFVFTHKEKFENLPSLYKMQNEHTMPGGLKAVAVT PYGRELEGSS EPUS_09496 MAWNVANFVTLAVLFAFLSIISIALRFWSRRVNKTKLGIDDALI IPATLCVVGIAVTMIVGTALGEMAQHQKLEIGPGGPIYNNSRATYEKCNYVLQLLSLA SLGCSKTSVLFFYRRIFSIHKRFELINTTLIVLIIAWAVSFFFATAFQCKDPVTFWTT FEYPRVNCVDAYSFYYSVSTTGFITDLMILSSPIPIIWQLQMPLKNKLAVACILLLGA VVCGAGIARFVTFINVGRGFIANIHDVTYFTTPVFAWTMIESSLAVVSANLPILRPLL QKQTYTDSYLWSMLRSTLPLMAGYNQQTPKSGSKASLPRKFHHAGKDGSSGYQVSMDG VQMEPVPPLDHNIYMQREYEVA EPUS_05968 MASLSSFQAQEGIIKLLTLFILAFSCLSLVAAAPTESGLTTLSD VEKRDASTLSPHDVDALAIRAPVAADNEVSKRESAGLYWGPVYIGNLKLTLTNPHDGY AGPKFPNANHINFHVYLRGPRADYKKELINLHIVRYGSGGRDCLYMWDSVSKKTIFDK CFDDWGNAIPEAVAAAKATVDTLLKNADAIAAVAIIAALGVALAAVLAGLGVAVVI EPUS_05969 MALSLTYLAFGLASLATTSLATSGAALLEHALAPLGGRDALSSL QGVSLVANIYRSYTLMQSAISSYTDTAIATAGNASISYDLSGDIMDIRRPIAARCVFR HSKQLLMLSAYTRLLNRDAIRLSPKLLLMMEANAESLTAADIEVGGTMYPSITEEISS LTVILDPATMLPPIIPSSLAGCNMLQPGSVGKSTNPRFYPRNLVIRSETHAPTVAPRT MCSAKTAAAGE EPUS_05970 MGAQDLLDSFYSIDNLVSIDIAIDPAKWNDSVLKASPRGVGAPN SDPPQTNYDWVETTSVTISGTKFPKKSTFTQVAIIKKSFYGSLSFTKPSIKLDFTRFN KANEDEIKNLIGTDRLVFNNCKQDPAYVRQPLGYEILRQADLPSFRCNFATAFKKKFV KNQFKSDNGNAYEITFLDDFDPDRLAEGYLTFEGFSEHKDRKDLLRAAREIKDQGLSG AKKVIAWDQYIRFFAMEALLQHWDGYNQSMNNAFLYNDQKAKADPDIEKNDINFSFIP NGLDQILQNDHEILFSGWKSVLAKLTIADKEANAELNDQIRKLAEKIFSAENPAESIY PFLDKETEVLRSANASFKQDDVDAIKKRLSNIQSWAYAKVGAPE EPUS_05971 MSSPPAPETITPSQLAYLLSIYPVTLEKAYKLNTRLKDPKKLSG ALEDDRWRYDQLPRILAARRAVGKEGEKGEGAPWLEKAELERLVGWKITHGTHRPFLP SLIRQNSPELIQSTTQSAFSSIRTPAHTLPPQEHIPYPKVSTSLTTLTKPLKGVGPAT GTLLLSVYHPDTIPFFSDELYAWLVLQRQQADTREEKAKMKLKYDMKEYQRLYEAWAD FREGLGLRGYRKVKAVEVEKVAFVVGWWHLLDEEEKRLVNMGEGGHEAQDKDEKHDEV LGEGSDDAERTKWLRLTEGIKGKGTNEGNANAGKSGDGLVKTTLTVKVGDEKVVSVPR KEIEMKRERQPVNDEPTIRRSKRLKRS EPUS_05972 MQFTFLLLAAAASLAAGQATPSLSTPNTSLPTPTATGTSGSTTC AAQLILDTCVSQLQPMVSSCAGNDWACLCQKYGDLLTCYDNCPGDPARFGVSQQQSQN CAAASAYGTTTRMVASSTSSGSSTATSRAASATSGSTESDSETASGSAASATETGAAS ALGVEVTGGMLAVVAAGFGLFL EPUS_05973 MPSWTAENDLKLASAIIALHQVKVSKDDCERLATIIGEDCTAKA ITHRVAKFREAATKLGLAGGGDAAAAAAAAATPGTPATGKKRARKTKGDEDQDGDGVP ATPTKKPRTPKTKKSSAVAKDDVEQEEERVKEEPLDEEQLQADA EPUS_05974 MPGPPPPPPPPPMPGFGTGGGPPPPPPPPPGGAPGPGSLPNRPP KAAAKDRGALLSDISKGRPLKKAITNDRSAPIIHKKSDSGPPLGGAPPIPGSKAPSNL APPPPVGGVNRVRSNSDTGGGSGGEPGGMGSAPQLGGLFAGGMPKLKKRGGGIDTGAS GDSSYSSDPETNRSSAPRPPIGSAPGPPAPPGGLAPSIPKVNGLRPTPQSTESSPPFS NPLVANLRRPPPKPAPRPSSSVSVPVSKPPPPPPPPPVTSRKPSSAFQPPAPPPPPPL SASPSRSAAPPPPPSSAPSLPPSRSTPPPPSSAPAPAPSTPLHGLQNSVAMQAARNAF STQRLSPSSAPPPPPTSPPVAPPPPPASAPSPPLSRPSPHSQPIGRSNLDPSMYMPSN GGSGSPGRTPTKSTLQIDDRRWKFQDESQLPPPRQFVGGPKQYRAGRGSSVPLDLQGL L EPUS_05975 MGLTISKLFDRFLGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRVVE AREELQRMLNEDELRDALLLIFANKQDLPNAMNAAEITDKLGLHSLTRRAWYIQSTIA TTGDGLYEGLEWLSTTLKKQGHN EPUS_05976 MDIPAEQEAVLIPKEYTDALMLDLVAQAFRDIRLRALQTDPTSF SSCFATESEQPHSFWTERLQNPQAKTFALVHEASGVQHHHHPEFTLLRPWLGILVLLG PKLVDIDVYDNDSPWKTVLTEQHAINEQPQLQEMEASSVKIALAYQIVSVYVAAEFRG KGLAKKLMSSALAAIEQDLKNKRFGKAVCTVDVADGISVARNLYQRMGFVTVAEDQST TDNGREFHGSVMRKAMTFQATRDASVV EPUS_05977 MSKITVAGVRQNVQQLLDYSLNEKKRNFLETVELQIGLKNYDPQ RDKRFSGTVKLPTVPRPNMALCILGDQHDIDRAKHHGVDAMSADDLKKLNKNKKLVKK LARKYDAFVASDTLMRQIPRLLGPGLSKAGKFPTPITHAEDLNVKMTEVRSTIKFQLK KVLCMGVAVGNVGMTEDELISNIMLAINYLVSLLKKGWQNVGSLTIKASMSPPKRLY EPUS_05978 MNPPLFRWSNFVCRSCWQETQCSPLRTVSLSTRAFVKERNLLSL TSLPFNGKKRSKWLLQCRSQTTASQVALPPPDPTATRSVETQAKTLPEPDLSDPAKAL DQLIADSKRIRSSTTVPDNLDVLELLETSRQLAELLIYGEPEWPERSTGEIKATVTST SSILGLEETARQGAKAPLQMSISFRQKASATVAKNLYNILRDPKIYISLPMLQVYVHI QVLLGKPEYLPEVFHLYAHKPIPHASKSSKSVSSSSSLTTSSQNITYISPFPRNPKNA IPTHLASAALTSAIAVKSLPLALSIIETSVATPSFRLHKILRRASLPFLFLSLTPFCA YTISTYVAHHWQNTYDPTLATWLTGAGIMAYVATTATMGFVALTTSNDQMERVVWRPG TRLRDRWLREEERAAFDRVALAWGFREKWRWGEERGEDWEALKEFCARRDMMLDKTEL LEGME EPUS_05979 MANSTSITLSSLLNRMIHQYQSVVSTSINGGIKSLPTTPPSPPF VPFARLGFLQIVLWLFSLVLFGMGYKYIERDYKLFLSLGPGGTPYSFRGYTKLSLISL FKLRDPYRVPVFPAKPRPANGFLEDLKARQGPRPQLLGIAPQRQITQNPSPEMIQKLQ DMIREMVNECPSRWYIARSMFEKHNEALFSRFRTSNEPDHYVEIVHSHKTDGSMHLHL HPEDMKIVLDKGWGERHPLARGTTWWWHWPCPRCFMIIYGPRDEAELQQIKSIIRAAA WWVSGVDTRKENELQNALASD EPUS_05980 MSRRVRTSSGFEIAPPSRNRACSDLEKEALGLSDPAEDEYDEED SPSSYSSRATSSSYQPMLGNAYSQRAIGRLPFRTGQAVMRWLCWAVAATILVFIFSLA HLSWSSGKRVQIEIGKSSPPPPAPWEAFPFLERYYGGVRSLVSRSVNVPEYPSSDQTS SSTTREHTRRGSITPEAFNPYPDYMSDAYKNQYGIKVDCYLDRENKIQIPPVRIYNGV PNGFPDPVMGTNSLLGMRNDICYDRFGRLGPYGLGYSLSRGGTGAALEGDRDGADGVW EEVPQVDYRAVQWVEAQQTCTMANKDRFAEIKSTGSERFRSMQVGGLAGREEGREDSG EQAPGESVPSEGPSPQPDKTTKLPRTAVVIRTWWDYPFTTEDMLYLRSIIAELSLNSG GEYTIHFLIQVKDDNAPIWSDNETYERVLRDALPEEFWGMGTLWSERQMGLLYGGLAE SFTRGLPVHGVYRSAHMPLQYFAYTHPEYDFIWNWEMDVRYTGHWYHLFDSVSNWAKA QPRKGLWERNSRFYIPSEHGSWEDFRQMVRVQTQLGTNSPNNIWSGLHIHTGAGSTTS STGTGTDKREGDIPIWGPEPPLDDDNTTFPTDPVPPTTYDADKSTWGVGEEADLITFN PLFDPSGTTWILADDITGYNTAPPSCNHHRLPPLPPPADDHAPCALHHGLKAVYAPHA EYIDRRWPTRYLEATFNAGRNGASGGARTAVFGDPEHNFRGTTWYYNAGFPEVLWHRW LGYRFHNAGGEEFEVSGVGERGGGEGRMCLPAMLLHPVKRVELVVEGLRD EPUS_05981 MANAVNTIRRVNAFGDGAYTYYPVVVIGAGESGIAMGCQLRSKL GFDQFRIFERKSGIGGTWYTTCYPGVACDIPTICYSYSFARNAGWSTLHPSGPEIAKY LYNVCEQFHILDKIQTDTDVTSIRWLDDEEEWELVLEHMAPGMGDLSGRQRKAIAESQ GIAKVCFKRETIRAKVVASAVGGLVEPKPYPEVPGLDRFKGDILHTARWDPTTKLQDK NVVILGAGCSAAQVTPEIIKPPYNAKSITQLLRSPGWVEPTFGPKVVKWWESNTPALF SLVPGLQWTVRKALFALIELEFLRTFSIGARARKYRVEKQKTLIAYMHKMVPEKYWEI LTPDYEVGCKRRVKDSDWFRSLQNPKIELTSLPLTSVQEHTVTLGPGRHYPPMSQTDS KVSTAERTIPCDTLIFANGYETGEWLHPLDVKGRDGRSLYDVWESRGGSQAYLGTAMD GFPNFFLIFGPNTATGHSSVILASENMVNHSLNFIRPILDGEVRTYEVKEEAERRWTQ KVQDALKDSVWQKGGCKSWYFKEESGWNATVYPWSQIHFTLRCMFPRYGDWEATYTRK GAVKRRVRTVAKVLGTLVVMGALVYTGTYGVEEVVGGLRGVLRDGLDRLRSWL EPUS_05982 MEKDSSTAMSIFDVFTSTGIEMDQLPKTVQDAIVVCRELGVDYL WVDALCIIQEQRDLEDFKVEALKMSQYYENAYLTLIVGSAPDCADGFLNDLPEPKASP CEIGYGRMTLGTLYPESDMKGVVKLSLPRDSAKGPLSKRAWPFQEEKLSRRTLTYASE MVLFSCQTMSVNEEGSFSIIPSATADPATFDPHHFDLHTPHDLTTHDDNSKSQELAFD RWRQSLILYTELQMSNSMDKLAAIAGYAKFLGSVIRCKYMYGLWKDDLHVELLWKSVY ATSTLKCVTRAVDRAPSWSWASIDGRVSMSLSPPMRDMIQNPKNQRLAVLDCKNISGS YDPIRANKSGSRGFELNVRGPLTTLWPAQKWGKYKQEYVSLRANADGEEIAVGSWDTL KDYQSKQYLDYMIYALLVVRGKGLLLAKIQGSDHYRRIGTFRSLEKEDIFIRDQEIII I EPUS_05983 MQCWTRRPPLVHWKRRAFSDSGRRYRNGQTHVAPSKALCSVRYI AHSSRHGSFQTVPTLASWDRDTFQQRAFNPKLPALLPQDESKQPPASQSWLETDHKRP SLRTLNFDYLTKYGDTSVPLELTQTESSGRQTFERFHAPLKLFLEWMQQNQATTTANP STPSPSPRLYLAQCQISDLPQPLQADLPTPEVVLKAGKGDIYDANIWIGLPPTDTPLH RDPNPNFFLQLAGTKTVRLFPPEVGSEIFGSVQAKLGRNRSAVFRSEEMMQGEEREML ANQVWGDGDSSQLAPGLQVGGYEATVEQGKALFIPLGWWHSIRSAGTGTTASVNWWFR EPUS_05984 MADNVLVHHLELKLRELQAEKGQSPTLAHQRSDPGTFQAINHAR PFEKPWSPADPQHSEFTGSPSAHRGHSAQTSQRMGNGGQSYDMPTQQQLQHPPQQRQY TSVPNQPMQRPLVFKKGRPRNIQPLHSEPDYGQCDFFGSKSAGSFVQQIRAAISAKGN TPSPGQNTPVVSGSPLPQLPTVQPKTHNGPSVEYVLPSRKTADGLLDVYWNAVHPLYP FLDRRQFQRMYEGTWAGEPSESDESLMMCTLNVVFALASQFSESLAPKERESSARKYF DRAQDLLNLDLWDIGSVQLVQCLLLMGQYLQSTNSPHQAWMVTGLTVRIAEGLGLHLP ETSVRIDDVHQRELVRRLWHGCVVMDRILSMQFNRPAMVDKLGSNSVPLPNAVDDEHM DRGQPSDCPPVIAFYIKTLEVFEIMAKVMKNSASLNRGIGTYASRDQYNLLFGDSNIN HLTETLKLDQSLMIWASSLPSHLRSDCISSPNSYNPIFHRQANVLRSRYLHSRILLFR PILSRFCLAQPDTTYTSSSSDMEHESLPQHLALACSNLCLRAAHDAIHLIYSNLDREN ITGPVPQWWNCILFTYSAATVLQAARLRPMGSPNYNIDTSWNHALEIIHSFEPLSPLV QRCVLALEILADKITETTSPNNHTAAPTASTTTAGSSSTLRPNSASVPTARSMPTPNA PHWTEKPPPPPGGVVEAANALLPGMGESLDAGGADGGGTMGFDFDLNDMSWLTSAPVN L EPUS_05985 MDKKESPKWSPPASTSNRPLAFLGAGGRAKKIACLWASAGYDVN IQDLDEDRCDAALWYINTNASNFAERLGRDSRRVGKCRNFADLASAVNNVWLVVEACQ GQSQSRIDILGEVDSMLPTDCILASTSSSTSNLIFARTTTRRRHLTCYIRYSLLPNNR AVELLPCPETDPGVLSFLTEHHQKMGLITAVSHGSSKRMRMFLTLRKLILFGAKCSRI QVVAIEDEYVAERNLESSFTSDFLRLKYIQEGRFGAGSPKGRLRFLKVSKSIANGDIY VPKTPSQPTLFFLDVGVGENVHSMEEAMTAGKILTTTPDGRQINTIVTRQSYPDGIDI SLQVGRIFWTDMGVTGCKDGSIMSATLDGSDIQYIIPKGHVHTPKQLAMDHINSHLYF SDREGLCVSRCNFHGSNKTTLIQTGDPANPDHASDPLRFARARFTGRKKGAAKQAKAA SSVPT EPUS_05986 MADALCGPANPLQSFKNHSQVDRSLQQDRTVGARHLPAQGFRTP DPRAGSLDAELAAFEAGSQQPLYHQQPLFASHLHSETSQSLPQPYQQKGHSAPRWAAD FQNLYLGSAQGQPISQNQFRPEAPLMRPNVERAAGGWRDDFMRRQQQYSFSSLNGAQP MRSQMAGLGYMQSQPMSQYYFAQQQPGIYQELPSSSVEEVRQGAYPDIAHSAAFEAAF AQAEGAYVSKEKETQSDLSNSEVVAGVRLDPIFNNQSSDLNRSQPTTLEPPEQTPMPN FLEQEDLPMLIGSDSISYNDHEMTRSAEQNSRNADELARTAGRLLNSMAGETSPKFEQ SQFLSLMRKIRDGQVVVRGDDFHEIDKSADTTRNEVSENAPPPSASASMNPPGEVQAG RRNDALADYQNQLLALQEQNERRDFRARGGNDEPIREETRSGEVWGSRSEEEIARAQE DVQALHPGGSGYPMPARAPRSPEMEDKHRYDHWASGGIGIEDDEGNEENHGLAGRFSK VTVRDEGDDARLNGV EPUS_05987 MFGDDESELSDNISLTSSMDIDMDPFASNTNTAHPKPDTAVEGF SIQYLLPLEIVELIAIRIADDADLMNFVLTCKDFATATVLEKSTVWRTRFLARYDHPI IEGPYEFRVAYQLRELVLRKFPSFASIGTARSRAALEVLRDMVLETYHSPHGPKRTRS RNLEVLSSPKSAPYMEEFLSSPFFAYDVVPYGKQNGLFAALQLVFSHLVLHPSSDFAV ETKASRDNYDLKIIYLYALPLRTIYYARESQVQDIEPQKRDLRLDLEALLHIRNFWNG HLAYNATGLYTNPVPTYGEMARMLVRRNHAPRAWDRAIQEPAEVQAHWHGHYSCTHPT PRYITDIEEHQTCAEDWMLQYGKDGVHPLTLDLQATNSTQRGWWPPIFATIPIVESTT PHADADSGHVYIRGIAPFLSPTSHPDMYPAYKSLRVRGVIHPLPAQKEIPGWRRIVMV MYQPTPRYLLAVLDVNSPENDDPFDQIEPIAALEAPNMNQEGVAEQSTAFDQMQAQDQ GQPQPQPQNPAQMVIDDAVPASSEEEEKAMREELEAREELKGPLGPAYLTRDFIAEME DNLHPPEEIAWEDIGYAYIYEGVIIPGGKIMMGRHWRCGPPTVVDGFELGNGVDRGPW VFWC EPUS_05988 MTHTYFILASTALLSLATAQTTCLSSLRPSYSATVASGYQVGLV ATGLARPRGIQFDRAGHLLVVEASRGDDPAISALTLNDGGGICVGEASRRTVVRGQGV SVIPLNKYAMAHCWAQVNHGIAISNDGTVLYASSMESVFSWTYDPASQSASEQRTLVG AMSGSDHRTRTLLLSEMVNDTLVISRGSDSNIDPVAADITSGHSQLRAFNIGNLTDDQ NYTYASDGLRLGWGLRNSVGVAEHPITGGIWSVENSADNLRRDGASIRQDNPGEELNF HGYLNGTEYERQGGNYGYPQCYAVWESEALLPNANLPVGAQFAADGDGGNDTYCAAQI PPRLTFIAHQAPLDIKFNNSGTEAWISFHGSWNRDVPSGYKLAMVSFENGEPVAAPDN KTAALDIFANVDNDACPDNCFRPAGLAFDAQGRLFMTSDATGEIYVIARESMSSPAGS ATNQSSQTGVAQRVGAAASSMLGLTVLVVYGLIFA EPUS_05989 MLDCSQGYHTPQPDPHASPDPPPEADPQPPFSALRPSSCSLQRT SLNLSEPTSTTSGSENERLFSSGDEDSMEFQSDTAYDSMATRATTSSNSDLPGLEIER LFDDSPGPKTKKETLLSLEDLIPLGSFSEPSQHDETSLDSLESLSTPRDDHSSNPEVV ESFATPVAARESQSQARDFSSSSPLSTRYPDVQQHEKNPTELTTELTTAQKEGTTCLD GDLWDDEFCAKSTATWRPVSPELPQYTSWRLSPPLLPAHQHPPLPLRQGLDDLREEKR LSIFDWSEQQRSDHDSLHGSSPRPRTMHSKQSNDGRGSRAPGRRAPNALHLRSQSVPA AKESYLDSDPGYPPAKFGTWGLGNKGVSEEWTDDFEFDELEECDTQQVPERSGAGMKV PQAIIDRQASVHGQFGQVQEFMLLVEELKRLKHQGTLLDLMKGPSHHLWDDAENIINL ATLNEDDEEFVPPLSPGFSNGFDDFDVDTFVPHSPNQPHFLNTEDEHKTFINAILNSA PTTPATGRPRGESLAQAKSFLQTIHQNRTGIESSPFEDQGQPPKLPFDTQDLRDLVIR AGVATRALKDLVRKAEDVLASPDRTPKEPQDPPFSQIFNRPQSPSPISPPISQKPPLV KSTSVNSYMEGSLAGSNNEPDRLGHMNLMTVA EPUS_05990 MESEIRSQIPNIDHVVSEYTVGYLNHASKLYFSDEDASAQSPLT EALETITTLLVSASGNFDKQNETSIRDLVERFISKLSSADDGDGERRQRPLAAKKLEQ AIQVSSQRNVSSTLGLTSGGVDLEATNARKVESKVDKKKLEKAERKIRAKQDKKVMKN VEYEASRLLDQPDSTQSYEEFFMQVNPLQLGKDSQTKSKDIKVDSIDVSISGKRILTD TSLTLAYGRRYGLVGQNGIGKSTLLRALSRREVAIPTHISILHVEQEINGDDTPALQA VLDADVWRKHLLAEQEKISRKLAKLETERSSMADTSKDALRLDSEREGLDTTLSDIHA KLAEMESDKAEPRAASILAGLGFSTERQQYPTKTFSGGWRMRLALARALFCEPDLLLL DEPSNMLDVPSITFLSNYLQTYPSTVLVVSHDRAFLNEVATDIIHQHSERLDYYKGSN FDSFYATKEERRKNAKREYENQMAQRAHLQAFIDKFRYNAAKSSEAQSRIKKLEKMPL LEAPEAEYTVHFKFPDVEKLSPPIIQMTNVAFGYTPDKLLLRNVDLDVQLDSRIGIVG PNGAGKTTVLKLLIGALQPTSGLISQNPRLRIGFFAQHHVDALDMATSAVGFMSKNYP GRTDEEYRRHLGAFGITGMTGLQKMDLLSGGQKSRVAFACLSLTNPHILVLDEPSNHL DIEAMDALSLALNQFGGGVLMVSHDVTMLQNVCTSLWVCDNGVVEKFPGDVKAYKKKI TAQANEAGVVQKQV EPUS_05991 MLTRRLATLSPLTIRPQLASTLPRPTAAAVATSPVRPLSTTQAR SSGGSDDHSSHYDPPGGWLWGIRPGEKYEKEGWENLFFYGFYGSIGLACVAYAFKPDT SIQTWALEEARRRLEIEGILEDPEKK EPUS_05992 MVIAAVGQICSTASLSHNLTQCQTLVRRAAAAGAKALFLPEASD YIASSSSETISLVQSVTSSPFVLGLQHEARTSTLPINVGIHEPADGANKVKNTLIWIN EHGTVTSRYQKLHLFDVDIPGGPVLKESNSVEAGRDIVPPIDTPIGKIGMMICFDLRF PEISLRLTRQGAQVLVYPSAFTVPTGKAHWETLLRGRAIENQAFVLAAAQCGRHNGKR VSYGDSIVIGPWGEVIGRSERVEDADAEREGEREPQLLLVDLDLGLVEKARRELPLLR RTDVYPEI EPUS_05993 MDKVLSKIQMHRIKSIDVKASKNAEIQQSTDEPTVASDATPETL CDTCKDIRIKDLFLDRPDRHGHRPQQDTPTPPLLMNLGYLDDIVKKPDCVLCRLVIRA LEKSWTSLPVRFNKGLFHDFARTEIVLESCEATTSEGPIRSIEIHVVCERRKLNRAEE DCEKKFPPELRLLVDDAPVLGLQEHGHGRLISSVCDISLMATWYRKCKTNHSENCEDF RIVNEHAFENPETDEVPQRRLPPDTRLIDVQKMQLVPGEDACEFVALSYVWGDFKNDK FETKTRNLKKRQKENGLGKVRCPRTVADAIRLVRELNIRFLWVDALCIVQDGVDKGNQ IQTMDRIYGLASLVLVAAGGIDANAGLIGFRDSPRTGNDQHVQVVQGLRIVVSSPPLD HILEKSIWNTRGWTFQEWHLARRALLFTDQQVYYVCSSTSFSEDIALETVSAHSYIGL ILEQCTASTLRHYLPSRYSPLTVNSGWADYQKIVESYSPRELKNRSDILLAISGLLNN LHRASRNRFICGMSIGLFHEALFWVPTADRDGLRRFSDVNPVLFYPTWSWAAWNGPVK YHIFPASDEPLIEKWTVICLDDKLGNPQVSVLGTPLSEPQDDRWVEGSTSLAGRLTAD EEAEKRRKGTGNMVANCLQRCFLTFQASIATLQIAPVLDDLNCRADGLLDMPGRRIGI ALLNKQATALQRIGMSTGFPKVQCIAISVSRSTISYMNQPGFTMLRKDTEWVNIMLVT QMKECYYRFGVGQVSKYGWENWAEPEIRDIVLV EPUS_05994 MQFKAFLAAAFAATAMAQDSSLASELASITSNLATLSIPLPSNE LSIFSVLATAVPPGFVTALSDPAVSASFASELSAGNAPSWYSALPSDVRSYIDGAASA ASAATDNASLSSAVESITASISSVAASVTSQLSADASSVGASVSSRLSAASASASATG SSSATSTGGAPAPTGAVVASMAGVIGVLALAIGL EPUS_05995 MAYANTTKFKLNTGAEIPAIGFGTWQDKEFQEDAVYEALKAGYR HIDTARVYGTEPAVAGGIKKSGLPRQELFITSKLWNNSHHPDDVEKACDASLKDLNTD YLDLYLIHWPSPFVRGDKMFPKDKVGKPMVGDTDYVDTYKAMEKLVEKGKCKAIGISN FSKGELERLLKEASIPPAAHQIECHPYLAQHSFDAFHKQKNIHITQYSPFGNQNEFYS SGKDIGKLIDDPILAEIGKKYNKSGAQVALAWGIAHGRSVIPKSKTPSRIKSNLEGDF KLDPGDVQKIDEMDKKIRFNDSSEDFGWNFFTDLDGKK EPUS_05996 MTTEGKERKRKAVTPVAVDASQANKTKKAKAASKKPTTGDIAAT PISNAQPAAPQPTDSAETQANPKVKPRKRAVDFLSDDEEKSGGVSVGKTEHVGTISSK RPRKKKSKEDKAGANGEASGSAMHNDSAAKRTATATEASGDAPAGSKLKEAKPNPKTK ETSTTDGGIVSSSVPKKGPSTINVHAEKIKKLLGQKDHVPKQSNKAKEAANVLAMDST TDGVNGVSSNTINESLETVAKDAASTSKQAEAQRTTETELQEEYESDEDIDQAGALLE GFDSDNEDLAQDEGFDKDKPTAAIPNYKKTQKKLRQAAQNGNKDGPGAVYVGRIPHGF YENEMRQYFSQFGNISKLRLSRNRKTGHSKHFAFIEFESNEVAKIVAETMDNYLMFGH ILKCKYVQPESLHPDTFKGANKRFRVAPHNRMEKRALEAPKSESQWAKKNSKEQSRRK RKAEKLKAMGYEIELPRLKSPSEVLQQKESQNAVEEQGPDAPKGENKEVPSPSVVPEN EVALSKKKSKERKMPDPSRSEGNDVSAHAGVPQDEIVPCKKQSKKEKNVPDPSKADGE NPPAPTAVAKEEVVSGKKKSKKSKKDNTATTEISGVTVPTADLNALTPDPVQSQNSVK QNSEKGDKGKEKRHKAKENAKQLHPQPVTDENPSKANDLPTKPESDATIAPSGSTTDQ SSNQKEKDMNGIAQATIDGMPAVATTGPKTTSVEKETSSSMLPAESAEGIKKKRRSRK RKNSTAAHDLAESEPRVMEPAAADPQEFKVNGEPGAESTVKKTKKAKKT EPUS_05997 MPRHHHPKHTSHSSEPGQKSTDTSSNESQFPDSIRLDPSLVHLD GRTLEGGGQLVRVALTLSALTNIPIHIHNIRGNRSSRSSRKGGGLKSSHLAALEFLAG ATAAKTLGASVGSTEVVFEPERDKQLLRDGAKAEVGEGKVDRAYEIRLDKPGSVWLIL QAILPYVIFAASEHVPMQLIITGGTNVPKSMSGEYVKQVMCPMFEKIGLPSIDVDIKR RGWTHGRAIQIGEVAIKVHALERDAKLRPFELKKRGAISRIAITALAGTEAMRSALVK KATDHVREKYPQLEPPEIVVNEDSGDPKRLYLLLVAHTSNGCMLGRDWLYDEKIKGAL NESQTELIAEKMVQRVVGELAAEIAHGGCVDEYMRDQLVVFQALTNGPTHVDGGAGTG DGSLHARTCRWVAEQILPDQASFDAEGGCRGVGFAAGEIFEERKGVEK EPUS_05998 MSPRNVCITAVDGQTGYLIAELLLTDSTFSKHVNSVTGLSLHPS SPKCKELTKLGAKIVTHKHGKVRDMVKTLKETGCDAICLIPPTHKDKFDITLELIEAA KKANVPNNLFLSSAGCDLADAQKQPRLHEFLELESRVLATKGLPETALGTSPVIIRAG FYAENLLLYSPQVQEDSVLPLPVGETHQFAPIALGDVAQVAAHVLSGKGKNGFSDKHR GQLIVLTGPKLISGPLLATEASEALGVKLEFEDISEREAKRVLHAQSKSDESEKEYLL EYYSLVREGKTNYISTLAFHDVTGNHPTEPSEFFKMYAEGFMPEHPQKKRKTENGR EPUS_05999 MAVLIKKPDDEPGSAWVAIVIGVFVAFGGILFGYDTGTISGIIA MPFWLRGFNRGYTNAGGEPALNPSDESLIVSILSLGTFLGALTAAPCADFFGRRLGLI LSTAIVFNLGVLLQTIAVDQPLFIAGRFFAGYGVGLISAQIPLYQSETAPKWIRGTIV GSYQLAITIGLFLAAIVNNGTKDRNDSGSYRIPVALQFLWAIILCVGLCFLPETPRWL IMKGKDEKAARSFSRLRRLDPTHPSIVDEFNEVKANHDYEVTLGKASYAQCFKGTVGK RLFTGCALQALQQLSGVNFIFYYGTSYFERAGFQNPFIIQVITNTVNVVSTLPGLYLV EKLGRRGLLLLGAIGMCVCQFIVAIVGTVAGTTDLPAQRAGIAFTCFYIYFFASSWGP VAWVVTGELFPLKVRARALSMTTATNWLFNFAIAYSTPYLVNEDAANLQARVFFIWGS CCLIAIFFVWTLIYETKGLSLEQVDELYGIVSKAWKSKAFRPKVSFREVEAGERRLSY RELSVAQERRHSKAGF EPUS_06000 MGPQFSQLQRLQDGEQHRRRDHSSSAFDPSQPPGSESSPSTTDS PQSRPAESSSATRDESSSSHVVTSRTADKKPSSTRRSAPITRTTGTSMTVPSAARTTI TLTENASSTTNLIDITPAAASATASAIPKSDEKPFQMSAGAIVAAVVLCCFFCGGAVI MLYYRTRRRIAWRKGHQQSSLTTDSEHGPEPKLLGDSLISSKMLSRESIMFHPDHRQS GSAASMNRKGPYQNLTEQEPPPDYHTECTDIAMNDIEPSEEYVRSPVSPLLPPTYPRP ISNNSGRSERHSLRREFSFEKRTEPSQVPPAESRLSRRSALSSNPSSDWPIAMPNYYS SIPAQPASGYQLAPSDRRSSGGGHAVVPRQSFEDVQLRVGR EPUS_06001 MPLVVPGITSTGGGDNVQNEWMSKLMGKKLTDSASDHSVSTIGF MLSAQFRAQMGRSNTRGEQSFSKKELPSNHRVVEGESMMSMDHKPDRLNVHVDKDGIR LELWAGWRS EPUS_06002 MARAPLFPIDDLSSYDGDIENDSSYTGTDTLATPSFVPTPPSLS PLRSHAPQAPHHMQLQRRLSALQKYNELNLSQTSNRSSSTLTFSPGAHRRSHPNLHHL SLAPLTPKYPITPSDYNAYVDPDTSQLHTSSSLSHIASMPSPGGILSQSGSRATSRSR HQRIKSTGSIQVATSSSQGALSSEVSGSKTTELADPLTRQHHIITHAGPKRNESSWLL QTGMALTEGSRESKGQSWLAKRASSTSLQSPAIGDYPNFEYAQGRSGRNTPNHSRRGS RDRRKSRRELAMTPTALTASCAAGQPTAAHTYPPIAMASSASACEYAAIEPDWADAQT QAEIAADLEMDLADELEDGELYSDDEDRYGALEFERHDIEDDERQVEQAVRARGFRLG RWVDGVIDVFLKLDEAGEDELEIEYDKPDPGAVTAPNDDIEKNDHPEKSSSQKVDDMD SDDGMEPAPQNPKSVWEDLAWFGSQSFTSPFGLSKMATLSTAFSKESDAPAIIIPTKP QPLTISYKELSTEVLSFQKKLASLGIAHGAAVSIALPNTYEFIVSFLAASWQRAIAAP LNPSYKQDEFEFYIDDLSSALALVSKGSFEKDAPAVRAARKYEAAIAECYWDGKEVVL EVKDKGKLASKGGQKLLQADPDDIALVLHTSGTTGRPKAVPLTQRNLTRTMKNIQGTY ELTPKDRTYLVMPLFHVHGLLAGLLAPLASGGSVIVPNGFSARQFWADFITHEANWYT AVPTIHQILLKNPPPDPMPKIRFIRSCSSPLSPKTFHELETAFNAPVLEAYAMTEAAH QMTSNPLPRKGKRQPGSVGLGQGVEVAILDSDGKEVPQGSEAEICIRGENVTKGYLNN SKANEESFTNDGFFRTGDQGKKDKDGYVIITGRIKELINRGGEKISPIELDNVIATFP PVAEAVSFAVPSEMYGQEVGVVVVAKEGKQLSEKDIIDFVSSKTAKFKVPKRVWVQKE IPKTATGKVQRRKVADTMLAKDKPRAKL EPUS_06003 MGHRRPTMQALRRLSWARYQGTRAYSSPATPLTSPFAPRHLLSV ADVAPAEFSRLVQNASSHKNAIKSGATPARLQGALNGKTVAMLFSKRSTRTRVSTEGA VVTMGGHPMFLGKDDIQLGVNESLYDTSVVISSMVSCIVARVGPHGDIANLAKHSTVP VINALCDTYHPMQAIADFLTIHEAFPSSKQSGLGVQGLKIAWIGDANNVLFDMCIGAR KLGVDVAVATPKGYEIPDSMREIIRQAGEGVEKPGAISETNVPEEAVKNAEILVTDTW ISMGQESEKEKRIKAFRGFQVTPELAKRGGAKESWKFMHCLPRHLEEVSDEVFYGPKS LVFAEAENRLWSAISALEAFVVNKGRIV EPUS_06004 MSNLVNNRPRSPPQSSNSRASSRISHKSLPSQRSRSFNDSEETT PLLARQADYGRQNGGNLANGVPGSPTTRSSRSTQEGFEDDKKGQRRWASMISLSFLSL VVIAILCLGFAAPAIVEEYAQQAVVFDPTDLSINSFTATGVRARVQGTFKLDASRVRK KAIADIGRFGTWIAKEIETKESDVKVYLPEYGNVLLGVADVPPIKVNVRNNHVNTIDF LTDLEPGDMDGIRRIANDWLDGRLGSLRVQGVATVPLKSGIFSLGTQKISQSMLFEGH DLPAFPELDVKALSFHEIGPPGQPEGMAAIASVSIMNDYPVQFDIPPLRFDVLVPNCL PDQEYLFLADAKTDAAHVRPKQFVNMNITGLIQGLPQKLTTACPLSNASPLDAILADY LQGKDTTIIVRGGTRQEAETPGWLVDLIRGTTVPLPLPGHPFDNLIRNFSLADVHFSL PDPFAEPDTPDSQPKISAIAKVLVALPEDMNFNVDVDRVRADADVFYKGRKLGKLDLR NWQKATTTKIEENNQAGLLVQAKVEEAPLNITNEDAFTDLLQSLVFGGKGVDLGIKAN VDVNTNTALGAFVVRKIPAEGKIHVKPLSGGGFSDMRLQIGSLEILETTQSSLRVQAK ANITNPSEYSARIPYININILNNDTILGSVFAKNLDIVPGNNTNIVAVAVWNPSGMSG AEGRKVGRDLLSQYISGYNTTLTLKTHKDTIPSQPALGRALSNLEIVINTPKLSVPHI PGDGGHEDDPDQNDGGPHFIRDATMHLLTSTASFRLISPLPKTTLYITSIHALAFYNH TIPIGSIDHEFPFAVPPGESTTPRLPVVWDISGTGADAVRDALGGTLKLDAKANASVK IGEWEERLWFVGGGIGAHVVL EPUS_06005 MASPSHPSNKFHFDTSSLFEKVASTYEHTSTVMKEIAGQLLHLS PTLASDSVVLDNAAGPGIVTGEILRLPQFKEGNYPTIHATDNSAAMIRALEARAVRES WPKDIVKSHVMDSMDLSTFPDNMFTHIYMAAAIHIIPEPLKAIAEIKRTLKPDGVALV TSFEKQGFIEVFQHVQQAIRPDSPIWKGPLPEEWLKEEKLRAVIEGGGFEGNKVEIQR FGTWMKGEEWSTPGSMLLMEALTKSVTNGWSEEETKRFEEKLKEDMASATVRSKSYEM NVFVAIARK EPUS_06006 MESFSADSANWRPNLEALVNIANGGRPADMADVLERSRRAANDG YALPRADGHALDVEKLRGFGNISDVADGAKTLGSTTLIGDSEAGGDIDWRLVDMEESH PPVRDMVAGAGSAYALAERFENYPTGIDNRDLNQDNVSADHERRKEKAEQAKVFAQGD RSSSYKRTAQSPSRWVAGKSSRHCRTTSPANGIPTLDA EPUS_06007 MSETTSRVVEATFKAPALQVSEWDRHRSLITRLYTTEHKPLKEV ISILHEQHGFIATPRQYKQRIKAWNLSKNLKSEEIEYVLRSLPKDQLQDAIMDPSQSA VTVKGRTLRLSLLQRYLRRTRVSQTPKRCSPASSTRPQSDASSSHGSFRKLPQAQTPL PDNCNESAPGKIQLLSTLPSQPQTSCSITTSPHHVSQSSHEFEMEDDSFLAGFKRFSA PSTTEKRTNLSEEGDDDARRKRRRVPARNMASSTGTKTLACPFYKHDPQKYNPQNDNI SSAMRYRTCAGPGWESISRLRQHLFRAHIAAKHCPRCNLTLHSRSEIENHAQDGCSDQ LIDLNSSPEAISEEQQRQLRRKQPSSLTKEDSWLRMYGILFPHDSNIPSPYYQSDKER SKDATHVSLSLDQPSPETQQIDCLVRYLCRILPDKVLNRLQGQPKAESHSIWTETLNA TTLQFAENPIQQCSRTHNEPHTEAHSCNTGQYSATEEGLKTVISEGVLETCSEWLRTR MEQDDDSDEEKSEYENEQSRSSSSLQDLSLAPITTVPDQWNRYAETQTPGDHHLAPSS TVVSDYWSQPIFDFTSCARNLNATTGALLGSTHMQLQGKRLSLPSAQPGWVHGGIFDG PAGGTPGDWIWSSTNLSADPSTS EPUS_06008 MASTQELSVSKLFSLKDYVCLVTGGGTGIGLMATQVLVANGAKV YITGRRKEVLENAAKQHSPDPKVSSGQIIPVSADVTSKESLEELIQEISSKEKYLSLL VAAAGISGPKGISDTGSASELKEKLWNAESPEEWASTYNTDVTAVYFTTVACLPLLQA APKEHCSSAITISSMSGMMRHSQGHFSYNAAKAATVHLSAMMSKEFAETGVRVNSIAP GYFPSEMTMKGSDDKQKSHMPDEKVQDKGHEVPAGRPGRDGEMAMAVAFLARCGYVNG EVLKLDGGVMNEVGGS EPUS_06009 MAESIAVKKMKTSAHLIGTHNGHFHADEALAVYMLRLLPAYMSS PLVRSRDPTVLDTCHTVVDVGGEYDAARNRYDHHQRTFNTTFPNRETKLSSAGLVYMH FGRAIIAQHTKLPTVHPDVELLFQKLYDDFVEAIDANDNGISKYDDEKLKATGIQGRF KEGGITLPSLVGDLNHEDPLNPGISKSTPEQPQAEEDYRFSQASQMMGNAFLRKLHSA ATAWLPARATVKEAFHQRKNNHPSGQIMVLPRAGTPWKEHLYNLEEDENLQESEKPLY VLYAEKEEPGSKWRVQAVSKSVSSFESRKALPEPWRGLRDAELDEMLGDEVEDGAVFV HASGFIGGHKTEAGARAMAAKALKT EPUS_06010 MWLLRIVSSTVFLTTIILTVPLSFDVGGRTCGLGYSLSLASFYF FLSIVRLSTPDRSRVRYSIIQLARWTQPAIVTAIFLWSLGRFSLDAGNESGWVERTFS GKRAADTSVSQWLFGRNGLVESATLGSWDKLLRWSTPVFQLCEGFCSLLVIQAAGQLT RWLVNRGERSDSWMIGLLVFSASVISSSVYFLYRVMQFPEISNVDASLIGAAIACSIV LCIWGIGSGRGNPVESSLLFAYIVLCIYQIFTDYKPSDVSKTEAATAAQGTPDFPPFP PIIMASYTTLMHALSTLPSTLIVSFNFITAAFQTITPSVLISLGYRLFVLYASTRIIP AINENGPRGLSVEPTLEDSNAANQFLAFLSWFSPSILVAVYTSLLMQHFATTMGGYSP GLTGTIGAWWNGNGQPGMDGNLWKWVNLVGTMALYALELWLGKEDDIDGGHWKVD EPUS_06011 MNPHQKNKVDISSLSADEQRLFRLYGKLPNKKDLLQNKLKERKY FDSGDYALSKAGKASDTGVTTIGTEHPQAENIPHLSASSPPNSANSLNSPSSATIPHT GSMSGGDRDAWSWLAAAGHRRSVQGVHGATVGISGGQSRSPVKESSYLARTASADEVD GEEETADQDGGEGNGEEALLQQGMSISPPVVQEGLPIRR EPUS_06012 MGDTSHPADALELQDPHRWIQGHQGHDDYTSTSGGLEGSAVPPE HAVSALPTWNQNKANVFKTFSTFSAFIIMGANDAAYGALIPYLEQYYHITYLVVSLVF LSPLVGYVASAALNNLVHVHFGQRGVALIGPACHLIAYVVIALHPPYPALVLVFILAG FGNGLLDAGWNAHVGNLANANEVLGFLHGFYGLGAVLSPLIATAMITRADLMWYTFYY IMIGAAALELATSVASFWPETGSKYRSTNGTEHSQNKGATREALKKRVTWVAAMFLLV YVGIEVALGGWIVTFMIRVRNGDPFGAGISATGFWLGITAGRVILGFVTPRVGEDISI VLYLVGATVCQLLFWLIPSFVGGSIAVAFVGFFLGPLFPAAVVAVTKLLPKHMHVPAI GFAAAFGGGGATVLPFGVGAIAQRAGVQVLQPVIVAMLVLATSLWAIGMPRIKRGADD DRLGRMTAAGKRAGRKISRRCAAFV EPUS_06013 MPNNNASNQTNRNVRVISGYPPAHTPADMEAAAALVALSQGNPN HNPSSTRGTLIWDVRTDSRRLEPVFENENGRVNPFARAQNNGASSIPAPCDFNAMLAE RLWSISLVHLVPPEIEFASERFTAGAMPPTVLQVSRRSEIPMIPEELGRFVDLWTDYG YTRHTIGEMLARNNVMIPRSYISERLASRQADSDNDLRSFSDGGLDVEMDDSGYEVDD EEEGDDGEDDDDEDGRGDRQSELEFWYDEH EPUS_06014 MWLSDGAVSRKLRYTKAIAPESKRLSSLFTLSNGSAAIGDPKLR GQKYTKLEGRYYIKCDDDGVEKIPPNEEEDINAVAETINAYKKLHGTAPDMGIAVHMY ELKVSLKGRLRLRRTSHLIRSKACLPASVNGQSSVAIPLSQAIQGLTSDFIMESLPWP RTTIRIAFLSPEASPLRFLTSMVNPFWPVKVSRYKISNLSPPRRSTLQMQKTIKEIID LRIRHGGSQPGLYKHLEQRPYTNLQEFRDTVRNTHLESTRQYLQTVCRFGDYVVNIAW VPNSDTQRKQYEETVKPEHGIDILHKWLQNFHRGHDAEYLLQFQLFENLDDSLLIMLG KSGIHKIILGKQLLGSAYQARLFQLCFEELLGRSTTS EPUS_06015 MGKKRKREQLTQDEVWDDSALLKSWQDALDEYQFYHSIHAKGEN VEDVLRQAESDDAAKNEDVVPAQSFNDPPSSDSALEDGEVDEHDTMTFSKKDTGQSGD NEPVRFAEAQNIEPPPTEKIAGSALASMDQDQILENIKMAYWWAGYYSALYDAKRTAT EPSRK EPUS_06016 MGALANTGSEPKDMGASGGGIDSSSSINSDGKEEIQLNIGPVQI KIVGSFSGLGKWQWEGAKPGENSTVHQVTVGDLKDGQPVLAYDPPSIDAQPGDYVQFN FKANNHTVTQSTFEKPCVKMPGGMDSGFMPNIQNNMPVPPSMMVAINDTQPLWFYCRQ GTHCGEGMAFGINPGGAAKMDEFLNLARSQNGTNVLASNSTSSGNGTAGGQNGLPASS AVANPTASPLSNLVPGSGSESAGGPGSGGDACNCNCLCDLGSPSNGGLVGSIPLPSAG ALGRRSVKY EPUS_06017 MAAAEPNSCADTKEEEERERGTRSAAGENDSPSASTPNSTPAPT PCDLSASGTLSDRLPTPDPTPTPPEHPAPSTQSNSAPSSASTPSENRSDFDSRNILPE GSKRSRMSSRRQNYAAALAQTTELTPFYAAFAAGHEKSGKIDGLHRDTLPAEPRSWKQ MTKHKFAAEFKLAADREIQELARRETFKWVNKEAVTAIRIVIFAASATPPKDGMQHDS SGELSAESKGRKSLMPRRAGWVLRNRVMSRAIIRNMKAVASGWAVLHGIRPEVAYVYD QRKR EPUS_06018 MYLVNTKLLELEEFDCELDATYCTLSHRWLPAKQEVSFQDIAHL STCQKEGVTKLRSACRIARSLKFDYIWIDTCCIDKSSSAALSEAINSMWKYYETGQVC LAYLAGFVIGDSERTFEHSSWFTRSWTLQELLAPMEVIFFDANWHEFGTRSSLSSLIS SITGIYEPALHGIDPARYSIAHRMSWAAAREATRTEDLAYSLMGLFNVNMPMLYGEGA KAFRRLQEEIIKQSDDQSIFVWSMASSTFSSGLLASSPSAFANCHYMNSSAFGAVSPT FSLSSRGVEIDLGTIPVSLDEGNGIFKALLEVVLVREGAEDLCVGIYLGSVKGPSNFR HRIAYRGQQLWICPYSELSREFFRKQRYCVRGTGHVFKYRSRRRVWFETPAVTDNMLG NLAPKIYWNSRCEASDISSCAKSSWLSYRESNPICTVDLTSCNIGVQFVHFGFDDTLR PVCLITDSSYVHIRKQDHQLLKRSIANVEGNHPDSNDAVVHESTKPIACFLPRPAALR NVEVEYLFNLPWSSMDMRGLIPHLEHRGCWAVIGEAGQDLRFLVDSTNVQYADLGNHV QYKLSVSLIQTKIDFGFAWTLRVDMQNRPPKKQG EPUS_06019 MASIMQNANRLRRALLSHSGISFGAWQMLPGGNLSRTIARTGYD WVCVDCEHGNIADNQMHESVAAIASCGVSPIVRIPANEGWMVKRALDSGAHGIVVPLL YTADDARKLVQSAKFPPVGQRGYGSPFSMGAFGDPSGLEYLQQANEALVTVVQIETRE AFEDVEAIAKVEGIDVLLVGPYDLGNNLHHPITSGEPDVELKAAIEKIRKAAVTAGKK AGIYCISGEQARRYADQGFHMISVVADMVALPTFMADSLVKAKGSYGHAALNMAKGVV YGTASSLSSKDKEGKKS EPUS_06020 MHSARLTLFTRANCSLCETAKTNIAVVQKKRPVDYTEIDVMAKG QENWKHLYEFDTPVLHVQRVSYTYSKPDIVSEAKKLMHRFSAEEVERLVREAEEGII EPUS_06021 MASGKCVHKGCGKVFADPEEPCVYHPGPPEFHEGQKGWKCCKPR VLTFDEFLDIPPCTTGKHSTIEDTPTPAPPPVTSEDTLPTPPPPKPISTIASNGNLST QPAVAAPSPARSPAPPPESESDDPSLEIKPKATCRRRGCNVTYDPSTDRKDEECVHHP GHALFHEGSKGWTCCKRRVLEFDEFMKIEGCKKKKRHMFIGKGKAAGEEKLETVRSDF YQTPTTIIASFYLKKVDKAKAKVDFSSPTTLDLDLTTSDSKRYVETIPLFAAIDQKTS QFKIMGTKLELTLVKADGSSWPVLRSDQARTGEIIQVGRPGRA EPUS_06022 MSHSARPNGVHEQLRYIPLGYDNDCSEKSALALVFNLRPEWEHS EGPIEIVKFKDGITNTLLKIVKKIPKKSTEQIDDEAILLRAYGNNTDILIDRDREAQS HGLLAERGLAPPLLARFNNGLLYNFIQGRVCTPQDLIKENVWRAVARRLGEWHNVPLP DDYHRETVTNGVKGAYANGHTPAKNKPTPNIWTVMQKWIDALPTDTSAAQSRKSQLQA ELDRSFRDLDSVGCSEGHGMIFGHCDLLSANVIILPNGRKTNAANDSESETVRFIDYE YATACPAAFDVANHFAEWGGYDCDYTMLPSQSVRRSFLKEYLRAVTAWPRDVNLKEDM VQDMLKQVDDFRGIPGLYWGIWALIQATISQIDFDYASYAEVRLGEYFAWREETDGIR KAEGKEMPLRERCWARLG EPUS_06023 MTTKKSLPAAAPGHGDKFQNNGKASQSPDPPVTGKSMYMAAGSG IPEIKTILSGFVIPHFLDLKVLVVKAVGSIFAVSTGMCLGKEGPFVHISTCVAYLVGV QFPKYKENGRKLRELLSAGCSSGLSVAFGAPIGGVLFSYEEISTYFPRKVLWHAFVCS LFAAITLKALNPTGTGKLVLFETNYGTSYRAIHYVVFVILGIAGGLFGGIFCKANFLW SKTFRKYNIIKNNPVFEVFLVVFVTALLQYPNPLTREPGDIIIKNLLVDCRGASSESW VCEKEGSIPERMGYIGWLVHGTLVKLILTIITFGCKVPSGIIIPALDGGAFFGRLLGQ FIPGISPGIFAMVGAASFLAGVSRMTISLAVIMFELTGELEYIVPDMIGIMVAKWVAD ALEEEGVYDLAQTVLGHPFLDAEHSMALVQAEQYLVEELIPPEQTMKEITVEVPSMGR VSRKMLSEKLSQLRRRGLMDAGLVLVQDGMLQGYIAEGELEFGLEEVGKQFEENALVR LLGASEVQEFDLSMFVDRTPLTVCAKAPMEYAVEMFGRLGLRHLCVVEERTGRLVGVI IKKRLVVWLESLKQHH EPUS_06024 MAPSSQEKEKKSRWRSMLGGHNDTRADEASHLRPGPSPKDSAYG GSERTASEQPCTRPQSAEPSETKVKKDPYTGNIVTTTITTTTTTTTVTTGSGEHIKTE STPTAEADATVTVRNSGEPTSAPTAAHVRPANQQENEIKHGHPESRHGAAPGTYNPAA HLTNPAELDAPVPGAASAEEEGPPIPAKSNRRISREMNYQPPRPISEVTEPPVSPIGS PSQHNFSYPARTSPHQSQQPSQSQEPLQGERYHQDQKPYQGQGAHKDKQPHQEQQAYQ PYPGHPTSQGQQPYSSLQTSQGQQPHSSLQTSQGQQPYSSLQTSHGQHAYPNQQAYQG QRSPPNQASSPAQHIAPDGTTRPGQRPGTFQNLKVAAAGIHGAGETLRGTLNSSIDRH IGHAPPEKLAAHEQVVAHGASEIESGKLYHDPAREQDRLRTKGRLGAIELEGYQSLGG YSTIQGLLTRVKLDMARRTALSDPHSAIDILKEDGGVILTGFTTPREVEKVNADAAPY ISAIVKDRLSRGLPQGVARCHRLFGRSETAREIWLQQPDLLKILNHFLSTVSQPYNFE DGVELSTGPILSAATTLDNGPGVKQQGLHRDDYIWQQTHRGHQGNEYALGSDVGMAVL VPGSATTVENGATLFIPGSHMWDHSRRPKTEEAVSAEMSLGEAFVFLSSTVHGGGANS TPKSRPVHGFFFCRSYIRPEENQHLWWTREEVRKWSRAAQKQAGYILDNPYLGHCDEK NPIDAERDQDRQISS EPUS_06025 MSQLSRISELSVVIQTSTSKIDEYLSAEGLPPLSFDVHSASKPP DAILPFQTAILEAIDELHALVQGPVPFIINLTSRNHNSLISLHAIYRFSIASSIPIDG EATFAQIAERCNLAEDDARRLLRHAMTFRIFREPREGVVAQTAAAAMGRPDVRGYVAG GDEGHPVYVEIGKDEQRAQRFADAMTFFHQRPGLNMKYLLEEYEWVSGRDRTLFVDVG GSHGPVSIALAEKFPSFHCIVQDLPEVIEKANAPPPAIASRVGYMAHDFFEPQPVCNA DYYYFRWILHNWSDKYALRILRSLVPAMKHGARVIVNEFCVPPPGVLSLYRERDVRGY DMAMKELQNAKERDAGEWARLFETADRRFFMKEIREPAGSDLAIIVAEWVAKDEVEEK QTA EPUS_06026 MSLPPPPPGPLPPHANDDRGKAAVAVYWAQAAIAIIIVALRFYA RILIRSLGADDWLMFSTLLIFIAMSILITYQSSLGGFKHIYSVTPANLPEVGKVNYML QAWGLFSFVTGKAAVGYLILRVMGNRFVWQKWTIWVAIVLTLVLNSVNIVLTFVQCDP SRALWQKDIKAKCWDPKVQADFAFFVSAENTFVDVVLAVLPVTILWKLNMTFRNRMIL CILLGLGLCAAICGIVKMTYLGSLTARSDITWETYNLVVWSGAETFVIIICGSVPPLK TLWSKYVSKSTLIGPDTRQRYGGGPDGERMAARMASDKDSSFASSKTGVSHVESEHRK DCMKKGGAREIHTVRDFEVSSAQMSV EPUS_06027 MSFGPKGTNADNTARSKSVAIPQQEEQEDEQEQEEQEEAEEEEE EEEEEEEVEEEEEVEEEEEVEEEEEVEEEEEVEEEEEVEEEEEVEEEEEVEEEEEVEE EEEVEEEEEVEEEEEVEEEEEVEEEEEVEEEEEVEEEEEVEEEEEVEEEEEVEEEEEV EEEEEVEEEEEVEEEEEVEEEEEVEEEEEVEEAEEEEIGFQPYTIELSVVLGKKAIYN SSIKSTKLDFEQDAHQRASNAACRANKSAEFRGFEASVKKGAQKPFLYTLDDEGDMFT VESKIQELLAASKSLSLPYLRVALVANFEAIDEDIESPLSTLQAASTTSLALAGGLTL NLNLSSSAQQQDTMLRKSADGKDQYRGLATPSRAMTPLQPLVTLSSPIPQARDEDARL TAFICSRIKDRLAQQAEFEQAFKKLAEHGIGLSDMAFLAREDWKEMGISHGIRVDLLQ HNKI EPUS_08930 MSTAPASFTPLAISQTTFLGIVWGSSMVSFLFVIFRGYCRIKRF RRLFLDDALVLLAWILLFITAVIWQTRVYIIYYVWDISVGAISPPPADLWEKIDKQVH QGIAVTILTLVSLWCVKFALLALFKKMGRKVRRQRVLWWIVFLFTVATLAVSIGVQAY GCVFGDIRVIAVKCAQPETGEYIRAILRVQAAVDVASDALITIIPITLLWTVRISLRT KLEIAAVFCLTLFTMICAVIKVIFTLESPREDDSWLFSWSAIEGAVAIIISCLVAYRA SLHKEPERKRYQGGASAYPLRNVPNHQSSANFSGDLTAPRGGQSSTSTIADEDTLTQP DAIWVQQVYTVDGTRL EPUS_08931 MGMRNILKLGPIGASFCESDDSGRGPRPHPRSQVEPEDRLWFHT HNTAATFLSGIHLKAILKTFQTNLRTRIEALRIDRRWDEIPDLYQFIYKTLFPTQVEV LFGTSFLALNPNFLQDFRDFHKGLVYLLRGYPSWLVPTACSARERCLGSIKRWHLFLK EQEGNENPPRDEERSRLYGSRYIRTLQKKYGRMKPLDLDAVASSELGVIWAANSNIIT ATFWYIIELAKDPRLLSRIHAEVGASLSQTNDSRSTIDTDRLCTRPFIQSAYAEVLRL HTYNFLLTTSEHSDFNFRGWKIPKDQMVGISSHTAHMDNKAWNTGLVDGKAPVETFWA ERFLIYEGSARSKPLKMEHFKRRNTQRHSTHDLHSFSNSSFASEENYSSEESPRFCLD ELSGIWIPFGGGYSLCPGRHVAKAEILLAVALVSTAFEFEVLDQLSLKDRFMSRFVSI KDKGLQHDMRYFGMGVLPPKDKVAARIRRRVERD EPUS_08932 MASLLHPITFFLIQNAFTISIIAFTRSYHPVRTALLPFYLSYII LLFPTYLNTLHNVVLASIVSGTTYANLLSYLDRIILSQWSFENGGPANVPELTKSEQL LSRNEIIGTAEPKADHRITSGTILQRLGYGYFVNSAARLIGTPQQVKNVPPYSILNPS YIPSRSRFLLRKLAIFCVCYLVLDLATSSADPASNAVLFLPSKIPLFSRWRDVSAEEV ARKVVGGVAYWAMSYCTIQCYMGAWAFLCVMCGDDPKYWRPYFGHLSEAYTIRRFWG EPUS_08933 MPYQQDSPSPSMHWNVMGSAVSSRGATLVFILFTYLLIVRALRY RRMKKLEHSFGYGTRKPLGEMTLDDAAAILLELSEMEFPFSFLNSTQFALFRVRDDSF PHGPPLG EPUS_09113 MENQPGKMLCLTIYSYKKAGLSDEEYRSYMLKTHAPLASTLMEK YGIVGFTMVYSTFEAIPLPQIPHAADKRMFSARKTHMNSTTRPLLASITGPHFTNTAD YDVVSQMKFPDVECFTKMLADPFYRENVMPDDVNFADMSRTKMSLGWIEEVVKDGKVV LQNTQTEKSEVDALGGVNSGKGELVEIEAQDSIGPPPTTLSDNAIAV EPUS_09114 MHWITYVAAAVFLTGWAAYSFYAARRVAKDRQPPRKYLPGPKNY PFIGRVHDLPIKFMWLKFKEWADIYGGIYYTSMFGSEFIIVSDEGIAEELLVKKAKYN SDRPMMRSVTDSKSSEGGMEYLPLMGKNQYWARQRRFVHSYLMEASNAHYRGIMDHEV KRWLYRLAVEPDSFMFSLEDMASKVMCTLTWDDPTVSEYYTKSAWALLTQMSPAGPIT NLITPLWHLPLAINPWKKAEKIRHDEQSGWWMAKYLHTRKLHEAGLARPSWTRQYLEI EKRPPLSGDKEASAALGMIALVGIFTVAGPLNYFLVSMVHHPEWQAKCQKEIDEACNG KMPTLPDSPKLPILRACIKETMRWRPNVPTGVAHETEQDDYWRGHFIPKGARILPLDW AFLRNPVKYPDPENFRPERWLEPGWPTYQSPLTVYPMVKGMSSFGYGQRACLGQTLTQ DELLVACGGLMWAFDLKKKVDPATGREIDVPTTASNSLLIIKPDPFQMAFHPRTEARR AEILQNWKEADERDMLERRAFAKAAVLEHPSLI EPUS_09115 MGSLPLSTDSKPLGSYPSSGINVLIVGTGLAGLTAAIECTRKGH SVQVLERNASINTAGDMYFMGLSATRFMKHWPELAQEYDEISLHNAWIETFKHDGEQM IKPLKVAVRLKAAGLDPNTPPGTFQMRPLVYRMFVRQVERLGVNIEFGARVIDYFENE KTGKGGVTTEDGRRFEADIVIAADGVGSKSQKLVGGQVRAQKSGRAMWRAAFPRTELD KNPEVKAFFGLANGGKDPIVRTWLGPSTYALTLTRPDLMVWIVNHDATGSESESWNNT IEADEVLEGLDQVPGPNKWAPIFKELVKCTPPKTILNFELLWRNPQPSWTSPLSRVVQ IGDSAHSYLPASSNGATQAIEDAVSLATCLQIGGKENIAESVRAHIRMRFVRNACAQK LGFSNAELLQDTKWDKVKMDPRRANPSLPKWIYSHNPEEYAYDNYHKAVESVKMGISL EDDDRLPPNYPPGYRYQPWNIEKIMDDMRAGRPFELGPGDWS EPUS_09116 MVTINVAYTQAVNPAGVTPVLTREQMWAGMEGKVRRAHDFVPVF SDCKVIEEHDNVVVRETTLKPMEGRPGKTQQETCRLYKPVKVDFHEPSGCVITNMLSD GPAMTDEELQMTYFFEWPHPDIEAGSDIEKGLTEQHKKRGKMSVEKSIESIRRMVVAG KL EPUS_09117 MNPPLCFLHLPTEVRLLVYRHLFSELSVTVASATLSPEQAPWAI FRTCRTCYDESLPIFYELATISLKHEAYLHVLRRKIGPDNMARLQSVALGGFRNMVHK TVVEDLPATLRKLFLGWKGNTAFSNTTPKSHLDDDQMRDFLEWSFRHYLDPSVGDLLA KAPNLRIFLDTIIGNAPPNMDPASAGTSRYAVVRVEIVRGQDGEKWSWGHVDRKIDRL KVVEP EPUS_09118 MAALHSALQALGPCKFEDVPGSPPELEGYLQDLFQQAQLILESI PIPPPDEKLETRSRSHTTTSIASNASEISSSSARSAPPISEHAALQKEWGKPIKLSAK DNPLNMAVYRMGGKDGRGAWFARRSVQEGLGFAKFKKSLELEFPESLAVQGAPGEGNV RGIGGDKRVEDISVPGKGRVEVFQLSAQFPGPTTPRDFVTLLISSSNAIKQNDNSGRP DLTPRHYMIISKPCDHPETQPRDGFVRGYYESVEFIREVPQRPKRTRSSLDLTKLGAG TRSALEKEALLRNAEKKMTNSMSTNHENRSMGDLSAATKGSEELNRRRGKTISFSESK ADDMHADDDGVEEKYDPEANPVEWIMVTRSDPGGSVPRFMVERGTPGSIVADASKFLN WACQNEDIEDSKSDSHVDLGHRRDSIASYQTNGHLAGLDHDSAGEMATSDELSSALPS TSHSQAKSANQAGILSDMTGALSAGLEAYAPQIVLDRLPGHTPQGSIHTGAGSSTTLG LDADDDSSDSMSTTSFASADSHLDDESSTDQPSASPPPTTSKSSSQQKGKPKDVSSSK SKTHLTSHEKELAKLSARRTALDAKIASTRSKSAQSAQSQTQKEKEALRRAEEKHAKE MAKQEEKYRRQIEKIEQRKKREEKKMEERRRKEQEKAEERRKKEDEKDQRTKVERERD EAREEAKRLRAEIEEVWVKRVEGLEAEMRKLKGSGKKDGSLHQEDKGGTEKETDEKLP TLPPRPVPVSPAVEKDFVKKNLLKEEKSGLGRRMRGVSFGSGSPKINGNTGAGASSDG ESGKSTTSSLRGSSLLRRAPTTLGIIGAAAAGSGESLEHKKGASS EPUS_09119 MPTMLLPASAAAFAPRSAPNVVLNSKVEPWLTVTLKRINRIKRP LNSVPQHTRCLTETLSSPNAIWSLCSLMLPKAPESELRKDANPLVEALFNYQLLHIEA YVVHVDMVSQNEVAFKLTPETIESLVEHHKDIFSVDTAAATWNWSEKEVQLKKLQEEF VQSANKFVYRTNALALEGLEEDGAGELLCGRSDEVREAIMGLFVPLLPPPQRIVDVVR PAPLLPSSSGAEQWWPSQPMQAQFSAPMDSWRVVPSSPSPVPSSDSHHNMWAGVGMND MHQLPSPTPSYSSPFPACSYSAPQYYSAPTTSVSIPQLPLPSMLAQQQCSSTAAMTSY GWDRYLEYTMPYATAM EPUS_09120 MWIRVPKDASVCLRCRLQITRSLLHQAPHCRRPDRPSPRTQSTA AAVVENIGEDTGEDAGEDIGENIGGDVKEPQRKHRTSKRRKNKLRRIWKPPRVAELGV SALGKPAEVLVLKDRDRHIPAATDDEAERSKASQPRILEALQAENIPLSSESVRQSLD QIADPYRNQSKALSGEQRVELKKKLMDGFTQEQLRSYCEIDNRPKLVTNTDSHASNSI GRPKPTGNSTPDGDPLKDVTAEKGLPKLGKAGLVDHILRHKWAFTSLADEQTEQYVPL PTQKLEYVLKHRQSLLKEVEEQFSVTIEVVGGNGRINIQGGLKQVTAARDALTSFCRD ITHLRVRSASKGTDLKKVVTSSLLDHLTRTYNVMIAWAFKQGEDIIGHEGSLSICYHK TQDLQNALNAERTILLAERNSLPAIKDAEPQEKVSMWVWENSSQADLVLHHSPEQWNR LDQKTWSRWTFPQLPLGRGYIDSGSDLSAGHQMVKQLTSINKQSTTISKTLKGVLDEF FMDMSLKKKYGIRRLIDSSYVKEEIFAQIGKILFPHGKMTVADAFRPLGKFTKWKLLR AKTLNSTLMSPDIPGLPNFLASLAPFDEPESAIGGSNDQKHTRKYRLRYVPLTSQLFP GLQLPAIEIDVLRKGKSGSVVTDSIIGAWAVLAEQSHKLLLPAFTVDLLFGRRLKRKL SIIPSEDDIRPHSTAGLEEFGQQIKNSDSDKFSPFLKVDIRKYTVKYSLLQDDAGNHK DQVIQHGSDQRESIKGLTNALGPLQEPAGLASGNPSYTTTNAPNNIDYMLESWNVVHS TPFRSKRLCLEHLRLEGMNADEDQHILRLSRQPLLASDIRQLNITLLLERAFKTAALL SDPRLLDQSNEPA EPUS_09143 MVPGVEAERAVCSTTLEESDDGAACIWICRRLSATLKYCEAAAD CLGWFDKRWNLDLAGARMFAHFFIFELAEFWWSRSWLDHLEFGTKITPHNYAGYRVFV QEYTAIP EPUS_09144 MHTVTSLRRLRSHPRLHKIPPYISLLCIVGGIIWLLLLPLNRYS RQTYISENALLPGQVHTYFAGSEQNIFRGYREELKSVIKESEEARPQHLNEAHSSREN NVLWIDKIRAIFKTAGLPTATQDFEYHAAGNLSSGTNIYSVLHAPRGDGTEAIVLLAP QQNARGEHNINGIALLLTLARYFKRWSLWSKDIIFLITPDTDGGPQAWISAYHSTHDP QTIESLPLKSGALQGAICVDLPFDRRFEKISISYDGINGQLPNLDLVNTAVSVASGQM GIGTTIQGMHRHDDSYRARLITMLQGMTSQAVGHGTGAHSVFMPYHIDAITLTAAGDG WQDEMALGRTVESIFRSLNNLLEHFHQSFFFYLLMQANRFVSIGTYLPSAMAVAAGFS IMAIHLWIRSGHVEILSELPGQCINAEADLAEKPSLEADGLAHPPPNQQTQHIDRQLL KPILLILLAHLSGLIPLYLSHHTTYSHLPQTSLFTSFILLILPTLISPLSTPLPAQQY NLTKSLSLLLLGLSLSALATLNFSLSLALGLLCTPILFATRDRSIPGYLSRVILMVIS PMGLWLLAYTYFAFWVGNPGWAGDVLARMAFGWNVLGAWGVGVGIWGVWWPGWVVVAR VWL EPUS_09145 MKERQKTSSNILPSGSTIWDLLDRAAQKHPQNGLIFPSHELEQP PTTVTYSELCFEALKNGEALRYSGTVVPGDIVTTYFDNHQENIIWFWSVVAAGGIPAI LSPLAKDSKTRVAQLEHVIRLLQTPTIVTSKRMVLHLTGSEGLRVTTTESLREAARSK NETNGSTKRKMLSEEPPTKRNKLRTCKSNQVRNDLAVLLFTSGSTGPAKAVELSHSQL IASVQMKSALHGVGPNMNFMSWVSFDHSANFCEIHLNALYSGSNQVHAATANMATEPA SFFSILNKYEIGYTFAPNFFLAAAFKAFRAQKDPPLLDFSKLSIIMTGGEANKVSTIA AVDTLLVKYGASPNTIKSSYGLSETCSACFYNTECPTYDLMKDYTFASVGKHARDGSL ELRIVDACGRKQAPGVTGAIHLRGEIVFRGYFNDNNATDACMTSDGWFDTGDTGVVDE NGNLRIVGRSKEVIIINGNNYSSFDLEHAIESRVIKGLTPSYTATFSSLDPSGDSESV VVLFNPADHIVDAAVQDTIDAINMAVVAFCSKPALAVIPLPKDQMPKSTIGKLSRRRL KQSFEAGLFDQYRTQKRLEPAYEHNGDEKQESKSLNHLSPLGRQVAEIFSRETGIPQV NLAGDNALLRSGLDSLGYMRIKKSLEGAFHIHQEIPMGMLLRSTSIQELETSLLSIGT APLEYDPIVPLSLHGSKQPLFLLHPGSGEFLCWMRFLPFLPDRPIYALRARGVYEGEG SFSDLEEMLSCYLEAIKRTQPHGPYAMLGYCLGGMIAFELVKRLEALGDEVSFVGGID NPPDLKLIMGRLQFRTLMIDLLPQFTSYTREEADDFGLQTAHKSDKEFFYELYTKFSP EFLEAMDLTPARLAALGRVENSMRLMASTYTPSGSISKYDCFCADPMPHFNASPEEWR KDVLATWREYCESDVRYHDVDGNHSTAMKEPHLRVTQAKINEALVAFRLYISFIHCFP LPVRTDQKALYLRLRHGLSETVSELPFLGGCIVPEVRRSDRVQIQINEGYGIRFPYRD YTDASPQTSWRRSYEELKAAHFPISALDARKLSPVDPIPTSPTPPVMVVQANFINGGL LLTTSIHHSALDATGFATVLKIWANHSRGDYDTGGIVSLTTPNWRAMDRSVLMKGHAE ANIRDSPVFRVRDGPELTVTQRSGMVPACPAKEISIFYFSPSCLAQLKLAASSDNPTD RWVSTNDALCALLWRHISRARGFGSLDSGGQGTLPVQFTLAVQGRQRLAPPLSQEMLG NVVTLCPATLDINTLTSPSSPLYHVASTLRKAINKIDSAYLRGTIGMIDSVCDLQNLE LSVFDNPLRDLLVSSWSDLGLLQVDWGQGVGRPECMRIPKQPSTGGIGGAGIFPRLLD GGLEVLIGVEAETMKRLRADEEFLRYAEWRCT EPUS_09146 MHKRPLEILIFGAGAVGSTFGWRLAQNPFVRLSAVCRSNYTSVK TNGIHLRTTLWGNGSFRPHRVVKAVSDVQNVAFDYVVCANKLTPSDAVSFAEAIRPAV RPTTTLVSVQNGINVERPLKHMFEGNTILSAICYASCQQPLPGQVQQLTQIRPFAFAI GTYHSGSTDTQSENNKLEKLVSLDSKFKAIKDVNTERWTKMMFNGSLNPVSALTGLNS HQLLQDSPSLTLAHRLAEEIYEVASRSGANLPADSVSRTISCLQSPAPIVPSMLQDAR DGRQMEVEALCGNIWRQADAVGVSVPAVKATYDALTSMNQKIGIPRQYTFESDPHTPV ADLSTDLASETSRRASKHLLYDVQPSITPVAA EPUS_09147 MITFSTWQAFEDEHLDNKSLQLVIPKHHGAKLTDIPDDQLSEIL PVVKKLASATGAENYNLLQNNGRLAHQVVDHVHFHMIPKPNPEEGMGIAWPAQKGDMD KLKQLHEALKSKM EPUS_09148 MVTEHVKILLPSSSVPPNVQQIVGIRSSHSSDVEEFRGIPYGIV TERWESPKLADHLPCDSFDGSRHGPKCPQPAEPNNTEIFQSHLDYSSEVAESELDCLN LFIARPSAAALHQAGSTGEDGLPVMVWIHGGGYGYGAGSDPPWDPSRVILQSVKVGKP FIAVYINYRLNLFGFGASSELLETQSDSSFKGCNFGLQDQHVALQWVSKNISAFGGDP NRITIAGQSAGGISVHAQVLEAKSNPRKPLFQRAIVQSGAMGTVGPISAEEADSRWMY LCQHLHITHKSEKERVDFLRKMPASDMVRIAGEIKWFSFPLVAENKTVTMLPDGGVKV VLEPRSDAVNQGMEDEPIKVLIGDCDGEGMIWLDSISKIRSYDEIRSVIEHAYTSKSL VEAVLEAYHITAQSQTEELHRCLLQFMTDAKFGLPVHSAIRSLASHNRIAGEIATEVQ AYRIKYTNPFSGILSTLAHHCVDLLYIFDAFYEDLAKAEPSSEALVEAMQQHWIDFIW DGCQPETSNYGVSEDDITVYERDRTRTVRKLNEDPECIERAKRLGLLAQDPAGMRTLW GMLSGVIPRS EPUS_09149 MSRTFGCWLTLSEDEKLSCFEAKSAPRRGLQYQTQKLSAWRVAG KLQEEWNRIAPEIKSRTDNINFRSSISPGNPENALIRWELYLVGKTPEASKLKPTIVA ECGDVVLAKKACKALQDMKKHSLEPLLGTYDMHYAQAQIRLRAEPNGIVSPSLSSADG HYSLCGQQIIISSCLGAETPIFTTSTIGGAILIGGTYYALTAAHAFPERIFDRPTSNE GAQIGKNPPHPGDESLKNDATVSDSAPTPRGLLRLTRSVYIQKCDRNSINPEDLSIPA QPSTGAAALINSASQHVMLNRNQDWALVPIENYRFMCENKFTSPTGSILHVTGISENI PPGPVIIATGVSDPAVSTVSQTTSLLLLPGCEQLQIVWSAEKPSAPGDCGSWVIDGNG KVCAMVVAASEDDDEIYCLPLAPIFRNIQEQIGSEGQPEMPLDDELLAKNDKDSSVDG LHKGQSLTVIGWRSLLSGDSKSTMNGYTPIRQERSTNVDVLIEPEFSQSKTGPRHAEP ERDIQSTIPGMQKEEAVSVTTTSAAQNETEKSIKVGEPSAGHDSQMEKQNTRLSSNRD SESRSLSGPGINQKSQDKLATATTLSFQSPELESRSPPSRERERETFVREEIRERPRS PPAILREEHIVTSADPVVLARRAQENFKFVPRPRSRSPSPEPERKVGGDDTIIREEPK IISRPPSRTSESEQDENGERIIFRRHKRINDRRPPRPPRPRERDVQPPPPREVNSSRD IRRDKDDRGDVENYKLRLKARFLQLGESRDAVACRNNNIAGYSPGQIQSLLEVESDNT QSATERPKATHQTNTFSSNRPGPQPATRQTPHQLADRPFHHPDHMDIPKPSYRPSDLH NSRHPFSSLDRFKKVSRKDISPQSLEEFGIQWHWDPHDDYYIRMALVSDSQMQRLREH TQRTFNGDSANKIRSRPPLSGPADTYVYAPWRRYVDDLDIDRYDDRRQYRESFHETEY PERRRSISRVHTTFPRRQRSQDQHEREMAFARRLREHEPGIAIRAVEERYRKQVEQQK SIDSNCRVLDLEFEAMVQDLFWDAGISPETCDTLIKMHQDKEAKIRAHSHGGAHHNHS ADHCSNCNGSTSATPGGKGEEDDKNRERLTCSMKVEIDGVIMPCAKTFREDDALRRHE QNHLSQAPYYVEESGEVKRPLQRQDSPRTGYTDIKRYVERVEDPDTRYMQRVREYS EPUS_09103 MALTNLPVEILEHIVRHALPEGFESLALTCRKIYAMCTPFIARH NLLRSHFQHVIFYEKMGDPLYTIRSAFDLIARIVTEPIVARYVRYLESKVDSCFLYHR PRHLYPDVNCGDVVIKSFAESPYLKQAGLDWQEYYDEIEKDLEAARYSQYAAAFLLTL LPNVEKIALPKKWKSVLATDKLIDAVIHKARQSYHLPFDTSSLTKLTEFEDSVPLNAH QRFDMALASPFLALPRVRSFWGPSCVARQDSGHQSIDKSQLTYPFGESLETVDFVSSD IDPAGIAIFLKHTTRLRKLTYSHASKDSEGPHDDDWDICNFVTKIEHAVGAHLEELSI SIRELRGSLTPGRASLRGFQQLRKLELPIEFAVCNASADAAADRNIILSLESLTIEDG STNHPTSDNKQPILGHLIPPSVRQLSLLSSGAQDHAKALEVIFRHFAAKKPSKLPALE EIYLSCPDSADDLYKEECAELQAETEKAGVVLHLKAWSFNFL EPUS_07749 MVTRELYEYETLSDNEIRLLRIHQGLEEDDLSCSLEKRSLETAN QQYTAISYPWGPSVDPHFTCYVGRFAFPIRQNAENVLRTLRKANSDVHVWLDSICINQ NDITEKSSQISLMHQIYKQAKNTAIWLSEADDLTEHVINYANTLDVKKIMEEYRSYKR GNVETKSFILDELAFHQDKATLVNGIARLFQAEWFSRVWIRQEAAVGRDPYALRGPHS ITWAQLTTLASLFRPNLTIVWPEWTDFSFGDIQPTLDTIDAIENYRVGESTEANSIAG NPLFYHVVQARSSHATKPHDKVYAMSSMASDMYRDTNEVFLKPNYDLSWQEVYANAAK FFFKQDFVAHQVLEQAGLINQGVNSDLPSWVPDWRYPAAIQFAPLKDWAAGGNMNNVR TRVTGLTKKERQLLLTYQGAASIRPLCAPLREQRRQLEKDRSRLEKSKSRLQEGDIQE VERLRIQQEWLAVQESATRAVLVLEILTLMQDKITYLSPRSSWVSDDHFQAHRKEIID IDDQNLRFLESLKTGLYITSDTLRQAYNATLIASQDSESNLAPDDLIASAEEWRKWLA DCNTTSSPAYHRAIETSFAFRDYSFAWTGNGYMALVPSIAQRGDEVIVFSGCRIPFVI RPVHKWYMLVGLCYVHGMMRSAASILIEEFNIRYEDGKIVTKRPQGDVRANGRKLNAG QYIDVLHTLGGRWIKLV EPUS_07750 MPGRLPEVPPLAPETYRWEKSKTDPRVLQRRANGAEVLVGIRDN NIKGQYDLYLMTTLRINNLSTATALSLSSLKEKLQPALLEIRFKHPEIACTVLWDNQV APVIQYTPPENSKDAHTWAHNTVHILATSKTGVDARTEVEQRRRLVGSQPAKPVSIYI VADVANEDTPLTPGTVVDVLMHMNHLYWDGISVRMFAGDLLRSLSQNLGVEQKPSQYQ WGEEIANLGVPVLDALDIDIGSLGDDFNTARDDNVRFSWGLRCRAKTGTPRTIFHTFT VPESETMIRAVKSRLGPKYTISHLGHAATVLALLKANPPSNDIPDSQSLIMPIPVNGR RWLRDDHARDYYGMCQTGAVIKIKNIKFFMVDGNDRDAVVEALERGCKLVKEAYDHWM SKPFLLALGISKDNFIASYLTSPKESVPFGGMAHAIFVSDGMNDRFIPGDVTSAATGE KLMSVENVSFFLNKYLPSMSFRLDSWKGASTLSLCYNDGSYTAEEATTWLQYLATYML AFAQ EPUS_07751 MRQTAARLSRQITLEVERKFRCTVESVNLLRANGGEPPFPELSY LGRKTFSDTYFDKHQKLSSTGIYVRKRDGRWQAKVRQSGDYQNSTFEELAGKDEIGDL VARCTGQASSHSVDFGLSQMAHFTTVRDRWRANRRFEVVLDSASFGHMVGEVELQSVG EVDPVASSRASDSMHAEIEAFMQKYSWAFPPGKADGKLSAYFAHKSSTESIT EPUS_07752 MAQAIQPQQRPKNIYIVGAQSSGKTTLISGLEKYFHDHSNTTWG ASTVGTPFVLEEVARKVPLDCGCTGKTVSDSKSRALRLQRLILETQFESEDAIKGLWS MPDQSGLAGESETEQLMETKQCREMKHALQNSLIIVCEPVVPWLKGDGLRLMPKDRSA RTELVLRLSQPPRLQIRDPSQRPDQLRHTSAFCCNKMEGNG EPUS_07753 MAEEVYEGAIGIDLGSSSFDSLAPTDCALTLQSGTTYSCVANYE GTNVEIIANEQGSFTTPSFVSFTDQERLIGEAAKNQAAMNPKNTVFDIKRLIGRRFDD QTVKKDIESWPFKVIDQGGNPMVEVEYLGENKTFSPQEISSMVLMKMKEVAETKLGKK VAKAVVTVPAYFNDNQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLGSGKSDKERNV LIYDLGGGTFDVSLLNIQGGVFTVKATAGDTHLGGQDFDTNLLEHFKKEFNRKTKKDL SGDPRALRRLRTACERAKRTLSNGTQTTVEIDSLFEGEDFNAQITRARFEDLNAKAFS GTLDPVQQVLKDAGLDKSKVDEIVLVGGSTRIPRIQKLLSDFFDGKKLEKSINPDEAV AYGAAVQAGILSGKATSAETADLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIRKR TFTTVVDNQQTVQFPVFQGERTNCEDNTSLGEFTLAPIPPMKAGDAALEVVFEVDVNG ILKVTATEKSSGRSANITISNAVGKLSSSEIEDMITDAAKFKTSDEAFTKKFEAKQQL ESYVSRVEDMISDPGMSMKMKRGNKEKIETALSDAMAQLEVEDSTPEDLKKKELALKR LMTKAMATR EPUS_07754 MATPAPKKRRVIEDNRWPSGNETAEGTTATGLKLLESLKSSKDK TGRIVATEFLQLPPRTNTEYYRQVKLPLSFQEIEDKLNALQYENMTALESDLKRMVQN AKEFNTTSSKIYEDAERIRKALSNFMPKHNPAYKDQEYRAVPTPIPDDIEDKGHVSEA ATPVDALVAPTIKLRVNGSASRKSANGVKDETPSAGMESMQQEQLKIVEEMIELRDPT DADTEITLEFYEKPSKRDYPDYYKQISHPTALKDIQKAVSSNKFPTWESFITEVEYLW ENARTYNEEGSFIHENANKLRDWFLNRLSGIDGARDIMPPKKLILNPPKNPSQQPTPR IKFTNLNKDTTQPGITVDEEARRRQDEHVRAASRGQVFGSGETPVRMAQRPSSRRESP RVSATPVGKVEAGLKRSASVASTGGAEDKGSLAQDSSMADARPDSDQPAQPVGNQKLS QDVTSTASMQPPASIPQQSQPAQVPRPPTATPAYLVETAFDRVMRDPRKGIEDALYTH IEAETDPELEHPHPWKMTYFPEKTQTQHGMVIHLPPSHPWLQMTLHLSQECLGRAQWR PVLSRDLAILYPTQPTVRGVYTFHFRLLPGVNTICADFLATINKKGEPAPEWPQERHD FERFVLTVNFLAQEI EPUS_07755 MSLPDLDHSRRNPKPRLLLEQERVRLDEYIESIGYSARYSDNYY EYRHVQLPKAMLKAIPRDYFDPSKGTLKLLWEEEWRGLGITQSLGWEHYEVHEPEPHI LLFKYESRYFVGIVPY EPUS_07756 MALPPQFAGQLLPASTQSQVPHTLEIYLDYTCPYSRKLFQTLHP AITSLVTQKYPSTLRVIFRQQIQPWHPSSTLCHEAALAVLRLAPTEFWHYSAALFERQ TEFFGGLRRRGLGWMARR EPUS_07757 MAIHAVGVNMGKYVQTGSAVSLLFYFSFNLALTLLNKLLLEKVK FPYLLTALHAGASWLGCAVILRKSSSGIRMQNIRLVDSVNLVLFSILYSVNIGISNVS LRLTSLSVHQLLRAISPAITALILRVFCRRQYTWSSYASLILVVFGVALATTTPSQST HMSSTPGNVSGILLTLLGALLASCKPIAAAALQKCKSPPGEGEGGKGLNLSAMQLLHY ISPLAFLQSILYAWIAGELRHLPSSPSSASRLDTTTGENEKFTMNASFAIFITLNGLV ALGLNIASFEANRRVGALGITIAGNVKQVLVLVLDVVVNGKDDIWTWRMWIGVLGTVV GSCWFVVEEGRQGEKMRRGTVEVGMQMMEKEKEKEGDKNRVLEEGRAEN EPUS_07758 MAGILERSRLPAHNGLLHLQRVKLTSKNRISTFMLLTSALALGS CIPLPERILPAEVRKMVQEHKKLHDREYGESWHKEHRRLEELNRRSKEATSGVDSMER ADRPQGGIFVPMTWLRKCEKEYYSGDDPEWQEFVKLSKDLKRVKAVKSGLTASVCSDL NNRAVITRLLGRPLTVYATWLDFDFPSTAPVEYERSGILWTNDKVTWVSRRVNERRAK RLYSVLFPTALLSSLQALSSTLLTSYFSTSRSLWSKAGKSDQQEPIAKPVEGSSQPTV GSNGSKSASMLSTQTRTSTHQNLSLAATPRVQAELIRNIMPEAEPNSAISAAARDFKL NFLRKWRSTQLDIPRGACYLRGEIGIKGPKGRCKMSVYAVYLPKENAFVHVLASTTGI WLNTQRPLGKPKSEKPQLENGPKG EPUS_07759 MLSKTPLNKDPKAAESWLMLEGLKEADEGPLTQDYESAKLRESS GKECFLGDAYQRRPMTLFERQPGAAEYDKNGVAKPILSRILIWNAVVIFVPIVLVVFF GVVLNGSTQSFAPFPPKNYFAIAVAWSLVSSAILGWYIGLLGGSKTEAEVAAGLLATM ALWLV EPUS_07760 MQCLTAPTRREIDKAHAKSIWLEIGVHSVRNLLHVSPGKAVLWL ALLVSTFPLHLLWNSAVFTTTNFNDYSGLVVTNDFLVSNSSLGIDCSEQAMDEYIQND RSSYVVCWLHQHVQQNSTSMIKMTPEQCMTAYATGIEASNFNFLAVTKDATIKEQSKQ FRPGNATLPVVAYFNTLNYTDLVDSWCRGLCHNWGNNP EPUS_07761 MKALSLRLLQWLAVFGCTAAQNAYLYNLDIQPLAGSFLKDSSID SETANAILARRLRATESSSLGSVDDVVLEHLNRYGGQQALSLFQNEAASSIPDRLLIA LEGYEGEPLSIAPGFRIEKANPQLASIPQMAAMVGEKSFDTSSCSKYTSLKSGMHVAY IVDSKGGTCVGQDKIDRLLEDEPVKEDQMNHLAALFANGGRPLRAVVKLDMTRTKKLS QEARNSVITKSFDSWYKILEPLAKAGKLESTILLLPSRRAPPILNLNRRQIPLEEEEA PLSQPSVSISSPSEASQPSITNNSNNPTFHPLPPLGTFLPSCFPNNQNCTSYTNNCSG RGSCYAKSSTCHQCRCGTTIVRQDSDGKNIKTVQWGGTACERKDVSVPFFLFAGFGVL MAALVVGAVGMLYEMGSEELPSVIGAGVTGPRAQR EPUS_07762 MSSVEVTAVVASIVSAFASGMDIFKRMKAKQRSKKNRTKPGRLT EEEWQLQTSLQDRPREIKAEYDRNLARLGNRFAVGDSTAQTSLNHTLLILNTGLIQIL SHALSNDTKAQALSRRSLLNLSENAAADAVRALEQLEGRLSSTSKLTLTAPLATNKKP RKKGEGTSKGVAGPPKARPAHKPRSKLYSTTERKRPGPDPLVRGAWVRSKSGTSVITS SFSSSGTATPKIVDLKAVSASLVPYGLSLPRLSHCRTKSSPSYPSRLSQERPPDHHRK ESFSYLDHSPPSYVASSEQKEQHCPNRHPSLLLASPEVFTDIQTMFSQSPSLPPPPPP KIPLQNPISGQDATLSAPRMRVRPPSVATFMTASTKIGEIPEHRWLNHHNNNNSDQAH PILPWEEQQYANKPLPYTIPPRLEDEPAPKRKGLGFKFWKRDT EPUS_07763 MPSVGPGLPPANAEKRKRDATNEDDEIIPHSPQSLASGSSSPST SAKKPRTIGPTLPPASLDERPVQPPETDADSSSDDDDFGPSLPTVKSQKLAVATNEAS EVTAHDSQPPAPMKSMRDEWMIVPPSSDDWSSRVDPTKLKNRKFNTGKGSKAPAQNTG QKTNGNWTETPDERKARLQREMMGIKDISVAKDSPKDDATARDNARKLREYNVSEVPR VVADSGFDKEQEQSRGSTLYTEHQKTNPQEKEDDPSARAFDREKDIAGGMRINHTQRK ALVNKAADFGSRFSSGKFL EPUS_07764 MEPDSGSTWVERDSNGRFMFVRKRTRLPSTRSVLAEVFGTSNNP FGRSRTHSRSRSFSRSVSRNHLRARPLVRNPNLLALPAPPPTTTTPPQTTMNATSENA KGIIHDTGQYSQPPLLNDPNQQLPYPQYPSYAPPYPAYYLAPNPAPAFQEPYPPLPPG ARVLSPPRAATADELKYKCSICGRFRSPRFHYKHPIPPGQLPAQTVCRKCRQAGTDSE DTSDDKARLRRSRSIVSISQPIRTRVVSDGDGRILRRRSSRVDFIPRSRSQHRGRLRR RSISSSSSLDTDEVDILVDDRHKRARSRSVGTIVERIRYIEEEPPLRVSPTRETIYIK DNRDLRRRRASRYEEEYYYTDYDSEEDYIPRRRIIARAPSMSRISRRQCSEPFIREHV RVRTRDIFDGPNSLYEESRVVERPARPPLTLSSDVHVLRVPADEAQRIKERLANSDEY ELVADRPILRADSRDYDWYEDEPRQSRRGRRPRRRSHSRVSFADDIENGKNKAAARIP EHVPRLRNLRSSEYVETPRILPHVRAPTPPPADRRRSGRHSHASRQEHDDVEVRRTSQ QDAADHRGRPTTGGRRATPGSRSQSGSRWNAPFGGRPPMTDDEKIEMQQRIHAPPANE DYDWYDSHGQRVRVREI EPUS_07765 MEILQVYTDVPAIELGTEDYSVENFPSYSPSPELAQYWRSIDPS LNSRRSFDTSKTDRSAHIVNMEKHLLNLANSAYGCGRSLVDASKEDSSQFGPSTIWGS GSDAFRAMRYMSPSGPWGGSLSSTNSTSSDYAFSPDVSRHHVSLYCDDLDSQVSFASP YPVPYSQTHYSYASPGSYAGQTVASSSARDQAVACSMKELQYTPDPEHDDIQGDSGCI KIETRPEKMAPTPESCSPVTDTSSRSDYDDSMKDEEDEKGVDSDIDPEYSPVKSRSAR RFSSSSKHPTRSPTLSRKSFMQPTTDNNRVLKSSQRPTISTKSKTKSASRKRSNNKNG DSRPFICSFSHYGCESRFSSKNEWKRHVSSQHLQLGFYRCDTDLCYPTNQFPNGGTRT YNDFNRKDLFTQHHRRMHVPWTPANKPPSKQAHDDFEESLEAVRQRCWQEKRKAPKKS QCIFCHVRFDGESAWDERMEHIGKHFEKAEREKKDLGGGREDPELKAWALDQGLIVDC GDRGCWLDGLQGNRRAGKAMPSGMARRGKVVNDDVAGEEDAAGDDE EPUS_07766 MATATSTNAPHAVISSQESSNPNTPAHAPVTTPHTQSQTLEAVK DIVFGSTAGILGKFIEYPFDTVKVRLQAQTTSPLGPDRPHGPLDAFAAAWRSTEGPLK SLYRGISAPLLGAAVETSSLFFSYRVVQDILVAALPSVRALDSQNGGAQVSLPFSALL FAGAASGAFTSLLLTPIELIKCKMQVPLTTAHLGSEIGYMTPKLLGPFQLTKQVFKTR GVLGFWHGQLGTLIRETGGSAAWFGSYEGVKILFERYNAAESRADQQHRAVSEISIPA TSTTSFSQQLIAGAAAGISYNFFFYPADTIKSRMQTEDAALAGSRQTTFLKTGSELWK QQGLRGFYRGCGITVFRAAPSSAIIFSIYEELRRAFR EPUS_07767 MAHEFLCHENDLSINIPSSVDNLDVLFAKIQAWLYFGLIRDAFG PLTRFDDFTGVGESGQRIIESTKLRHYTSQWQKLGACCTVNEKHRRYEKIASSTARAW KSCNDLDNAEAPQIPMHMIVLFSIRILVSTLREMIHQSGKDTTDLNSAFRFSPELVRW PRVPASYRPIVCLMVDYGWCSHQILQLLSTYDISTVWCITCVHGRDMPGLEHQKCVAA PKCIARNVDPNKYRARHLTEACGCEAVGPDMVEVKSVLRNGGIPLIRCTVGRQGHISF KVIRAAKPKRHIAFSHVWADGIVIPKENKVYRCQFLNMFTYLQRASPELRAEKLHLLP FNSFLARRVLPRRTSFDIWFDIFCIPNRETPEDMELRKKAIGRIYPIFAGAECVLIVD RSLTRLDSTELSTIDMLARIVSSGWMSRCWTFIEASLAARSILLFCIGDELVRYSDID LARESNAVYKALDRVSRGVFRDITQSALLPFNIAWMASQPIVSDISAFCSVWNSLTSR STSWPDDVWGIMATFLGYSGGEIMSLAEEDRLPALLKSMPGVPASFLFRNLPRSSSVP ASTRWIPTKIEGIIRPADSVIIFGPRGLYLIKSIGIKLLFSVSKIPPRSFSVRFVDQR ITTAPSWTCLSWDLTVQNYDIFCQHVTLGTQVCVVLHVDVEGRGGTGNETHGWKGIGC CLQVTKTHPNAIPEADYLSSLTFTTSNETGPCSFDAVFAGPTVIVNCDREPWYQLKTR RQKHKLSPWFLGSLLYWIIPTCYLLVYFAIFIPLWIWYRQGHETALVIATPISVAGFL PYFLFLKFLENLLFQNWFDSFLHEGMRQPWWKRIMPIW EPUS_07768 MAGTASSTLNTPTTDSTTPEKQPPPPPPGQRKNGKSWHPPRAAF RPLSNRTTHSHSSYRKRISLAQTAQATKTLEREMKAERAVERDRRVQAIKDRRKAREE KERFERMGEKMHARIVERRRRREGRRRKGNKVL EPUS_07769 MAPQPDSLLVPAIEKPPLTRSRSSTTPSRPPYPTLDLSATRPDP ASRSHSFLSPVIAKPRTSQTLPDATSSSRLHIPITAARQHRTDREHRDSSRHRHTKSD AHGGGFRSHRRDRSDGLPHLAAGIAAERERRADQTKLSSDPWTALGNDLRRIASNRSG RTGTAGPGIGEGGNLRRSTSDPKKRTQRKQKSEIEILLDRAEAKTMAKRAAISHKHVD TLRRTNAEAEEELQRQLAAVNKTSVDMTRRLDYTYYNLLEKVGNLVALVQSFHSLSSQ SKDMIDHFTKEASMLERDVRIKLERFKTSFEEREDRVRTLEERGARANTRAQDLGARL EKARQRVEAWEKKEGVERRRRSRLWRSIWTGLIVVLVVLFFGLTWREWRSEVDIVRMA LMDEKDRVALLNQSLFLEKDVVELSEVPDDVKDILSRVAERKSRPAQTKATSEPPNLL ETDERLRALDEL EPUS_07770 MDSNITLEDFLGLNAAYFEWADSYDAKDWERLSKIIAPELRIDY TSFLNKCWPAMPASDFVAMVSSPTVLGDPTLKTQHFCGGSKWEMVSPTEVIGFHQLRV PHQKYTDETLTTVAVKGHAHSTNKHWYKKIDGVWKFAGLSPEIRWFEYDFDKIFASGR ENFGATEDIMEKEKIDVTVEASMGIPAAA EPUS_07771 MADDSNAEKRSPKVRRMEGIALEERRAARTEIQYLANGYGDIFV DDADGCV EPUS_07772 MSSPKFSFSDAGVIPGRTPEVLDQSTSSVFQKLIRKYNDETAAF LASHIGFEDDDLDWEGVRPSGQGNFGAVGLWVGKDKNGSNVKVSTTKGTITSSAQGSR KSLSNSAIGPERRSGTQRHGYLQKP EPUS_07773 MDLHFSDEDVGKGVSTRAVGRTQQASSGSAHCDDSSPLPPPAVG LMSPLPVTGLVPSTLLIREQNQNLAQQADNSSSEDNPTAQIENIPLVRHPSKVSFRIT NTESKKIGHDHMNNNEPSETTALLTEGDVHASQNPYSHRSSAKYLDGEEGEFETTWRN ELIILTKYSLPLMLTCVLQYSLTGASVVAVGHLGKTELGAVSLAIMSSNITGYCTYAG LATSLDTLCAQAYGSGRPHLVGLYLQRTVCFLWIITIPIAAIWLSGTQILLRITPERE SAELAGLFLKVLVLGAPGFAMFEAGKRFVQAQGLFNANLYVLLICAPLNAFMNWLFVW HFNWGFIGAPIAVAITHNLLPLCLWLYVYFVDGRECWNGFTTQALHNWYPMIRLAVPG YVMLISEFLCFEILTLASAHLSATALAAQSVLATLSFITFQIPFPVSIAASTRLANLI GAGLPGASQVCINVTLAMACCAGALNVVMLTTLRHHIPKIFTSDPIVTDLIVNVIPLF AAFQMFDALVANSSGLLRGMGLQKIGGWVAVSCYYGVSIRRDHSSDLYLTQISYRLRY PCLSE EPUS_07774 MDSSGNRLTAISPDNHQPWLWFMHFFSMAFIVFAACMRAWMKWR QAALSDALLFAAHLLYIGYWVVLLFSLISALGKSENITTTLQESRAAKLVFASRILLA IILGATKVSTMYLIREIFTRDATKWWFRAIVGAAIVQAAFGALLLSVECSPAQTLNAR NNDHCSGNEARWIVFTVIEALLECLMVIPVAIIVMRLQTSLQKKIWAITVFVCRSVVW VPMWMHLAFYLRFLRSGRNNIDIVPAMVTEELWVALALVSASTPVLMRVAKKFTTSGI TLSTSKAYGSRGSSSNQRRTGFRPDDVTNSSQVDTHMMRNMNEGASIESRGESQVGIL RQVDFQISSETKDTT EPUS_07775 MARSTVTRPVIRAPVPGTAADDQDMRRMHKPQELNRVYSVVTLV GYAVIVGLTWPFSLVTGTLSLTNGGPAGAIWVFLGVCFGMFMCVLSMAEMASIEPTTG GQYHWVSVFAPPKWQKPLSYFVGWMCALGWQSYVPGASNVGASAIQGFAVVASDTYVP EAWHIALLTIVICTCAILFNVYLARRLPGIEAAVFTIYILAFVAFLIILLAMGSRSGP RYMFTHFEDNAGWGSIGSACFVGISGPVITLIGSDSAVHLAEELKDASRQLPKAMLST AGVNYLLGFLMLVAFIAVVGDVESVLATPTGQPYIQVIWNATQSRTATMVMVAFIIFF YIFCAVNQNTTSSRQLYAFARDGGLPFSGWISYVSPERCIPVHAVFLTWFIACGLALI PLGSTAAFINIQTIGNSGLLVSYMICIASRLYHRNAVGPYGSLAKPPSFFLGKTLGNV VNSLAILFLICFLVSGMFPVFPNPTVETMNWSSMALGSTLIIAIVSYVWLRKTYLGAG VGGSVELVDMEADGKIFGRRG EPUS_07776 MEVLRALRHWFAPTIDAFVAPALLFTYRWRLLTLQPIVLLTYSL KCLPWLFSNAFDVILIPTRRLNQPLRAIVFHPPCRTSDERPLRPIHLDIHGGAFLGGL PEFDADFCQRLSRETGGVVISTQYRYSPRHIFPAAHEDIEDVSKWLVQHAEKRWGADP KVLTVSGFSAGANLALAVSQMGGGMFKWPSQTAVKGCVTFCAPVDLRLKPAEKPKPAN YPKQDPLFFLQPLFDSYVARTRPASINNPILNPILAPISDLPPKILLVTPTIDILLDE QLKFAERLKEDVQRETEDGANAVRREVQIMLFEDCMHAWLELPSFLIDEKTREKAFKT AIEFIKDVHREHGWNNRGA EPUS_07777 MKSAFVLAALGAFCAAASAHAEHNHEMLHKMMRKARDVSPSDTT NNATCGCVTSVSTWYGEATLVQPTTTSPLTTTQTSTSYSTITVYATNNAPAPYTSFSS TGVYTIPKTAITLTSTVVVPCPTASSSDTYAPGTYTRHETTMTITATNSVYVCPFTSV AAPTSEASAPAAHSEAPAPPPASPTEPAPSYSAPASSKSPNPAPSGNAGSSPISSNGN QWAMTYSPYTAAGGCKEAGAVSADISSIKSAGFTTVRLYSTDCSGLQNVGNACKEYGL KLVLGVFIDNKGIDAARPQVTDIISWGQWQIVELIVIGNEALFNQYCDAGALAGFIAD SKAQFQAAGYGGPCTTTETLNIWQQSGSSLCGVIDVVGANVHPFFNAEVSPDQAGSFT AGQIEILGKVCPGKEVYNLESGWPHSGQANGKATPGVEAQSIAIKSIMKNVGAKTIFF SFEDDLWKQPGQFGVEQSWGCTKVFSG EPUS_07778 MSAPSSTPAGPPTPSSPPATDHNNNPTNSPLLFFVALGFGVVFT NLWIIVGVKYCFRYNQRNRQLRNGEEGEPIEIGAVPRAHRRRREKKLMTIDEVNERFP LTKYKQWRSTRAEEGLPTAGGIIAPSSRATSMKNEEGVVLPVQPLSNSEADAEASKDV AASPSSPTFPLSNQTAEKDFAAQPAPAQQSNTVATTKDQKSVTEPHKHSIADDEDDDD VDQIQPTVPAELLPNPGDTCAICLDTIEDDDDVRGLSCGHAFHASCVDPWLTSRRACC PLCKADYYVPKPRNEASENDEASRTRARANMPASPPFTFLGGPASRMLPFVGNEPSSE RTASLGGRTRMVLPGRFMTIVYADNADRRGYGFPQVVREPRPEGESTGRRWPRNRSRS VPNIVQAGNANNGTNATGEGDEQQTQRRGSSWRERLRSIPSLPTIPVLGGRSRSAMLG TPGESQPQQQQQPQQDVSPGQLEAGTTPAHDSTTRPTPA EPUS_07779 MSSSSTAAETPEWSAQRVRSTFLDYFKQRGHTFVPSSSVVPLSD PTLLFTNAGMNQYKSIFLGTVDPNSDFAHLKRAVNSQKCIRAGGKHNDLDDVGKDSYH HTFFEMLGNWSFGDYFKKEAIQYSWELLTEVYGLRPDRLYVTYFEGDAAGGLEPDLEA KELWRSVGVQEDHILPGNMKDNFWEMGDQGPCGPCSEIHYDRIGGRDAAALVNQDDPN VLEIWNNVFIQYNREPDKSLRSLPSKHVDTGLGYERLVSVLQDKSSNYDTDVFTPLFD TIQQVTGARGYTGKFGKEDKDGVDTAYRVVADHVRTLTFAISDGGIPNNEGRGYVVRR VLRRGARFARKYFNVEIGDFFAKIVPTLVGQMGQMFPEIAKKEDDVKEMLCEEELSFA KTLDRGERQFEYYAQQSKDRNLKSLHGADVWRLYDTFGFPPDLTRLMAEERGLSIDDN EFEDARLKAKEASKGEKKAASDLVKLDVHDISILEKMPGVSKTDDDAKFGRDNITAQV KAIYHAKKFVDDTYSIPKGGQLGLILDKTNFYAEQGGQEYDTGKIVIDGQAELDVQNV QVYAGYVLHTGYVKYGAFAVGDRPICEYDELRRWPIRNNHTGTHILNFALREVLGDGI DQKGSLVAQEKLRFDFSHKSGISDPDLQKIEDKSTEYIRQNCGVFAKDVPLSTAREIT GVRAVFGETYPDPVRVVSVGVEVEEILENVKDPRWSSVSIEFCGGTHVQRTGDIKDLV ILEESGIAKGIRRIIAVTGEDAHAVQRLAEEFSKRLSLLEEMEFGPEKEQKVKATSMD LNNLSISAVRKAEFRDRFAKIHKQILDQQKAQQKAETKKALDTVTEYFQDPENKEATT FVTSLPITNAKAVTDTINHVKTKMPDKTVYLFAVDKTAGRVVHACHVSGAASKAGASS SEWASTVSGVVGGKAGGKAPTSIGNGTNVDKLDEALTAASDYLAKLQI EPUS_07780 MFAVTHHGYKRHAEEEQEGEQPITKRLARMRIGKDEQNGVLPHA SAHYAIPHHPQPTISAPAPIPYRASTDELMPLDDTKDKVYIHDLESEIAQIEAEELKC LFLPDIDRKISAIPQQLLRCHTNNANTQMVLYQVPSSISVPEEQDHVRKAIIATRARA REKQAREAEETQKKIEEHVHDDHMNGLVTDRVEEQPEDFDPDAMDLG EPUS_07781 MSSSSTPLQRRQYLVPVDDEDVIYDGDGWYSSNDYIVKWSIIGA IFFSFVLFLLIGYFHAQRRMKKGLRPLLYHRWLVPRSQRMRFDPRYQNQYMVYPMNRE QDGYAMNGYAPPPPVYDRNNENAPTYLPPGGTSKVNPDQGDYAAVPPPGAPPLRNDDA LAYPGAVAGSSARQQV EPUS_07782 MAALASPSPIPISSSDWAGNGRDLYRRPSKRTLTRTTSGQLGSR RTSPLVLSAQAASRTFEERGAAKVSVGDSSDEEDLAPIKLSAEAREILGEEASQVSTS PGAGKIDDDSAQRRLALRQAERLGLAAREAERSSGSPLPRAAQHGSGSRPQAAALGRD GSFFYKKIGDHGSGGQALDAITPAPQVRTVRINGSRSQTRSPPSSSPATQTQNGDSQT KQSSEPHDHERSGGRRSDITAEDEVAPLGSTTVTKSRRGEEHGLPSTMRVKRTGKMTG TFLNGPVRRGMIRRQSEEEDVHPQNNDGFSHGSPGRSQDAEEMDQGTIGESRSRSPAH RNVDINTQAKHHNASPPHLHVLFAPSATIVPIQERAELKHEKLHSPELASHQVPNPIS YGSNQSSRSSSVRAQHIFKVPRPPQMPSTHDQENEPPPTFKRSKPASSVLGEVNKVAV FGERNHNNATPATSSPQRKPLAPRSQNTPHRVAPPPPKMSVLEAATSNAGSTRSKKKV AWSVNGKLFTRLDCIGRGGSSRVYRVMAENYKMFALKRVNLEEADAASIIGYKGEIDL LRRLENVERVVRLFDYEINDEKGELKVLMEMGESDFNRMLNLQIKSENAKFDPSFTRH YWREMLECVQAVHDYGIVHSDLKPANFLVVQGRLKIIDFGIANAIQDNTVNVHRENQI GTPNYMSPEALVDCNAVNGRRDPKGQLLKIGKPSDVWSLGCILYQMVYGAPPFAHIAN AHQRVMSIPNPKVAINFSATGVGGVPVPSGLLKILKRCLERDQSLRPTIPEMLSTSDP FLNPRELMADQVVIDQPMLGQVLQKVVHYCRANPRNLPADGTLNEWAGTFLERIGRLD EGG EPUS_07783 MRARRKGLLRTIRAPLMSRGKPMRSAQKGYVYIVSRDADENCFK IGFSKHHPMKRVSRHRRCHDGAKLIAFTSAIQHAPRVEQLVHWDLSTNRRWEKCSRCK CEHREWFDVSKDMAIEAVSRWAGWICSQPYERQGGGLKAFWSSHLRSGFDPDSLSGHY WGWHTESDWAETLVEAKAYRMSLEHVESVKIHRYDETGGEIENAVVTDSILALFN EPUS_07784 MDYLLPAATYQSPSRPVRGTILNTIIEEKHEGSLGHSSNESGTD TDEGTVVYNPINLHAKLATSISTGKDAAIPPLPLSDISSLASQEYGRQPTPKSFDELY DVSDNETEFSDSSSSLKDSVSSRPTSFATESTRNSIASSRSGSKHRYPTIMIPPSNIW PSLTGPGKGSPAVPPTPPSKIPVSPAALSLLPRFVPAINAPPSLDGSSMTSEQVSCIS APVTPDMQTLKEGVNWDAPHAVRLRRDVDLARDDTESESMSANGEIVIEQPIDWDEIG DFPRIPGATPQEMSPVMPDLHGLGVLRSGSPSDRGVLLPSDALRTLQCLAPEGSSDVY SESSSDDQREMREYADPVSRPRSMDGATPASNLSDYSFSQLSIPSPGGFFSSLKTGIR QTWFPGTSKQLNPPSSTTAENFYNVPWQPPQNIVEQVVEIEEANTEGPPTARQHFMET PSTARLVTKDNPCSPATAAEQSESIPNSVKTVKENLRSPQLRYEYEEAYESELLHGAA AHLDRTSTWLAAQISYLSALCETNPANNVSGSACSKLEHMHEMNDSADSPIRKVVRFL EATTPTRDDVAAQIGQHEEHAYYHAFQHLTRHSKRRDGFIHATPRFDAVQANRTALMS EHIDRLRGRYEVREPTRPKYCGPFSQNPRATGVLDYTPAQMAFLMVEREKGVLDQTSP AYWTTEALKYLNGGNLLLSNAARRLAQAELPVDGRKGVGKRGTRVLDLGGKPSCDWAW HCARQFPNVKTYTVVTESAATNLNITGPANHRLVITPQLWKLPFGDNHFDLISARSLH AMLKHEPVPGQSHIDEYDLCLRECYRVLKPGGYLEFMLMDADIAHAGPLANAMSVEFR FKLKTRGYDPLPTKSFLGRLRKTKFVDVKRAWMFLPAGAPTPNPLAPRETPLPIPPSV GGAEAVHGAVGSTADVANVTGLLGAWMWEQWMLKLQTEMGTEKEKLLKGVAGVIEESK NCEAGWRCLSGWARKPKQKTKQKAKVGKRARAPTTETIHIRIEE EPUS_07785 MSPPAIIAPSILSADFAALGAACSDLMEYNAHWIHIDVMDGHFV PNITFGAPVVTKIRSHVERPSQKGGKGTFDCHMMIAELMHSPWWLALTASMIGDTNIA QPMKWVKDFKDAGCDLYCFHYEAAISSVAAREPADTKTTRKTSPREVIRYIHECGLQA GIAIKPETPVDVLWEILESEDESERPDMVLVMTVHPGFGGQKFMASELPKVKALREKY PDLNIEVDGGLSEGTIDQAADAGANVIVAGSAVFGAKDPAEVISKLREAVEKRRTGGK L EPUS_07786 MADITPKSYSADSTLYLYTSLTAGSSHIITATSRLETILKANKI PFRALDVATDEKARMLWGRRSKGKKLPGLVKYGSIVGDLEEIEEWNEYGELRQQIGST PVAAPTPTASATNTPSKASASPIPVSELALKTPSDMPSPSPLSKETSEQFDSSPMSAS RKGETPLTMAMRQAGAEAAKRAGEKKGSKSTAPISARDLEATEMPMPAIETKMARSVE EPGISANTAAAKIPEKLAEEGAKRTSVDKIAEEDAKRTNTDQVTNSDGKNEQKDVDLS KEATMTDASRAGSMPKVKSPLSEETAATSSTNLDAAGLGPGPRTHRGSSVSLAPKEQI EEIEKRNTILEETGDTDESRTKVAAQDRETSFTSMAGEGKRLEQSASATVADLKSTDE GEAAAMRGIQPQEQDAKDPEGAGASVGD EPUS_07787 MSRDNESWEVLAIGGSGYVYKYKGLAYKLNCKQREFNMMQKAGD CAVRAVARVVTVENGWPVMKSLLMELLAPLDIKSVKEHEKVAIKDDMIQLISRLHKKY QMVHGDIKPLNMLRCSDGKLRLCDFDSARPIDEAPGAWDALCTHQYLAPNRDFFQTDA PPTPSDDIYALGLSIWELYTGKQALIEELDDIEEVLKERRTVDLTEVEDQDVRELIRG FLRQGGALV EPUS_07788 MAEREHGARLHRDAPTPHALGPEVAVRQVAMRHIKPDTTPPTEL QEQHDDEFRDQQEDLLERLSNHYAPYEGYDLLIKTFEKTINGSVKATFQDGTRLAEVR EEAVRPKVGLTFLPGADTPQVNQMEEIQMTQITPDQKDASWRVNTAGALLVNFSVRQD MTISFSDNTGAVVNAMRRRQSGADGPLVEPNMRSKALAIRRAADRFVTIDSANVKIVN DLNSESWDLEGLLVEVDQSSLMSLISNYQRVIRRGGPDLPTTETIQLLDVMIEDARRL CVRSPILFRMSTRRQIVSSKNTRYGVDDGNMFKTLTTSAGIKNIISLSSSYFNSLSQP ATPVQQEKMQQEIRPRFLQMLVLLLALLNNDDLALLEEFLEETYGIRASRQHLELLIL KVLPLSSINSKIFLNALVSAVDLPEVKPSPNRSDQPIVWNVLVASLLQQGYILPGTID QLGGFDQSWIVKWPKDGFKDAGVFKNLQYSGDSRTVNEIPPMNLNPDPVSSEVTVILA NGGSYIISHVDLENIMRMTPGRYSVTEAREGGRSFDLDISDVCVNQPLFRAIGEINAI LGLVKSILPVRPKNEIVRRFDLLLRSGSLRTNGRRYEDSSLPELKCCGRLNYNVARLN GHYLALACKVDGRKITKRELLRHLHSDMNKMEATTRAIEATMQTAFEGKHTSDHVRQT IFRLKEGLAQIGRMSEDVDRRKSAMSVYDSALHNEPVSKVLYQVGTNETQVSVWSDYK AWHQASENVLYIESHRRIVQQVAWQGDTLEVFFEGLPMRYYAAPLRPLEEHEKWEELN KNDVVLLCGHYMLVVEMTRETYYPSMNADGLDPRHRPRQGQDQSRPVSLGMVINWLKV TGIEAIPGTRRHQNRVRVGPIVRTAIR EPUS_07789 MPDPTLIVVWVFTWIAVTVMCLRLLLVRINKKSFCAGDYLTMGA IFCAIARLSLIHVVLIWGSNNVSPKFRATHHFTQQEIYQREIGGKLTIVNRLFYNSYL WLQKLVLLDFYRHLIYHLRWERITMVSFLAIFAASYVVVQVVTFSECQPLYLYWRVLP DPGKCSQAQVQLITLGVLNIITDIMLIVLPIPVLVRLRRSFFQKIQLYFLFSLGIFIV AITIVRLPQNANHATAQVNRTTWASAELLTASFVANAPALYTLCKRKEGSNPPHPPPP PVPPKGSNYNTSEATSAGSGVSSGQYELQNGPTFKARGYSEVERGRTDSDRDSHSGIL VTSNIDTEYSPRDRLR EPUS_07790 MTRSWRLVVLIAATISALFEAAPAGQTSARRQIDMNTTSKETLV SREYFYVGGRYVDDGKGKDQHIFSDQMYVEKLSPDTSCPKPNPLVFIHGQGQTGTNWL NKPDGSPGWASFFTSKGYTVYILDQTSRGRSPWKPGNGNLSTFPAEIIQQRFTAPEKY ELWPQAALHTQWPGEGIMGDPYFDAYYASNVQFLASAAEQQSGVQAAGASLLDRIGKP VIFLSHSQGGLMPWLIADARPALTKAIVSLEPSGPPFQDAVFGTGSARAYGLTDIPLT YDPPVISPEEDIVKQTISASNKATTPCTIQADDPAPRQLVNLKNIPVLLLTAEASYHA PYDWCTVLYLQQAGVNVTHLELGNAGIQGNGHLFFLEANSDEVASVIEQWISGIGTGC GEASSLQHGPKPQLQSRHPFAIQVLREILDLAMVDQLLNTLRSSKRVFWEDNNGRPEN EIQELWNHHVAYLTAELGANVTGIGQSSIPHKRAVPSTNVFGGPRPSKRRDLNVEVPS TLQRQSSAPASTAMRRRRSSKTNSGPGPTVPRPKQPSSRPINIPAPKYPDPHPAFQAS YPAYTSHSLPVHPHIQPSYRPSLGDFQDLSPSDYLSQSPEEYPMPGLSVTPSPTITRG ECRPQDVSRLSVSTAPAYAWDHPSLSKSVSDSGLTSTSTAASEPMSRITTNEMLIEPL QMCRVSSQVSGCDKSETPAIMNDYGQFDLDSLFPVSFDSGVLNSLSDVSFASFSPSHS LPHSSIPSSVEMLHSPSQESNASSSSSDSSQSRHLRRVQEQNVQSKRRLAPKTQPQKN NTAMPPATIVEVVAEDGTMQKKAQIARSNRQPKETTKVFCPICNEHKDGFHGEHELRR HIDRTHKGCRKVFVCKDISPDRTFLANCKHCRNMKTYGANYNAAAHLRRVHFNPCETP KGGRGKVSQNRGGIGGGNQPPMEVLKDWMFETWETNLNCLILDDPSVMNTAYAASNQS SSSDSGLAQSNDTVQISDHDLDFVQQTTQLDMSFLRYPFNTFFTPSQDELPYFDTDFA T EPUS_09198 MDSSYDSSYEKARIPLLKGTEDYFSWSRVMKARLNRLKAWSPIV SDPPVNRGRTKAPITLARFREQFDQLDLDLDTNGWNQRQWDAAYEDYKEEIREFNEWQ DKEKMALSEIIERLSPTVLTRMNRYSTPKTLWEALKQAYAAPLITEQLQALQNLLSL EPUS_09199 MRLLRYNAHPTLDKSPAFAKRIEDSVILLKQLIEEGKIIYGVNT GFGGSAGTRSKKSEALQSALLQHHQFGILTPLDQGLAAAHSGLESSHAMPQPWVKGTM LVRCNTVARGHSSVSLHIIETMVALLQNDLIPVVPLRGSISASGDLSPLSYVAGTVAG SPDIYVQSATGVISAERALAQLNLPPVTLGPKEGLGLMNGTATSAAVGSIVLYEAHQI TVLSQLLTAMTLEALLGTVASFDSFFAEVRPHRGQMESARIIRHFLNGSRLARGHHDD ARQSAGLIYQDRYALRTASQWVGPQMEDLLLANEQVTVELNSTTDNPLIDLADQKPHH GGNFQAVSITSAMEKTRLALQMVGKMLFAQCSELINPTLNNGLPPNLAIDDPSLSFTM KGVDIGMASYMSELAFLANPVSSHVQSAEMHNQAINSLALLSARYTSHAVELTSFMSA SYLYTVCQALDVRVVQINFFQALEPALYTVNQRIFLPLLSDADFDELNTSVWDHVQST WHQTADQDYQDRFTHVIDATLAVVTRILFFSTRDTGACISPAVQKAVANWRSSAHSVL SETHTTIRTRFFQQQNTPEFLSHATRKMYLYVRQTLGVPIHQGLIDHPTPTADCAASG STKKTIGSWISIIYEALRSGKLHEPLMECLIETGVVVSSAS EPUS_09200 MLSFFVYAAYGSMILTLLVYKYFHVPKGLPNVPTVPVYVTLAGL WSGLGQDEIYDRWLRKPLETHGAVKIWVQGGWRILITRPDLLTSIFRDEDLYAKSGHM KRAPWTVISALLGDNMLAAHGDKWRLFASIMKPGLQKTRHHSGLLLEKSREFIDLLIR TQADGPDGGILVDPLIQRFTLAAMGESLLDLDLGTLDRAGLRIDELAAMIIRTVFKPL YFHFPDLDRFPWLFRSRKRAFAIMKELDDLLCHLVRENPRKLELKKPLDSQDELVIHS LERALDEKLISDHQFRSNLKLMFVTGYENTQQLLNSLFWKLGTDQSVQDKVRTEVYDS NIIDPTEESFSALPYLTAVVAELLRCYPPISQLVNRRTQKPCRLGDIGDLPQGTIVGY NAYGVHTDEHVWGPTARDFIPERWGHSSDEILARMRKETAKGTFIPFSSHNRKCPGLG FAVLETKIVLFELVRRVKWHVAPDYKIKWTRGGFLMPLELRIFVSELPVRDAKAEEN EPUS_03265 MVIGETNYGLVRKATGVAAFERIPIPTVPDDYLLIRVVAVAVNP TDWTTLDAPGDNGTLVGCDWAGLVEEIGPKVEKPFRRGDRVAGFAHGGNDARPQTGAF AKFIIVKGDIVIRVPDNVSWEAAASVPCAVGTMGLGLFKFLSIPFPGLEAVQISDAGQ DHKTVLIYGGSTATGTIAIQFAKMAGYTVITTASPKHFELVKGRGADLVFDYHSPTVG ADIRKATDDKLMKVLDTVSKESSAKISAEALSSKGGTYVNLLGEYDAPRSDVESIFFL VYGITGEEYIFEGKRWEAQPTYLEFAKRFFPLLEKLWGEGKWMEHPREVRPGGLLGVL DGMKDMKEGKAELSAIDFSSSPLQPPPVNHGRVVIIEDIHPIVLETLATALEIDPLFF ADDLCTQYNDIEDSPAPPSSALPPSLALSVIGGAGNDFPWTLRTVGNVERSVRRLTEL PGRQLGILRGCCSIWKRTFDKYWLAVILVDSTNSHLADVRSIHSRPGFERRPLHGGFE RFERPVTFSAFQSFQEQHQGSSGYFLHNLVPYLAANPPADPTLLSLAYYPLRAIIGEW MLYTQTLARFLEYYEVSLQRLDVKDAGKYEIIDLQKWRHRAIQSNAKLEATRRFVKQN VPSEKDQELWKLVSGDLDWIVGSIRSYATALEGAMLLIPSVVQLANARQSMAEAADMR RLTWVAVVFIPLSWVAGLFSMAEEFKPGQQFFWVYFAVALPLSCILVAGSFVLSVRQG SRLISRI EPUS_03266 MAVLHDTNTRPDADAYSNPNKEVSESPEEDFDKPSEVEKRIRRK VDLRLCTIAGLLCSLNLLDSGIISSAAVTSMPQDLGLTGDRFSVSIFIFTVSSIIFQL PSTILVRIVGPRPFFATATVCFGLITFCTAFIRTWRQMIALRVLLGIAMSGIYPGLTY LISTWYTRSEQQLRFAFLQCGQVLVLATGSIVNFGLNRLDGHTKFRGWQWMFMVQGAI TIFFGILTYFWIVDFPEQSLNSLFFLTPEEASQAVLRIQRDRKDAEAPEPLRFRSILV HFLDPKIYAFCALFFSMNIVSTALSYFLPTILQSGMGFSTDKAILLSAPPYYYSVIPV LFSSLVGDRYRLRGPIIIFNSLCLIVGFSMLGFPSQVTVRYVGTYLATGAYISNWAAL NAYQANNITGQWKRATVAAAVAACNGLGCIAGSYIVRSTEAPSYITAIWVSIGSHILI ILIVSTCTIIFWRANEKQKRFGKIIEGVVGFRYTY EPUS_03267 MHPFISRILSNVLYYSPTSRIHSWLLYFGIQTALPDSTQEFAPL RNICEYLNALHIYADEAKRGVVRHVEANHFCSHLSKDVRQCLLYDSAAKNARLIGVEY MVTKEIYETLDPEEQKLWHSHEFEVKSGMLVLPMPASHASGPSEWEKLETEAMRQVIG LYGKTWHFWQIDRGDTLPLGYPRLMGSLTEPGQLNLEEVLKERNERHGVDMERKRRLR EGIQEPGVSGNADSWWKGIGPPSQDSWS EPUS_03268 MSRSPQDTPLTPATYDNDATSLTLAHDQSSDSEEERLAEKSRTT LELNEYDASLLRDEDERETLLIEKRPLHGIKNVLKNPSGDAGLSLSSREAKSERRKQR RGSTTGRKGDRAEEGKLMFQMEEGFKDISSRSSSSDSLHLDQELRGRLKKQPGLFTWR RHLSVSLVIATLFLVLAFGAFKASSQFRHAQSVPKLSNGTHLFAPTTILVSLDGFRAD FLNRGLTPALNSFIASGVSPRYMLPSFPSVTFPNHFTLVTGLYPESHGVVGNSFWDPE LSEEFFYTDPLRSLQPKWWTAEPLWVTAENQGVRTAVHMWPGSEAHIPPLEPTYLDKF NKNEALTTKIERILGLLDLPGDFDNSEGVSPDRRPQLIAAYVPNVDSDGHKYGPNSTE IRVTISDVDSMLAILMEGLYDRNLTEIVNVVVLSDHGMATTSNTRLVQLDDLIDLSLV DHIDGWPLRGLRLTNPSRDVPILYEKLLKESESSQGFEVYTLDTMPERYHFANNNRIA PLWIMPKTGWAIVERKEFDVTEAQAAGTIYHPMGIHGYDHEHPLMRAIFVARGPAFPH APNSRLPVFQNIEVYNIICDSLGIKPHANNGTLRLPLSTVGLHDDSDAPELETPHDPP QEAPPFNGIEPGHMERPNQGPALRPGAENDTTETNEAEEKGDAEMTWWDIMHDRIEKA KEWAKKIIEKLKGNSEDG EPUS_03269 MDPFTAATGIAGLIAVAVKTIQMLGEFTGLITEHKKHAESLHKE LSLMTQVLDQLKSLIHKQKHSGRLRSADNADHKTILGKAVLDCTKIIEQIQEKLREPV HRFKRAMAKLHWPFEQKDIKAMVDDLHRYTELFQLSLVVENCELLSKTSDAAYEGLNL QRDNCKQVEKLSAGLPHMATVANDAKNTLQQTEALLKLLPTLLQGASSDTKEARKGVE QREHERRMTEVLDWLCPSAALQKHDDIKARRAPGTGAWFLNMQEIVSWLSYDSDSYEV LCLGDPGVGKTNLASLVVDKLLDLRKHKDIAVVYLYCDYREQQAQTPVNCARNMLRQL SMQYHVLPPVVSEFYRRTHNETQDQSWYVELQEILCKVASKFAKCFFVVDALDEAEAS SHLRGLLELLDVLRRSITGGTPKIFATSRKHGSPIQVSFQEATRMTVSANKEDLRAII EKAIADHQDPNRILDERLKEDIMVTLSTTAHGMCLLPVLQIRDILAQLTKSEVRRALR ERSTNLPEVFKATIERILSLPADSRRGMTQRNVAARTLMWISHAKRVLTVTELQHVLA VRLHDSDLDRENFIHPQAVIDCCFGLVEIDQESLSIRFVHYSLEEYLRSHDHGLFKNG DEEVTKVCLKYLSLDSVKGLHIQNRKKFLESLGDLAFLDYAATEWGFHATNVAPQDVK DVALPFLRSSPHLMSAARVRDHRSPYFRKWHQRMSVWAYSESDGAGISTCASFGLTDF VRFLICENRQPMLKARNMYGSTPLHEAALGGYESTAKLLLEYGADVLDLNIGKSTPMY LAVGNGQVSMARLLLQQQSSVQLDIRARDGWTALHKAVDIGNEEMVTLLLRSGAMVDS EDEKRMRPLHLAARKGYLPRDFTSGHLEVARMLLDNGARVEHRGMDKWTVLHRAARGG HENLVALLLERGADVLAEDHKGEIPLHAAARSGSIRAVELLLNDKSGLKKEQLCKKER KGSTPRDVAFFTSHFGVHKLLRRAEVQNQEHPLTICDKIAAAIESGKMEKLRRLLAER SCEIDALIDGRQPALHLAIQEEQPDMVNLLLSHGADINSTGYHNWTPLHIAASIGHLA LTQLCLARGANVAALTDTAQTPLHKACSSRNILVVKALLEAGADKTAKNQRGMGAIHV AAHQKNLDVVRLLVQDYGVSVWVVDNFGETAADWAARSGHLDLLQFLRSEEKKARQLQ AASPIASAGGGISSLPAVFSAHHV EPUS_03270 MHNQVPRSKAPPTSTILADSQEKEEHKKRNTKRGSLARSWLNAD VEDSIFLDLELLVLTFSIGMQDVATFLDYRCFASNQTGNSVLLAAGIIGLGDELFEVG NVGTSLAAFVAGGFCAGQTGNFLLDGGVLRKRWWLVFSSVVQTAMVFGAWALQYRQPI HADGVAMTVIALLAFSSGAQVAMARGLRVTEITTAMATAAWVDIIVDPELWTRKNRGR NRRMAFLISLISGAFVGAWAYRSMGSAFVLLVSAIGKIIVTAALLINDVLVITCEDDL DSEACGEEV EPUS_03271 MVLQGCKVADSMGKVVDSMRRRKPYFEVPMVDLWEEEQASERLD GIYGSDLRKAMTTGVGHLANAFPDLREDRKAKLIGPNLEGVGWYPTVNEDSRAAGRPS KYCRRCVRSVQGHRGGHDKWALWCFRGIG EPUS_03272 MAAKPYPRIGVAALIISPSDEILMGKRKGAHGGGTLAPPGGHLE HGESLEECAARETLEETDLVVSGMRFLTATNDIFSERDKHYVTLYVACELENPYAEPK IMEPDKCEGWEWVKWHQVAAWADAMASDGDNSNQIGGGENRAKTLFLPLLNLFRQRPG FDPVASFRRV EPUS_03273 MPRRWLTNADAHGPRHGMMEKSATTSPNTASLVNNQIPTVRNPP HIEKPQRAILRSPRGICSSAHPPSPSELSINECCAPRAPLRSHTDKNMGISKSNRIII LLVIDSAFFLLELVVGYAVHSLALVADSFHMLNDVLSLCVGLWAVKVANNKSSSAMYT YGWQRAETLGALVNGVFLVALCMSIFLEAIQRFVQPQVVSNPKLVMIVGILGLASNIL GLLLFHEHGHGHSHGGKEHDHNSHDSLRNAEEGFGHSHRDQSQSRVGDEAGNIADVLP EAVVGVWKPRSHSNAHKFSSSDEDNTTAAPTRAATFNPTEIRGHRRRTSGSFGRGFGS VGHILSHPASFRQEIIAASRLEERPDSEPEEEAITDDSGPSSPNERSTLLKYANGSSR KQSCSRVAGSGGHKSNKNKDHRHDSWHADHHHAQPPAEGGGHGHSHGDLNMRGVFLHV MGDALGNIGVIASALFIWLTSYSWRYYVDPAISLLITIIILASAIPLCKAASRILLQA VPIHLSVDEIKADIEELPGIVSCHHLHVWQLSDTKIVASLHIQVDCEVEGTGSASYMH LARQVRRCLHGFGIHSSTIQPEFCLQNGEAERTPTSGSTARGDGSGFSPKAGPGGKTS KAGSVTSDPSACLLDCGEECPGKDQCCPLPIAKK EPUS_03274 MAILTSEHQHNTHPLLVKIMKLLSVPPDPDLYQRLSDDRVGLHK LIRLEEEFGEDDAGKAALWPNLKEVKLHKNHLTLLARGLRQEQLTLEYRLLHAMIAEG SKEYHSNEWSRSENLENQVRSTHTTVLPVNGRSSRNWRVDEAFSVGPKSTIDELQTIP SNFIREARACSWQQSRRLRGILMRLVGHRDEVLAWETAEVAHDILAPRAALEFPRTLE NQFTPPSIEELTTDSHIDTSTKSIEDNSKMAKEVKARSNVIQGLDKGHPTTALERKLR ISRTKGHLSKRTAFVREIVKEVAGLAPYERRVIELLRNGKDKRARKLAKKRLGTFGRA KRKVDELQGVIAESRRTGGH EPUS_03275 MTSHVVVIDSTARRAVVKVTATKHLSDVLEEACSKLGTSASQYG LKHNNKIVDLSRTFRLSGLSSGAKLELVQLSRSASVVSVALQLPEPEGNTRLTDKFPS TTTLWLVLRKFEAGVAGDASTKRNLTARGAPVTDDGSSGAGRLYYQTPVLQVMSRELS SFTDLQKTLAQLGFNSGSMLIRLNFKTSETPLEEAMVQIAEYFKSVNEGEDNKEEGQD RSAPEAPSEARDLNPASNEADVPASEALTETSPSETTPATSDLSTSVPSAQGAQPTST GRPVQIFSPPTSNTPSAALATYNPADYVPSIEHAKAHQRHLQEKSRNARLPSEAELAE QETAEAEKLKALKDVEIKIRFPDQSSAVSTFGQDDTGAGLYTFVRDDCLDERWKGEKF LLSYSANKGWVFVPDDPNKRLIRHLGLKGRVLVNFKWDENGGASMAALATKDVLKGER KKEAQVLKAPEIPATAVEGDEEGVKVDLGKKEDEGGEKKKKGMPKWLKLPGKK EPUS_03276 MVGKGCVAIACDLRLGLQSLTVSNNFPKIFQYAPSCYLGLTGLA TDVSTVSDLFRYKVNMYRLREERNISPQTLANLVSSSLYERRFGPYFVSPVLAGINHT TGKPFICGFDSIGCIDFAKDFIVGGTASDQLFGTCEGLWEPDLEPDELFETVSQALLN AVDRDALSGWGAHVYIIEKDKVTKRLLKGRQD EPUS_03277 MRGATILKASDDKTRALNKHTLMAFSGEAGDTVQFAEYIQANVA LYSMRNETDLSPAAVSSFVRGELAKALRSRKPYNVNLLLGGWSELDKKPSLYWIDYLA SAAKVPYAAHGYAQYYCLSILDKHHHPDITFEQGMKILRMCTDELQRRLPIDFKGMTV KVIKKDGIQEIEYDTSKQVLSA EPUS_03278 MSSFFTLPASQRKRKRTDDRPSKPAKRRSVQAEYSESRQARKSG KTPQKEDRDESISGSDSGEDDVQAESASESEATSEEDETAAERRARLAERYLENIRQE VDETGFDAEQIDKDRIAQRLREDVDEVKGRQYRLIASKLDFPAATHCLFHADTESTTA VAVRAPYIYTASKDKNLIKWQLASPKSVAPQSGLSKRPPPPPRKRPKKLRYIRGVKIN ADLPQQHGHTTPILSLAISPCGTYVATGSSTDRRLIIWLASTLAPLKTFTTHRDSVLS LAFAPQSSQPGVGAQLFSASADRTIKTYSLNGPDSLAYVETLFGHQDHVTSIAAMSLD QCVSVGARDRTARLWKVVDEMQSVYRADSSKHAEHVTGSVDCVAALPPAHFVTGSDSG AIQLWSVHRKKPVFVVEKAHGVEEPEPLEKASSEIGEEVLERLRKADTRRPIARGITA LATVFGTDVVVSGSWDGCVRVWKVSDDKRALLPFGVVGTPERIVNGDIGSSKHEKDHE GPVRGVINSLAAFERRKETQNEFGGKKEGDTIGLCIVAGTGKEMRLGRWRKFPSGKNG AVVLEVPLQMRVNGVNGEHAE EPUS_03279 MWLRDFLPRDIPNVRVQTYGYSSALANSNSTAGLLDYAKLFLQA LQESRRHSEAKVSPRARPVTPVSDLGQRRPLILIGHSLGCLIIKQALVWIATFEECKE LRPCIYSIVLFGAPHRGLNTTALETLVTGSVSERLIRDLKSDSVVLANLNAEFASASK KVRIITCSELRTTPTARKDPEGRWKRDGPEVMMVDRVSACLYVDQEERIEINENHSMI AKLSDQPSSAYHVLRNKLKDHVTRAPNVVMSRFFQLDCIEMLKTIAKAAMHVLEKLSS LNWDDEIVEPEHEISVNNVVRLLASQTSFYTAFQSFMKDERLSQILDSTGSSSNLSRR VFLQVQKLQQLFSIYHSYSEMSPESLLEDEIQGRILDPNSSSTYSTLSKDGIKNLIQS STACIGKIQIVLSFALLGTDSLSTMKEFKENTMARRTGIAGLAERQACIVQSIDHAME LRPALQGCLTEETNRLDLRLMLFQDENTSLSETVIVEYRTYATADEAQISGVSQGKAT KSELIARRQINELATLLQQRCFSEDDPAETQDSFRSGPTTFQCIGYLEEPANRRTAFL FRIPTGRKASDVQTLHSFIESATSSNPPVNLPLEQRLSLAQKVCLALLKLHSWGWVHK NFSSQNIALMPSSTGTMIPYVMGFEYSRSMDDVSLNSSTRSVRKDIYRHPDRQGFPTK TFTKEHDLYALGLVLYEIGVFRTVTFRFRKQLDKYRVEGVFPDRQQVKSMLVEMARRD LPKAVGTPYMKAVLKCLTGDFGVTLDDKNQTRLGLAFQEQVLAVIEDGVRPA EPUS_03280 MTGLFAYQGTDGWQPPEVAIEDAIQKDAFAPHLLPKSDSFVFGL LALSIFLKDGDCLFALPPQNFPLEASRLIDSNTDASLDRTMKQMLKKLCYTLLAHSPQ GRANVNFQLLQCESVSFADWFCVKSSRVKRTNPGKKVIDGFYNYWSKLELAILSQLER QYDELLANANNPMYSGETLLGMAIAHSHKPGPGYGDKVVKFVRAAAELGHVPAQGIIK RLQDAHGQVSSKHENRDELRNWLFNAASTGSYIALQDLRAEDKGLFEAAKNSFRKNGG YNREMAETARTKLMLLRENFQKYCDSHDIRLAVDLQGNTILHTVAVYGQVELIRLLVA KGAAVDARNENGETPLYQACLAGNSASAEALVSLSADAAITSHPHNISCMHWLFHFNT SVMDRMLELLKSNGANVRALTSPILEGNRQWKSWAHFPFHWPQGSPLHWASFTGSFEA IKALLKAGAHIDDLNAEDVTNAQTALSMAMHRADPTMVRFLLSKGADATFLDRRGCSP AHMLSLNVSVTQHMFHMSKALHWWVYHGTLENHLAQVKESANALKSAGNDLQSETHRL AVRSRVSPLIDAVRSGDGGVVLGLLAAGVSPSCVDEVGRNPLHSWITSCEKTRLAYHT TYERVCENLLGGIADVNARDDFGQAIVHSAILSSDFPYLMELFKSSKRPVDINAADDT GETALLGSLRLMWVDVDGKSLAGRYSILLQQHGANLDARDHNGCDFIWNVCNNNALFD DECLSLIKRRLHGLSHQEQRLLVLKSVNKRRGITAVHAAILNQYISAVKFFIDLGLDI NQTCNGWKALDRALVAGQTMRFAHLKGWLIHEKLVLRDRLEPRRTVDSLFEETHSESD MGTYVINGINFNAREKYFAASDLIKMLIAAGGKSDRHFIATVGHQCGPREYDADLLES IEASALQGITADKQPFYDRWAILYELMDY EPUS_03281 MPDYVVPVKGSKRATLDELWQQILILEEQHSTRSMTRRVGKSLQ PLILFVERFTPAIDVAVQGTINPAALAWGAMRALLIVSPEDHQSTIESYQYFIFRLDR IGQEQKNETLIISSSVSQTDNRQVRSGVLEWLSTIDVWQDLDREASKQLKPSGHWFLS GQEYQAWARPQASRLWVYGAAGSGKTGLSTNIIKHVQFSLGSNDGLAFFHCDRGNDKK SSCLAVLSSLLAQLCSQQDEIPPSILRAFNAAKRFGRCRISPADAPLELLQRTIAHFD HVYIVIDGIDESKETNNILDQTCNLVTGGNRSSVLILSRDMPCIRSRMSCFPSVELNA ASTKADLDEYILAATAELHQGSLVSKTAANISTCLRANAHGVFLWAHLMISKLQEAIT PSEISSILQSPPIGLEAVFQETLQRLHKQSLSRQSLARKTFLWALCSYRPLTWAELQC ALAVDSHTETFEASKKPFLSAIVELCSPILQYQGKTSSLRPVHASVYDYFTNPRSCGT LDAPDFSISKPASHRQIALECLTTLSIAWSSEDAGGESPLSPLVEYACLNWPDHLLSS PCDAEVMDRLIMFLSSKYRRRWITNSLFCQADAFILQNLFLMQKRILEWMPHNTQSGI PDYLDWAFDVPEILLSGVEERSQQLETGIGQTSIPKPKFGVSNFERLMVVRDLSRQFT QTGKLERAIDFFESVLAEHKKARDELEHEMLWVLNTLGILYDQQGLIELSAQYQELAL SLEIVALGPDHVETIWTKNELGRVYRHQGRYEEAERMHLNVLNILTSASADPDRDLEI AWTLSTLGRVHRKQGRFNHAITELTKAYHIRTECLGGNHPHCLWILGDIGQCHYESGQ LDPAIEFHRQALTGRNKVLGTDHADTCWTMNNLGIALDAKGPEFREEARAMQEKALRG QESFLGRDHPHTLWTKDILEHWD EPUS_03282 MGAITSTSAMRSLPGPVAGTASLLQTFQAHVEDMRNLVLCKICI KPLYEPYTLGCGHTYCYSCLTSWFGGAPNRRKRKNCPDCRAAVAIQPSPNYLLRDLVH MFISRVELLPEDETTAEHDEAKRIEAQLIETDRTTRTDGEPGLFKGAFSKARVQLYGP IHDEEDGVLRCPRCAWEMEDGECGQCGYADTGFSDGEDYDTMGTPSVVSIESSTTDPD IDGSYYYNHILDGQPTQVRDDFSDWVRRDQAARRARRAARENRLGRQDRATTVDTETV EAELRHRYQDHPGWHLDHEDDAEMAAFVERHPYDEQRWNTDTAETVDEDEEMSSAVED EDDESTTSFHRAAILARDQGMYPPFESDLSTNGEMETVTNGSNSSDESTESDDGSDTT EPTPVVQRLANRPARVVIDSDDESSSDSSSEEEEDDEEEEEETSGASNEEEEEETEES SDDDSTPSPPRPAAVRRARVEMHRGRRGIRGGGRGGSRGRPRAGN EPUS_03283 MASADILTISPSITDTLHLDNPSPTIPESSTSSKRKYSSDSPLI DMSNMAPPVKVAFNPADHLSFKPPSKVFTMKDLGLPDDTGVSAVAVSEPFQLFTPEAI QRMRAEVLSTRVWENCQYSSNLSQCQLRGFAPDYAPFVYDAWKSPETLAIISEVAGVD LVPQMDFEIGHINISMQSEEQKHESIAAFAEKKSREADEGVAGCPWEDDNPIVDWHTD SYPFVCVLMLSECTNMIGGETALRTGTGEIMKVRGPQMGCAVVLQGRYIEHQALRAFG TTERITMVTSFRPKSAAIKDDTVLTTVRGVSNLPELYFQYSEYRLEMLEERIRKKLKE MQEQKRTHRGFDICAMKKFVKEQEEFLAKMDQQMLEESKVTKGFCDDSHLLSSDLKEK SRKKARTAVASETV EPUS_03284 MSTTTPEEKKEETTAERGPDAWQKVENQFTSKRNSEYFDPCQEF ASKSIRCLHRNGGDREMCTDYFQAYRDCKKEWALRAYVLAKQQDIGSTRRGRDEIVQL CNRSPAITDLDTIYQIQIALNDLGIEDDGGRRLWERAAAARPEDKDIAITWLDEAINR CDWQSAQKATMALRRSSPKERNYEFWSILMCYLLYQDQSVSEKEKNMFGALAYRLLAK AAEAVAQDKEELLSAGKAIKSPEELSLLVRVYLNTGHVREAKDLLMDSKTLGPQSAIF KLDHDLHRSLQLEVLRASEDWPAVLTELRSAVQEPSPENVQTSRMFDILLDAGEQKDN LNFLSEMRQILNDGLNVHSDSRDLKYGMIRLLQLQQAQDHCTADELLGACKQYFLSRC EQLSCFDELQSPLGVLEARYQQELIDYAGDHMKTNLELAAASIVPLLNVLKLEYCFLI TPNMTAQPARDFGCKVVGAYRDLCITKRDSGEPGAQLAMLASMALLRGSQAVQEGIVD CNLQNASHLQAAFLLHYCLKRSRDSYPTLVVLTRLSTLLGAISISATCFKKLSIKNLQ WENAGHLFLTRLSTIHPHRSKDMEGTFDPLQLLDLAMAANAKSVRSVRRLIMVGLNNK SYVNVMETIALRDDLKRSFSKQMSCIESARTKRLRDILDSEKESVLSGLFVDRRDFSF IPSFGHSSRKPFSHYLETGPRPKDGWLCVMKICGAIMDLLEEAYHEPQTIAPDNVQEL ASVIPNIPKLLKAFSAQLTNSEISNCNYQYHLGRALTLRLLYHQQQPPPAPESHKAEF DNAVESIQDWLNTTSEELKERPFNHSTVISMVGSPIAPTWEYLHSAFSTLESLQATTL FLAGQAKASKTKSKVKNPFTLPADQAKGIRELVEQVEKDMHHSARTMKGNLNASGVLG GMVDVVFGRSAENDGSTGGSHGQGQEEGKIGGGGGVTASFGKQLEKLPDAETVAEEFC GEVRQSWEDALDGVLSVRVKRYK EPUS_03285 MAFEIFGRSNADAPPSPACSSPSSDIDTPATGRSVLFEGPFDAS LDNLHNQYILVTGGLGFIGSHTSLELLKSGYNVIVIDDLSNSFQTVFDRVQLLAQRYH TTQGTKCPTIELHDIDYRNIHALCTLLDAHKTTSPWDATERSSIVGVIHFAAFKAVEE SIRQPLKYYSNNVSGLIDFTSTLGAYGIKNFIFSSSATVYGSIANKGVPLKEEHCVHH PVTFPGTDELVEQGCTGITXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXTATKGELRENFRTFNLGTGNGNSVTDVVEAMETVSQKPIPRKAVGRRAGDVGS CVAMAGRSQEELQWKTEKTLRDACEDIVNFLRVREEEQKLQQGQGVVVAEVSS EPUS_03286 MGAGISCFKGNAVSRRKIDSTDSEDDKFDPRIKEYNPYVCEIAT MGDAPKSTILLPLYVYPNPGAWTPLQHMIAAYPNVSFTVVINPFNGPGLDDLPDANYQ REIPRLTCHANVKVVGYVHTTWAKRDLALVFKDIDKYAQWPECSGLPDLKISGVFVDE TPNVYDAEAEAYLAKLWKHVKKMPAGDENVVIHNPGCVPDAAFLKLADSTVVFEDTYH TFQTRVSNAIFSATALSMVDRSKLACVIHSIPEHLNGEEWRRLGQQARKIAGDVFMTE LCEHYYANFAPKWVEFVDAMAA EPUS_03287 MFEIDSAQEKISPAARSSWWQRWSLRRKIIIGATLLLVIIALAV GLGVGLTIAGSEDEAPGDNGTAPPPVSPNGTIPAGIWKPVAGTTWNYEILRPVGEVSA DAEVWDIDLFNNNETVINSIQAAGRKVLCYFSAGSYEDWRPDKDEFQESDLGNDLVGW EGEKWLNTRSDNVRRIMQARLDMAAQKRCDGVEPDNVDAYDNDNGLGLSEADAQDYVT FLANEAHSRNLSLALKNAGNIVPAVIDIVEYSVQEQCIQYNNCNQFMPFIQANKPVFH VEYPKGDDINNNNLIAMDAEESICDSSAAAGFSTIIKNMDLDDWIQPCPTNQTYSGN EPUS_03288 MSTTAVVTSSATASPSGAAAPIANGTSSAAAAAAAAVGGNTKAE ILVIARDAASARNLASGFNGYGIPYSTLVVPQAGTQLPALNTSGVGNYGGILIHGQVA YDYGGTLGFQSALTADQWNQLYAYQTAYGTRMVHLDVYPGALFGTNAVGACCDNGVEQ LMSFTNTAAFAQAGLKTGAGISTQGLYHYGASIADAATTTQIAQFAANSQLTSTTTAA VINNFSGRQQMAFFIGWATEWSPTSNVLQHAYITWMTRGLYAGYRRVNFNTQIDDMFL ITDIYKPAGTTFRLRAADLTAHASWVDTINAKMNPGSFYVPEIGHNGNGNIEQAQTAN NGERICAPGPIYYDQLPATPLEFKKPLGSGTNLWPATPTAYSYSAQCVNLDPLKTWFS TTANRDKFAHLSHTYTHLSLNNVTYSDATKEIQFNQAWLSAVGLAAGAYFSPRGLIPP AITGLHNGDVLRAWKDSGLTNCVGDNTRPPLRNTQNVMYPYITTFAADGYDGFQVNPR WATRIYYNCDSADCTASEWVDTSSPRPNPGDFQALLAAEKADVTRYLFGLYHDGYMFH QANLRQTDVQPTTINGVTSRLSIFQAWVEVQVQEFVRLVNWPMVTLKHDDMSASFAAR LTRDSCGYRLTWLQSASQITGVQLTANGNSCASPIPVTFPNGNRPSSLPAGATTEQRG NDPYTVWARLTGAPVTMNLATPISL EPUS_03289 MAEVLKPKPVGWDGMHDHWWQWVLIGIILGSVCIGTIYIVYSVI ARSIDHFKNRPIPNIVPPQIASHLEGLAQSSPRLASTQPTSKKPSSFGVYLGSFSIPP TKAESRILSQWELLILDPLQVGAVDAAADAGGAQVLGRLDLSRILATDDDVKMCLDKI FNVLVRTFKGTAFGGVLFAEWEGRFIPPVLRQLLILVNSLGLRIYLETAAPDFLQDGT VLQNEAIAGLVIKNASIMPNGEKRDYFEMTNLQKTVKAFVSESCLRDFVVMAWETIDD QATLANSVVKRSVQWCSFYSAITWIGPKAALTDAALNVPVVEPLGAFEWLKDDKVMKL HDIWRGNPEVVAKPVSHESWRPLFPLFHGVPRALDSAEAGEADHEQLKLALHDPPEWT EQIGRRGNPLSVSASGHEYNSLGCFPLGFDASPIAFAEILQSQHRLKGLALLHPVAQQ KLQNIGTLYRRFHEKHLTSHGAHPPQIVAAVKELATLATNNFLKVHLGLDSGFRKNAE IRFWAVYQADSEGLEIYVSKNAQGLAGTILHTFLSSRGFPRHQCFHIEAALAEWSRDT VEPSGLPRRWVQDIEVLTPEERLLLLQHLSLSDAEDILLARLRAHLRVQLLDVPSMAQ LKELNTVGFLNGNVSVRDLIKSRIAWYREQGCQYPELSKALAMFLDVDTQFTHILKHR REDQLNQVTATLESIVVKGSIDAYADMLALAIFCAARKGAFDEVYMEVTDRNPLFNDQ SDQAAAFAESFALGSRCEAYFDVAPSIFGKLLSDRYRAYYDKKQPPSWVNGAPALATA YAGAQIDCNPDDTVKPLPGFQRFTFLSVFAIPALVDIILLTTTGRGLYLSAFMSFPEQ QSATQALMVSLLLSGAIGTWISCGGSYYLISMAFSATNVFVLTRLIAGLAFTIAGAII GFAAISGARGIHAGIIFALYLFALTAYLTLFAAVASFQFPGTAFLSGRKVIILCIPWL FVSPIITMFSGYDSIVYLSVIYVFIGLLLFGLRHIGSKWVTWFQRLRKTDDAEIKKWY ISNKANGNEKVFGNMSDPAALKMAREALHHDVLAECGRGRFQKATKDQIVLELARDWS STNFLLDWYCRYSDVPRPIPFSSSWNIQTKVALDTLCNAQKGIRLHSGFIHWRQAGDE VGCGILYFLVALLDKWIELVSGGNLLGLSAALNDEFRFAVGFGLAYYLIGAVLVDTKA SHLHALVNKVEPAGIKTAKEIRAHQKREVRQKRRLYWTTLGKFLGWHVWGLAFSTALI WAFQSSLEAMIMFGAYVIAYTGLLWYQYTKIFSGPHALKPLLISVGLALPLGVALKRV FPDFMYTSVAALAFGTWTCAILSMWTAQIGMPKRVDSPVELGRTFHAYTTPWADPDWS QQELQTTYDNLSSVPAEARYKLDPTMHPGIEVKALVRNFKDDQRLVDAFPDAAHLVNW SIEAWENGNVALELVPQSHLGPGLRAISCATEGCLRIVIGAGGGVDQLVDVKRNCQIV AETLIHSVAEALLQIPHDYAILTESLISPDITQNVKMQLHEESDSSLVNRWARRQLLK QLCLGFQCDTDWDRLPKEIRGVLLKRCLGEYCRLSESQWQWLTASLCRFDTPDLNVHV ARCNLGAAVAVNILDYAPTVGIEGGIAKESQTKDSIAHVSTKLTFLKKPFSYLYHTLG TGIKFFVIAMVAEPEFQREFDYSMSRKPAAFRVPMVFLLNGIWTYARFMQNLGLSFFL FHNRPDVQRLWEEAKGMSIALKRNRVLIHSQSGTFTAFRHNEPNGGFKLYHYQGVHKS EPEGTAKLRTISTYSKDLLLDIREEFDGAKLTNEYHYDYQTPAKRALKLSKGVHAKLP IGRRCIRGQNNLQSVQFNRKGLIEAGSYMKDGNLIRFKLHYRKNTRFGDELLRAEFVL AHISCTVSWCAPPKRHPEKIERWIPHSKITEATFVQGPDVYESKWLYDHKFHPTIFTT LNGQKVKTPPMIEFDYLDVLKKPKDTAFIHDNPLFYCDSLNSNVFSRWLGLSKQRFPV STSRSRSQLWKAWKERKDLDGVMVRWMDDRLLRRDKVLRPYWAARNRGNLVAAKKYLD LRADAIMASADLDDDVSSWTPLAFKISDLFNFGPGGDAVVHTRSKDVGFDTAKTLHVM AADNGTWPNEGGGVSACRRDMINSLKSIKWHMICESANDFGIPKHQTEQNVQSLKVIP LWGLDFLMPTHGLFKNRLDSEVDAILTDATDLDIKRNFIPTLTALVKGARARNLSTAD VQQATRALVNLNTYFQDSRHWSQVWTSEMVKESWRDLWLTQEMPNAKPSAEWFDTELP TLGHLDTALDLWFRYLFIFSIPVPDKIPAVFQASHHSVSASYGVVCKIKRKCQLQIWD HAISWRETNLCLSSALCKLPPFVRNSLLGLMRMTSVLILHHADIILPCADFFNPGWEI EIGTSQGAIEHRNSFKRKIDPVVNGIVDMTSFSPVKEIKSKIPTVTMLSHVWYAKDIK TALLAADIIINEWGFDDYHLDIYGAIDKAPTYSTECQEIIASKSLRGQVRLCGTANPM KVLENTWLFLNSSLSEGLPLALGEAALTGAPVVCTDVGASLRVLSDPDDFSRYSAVVA PNDARALAKAQINLLALTGEWSRYAEDTEPAPTLSFEPTREEVVAIQKRMYDKSEQRR KLGMMTRNIVQKSFSGERYLREHEQMLWIGKARKLMASRVTGEHENQQDVAHAIDLSA PPDEEVITIPRSAVHSWRSSAASGMSSAFTTLSNFPMLENGRPVSIRSNSAMSQSSND EALPRLNPGRLPVFAPRDGNGRMSTMSARSVRYSAIYSALSPRDSSMSGRDSLMSGWG TPRGHSRPGSRAVSPGASPMLRPVRPDDARLYRNSDVSLL EPUS_03291 MGNELDGNAEETEPLTKKESSTTPSERHSQDSLTSISTTSLVLE QLNTTSHQHGGVRKGKPTHDFEYRDNEEDIEAPRFMRPAGKPLSRGTKRIIWLVVVIA VAGWALALGSFLVNGTYKHASKLAYDHETPSKSSGRKVTLDQVMSGQWYPHRQEISWI RGADGEDGLLLEKNQPGKDYLVVEDVRSRRGDSNAIASKTLVRMGAFEVNGKNISPDQ VWPSPDLKSVLVMSGFQKNWRHSFTGYYWIFDVETQGGQPLDPLHPDARIQLASWSPT SDAVVFTRDNNMFIRSLVSGEVKQITTDGGEQLFYGAPDWVYEEEVYQTNSVTWWAKD GKYIAYLRTNESDVPEYPVQYFLSRPSGEKPAPGLENYPEVRHIKYPKAGAPNPTVDL EFYDVERDQVFSVDIAGGFADDNRLITEIVWAASNQVLVRETNRESDTVRIVLIDVKS RTGKVVRTQDVAGLDGGWVEPSQTTAFVPADPANGRPHDGYIDTVIYNNYDHLGYFSP LDASEPTMLTEGDWEVVEAPSAIDLENNLVYFQATKQAPTQRHIYSVHLDGTNLTAVV PDDKSAYYSASFSTGAGYALITYQGPGIPKQELISTPSNEQAYQETVEQNSDLAKMAA EHELPHEIYQNVTIDNFTLQVVEIRPPHFDENKQYPVLFHLYGGPNSQSVDRNFNVDF QSYVASSLGYIVVTVDGRGTGYIGRQARCIIRDDIGKYEARDQIETAKMWAAKKYVDA ERMAIWGWSYGGFMPLKPLEADGGRTFKYGMAVAPVTDWRFYDSVYTERYMHTPQHNA QGYDSSAISNMTALSQNVRFLVMHGIADDNVHMQSTLTLIDKLDLASVENYDVHVFPD SDHSIRFHNANRMVYERLSNWLINAFNGEWLKTEDPTPAQRDGVDGKRGRSEFKRALE RENLLLLPD EPUS_03292 MSTQARTNDDLKASKLFDVSHITALVTGGGTGIGLMITQALVSN GAKVYITGRREEALENVVKQYDTGPGKVVALPGDITQKSEITRLAKEVGDQEPSGIHL LVNNAGIARDDPTKYSSQGQPDMKSASAISEHLLQSEFDNWSSTFETNVTAQYFMSAA FVPLLSRANENTKGYVSSIVNVASISGVMKGSSGGQFAYAASKAAFIHLTRMLATTLT ETKIRVNCIAPGLFPSEMTTGESDDKQKSQIDKKLSNPAGRPGNDADMAACILYLAGP GGLFLNSQVIYPDGGNILVQPAAT EPUS_03293 MGFEDLYEEEVVVMGYKEAVAGAVAPGLAVPVVVLPVANTAGIA LFAVGPVLLAVALAQPVALVVAETDRTVAVATVDQPAQTVAFAVTSAVLFLVAVAAAL LFADTLVERKSLVVGVGEADEAVAAVAAVAQRKGGLVVEAENDEIVAAAAAAAAAVQR KKGLVVEAEKGHDPLCRRRKTSTKTVE EPUS_03294 MVMESESEYFSPYRSDGKLYGFVCVVTSANQPIGQAIATELAGT ISTPSQASKAPNKLTSFFPFSPTAHGAACIYTCTQTDQSSGLSDSLSKLYPNTKIIDY PYSISSESDTLTLLDEALNAWGRLDKCFEANSMAPFFALKYAPPAMAKTTPKGNYANA APKDREYGSIIVVSSTASTYGGCWGPCFTMSSHAALGVVRAGVAVLKGTGVRINCISP GQIDVGVDLKALDTRAMNAQLPPADLQSVDVQKANIGLERAGHPAEVAKVAGFLAPAA SAATSPARTSWSMVAPAPCAPSWCLFDGSLRHRRLVMVVPPCATRGSATVTM EPUS_03295 MRSLAPMAKLSRNLRLSRRPFSSTRPAARIIASQPLRATEASPF VSHKYPVVDHEYDAVVVGAGGAGLRAAFGLAEAGFNTACVSKLFPTRSHTVAAQGGIN AALGNMHKDDWKWHMYDTVKGSDWLGDQDAIHYMTREAPQSVIELESYGCPFSRTEDG KIYQRAFGGQTQNYGKSGQAYRCCAAADRTGHAMLHTLYGRSLAYNTNYFIEYFAMDL LMEDGECKGVIAYNQEDGTLHRFRAHNTVLATGGYGRAYFSCTSAHTCTGDGMAMVAR AGLPNQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPTAKDLASR DVVSRSMTMEIREGRGVGPDKDHIYLQLSHLPAEVLHERLPGISETASIFAGVDVRKQ PIPVIPTVHYNMGGIPTKYTGEVLTVDESGKDKVVPGLFACGEAACVSVHGANRLGAN SLLDLIVFGRAVSHTIRDNFDPGRPHSDISADAGSESINVLDQVRTANGPKTTSDIRS QMQKVMQTDVSVFRTQGSLDAGVKEIDKVDKLFEQVGTKDRSMIWNTDLVETLELRNL LTCATQTAVAAANRQESRGAHAREDFPERNDDEWMKHTLTFQKKPHGKVDLGYRSVVG TTLDESECKPVLPFKRTY EPUS_03296 MPSATGQSWEKYKKEFVDDEEPEKKITPLTDEDIQVLKTYGAAP YAAALKRLEKEIKDKQTSVNEKIGVKESDTGLAPPHLWDVAADRQRMSEEQPLQVARC TKIIQDEKDSEKSKYVINVKQIAKFVVNLGERVSPTDIEEGMRVGVDRNKYQILLPLP PKIDPSVTMMTVEDKPDVTYGDVGGCKEQIEKLREVVEMPLLSPERFVHLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAIGGARFDDGAGGDNEVQRTMLELITQLDGFDSRGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDLDGRANILRIHAKSMSVERDIRWELISRLCPNATGAELR SVATEAGMFAIRARRKVATEKDFLAAVDKVIKGNLKFNSTATYMQYN EPUS_03297 MAAALGIQGNAGNSAFKDKEKPMAVRTANIMAARAVADAIRTSL GPRGMDKMIQTGKGETIITNDGNTMLKSMSVMHPAAKMLVDLSAAQDVEAGDGTTSVV VIAGSLLGAADRLLSKGIHPTIISESFQRAARAAVETLHQMSHPISLSDRTTLLQAAS TSLSSKIVSQHSGLLAPIAVDSVLKTIDQRTAENVDLRNIRIIKKVGGTIEDSEMIDG LVLNQQVIKSGGGPTRVEKARIGLIQFQLSPPKPDMENQIVVNDYRQMDKILKEERTY LLNMVKKIQKAKCNVLLIQKSILRDAVNDLSLHFLSRLKIMAIKDIERDEVEFICRST GCKPIANIDSFSEDKLGSADLIEEVQSSGSRYVKVTGIRTASTQNQTVSIVARGANSL ILDEAERSLHDALCVVRCLVKKKALIAGGGAPEIEVANALAKTARTLTGTEAICWKAF ADAMEVIPTTLAENAGLNSIRFVTDLRHRHDLGEKNAGVSIRSGGVKGNIADEKVLQP LLVSTSAIELAAETVKVILRIDDIALSR EPUS_03298 MFNKRGYGDNGVLYQLSSQALRTELQRRDEATGKPVCGSGGRTG SYNTPLHVAALFIILILSTLACSFPIIARRFPKLPIPHRFLFLSRHFGTGVLIATAFV HLLPTAFVSLTDPCLPYFWNEGYPAMAGFIAMASVFLVVTIEMFFAMRGAKHMHGSEW DTLPPEDGETQQRHRTLDIPLADSVDGHQKQPRNGGLGLHGRSGSHQGLMEGQSTSNT PNPNTAADESESDLDILDPIAEESISLHEPSSRHQQHRHNPQDQHSANPQKLLLQCLL LEAGILFHSIFIGMALSVSTGTPFVVLLVAISFHQTFEGLALGSRISALIPSLFGPRS IKPWLMALAYGTTTPIGQAIGLGMHKLYDPASMMGLLSVGITNAISSGLLLFAGLVEL LAEDFLSDRSYEVLRGRRRMEACLSVAAGGILMAIVGAFA EPUS_03299 MSTPASIPRIVPTRQAGGGAPSSAAYTHEVTSFTPAVVEHLEKA FKRLCNSSHSGNHGLDQGAVSHLLQTIQQENAPDAGKYFPHAVNGLDNFLAYMSSALA SAMGPPKKHDLSHPISSYYISSSHNTYLTGNQLYSEASTDSYRNVLLRGCRCLEIDVW DGESRSASSASSSDGEREDSQRLSPARKPGLGKKVSGHFNTIKQRARSRSNSWRGRSS SSPQRANAATPAEMPTPWKMAEDKAEPRVLHGYTLTKEVSFRAVCNAIRETAFVATDL PLIVSLEVHACLEQQEIMIDIMKEAWEGMLVDISKASEEEIERLPSPDELRNKILIKV KWAPPAAGQESNNPNDQVASSGDDENGTDGEKQKKKSSKILQALSQLGVYTRGFTFKQ FDQPEASIPTHVFSLSEAKVVDMHESDGHALFSHNRDYLMRAYPSGMRVNSSNLEPLF LWRQGVQMAALNWQKWDKGMMLNEGMFAGQEGWVLKPEGYRGTIKDSDGGKPVPKRTL SLTIELCAGQNLPLPFDEKVAKKFHPYVKCDMLYGSEKFKPGDSTRNNEKLKWVSKTS KGVNPDFCGEVHQFSNVANVVEELSFIRIKVKDDNFGKDTLAAWACIRLDRLKIGYRF VHLLDEKGMESSGVLLVRIIKVFS EPUS_03300 MPMIYLYVEVLANIRQANLYASLETHKNEHTKIDIASDKKTITV SHDGESASIYLPTEIGGTAEVSIPVDRGKEMSVRLELADIMNMPCVGNTTGDEAPWAA NDLSPNTQLRCRDCKTEILTNELPLQFKALPSEHWAEMMDIWHCHRPQDIEPSQGEDA RQAADSKGYGASTKLKAAAGIAFVDTASFLFAEQSCKDVQTHNSELHCATCKALLGAI DVSAEGWRLYKSSLSLRPSPTAEWQSFPPEIFISSQLLSLIGSSAARKFIIHPHDDTK QGLLIWVFNPDMRYSSSRRSELVVRALKIFYQNAEDPQKLLDEHQASFEELPLPASVF DSLKNVLIESTEFLPPSARKFQDWTIGLIDRYERNSSDGLKYFDDVTHNVIERSGD EPUS_03301 MPDLPPVYIVSAVRTPIGSFLGSLSSLTAPQLGSHAIKAALLRV PEIKPSDVEEVFFGNVISAGIGQNPARQCALGAGLSEETVCTTVNKVCASSLKAIILG AQTIMTSNADIIVAGGAESMSQVPHYLPNMRSGAKYGDQTLVDGVLRDGLTDAYGKKD HMGLSAEECAQDHSFDRKAQDDYAIRSYERAQAAQKSGAFDWEITPVELPGVRGKPGI TIDKDDEPKNLNVDKLRAMKPAFIPNGGTVTAPNASPLNDGAAAVILVSEEKMKSLKL KPLAKILGWGDAAKAPNKFTTAPALAIPKALKHAGVDEKNVDAFEINEAFSVVALANM KLLNLSEDKVNVHGGAVAMGHPLGASGARILTTLLGVLKEKKGKIGCVGICNGGGGAS ALVVESMM EPUS_03302 MDDLYDEFGNFIGEAPESEDESQNREAGPSYVYDEDSEAAAEEV NEQQLMELDDEGPSNAVILHEDKQYYPTAAQVYGPDVETLVEEEDAQPLSQPIIAPVE KKKFAVQEADLPAVTYSREFMTDLLSFPEQIRNIAFAGHLHHGKTAFMDMLVMQTHDL QERLDKRVGRKRDEQLRYTDTHFLERERGLSIKAAPMSMVLQGTRGKSHLFNILDTPG HVNFVDEVAASLRLVDGVVLIVDVVEGVQINTEQIIKHAVLEDLPMTLVINKIDRLIL ELKLPPNDAYFKLKHVVEEVNTVIETTLPGQDEKRRLSPEKGNVAFACSSMAWVFTLQ SFAKMYAETYPKVDAAEFAARLWGDIWFNPRSRKFSRKGMEEGSKRTFVNFVLEPIYK LYSHTISESPEDLKATLATLNISLKPSQLKTDAKVLLKLVCEQFFGPADGFVDMVVQH VPSPIEAAQKKLDRYYTGPLDTKIATSMSQCNQDGPLVIHVAKLFSTVDASRFHSFGR IMSGTAHPGQQVRVLGENYTLEDEEDMTTATISDTWLACSRYNIPVSGVPAGNWVLLS GIDNSIVKTATIFPLKLDENEDAYIFSPIRHLTTSVFKVAVEPINPSELPKMLDGLRK INKSYPLISTKVEESGEHVVLGTGELYMDCVLHDLRRLYAEMEIKVSDPVTRFCETVV ETSAIMCYAITPNKKNKITMIAEPLDDGIAEDIEAGRVRIKDPVRKVAQFFEQKYDWD KLSARSIWAFGPDEQGPNILVDDTLPSKIDKKSLRTVQEGIKQGFQWGTREGPLCEEP IRNTKFRLTDVSLADEAIFRGGGQIIPTARRAVYSSFLMASPRLMEPMYTCAMTGPAD SVASLYTVLSRRRGHVLSDGPIAGTPLYAVRGLIPVIDSFGFETDLRIHTQGAANVSL VFDKWTVVPGDPLDKSVKLRPLEMASAMATARDFVVKTRRRKGLAEDVGVGKFLEPEL WRGLRESGVLGE EPUS_03303 MADPQNANPTILNASDLPTRRRRASSDKTAQNGPGIFSYPSPAY SNDPFSQATNSKAESDSDSDSIVEPIDEQEIYDLISTISDPEHPLSLGSLAVVSLPDI SIKPTIPSRPQSTLQTVTVLVTPTITHCSLATVIGLGVRVRLEQSLPARFRVDVRIKE GTHSTAEEVNKQLADKERVAAAMENGTLMGVVKKMLETCQ EPUS_03304 MIDQDISGADHVVDSQEDSSYHFVNPSDSTPIQNTKPALDYTSK TSYPQFDNSRHVYQPALAPYSAIPQFFSSDTQPRSYDGLYPPSNPAGVNATADSQAFY PPAMATITRSISEPSEQDSSTAEGLSEALGELKIDESGTAPYLRRPSRNVAEPAAPVR DKEIELPPLDTRYGSQIRIPPALMPSEEEAEHYFKIFFSDVHPYVPVVNRSHFYQQWQ TDRGSISPLLLEAMLACAGRLSDDPAQGAQWLALANKQEDSFLDTPRLSTIQALLLLL KAREAAPKRGYYYRSWMTCKTIAAMAKDLELHEHHEVHKNEKTCGSSPVECLAKTRVW QTLMVCETVIGGPQGRYDYEVDIETVDIDTRPPCSEIDPFEATISRQFAYFVRNVRNI RTIAETYHELRKTEGWGGHPKFVAHNQAFDSWPDALPEDLQLNLSTDGTPPWLPSHFI GNMHVHYQLGRIMLQRPQLMASKSFAADSAWKQHMTSCYTSAKVLCRLQEAIISQFGL QGLLCMVRGINFTIYAVLTCVMLHLVAITSPDPELYGDARDFFTRHMRILEECINACP MQDMKAQIDALREAFSADKDKPFELKMSFPYGTPSDSYQPSPPMESHYPRLQMSDNES YRQQRTQPYPLQTMTPPVSAISGESGVDSPLSQPNRGTGPPPNSASHSMTNYVQAYPQ PSMPSVDEAQWNPTPIFHHWNTAFSIPQAALAPPPTPSATTTSPAMNFPVVQQQTHTP ISPSNPNPYMTQYASSAGGRVTSTPQPQPQPQPQPHEQTSQAQQSSYQGTFVSSKEWQ QSVASVYDPNGLKRRWIYAEADNQPQKRMR EPUS_03305 MIRRPPTSIGLTADDLAIFEKQYASGEIYAHHHDDNDTNHKAGK KRRAQGQDGEAPGEPNEGGIQAAGDANAAEGREGDGELTQAQRAEEERKARTREQRIL GSTGDVAAAAADEGGETMNTGDEGGVAGSGAQTVLQQQQQQQPQR EPUS_03306 MYRWQAGNALRAMQHDLPLVQRSIAASSSASSREYSSSMQLRRD EGQRPSTPQTFPPSPTSSTNSSSSPRTDIDKANNQPTNRSPSQPQQSDTSMGLGSSSV VHNQQQNLPKFITADAVDTPVRITRQYRDFAPPSSSGPSPAATAAWTPAPSAPLNRRV LGSNSVPPCARGGGAGGAGRMLRATGGRGRGGRDRTRRRRDNNADMEEGGDSEHLKDA AAKIEAHLGPPPREWVDHVPEELSLEDLRVDWPSIPTGRTGMIMGVEEKLRWAARRIP HDYETPEDLAERLHRGQELVHFESAQEKEQVLQIARGLAERTADRITERTGKEVTPKE SSFEGIREKDRVVLAREFVRGEYPPLEAGWKDMQTQTQKGKEGGRKRPAFLGDVMRIL GNNETYHAKEQEQLMSTIQGLLATTPQRRVRAQAQAEEGA EPUS_03307 MPQSLRLTRCRMPAKLYCERSVPVGFPTGRFSRAITPLSSPLIT SAPISHPHVPPSSCSLFSTTSHHLKKGASKARKSISASNTEHIPDNKAQTNRDREIDP YDFSDLEAKIKHQADWLRDSLQKLRTGGRLSAETIEGLQVEIKHGLQDKKVEKLRLGD LATVVPRGGRLMGVMVNEEAHIKPITTSIQSSPYSLTPQPDPQNPLQLNVPIPPPTAE SRAQSLADAKREFEKAGLGIREARGQWQKWYQKAKKEAGYQG EPUS_03308 MATPLWTAHPECPEHVKAQLEARVRSFPSSFLLAPVDGEVFENP DICQERLQGWALSQGFAIVRKSGSMKQARPRFEFRCIHHGDNTLDTRHLEQHVERDEE NRITSRRKQEATSINARSCPYMVYLAYKQVGKRGSGIYGLVLGISSDSHSHLMAANPL RYRKEHVKTLPAFLPALTLGRSLRTANISYSVALRVLEQVGFPLDRNTYYNIRSRAVS AEHTEFAGLVVALEEAGFIFECRIEEEIDSQTDTVVNRQLQQVCMLNAL EPUS_03309 MPSFKEYSLTLAEPLLLMSMILAYTLLSQPLLLLKAPSSFRSKW FERMYVYLGPQLASSPLQIDYIESVMSQAHGLVLELGPGNGDQTFHLKANKIEKVYGA EPNEHFHASLVAKAKEIGLGDKYLPMKAGAEPQSLLPALQDAGLLPGTMTRLPEEGVF DSIVTIKSMCSVPQAQLPETMEVIRALLKPGGQFLFFEHLQSDASFITQCYVWIFNLF LWPALIGGCRLDGKLDKVIAGMSGWKTRNMENIREYQGHEVFRYMQGVCTKA EPUS_03310 MAAALQSLLPKLGLSKKALLLGAGFVTEPTVQILSDTGVDVTVA CRTLESAQGLAAGFGNVHPISLDVSDTEALDAAVAKRKSTKLAARSLLSYLIVVDVPA PEASDNPLGYKFPWSSRSVLLALRNDAKYYQDGKVEEIPGPELMGTSKPYLIYPEFAF VAYPNKDSTSFKERYDIPEAQTLIRGTLRYQGFPEFVRVLVDIGFLSEEKHDFLQPSD NPLSWAEATKQILKASSAKEPDLTWAISSKTKFMDNSERDRILAGLRWIGLFSNEAIQ PKGTPLDTLCARLEQKMQYEKGERDMVMLQHKFGTEHKDGSKETRTSKLCDYGDPKGY SSMARLVGVPCGVACLMVLDGRISERGILAPVKWSLAEPLLKESKEKWGIEMVEKTVA EPUS_03311 MLPSPDSLWSLPSHLPPSNHSDYVYSNGMITSYLRTGFTRLLRR EHHPGCHDPPTHHGDLHHVYLKGSGPGGQKINKTNSAVQITHIPTGIVVKSQATRSRS QNYKIARQILAEKIEHLEKGGESRLSKKVEKTGTQKRSREKKARRKYRALEAAKNGQV EDDDRREPGSHAEAVREESGDPVACHGKNRSQEERKTREDNVGI EPUS_03312 MDQEKLKKLQQSVRIVVFKLTDFFAFRVRTSQSQFISRSVRSAD LQNLESPLGKHLHPPPPLPDNDNVGKGTPRRRTKKVHKSSGTDDKKLQTSLKKLNVQP IQAIEEVNMFKEDGNVIHFAAPKVHASVPSNTFAIYGNGEDKELTELVPGILNQLGPD SLASLRRLAESYQSMQKKEGAEGGKKDGEGGDDDDEIPDLVEGENFEGKVE EPUS_03313 MEVHAVLPEERARDEQGNILPWGYRYLDSSRNPRLPPEESGPFG KNRTTRYTGSRSSRTRTGTTPVRQKENPTVAEFGRLFAKEQKEEEERQSKNTLPSTSS GDVPKPLAPPEGVATECLIYGYASKASEWKVLSKYERIVAPSYICEDYPRDDPNLALT SSNVFSNSVVVHRKLTKDALRKSRVYKGGNHWIKVTFDTYQAAERACFYSPQEIEDHL VFCEMWQGRPPFSDTPLIKGSHPANEHQRNANAKLRTLTTSQTTSFLQPGVESAIAGF ERATQTLPRSFTAQDVQYGQPQPPVTTRDDTSIPSPQSQSSTTASSATATAIDAPPSQ PQQQLSSSLHPTSTLRSRSVPSLPTTLQTPHSPSSSSREYMTAIPTVRKAVLRPISEA LLPQPTLTTRILRHIPILSWFVASSSKAIGGQDWIGDGPVLTEDGKWDEERNGCEFC EPUS_03314 MFPKLFNPNPNIGLRIPPTNYRIFAKKAKLQDAKPNFLWPIQQL KKTAVNLLKTALTKAKEQPDQLHFTLSNSPLAKNSQKKPDQTSPRERAKTAALHENSK NFLPAILDAFLDGKNCEDEDFPVRLWTGPTPSTCASSNRTDSTFADPHFKPKPLECMS AMLKDWPPEKVTAYFAWCENTAWDPPDEDAGLEYDPDNPLPSKFVYESFFNKANNQDG DIMDVDQNLPAEDKAEDPMTGITGDLFAEPEDDEEMTDSSELPIEDWYDSTIAPFTTR NRNLPALLSVSKPRHSVEAVPRHQDMNNVAATGVPGLGMLEDDNRPQPPLTQTGSSGA TPGLSLFPGSNPSQPPCSDQSDSPRAMSGLNMLQSESQPEQHSSSKAPKASPSQSKSY PLAPVTSVTQHESFFQYRHTPLVRLSSNRGSDTATSSVPGLDNEVEDQTFGPDPLSPE GQPSMIALRGGIITMSIKSDRGYDLFKDVPLTAGVRRRMPADYMLYPMPKRICLPAKS SSSTDESASKTRRISGIHDDLLEYQNTESDQVAASQTGSRTKSPGNAKSSSALQGRRM KVPSRPSALSSKGAIRPGKAVSLSALRSKVRPAMQSGAGLHKEFGSRSFAPQAIKKQA TSSSAAANHSSQQAVPPSTKFAPSKKPGSFGTSTSKSNAIPSNSIASGSDAMGIDTPP TASSQSAKPSSTGVNNQMSNVVASSPDAHGLLSSGLGSTSTHFVQPKKPGTWLNPKPK RDAVPVVPAAPVVATSERSDSTMGLDVPVSSASASSNESVAAVQVDKSKTFAPKPFTP VPAGDGRATRGSGTARRAGQAPARRAAPTGKNISEARHIGRTKGGFRDRSDKERKELE GMLNQVPDQFKIDVHKVIQSQVCDTVPGLSDERVRSIPDLAKTGVGASVGPHPFADKF RSKADNGRDIAYFRELRQEMPQVWLHLITMVLQSGRSPKDIFDNECDAILGAEWVTDL ERIDRVAREGSAADSKAFFTREDVSMTLRPTFDHAVDKMTKDGCKVFEMAVKNWVKHD KSGGNKDLFLQCLERAGWIQAHSHLTRFMGWATGDAPPAIKRACFNHFRWAFPRMLRA VSTERLEKTQPSTVG EPUS_03315 MEEPRPVPEVPAATFRKRGPKSKATVRKRVESPTDINDDSGFTS SEDEEGRQIKRRRKNAGLTAKSTASGTHSKHDVQASSTAVLPITTNDATKASNWFDER HGNMNSETLPETTQARPIAATQSDGTYKGQANYQSFIQKNPDAPSKQVGPVKSSTNVR TITVIDFAPDVCKDYKQSGYCAFGDTCKFLHARESYKQGWELDRDWEIGTKGKKLQGK TVASANRSAQNGNEDEDDETVLESIPFACIICKKSYKSPITTKCGHYFCESCALQRYR KNPSCAACGAGTGGVFNVAKKLNKLLEKKRERAQKRKEQALAKGEQLSDGEEDDE EPUS_03316 MAPERPRSVEYDIPFGPRIIERKPEGEVQELYRSARPVRPQHRP ILRHPEPERIEADYVELREPGRRRPPPINIRRQVADDFVPLPRPVPSPRYPLDEETPT LEIRTPRQRPIIHTSPSPLREHRRRRASSPSPSPSPVREVERIRICKLDKSDSGKAER YRGRAAEDEIRVERERRRHVEENNRHLAERASREASERRRAQRDAQNAIAQRRSAEIA AAELQQQNERLDRARRLAEREAAILERERLRERDRLREQERVREVSGRPQGAFRLARE PLRSPRDPIHLTTDRGAQVIQAAQANQRLRHRERNSYHDYHD EPUS_03317 MGSSEPREVRSEATSAEKLSKDATSPPPTLPSAPDPSTDPGVVP PLASPPPDDGSMPENLDIFGLSPVAALKMLCRSIDSLVKLTGDIPPTPPVRSRNGSPV HSPSPGSRSRNASKENIAFSAGQKKEVPETAIGSPEAHQNEPIHVVGAHAEPVHIQQG AIARKFYSKRPPPISTEAYLMRMHRYCPMSTAVYLATSLYINRLAVVERVIPVTPRNV HRLLLAGLRVAMKALEDLSYPHSRFAKVGGVSEVELGRLEITFCFLTNFELKVDSEML QEEADAASLREGEAVQELPAGLQLVLPKGEKRKASSALPTRPLMPAVEATS EPUS_03318 MVSTPRATSADAAHTPSTLSSTTGKAAANSSEIGLGDVELSNVQ NGSANPPNNPLEYDIMQCARLGETGLIQKMLETGRSHAQYKDAEGITPLHWAAINNQY ATCEFLIKAGADVNAKGGESGATPAMWAAQRCHYYVVSLLLRSGTDPLLVDGQGYNIL HLATIDGNAFLLVLLLHQEIPVDTTDPQGHTSLMWAAYKGFPACVDLLLRWGANVNAT DEKGLNPLHWALVKGSQPCIQKVIEYGVDRFAQTLESKTPATVADEMRSTRMWHRALS ECGYDEDGNPKTLPLGLTSLVKDRRVMAKFFFLWPFLSLWVAISILSGMPIYAGLPIT VVVSFVLQKFVVYLAQWGPSEYRHIHHTPFLAGVFAGTLFWVGIRWVFSIVPITFTSH PVFNIVFATSYGLTTYYFFTSLLEDPGYVPKLPSRNSQKKAIEELFSLWKFDEDNFCV HCMIRKPLRSKHCRRCGRCVAKYDHHCPWINNCVGTNNLRHFVLYLLSMEIGVIIFIR LVLFYIDLLPTPASNNIQCNILPPNLCSLLLRDPWTITLSLWTSLQLIWITMLLVVQF VQISRNQTTYENMKRHIQYQPQPPIGGVVTAGLVSGSTSLGPEGAGMLGSSNASASAA QRKKEGFLSQWTKLLGLDAFVATASDTSATANGRRRRRGNPYSRGVVTNCSDFWCDST APYFGTRKSGAGMAVGEGMLGGQVVDYARLYDVPIRTTGRGGRWWRGGGPGSGRRDGG MRYEAVGDGGGEEDREGV EPUS_03319 MSTRAQKREAPEASEASSSVARKRQKTQAIRAIPSQSTDAALSV TGELDVASFVKAREYEINALEKSMQNTRHGLTTRAFQQVPRKMRRRTASHNVKKVPRR LRRRAEKEMKEDNTPTVTARRRIPSTKMRLRLQTAKRIKAMSSKHKSARARKKEAKRN AQETDPEARLSTTIVPRIKRNRLADPPKATSKFKKRQIHKTWLPTHLWHAKRAHMTRP SEPLWRMAIPLSPTEKSYRPSHRASGGRGAVAWDMSYMATIGCEGPEPALVAMLKAVG FGRDDLWASKGKRWRNGVRSASGWAYERDNDKRTITPMTVLWEYQHNKSSVDSSQEPM VDTIASEMEHAANRHASNPSLPNKFKPAKKKVLLRVHPSAFHQLWTELFKVAKMQRPP IVLEDLRFEIASLEVTGPASTEALYAVLQPTVASDEPIPSSARTWTRLPGLTNPASLP LGAILGFKTSDPRLTHPRKPIAIKTDQNSNDELTDLMMDWPPDNGVVQADIFSHKARR TASRSLPSQKAVNRRKGLTLPGETPEPKETDPHVPVMLLACRPNPSASGAQGTWTVLL PWKCLDPIWRCLMYYPLSSGGTVRFGGLDETRQIALERNEPWFPGDFPATEAGKAWER TEAEKRYNIWKRKPPSRRVNYDALKLDNKGKGEHGNGWACDWSFLLGFDVDKSNPHPH VEEISGSTNIASSEHGPSTNPGTFESKDTTQDTNEKHTHSSATSATPVSQLSPERAKI FLDSSFNTIPTSPTNKAHLATIRIDLLTRGTPFPCARIYRLPFRSSSGTSALCYKWLA LDSHSNPCTKTKKIKTNWRGDTANHSAYPNEYAEINAINYQPPNMVPEAIVELEKKKG ELRAKREKFQKQKLEKRAKAGGRGEEDIEGMTAEERETLMEELMRPSADKDQDGSVPD CPGGEDLIGFVTSGGYNLRVGRGTGVGAIWAERVLEGWGRGSQDMQGRGAGSTRDVKR LDRERRLCVVRNAGETAGRLGLWELCE EPUS_03320 MPQTSLLSFLGSSTTGTPSSLPALKPRNVPPSRHQDEIRTKGVL LERTTTQQLAPETPTVGALTTSNNEAGGKRSVNGNEEEKRRQSSETTGTITNQLPSPA SETAFSLPHCPSITIKSIHPDHLPALKRLTSTLLPIKYPDTFYNDAISDPTAAPISRV ALYTPPSSPSTFPTPIGWIRCSLEPYPKPTLPQQNTSPIYCQIYIKALCLLAPYRHIG VAAALLENILRDKEVLRQCNVQFIFAHVWESNEEALEWYEKRGFARDVLVQGYYRKLR PGGAWLVRKEV EPUS_03321 MSPKAPKVEPLSPDHWDKFAPPIDQDLWKRYMNEDDSNSDESDT EQEDTMAGPSADPRGVKGDAQEVKPVSKAEMIKEVLDDSFNEMIYNILDGSSTGEAAK EANPAPEKAGTSEVSDKTTTKDTTSTSSEAKPSKTSNDNESSSTKPSKSESALQICSN CNLPRLKYPRIGLTSRPLPDPNASYCANEPPVVLAGHDVHGNAFPGFKPKPNAAAAAS STSKTTKPKPNGSKSDKKAAAATADASSPSGSQDSSTPSTSFEYPQIRTPQMKCPNNC GQWKAVNVMAKHLDACLLGKGRRAGREARERIGAGTGTGNGTPVEGSRAGTPKPGTAG AGAGSGNGGVKRKGVDEEGAKAGGKKQKKGK EPUS_03322 MRSATFSRLATLMQQTTWSTANNHKLTLLPAQQSTFSRNLTTFN TKDNVSQKAEPQKRQPDAASPQNPSYPTFSFDGLGASRGVKVVVIAGLTVLGTMETIF WSKFLWAKFSPVPEGESKFKS EPUS_03323 MSMEIQRPYVLPSSRPCWLTDDLEYKYIEELGQGDFAIVYKAKE IKKGKKEGRLLAVKIYKMKVKHQDIDEKILEVKKEAMLMHRIQGGPHILKVGNFRHVR RQQSGTLELPMDYYENTLQHLMNRTIDERGLVSEDTLVIPPWYRTVLTQMLEALEYLH SKDVMVVHRDITPQNILYTKVDNFVLAGFSVARFGRPESETFGGTLKYLAPEMYAEAS EVTTAVDVWSLGVLCLDILWLVPPVCGEPAHDLMEEKTWLADLCQIAKEANKPEIAMM VVMNDHERSTAAAVLRFVRTSPSARVQRYPPSSELLHSFRRAAMRTINAVETNQNAMT FSSTSTSTRTREIGRAQSWRSPPVRSARGTGESAGPSDAAVPLRKIVNEQQMRSQLGP EIWRQLERNVGKDGLKELARLISIGMQDCFTAQNYSLHPSGPEDQRSPRHAGVQSQQK EKRTTQAPVAPDAPLSRLAGPPNLRGESKAEQTPSQALSRQEARGQPRQAMSQRPPSE LPYRPQAQNQQQQAKAKESASESSGSTAASTQKGKNQSEETLHKPTRRPQAGSKPGEV KAEKPNVPSSDRQKSTRKQEETKGKGASSSPSSS EPUS_03324 MTALKEGDSFPEGVVFSYVPYTEESAGITSCGIPQNYNASKEWA DKKVVLFAVPAEYLGGGSGAFTPSCSVRHLPAYIENLDKIKAKGVDIVAVLAYNDAWV MSAWAKANGIKEEILFLSDPETKFSTELGWTTGPRTARYAMIIDHGKVVYAEKEPGKD VTVSGAEAVMAKL EPUS_03325 MQTPTAFLITLLLVASTLPAVLAAPIPAAPTTAPPAPANREDDI VTLPATPGADTPNTFEPGPVQAGNENKGKEVLERGQSVPEWFGGDKKKGGK EPUS_03326 MLRPRVLRLAPFRNPVRTCSPALTRSVSINLAYGDPKVNPLASF VPSSKSYQLLPTDAKAGAAEDKLFDQQVQDVKSWWASDRFEGIRRPYSPEDIVSKRGS MLQTYPSSVMARKLFNLLTQRAAAGDPVHTMGAIDPVQMTQQAENQEVLYVSGWACSS VLTTTNEVSPDFGDYPYNTVPNQVQRLFKAQQLHDRRNWDERRKLTPENRKSTPYIDY MRPIIADGDTGHGGLSAVLKLAKLFAENGAAAVHFEDQLHGGKKCGHLAGKVLVPVGE HINRLIAARFQWDLMGTENLVIARTDSESGKLLSSAIDVRDHEYILGVTEDTEPLAET LQALELNGASGADIDSFEAEWVKKHKLVTLDEAVETHFKSEGIEASEYKAKVAADRNM SLSKRKELAQRYLKTPVRWSCDVPRTREGFYHYRAGLSAATKRAIAFGPYADLLWLET ADPSVSKAAGFAKEIRDELPKKKLVYNLSPSFNWMGQGFDEASLRNFIWDLAKHGFVL QLVSLAGLHSTATITAELSRKFKDEGMLAYVKLVQSREKELGVDVLTHQKWSGASYMD GILGSIQSGSNGSRSMGEGNTEKGF EPUS_03327 MALQAPRLVLRAVRTVSRCTVVRQSIRSISSASEADLKTTLREV IPEKRELLKQVKGHADKKIGDVLVGQVIGGMRTLKSMVWEGSVLDADEGIRFHGRTIA DCQKELPKGTSGTEMLPEAMFWLLLTGKVPSTNQVRGLSRELAEKSKLPASVSGMLKA FDKSVHPMTQLACAVAALNAESVFAKAYAEGINKTQYWEPTFDDSISLLAKLPRVAAT IFNKSAAAADLDLDQDWSYNFASLLGKGGPENEGFQDLLRLYLALHGDHEGGNVSAHA THLVGSALSDPFLSYSFGRPPRSGRPPPRPRSSRNVKDYLWSTLRAGQVVPGYGHGVL RKPDPRFKALIDFADAREDIANNPVYRLVKKNSEIAPGVLTEHGKTKNPHPNVDSASG VLFHHYGFRDPLYYTVTFGVSRGLGPLAQLIWDRALGLPIERPKSINLAGLMAMVR EPUS_03328 MDQGHQNYSIPGTARNSGTSTPQNRAHSPENYIEDIAPVPNPFA SPYGSNAQSTRTSSAALHQQGLSQRYFHSRRVKKGEVEKPWTAHKDPREKWVTIIPLI GLFLGLAISGFLIYDGLRTVVNHEYCPILDEDFSNGLDENIWLKEAEVGGFGNGQFEQ TTNTDENIFLQDGMLVIKPTLQDPALIEQDTIIDLTAEGICSSELFENCVVATNTTNG TIVNPVKSGRLSTRNGAFIKYGRIEVTAQLPSGDWLWPAVWMLPRDSVYGEWPQSGEI DIVEGRGNNHTYEQGGNNIVSSALHWGPNPANDAWWRTNVKRNALHTTYASKFHTFGM EWSEKYLFTYIDTRLLQVLYANFDIPLWERGDFPLSDSNGTRLVDPWSQTGNVATPFD QDFYLILNVAVGGTNGWFEDGKSGKPWVDGSPTARRDFWNTQDSWYPTWEENGQMKVK SVKMWQQKGYNGC EPUS_03329 MGLGSYFKASKPAPPAATASPRQSSTVMTEKPGPAEFSQQSSMN APPRDSRYGSSRASLAPSIRSNKSSFIDDIKHEVMVNYLYQQQCSHLWVSDSSGDCEG VLLRKARNHYLACPPQLANSVLAMACAELNVQVAMTVNSRVIKTFMSWSPDAIDVPLM NGLRVQILPTIDDIPRARKHQFAAFIASEALLVVWDDDPAHLLERAKSIEAELMQLVW QTGEQTEEEDTNEKKTPRVTEFEVDEESGEIIPEKRPTNLMNTILVAFTLVIVVVMLG AGFRAVAIETIVDKNYLRMAFVLLTPVQVFFTLFFAQVIVGCIAQCIGPVRQMQLNSK YYSAKCSPRLQNRTLPHITVQCPVYKEGLASVIAPTVKSIKQAISTYELQGGSANMFI NDDGLQLLGEEERQARIEFYVDHSIGWTSRPKHGVDGFLRRGKFKKASNMNYGLMLSC NVEEKLARYQRGEDWSQADEAQAYETCLKEVLEENGRAWADGNIRIGDYILLIDSDTR VPSDCLLDSVSEMEQSPNVGIMQFSSGVMQVVNNYFENGITFFTNLIYTAIKYTVANG DVAPFVGHNAILRWAAIQQVSYTDEDGYEKFWSESHVSEDFDMSLRLQCDGYIIRLAS WAGEGFKEGVSLTVYDELARWEKYAYGCNELLFHPMRKWIYKGPFTPLFRRFLFSNIR FTSKITIISYIGTYYAIGAAWIMTTANYFAIGWFNGYLDKYYIDSWKVWFSIVIVFNG LGNIALAVMRYRIGEKSFFGSLLENIKWILMLAIFLGGLSLHVSQALLSHMFEIDMTW GATSKEAEFSNFFIEVPKVVKKFKYSIGFALFGIAGMIVLATGSYIPYSWRIKDFVAI LPMATVTGSHLLLPIVLNPALMTFSW EPUS_03330 MSIFVDQPAAAPPDSPLTLDSIAKVLEADTKVKLAGVDIDGRLR GKLISKKKFLSVAKDGFGFCSVVFGWDMDDLTYFRELKISNSENGYRDIVAKIDLSSF RRIPWEDNVPFFLVSFYDPDTDDRLSACSRGLLARMMESVEKQGYGAMAGAEYEFYQF RAPPDDYPNKTTLNSSSTASFIQKNSPHTLPHLTEGMTGYSLTRPVHNQAWYYDVFTA CERFSTNIEGWHTESGPGVFEAALEYGEIRDMADRAGLFKYVVTSVSSRHGITPCFMA KPQRGLPGNSGHMHVSIVDKSGQNMFYRGDEDPNPPYADLAYVSDLGRHFLAGILSGL ADVMPIVAPTINSYKRLVENFWAPVTVSWGLEHRAASVRLIAPPIASPKATRFEIRVA GADANPFLVLAAIVGLGWRGVQKKLEIPVPPLGKGEDVGGASDHGERLAKNLGARRRR G EPUS_03331 MALLDISYLWVDLLCIVQDSKADWTKEAYLMSRVYGEAYLNVTA TASKSDEEGIFDREKSLHSRPTCLLDLSGPEISSKRYICYNATPWQQYVQASPWKERA WTFQEALLAPRTIHFARGLLLWECAEERTAENMPGQISDSKFASREGNDRLLKQALLD DSQELREDTDRRIINSVEVSSEHLWEDIVFQYTHGTLSYPSDKLLAIAGVARKFCSAR HLNPCTYLAGLWKEMLPANLLWRGEAHLRLFGGLHGRQRTQMYRAPSWSWAGVNDGPI TYPIRRKWEMWPIDVLDAYVISDDEQMGAVRGGSIKLKGKLLKAHDFEEFTSWIEDSD EGGECYGAFIVPRVHSDSSETEPRVAYLKSSLDDTRNPDSTIWRTHIRDPPDDLKVWE DHFFLPVLRTRVRGASDPELVGEVIMGLDLMPSGREGEFSRIGTFEILASSSPAFVNA LGCRSVPAENYEDFDGVDRLPAPARETYIRRMLLGVPTAPITFRETQIRTFIAIYWIW IAHLILDTYNILRSVCFIRILQVDNPDEWHPLFGSPLQAYSIRRLWTKFWHRLTAPSC VASGRLITRGALGCSLAPERRSCSLPSERSSFRASATPWLIGKRKSIVPRQRTLAFSA QNFASGAAEMVVLAQVERVREKHGHVQVARFFDIEISNLPNEHNPSRTVTQAIRIPTP AQIPTTPRKHGRNESTAEWHRPATVNTEENMVYANMNVPWSAFLCDSQGGGKSHTLIG FVRRSDAV EPUS_03332 MPSRPGRLEGKNAIITGAAGGIGLETTILFAREGAAVLMADISE PALEKALAKAKEIVPDAARISTMKCDVSKESDVKAMVESQDQYNGIDIIFNNAGIMHA DDADAIGTPEKIWDLTHNINVKGVWFGSKHAVLSMRRHNKTKGSIINVASVVALVGSA TPQLAYTASKGAVMAMTRELAIVHAREGFRFNALCPAPLNTPLLQDWLGDDAEKRHRR EIHFPTGRFGEAIEQAQAVLFLASDESSFVNGTDFVVDGGMTKAYVTPDGPATEAPAN LAK EPUS_03333 MGRSVDQDVHAAFIEFRAKDDDKVSLDELFTRHLAELSLQCLSV QCIYCHQTRAKNTSRQKQHLAECTANPNNHVSAIRAQASPGNAVAAPNGYTTPSGPGQ NALPGPAGTVVNGVPPPGPSLQTPLQTMTGRPAIPSQTAVAGPSTLTTPARPTAATTT TTTKTPKTAKSTPGTGLPAPPLDDVHASFVEFRAKDEDKVCSISVLLLRCHAWQIRLT YCKCLSVQCMFCQQVRAKNTSRQRQHLQECPQYLAAMKDSIPANNLLHKFDEGEIARS LQLPTPSLELDFRMSIKLNPRVSLGPGLFGERNWVSYVGGSWAGRWGKGAVIPGGQDS QLVVKDLATRLSANYLLQTNDEPPAFICVKTSGWRTGSKDVLEKLLDPAQADSVNPNS YKFRLNIELETGDERYLFVNTLMWVGSGCRRGAEGKQNTVVGLQKLTTCKPYMTLIES YENRSTGNTLLTCFSSDPEFLMFDADETARQDYAGIRAQRSPIGVDHTGERVGIFEQL W EPUS_03334 MPNRRNPSDLPLVPLTDSHLRTAGMSWLTSPSYRQSSLSFSATA FHSVTDVLLENPNLNSSHLFRADIIFDSTGILKTNREKEDFRCIPPSNSHLGCEEQQL KTSNEDEALPPLPFPGFELRRTILRRLIPRKPQLDQSLLQTCHIYTAEDEDLADFDEV PSSEKAHEHVFAPLSLDEKLRNKSVYRQAVAHHSQHLVVYQPHADPLTGKNMPWYHPP LKSLAFLYRRERLDSSEEQNQTSSALSVHFRFFSGSCPADDPRSITDRVHRTLLSLLS TFTRLVRNTPVCLNIRNKEERPTRNSAMQYIKDNIIPEHLVQNTYSRLKTQYAADLIN RWVEKTDPSKHVFEDLSIAAFLLELWRKMYGNNPTHFPGFVDIACGNGVLVYILRMEG FRGWGFDARARRTWSIFPTSITACLKQSVCIPRPFLEALHSIDYPLPEDLLVHNGIFE QGTFIVSNHADELTTWTPLLAALSCPESPLPWLAIPCCSHALSGAANRYPSVLRQPGD TKVQHNIKKLGPGNDADTSRDEQPRTGDLAALRASKDPAPQSSERKSPSGTKSAYASL VDQVISLATKLDPTEDVEKTLMRIPSTRNIGIVGGQLRGLSSKTGGEGPLMTYTSNAE QLEREKRADLTRKTVREIVEQECMRSGGVEDAATIWMGRVRTAIGTTRHTFESG EPUS_03335 MVRIQFDGEGETSGKSNVSKPVKRMRWASQHITGQSALRKRMSV IKRLQKRSASAEEREHNEESSPSASDPEVGAESKSQPRIVYINLPPPEDARDENGHVK NHFGRNKIRTSKYTPLSFIPKNLWFQFHNIANVYFLFIIILGIFSIFGASNPGLAAVP LIFILFVTGIKDAVEDWRRTVLDDELNNSPIHRLVDWENVNSSEDNVSAWRRVKKSST KLITFCYRTMKNLHKRKFKKERGPDMSELDGHRLSTNTRPSIRTSIYSDRTSFHSTRS HQHCSDIPLTPVSSPGAASAVHSQMHLSPGFRPGTAFSFENHGEHGILDADPQAANAP SNLRTHEPTPVKRRSDFGPVKDYGSILNTSKATPEKARFKRDYWKNVQVGDFVRIYNE EQIPADVVILSTSDPDGACYVETKNLDGETNLKVRQALRAGRKVKHARDCEKAEFVID SEGPHPNLYQYSGVVRWKQSISNTGDANMTEMAEPIGIGNLLLRGCSLKNTEWVLGVV VFTGRETKIMLNSGIAPSKRARIAKDLNWNVVYNFIILFFMCLVCGIVQGVTWAQGNK SLNFFEFGSIGGNPPLDGLITFWSAVILFQNLVPISLYISLEIIRSWQAFFIYSDTFM YYEKLDYPCTPKSWNISDDLGQIEYIFSDKTGTLTQNVMEFKKCTINGVAYGEAYTEA QAGIQKRQGINVDEHSARIHQEIARDRVRMLADLRKIHHNPYLRDEDLTFVAPLFVAD LIGQHGEEQKRANEHFMIALALCHTVITERTAGDPPKVEFKAQSPDEAALVATARDCG FTVLGRSDDDIHLNIMGEDRTYRVLNTLEFNSTRKRMSAIVRMPDGRIKLFCKGADSM IYSRLVKGLQQPLRKATAEHLETFAREGLRTLCIAERDLTDDEYYAWSRDHDIAAYAI EDRDGKLEEVSDRIERDLMLLGGTAIEDRLQDGVPDTIALLGDAGIKLWVLTGDKVET AINIGFSCNLLSNEMDLIVFNVEEGHQDIASDLLDRHLQDFGLTGSDEELAAARLNHE PPPPTHAIVIDGESLKLVLHDDLRQRFLLLCKQCKSVLCCRVSPYQKAAVVQLVKNGL DVMALSVGDGANDVAMIQEADVGVGIAGEEGRQAVMSSDYAIGQFRFLQRLVLVHGRW SYRRLAETVANFFYKNIVWTLALFWYQIYTNFDITYFFEYTYVLLANVVFTSLPVILM GILDQDVSDKVSLAVPQLYRRGMERKEWTQTKFWVYMIDGVYQSVICFFMGYLLFAPA VFVTESGRVVDDTSRMGVYVACATIAVVNIYVLLNTYRWDWLTLLIVALSTLLIWFWT GVFSSFPDSFRFYEAARDVYGTLTFWTLTLLIIIICLLPRFSAKFIQKNFFPRDIDII REQIRLGTFNYLDEPKPAAGKSGDTSTTSSELAKPSKVNGKGPVSITDSERPLYPPSE TTAKTRNPRSQNSSDGTDRTKTSLDLAPPTRDLPSSKPVRSSYERARQSMDRLRPSFE GSRDFTSAALLTRVESSHSQPHSPYPITPSTSRIRDITSELQ EPUS_03336 MAHLIQYLEDIKQISPEIHLFCPRQNEDDFDRYESDTLVGEDGS QAQSDRTKRQRIKEAKDRRQKFMDAMGLIAYDGPETEAYKNYIWERLNDALSKCDLCI PAYYVAKLELKDTLQQQFEDDDIKSFFSIIDNVDIERIIKGLDRAKVTLQNVPEQKRN LSCLDQASLFSIFEALSCEAFLRKGDLLDAHFDKPFKLIQGKRPLKTRDYVPAATRFL FDSQPHRFMWASAAWARFDRPPTDAEWTWTVKDVLQKRFTQTYEERSPAAVWRLWNGL RFIVGRLDQQQITHNLRSLEPDISKMALDHLAVKTSGLQFIVQSLKLLLQKAPTDVWD AMGSISPSTVVEQIFSSPYYEKLLQDTSKHDSEQDSSAAQMLSWIPVFQSSLKPANQP HACRALAAQLFQRAYSSNLTVQARIACYKAALNTLLEVLQQFSDSPELRQSVGRFVVS DILDIVGQHIVHIIEKPPGGASSLGTSEDLEPPATDVVRYSLDLECQILKNDFQTILA GKILQQGPSTYTPDIWSVVVQNLHSSNTQLSEACLRGTLALPGLENFVNRRSKELPKE SQQYNDVFDKITNLLKQILERIADFAPEHLDHLFKTQETSMPLIAALFSADQGTYLAA NDLIKNVSGQPGQKEALTHLLRAFPGTTLYSLSWSFRRTAFMKTFASIPRILKTGMDI LDILTDTQTGLLRDSNLEPRDFHAAQTYWTYQWQVLKTVFKDTERWHTLYHDKALMTE VCRDAMQYAEALFEQYDVFANATCNAKSESTEAVDKLLLENNNGSPAATLQAMSKWLR LRDEYLSATLVKLITKMLQRLSDHNIKVPLEGLGYIEEVAVQKTIKTMLSARQKAEIV RALEIYHKRPVVVEEPSMKQKSLTEWTKQSTKGDGLESSASASREQSVDEFNDSDVLD KDLYELSKSVELSKARFPVHQKAQKGAKPDLTKQISAKVINKAIPQKSKQEVSAFLET RRKETEARKARDREAAAQLRGRIGVGEQTKNQGSGVNGIGVKGKDHTLAAESMMVSSE SETDSEDEMDKQLFGSKVKTVKQTGAGLFPAMVKAGAKVPQGPVKKIKRLLHRNDMRA RLSPDLSNLHRTILGWDFFADTELPPDSGKDDYTLVSNTFSTVLDYQRTFEPLLILEG WQSFRSAREDGNFKSFQIKVSNRLSVDSCVEVSTTMSFAESRELGIGTADVVLLSRNP QPHSAPDQPHCLARVKEKNSKQGQLEIVLRVNAANNPLLSMLAPGTDLWGVQLLSLTP LEREYGALKALAFYDLGEEVIKAKPSPILNYSEEQLHPLVENYNVNKAQAKAVRSALD NDAFTLIQGPPGSGKTKTICAMVGAMLTDSLRSQANTTSRSLTSNGHPHQTQPTIPAK KKVLVCAPSNAAVDELVMRFRDGVKTLDGRKEKLSIVRLGRSDAINSNIKDVTLEELV NAKLNLATPKHGSDRDIRDIMTEHKDTSDKFHALRIQMDERRAKGEPVSQADSQALDR LKKKRGELSLQIDKARDKQKTASRDAELERRRIQQSILDSADVLCATLSGSGHEIFQS LNIEFETVIIDEAAQSIELSALIPLKYGCSKCVLVGDPKQLPPTVLSKEAARFQFEQS LFARMEKNHPKDIHLLDTQYRMHPEISRFPSKTFYESRLKDGEDMSKIRARPWHYASL LAPYRFFDVQGMQSSAPKGHSLVNIAELEVAMQLYERLTTDCRQYDFRGKIGIITPYK GQLKELRARFSRRYGEGILSAVEFNTTDAFQGRESEIIIFSCVRATTKGIGFLSDIRR MNVGLTRAKCSLWVLGDSKTLIKGKFWNDLVTDAKARNLYTDGDIPRLLHRPLLTVDM IRDDIEMDDVTSCTPSVAITSSIEPTHADQRSSHGRKREATVGMSSSLASSSLDLAAG APCSSNLGSPRNPNSSSKSVTSQPESAQTVTPVSSTYSRDIVHTPTNGFRHQNSGLGG VYGPSGGRNGLNDLAKCRVCGSDNHFTHACENAAARSATQGNCFRCSSPFHSSWDCPE PRCLECGEVGHGQRACEAPPNLRLGRAEKERVQIQEDDFSRERTRAKQRRADKQLGEH GASIPTVKSTLPGQGKSQGPIPGSDLSNRSKADTKRKREEVSPVNAPRGPKAMRPGNG TVDLTGVDDEKRLIDGRKPDMGAAPRHPGAINRSGSVGRVIIKKKPKESDVFLKRK EPUS_03337 MNPDNSYPHPTASAITVMTDIRSQSPLMKGSINPEDRLIYEEFG QAEQRNAAAANAFKMVPRTIPSSTRSARGSIERHTGPVAHDKNMLRVLPLIHIPTSFK ENPEWRQYYNQSSKPAETKQQCLLDTRSTALPEHSLIKTNNSSTETFITANTLLDQAS MKKAGLDSRKLLRLPRSRSSQNILKAGNMRLKQLIRPKHNTYFRTEAVVGADQDIAGQ LQKPDFIASQKDLRSRDAKARMERLAKGEAIHPPRSLRNKESSTSIIDLDQVEARHGL EKYHRSTLSKSWDEIFKSVGGFKSGSDGGNDELCYNEAQLHFTGVNVTKPVSAADSIS ASKLQVNTSQNVDAKGPHVPAPRTSNITTKLVTGPTRQSFQVLVKQLPARPTPTRQSK QECTNQRDWATETDMHCMQVKASLTPHLREARSFEVLSQHGSAPNTSQSTIALEAIEA EMNNGQPVSPSTLMGPSPPLSLNSTPPQLVAAPTDPPAGPLPELPEEFRKAGASSRAS SQHSTRTRLTCPTTSPGPKHRKTTSANSSSSNLTLQAMKSSQGHYRHQTSPGTRSLKE ASTISSARSVLAAETPSRTHPQRKAALAVSESVNVSGSDQYYLGSSQDLRSLAASDID RGYDMIDTTGLASTKFPFLTNDALSSRRDHIKELKMRDLAQEKAARIRSQSHLRSLEE ASVAAKPSSTANKGSPRHIPLVPVSPFDTAHKTIIGGSSQASRRPNHHIPCSLSIKTS QSTMTQSQIMVLAETNPDTQLFCASTPTRSVRRTDSGKAMSGIGKEMKPRKRRSKTRV RCHVNTAVALNGATGGKPSGQYTPPLSEPSPHSSGDDMENTHRPEASQDVNDISPLAA EEGDFESTPSKQAFAVLARKEEKKYLKEQLLLRKLKRESSDLKLAMKLLSRGLEKLTT FVETDEGLNEVKGRELLGAEMERVKRMAESFECSLSPEDWEGLDQDTFRHDHPEKHTL DDVDVSLRGAKSARVDDNRPLSLVSRYSSVRNSMGESVISGPPLKDETIRNQLEGFNR ATMLKSGSLD EPUS_03338 MAWALVTPSSRGIGHALTRHLLLTTPVSLPIVATARTDVSSLRS SLLSDLPSSISPDSASSRLDIQKCDLNSESSIRDLAGYCSDRYSNRSRDPSAHLRLAF CIPGMLVPERAPEKIEYDSALETLKLNLLAPMMLAKHFVPLLPKKTQAKSPDGLPEVE GLNALAVIAFMSARVGSVSDNGRGGWYSYRSSKAGVNQLVKSLDIYLKMSSAGKAVCV GLHPGTVKTDLSKEFWGSTPKEKLFESGYSAEKLVEVVRELDGRMGGRCWDWKGEEIL P EPUS_03339 MEALAAASLAGNIVQLIDFVAEVFSKSGQIYHSATCASKEGEEQ DIIIHELLVTLESFKAKKPCTRTQSLRKSFKSVWGKEKVLRPEGRLQTFRQELSFHIL VDLRGQLDLSGDQAKNYFESLEKSAKISLKDLANIKQQVQETQSIQKQTQNIVTQEID ALAFNLDQQNEGIRALTADEGHQSQAQILDAVADAATMHVNAFSSESGKIKATIRDET ANSRAHIAGLMETNQEVVKQQINDLQRRLQQLQIEIDRKEFLQSLLQQANVAVETVTV ATKSNALQLRSLFKIVLAMRRNDNLSLAMYYAWYYEPLFTSYRYRIFQSGVSAKFSIE KGVKSLTRTPDESTPSSELRAVYDLARRGDQLLWTLTAMTAALNAYLDPEAVALVVQT VFNIRANPACILHRTNISYVADLVTKFFTRDEPAPPQLQNAQYDCKSVVGQRLVEDLA LWSRLAHVSGLQQELSAQLAPNAPNATGSIQWPTTRCLEADLACTLLALFQQPCPWSV KVLKDITFKIDLPENGVLLRKSHPWVLASATHLIAFLDTMRSAGVDIRIEEVAPDEES FPGYVAAHNQPYHTLHMEQLRSASWLWPQFKDLQGFRFDRVPQERIESSSG EPUS_03340 MANRVLFAFMGFEALFVIGGIIILVVALLTRAALNGKQNLDNVA NNLLLSQGPLTAAIVNAVFVFVTFLLCIPSIAAPTNRTFLKAHGYAVMCCAIFTLVVG LDIWFRTLNTRANLATVWGNQTTETQSLLQQRFNCCGYWNATSPPFVPDNVCTSTLVA ANLQGCIGPFSSFANSLNSMIFTADFGIVAIDVFLILGIACLLKDRKEKERYALIDAK TGFGPI EPUS_03341 MGPRRSHTKSKKGCSQCKCNEVHPVCQNCARREIGCDFSRRPED RSSTARETDSRTSSASQEPSQDKSPIHPEAVTTTPLPVSAATIDPFLLPNLESTRRTT DLATGDLELLHHFSTVTYTTLADACASVDNRELWQVHAVRLGFKHEFLLRAILTVAAL HLRHLNPLQSSYYDLMASTHQNFALETARDALTSVDENNCHAIFTFSCLIFVMTSASL RKQAGSEAHQEILEWFLLLRGCNSVLQLHWDTLRNSFLYPLLDEVNQTETKAGYSIKD ADRIMELLTTCCYSGLSTDPEASKAYAMTVYELMKTFSQVSILRDRGQGLMLSCCIWP NTIPQKYLELLADQKPEALVILAHYAVMLHWADKEWFMRGMARYLLDTIKTSVGEEWQ GALQWPSSVIGSNLM EPUS_03342 MLTVNVNRRRVSRSAAEIVVLERHVQVRRLGPLTQNVQFQTSFP FHHQLTEIGLVHRKSSNPIENKVKPFDMADITDPSQLDHGSPIDDPTGNGESRGTKRP RASAAADDDDDDDEKGGRERRKIEIKFIQDKSRRHITFSKRKAGIMKKAYELSVLTGT QVLLLVVSETGLVYTFTTPKLQPLVTKPEGKNLIQACLNAADPAGDQNGVDTGDVPES PEDVTSLPPNHGGMARGVPQGGYMPQQQVNDPYYGMQAQGGQYAGMPGMPQHQRGAV EPUS_03343 MADPSSDQDEILSILKKLQQDQAQLAAQVEAINNRMDVSSSLSS FRLASSSQEPAFRGSPSIDPIQLAKAANGTTPSRPDSAHGDLTLPSRASPIPAVGRKN SVTSRIILTTYPGQSGIDPLPMSWGENDPAKRGPVVVSRQQSTIRRRNAIGAHGGSYA VYHALAVASKNLDIDHKADFTNTEPAVSIGPFPSWADKKKIVAMDPLGHLAPWLFAST IKSQDVEIRPTIAITKAHMKIPELEASVKAGRLVPDGKICLNTTGELAVTKFAVEPVW YLPGVAERFNIEESVLRRSLFENTGGSYPELITRNDIKLFLPPIGGLTVYCFGDPAKM SDPSVRLALRVHDECNGSDVFGSDICTCRPYLIFGIEEAVKEAQAGGSGVVIYFRKEG RALGEVTKYLVYNARKRGSDRASEYFQRTENIAGVKDMRFQALMPDVLHWLGITKIDR MLSMSNMKYDAIVEQGIEIRERVPIPDELIPEDSRVEIDAKIHAGYFTTGKVMSLVEL SEVQGRAWEDIDH EPUS_03344 MDVHPDLSISPITVGTVVLLHGKNFCGATWGTTARVLLSSGYRV IIPDHIGFCKSSKPAAYQYSLQQLALNVHSILTNLNSTAPLTLIGHSLGVSRLVLVNP IGLEDWKAKGFPYQSIDQIYLGERASNYTSIRGGPEAESYAFDQALITDAVYTQPIVY ELPLLTKTKVLLLNGAKDTTAIGKTWAPPEGKRKKSSRKPTGPKKREPLATTFTCLFC NHEKAIQVKLEKKLGVGTLSCKVCGQRFQTSINYLNAPVDVYSDWVDACEEVAHKKVD DELGGNAGKATAEDMDFSTYGTENGPRRGVAADGDEDLINDDDY EPUS_03345 MSTRTQQGVSSPAPEKDLGPTYRPAAEKPTATVSKEVPFENVHI LPQTPQLIALLTMIRDRNTERGDFIFYSNRIIRLLVEEGLNHLPVVAHSVTTPVGKDY AGVKFQGKICGVSIMRAGESMEQGLRDCCRSVRIGKILIQRNESTCQPHLFYEKLPTD IADRWVLLLDPMFATGGSAMMAIEVLKAKGVPEDRILFLNIIASPEGVGNFSKKFPKV RVVTSFIDQGLNEKNYIVPGLGDFGDRFYTL EPUS_03346 MPSQDSKPRRKPLVYGKARRSALAYNVFDLDHALREDVHSRISS EKDEILGGQGQIQGQVVKSVETTPKGKLSNFRQRSEKQLPAILPTQKDSGSKTTEDCR ASTFDLSLSEEEDSNILFHKSMQKRRRLTPVRNQGRGVKSHRKELGYSGTNEDSTQYP RDTNGPANSRLRAQATSQSRVARQSPRRMRTPAPEDGGPISPTSPYSTPRQTRLLSSL INPAEPTDSPSKLPLTSLSLANAEDLKPKPADILSRPSRKTEQIKKGLLRPRKRLIDA MVSPQKRLSSSASGGSDSDDSVLDARNSFRQMDDTRYEVDLVATVHAEGEKRVEETRG ITQNNSSTSLGVGAKPRATYSRERSHLADMVTDDLLDPISQPALRGDGLLMSQNGAIF SSFPSVISQEGSDEEQEQDIVGIRSIHELRHSGGNARSQIDIESILEDIEAKGSSSRA RRLRGLAQLTKRLASPEVGRHVFDQSLDQRLCSCLCLDGNVVFQTLLMMILSRLMTSL QLSIASLRRIFEALIGSGMPLLEEPRDWVSVVQDRKQNLPKASCREMTAVVEPFRTSP VWSDRRPKSVSPQLVLLRSVDIVLRQVRQLGDSSVNLPAPFFDRLVQLLLQIGPIQLT DAKDNDSVLVMECIVSIIESLTISKDWAEEGCLEVAKKLSGLGPLLSQLNVPSAEASH RTQHLVLRLILNITNNDSDLCDSFCEPALLAAIFGIVERDFLQASILARAVLKDIQLE GVILALGALINLAEHSSSFRQAMLDCSVDGRSMVDWIAFAFRNQVDIASEVTSIAALT APCTDTVQASSVEQTTSLVAFGYLAVLLCNVCMDAQSRERVRGILKGGTLEPLLQFVQ EFLDHFRRAETLESGQEEDEPSMKSGFVDRFEGKSSLTVQYCEGHFVESYYPTIENTF SHEILYKGQKFATEIIDTAGQDEYSMLNSKHFIGIHGYMIVYSVGSRQSFEMVRTIRD KILNHLGVDEVPIMIVGNKRDIKKELRKVSPQEGEALAKEMGCGWTEASARDNENVTL SFELMIAQIERSQNPNEPTGRNKCIPM EPUS_03347 MLDVLDFITDRGGDPQKVRESQRRRYADEGVVDEVIALYEDARA TKYEASQVRTQINGVQKEIGQLKKAKQDATERLARKAELEKEVKRIEDLAVEKERQRD LKIKTIGNYVHDSVPIDNNEDNNALQRKWAPEGVTVEKKDCLSHHEVLTRIDGYDPER GVKVVGHRGYCLTGYGLFLNLALINYGLEFLFNKGYKPNQPPHFMLKEKMAKTAQLEQ FDEELYKVVEGGDTPDKYLIATSEQPLSALHDSEWMSEKDLPIKYAGYSTCYRKEAGS HGKDAWGIFRVHQFEKVEQFLFTKPEDSWQAFEDMIAVAEEFYQSLKLPYRVVAIVSG ALNNAASKKYDLEAWFPFQGEYKELVSVSNCTDYQSRELEIRCGQKKMLDTRKTYVHA LNGTLCAAERTLCCVLENYQTEDGIVVPEVLRKYIPGAPEFLPFTKDLPKDTTSQKVK AKQVGRGTDQVQAKSTDGAATKMQDLRV EPUS_03349 MALQVPAANDRKRVKVYELKESDWFDRGTGFCTGQLVNDEPRLY VESEDHPERMLLDTQISREDAYQKQQDTLIVWTEPNGTDMALSFQEAEGCLVIWEYLN HVQKSLQALNPDDALSDDAMESFSNAITLPDPELGNLPDIEHVIRIASSTPGGREALS KFLIREDYVAKIIPLVETSEDLESLQDLHKLCNVMKSLILLNDNAIIEHLVTDEIITG VVGALEYDPDFPTHKANHRQYLNDTTRYKEVVPIRDQVIQKKIHHTWRLQYLKDVVLA RILDDPTFSVLNSIIFFHQVDIVQHLQGNASFLKELFAVFDAQNHDIKRREDAVHFLH QCAAIAKNLQAPARANLFANFISHGLFNVITFAIKHPNPSMRTTGIDILVALLDHDPM MMRGYMLKAVHDKKSPLTDTMIELLHAETDLGVKNQLADAIKILLDPQAPGQETPGNR NGGEFMPKISRQPQISPSQAANEQFAQDHFDGSCKRLFRPLRDLKDRETMQDFTYQEV SLHTHLVEILTYFVRSHSIRSRHFVLSEKLPARVAQLLRVSQKPLKLTALKFFRTCVS LQDQFYVNQMMQNSTFDFILDIVIETMPRDNLLNSACLEMFEFIKRENIKNVILHVVE KYRDKVKDITYVDTFQNLINRYEQMQGYNADMGQTLFSQDDESPSTARNHINGGHRWQ GIKEMDSAQEEYFNTSDDDEEGGPVNREDVNRVRSDRFEYHSHRLKMGGLPNGNTAKS NTGANTSPMSGGGGKPLVDYPEDEDDFVDENMDVRSSSGSGSAPVLTPQTPGTPESMT SEKENTSPESINNSSSSSGHRRLQPSPDIPEKILEKRRREDDEDEDDELSKLSTGIKR RNSTSSHSSTTSTGTSSFPGLRRKKSLLRNKQQQQSQGQSQGHGGAGKGDERSSTSPD GETHAAGGGSSSSSGMRTGTGTATGKKKIAISLSSTIALKGEKIEDVSKSKSNPPPPL PDIVAAVDGEAATKDDGGEGGGGGGEEEEKEEKGAS EPUS_03350 MSIFSKLRRAKQAADSQKEKNNAAAETKPKPAPYKHIPTHAASD ALLGAPAGRREEDRKAILAQHQRRSQYNLSRNPSSLSNVTTLNRDQSFTSRDFVHGGT NQRKTGSRDFGSASNVPRMGHLTSPGTPTASSLRSQLQPRERSSGKTIMRRSRIDAID PPPPMPNMTGHRPVRAYPNAASPTKDVKFHLPSSRGNSPSHSSEEGSVLSSRDSSFCE PNAATAIPTRHPRRPLTWESSGHNFVDPSYRQPPHPHRSSNRTVREAPRLSAVSTTPG PYPSLNPTTHRVENDLGFINFGLDSKDMGFPVAAH EPUS_03351 MATAVVDSGGAPLVSSGETNASAPEDPFVNGSGDHLPHPHPHRY SAFDHQIFSLDAASSPSSAKRAIEAHLAETNRRLEDASRLGTSLVEQRAQLAEKLKEV EKRKDDAELPPELKEKLAEIERDYNDVGRETARALLAPRTRVVGTDDRPSESSPVVLS TQANASPSKVSVPSRKQRNQPLSRAEDLQFAADITTSLLGQARQLQAALAERDESLKK LMSEKSRLETEAESFAQRLRALDESEQRYKDENWNLETQTHEMMAAAKEAADREKKLS ASLAAALSEKSRLENDLDEVRMAHGKLSEDHTAAEKLHDSEIHSLRRNLDILEGERNS SQQKIDELTAQNQELAQAIATRFRTRDNDAERDLSLNEEYEFKDTITPEHSPPPSPTK ATPRHGALESETLKSSLQHAHRMIQNLKGNIHREKTEKIELKRMLQDARDELEQRRAE SGGLNSGSKRQKTKPETFRKPARPDMLGGSRRARTDIEVDEQDWEDHPGDGSPRHAAA TRTLTLGPGPGTGHLSGAGNMTDFSDAYQTANETEGSFETAHERETTEGEEFQTGAES LAGESTDESTETETEGRVGRTNTIRTNMPSMLGNVGKRNSYMSTASTSAGEDEIDFQT PVQSQPLRYRLKMGRGANARQSRNQVEAAQRESLVTVQGSPASLNTSPPTGEQSLFAE LGEFNGGGSEFSTPGGASVFSSKSTPGPALMSGRKSLTDSDPTTAPEPPKMVDSAMMT EPWKPETTPPRSLGPPIDLAGAAAGYGLYGHDAPPSPSDFPLPPSLTSSPSKSEVHYT PMRGLQDSPNRGTPSFITPPKTIWDEAQDQGLTETGGQTIPSSPPAPTQSFMQTLPQT PDLTSTQQTETAAISSTVRFSFSPIISQETIPDEPPVSDVPQSTATNTPSKDRTSSTP QETAAGMGLIAAMGAALGLSTAKQPETVVNEDETHHTTHEMVTQEAAGQSSPLKDTSG NISPTGRGSLENPVEPPASVPMSTQGSQTILTSAQIDKALNRTLAQPSQNIRAAQTPS LKPIVTGVVPRSPSRNRIPVADVDLPRPLSPVKRSSSTSSQQAANAAPHPPLPPDHRD VIAKAGGRLPGSGIESSQAATTSGPGLMGPPVAPASAYRRPRTSADQSISSPTRGGAA PRPTFQERNRSRAGSQISRQSSVSSFASELDQRFNIRTDGLPANQSFEAGPGTDPRMI QAITQTMIGEFLWKYTRKAGRPEMSNTRHKRYFWVHPYTKTLYWSEQDPQSAGGKELK AKSVAIESVRVVTDDNPMPPGLHRKSLEVVTPGRKVKFTAGTGARHETWFNALSYLLM RGQDDGVENGNGNTVVADGGLTADDVDEFNPGGYGRNSRMNGMSKLSLASYTSQTTRG TMNRSRASMRQSQGQAGSSMNTAHASSPALGNNATTVSSTTPITTERDEGHDPNRALR QGSVSRFSSMFKPSTIRDSFSMSRRSVRHSDVSAGTATVSTSGARAGRESSLYNASAV NEDELDSAEDLRREMLRQEMEGEGKLENVRACCDGKHDVSDLANGGRHRHSLNVGFLR RRRRRGRRDRGVEIG EPUS_03352 MSQRNLEASITFLEASSKATERQGEILKAQRQHLESLKRQRVEE HQASRTRRLAVQNLSLAVEEKTQALALELKEAEEGLRGTLSQIPSLAADYLHNDDSHL QTLTAISAIDADHGNDVRVRVTQLTEKLSQLDREAIECRLNRVYLQQLARHDADQCCE QSQLIEHEVEKDLKSLHVEIPDVATMAVAQCFRTPLLQALAERQTRRDAQARSQLEDV SNAMTVLIEAARAFVDRLEALLSYRTALTAYQADFQALAHGFEKKVNAGKQVRKPYRG ATSLAMEDVMKHWNVPISESGSVEQALWARKRKVEQDAHRSSIAVEDMIRKHHNALPM DCAKLVDIDTGTDVCLLKFEKDIEKLQDCMDRADLADLAGTEGTEGDECQVRFVNRWA EPUS_03353 MTLFSRKRPRSSDAAQRVSQEPFTTDKPSKHHMLPTHYNNGRLV TKGIQQEGESGRSGFNPVHFCKVAWRSSCRASMFLNVLWPFVPAGIALYYSRRESTLL VFAINYVAMIPAANLLGFAGQDLARKLPRVLGILLETTLSAVVEIVLFVVLIVKDHRD GHNVAVIKAAILGSILTNLLLCLGCCFFLGGIKRHEQVFHEAVSEVGSNLLLVAGFAL LIPSAFYSALHSSAFGPGDEVPTGEDGRPQEVFTLTRLNHDILAISRGTAIVLIVAFI LFIWYNAKSHDTIFDDVLAADQEKDVDREHDLSKAKFTLTECIMALAVSLALVSIIAV ILVNEIHPMVVEYRIPDNFMGLILVPLVEKFAEHITAIDEAWDNQMNFALFHCLGPSI QTALFNAPLVVLVGWGLHNHFMDLNFEIFQIVLLVLSIMVVGNFLRDKKSNYLEGGLL VLIYVIVALSAWYYPNPSLTTSNSGATGIDSH EPUS_03354 MANTTITLPRDPNTLSNYNSWRTAHTTANLEILFDEQRLSGNVV LTLKSITHAESKQIFLDTSHLDISAIKVNGRSAKWDLLPRREPYGSPLRISLETGIAA DQTVEVVIYLSTTTSCTALQWLTPAQTSNKRQPYMFSQCQAIHARSLFPCQDTPDVKS TFDFNITSPLPVIASGIASPANPTAPPPPGRSSLYKFHQPLPIPAYLFALASGDIVTA PIGPRSVVATSPDQLSACVDELSADTESFLQAAEKIVYPYAWQQYNVLVLPPSFPYGG MENPIFTFATPTIISHDRQNVDVIAHELAHSWSGNLVSNASWEHFWLNEGWTTYLERR IQASIHGNDAWRDFSAIIGWKALTDSVEQFGHDHEFTKLVVDLKGKDPDDAFSSIPYE KGFNFLYYLEKLIGKDRFDTFIPHYFTVWRGKSLDSYDFKATLLDFFAGDKAVTETLA HVVLQTRSPVETGLRHLARPAGAFLRRY EPUS_09083 MVAPVDPISLTIGAVALASLFSLCVQCFDLINVASNLGTDYEIL LVKLSIEKRRLMIWGEAVGILRPDEDRDLLLDDPETHALVERVLMNIQKLFHDADALR SKYGLEKASEKPAIQTATVEGSIICTSVFENSSFVQFQKRVSGYHQKAGLMSKTRWAI RDNGKFSKLITNLKDLVDGLDQITTSARTSILKGRLVRQETESIPDLRTLKIIEENCS DADWKSYASAASEYLNTLGSMHPSKRKYIHEWMEIDQDQQTSSAMQATTRPESWRRPD DSRPQEQEASPVSSPYGQERFESMTESRNQKSLILQTLNRPNDGPASTEVLVMCSTTT LVAWIPSMKKHFHPIYSIPQTTRANHITYSRVMRAIKPHTDPRTCIAIYCPPRMRYIH MAFRFLGLDAPTSFGYRCQIRIDDRLLDKTTSFVGITERMGGYYRELEDAADSEDPKV QSFLNAIDLNWLAQRIDCLTLPSYQPLSQTLNWSTIFRQSKDDPYCVGIIVMAGQETC RTLFHTPPVTPPDSFRGEGRVYRLRELQGVNDQSKFRWKAEHYCNIAEDKDFDEIP EPUS_09084 MDRIRYWLPHPGTEVDGEKSDRLEATAWEKLINLPAILVSTAFS VVLAVAAAREWHTTGPLYHFSSQNRATTQILVQVLASLLGATQILAVCSLLYMSTSLK LRQKPTELDRLRFWAALRRRSFEWDLPAKLLPFLLFFLLLAQLPAALWAGAITPVSTA ALESSTVRIPQYTGRSQELWNRTCLMCWARPVATPNNTDSGFFSYHPSFDMQGSLLGT ASSASGRTSEKVRHQKIDNSGFFYIGRSYGVGAAAGLSDQDLSGSNDYAYNEFGYNSK VACLINETSAWKLTRQFDFNASTYPSVYLASGFLPNSAPEAREFYSVSSLNDNLTIVA LVGTANDNRFMYAFATGTGYLFLDKVQCEVTFEPTRLEVVVDVSNKTISMMPSTDQIP GAAYDIKDIDPSGSLKKMVFRQPTTMSMIDTTLYTSLLGNVFRNNYGNLLDREGLDSA FDQRSRVEPGPKQNFLLTAVAESLESILDNSLLALANAQIAIASDTTEVPVSSRVPAV QIGESRYTYAVLAINAAILVLYVLTGLSTKLWKGLTKFDYTDIKCALVGASAGGDKLG KRVGIWDGDPADEKVGAIRVDLRYDEMIGGMVVACAGQQDRSTTGKMYTIINTEEIND G EPUS_09085 MSRVKFNVNSEYAYIQNFKQLQNEFTKHGIERLVPVEQLIKCRM QDNLEFLQWTKRYWDQHFPGHDYDAVGRRKGSGAPAPAATPRTSTGAGARRPQAGAAP RTAPRGAAAGSVGGAGSAGALKAENDTLKEAIAGLEKERDFYFSKLRDIELLLQTAVD QDPELEKDDNGLVKNIQAILYSTEEGFEIPTEAEFAEGLAENGDEQETF EPUS_09086 MASVKQLKTIPIEKSPSGTSATDRSESITPGKNTKRKDYGSEGV TDNDIFLLPSSDFQLLGVLTAIATVVRLFRIYQPSSVVFDEVHFGGFASKYIKGRFFM DVHPPLAKLLITLAGWLAGYDGDFDFKDIGKDYLEPGVPYVAMRMLPAVLGIATIPTM FLTLKALGCRTMTAAMGASLLIFENALVTQSRLILLDSPLIFCTAFTALAFTCFTNQH ELGPSRAFDATWWFWLVLTGLGLGATVSVKWVGLFTIAWVGSLTILQLWVLLGDTTTV TPRKWFKHFFARVFCLIIIPIAVYVGLFAIHFICLVNPGDGDGFMSSEFQATLNSKGM QDVPADVAFGSEISIRHHNTQGGYLHSHAHMYPGGSNQQQITLYPHKDENNVWIVENT TQPLTADNVTIPGPRAWSNISTTYVKDGDTIKLYHITTDRRLHSHDVRPPVTEADWQN EVSAYGYEGFEGDANDYFRVEIVKSMSDGAEAKTRLRTIQTKFKLVHVMTGCVLFSHK VKLPDWGFEQQEVTCAKGGTLPNSVWYVEQNFHPMLGPEAEKVNYRNPGFLGKFWELQ RVMWTTNAGLVESHAWDSRPPSWPVLSRGINFWGKDHRQIYLLGNPAIWYPSTIAIAV YVVFKGLSVLRWQRGFQDYNNVNFKRFDYELGTTVLGWAFHYFPFYLMARQLFLHHYL PALYFAIMALCQIYDFGANRIATLGLKNRPEISGAFIALFLAFAIGTFTLYAPLTYGN AWTQDACRAVKLFDTWDFDCNTFYTDYSQYSLQAPQASGKATEPPSLPPQGGMPKAAG GADSAGKNDDRVTPQPAGAVSEGQLVLGREENVEYRDQDGNLLDAAEVSSLAEEGKVT FKTKYETRTRLVDTDGNEIPDSRGVAPKHPDVEGQNPDTKGIPETQGKSQPADAKINY IGSENREQDGKPKPGSEANEATGRSNFSSVRA EPUS_09087 MATNGAVPELPEAVSKPPEGIVLPPKDIRAIVEKTAGYVARNGH VFEDRIREKEKHNPKFSFLSPNDAYSAFYLWRLNEVREGRGTAVSAGRAGEGAQAVVE EKAKGPAPPPEFHFSARMPNISAQDLEIVKLTALHVARKGRSWMTALSQREARNFQFD FLRPQHSLYQFFSRLVDQYTLLLQTGPEGQKAEQKRIQDLQQNLQDKFRILERAKQRS EWVKYQEAQKQQKEEEEEAERLAYAQIDWHDFVVVETVLFTEADDQADLPPPTSLNDL QSASLEQKAMMSLARPDMRIEEAMPTDDMTSYYDPYAQQAQTQPYNPSPSPYQPQPQL QQYASTMDYTATPPQPAMSPQPSSVFASSANNISLPTRPDATPSPRPPSVPQQPPAVA TPAAAASANTAAPMRIRSDYVPRAQQRTKANPATASAICPNCGQSVLLSELDKHLQIE LLDPRWKEQRAKADSRFASTNLGGTDVAANLKRLRARTGGDTSDPLAEAAARVLENQE EEERRKRLKGMDGTYDAPGTTSYPTPTVDQQKKQPAQGAQSQMSVQEQIKNIHQKFKS EPUS_09088 MTFSSASPAPAILASSLLSREKNRRPHSPHQWRTGIPSLDRTFP PHRWIGGKLIGMIDESTASILVEEPKLPLITQLIITHLASIHECSINISTSPPSSSPP PEAPAAAAAAAPATVFMITSATACSTSSISPTTLATALESAHLPASLLDTVSLLQYFD FPGLADAVAEVSSTLSQRQHDGQAQNKEQQPHHPPSDSTSAQTQPQPAQPSIVVLEGL TQTLTTLARSTGPVATNAFLVPLLRSLTQLSRTRPDLLIVMLLEVEFLDLFSSLQGDS GAAEELSAFAGYF EPUS_09089 MIFFNRRVSPQAYCTFIILLTALPTVRADYLSFLDRLYRAFSKF NIVVPASTISNVDAVYRHWNYMEANYSKWEEAIYNDTLSEDERQKEVAEASKGVDDSW YHIIGDFMGVGVNKSCRPNIEAVLNESSEWDKAGQQASTVIMAILPSLLTFGNLYVPR SSEAFGTSFLVGLLSAAFGFGLPVKSISAVPVRQTITLSSLNWEARTDLEGLGKQLLK KAIPAKLSELQKWSDNRDGMISTGDAWATGISHAALNWQSRTHWWHLPSLLVAALQTI ILLLAVFPLFMGLGVPQLLFDCTATVTSIYLLLSAVVSSIARALMWESSDHERVHIFA LSQLAEKRLRQIADRVPRELSTEVDLPPLQAPLLVRPIVSVQRNLTRFWFAVTRIRRG VARKQPAPQPLLVGTDERYWMIPVQFMRVLIARLKWIATNLLTFFLSGFQSHHTAIRW RPLIVLAHLSTTGRDPLKTLFTGIVEGSVLLVLTFFFSAQWAGNLIVTAYSVAYLLIA VSVARGLGLAYIKWSVRSAGLHLVECESVEEIHGSLRVLCSMKHLLVRVNGAWYFEGK RMDTTPAFWDWELRSERGEFDDEKRSSIQSTSTSPVSTPPASVSPALPTKNDTEQVVT AVNHRSTL EPUS_09090 MSTPQETQQAVVDQQQPAQVPQPVSTPSAVNNGGENLQCQWQGC GERCASAEALYEHVCERHVGRKSTNNLNLTCQWGSCRTTTVKRDHITSHIRVHVPLKP HKCEFCGKAFKRPQDLKKHVKTHADDSVILRSPEPGQPRQSGNMYVPNEKGPSTYYNA INPNGGYDSGHPQGPNQYYQPQHAHQASHPPYGNVYYTAAPTQQAAFNDFEARKRGFE ALDNFFGEVKAGRFAPLTYQNISQRLFELQGLQLPLIAQPPLSAIPAYQPVSAVAGSV GGYNSNDPMQGYSLPPMGNAKSRGDLTSIDRLLEQMQAAIYENDSQLAQAGVAQPGAH YVNYRTGNSPPGVQLPAAHAQSTPMMSQQQQHHHHNPSIASATESVHSSTPALTPPSS AQSYTSGHSPVSHNMTPLQAPTSNGNAMYPSLPTGTLDNGFPNATPAPNAATLGNLYD GEEHPTHNAKAAAARKRRGQAPASSVIDPALSGMGSEESAAAVAAASLESPASNASGC EITERDAEKERAWVDSMRLIEWMRDYVKKRLQEGDFDEDQTVSEEQGKDVDMGSGEVN SNNQNGKEDLYPTLKGMGN EPUS_09042 MVVIPSTAVGTTSAVLALSKAAWKLGISLSKLDHDTRIVDTTVD NLARKVKSLGNECDLVYAELEGIISQSQIGSAPYDIDDRIWNCLATQVEETSQTIQEL ELFVKSVRGEDSGFIGQAQRQKKLDKTKNQIASIRTKVCRHTDNLRTTLLLIHTVLAH IAPCQADQGLAKELDKLQDVVEKLQRSSEANPHSRPSHTEATLLQCTREVIVKGTTVY EASLAAQSITGGRGATNSNIRAVEWMSTLESIRRDERLSDPSDMVSNVSSIFSGNETY TVVTSATSEQSAVQEDEAVDAVGDDSDDDLDTDLAKAALDTGAKAFEAQEWEEADSLL QEALRILQQLPKQQRAFCDIFGLHYKLAVCAYHTQEPADAEEALMGLVQQSASSDEQR RCISDAAHLLSHLYIRMGQVDRARSECKKALQTRRRLLGKRSDASLESTALMAHIYVL LNNHARAKLCLAMIPEARRDAVLKIVEESLGTKFEHLDSSSPLTRSISEDSDLAVKRF QNRLPASSLVPMEISCHGPVSTMISPSPTAGLRQSHQRIPSSQAGWEDLQSVTVASRS PTEEGSESRATVKERLNEDHTADSEALGGAALSLGESPEANKTFRRKTLSRKEILDKI GCQPRDRIEDAVCDGDHSALASLLNGKREFWRSKLRKRGRPERVTALHFAALFGEIDM ARRLLDSSFNINEVPHGYSTSLTPLKFAIGARQVNMVEFLVANGAKPSEPDSWSTLAG QLMNRSWLMKTMSEAEKEYVPDRITAILRILLKHGWNVNAPFETSGGTVLHQAVTFWT GSYTWDLNLRAAVTLFLCQRGADPFQANKEGKTPYDMALASGHQDLLLVLDRGSKMKL PDDRPPEPVELPSELPSELSDVI EPUS_09043 MEEAGKGATTTTTQSPAHLVEAGQVKIPTGWKYKKLKLGPITLP WYASPESQLILVSFVCFLCPGMFNAINGLGGGGQLDQDADASTASNSALYATFAVVGF FAGTVTNALGIRFALSFGGLGYCVYISSYLCYNHTRNFGYVVFSGVLLGCCAGILWAA QGAIMMSYPPEKLKGRFISWFWIIFNMGAVIGSLVELGLNFHKDHNDTVSDGTYIGIL ILTFLGACLSWTLVDAKHVVRRDGSRIILMKHPTWKTEILGLWETLLSDPYVILLFPM FFASNWFYTYQFNNVNLPRFTVRARALNNTLYWLAQMFGALVFGFALDFPNVRRTTRA KAAWVVMFVLTFAVWGGGYVFQMKYTRGDTESGNIFVYDWRSSGYVGPMFLYISYGFY DAAWQTCVYWFMGAISNNSRKLANFAGFYKGIQSAGAAIIWRLDGLNTPYMNLFASCW GLLAGSLVIALPVVILKIKDTVPVEEDLAFTDETIEDVIGHKVMNEPHEREKVYAMVR RE EPUS_09044 MAEPLSIAGSTVGIVSFGITVCKDLVTFVDHVKDGKSEKSQISS RMDQLANCLGQLQSVVDATKSPDEDIISCADPAINACATALERVREKLPSSSQQSGKG SFSSYVREWKTNLAYPFRRGELLSLKEMVEGFQQNLLVASAALQLAQQQRNHDHVSLE LASISRLMIENATSIQDGHTNLRSDIESIGDSFQPVAADMCVIKEHMALLAGSITRME SRLTDTTPDTCTPSSIRKDARRLDQLHRRLASTNSSAVNRHVNKILPCQCRPTTQSRT LYSWEMWSLRPFYIAQETSFEHEKDCLYSAYSEARKNLQLRLSFCTALFRSKVQLNIQ LYYGAGMFGVSLPLRIHRIVPESSPAFSLISNLHNDIKIPYVGEVYISRLREFFEKRD ASPHDRLNDGQTLLNALCMEVWKVWFLSPYSAVLPGGRTLGEAPIIDLIPFLLKVMGD TALELSDRGIGLIPATPSHHALNTELFQPEYSPYRATMKQLIQYGIFEENSFCNDFVF SMLTKSLKGLRDVISRNPDLFDHTSGYSYNGLVAMTALGWRDGCQVLLETGVSYSGKD DDLEDRLGELLYNAVLSEDTNVVQFWLEKRRILEHQGLSPNSIIETVLSRSIPSINIL IILVKELVEQRRRLKSLAKINLRNNKDFFREDRELDANARTIFDVLVQQCVDVTTCLR PVLQSVYHTFRPHVGVAEHLYKAGFRDVKASDFDQISGAIPPLMWNAAAIEEYSDEEF PDAFAICEWLISKGAELTETWPDSNTSAWKLLSYQAARWILRSLGLDLDEFERFNPTS KEAIILQLLSNAHVDGCICACSRHGCSALSSFIRGAIERKYGWVGNRTLIFILASWVA PVVRSHRRLATDFLRALTFWQLGIRHKCCNIAPLAEGDGPFSGLKGPYSRSKRWLPGS RSWLLGSKSWVLGSKDLYGSSVEFTHEVSLAPRYDSAQLEEIMEEDQHLVDRLEDLMT LFEAAYNRLDQDILDFVMGFWSERMLQELQEQAMIDIQKYGDGRKQLGIGQVIFDPSE TGLIGKVDLDDRLEDFGRNLNPGSVTSYLVFLRRTSRIKPLSARMLGRRYPESQHQRV CNLRKKYADSSCDTKISKSVRVSCISAYLWHEAQFATKRSLAPAIWRGYLLRFSTKPL ITSFVRFSAVLYAHLRPDTAFYSTVGDGGNGIAIDSDVIWVQICSFCP EPUS_09045 MAPSTCPSCNLLLHARLRARLSFLASLRSRRQSRSLRLAPKPLQ PAYHWDTIPPTESQLEAATSFIKTHAPRKLWTASEWRKRNEAEDSSNHGLKHQLTPEV AFLGRSNVGKSSLLNALLQTPKLNRVGPRPGKTTTMHAWGLSASNPETGGAGPGGEMK IRLAVLDMPGYGFASRDEWGKEIVTYLRRRKQLRRAFVLIDALHGIKSADEQMVELLR NEGISYQVVVSKADRLLERGGNKKLQAFFELVRREIVQPDAGKGFDGLGEILAVGHLG DSKKSVKIKEQDMLGIDRVRWAVLVAAGLEQWAITRANKEKRTDITDSKPLMRDDGVM VPWKSGDHHLDDGEQPSSALTQPHDSSPPSSRTPTNEEKAGAERTPFFASSESSSTSS STAEYHRVSALARLDSRPLAKKAKQHHERIPVPARLASFQVGGLAELEAQLPSKSSTS SRKGFPSDLRSSKKDGKAASPAAKNSVQMSDHLERRPTLTRERIAKTASRRAAEATDA DYRARERHSPGTETRSSYTSSPPPPPPPNPATNYASRPPPPRMLTSRGRRGRGSGRAD GVDGEKKREKNKMKKEKVIRMRHGKAKGAFKAAAKARALARPGNVGW EPUS_09046 MAVPITEDDTDPLSHTSRRTASSSHSTTPKDAVSSSFASRFSPS AVLQHQRSKDRLTSTMAHFGADSTLGQFSFAPATQTTVVTTTTTTTTRFPPFVMRAPR RMQDLDPKLYPLAATPTPSSLRNISFDLQGKQTIFKEAEDTTVAMEEIEQENEILKSN GAVQTVQTFKSEPRDFSSSFGPHPQRSAPRERPASPDSIVDHAEPGRSTRSTTATRRV DSSSRGPSSLPRLRNFRRGEPATPEIDLRERRRKGRRSLVSSTSGSASKVDESPLPSS VLKHNTPSTLGSAHDMASNRPTSSHGLQTTPSSRRPTIIETQCSASGISHSQTLIESI VPTPPIQGDERQFAVPPARRNLSSIPSRLAAVDTSVAQDGSLPSPSLSPVTAAANLQN AGYFADIDSNSEMASQQDHDMSESSQAFDPDSAKRMFEFPTPQKGPQLPEGSPPIPEN LQSSINDIPAMLDFFEAIPDELKSYVMHQLLRRCPKPTLHLVADVVNPALRCDFVGIL PPELSLIILKYLDAKSLCRASQVSRKWRHIVNGDEQAWKDLFDADGLSLPQGELRRAI VEGWGWQDPVGVDGWEQDISAASGTRSESDTSLSTSTMLSNMGQRNGQLAGTGSSRRP KRKAASKLSNRNKQLKRRDTSSDISEKLESIDLLSQMSSAEGPYNAANAAAMAVPYPK VGLSSLKDLHLYKSLYRRHHLIRKNWMREDAKPRHIAFRAHDRHVVTCLGFDDDKIVT GSDDTNINVYDTKTGALRAKLRGHEGGVWALQYEGNVLVSGSTDRSVRVWDIENGRQM QVFQGHTSTVRCLQILSPTKVGETPDGRAIMMPKQSLIITGSRDSNLRVWKLPQPGDP PYSPAVSPQEDTDCPYFVRVLTGHQHSVRAIAAHADTLVSGSYDYTVRVWKISTGETV HRLQGHTQKVYSVVLDHERHRCISGSMDNLVKIWSLDTGSSLYTLDGHTSLVGLLDLH SERLVSAAADSTLRIWDPDNGQCKATLSAHTGAITCFQHDGQKVISGSDRTLKMWNVK TGECVKDLLTDLSGVWQVKFNERRCVAAVQRDNMTHIEVLDFGAARDGVPESKRGQRI VVDAEGIEIDPDTAVMEIDGAADEQ EPUS_09047 MKSALLRRRHGKGRGVGQPKGTPQQEEPSFVQADEPMQTTAIRF DQSLSLVEIFLHGAIASVLYSRELIRHGSPAYSERCVADLFDASGPVTYRDLLERKIP TEDNNSQVFKVLMEGRSEKADKILTLLEGGIFHALHRGYLEAVQLSICADSSRPSEVM ECYTFTFTYDSAPGQSRRGVSSVTVSPGPQPAFFVEDAQLSFNAAIKGLLRVVLRLPP LPRRRNLGLNLFYTDDCPATYEPKGFESCIDDVIHFPGGIELAKKSEKTAGLVVGTYR TGVVVSHVSPAGLDMSCLKVIPEVMDYSTKCSRLDEYKINLTPPPLPQPSQRSAPGGI MASSAAPSTQTRDDIRTKQALQQMQRSSSRPQDLMPTQSLLRSDDTDDEDSDGTEQLS DKSMQKHTRQEEFKQSVLVPTKMAELIVHATAVQQRCPAGDPLFDQALLEKYQIRRLE STDEVKCECTNKTATNAMLDCELCGTLQHASCYGWEDIAKDRRPVDHFCYSCLLLPKE KALNDWMPTLVKCRKVLKYLEAQKLGVPVDDSGLVEALRFGGDPEDLMTSPIMQTLLK NKLIKKNHAGQILENNYEAGWAEYVNPLANIYHLYQNYTAIVPGTHPRFMYSGAVRAL QTKYAGFALDVIRTHDSHGDEVIRYGYYPREAAVQSRKRSASMVQDSQPGSSVAGAGG VGHGGGSGGDVGEGGPRKMPRRSGARKMSRSEMICCDANATDQENFEVTEDEMEVDTE VDTETETEMEMERDEAVVVESESETETETEGQTDDDDDLYN EPUS_09048 MSPPTRPRQRKASVLAAPSRSDDPEERKRILNVLAQRRYSISLR SIQTAVLATDPRSGKRKREHVKALESQLVGKTSVDAASQQNIITDVENDLTASTGLLE ESSQSQSSCERAASGDWPAALGTTNNPPACTQASLYSSQTALFSDMSQMSLGAEAEDQ PGTDSSNDQAPAELFTQPRVTAPELAMLDWAMSSALDNGPAYVPAPNQPLSPWTWDPL VFLPSISLPSTPGPDLSSRDNESTPQTDPSSSSSSSSPDSASASPPKNPHSSTTHADY TDTLQTYCTTHDTLADAHFLESHDLKLLHSFLSIASLFNLDLNTDIWSLTASSPFYSP PGSLPPPLPPNLPPNLHPTSIPLTTPHHPIIDILPWPVARNKLILVFSAPPHLRPPQA QPETALIDLVYDIEDSSEGVRMWGESPFKEESWEVGSKVWGKWWWAFDGAIVRKSNEW RRRRGVGKLGMGMGMGMGSTSGNVVGEVG EPUS_06714 MAEVGIALGVLPLIIAGAQHYSKAETVFCQYRHFTSELSYLATR LKVQRAIFKNASKKLISLCVGQEEARIMLEDLEHPGWTDPAIDRAFSMQLQDSCDAFA DSLQTISSRLTDLEKECQKFEGVLHGSQSDGPGDARSRARLIAKKIKFVFRDSRTQLL FNSVRDLTEDFCALITQIDPSYTYEKPPLLPAGGDGREVAKFGSIKNAAENLYEALGA ACTKHTEHQVHFSLQPSYISSSPDVRFHIGFRQLLARCVGNDAGPTWLTIESRVSDPI MSVPKNKEGPTNLEKSLKRNKMSPSPPPGRPPKKRQKNVRFRSQTPPPICQTLQPDTT LPNLCSHGNLCNQLSQLLSQSLLDQNRCIGLLGDLSNRTHLIYLDSRTQSLPKGTCTS PSSSLKDVLNMVGRARKPFNSIPQLERIRLAKQLAIALLSFHSTSWLDSTWCSENVFL YNTSNENPQFSFKLDDPYVNVAIKGPCSPTPPTPGSTSRSFAPNPFLFGLGVMLLEIA FETPLRSLQRSVDIEASQDDRHTEFFTAKRVSKMASRPLGIRYNRIVRSCLNCDFRGG DDLNESALQEAIYRDVIFELDRLEEKLRRFNLED EPUS_06715 MEPTLRGDSSVSSDYLLTWIARGEHRQPKTNPDHSESPNLGFAS NFLEACQQLLANIWTCCRLDRTRTVLQQRRIRDNLSRLVLWSDSLDHGQLDVCVERSA EVHDCVLEILLKIGTALVKGVYTIEAVRSALPNEDITAPLRTVSEHLDKAKSILDIPD EEIETSDTDSGSLEIDSSIARTELKFHKDLHNYMICLADLNPLLEETIEVDLSGRRQE DQAWAITFHVTDAAWYLVLQIHDKFRNAQTSLVERLGEANWQRFLRIRKHGRQQSLLQ DEAEHQDGGRREEKVNEEDAKLLSNCAQRQEYAEPKSIFRSLSEFHDSGLGSSKPARS QAAASLASHSSFRSTLTENHQGRPRVPSMPPEVFSGKPFICFICGKKLTVIKNRIDWK MHVFLDLQPYICTFAGCRDMLVTFPTRALWFEHELQQHRVKESYKCNDCGQEVGSRDL FIRHLEVDHHMPLNNQQQATGWLSRRQFATHVACHMEEIALTSLPRNDEDDSDGSAVD EDDPTHSFPKSDNASSIQLERISDSPVADESDCTHPSSSKLNDASSVHVESARQSSST DTKSEHWVTKVFLDTSTTLLTKTGAISRCYGEHTPEARTRLKEEFKEILEIAFGSGSE LVARLYYREGDHRARILCKWPSSSNGGSKYSCLPLNVLEFHRAESSLQICTKKRGSSK LDLWANFVFSTIERLVVFYCTLLSLRGHDSCMPVTNIQDYELVGEAELFAGKIIDDNY LHALRVYRDRYSRAVRLQASVHKGEMKRVPIWTAFITQYLVFPTWLRLASPTLVYLRE LRRHIFSSDYEPQVTNRGEHVFKFTSAGDAMAFVQTIEDLAELYSPLSQSVHSQPFPP EPLPLHSQHPPSPSPPPQHPQHSRTN EPUS_06716 MSLHWGTYRVFQASLQDSVSLIASRKDYMSVDKNLQILQAVNEI DEQQQAITTLKFRYLLENLPGSAYTYIDTLNSRWRAFWRDITTEFGGSRKRGGNGRRG VTRRRDGRRGRRDGRKEDATTAEGTAATEGATAIEDNHTTTKPGPDKLFGGPFESKIE AKGQELYSDLSEIINRYKGNTYKFDDMLFGPVTADILRALAPNLNKSAELDWDKEPLQ YSHFVKAKEERRKREKQKKRKKNQARPSQEQKRIRNLTGIRSSKLDCSGWSDWRRRGP RTQVSFPGCGSGLVERLTIQKTKPNRITGLCPKYP EPUS_06717 MARKAMPEPSGKPNNISESGMVEALPWAFIQEIPGQEPPPGQVS NFTDPPNLVITVAVVLSISSFFVSVAEGFRIDAKVSSARSFTWDDYASFLATVDAHNS TSSVNHAADLELG EPUS_06718 MHVDSDGSETHQEKPFEHVPGDKQETLGITGQDLTATGQAAAHP QRADGKLELTEDDAYEKLGYSYPEWKKWMILVIILCIQTSMNSNASMYGFGVDGISER YGVSTTKARLGQFLFLVTYALGCELWAPWSEEFGRWPTQQLSLFLVNIWQIPSALAPN FGTLLVARGLGGLSTAGGSVTLGVIADLYQPEDTGFQYAVAFVILSSVGGAPVGAVIG GFVGQYRSFEWIFWVLLIMGGIVQVLHFFLVPETRTTVLLDREAKKRRKNGEKNIYGP DELKEKRFSGREVARIWWRPFLMFFTEPIVLFLSLLSGFSDSLIFTFLEAFTPVFEQW GFETYQIGLCFLSSVVGYILSYLTYLPVIWHHNKTRKVDPDRLSPESRLWWLLYLAPL LSIGLFGFSWTSLGPPQVHWIAPLIFTTIVGMANYAVYKSSIDYMIAAYGPYAASATG GNDLARDFLAGIAALYSHPFYENVGGEDRHLIYPSTILACLAVAVIIPIYVFYWKGPQ IRLKSRFAQELEKGRQARMQKRRSTIGAQGGLGRHVEKV EPUS_06719 MAVNRMRNAFAPPKKGETFELRAGLVSQYAYERKESIQKTIMAM TLGKDVSALFPDVLKNIATADLDQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDPN PLIRALSIRTMGCIRVDKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNAPMCL ENGFLETLQELLGDPNPMVVANAVTALSEISKASPETKALQITPTTLKKMLMALNECT EWGRVTILTSLAEYKASDVKEAEHICERVSPQFQHVNSSVVLAAVKTVFLHMRYISPE AVKSYLKKMAPPLVTLVSSAPEVQYVALRNIDLLLQKQPDILSKELRVFFCKYDDPPY VKFQKLEIMVRIANDKNVDQLLAELKEYAMEVDMDFVRRAVKAIGQVAIKIESASERC VNAMLDLINLKANYVVQEVIIVIKDIFRKYPGYEGIIPTLCKCIDELDEPNARGSLIW IVGEYAEKISNAGDILGGFVDGFNEEFSQTQLQILTAVVKLFLKRPQAAQGLVTKVLT AATAENDNPDIRDRAYVYWRLLSNTTDQHAPKNIVLSEKPPITTTIKALPQPLLDRLL TELSTLASVYHKPPEQFVGEGRFGADAVQKAAIEEQIQNAQENPLAADAAAAAVQGRD PQNAQANAENLLDIDFDGAAPASSQEKPANGLSGLEGLAGTPQRVVSPSVPSPSQPQA ATNSLDDIMGIFDNDNAATSSAPSFGGMSDSDIMNGFAGMDLSGQSSPPPTKTQTATS NSVKKGNEDLLSLF EPUS_06720 MRTTIEDQELATGATQMILGNTPDLEKSHKNCSDPARKDSWSCS SLGYGAAECNLYPCAKSYKADVKDGNLVESITSEWKLYPTPNGDLLNVVRLDCLSKQA IESLIGADYRLDQESQWFNYNGPVKPQSGPMAATNDALGNSLANIVSSLMIPFLTGLV INRTTTFDNPSVLQAFYNDGNISLSRVDAMFKNLSLSMTVYIRQHSDPTFSAQAVGQV LIQETCVNVRWPWLTFPAVLVCLTVLFFFAMVYETRTGSQANHGWKSSPLPLLFHGMD SETQERHSYGDVYQIKDMKANAGELHMRLDATEKGLKFTEVEIDHPENSRAGSLEAPL QV EPUS_06721 MALRAWMLDCRVARVVLPDELENSRRVRAIACCVIGGGVGQEQG QEEEEDDDEEGATDGGCLSCSPAAILTNPLMALKMNFLLGFNDYESTNDEQFEVVTAG KCCQEFDAFEKEAFEAFISRQRPRSEQHSTSTADASEQTEPGGGIYYPLTSNQQMRVL EVFPGEFDDVLQCKLHVCSIEFAFPTRPSEQPANSLIGYTFTPRTYFAISHATSQPIW YTALSYVWGNSAFVKAMICNGKPFNTTHNLDLALRYTRRTDASVMLWADQICINQGDL VEKTQQVLLMDKIYQRAWSTLVWLGEEGDNSSDAMDTIGSISASLRCSMDEKAPDVED FERLGLPAPESQQWRELAKFLARPWFQRVWIIQEIVLSNSIQFQCGWKTISWLEINTF AVNFVKHDLTQYLDDPADENSKVGCTRITKIDRLKDYHTTHPFQSGLLNALVEGRGAQ ATDPRDKVFAIMGMTAIKINPDYSKSVSEIYLEAARKMVDNSYNNVFSVLCCVDHQQP STFCPSWVPNWSSIGQTTSLGIYGDRQGIYEASRGTRSGSKTKLINDRLCLDGIIFDT TSNISPLASACLRDLIDPTTRTAQFVLGGMQTTLKNCQPYPSDSGLFNAFWHTLVAGK DGSGILKAPSTFAEVFSILLKSANGSFPSMPDQPISERRSLSRSQKHTYRQMQIAFEA AVKGRRFGTTSKRYMGLFPAGTKVGDQICVFLGGHIPFVVRPSETSGAFQLIGECYVH GIMDGEVMKMTDLKREEIQLV EPUS_06722 MTNSKNIPLSLVRGNINMLQRITIGIQSIQLLREDLYLLYSFQV LQVLWIDGNLLRTIGFYRPLVYGPDVALQRCTDQSLGLLLSAFGGKEAFRKFMVQFPS LSVEYNFGIALDVIPPATGKVVKGVPQWHTANMREEVYQRYPILELEDSKD EPUS_06723 MFPSSGRVQTDTPAQSPPPVRNVFGGHLNIAYNQPAESVFASAK EYASAPASAPGSNNFRFPTQGPAKKQGNDLKATQHDLFNKEKYSDMTIKCKTREWKCH RAILCPRCPFFEAACGGQFTEASTREIDLSCDDENALGIMLQYLYTYEILNHGLTTAT SFQLFILGDKYELEEIRDTGMQNLSKEITNLTAADGQWAAEWYPQISQLQQRGAEKLK GQLANAIAKHAREMIKHENVRELVASDGALAVLLVEKLANPPSAMFGLSNDVPAFSNW LGNQGASPSVPESQTLNLAYCHITNESGYLVWRIAGIDRYGNIAGTELSWLSGGSGTL APATA EPUS_06724 MDSREYSASLKRGSSIPVPREVDGGTDERAEPRLDKSAHNDHLG EPLTKRDKARGFVRKAREKAIDLVTPNNKDTLDPKDDRAEDAIDQIACDPAFNPSLVL DQSPPKLTKADRSTVKSELKSVAQSLVHPRQTIQGKATRSAAAKISRAQRPFLSAEQD RNLLAAHDELDRLASSRSSTRAQTSAESGAESSGEEKGAKQKVEKLEEQRSSLQVAWT LGRHVDRVKVVQARVPESRSWDNFVEKTPSGERGRFQWERWLGYQALYYTRGFTARYI DDFDELPFDMEDLSRIVERLVLVSTPWQAWLMSIRQIYTWEDPKRTGRWFALFCCLWY TQHIMGFVFADIIYTVLKNKFHPSSVESVRESMKRGIDREARAQAWGELVEQHGRKDW IEPLLDELGPYIQLQLGDLTDLLEVLANFYRWRTPWKTAETLFFFGCCLLITLLTDMA FCMKVFWFIVGGWFFLCFPIASRYPKYRYLVDPAKWMFWDIPTDAEWSIELLQRKTSF QQRRIDRRQQNNDHGNPADSDSETSNSEYEEDPTLPRHSTPGLEAPPYNTETFKFRAY QRNTRGHLLLTRSGIRFSSRCRSHSWSIPYRHLTEMRKAKPDATIKATTLGTARAGLQ FLTTTTGEGSQTNEPITITVPRDRRDEIFNLVLGWSGLTWRSVCMERRRAEKTAGGKM KELRALLV EPUS_06725 MIGNTEAAKVKRLQGLWRELYSKTLPTLARNRDAAQPEWPVTLD HCFARIILDNTIGEGREQWDKRLNRPAVTNMTREQLVKAIEMAERIKDGKEDLVALDL QSLEVRGIPDKKYKDALPISETRDRDKKIPPLPAIFARNESMPKRKKAEQNSKDASVY RPKRAKTSVKQSTLSFAQRPNQSDSIPSPPLGNDGKVGLSLTLHRINAHLGLTPYRKR LYAALLSVPGGRYTTYAALADYLGSSARAVGNGMRSNPFAPDVPCHRVLASDGSIGGF GGSWGADGENASEKLELLRKEGIKFNSNGKVQGPPFRDFADLRATG EPUS_06726 MDADHHRTALKEAKNLLGLKSGRPNVGQAVASSNAPSIASHLTT RFPVPQYHALKSSQDMPMPLHQAHVLRILGLGQSLNQSRVLNNHDQFHQSALTGQKPG PSLRLKARPQKLGPMPSPNPANSMTLTGPPIQIPPPTAAVSISLNVIDFVAGLFSELG PGPLPTPPPSPPPTPPPEGRSSTFMNSHYQYIAVHA EPUS_06727 MTEPKRPRVYFDIEIGGVKQGRIVFELYKDVVPKTAENFRALCT GEKGVGKKGQLLSYKGSIFHRVIKSFMIQGGDFTAFNGTGGESIYGEKFDDENFEIKH EKPFLLSMANSGPGTNGSQFFVTTVPTPHLDGKHVVFGEVLNGKNIVRKIENLPTQSD TPQQEVKVVDCGELSGAAFNSATEKAPDSTGDPYEDFPDDQGADLKGSEYFKIASELK EMGNKAFKAGDNETGIEKYQKALRYLNEYPETNDSDPPELGGQMRQLKFTLHSNSALL ATKSQRYQEAQKWAGFALEAAPSDVKDVDKGKAYYRRALAKIGLKDDEGALEDLDQAA KLAGNDPGIANERTKVKKRVSEREKKERAAFKKFFD EPUS_06728 MGIKNYFHPAGTDAPPQKPAAAVTAPARSKPSGFPSLSSMKFGS KQSPVAAAPNNVELSSIPSSNTSQVVRSPARPAYPGGDFRNSTSPQLADMKADVMSNW LYHQQRERMWTQGGWDEGVIMKKARDDYISCPTELVQHRNGFYDSIKKLNVKCALTIN TQVIKLFLRSTDMHYVPLDNGLRIQVLPNVNYLPECQKHHFAAFIQEPSMLVVWDDDP NHLLSRAQNVEDQLMKMIWEDDEEHEKGSTAVTSKVPSKAPSKMGSRAPSVYIDEVYG SQDGHIEEGLAEAPRKIVLIQPVLTAITLILVIAALGAGWRQIALEVTVDKGWLRLAF VVVVPLQIWLALFFMQSLAGCVAQIIGPISQMTDNTKFFSGTAPPRIHHETLPHVTIQ CPVYKEGLWSVIDPTVKSIKEAISTYEMQGGSANIFINDDGMQLISPEDAQQRREYYE EHNIGWVARPKHNPKPADGEKAFIRPGKFKKASNMNYALYVSARVEDKLAVFQREGVW SQQQERDLYDNALSQVIEEDEGRTWAEGNVRIGDYILLIDSDTRVPSDCFLDAVSEME ASPQVAIIQFSSGVMNVTDTFFERGITFFTNLIYTAIQFAVANGDVAPFVGHNAFLRW SAVQDIAYLGDDGVTEKYWSEATVSEDFDMALRLQTTGYVLRLAAYAGQGFKEGVSLT VYDELARWEKYAYGCNELIFHPMRYWIFRGPFTPLFRRFMCSNMPLPSKLTIMAYIGT YYAIGSAWILTVANYLLVGWYNGWLDLYYIDSFKIYFSIVLVFSALGNFALAVLRYRI EERSLFGSLFENLKWVPLLTVFLGGISLHVSQALLSHMFSIDMSWGATAKEASDTSFF EEVPRILKKFKVTFIWCIFMITAMIVLGGVGPVGKLVPYHWHINAFTAIWPLVTIVAS HFLLPIVLNPGLMLFTW EPUS_06729 MSDAPPPAYEDAANTKKPETSSRPTHYSHSSHLDVPRNGIPALH RRSMEDEARPLPPGWVRQYDAKEGHQFFVDTNANPPRSIWHHPYDDEQYLSTLTSEER ERIQATAMAPNHADIAAESSADESDAGSTHKGSHQQSHQSPQANSSTPPDLPPRPQPQ THESTGGLGKLGRKMKRSKTPIPISSCPSNPHTPWSSFRRVRAVSS EPUS_06730 MSKEQGFSRRAIYLSPLSTSADGVCALRCYLKHYGYLPQKHDTS KDTFDKDLEEGLRKFQRYYGLQTSGKLDGPTKGLLTRGRCGAPDATPKSSLEANIAIP WGTKTLTYHLGTPSADLPKIDCWAAIERALTTWENAGVGLKFKEVNDVAKANIAIHWG PAEDADHSMVGNVLAHADFPPGESILRHPRDQLPLHFDDSEHVWVNGTQFNAFDIETV ALHEIGHCLGLFHSNVRGAVMFAFVDDNFMLRRVQQDDILGIQQLYGHGWQEVDGAGT QTAMVMSDLIDSRVDGGGEAAEQQQELIQE EPUS_06731 MGDDYAKERASLRAQARRREEEAARQFLDEDIFSIRLESRQSPR PVPQQQSPHFEPIPRISQLRLDAASPSSRQAQLAGSSASSLQSGSPLPGQRHASATFS PGSSYDDSPSFQRGRPTGSSVGVSPSYSRQGQLAGASSDSSPEEGGWTSPSSWLTSLI PGGQQVTDHFWSHHVPDCHCFRCRPAYYTPTGDYIMKPIVEYFRGPCPMGCTCPYCLE QRSRWKAQNKCRNDGHKLERPLQCKSRFCACKIGCQEYSCIKCEPRAPENPILRHLVA TQSPAASQASGDRSDASPSGTPKSRASRNSATADQDQSVVSRGDALQSRLSTDKIRRR KK EPUS_06732 MKPVFPSPTKTWHNDVYPAIDPTLPKNSHAGQTVIITGAGSGIG RETAVAFAAAGTKHLVLIGRSESTLADTESLLPGNPKCSIFQADITDEAAMEKVAKTV GNWDVLILNAGYISTPSPVANARLDDYWKNYETNVKSIIIAAKAFFPTANAKRAVVLG NTAGAIALPTKQVVGLSGYLTSKLAQIKTLEFLAAENPKMFACSVHPGMIETDIFLKS GATPDMLPMDTVQLPAHFMVWLSTPHAQFLNGKFVWANWDVEELQSQAKEIESSDKMS VTFQGWPFANMG EPUS_06733 MISERGKALTIWIIVFTVLTFTTLLLRLWAVRIQKRDVRLDDFF VIGAFISLLALLGTTFWAIANGLGAHTDTVSVAQQTVQKKLLLSSGITWTLATILCKL AILWLYTQIFTTRKFKLAAYILMGVTVSYAFIFIPIFFTQCKPVHAAWDPVLSLTSCR PITRQEFASVAINMALDLAVVILPLPVVWSLRMPTRKKIAVSLMFSLGLVIVAIMTWR IHSTVRATKEKDWNYGLYITALQSLLELWLGIIAANLPTLAPLTSQLIMPKIMSYFRS GNSKEPSSGRRLVYGMRGGEDSALKREKFQMLVNEDARVEVNETRHLNKIEAGASSRS ISMDDHSWVDVEANGIGMRRDVDVSFETFHEPPKKAHIFGR EPUS_06734 MDRCLVLLLALLASFIFFGPVRTLTLDYASSPQALPRQAERAKK SSCRCFPGDACWPEPNAWDAFNRTLGGKLIATIPIAAPCHNEAFPPYDAARCDTLRNV YFFPETHLENPSSIMAPFFTNNTCNPFLPASVPCTLGYYVSYAVRASDASDYQKTIAF TNKHNIRLVIRNTGHDYNGKSTGAGAVSIWTHNMKSIELKDYRSLSFTGKAMKMSAGV EVKEAYEFADSKGIMVVGGNGPTVGIVGGYTQGGGHGPLVSKFGLAADQVLEWEVVTA AGRLLTATPDQNQDLYWALCGGGGGTYGAVVSLTAKTYPATTVSSVSISFTNTGNNAD EFYDAVGTLVKSLPSMVDAGAVVIWLVTPQAFLVEPATAPGVSKADLDKLFQPLIDNL QGNAVPYTYMSQEYPTYLQSYNATNAPWNVSQNQLGGRLIPRSLIEKDPESFMAALRN IVDSGIIFSGVSFNVAKSVSSPDAIGANPYWRQTLFSAVLGTPYSFTDEPANIRYQKQ MTDDLLPQLERLTPNGAAYLNEADFRQPDWKPVFYGSHYDRLNKIKARYDPDDRFYAL QAVGSDRWAQQLDGRLCKV EPUS_06735 MSTTISNTALRLLASAVTGLVAHHGLFIHGEWHLSVPSIVFGHA ALACAVWYFVPHESGHLAEHLRLCGWIFTSYLGSLFTSITIYRIFFHRLRHFPGPKLA AVTKFWHVFQARNSTNYLVLQDLHKKYGTFIRTGPNEITCFHTGILDLLDGARNKNTK DQWYDVLHPRASAIFAFSTKSINEYAPRLLQQVLNLAQCIAGYGENPVKVNDVMSWFS FDAMGEFVFGDDFGMLRTSEWHPAVARQQRALALLAPLNDAIWVVCAAFVFVPFLGKV KDWMGMVKFCDERMNKRMQSSVEKLDVASWFIDEYNQLSSKWSLKRRKNLLSGNTISA IVAGSDTTRASLIALWYFMCKHPQHAEKIVAELRNVDETDSNNLAKLPHLNGVVNETL RLLPPQMTGGGRMTSAEGLWVDDTWIPGDVKVTASKYVLSRLPAAFEQPNDFIPERWY SRPELIHDERAFAPFSVELRLVAAVLLKQFKVRFADGHDPETLWRDLRDQVTAQPGEC WCVFEPREAGA EPUS_06736 MSLTLTSRDDARVHLVESDWGPEKICAEGMDKQPKSIPTALRSF PERLTDIPHNLWLLTKDDNPTFVIPNTVFGICGALAGSRLIIHDYTSTTVLTRLPWVF LFNWSNLLIFDLANQRLPESAKEDALNKPWRPVPSGRMTSSQIRQSMLLALPLVLAFN HYVLTVSSETALIYILTWMYNDLRGGDEGWIFRNIIISFAFACFNMGSLRVAATGTTH STSTEITSEGYTWVIIISGVILTTMHVQDLKDQAGDRARGRKTAPLVLGDLPARWTIA APIPFWTAYCAYFWHLGWLAAAPMMLGTYTAWRCVAMKGKKTDRRTWQLWCLWTAMLY MMPLVR EPUS_06737 MFARCIRRFLLPSRQSLSSRPGIAAVSTTAESFISPVLLKRARS IAEEHTKLANQNAENYDVATARKIGQLSTVTAALAEWDNAQNSIKELNSLLSDPTSDS EIKSLASEDVAATSATVPRLISLLKRSLIPPRPFASLPCLLEIHPGAGGSEASLFAAE LLHMYTTFCANKGWKTELVKYEADESAPGSEEGLTDAVLEVTQPGSYHMLKGEAGVHR VQRVPTTEKKGRTHTSAVSVLVLPSLPAPGSAGIEDTALNFEDPNSDYYINPGEVRAE TMRARGAGGQHVNKTDSAIRLTHGPTGIVVAMQESRSQHKNREKAWQILRAKLAQKRR EERENELIDLRRKIMGGVSMTGREDKVRTYNYSQQRVTDHRCGSETGSLPDVLEGGQA LEKIMRDVGEWMQEQEIAVLAAEEEVKASELKSQKGRRT EPUS_06738 MIEIASIFGRKRMKVCAAMHGSVFETNIETIGDKGFTLERIVVW ASCREKEQGPLSGAEGQAIAFLEGLLELDPQKRLSAKEALNHEFFVTPELDELVGEEV EGDDGQDGNGEVDR EPUS_06739 MAQKVAYTNENDAHHMQYSRGARNTADNHRIHIVEDEEEDEENL SEEDEDEDGESEDEVDESVIEDMRKLEESFRGISRKYRLINRIGEGENWVLAVFSEKA YHLIETRYILHCI EPUS_06740 MDDQANFDINEALKLYFNDPKTIPTPEADSELVDCESDPDSLTL PLINSVLNPIVDSIAGNADGITRSTSFDTLQLLLKCAPSSLQHQQVTLKEPEAELYLL SRTSSLLPTHALSKIFDLVVSGLSAEADAVLQDLESDEQDVLQHHKQILEMYGFLLHW AISVVEVKAAEKPTAAAPTRKGPKGAKSKSSVKDGNWDSTGQIQVAMEAMCKVMKLRL NKIFATTSERDTFVNLFTRSVYLIMESEARIKTTATRMFCFKVLCVAVKHHGHAFGAQ TSIVQNLTYFEHLSEPMAEFLHILSEQYDYPQLSGEIMRELTNKEFNPNDTKGPKSIS IFLTKLSELEPRLVIKEMGLLAKFLDSEAYTLRCAVIEVCGNLVADLSKQEERTENYN VQINAFFDTLEQRFLDTNPYCRCRAIQVYMKLCDLEQKFPKRRQAVTELAVQSLQDKS SNVRRNAVKLIGKLVSTHPFSVMHGGQLSHKEWSSRLEVVENELTALKPPPQSPGLAE TADGQAKVDSDLLDDATQIDGASSEKPMTEDEKAAALRKAQEDAATSEAIGKLQLTKK YYTEALRFIESVHEASEIVCQLLSARNKSEVIEAMDFFVVIDAYKVETARIGIRRMLR LIWTKGNSDEGKGVQSHLIDCYKGLFFDAPESFNGNDAANFIARNMISLTFGASPAEL TSLEQLLSTMVKAGHVSEVVINKLWQVYGVQKDISAAQRRGAIIVLGMIALADPEIVV KETETMLRIGLGQYGRSDLLLAKFTCIALKRMIPAAKKAQEKSASTGISKMTNDHAVL ARLASLMLVSTDSQEWFGMAEQAIAAIYVLSNHPDVLCSEVLRQKTRLVFQQTQKAPS LSRAASPETMDNSQDPEQASEEAMEPQEGTRQKPSIALSQLLFAVGHIAIKQIVHLEL CEMDFKRRKQEQEKSKPPVDASPRKSMGQAPARKKKSAAEDVEGQPEKQMKEEKDELD MIGGTTEDDFTEAIAHIRERELLYGPNSLLANFGPLVTEICANNTAYKDQNLQAASTL CLAKLMCVSGEYCERNLPLLITILERSDDPIVRSNAVIALGDMAVCFNHLIDENTDFL YRRLNDRDGSVKRTCLMTLTFLILAGQVKVKGQLGEMAKCLEDEDKKIADLARMFFTE LSTKDNAVYNHFVDMFSLLSSEKELEEDALKRILKFLCGFIEKDKHAKNLSEKLAARL ARCETETQWNHTAYALGLLQHKNGSGNSSVNEEIGKVLAGGFRVVQGVQAEAQG EPUS_06741 MAKSKQIDSKTPRKEDILHQTLPPKLARKHTSSDKATPAKRKRV SQKEKRLATDNAEPPVRYVKQTDYINARKQHFDEKRASRDEAADKQVQDNAFRDVKAR VCNVERSTHDNQASTSDGSSESEPIINDPTLIHDLGLYRNQVEHTTYLSRLEHKYVEP LKEAYPPLDHWASESLEATRYWNERRRYTPLKDGRELRWIPVPVMQLDRSKMTVVRPD ESIIFYDAANPAKPILCIIRDFVRDEEVVKALGVLSMRATIERRDVRRDDPGFMVNVG YTAGPIDNRGFATAQNMHSKKKAASEAGKQQDLEDGGWLALLWNIMLSSLPRVITKDY DDCMAKLDVPRMATFQKDAYEVKLGKYTYQFTEGHLAPSAGVTAFNYQKETHTDKNAN RYMISFTCHSSADPASGGNFYLAKYGILVEQASNTCVAWLTKDAHGTTVANPVPGRQN YGVSFDLPLKLAAAKKNSERKEAGKVEEGAGKEE EPUS_06742 MPHLAYPELVKLLPKDHDFEDPHNEAVLKAAILKFETDEATPLE WNVEDLLPCYTCLRLLDDDRFYTFPSHYVSDCPPLKWGSMFAEERACRRCDRKTGYRM KEWIREEKKLAEDKGYEWQDYYAWEGDEDPEDEDSGMEDDDEDWGLELRRLDEDDDDD DDKDDDGEDGESDWTMESEEEAEEDESKEESASKRRKTS EPUS_06743 MSFYEDQFSSTRFIPHPNLNSTSPDSHTSHESKPAKPSFRPPLE IFEEVTSYLDYLSLKSLSSTNHAAQRRLIPKPYLAAAMLALELYDNDETETLKSKALL PCYQCLRVFPAKSGFEEACDTHPDRAGFRGEFACARMCRSCNYKAGGYLSRVPKWKRL RKVRMGVLKRRGWAPAEREEIYKARRERKEAVKLRRAKEMEGRAWIMMGE EPUS_06744 MPSAPYYRHDDPTGSALNNVAAEPATYDELINKPRVFTYKPSYG SSSYRQQGITHPAPVYYANQPQPQAPMGYYVNQAPSHPSYYCYTSSYSPPNQSFYSLH QAAYAASPDTPMGYYYSQPSNIYQTNQYVPHASTPHAPAGYYYPSQVAAGAQYPGYPY PAQASGSTTLPDGTTLFLGKTKEQVMWENQQIANNTGANDPIQMVPYRAAPGQQFWCR ELDGSWTLRTVQETMVELQPGYWDKGQSGHAVFIRQKP EPUS_06745 MSHTSRGCEPPIFQIDLSLPPSSRYAALATQYQQQLRELMPLFS WLLEGVGVPLSLLPVVQRMAKLLLRRVHSYEENLELRGISKAAQVPMYLLVVFNVVLD LLMGCTSGAVRTLEKTQPPHESKMLHFRTLDWDMDSLRKVVVQLEFVRSASKTPQKVL ARSITYVGFVGVLTGVRCGLSMSLNFRPQHNAFTHMENIRFLSHNLLVLLGKRQSISS LLRSCLFSDHQGGLGSPLSLDYFAETLPSTASTAAYLVFSDGQSAMAIEKDRISGMVR RSRSFIVMTNHDLDPTPGMRETKSSNSTSIQSIISESVDRRGCMVEKWEIKVREEHAK RVIAAEASSPASLLSSARPRTRSKTKRQLAACTDRSIPIAQNSTERHDVFTDGHEVEE RVSLTKSELRRWLAAWPTTNECTHFSAILDPTEGTVVWARQYLEPLKPPNGAQDRGTL ATTFNEGAR EPUS_06746 MQDVSELGELTPEELFAGLDFGSGIQVSRSKSRSKSAEDETLPE SQVISSYESDPKVDATAIANVSSSTVLESDDDQPAFVVTHSYLEDKIENGRPTSIRVA IRPSYQHSPLRESHSAPFRYSAEVLHTMEVGDLLITVDAGNSGKRVAYDPPLNPTPCS SVSSRLRSMSSLRRRIISQGAESIRSNDNSSDRMSMSSVNGGSARSIAMDWDPVVSDI QEGVAV EPUS_06747 MKNRIIADGGSVQNIPNIPADIKALYKTVWEISQRTIVQMAADR GAFIDQSQSLNIHLKEPTMGKITSMHFAGWKLGLKTGMYYLRTMAASAPIQFTVDQEQ LQVVDTNVARQRAAPKKRLTSSGYNGSYAAIPRPMYAQKGSGHGSATSVNMTKFVQNS DRDADRDAHGKNAFYYSMVEYLVFDQLIPAAAKSNK EPUS_06748 MPAEAKHTKTLMGNGTIDKRNENLNHIGAVHDPASARGPHLHPV GMYHELQRLHADAIQKNTTKSHPSTRNGNLNTQRPDQPRSASVAEAAQNEYRHPTLPV LERSGMRHWYTEGPHDQPWNSLQLGTGAAYEHEHKKA EPUS_06749 MQSSTTNSTMFNDQMTSGQDWVDQILQFTGSLFDREPMLVQDWQ QETTITDFDHFEKEIGEIINQTAQNNSPGSEVSEVRPQNSLPWAHSVVMQEGGIDVSI PTTSVRINRTGRRPTLSDPTHTRQTPSQRHTSSTGSHRSLRFRGTWSSLPTRVDVHHT DHSEVGFPNWPSEIIDEPAASMFDDDESTLENYSVGENGL EPUS_06750 MAAAPGGYSINVNDPSLIALVNKLQDVFTTVGVQNPIDLPQIVV VGSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLVLQLINKPSPEKLQANGVTEDELKK TTDREANVDEWGEFLHIPGQKFFDFNKIRDEIVKETEAKAGRNVGISPAPINLRIYSP NVLTLTLVDLPGLTKVPVGDQPKDIERQIREMVLKQIQKPNAIILAVTAANVDLANSD GLKMAREVDQEGQRTIGVLTKVDLMDEGTDVVDILAGRIIPLRLGYVPVVNRGQRDIE NRKKISAALEAEKNFFENHKAYRNKASYCGTPYLARKLNLILMMHIKQTLPEIKTRIS TSLQKYTSELNQLGDSILGNPTNIVLNIITEFSQEYRTVLEGNNQELSSLELSGGARI SFVFHELYSNGIKAVDPFDQVKDIDIRTILYNSSGSSPALFVGTTAFELIVKQQIKRL EDPSLKCVSLVYDELVRILGQLLNKHLFRRYPQLKERFHAVVIAFFKKSMDPTNKLVR DLVAMEACYINTGHPDFLNGHRAMAIVNDRHNSTKPTQVDPKTGKPIPAAMQPRAASP SLPTDGSDGNSGFFGSFFASKNKKKMAAMEAPPATLKASGTLSERENQEVEVIKLLIN SYFNITRRTMIDMVPKAIMLNLVQYTKDEMQRELLEQMYRTQELDDLLRESDYTIRRR KECQQMVESLSRAQQIVSEVQ EPUS_06751 MRPDDRGTHIASNGFAGISNGSTTKKVTLSNSINGHSPSQPASN GMGPSQSNGSVKSPSPVRSPTYHGHNREEVTRILIQGLYDMGYSDAATSLSRESHYEL ESPSVAAFRQAIMDGQWTDAEAILLGSYHGVDGKASAMSNFVVDGPAGLVLAEEFSHD GTKLATASKDRTVIIYETETFTILHRFTDHEERVTYVTWSPDDSKLISCSMDNKARVW EVSSGTCLVTVDHETPVSSASWAPDGLSFVTSALEKNSSICQWSLRSSDFRTSLNSCG GFRAQDCAISPDGQRLVVIDSEKHLHVFNFHTFEKEYSQAFPAKLTCVSVTKDSKNML VNLSNGEIQLLEVETGVLIRTFEGQAQDSMIYVWHKENGILIEKLKGHASCVNAIAWN PCDPGMFASAGDDRRVRIWSNSVPPSEAVPSSDRRPISSNSYTRMSAIRSTFMGGSNL EPUS_06752 MAPSKSGSDDGEKQNGSIFSISGPVIVAENMIGCAMYELCKVGY DELVGEVIRIEADKATIQVYEETAGVTVGDPVLRTGKPLSVELGPGLMETIYDGIQRP LKAISSVSSSIYIPRGIAVPSLNREKKWAFTPTMKVGDHITGGDVWGTVVENSLLHDH KILMPPRARGTIKKIADKGNYNVEEKLLTVEFDGKETEYPMMHTWPVRVPRPVNERLA AHSPFIVGQRVLDALFPGVQGGTICIPGAFGCGKTVISQSVSKFSNSDIIVYVGCGER GNEMAEVLMDFPELSITIEGRKEPIMKRTCLIANTSNMPVAAREASIYTGITVAEYFR DQGKAVAMMADSSSRWAEALREISGRLGEMPADQGFPAYLGAKLASFYERAGAVTALG SPNRSGSVSIVGAVSPPGGDFSDPVTSSTLGIVQVFWGLDKKLAQRKHFPSINTSMSY SKYTTVLDKFYEKDHPEFPRLRDRIKELLSNSEDLDQVVQLVGKSALGDPDKIVLDVA SMLKDDFLQQNGYSDYDQFCPLWKTEYMMKAFMGFHDESQKAVSGGLSWAKVRESTGD IQHRLRSMKFELPEDEDAVSKKASYSKHHLRCCTLTSEQYEKLLQDMSEKFASVTDE EPUS_06753 MAARSNTADSRASRFHRSSVSDSRSSSESSTTERSLLTSSLTSL RGSLRRNHPEKKETQPTVYLIIQRPEPRNVGISWTIAIRVPEGPDYMFDILPNNKTQK GFQVREVRVADATMKSAYFQATIKVGIVPINGPAAEHSLGHVANSFKSQKISTDKPTG MSVIWVTDVLDKLKRTEFLHFERGANEKIEEQIRNMEKKLKANSSAGRP EPUS_06754 MPDIRRLHASRCSTISPVADMITCRVGVFEPTTLEVESERSLFQ IVIYGELFGESMHACCSDPPGPRFDLDLRLDYIKYCIPDFRCWPGYPGFIVLNVGPYA SGNENVIEEINDWDQVALYYLLRCQTWREAWAAVRLQIGPDFVEGWRQKMWSSSVQCQ GLEGLQMLRPGGWRSGG EPUS_06755 MAPAFDKCPTRPAHIDAILNGLDRYNPETTTIFQDYVSSQCEEK TFDAYACLALLKLYIPIQPAPHPPGDGHQHPRQSADRLPLAVLQPLPRPAPAVHDPLP LSALKGDAAASVPTTDFTESIQKLTQLSTLLESAQYALFWSTLASDDLYADLCADVAG FEDLVRIRIAGEVGKAFRQIDLDILGSWLDLDGEALAKFATGPCGWRIEAGNQVLVPS NAENEAKSEVKGERVGVEMFGRVVRRGFEQPA EPUS_02328 MLTDNAPEAAIGAETVAVHVGSCINSFDTLSIALKNPNRDFQDQ IRLEDVQDEFGRFRIWSGNIGAHKTGKSSLNYRLRDASHIRQRVVSLLEDLSEILQNS RWQAPENTRSLTNVRKATAIIMGEQVPWDCLSSDSDSDLDDDVEDPKANADGATTTSA VEDKTELKQLQSSMVEIVTCLFRLSMAIRSPAPHDQFMKSTNIDTSYYEEYDIMHVRQ KFPKAEGYLVNRLGRAISRRRQYLKYRELHHRKLAHGLDIEENIVQDVRTEMIPQSTV ASSLPTVLKGVDHIDLTEDSGSEACYSEASTATSANGTANLRTPPLPKEAQDGRPFEC PLCFMIISVRGTRSWRDLHPYVCTGEDCSAPDRLFDNRTQWFEHEKQTHRHFWQCVEG CQRTFTSKVSFKDHQQREHQKTLTSKQLSAFVNIHESQISSKTAVECPLCHEEHPFYP QLRRHLGKHQEQLALFALPSNLEETEEEHDEEENVNIDNEGRQEADSDRSVSDSDSHE DGQKETPTPEELGQQMKEAEGSITEQRNPAMESVDLTSTTVQGLTSDEVSHQGRAGNQ PKEEIERIVAAKIDELENEDDNFKGYEADEKEMTEGDLILRAGSVENATSEVISYPQR GKTRMPKGLCHTAALVELGYPYEEERDTIVISRDLGIMNIREVVELSRQYKGTEERER KPSVLVSDRRKGRSDFHTDAATKPQPRVHGSERNDYVINPEFQDLNEGPVVPRLFLRR SRPLETSDRVPGHHQSFDHNPQSQPYLLRSEIDSLANSTKTRSPLVNRTDLKGDRDRR TVHHYMDDDESDNDIRRSETSSSQHRGERDIEAKIRALEKEIRALKLKRARGDYEEDG ATEAAIENDSHRERGIAESSS EPUS_02329 MSYASSQTTNQSLDVIRWQMQYGKHNLEFCPKCRLDLIHHFGSF STQFNGRCHTREDCAEQLRLNGRFRERLRTNQQAREEINMVHASAFSRVLPVGGTTAG QGRQEADMPAETTRRRVIVRLPPLDTRLLTPIDEGHGRKAQEPRKPNNNNPGPIANSI ESPPPQPSEPAPNTNAYPPPPLLAKSSIDLRTRRARFYDQEERKGEGEERPGVQGKEP ATPTTKSSSSSSSSSSSSSHTISSPTATSTLKPCPNGTIPTIPSKDTLSGDYDLQDRQ AESTEEDDGDQDIDDDEKKQMTINMK EPUS_02330 MFSVAPLSYLSCFIGLFLYRYVRYVVNLVAFSCFRPIPLPEKPS LTSDDVTVILPSLEGRGMELEHTIESILQNEPKELILVTIQANLELAQKTIAKMPASQ HDRLRCLSVPNPNKRRQMARAIPEVNTKIIVFADDDVSWPRQTLKWMLAPFENEKYGG VVTCQRLRRKEYPIFSQKRIWSFLGALYLERRNFDCAATTFMDGGVPCMSGRTVAYRT KILRDKNFTYEFTNEAWWFGKYRLNADDDNFITRWMVSHGWKTYMQYHPECEVQTILE DNPKYLKQCVRWSRSNWRSNLTSMFTERHIWSGQPYSAYAVHLTTLSPPAFIGDSTLV WLLWKAGQTWPEEQQHLAIYSLLVWMFISKFSKNLGHYIRYPSDTALLPVSIFFGWFH GLIKLYAMVTLDVDYSLRARDYNLKKQKLAILSQKARNRNEDEFAFGMLSANKAQAGK HGRVDTQANRLSHDAVKLLKTQDAGYLRTVAGRGRKEISKLEQDVVMTKASGNGRKVL FVEEDERLNGTKGRAEKRKKLSNEVAAAGNNQEEQEVTSLGTENIASVTGTTVYAIDI DSSSTKPTAPTSKKALAAQRDAMRDLRAARKRRKRLAELRVAKLEALKKRQREIMAAA DELELQRAKMARTVGGVNKDGIKWKIRERKR EPUS_02331 MGFFKSLKGEGPKSIGGKEESQDQQQRSSEKRRLFGHALPNQYD PPAGPPPSHMRSTEYEPPPGPPPGQYSKATDTFAPPSGPPPRQTNATEDNPPPYHDWT VIPDTALLPPPPAIHYECSANNASWDDAARAHDWCRQNPLYSPSKPHPSLYNAVQNGD ISLQKPQEFKGDLTQRGKGQWKATTKFSCTDCIFLSTLPLYFAVEDSPLMTGRSKTIY FEVRLLATGGNIARQAAGVAVGFCAKPYPSWRLPGWQRASLGVHGDDGRRFVNDPNGG VDFTRPFKPGETVGIGMKFSIAPNVQGHGKAHAEVFFTRDGKRDEGWQVDEERDRESD SVLGLEGGMDLYAAVGMFGAVDFEVQFAPGGWLYQPESA EPUS_02332 MGLFARKRPVDGATNGHGPAPRASHESRSNHQKEKFDIDSGYYN RRPSFGQWLKMTWLDVVTMIIMGAIGLGVYFARPAPSRSFPVYFQDGEVVYPDFAYPL RKEIIPIWAAALLAALVPIVVFLFMQIRIKSFWDVNNAVIGLLYSLITAAVFQVFLKW LIGGLRPHFLAVCRPNILPGQVTGNGYREIMYDRKICTGDDKQINDALESFPSGHSTA AWAGFAFLYFYLNAKLKVFSNHHPAMWKLIALYAPILGATLISGALTVDEFHNWYDVV AGAIIGSVMALSAYRMVYASVWDFRFNHIPLTRHTPFAYGGGAPGAGGFESAVWTHKA GWGHEEALGGAPFDAAHGMRGVFGNATGLRNGGGETGIGHHHHGHGSQGQGLLGNAGR HSNGEGDLVDSHQQSHGGGFFNRTRHNNSANNATTLENTISHPHNPADNTRSSNDMMA EPGLMHGHQNQTYESTVYGHHQHHGSGSSSIGRRPVPPAKEGYAGGDRMV EPUS_02333 MGDSYKPNMEDLAKDVFSTRSITDLCVVMQFDRPARPPKYGTKG HLVNFINLAEAEKGKEGVKRTVEFRHHESTVDPNAIKMWVKLLLRICHAAERNAKTEH QAKDPSKSSKVVSPAEKERRKYKARPSNLDHLHTIDDLFELIGLEENGSLDARDKELR VYWQHRYNQYHDANEGLQPSPDFPDSPIIAGATEQATGSGGNAPPRLQATGSGAKSPA QRAAFQREVPDTPAFTEYRERKSPPSDENAGSSNGNDVVTGSGPGNRAHHRRRDNSSR LLRRLHHGPSMQKKAESARKMNHKTITGNAAPMSRELRSGRKY EPUS_02334 MLAARSPGGCNHNYHLISVPSGNLCVYLEPIGWTRRDYLTHSLR FYQYSSLFCPSLVSSALHIATKSSLNGLKPPTLSLAVRSSRSSGLGLSFFMAQNASTI ITGFGVEIELTLAFHEDLLVKVLQQQHLARDHIVKDIPTRVKRAIGLRNHAEHRLYLD TRPGHRGWALRVDEADSSKDWQGIGRANVIGNYRTYWTEPLHIVQNILRSSKNYSIDV QASTTNDARGSLSYHEWKVCNDFTLVPADAKDENETAKVDTRQEEEEKNKEPHPNDEE KLEEDEENVVEDIGEQPTADEDEVDYDGIEEKRETRMTPNTTKR EPUS_02335 MSAHKISTAPRTTSDETRREATSMEGLSENDGAVGIDISPAQGI EDIVGFSIGQEQLRMKSADTPAFEKAKVLNQSKDYFDLEPEDGEQKEGDASLHTYQDL QIGSNLMHGTLAGEAFKSPNHQPSSIGPSVEEASRLNNTRSIMKDAFGSRIRRASSES SGMVDALKKLLPELPSISLPRAPGLASFGFGSKSKTVHQLNASKRSSTLFSSSNLPWV SLGHPPDPAVAVAQANQSLHKQNDSAFASLLHSHENLPLGSWQQHLLSPRATPKQRSL RRATSESSIFMRNDLDRTTTQDDAEKWTNVSEQINSRFKAITDSFQDSALSRMPKMPS VSLSSLKPGGIQRNNSDDTKFDSHHTNASVQRSSATNKPGIANTNASSGKQAKHAHPI LNEAVSDLAGDVVVLGGYRGSILRSAKPPHKQLWVPVKVGMNLRRVDLEVGLNPEDEE RMKETIVPSGVLSHIGPVDICRRLLRHLKRSARDRPDQLRIHNYGYDWRLSPDLLSQQ LIQFLESLQCNKKDITGRQRGAVVIAHSLGGAITRHAVNQRPDLFAGVLYAGVPQHCI NILGPLRNGDDVLLSSRVLTAQVNFTLRTSYALLPESGKCFISKTTGERYDVDFFDVK TWEDYCLSPCIAATPPVARPEQRKSIIGALSDSLPSLPLSNKRNSISRRADSPSPEAP VSLEDAKEAIKESANHTAQKAEEAFASPNTQPLEPSMGSLPPAATKDTVATACTLPRA EALAYLERTLRSVRQFKQELAFNPSHHSADVYPPMAVLYSDSVPTVFAARVDSREAIK RADAYDNLAFAAGDGVVLSRSARPPEGYKIVKGGLVKTDRGHIGLLGDLEAVGKCLRA ISDARRRGVGTGIFAKKGA EPUS_02336 MELSIGLPANPTSSQTGTFYFAYGSNLSPEQMAGRCPEYPATSS IPVAIARLDGWRWIICGRGYANVVPSPRRSPQSGVAPKLKSRSESDQDGEHDQHPHPA TAPASDVEQKADSPGTFHEDDDSSVVWGLIYNLTAGSESILDGYEGHHTYRNPTPTPN PSPDAEEVRRKPFLQGTWDYNKLYLPVRVTKWLQDPVTYGVVGSKSYAPEARSKSENA DQAAEMETGITALVYVDELRTSPGPVKPEYVGRMNRAIRQSVELGIPRGWVERVMRRW IVEGVEVGERGYLGRSDGHWKGDEYRTDGETINQD EPUS_02337 MGLSIEQQGRAAKAYIIQQLRKSDDGSAVLKDRDFMQRFEENFM TIFGIFAELYGYRFDCLDQLAEVILVCCRSWKGRPDDLKQIDKQRELDPDWYLSSQML GGVCYVDRYAQGLEGIKAKIPYFQELGLTYLHLMPLFLRPKPFSDGGYAVSSYREIEP ELGTIEQLRDLATELRKVGISLVVDLIFNHTSNEHDWAKKAAKGDPEHSAMYWIFPDR SVPSAFEASTREIFPDDHPGSFIQLPDGRYIWSTFYRFQWDLNYSNPNVFRAMAGEML YLANIGIDLFRMDAVAFMWKQINTDCENLPEVHKLLRAFNALCRLAAPSVLFKSEAIV HPDFVAQYIDRSECQLSYNPLQMALTWEALATRDASMLSQAIERRHNIARGCAWVNYV RSHDDIGWTFSDEDALELGKQGTNHRKFLNAFYVSRHPGSFARGVPFQDNPKTGDCRI SGTTASLAGLESGQEGALDRILLAYSIAMSTGGIPLIYLGDEVGQLNDYSYMNDPAKM DDSRWVNRPPYPEQNYAERHDKNTIPGQLYAGMQHLIRLRKSTPELAGGRAVGFYTAN PAVLGYQRPGPTSTVLCLVNFSDERQWVGRERLMQLPEEVMDLVSGYMIELRQAGIHM RSHQYMWLRY EPUS_02338 MEQPPSPFKIPASPITPLQQVSPDRINQQRIPASPSLPNQLSNV EAKAPGQSIDVQSKVAFLNSLNQNTSPSRQQGNSTHAALQRALLGREEAENALRSSNT QLAEAEARQRKISERLESLMEELQAVKERQAHERQVFEKEVRKARKDAFRAGSALVKM QEDLKESRVEVKSLRAETQHEKFEKEKSKQEAFERAYALAGVLEEVEVLREKMRAMEA ARETEILEQQSNKAQQEDQHHRLAEMRQQEDEAHAGHLLEDRSMQEMEEIDHTVQPRQ NRFERTLPAGSHPTEPGTDLAIESPKVRRKQLSEELQQDETTHMQEQLLLADAELQWE KKLRIQAEEMVHFLQMECQFKICACRVAEKHGTRFVHDKDYQELNAVDLVVENDQAQG IELPENNSGQSYEAAGEHMIEPTEDMFTLSRQRPPEDAVSNTDELQISPTAHPAQTTY EMLSPSGSGLPSASDPTGGSEACGFSFASAKNASSPAIFSAEDMTYQPPIRATIRPKS ACSIPLRDPPTSPPARSPSASTYPLTPGFKTPQRQGLWLVHAQTTTMMVPLRDNDDVF CPAPGTPGTPVSREAALAQIRARRDRARSVAMSTSRSAPGSARRGLAGGLRDISAPGR F EPUS_02339 MAATAPPTSTGSAKIDGAIQRASANQPQKLSGAALYSRFAFAGA VCCAVTHGGLTPVDVVKTRIQLDPATYNTGMIGTFRKVIATEGAGALLTGIGPTFAGY FLQGAFKFGGYEFFKQQCINQLGYEAASNNRTAVYLASAAAAEFFADIALCPLEATRI RLVSQPTFASGLVSGFGKIAKQEGFGAFYSGFGPILFKQVPYTMAKFVVYEKVAELMW QQIDKNKASDGTKTAVNLGSGLIAGFAAAIVSQPADTMLSKINKTKGLPGEGTTSRLI KIAKELGLRGSFGGIGARLFMVGTLTAGQFAIYGDIKRVLGATGGVEIAK EPUS_02340 MESMSNRLKNLGFGRKSSVNIQTTNHLSPTTNGATPTPPQSSST TSLPMNPQPNPAGRPPSYSYNVAGRPTSPLPPGQTQLAHHPPPIDTTQRFPAATPPMA PPQPPGYGGYAQHPQGVGAPHGMNQYTRPAEVDGGGRSKAQLIVGIDFGTTFSGVAFA FATNTEAKEDIITEWPGAGNQTKQKIPTVLYYDQYQKVVGWGPDIADALAPTGYPKPG VQKVEWFKLQLMLSGNTYIDPINLPPLPPGKSEIDVAADYLFKIRQAMRAQLQKTLGE VFNREERNIRYFLTVPAIWNDAGKAATRAAAIQAGFLRDENDTRLTLITEPEAAAMFC SKTGLLNLKIHDALLIVDCGGGTVDLIAYEVEEETPFTVAECTAGSGDSCGSTALNRN FSNILRAKIRKMKLPDGSRTAGRVYAKCIMDFENRIKADFRNNGQKWAVDVGIEAEFP EAGIEEGYMTFTNEEILQCFEPVVNRILELVRNQIIAIQAQNRSLQNVLVVGGFGASE YLFQQIKLHVPPQFQSKVVRPMDSVAAIVKGAVTAGITERIVTSRVARRHYLMATLQP FKEGHHPEQYRVPSLDGKDRCKYTRQIFVQKGERVKIGEPVKVSFFRQVAPGATLMYE DILYACDEDICPEYTKDPRIKEVVTLTSDLSRKNLEKDFERMDTPQGTFYRVYFDIYL TLDGSEFNAELVCQGDVMGRCSARFR EPUS_02341 MSNSKITTGEWTGEYNPFTDTEERRVLFAALDSFKIYRKTAHRN VTHRRRQNLYALPTSQWQILAEPPFSLLENLSNVDDAIDLNADIADAILEWGLQTFGL PQEPGSDHAQKWLGCAQAVDVDKAHSTIRQFYRDWSAESARERKPCHDFVLEQLEVFF QNRAALCNEASRPKILVPGAGLGRLVYEICRAGYDVEGNEISYHQLLASNWILNHVGS TQYALYPFATQFTNLLSRKQQLRKVMIPDVQPAEGMKHVLANGKSTGEMNMTAGDFIV LYSGSEYSAVFDAVATMFFIDTAPNLIRYIDTVKNCLKADGRWINIGPLLWHSDIAYE APTDERHDHKTRVGEADRDAGIGEPGSFELTEEEVIWLLEKQGFRIEHRSAFSDGVGY IQDPDSMFQNMYRVSCWVARKGD EPUS_02342 MDPYHHHHHHHHHHPNQAAPSAPPFESSSSAISGRPYERSTRVP VRVAGRHQPPVGPGPPQAMQFPLQTNFTRAYDSESTSPGEAGAPVADPFVQGSTSAAS TTPGSGGTPNPKRAYRQRRKDPSCDACRERKVKCDATETTSCSECSSRNVRCQFTKET NRRMSSIKQFQDLERQLQHARQQVAHYRSLVPKGAPAMELELGSPPYHGAELHPVGSP PPRRKKAAMLRDFSQVRANLTDYGRGLLQIPSPYRVAVPPSSKPLEMPDLPPRHIADR LLASYHENFHLHFPVLHWSTFESECDELYGTKSLASLGNAWGAVFLCVLACGSLHTLG PSWAQDGKAFLTTAIGMTSGWQDEFSIEDARMAFLTGVFLTELNLKSAGWVWLGSAVR ISQDIGLHVESGPWSPVEGEMRRRLWYCIYVWDRILALELGKPLLIKDEDYDTEYPEP ADDEQITPGGVYPTGQSTPLLAMVNVVRSFESLNQIFKSPYISPEILQSREEYLHSCF SMFPKGFQPSAVKPLDPRTVAPLICLQNARLILHRHNLTPVSPPDLRLQAIDKCVMVA RDSASTIARCLDPALYPEHELTERSRLLAASASTLLCVHIWRCLLFLLFRAEYRPAIA LVQAASVIGGARRVNLCCGRHLEFFLRVLYSRLQQGHSGDFDDDEEIIAYVSGDLQSN PDTSWVWQARETGLDSGNLAKSAPGLSSYDQTQSSPINKIDSLGLTDLEAQDWGGWDQ VESRVQFLHERHQSQRRKAQTAPQQQASVSPPSDTSRMTIANII EPUS_02343 MLYESTAYTVALTYENALKKVCHSFGDFLFKRSTGAYRFPDPHA HLTSTVLDSDGIDGCWTTFSLHIGTPPQMVRLLPSITGNTIWVVLPRACPNSSPNTCW SDRGNIFTSDQSSTWVDKGLFQLPLNPEHYLPFSGAANYGLENVAVGSNDNGGVSLPH QVVVGYDTEDFYLGALGLSPSLVNISDYTDQYLSLLGTLKKGNNVSSNSYGYTAGASY QLFPARDFGSLTLGGYDSTRMDASKNLTIHGVQDTYQPMRLGIKDIKGGSTKLLDMPI VAALDSIVSQIWLPISACKNFESAFGLVWDSRYELYILNKTQRSILLEKNATITFTLS TGSLQRTDDHLDKTFPYAAFDLKAKPPFAGLNEAVHYFPLKQAANETQYTLGLFPAVF PDYNVEPHLVTINPPEGISDGPDDTVQNVHSTSVWSRTVIICTISGGIIVVLLFVIRI SLCVQRNRQEKPAKAAPELSSHWKKAELAGKRLYHSELPSQVVSTLTYELASPIDVQH ELASIRELRGWTRSETMSVSNSPSRDMPGHRGGSGTSFGAPTVIGKAVRPHKRAAMRL LLLFLFALTLTPKYHAIAEHHMNRPPLHWVNKRNSNVPLRITNDCDQDIYPAIQTQAG TGPPSTGFRLTPGSSNAQSVSADWQGRVWGRTNCSFNSQGTAPANNAPGQACSTGDCG GTLACRGSGHPPASLAEFTLETGSSQSYYDISLVDGYNLPLGILSLLSSTTNNTDLSN IPPNLTNPICIGTSTLLSPTGAAAKDSTTRHARVYYDISLVDGYNLPLGILSLLSSTT NNTDLSNIPPNLTNPICIGTSTLLSPTGSAAKDSTTNFGTNTTYPLPLEQTLTPSTLL SWCPWDLQLSPPLQPTDGIYPYPDSTIPRPAFNPCFSTCAKYSSPKDCCTGRYNNANT CRPSLYSTQAKKICPDAYSYAFDDQSSTFIIPSGGGFEVVFCPRLGRSTIILNALGRE LRELAQRGAVTGEILEGARNVGWDGMGGGGSEGDGDAGPKGQAKRWLVNGWM EPUS_02344 MPRKPKSSAKSTPKPSDSYPNPLPLSLQSHPPIPISNNQTSSDA SYDTQLAEWTAKNARAKAIIMSTLVPGSEPWQIAEPLEYAADIWKALEEKYGPNSEKK GFDAKDVVHDEDDDGQATDGGWQEKLPGDPPAAPKDSLAQEVSEGLQEVAELWTHERE QEQAQARTRCAAEGTQSAEEKRKWNDDSMRDQRFLWALLNGGKEEELIRTYETKNHG EPUS_02345 MKPSACFFLAASLLLPSLSTALTFECSHIRVKGKKYNFEKIGGP HTVSVIEHSPPSIHNTTWAVDLCGTLKKDKEVPAGDQCPSGSYVCGVKTTFNRNDDDK PHVDEVIPIAGSFATSTGTNLDPIIERLEDISGSDMTGLRLELHGGTYMKQKQVAIID LQCDPERTGNEKSPVKKKKGKDDEDDKVRNAESDEGNDSADDDDDDDDDNNSLRFVSY KKEEDHQVLRLDWRTKYACTTYEEDDDSGSGKEGTSKHWGFFTWFIVLLFLGIAAYLI FGSWLNYNRYGARGWDLLPHGDTIRDIPYLFKDWSRKVVSTVSGGGSRGGYSAV EPUS_02346 MLLRSILVTAASIASVSAAPYWKRDVAFDFSSQKVHGTNLGGWL VLEPWITPSIFQAQDGSVVDEYTLTQKVPDAGSILRRHWDTWVSLADFQRIAGAGFNT VRIPIGYWAFEKYPGDPYIMGAQDYLDKAIDWARQTGLKVWIDLHGAPLSQNGFDNSG QRTSTPAWTSGDTATFTNNVIAQIANKYAVQSYQDVVVAIQLLNEPLLTNIPGGRTAT EQYYREGFNNVRKVSNTPVVFHDGFAPPQSWNGFLSPTDNNAQNVVVDHHEYQIFNND FAAMQPYEHRQFVCNNVASYSQNRDKWLVIGEWTAAMTDCAAALNGYGIGARYDGTFP GSTRRGSCENVNFIETWSQTFKDDMRQYVEAQLDVFELYSQGWIFWNFKTEASPEWDL YRLLDVGVFPQPLSDRRFGRACSF EPUS_02347 MSKIDKLSILGVRSFDNTRSETIQFYSPLTLVVGFNGSGKTTII ECLKYVTTGILPPNSKGGAFIHDPRLAGEREVLAQVKLSFKAATGARMVATRSLQLTV KRLTRQQKTLECQLLMIKEGERTAISSRVAELDQIIPQYLGVATAIIDNVIFCHQDES LWPMSEPSVLKKKFDEIFEAQKYTKAIDNIKQLRKKQNEELGKYKIMEQNAKEYKVMA EKTQNRMTKLQEEIEALREDAIELDRQINHAAELQKQAQTQLDNYDSVSKSLEIKRSE AATREELIQSLKSRMKEVPEPDEWLASSLEQFDSRIEQYENQREARLGTFQELKAHLD AKQGEKDTKQTEKGEIEAEKKQYEKQVQSRRDLVKDVSRRHNLRGYDNVTDSHQFDDF MQKFRKISRDQTNSLSRAREEADEKRKKAQMLKNQLEQRQKALQDNKIEARKLVVQND REGQEIRRNIDKISADEGSKAVIESRIEDISTRLHKAENDAKEPSREKALTEAHANVL LLEEESKHLRTELVQSTKLSDEMAELTHLKKLVKDSSRSLETMIDVHGDRIKELLGGD WQPTTIEAAYQNALQSRNRQLSSIEHEQNIASRELEQCEFKAKTNSEEIEKKEKSLSE SKQKVRDAIDDEPSEYEVILQERQKTVDTVRDDAGQMAGLSSFMQQILDVANQKGACR TCSRPFRTEAELNRFKAKIEGLVKKAQTDNQEEEIKELERELQEARDSAIHYEMWKQI SSELPSLKEQQVQLSRDRDRLLSRLEECDRKLEERQEAKKELEALSKTVQSIAKHDAD IKSNKTRIEQLSSKQSQTESFHTIHEIQEMMNDAERKTREAREVVQRLTKEEESSRND ISRLNLELGRLESDLNTSNYQLEKKASLVAELEKLKSATARQRDIISKADDEIDSLDA EIAKARAKYDDIDENARSKEKDLQSRADGISSNLQDLNYSNKIIQEYITAGGPHQLAR VQRELKVIMDEIARDEAEQGDLTREINKLKEQIDQSDAMRVNYSDNLQYRHMTRELQK VEAEIRDLATRASDVEKAHFDAEVNRRRKECNFLSGQQKGKMGEIKSKDNQLAELLAD YETDYKDAARKYKEAHIKTETTKAAVEDLGRYGSALDRAIMTYHALKMEEINRIIEEL WQRTYQGTDVDTILIQSDNENARGNRNYNYRVSMIKQDAEMDMRGRCSAGQKVLASII IRLALAECFGVNCGLIALDEPTTNLDRDNIRSLAESLHDIIKHRQQQSNFQLIIITHD EEFLRHMQCGDFTDYYYRVSRNERQKSIIERQSIAEVL EPUS_02348 MPEPILISSIAGTVISAAILLTVGGTAAVAAWRWGEQSRNIIRV AFNKANEHEVQLFEKGILHDTPFRQLAGQYEALFASCCCGNPKYLKAAQRLRVALRRV VEEGLKLPPFGSPLLCDDESPRAAHTPIEERDNWEMLHLMRHPDFQRNEVIKRLRVDR GWRDYFRTLEASEGDLRGERPPEAGLTGPAGPPARRADDERHTERGGGSRLTSLDLGG FTAVGEGSVADGVPVSVFSGDGRASVLGSPAGGDRASGRSLHSEALGSPAPGSRRSRN PTGAPRGGDQPSAALTGSRRSMSGSASASATGGAFFSRSRPSPSTAGDSEGGLSRVTE NNQPRGGPAALSQTDPAALEPLARDRRDAMATTNAWVAAPTEFFGVGPSVRSNSGIEL AQQSSLYERRPSSERAYQGSSSGLQRPSPRGHSTRTSSEMESARRPRLPRIESASAEA AEMGLSGLGFVPARLTSMIPTSATGNGLADHTLAGLKSAGPSATDLPGWARFRKDQSL AGCSQSEVQPVLQEAVNLARVGSSPLPPIQPAPPQAGLPQAGPSHVTPTPEGQTSYVP SSNLAARARAEEPSTPTQGRMAAAGTQETPHTRNRNGPQGLIQHAATNAARQLEEQES SRRSASRAGVRGPEIPGRGHENSRRGSGASSGAVATRIQREEDAARARQQAGSPLLPV SPTASPSGSMAQSPERPAARPVVSTLQSPSRNYRAPSSQTMGRGK EPUS_02349 MADLVNLNGDGIVDTMDMDGMPMNLDMTLGVAGDAVLDSVEVNS DIAPENEQQNIDNETSAHPITGAGAGPLPTGYGAHSTNLNSGSTLTEFTKRRNWSQRV LEELKDFLYILTPDRRLLYVSSSVKALTGYESTELVGKPMIEFIHADDTAMFAREFSE SIATGNPLRFFHRFRKADATYTIFESHGHPHFTSDAAYPSNNAASFCRGFFMMARPYP TKNAGLLDSFLEHKIENERLSRRIADLKREEAEELEAQNRHMYRKQNGHDNVTPSESR ESETPGSASYSGGQLSDSPYGGMPPPAKPGASNTALTQKNLDEANASSRPDSITDKMA RYEGASHIEGIEMLTGLHYGEGERSQGISTGDTSAALIRGDAGIAIPVDKDGMRASGA AGSSGGDKKKKLKMADEYVCTDCGTLDSPEWRKGPSGPKTLCNACGLRWAKKEKKRTS VGGNVAGMPTGGSVES EPUS_02350 MSLADAYNRRGNARTSREGRFYGLIVRNDFPTPTIPSPDWLRPR NASPPERSTTDGASPELTAQPRHPQRPLPPVPPFDSSASTSNIPDSVSPSQACIADSV PDLLIQQLNSILRTLRGTFADKPPHTIQRLAELVLNPNKHYKTLPAWLRAVDRAVSVT SKADIFPLPQAQSLPQGVLDGALTNGVTNGLGSGTGGGGILWTNSDTRDAGLGSDESL GGALLTPIPWLKNGEIAGTTSPKSDPLDPIDKPSNSFVPERQDGAVTQGELIRMEQEA GIVPVSQTIGEGGVDIENESAEAVPHARGPDVVGVEDMGLQDGKDVEVQISKGSAKAE STTMEDIKPGPALDDAVMATEKSEEATPTNGDGDIVLTDADGKTEGSEPKGDSKDIGP DGVDTSAL EPUS_02351 MAALSVPSDGLVLLRTPERGPASNSALPSQVIRLNLAQRATEEI LKSFHNKEKISIRFGKRVSVQHGKKLQPVSAYPELCPSELYQCSLDDDSTFYFSGKLS HTLEAQKAQTDTAKADEALANLQNSLKSHEEQKASNEARIVTDKDELRHLTELSQKAK GNQSQPSAIRKDRFLNTTHRSTPTSPFLGAGGSPTNGPGPIARSVPASVSQDQIRLNA IKIPFLHLLAVDAITPKALAEAIHATKDDCEKLLHKYVKDARDANAKQELKDKAYREL DPWKFPYPRENDRQAAIDRAISAFDRMRINTSDQIWETLLPVKERGKGKGSELSRLKI AQAGLNVVAPKAGLSKGAPPSVTDTDTDHGKPAGKAKGSATKTKPRSDTNESLEKSST LRKEATKQLKEVHGKDAPRKERRKAQANAKFKSSEVIEDSDEELAGVKTTIESTTKLK KGPDAKARSSTMKQPSKPTATTTTSSIPPTSSLKTSSQITSNLMPNSALTRPRPDSTT NKASPRPRTDSSPQKRSPLASSPPTNATDLDNSQSSKTNSLSSATSSPAMSPPHTKGQ KPLPPSKTSEPRPTSTAQKRKAEDHPSPAPKRQQINGNHIKRPTINRINKPPAENKTN NRNNTSITTPSSSQRSRTPSSSSASTVNTTTTNASSSTTGTKHSPPSTSKLQSDLQLK SKSRRFKIQYARYQALHAKIMAMPEANRDAEEVKVLRKMHTRIGELKREIWEGWEKER GRDRKKN EPUS_02352 MDNSNNPIFTAVSSSVRQVSLLLRCIGFSPKAEVQITTDGLRFS VEEFRAVQGLAFLERSLFSSYSFNASSDTAPSSPNETTSSPSFQINLIALLETLQIFG LSDATNSSSRNPNGGFTSSFAHNAFNTPALAATGGTCRISYPYLGAPLSITISEAGVT TTCNLNTYESSTDAGFDVDESIPLQRDALTLKIIMRSTWLYDAITELASTNPTMLILT ASGHTTPLFALEGAGGPFGDSVVDFQPEPSRTPKSGLSSNGTGKDEKARAPLVSETFT VLSGSGTRGTIRQRYKFAHIQKAARAMDLASKVCIRCDSQGVMSLQFMIELSRDGIGV GPARDAERPNGGGGGGAAAARVSFVDFRFVPLIDEEDEEEGSDKENESFGGDMNGSTA SDQ EPUS_02353 MAPLSAENKRKAPEDTLTSVRTKKARTVTPEQNGFKPKREEGDS PPLPSPNIVTFPEKPAVIEEKNGIIEFRVVNNDNSRESNIILTGLKCIFQKQLPKMPK DYIARLVYDKTHLSIAIVKHPLEVVGGITYRPFHGRKFAEIVFCAISSDQQVKGYGAH LMAHLKDYVKATSDVMHFLTYADNYAIGYFKKQGFTKEITLEKSIWMGYIKDYEGGTI MQCTMLPKIRYLETSRMLQKQKECVMAKIRAVSKSHVVHQPPPQWRNSTGPITPIDPL SIPAIKLSGWSPSMDELSRQPRHGPNYNQLLHLLNDMQNHTAAWPFAQPVNRDEVPDY YEVIQQPMDLSTMEERLSNDLYPTPAEFIRDAKLIFDNCRSYNTDTTPYAKSANKLER FMWGQIKAIPEWSVCGVRSLSPLFPPTQTHAAVVGILSWIKIRCSG EPUS_02354 MSDSAARAPASDDDAQAEHQIQASLQRATEEVIGLLHPAKHGNA GNGAERGTDTVTLDALPSEVQASVAAPAFFSLKHSEQNGRFRHADLSQSATRIQNGVH FNVTLEEDGSRLRELNGEVTHISSSISTINGGGTNLQASSGLENDRFGTNQLSSSCDE NPSAKDCSNSLHRQSHTVDETTADLQGASPNSPNRTTSSTVPNPWSVFAHSDLDSFTK RTLSSVFSFGSRPSNKARTGAEEVEKHDDLKCCPILEMESTTQDQRCDRSELQTGDED QIEVDETSHNVSREVQDASADSFVTAREAPTPDREERVDAARDQSNSFFGGLHPSRMI LVSNREAPPQTGLPPESCSDMAVITWKATGANTMPLSTQQEPSQIQKPKKKTTQITSN IARTSQSEGSSTRDCPKVEIRFLVEGIESVKKRYAYLNSTTIDSKEWSFAWQEITAIF RTPFSYHYDSWQEGVSVQLKTDFKMFRFWGTFTKARAKEFITKKAVLYVIDSPFFFKQ AVPALQTFCRTNGLAAPVYTHNRHPDGTFGCSVRVGGQFAVFGDDAEGWTHKEHAKED TAKMAINALYREGVPMDIGAIPDARPAGADPHAEPQVPNKRKAPLGSLWEEGQRTSCR IKGRAAQHTGGPRSSIEDREVNTIEPDTKDDIQHVTTGSVLRPTKSSVRTRSGLAKVL KEVLEPQERVMVICDHLRIQKPEYWMEGSFGRRRWFIRFLSEHPSHKVGHTLIAPTVV GSLNGLQDCARRVEEKLFEKLYSGEIYLGDGGATFLDPAMDSEWRKEDSANLPPSKKR RLEMDESRPLEEINRRHYNSDNIALPPSANSLPLGVPALEMCGMDEDIGYESEDELPR DAMILAKPSNSEHWGPQSNLIAAPQFQEVRQSVAASVTTAQAASSSFSSKQFIPANTA IARPQDAANRCIAQKGLGLHGPANLLPSGEHRYVSPPPFLDTPTRLPKMGPAIVKVEP QDDEDGDIVWGLEKAAASKMVRSLPSGDHAGDDGAVA EPUS_02355 MRYGNWDVLLFPHGSKTPMQEFRTQCFVTRDNDVPFIHDPTSSG VRYISEGRPLTQLPVLTTFVGSLVHNAPFRVSIHSWEQPKASRMLDSLARPGNSVTFE ARVYIDGLMVASSIFNQRAMWPHVIDLCSQMDREGYQEPLRFPPFHQEILHASSWDAG QLLGRIRVVITEGFSRETGSPYNNLKHSFVRIKDVLAFSFQHAPMREFVAQFSPEASL MIIVIDILEFSGIAWPNPGMWMQVQSRSSQGPVSGGQRPFVSETKEDEDAHAHSPRRP THQDVCIPEGFNSRSYPDFDDYWANQSMPPPPNRTATAAWNQSALGEPNVIRPNGLLA DPFLDVRGLGTANTHRENKKSSEDTPMPDVPSSEASRNLSGMTGISCNQKDPPSTIIA SHEAIMNQLVEALSPLKSDYDGPHNTTNTPSSGRVFSKPSAAAQARVASRQASSPEKG EAVEVPDSTGRKASMNIISANPGGPVQSKKEGEDNKENMPETRVIGAEFTRRSSLSAH LISTKAVEKLERSTESKRKRPLEEVPTCAHQSSVDDLTSSSPSKKVSKIASAEALFKG QSPHTPDRGRDVSGGVPFKLAAEGE EPUS_02356 MNMTGMNPAAGGPVGGGMIMMMNNGNPSNAANSSSSSSHSELKR RLDTYIYDYFLKNGHHEHARALYHDDSIKLNTHPQTKSSPSRRRDAEMNGIDENAMDT DSKDDINKIPDDLPKPIVPDNAQCGFLYDWFGLFFDIWCASRNKKPDDSGAAAQYLQQ TQLMQRMRETQHSSTVLGRQGMMPNRQFGPQNMRNGITQDMQRAMAAKQLSPAQFQQL QQQQKQQQMMHQMHREQSENEMNGHRPQSPASTENAGSPSKRPRLDGQQFTGGPMAPN GRGQGMPQQQQQQQQQQQQQQQQQQAMNMLMASGMGGRNMSQSQFQSFPTPNPGMQKN LQVYAQNLAQQQGRSMMNQGVSMPNGMMNPGVMPNQGSPMLGPVDGPNFMQNMSMEGM YNPQQIAAFRQHASMQGASGQGGNHALQDYQMQLMLLEQQNKKRLMMARQEQETITRT DGGPPMTGPAGMPQPVMSPGSRAGASPNPTDQMKRGTPQLPANGMPSSPSAGDGMPQG RGSPAGMNFPGGMPPDFNNQLYMKNLGDNMVGPGAPGMRPPTSMNPSMNMEGIARQQQ GGRMPSGNWQGNPQGQPMMQQPPQAQPQAMGTPQQRPGDMPPPAGLPVGNAPSQRNQP PSPQPGQAPPTPSQSNKANPKSKKDKNEPKKKPNKRNSTANAPTTSEPDPPATPTPST PITPNNQASFPKGTNAPTGGNAPPSNPTPTSNPAVPQQQAPAVDPTAAAGAFGDFPNS NEAFNLDFSGLETTDVLENFDFDSFLHNTDDGNNGFSFDPGSMNFAEGLETAGME EPUS_02357 MPAPKRTASDSFSSSQQLVVKRQKSDANLSNGSAIAVVGNNAQN GALVQPVLRTSGLEAPIMELTGHSGEVFSTRFDSTGQHIASGSMDRSIMLWRTYRQCE NYGVMTGHKGAILDLQWSRDSRAIFSASADMTVASWDLESGLRLRKHVGHEEVINCLD VSRRGSEMLLSGSDDGYIGIWDPRQKEAIDFIETDFPITAVALAEAGNEIYSGGIDNQ IKVWDARKQEVIYTMSGHTDTITSLQVSPDSQTLLSHSHDSTVRTWDIRPFAPVDRSM RTYDGAPVGLEKNLMRASWDPTGRRICAGAGDRTVVVWDTRSGKMLYKLPGHKGTVND ARFSPTEEPIIVSGSSDRKLLLGELGK EPUS_02358 MPASDPPTEHIPSPQSELGSSKPLLDSNGWDGKLRHPSKETSTS SASSNCKPTLTNPEALTDPDYSDPDAPPPDVVPADEDLLDDYPTDSTDIDLVHCRISS IPSLRLSRFIAVERLCLRQNTISSIEFPESFGQKLQDLDLYDNLIKHVDGLEGLAGSL ESLDLSFNKIKHIQGLDKLKELRDLYFVQNRIQKIEGLEGLGKLRMLELAANRIRDIE NLENLTSIEELWLGKNKIAEIKVGGIQPVPYFAPTEYADVRQNLSTLTSLKLLSIQSN RLTSISGLSALTNLEELYISHNALTQISGLDTNTRLRVLDVSNNPISHLENLSHLSHL EELWASNCQIGDFTEVERELKNKQELTTVYFEGNPLQTKGPAVYRNKIRLALPQLKQI DATYVRVS EPUS_02359 MTILPSPASLLLRQVRLIISPPTSTLFESRAILSEVQSKFGSIS TFINQRYDPVLRQHLKSEPSPTSSSQSPSQTILAVFDRPSSKDSAIGSDPFTIVCGGD LVPSADELDPYNARGLHGRHHPPKRTFSCHIVEEEDPAIHQRLDGQHPYTGPFRVDTL QLSYGDLVKAGGAPKEVKEMADVMQTERVPIDDKHLKQRKGCEDELHGVPSRYFYSAS DRREDPQLEGGLMAAWRRSIEKEKTEEESQRWDGTEPASRLH EPUS_02360 MYRPYSKWQWAFVLTALTQAGIVLAFEVYVFATFQIDVLDHEQI EAGEPIEQPKTTIPTFLTLYIFGFLYQLVLVYDALRLKNTIQIIGLCLYNVGLLIYGS VQVNQIQDAVVDLATRHEIDQGIWARTKPFLIAIPCVLALGTVFLAVEARMLYDEFAW TIYKHISADLRLKSRYLTYQIYIALLKFDFFFFLGFTVQFVVIVIDRGSLEFALTVAA IPVTIIILIMAAFFTRRENTWGMLLTIVRTQPYMNLPRQNTYLPQFLYFGGLAYFLFK LVRMYSAAREAAYRPARKELTTFAVLTIILIVTTIIYACICTHNFGKGLKPYINEKKT DHEDEKAGYGGLLGTEMSSGPPRVPIGGAQMPARMEID EPUS_02361 MAAQSTLNVGGSPPHQLLKQYIEILRSRYKQTSRPACLAATLAL LLSVISGSYGGYRWWIWRSKDKAQERTLLRRNSGLRGKDGSRVIFVPYRNSTAKVVIY PTKTTTFDAHRRLFLNPPRAARLSDGQATPSVPPPQTKAGLNLAFLHQFLSLLSIMIP RWSSKETALLLSHGMFLILRTYLSLIVARLDGAIVRDLVAGQGRSFMLGLLRWLSVGT LASYTNAMIKFLQSKISIAFRTRLTRYIHDLYLHPQLNFYKLTNLDGGIGQGADQFIT QDLTLFCSAAASLYSSLGKPLVDLFVFNFQLYRSLGPIALTGLLSNYFATATLLRRLS PPFGKLTAVEGRKEGDFRGLHARLIANAEEVAFYGGADIEKVYLNKSFKDLRGWMEGI YSLKVRYNMLEDFILKYSWSAFGYIITSLPIFLPAWGGLGGVLELAHSSSDSTPGITL SDNLQRGRMKEFITNKRLMLSLADAGGRMMYSIKDLSELAGYTSRVYTLISTLHRVHA DAYLNPRHRPGFHPELYSLSDVQGTLHSGFDGLRFEDVPIVAPSLYPYGGDELVESLS YVVHSGEHLLISGSNGVGKSAIARIAAGLWPVYRGLVSRPRAIGMDGIMFLPQRPYLS IGTLRDQVIYPHTEMDMRESGRNEYQLQNILNDAKLGYLPDREGGWDTRKEWKDVLSG GEKQRMAIARLLYHEPRYAFIDEGTSAVSSDVEGLLYQTAKDRGITLITISTRASLKR YHSFNLKLGLGAESADWEFQKIGTDEEKLGVERELQELRKRLGQVEEWKRRREEIEAE LKKVLIEGSEDALDPPPYIEGEVPEEP EPUS_02362 MATLPHLDIPSTMRKPGPWIVQHINPSSDGQASSWGAPPYRAIS NDPYRVKIGSLWAEEKGIATAGINYYLDRLPNGYQLMDYPKLGDESTRYKRLFGHPSG KFFDSTVKFFQHFLWLMNGMKDECQCILCFKGPKAAAQPKSVSTFKPRPRVPREMHSR DTTVDLGKRAKPVKNYFDKKLYTQRGLPSASLLSHDIPDLPLDVRIAKLAESVLPTDE EGTPDIYKTLLQLLHKLRESRKLIDEDIEEKASPDWRAEHNDKYGDNFKILGSHLMNV SLQHSFVPRIGELVLWCPDHPDDVDIIYNPAIHGYQFYSSERQTFHGFPRWRGGMVTQ CPSTDESVDFPDVLCVVDRKDSLNRAGFRVETFPDPNNNVDKSASKQYKYIPLRQIRP LNQWQRVLREIPEQKLDPSIQHALTCMVSVSLLEKYRFIGKWPEASIFCKGVYVGAEL LIEGDAVRILPSEGERCTDVLVISSIRLHLHDIGEEHIRNGSAGFCRASSITLVGTAY TLDPSRAFSEESATSASASSPRAVSTDVIKYALPTVGAAAYGNWYALHSPKHKIEITH DRILGRLYESGAMGMWSGTMGNRRTCKDPPSLSYDLSSVLAGRKYGTLTDERISEARD GKIHWHWADTRVQALSLETVNGIEVGAYDTVRDLRTMQAWRARLKIIDGLSTQVDIKD SMLAKQKGRPKGSRVVGGVVVGPDEESESEEVDPPARGSFSEAVRSKKGVGGMVGAGA AIEEDDNDEDREAGAELGNDDTEEDESPISPSKVSIMEPRSKRTSSGFIIRQDPDIDM PDHPPFHKRSTSDIPPEVRGPTKARKSTGGSRLSSIFAGRYDRSIPAAMNQEESRGAN WKGKGRADGVSADYDPDTPFRSIEPNNAIPIEEDNDEDSSDNAEEENQEEDQEEEFYV PGDFVEPEPKDDDDEDEDEDEDEDEDEDEDREGENKNENEDEDMTDDEEERIRTLAIF QKDTFDRTGKKIVF EPUS_02363 MEHWPTELSIPSSHSEEQRSIRGAGPLKTVSGNRQTFALDCHAG SENQNPHDLYYINSALAEANCASNTFPTTATLGSNDNDLAIHRLQHRHQRRQQQRASA IDFRIQDRSYLNSKKYQGYRARQRKDLGHDNKQVWSDDVEEAFQEALFEIKPMGKGKR SQHGRPHGRNELVAEYIFRRTGQRRTRKQVSSHIQVLNALLKDIPSWRVLIQAIDRAD GRPVNGGFYENSIEHSVERRNKRLAAQHSSYREHGYSVHTDNLPSAPQTLGSNACTIS GKRVTGVNFEMWMSPNQSNMDKRLHDFTSLQTARDLPRCDPSPLEDVRDWRALYPCLA ATLDGKGDVPEFDIIGIETSYKMMSDFPPPKATLGLRLELDFGPSSREELYDWTCTTH IYRNGIPVKQASHYRLEAKYGMIAPPFDSMWWALTFIDRMEQKKQAEESGKRDVYDAA QQRAQKFFSELTAVHEIRARSQADSSSQDQRPERRLVAALLWRFSMAPESFVGTTSWQ RLLPPPARITTNSPAPDQREMSLPPLAIDTIVGGLHHSTDLSATKDLLVGQPHDSFQD YEAVLDDPTAMLGHHDFEMAFKDDDIAHFASMQSSFISSTQNAHDGSSYPTIDHLDYD IQLHGLSTPSHHDTFQPACSNIFEAQHINRNDYLEDHQKQSQGHMYELHQDFEHHIVD ADDRTQRRPLANFDQNTHNMLQAQLREPRTDHSKPEDAGDETLKAALAAASAMSDLGT SHAGLPLPPPHPSSQAEAKQEHMSALWEGDAKSSSSSASSVHHHHRPQLHMHASFTSQ ASYTPHHDHEHEHEQPASPDAASAVHLRAFDAENQLPASPSAGLDAHRYHNNNPATAS PEIRRLLEMHNQSFD EPUS_02364 MDDDAAIPDTRVFVSGLPPNFTSNQLGAHFAGRYQITDAVVIPD RRIGFVGFRNYTLAKSAIKYFDKTYIRMSKISVMMAKAVEIERTNTSQGVPKTKYVSQ GNTTWSSVSESVPTVAHNTPNPKSNHGIDFKPYVPVPSSNKRKRDSGSNSQEESSRPT GPASAMRPALEDRNGLSNPVDEHESRRDLKKAKKKERKERLKQDTSTGSQQGDEEGES NILTSSKSTQKKKTKEGKAAATGSDDETIAAPLSEDLPSGRESQAKDGTKKKKKKKHT SFIETNGKYDPSDSQTIDEKHHLKKTEPRGKVDDDTKGGVAPIDDHALGPADQSADQI EQQRPKSDIATDNDWLRAKTSRVLDLTDAAPEYAKSVPTDTEDLSKRPTTEQDVKNTE QRITPSADSSTKPSPNTLVLSARLFVRNLPYTANESEIESLFSKYGHLDEVHVVRDKA GSSKGIAYVQFSDHDHASHALQELDGKSFQGRLLHILEAANKKSHRLDEFEISKLPLK KQKALKRKMEAGNSIFQWNSMYMNPNAVLSSVADRLGVSKADLIDVSSADAAVKQAHA ETHIIQETKAFLATSGVNLDAFRQHERDNTILLVKNFPFGTTTEELKSLFSPYGEIVN FLLPPSGTIAIIQYAQPGEGNHAMRDLAYRNFKGSVLYLETGPRNLFDKSVQPISHSK SPDAVATPAQDGSTLNKVEEVSSSTLFVRNLNFITTTSGLTRAFKPLDGFLSAKVKTK TDPRRPQEILSMGFGFVEFRSNKQALAALAAMKGHRLDDHELLIQTSRKVTDAAEERR HKDNMKKVDAHKTKIIIKNLPFEASKKDVRALLSAYGQLRSVKVPQKFNHDTRGFAFA DFVTAREAANAMEALKDTHLLGRRLVFEFAEEEAIDPEAEIRAIENKVGRQTEMIQLN KMTGSARKKFIVGPQDDDQ EPUS_02365 MDASEAMDPKGPEKKQKLEPSIKSVDMVYWPRTRFIARSLTTKE RRHAARSN EPUS_02366 MAFCIIMGTHPFTKQMEEYRGQQFRCNNCGNVRAFCQKSWTWFT VCWIPVIPFSAGWHKDVYCPICRVTQDLGQRPDITSGQGTHGQAFQQGAINGGYGGPP QQQAHYK EPUS_02367 MATATEAAAPPLSQGFGYGIILGLGFAFALGMIGTTWALKRYHN EVQTSEAFSTAGRTVKSGLVSSAVVSSWTWAATLLQSSGVAYNYGVSGPFWYASGATV QILLFATLAIELKRRAPNAHTFLEVVHARYGKATHFVYIIFGLMTNILVTAMLLTGGS AVASSLTGVPTAAACFLLPVGVVLYTMFGGIKATFLTDYVIILIIIFVFAFSAYATNN DLGSPSKVYDLLMQAARDHPVADNAGGSYLTMRSKEGAIFFVINIVGNFGTVFLDNGY YNKAIAAGVVHALPGYVMGGLSWFAIPWLCATTMGLAALALEGNPVFPTYPNRMPHAD VTAGLVLPNAAVAMLGKGGAGATLLLIFMAVTSATSAELIAVSSIWTYDIYQKYINPT SSGRRLIFHSHMSCIFYSIGMAAFSTGLFYAGISMGWLYLFMGVIISAAVLPASLTLL WKDQNWIAAAFSPILGLVVSLTAWLVTAKRTCGELTVDCTGGNYPMLAGNVAALLSPI VFVPILTFAFGRQNYDWVSMTQIRIGDDSDIAKSAHVDLELIPGHHKSGNDRPPQRPI NASSTNPPLLPAQRP EPUS_02368 MPWRELWTSGRRTASYISRRSSVVSKFTRLRNPSQTILFCPLLD SVLSSRQKPQYTAYRHADFPNYLPAFCYDPLPITDPENSSNPAFRLLELHPGQDSEEI HCTLIESHLLSNVPYETISYRWDDAQTTIVCNGRALSIRRSLAGALRALRYRDKPRLL WADAICIQQDDDAEKGNQVQLMRRIYSQAQGVLIWLGETAGDGNSHISVSWPARLAIL GGLVTLRPRMKKSSAPYVRVRDVRKGTTIDLAPFSVGLYLLLVSFLRKSWFRRAWVVQ EVVVSKKVTFVWGSKQCEWKEVTRALEFMSKARFPLVFMPTLQHIAGIEEEMARYKQG ANTLLGLLLRHQRCLSTNPRDKVYAFCPLMGTSPSEFIDVRIRYEDPVESVYRDVATK ILQHDRNLDILSHSPSPPTTSSSTSNLPSWIPDWSRRTSEDMAHEWGIRPLSLASRDM YSHDPSKPPFTAAKDSTYTPSPPPCPNSLTVSGYTFDTIAATGPVFNGVQVPSTVTTL RDIVLSWLRTLHTFYHTRDVLLAWEEIADARSQTFYTPDNHHHHNHQHHKNTKPTSTE PILTAFYRTISTSEYDHSPAIRSELHLWHRVNRQSPLLRKLHLDTIVLMPYWIALLVW YGATDRPLLKFELQKRNTLYRRLIRTERGYLGLAGNAAQVGDRVVLCRGSRVPLVLRP VEMEAGSSSTSTSAAAQGMVRYRLVADAYVHGIMQGEGFDESSCASMVLV EPUS_02369 MSPLPPPKTGGRPGTLFPWAGNISHWLDPGTEIPNLEDEKIKSS KWVEDFAERLKRLRDDDARTFADDGAVQYSERALNVQVKDLHVCGLAMRRYKYKSIDC FAENPYKCSAQAQRYDSLTSKGTDKTPRKELVQWMMAKILLKHNRKLGGRVKNLMKTA YVKASKQLRESRSSWPAMEKELQEDAENKDLQKWWALTKAKNINQFKDHYSQCDRDSA AAADSTILEMVADQDLVLVLDKNNELIAFCASKAVQKLFSEKVLEYIYTCFDIYTYHQ AIPTPDPTRHPLQAEFLRENPKYDCRAAEDRDLAKCGVEHYGCREMIGDPHGKHIYPT KGNSIRYWRSDMITTQIYPKLQRGPWRVLTEVASFFFKPLMPALREPFTLRALLVNLS TEDHTDSKDCRYGIAALTPFGNFEGADLVLRQLGLQISYPAGSVVLIRGHELAHSTTQ WTKESRFVGVQTSHEATREHAYRKLGRPYPPLALAPNFYPKTAKIGKVDVVEKASMPS VSHNPSPGSANVFAGSTISSKPGCPDSEDNEDGEVQQDAVKTLLDDNGDDDGTRSHYS SDTDISCRDCKAQEDYPDMEVFDMDDRDYMTFAYEEDPTGPRYYKLASHKRKRSESSS EDEEDTSSDE EPUS_02370 MSQQQVVSYPSFTFESAGRLASSHIHLLVTWSFKSLFGSIKIID EVCDASQIDFEEGGVDSETLKLLKHRWQKKLSALEVGHFPWEPQPQNSSTNVGSSAAA STPQSTPNVSSNTTKVQQLASENARPSPMPPSNQGPRVKAEPGQYQSTSSPLMGYPMS QMPQLPPAPVNTVAQERAAQALQQRYGPQAAVQINQLQQSISQRQQPGLPNSQGQDGD DRKQALPNFSTPTPQSRTPLQSAQHDGSNDSLAKWKAEVARRRTLMEAPARGERLFHE HMLQRQQQIEGGGLMIPLNERHMPSAGTKRRVEALLGTYEEQYPQRAAPQLSTSLPKA QGDAAGDHDDDEKELDEDAINSDLDDPEDAGGDPEDETVEQVMLCTYDKVQRVKNKWK CTLKDGILRVSNKEYVFHKGQGEFEW EPUS_02371 MAAPSVSTPIKSHHGLFSSKTAGGRMPLTPSPRTRTTSVATNLS TNSSPFTPPRPAQSIHHREPSTQFSRSVSRSVSKFAYQQSPKSNIAKGKQSPRHLDLG ISEWTLTGTGPITQTPSRERARKESVVRSRAGKTTVRIPHNAADRFIPNRMASEGLVN AGSAKQDENSRPKTSNGEGSTVLANAASAFDIGGRGADGDLTATLENLGLDDDNTTTS YQRPNPDSVAYESSLASACGVSMNTRILAFKPPPPESSKPIDLRSQYNRPLKPANAQS AQFRRRIQTAPERVLDAPGLVDDYYLNLLDWSSGNQVAIGLERNVYVWSAESGTVSSL LETSPDTYVSSVKWSGDGAYVGVGLGSGEVQIWDVEEGTKLRSMFGHDARVGVMGWSK HTLSTGARSGLVFNHDVRVAQHKVAELVSHTSEVCGLEWRSDGAQLATGGNDNLVSIW DARSLNAPKFKKTNHRAAVKALSWCPWQLNLLSTGGGSYDKHIHFWNTTTGARVNSID TGSQVTSLKFSNHYRELVSSSGFPDNSLSIWSYPTLVRNVEIPAHETRVLHSCLSPDG QMLATAAADESLKFWKVFERKAGTSASASREGGVGSKTSQMAKQMTIR EPUS_02372 MAQPATPVGSTSGSAPLLPASQTSAPTWTGFTGPSRTASSTSAQ ANAYLMPASPAKSRRHSSEGHRPKMSKATGSKPACLVNASVTYCGNNQIYAFGGFDQF TDEVYNHVLKLDLYTLNWSLVDNYGDIPGVRMGHSASLYQGHKLLVYGGENEHREYLS DVVILDLKTMYWTQPDVQGPHPRGRARHASVVYEDKLFIIGGLTGETNYILDDICYLD LKTWTWSRTWSFAGRFDHSAWIWGGRLWIFGGLGPEMERSTEIWWLDLKGSPSLGMPS MDGFSDPNGLGRSISGSMPSNGTTSNFNPITNAYTANSGSVHVRSSRKDRPNAPGAIS SLKFLSGPHVPPQSSGTHFHVYSSGTLLDFVTPAATIRSTECNLSSLELDSLRWQKLV EGPELFQPGYRWHYCTINEDGTKAWLLGSQIDTSTSSGQEAQLSEVLAVDLRKYGLLG NDLVYQSNSEQRRILASERETSISQGLGTDLASMFDIPPDSGSMTDFTITANADDSDI CSGSSTLNSPTEPAETRFSIGSTASAPLYLSSNTSTSPPIHVHKMILQARWPHFKRLY AAKMAEFHNQKLHIPEPYSVVRAFLYYLYTDSIAPHSVYCQDLTDVAGMLVMANLYDM PKLRLLCVHRLSRELDVEHAAIVWERAGRTNEEWLKHRAARFCLSNWGRVVRTEGFRG LSRGSLMELCEVVDMEGRVVNGEELEAVVGNVGWCADGGSFAVGGLGRDGVGNGRSRA RARGRRMSDIDDGEEGDEDGMEIS EPUS_02373 MLDYSESPPSLHHSPATSDNVLPTSRESTPLTPVGFAASINRRS EKDRGKDGHLPWFRRPIDVGTATTSPFPDSPLLEPDFDDHSFPLFGASPPAGTMAGAA NPIDIATRQASTSPRGPQSSNLTSALQGKLVGEARHVPGMSGSERVDISDTGKSANVV DGVSAGDNGAKPISMRGLPEDKIRRGSMAQSMTAGMSWGGISVGSWIRDDIMMSGTSP FTFGNSPSFHSSSYLPKLEANFMRDFSCCGMTLPSLHDLLQHYEEAHAQKTSQQNKPN TADQPQMPDTRAAMATNVAAAVQQQAQQQINYKPIAPAHPSARSNQPQQDLRKASTCS SNLQAFPDMDTVEDMEMDDMDGVEEDTPPPNLYTQSHSNNQSPQAQFSQPPQPRLPQL NMNLLQGHQGLRNSTPTTPVTQGRQGHPFQNNPTVSSVNTPTLMSNPMQQQFQDLSGQ YRGTPDSSAPGTPAELDESIMSGMGDMSMQNNPLLMGNQTQNFGLGGFGGNSDMIDLC IDEPAKRLFSPTGGYGSQNQQYAHMRLGSGQYGPNSDIARRIREQQMLAGVPDTTAGM MPNDEPKPFRCPVIGCEKAYKNQNGLKYHKSHGHNNQRLHDNADGTYSIVNPETSIPY EGTLGMEKEKPYKCETCGKRYKNLNGLKYHKTHSLPCNPELQINGGPGTSTGMMPNQS PSQTQNMGVPGAGLSGEAGIA EPUS_02374 MAESYEPAVSHEPAVNGNGNAGLLNGFEVEPLVGNNKGPVAEKR KLDEPGPRDWEGQDGKRRKGTAPIREEYLIRFGKDQGIAPKPQQDAADDDAAEAFHHK DRAPASNNSRKRGKNQGQNTGRKFGKSRDEVALCSSRTHAPEFSPSECTYGERCRFEH NLRKYLKEHKREDLTTFDGTCPVWQVLGYCRAGWKCRFVGSHSKQIEHADGRKELILV EDEAQKSQDRPSSIEETGIGVVNSISPEVRISLTKRKFHTPKTEIYLKWLDSVQTKTD QGRRRGNSSSPEPADTQNNDMLAKEDNRCIYKEPPFLPSEKRRLYFDPSTPVLAPLTT QGNLPFRRLCSSLGAVFTYSEMAMSLPLIQGHKPEWALIKAHESELQPPTLTKKDNIV HNHPAYIPSTDSRFSAQIAANKPWVATKATEVLTALLPPNSLRSIDLNVGCPIDLVYR EGAGSALMDSPAKLEKILHGMNVVSGTTPITCKIRMGTKDGKPTAQKLVERLILGGGD EASIASLGGATSGVAAITLHGRSRQQRYTRSADWEYIADTAALIKRLNNITDALSDTI HAQDPRTLPPGADSKVFFLGNGDCYTHTSYDTHITEAGVDTVMVARGALQKPWIFEEI AAGQSLDKSSSERLGYIERFCRYGLEAWGSDEWGVGTTRRFLLEWLSFACRYVPIGLL ERVPTAAGSGWMEPSIQDRAPAYRGRDELETLMASEDYRDWIKISEMFLGPAHKDFRF EPKHRSNAYEIQAEG EPUS_02375 MELDDLIYEEGCASLRFKSVPVQAFEIRVFEVRCDTDIDIETHK TNIAQSGRADWQESVISHEQGILRIRPWLDAQVDFKSARHINHDPSRIIGGLRLLVQK WTREDGALDADVPIMPFLKSDLTRLWNSSVYRVPSHWTSPAVSKYQQILEDRLAITCQ SPRLQTCMTLVLSHDPRKKNTSGFFGYTQDEGDKVLATLKKSAHLALHPLLIPALIHS AWFKIMFDQYAQTHRELRLVQQNTDFMVMLLNDGRSQMSNFDREIRNTNDSIRRAMIE QHAQLSTALSDFVGKLGSALAEGLELVRKLYPAAYKDYGLEAYIKHWISRTERQLEHR EQLLKRIDVQIQVLYTFMQQADSRTNIHIAEDTRKDSSAMKSLALITTIFLPTTALAT IFSVSSFFSDAADGSGRLVVSSQFWIFWAVAAPITFFTVVLWFIWINRKEVKKFRDTY KHRFSDEDVERTHDSSSDSDRSTSRNPAQASRSRRDYGGGTDERAHAS EPUS_02376 MNSIRPCILSSSVALTRTLRAPPSTRLDFIRIASLSRTASTYNK VSDVIKTDHRELEDYYDKMMRATDDDTKTRWQNQFCWELARHSIAEELVVYPAMEKNL GVTGKDQAEKDRAQHQTLKEHLKTFQNLETTDPSFEPTIKALMADLSQHIKEEEGSDL PELEKALTADDSAGLAKSFERTKMFVPSRSHPSAPNRPPFETLAGLLAAPMDKIGDLF RKFPKNKD EPUS_02377 MPTNSSNPGNFANRSKEEVKAIASKGGKAGSEHKGFASQKYDDQ KHREVASKGGKASSGSFRPGDERAREAGRKGGKASNRSSNGGGNNGTADYDEDDDDGE EPUS_02378 MAIAAGRDTEDQYEARYDGTGSGVPSGEFRDDSYIEKTDPVPPT SGSKPLQRLIPIQNRIAKWVQSKTSQTKLADSNRKPLQENALSFKVIVDDSCMYSTLS NPKVSEEAKENARAQLDAMEGGPSIPDETGETKDKNPGNVAGGLKAAINNPQVSEEGK KAAQGKLDNM EPUS_02379 MPRLRSPYSANGSQGNSPDRGTGNGVNGNFDGMPLGAMDEARRP SVPASQAPSPPASIANSRSSDGTLSDQQSMKYRRMEDQLAQHYDVLKRYLNGGQPQPP RPNKARDKLLRLSATQFHELSTDVYDELIRRQAATPPRPPPGRPPQRPPNVPPYLLPR AEFHEKRNQARQKLSSLQPQRFRDLATDVFCELERRFPHFRNMNLSRRGSPSSSIHGS LPSRGPTPNGLRPGPPGSTAFGRPPRGVSGGGPGSPPVGFRMNGQRQPVREGSLSSPP PGAEGFGEKSALSPESDYGKPMPKQFQSNTITPNKSTMVEDEEDDFAIYDEPYENDRQ SDAFGLDGQAGVGTESPRDTTATTRSGSGSVMNAKLAEAHSKAKELQSRIEELEISLS LKDEEIGRLQDAEQSRSTESDWIDVKQDLEKRLGDAESLNRSMKDQLDRAHTDQANVE RDLRSQLDKAKRSQASDGGWKAKYDQLESEHKALQAKLREQQHVTEEVRQQASGFLNE MRVMAESGGSNWEREDKLRNDVRRLEEEVKEWKGRYAKLKAQLRNLRASSIGLSMQRP DAARYVKADEFTQSDGLVKDVHVTKFQISIDELLRIARTEDPSAVLEHMKSVVVAVRS ITSDVDAAGMADKDDEKAHRRGRLKSKVSATANNVITASKNFASSNGISPVSLLDAAA SHLTMAVVELVRVVKIRPTPPGEVDDEDEIVANTESMKDSTYFNVEPSHMRNQSANDS IYSAVSTPHGSVGSSGANTATHLTSGSKSSNGSTTTTGYGGPGESDPAGVQLGFGMRA QEDGELEDLKLYLEDQTDSLVHSIQTLVGSIRAEADLPQIRTHISTIADVVGEVITAT GDSIASSASLRASVEPIVLNLTNCRSRLISASAESEALSEKKRVKDFLSQLPPLAFEI ARETKELVQRVEETDQEVGQGQDYS EPUS_02380 MSRQDEILASAASFIRGLGRGASSQNPSPQDPRSTPGSAASLDL HRCKLPGPRSISKDNLEAELYALSQRIQYLESRADTVNQTLPDTPNEFPNPASPFARS EDNKPQNGPLSRAEYTRSPVRQGSVSNRSLRVNNLLAARDSRSGDLDTVRTVSEDDIS YLREHVHKQAEQIRSQKDMIADISRGLHESDERAKIAFQKVETEDVGILERELRKHQQ ANEAFQKALREIGGIITQVANGDLSMRVQVQAYEMDAEIATFKRTINTMMDQLEVFGS EVSRVAREVGTEGTLGGQAQISGVHGIWKELTENVNIMASNLTDQVREIAAVTKAVAQ GDLSQKVQSKASGEILDLQQTINTMVDQLRTFATEVTRVARDVGTEGVLGGQAQIDGV QGTWNELTVNVNAMADNLTTQVRDIAAVTTAVARGDLTRKVTASCKGEILQLKDTINN MVDQLKQFAQEVTKIAKEVGTNGVLGGQATVHDVEGTWKHLTENVNGMANNLTTQVRE IATVTTAVANGDLSKKVTADVQGEILSLKLTINAMVDRLNTFAFEVSKVAREVGTDGT LGGQAKVDNVEGKWRELTDNVNTMASNLTSQVRSISHVTQAIAAGDLGKKIEVHAQGE ILTLKITINNMVDRLATFAHELKRVARDVGVDGKMGGQANVQDISGRWKEITEDVNTM AENLTSQVRAFGEITDAATEGDFSKLISVNASGEMDELKRKINKMVSNLRDSIQRNTT AREAAELANKTKSEFLANMSHEIRTPMNGIIGMTQLTLDTDDLKPYPREMLNVVHNLA NSLLTIIDDILDISKIEANRMIIETIPFTVRGTVFNALKSLAVKANEKSLSLAFDVDG SVPDYVIGDPFRLRQIILNLVGNAIKFTESGGVRVLIKKCTGRKVSACGPDDFPFEFV VSDTGIGIESDKLNLIFDTFQQADGSTTRKFGGTGLGLSISKRLVNLMGGEVWVTSDF GRGSNFHFSCVVKIADHDIKQISSQLHPYQRHRVVFIDTGVTGERDEIRSMLSQLGLD SLTLQRVKDIPPPEKRDASGQETGHNYDVVIVDDVETARSIRTMADFKYIPIVLLCPV VSLSLKSALDLGITSYMTTPCKTIDLGNGMIPALEGRSAPTIKDTSRSFEILLAEDNE VNQRVAIKILQKYNHLVEVVPNGLEAFNRVKEKRFDVVLMDVQMPVMGGFEATGKIRA YEKEHNLNRTPIIALTAHAMLGDREKCIAAQMDEYLSKPLKQNAMMQTILKTVSMGPL GVKDALRGSAESTTSNTRTSTISIVCDEATDDGPRPTYTERAVTSSSPINHSSMASPS LEQEDQKDPLARVGVSQLYRSHST EPUS_02381 MRIPIREQLGLLVLFCCLFALMVLAAATWTQNHGFIISIRQSAL SLTASVKSAQVSASLLLFQTSVQAIATRIMIQSALQRYNNGNDTTANWARTYVDLQGA MSGTNNILLQAIIFPKNDSGTGNIHGLVNVTGEIPGGRVQLPAVNANGSQVYLGDTGL GYPPKLYPNFTYTSTPVNGTFNQSRAFYDGNEVLPDATLILGPYAVNQTFQLLSMTVA VKNNTSHEDVLGWLTVLLNPSSIYDVITSPEGLQETGQLLLVGPAARTNVFSQSVQGS DAAFAGDQEVRYVFAPQSNSSLGNRHRARAFGQGDPSLPFPMRDFPAVVDAWTRNNSA INNAGAMISSRNEEGNRISVGYATLSNPLVDWILLFEQSYDEVVAPVNHLRDVVLACV FGTTGLIMLLLFPIAHFAVRPIRQLREATAKTVHPILPGNSSHQSLDSQGHGEAYHGD EETAVASEVARKEGFLGALSRWKHCGRSPARSGTDQRGRQRSFKIPGKVPQRKVWVKD ELSDLTSTFNEMSDELVLQYARLEERVKERTAELEESKKAAEAANASKTLFIANISHE LKTPLNGILGMCTVCMQEEDVRKIRQSLGIIYKSGDLLLHLLTDLLTFSKNSIGQQLT IDESEFRLVDISTQVTSIFEKQAREGEIDLQLEFLGTSDAFGNSGEDSGPKLYGPAGT GRVRDMCLWGDRNRILQVLINLVSNSLKFTPPGGSVHVKIQCTGLADVDVVGGSGSHR KASVHSKQSRQSRTSWRKKARGSDTSVRTSSASPDQESERKRKESKKSKEINVYVAGA AKQLPQIAVRRRSLSPPRLNTKQLVFEFSVTDTGPGIPEEQMSRIFEPFVQGDLGLTK KYAGTGLGLSICAQLANLMGGSITLQSTVGVGSTFSVQIPLHYMKERAASTSSSQMRI GSRPGSTAGPALHDEAVPNNIIVTDVSSDELGPESPPLQDVPRIVGFSQPYFPTEVPQ TPSPQNKLSEMKKAEYEAAKTGEKVRVLVAEDNKVNQEVVTRMLKLESVYDVTIAKDG QEALDVVRESMNSGPRFDLVFMDVQMPNLDGIQSTKRIREMGFSAPIVALTAFAEESN IKDCYDSGMDFFLPKPIRRPELKKVLNKYCPTIHEEEGEHAASAVPKVHGTKTVTSTG SMSLRKVEAHDLPSKPLTGKETISDSERDGVSPSS EPUS_02382 MTTADECHGTPSVDRDASRQLNAASSQTDSSHDQALPGQEQRPW SFSGQSPDPEDDPPSLYKEKSHHDEVECNLESTPLPQRPSTSSRQTTCVSVAAAPYGD NYCCDLEKPISHGSRPCARSASSHSPSSCSPSTIPTHDEDRSANLATATRTVSTDVHG NSYPEGGLRAWLCVLGSFSGLMVALGMMNTIGTYHAYLATHQLSAVSESALGWIFGIY AFLSFFAGIQIGPIFDACGPRHLIFAGSVLLMTSQLLLGICTRYWHFFVVFGLIGGLG TSLVFTPSISAIGAGGSMGGVIFPLVLQALFPRIGFAWSTRVLLYSEGGI EPUS_02383 MAYHDSSHTSALVRLADELKLQIINELSARHDLLNLCLTCRTFE AMTMRALYKDFDISIPQNRGMGWDIQALPPFRTDAIRSIKRLTIRNGYLHQQNPTSHR RLRPDVASLEAYVCGIIHRIPVSQLYAFSFLHRSALPYEVLQSLIRHQNATLQELRVY ELEAVCKPGGLLPQSLKVFECRSIMEGKALGRIAKANRGTLKALRLGQEKALVDRYRL DRTGLQEQTVQPLELLLTIVRFQEMHRLEELCFYGLNLSPLFLGSTNPTSFIFGLKRL TLESCSGTAELLHSLVATFYEAQKSSFLNKRVAQLKHFLLRHEAPSPQLKTALSRFLS SFTGLETLSLLLENATFLDRPCSFLPNHGVTLKTLVLECRIQPRENLGLDTSRPFGAG AYTQVLWQDTIEDICQLCPNLEELGTGFPWNDEIVRLGKTSLPTLKTLKTIHIRNFPT CTHLSQLGDYSIREYVSKFIEWVFPSLVGGARPALEILALGPTLYETRWRSNPSRRQP PEFLRTHYFCLDWAKTRFGRWSPMVTNVSEHYMEEIRGQKPLAGVFEQVWLR EPUS_02384 MDFQVWDFPGQLNYFDPTFDRTEIFSEIGALVWVIDAQDDYTDS VTRLTASVANLQDIYPNINIEVFVHKIDGLSDEYKSDTFQDIRQRIEDELNDHGYKNP PVSYHQTSIYDYSIFEAFSKVIQKLVPPLATLENLLNILVNNSGMEKAYLFDTMSKIY VASDTRPIDMEVYEMCSDYIDVILDISELYSYPRSESTRLGPQMVETESSVTIHDGTM IYLKEMNKYLCLVSVIKHPDAKDKKGLLDYNAHIFQDALNEVFARGWEKQENGEAAKE PAEH EPUS_02385 MAPTKLTAAMNGKRKAVEDLLTSIKRARPSEKFSDRSRYKDSTQ EEKHGIVLREFYPPEMTNERAKQYVTGVVERPIKTLEKAIMETKEDRENVRVRNAVVH WFKCDLRTRDNKGLHLASEKAKSAGVPLIAMYLVSPQDFQAHLTSPARVDFILRSLEV LKADLSELDIPLYVETVEKRKQIPHRIVELCEKWGVSHIFCNTEYEVDELRREAALTR NCLQRGIAFATVPDTCVVSPGELSSKGTGSQFAVYSPWFRAWCAYLNSHQSDLNEYPR PEKNPISARECFKDLFECPIPSAPPNKQLSVEEKKRFRSMWPPGEHEAAQRLQKFISQ RINKYSESRNLPAGNSTAVISVHLASGTLAARTCIGEARNANTSKSMDAGNPNIMSWI SEIAWRDFYKHVLAHWPYICMSKSFKPEYTDIHWEYNAEHFQAWTEGKTGYPIVDAAM RQLNHTGYMHNRCRMIVASFLSKDLLIDWRMGERYFMEHLIDGDFASNNGGWGFSSST GVDPQPYFRIFNPLLQSEKFDLDGAYIKKWVPELRDLKGGKNGPVHDPYGRKADNVAE KNGYPRPVVEHKFARDRALARYKEGLGRATA EPUS_02386 MTFPSPPGSSPAHSIILAVRIPTGTGGMWFATKEAARSREHAGN THVGKWLGGEHAINDGRKLAMIVWDAHLQRLSAYVHTHGQTQTLDNKGGLPGPSWKRA RFVARFLGDRSKGSVAEERESFLGFHQSNEGNDDDDESDEMKGEDEGDGATVSMKLDG SIVDDGSRSPWAL EPUS_02387 MVGFELLSAAFLLSFACAQILVPPGNVSSGYVEPSGPQALSFSV SDNARQISWFFTPNGFAVVEGDIIYGTIEDFNRAVINITYNSESDLNTAGTSANTTAP ARRRSIIPPAPHAVKRANSVFPGSSALWPDGNILYRYADQETEDALSLYTDAAANAWQ EAVPCLNFTKLPNGVGGSDPIVTVYANVPNQGYCLASLGFSPFGTIMSLDTGGGCGVP ELIHEWGHILGLYHEQKRPDSFAKNPFQCQNLIDYPFGILTAEADANCCGKEPNYGCC GWACQFTPQFDLYNVQDPVNGEYDLDSIMLYRNDAFAKPGTLTLLNGPNTFINPQQLS AGDINRIKALYGCPPDKPQPPKCPKGCDPTNYQNKCSFPTAPVCIYPSPSTPNPRAAC ACRAGYKATTSGIPDNDTTKQWRLPAPEGNFRVWVAEDVACDTLCDKPSGTESCREVA ELPAECLRN EPUS_02388 MARRNTNGVTSATTTAGDTGLLTRFVPESLKHTALYRWLLRLTR ILQFLSATISLGIFSSRVYKVYRLVNSLKAQRGISRSHGAVEGILAAAVLYTLIAMLM SLLKKGGASKGLRWLFVLLDLAFVGAFIAVAVITSPNGGSAGPRRCYSNRNVRDDNIV TGEVANARDSSCNLPWGTFILSIISTILHAITAAFHEVRDRRHEQHRLDEEARLKHSQ EVEAKDGYVHGGGVHTRTT EPUS_02389 MSYSETPQISNPPTIHTPAPTYSHISSTLISNTAHFITIAGQVG IHPDGTIAPDFRSQVSLALDNLSKCLDHAGCTKEQIVQMKLYIVGKPGEREDEEEARK ELVMGFWGKQMPPPDTLVFVAGLALPELRFEVEAVAVAKVVDGDVRDIRRTSERFVHS DVGD EPUS_02390 MSDVSKKILVLGSGMVAPPCVEYLVRNPKNRITVGEYDMLKTLD ELIFICVACRTLPSAQKHAAGFPRTNAINLDVSSMMDLDRQVGAHDLVISLIPYIYHA AVIKSAIKSRTQVVTTSYVSPAIKELEGEVKEAGITVLNEIGVDPGVDHLYAIKKIDE VHAKDGKIREFYSYCGGLPAPDCADNPLGFKFSWSPRGALLSQRNSATFLQNGEVIEI SSDDLMTTSKPYYVMKGYDFVAYPNRNSVPFREFYNILEAHTVIRGSLRYEGNPAFLQ ALANLGWLEQDKKDWLKDDMTWAEIQKKTIGASSTDEGYDTSLISRIKEVAKFPSQAE SDRIISGMRWMGILSSEKVTVAGGNLLDTLCTQLENLMSFKPGERDLVILQHKFVVEW SDQKMETFTSTLELLGNPQRYSGMALAVGVTCGIATQLLLDGHSVLSEPVCLRRTRRK SATPSVCWWRKKE EPUS_02391 MTRTTPKLPKQQLLILAICRFAEPIALTSVFPYLPEMIESFNVP TDKIAQWAGTTSAIFSLSQSLTGIWWGRASDRFGRKPTIIVGLLCTMASSLLFGFSKT LLWALLARALGGLANGNVGTIRTAVAELVPQKELQPRAFSIMPMVWQTGSILGPAFGG ALVHPNEHFPGLFHDNSFFRRNPFCLPGLVAGIFFLVGILSGLLFLQETLEAKKHRTD YGLMLGSALTTSCSKRKVRRWPKSWQSSDDESRPFLDEEPAGEPGTPMSRTRSFKKQI PSKEPGYRDVFTRQSSINLCAYTLLAMHSVAYDQLVPVFMHHPQQNIKNPDVHLPLKF AGGFGINSGRIGALSTIYGVFGMLIQFTVFPPLARKFGVLRCFKSTVLSFPIIYVLTP FTSLLPTQFVQQTVMLALMLCKGWLCVFAFPCSTILLTNSAVSLKILGTLNGVSTSIS AIGRAAGPALCGVAFTAGVDKGYIIAPWWLLAIISIFAAIPVFWLIEMEGFGSKDKEE EDANGSEEEEVRGESNNTFSTPEANLHKKLSTAAADEDAVVDEPEECLDDGSPLRKTL SYSSTRGCFKSGSKPLPPIGMGEGIIPRSAKRLSSDLGAKRSGLGTGVTMVQ EPUS_02392 MIIRGSAWVYLAILIWFNRVEADADFLDVRTKLEKDRSGRRGDP AGKYFHESTFHPHYDGRFADDQVGKEERLDHLTALIQTFLYTMADIGAETWIMHGTLL GWWWNQKILPWDSDLDLQVSEETIHFLARYYNMTEYHFSLPGLSSGRNYLLEINPHYM IRGTDDKLNVIDARWIDTETGLFIDVSTIRKNWTAIEDGVEGALMCKDKHHYFEKDIY PLRDSFFEGFPVKIPFEYGWLLEEEYGRKALTVTQYEQYGRHSYSQFTRVPLFC EPUS_02393 MTILSYSTKRRRLNDGASALSKPFKSPLKAATRPFEDGERDGEK AVDTNNGQEQKKDLTATIHSSHLDAHPTTASTGKSTPTDPSTDHLKEDSILHVLEKQH SDLIFQLNRLGQNLDTAQQALKIASSSADTELENVITKWKLASREAAEEVFRGAKDRV NGMGGVGAWRERSRKKPEGWDDENLQADLRYMSEEQREEMEMRKDEWETERRKYGSEK EEEVMENEDDSFTMDMMLESLNIELDVIGYDKNNQRWCD EPUS_02394 MSASSSTTISTPAQNARPDKTNKPSKIVVFKVSPEKLGRFMPKP TQRKNSKAKSTPSSVSTPTSAPAAASALAPASSPNGDASESNSTPVPGATEAPKKKGT GARAGTKRALDGAAKSRGKPGPKKKPRLEDGTIDHAGKPIGGGGGGGGAVTNGTHKLG PKANQGAINAGLRALDRSGKPCRKWERKPIQLKSFTGVVWELPSWRAPKSKLPKEESQ ESKDASQPSGSKANDSSTAMDSEKSNAGENGTRLVMESTPAASSPVPAPLLAPVAATG EPUS_02395 MTNGSSAGNAPPASYPAGPSGSLMPRRSSYASVLSGAVPQSYAS PARAGAFSHLVNATPSSSYPPQYVPDHRYQRPVSGQEPTPTANASGSWRKNTQLPSYS RKFAGIVGNTMPNNVGSGSFFVPSYLRHSRYVAKLEAAHKAKLAAQREATSAHSSNGV SLSTSSSNANLHRMAPSHRGMTYDIIENAPPSEDDNLVPLPSRWNDMDKCPGLDLLQD GTEIRYSGPSNKMELEAGAARADHPMSPQCGIYYFEVEIKNKSKDGMIAVGFSNHRAS LERLPGWESESWAYHGDDGKSFFGEGTGRNYGQTFGINDVIGCGVDFASGCAFFTKNG RNLGIAFRELKNVRPFPAVGMKKSPGSWISVNFGQRPFIFDIDGMMAQQRLSFDKEIN ATPISALRLNPPMDESTLVQELVAHFLAHDGYVETAKAFAEEVRTQSRPLRGDDSSVL QEFEVAEDYHAIYRQKIRASILEGDIDKAFKHTTAYYPHVLEDNPEIVFRLKCRKYVE MIRRFSELHNPTPATDIPSNPFPIKSSSNGHADVFDEDMELDDENDTENDSFQETNTS NGEDTQPEEDDEDEDGREEYHNVPTDPTKHGELLQEAISYGQTLTREYRDEKEEYQKT LEDIFSLIAYDDAKSSVHGHLLEIEGRVQVAEELNSAILVSLGRSSSAAIERLYQQTE ALVSDLSDLGHPAAFVNVRDVFRT EPUS_02396 MQLLPFLVAALAVPALAAPQLQLSTNTTSIPTHSLSTSTTSIPT HSLSTSTTTSPSIPVQNQTTTSTSTATDVTTITNTGTATMTTTYTTTETVTRYSLTPH SNPVSVSGTKTYYSTYITSQAYTTTTCYPITKLIPPTNIMIVTPHVPVPTPPPNCPVQ PVQTTTVYINSLLPQQPIIPAPPHCDKNCQTITYQDITSSIVTIIIPAQPTMNPPPEP TGSAKNDMPPYPTTSGTASPVPGPTGTGSGSGDGSAPPFPLTSLTTSTTVTTSGSGNG TITSPTITSQPISSTAATTMKTSVLTTSTRA EPUS_02397 MPLDTASTYGQTLLRSDGRRWNELRRISASISTQSSTDGSSQFV MGNTVVVCNIVGPREGRGQRDTNNALIETEVTVAPFAQTDRRRRMKGDKRVQELQTTI SSAFQAHLFTHLYPRSSITISLHVLSLDGALLASCLNAASLALVDAGVPMPSILAAVT SGMITSTDGITPSDPILDLNNSEELELPFLSVGTVAGLSEHQEDKVSVLIMESRIQIG QNGKKLEAMLAVGVDGCKQVRTIMEEVVRTHGIRILRGRK EPUS_02398 MVSYDLDGSEAESDISHDLHVQTKVPGKMSSTGNILIRNDATSH KKNKAIDSLIAEVHELDTSESSGIGEAVDLDQYGENEPPRKRRPLLPTQPERLEWSED DSANDGEATYRRFQSKKSDHRKRAIRSTKKAKAIEAQNHQRAGGKTSTGVGKRTSKTK KASGSTEQGGGDGTSDDELMEWTVPDYLKERRAQFDARAEKFKAGGLKLPPAYDEVHF SDDERLERLTERPQFPTDPPAKYEDIHLPYSLGLVPAPIAQWLRDYQVQGVEFLHELF VYQKGGILGDDMGLGKTVQVIAFLTAAYGKTGDERDDKRMRKMRRSHNRWYPRTLIIC PGTLISNWQDEFRRWGWWHVDVYHGSADVKDAAIAAAQSGRAEVMITTYTTYRMNRDV INMIAWDCCVADECHAIKERKSETTYALNEVNALCRIGLTGTAIQNKYEELWTLLNWT NPGRFGPISTWKASICDPLELGQSHDATVYQLSRARKTAKKLVENLLPPFFLRRMKTL IKDQLPKKSDRVVFCPLTQTQADAYERFLDGDVVRYIKQSAEFCDCGSGKKMGWCCYA LLPDGSKWQNHVFPAISTLQKLSNHLAILIPQSSDSNDKQDKDLELLRTCVPDQWRKL HAERDSILHYSNPEFCGKWRILKKLLKFWHGEGNNKVLVFSHSVRLLKMLQMLFNHTS YSVSYLDGSMKYGDRYAVVTDFNTDPSQFVFLISTRAGGVGLNITSANKVVVVDPNWN PSYDLQAQDRAYRIGQTRDVEVFRLVSQGTLEEIVYARQIYKQQQANIGYNATTERRY FQGVRGRQDQKGEIFGLNNLFAYQNDNVVLRDIVNKTNIAESKADLRIASLELDGDKD EDVKKDIDADDDDPLDASFKTEDDNEEAAMSQLAAMITKDGSKPKKRNRSSKSELSKS AKHDPVQAILSSAGVQYTHENSEVIGSSKVEADLSKRAEEAAEGGSTQEQSARVFMVD SQSQASRNGKSNVTCQTNGITYQYRPPEAVKKRQFCSMARWAGYKDAVEFALVVEGWT QEERRTCLDRFYDWRREVLAGEGDIEEEEKNLVELVALEDAEQASDEDDEL EPUS_02399 MRGSALLPKQLRALGATNKDGRPSWLNSTITANLLSASHQACMH PIHTIVVIALLASTSYVGLLQQSLFETAGPTSYLQGYVDVDSLLEGGRTLELSSRTSW RWQSNDSFSPQQINNASQHLALTTFTFPDSLSSSAQLAPLAEAVPIPANISAKRIPST PNVLSAISQDSSLSFSIPYAEASDFLKAVQEIPGRSKTSNGKEDKIWIMKAARFSGHG SRRTYRAWLSDGWSSFVDLVKHAETLDIIIMALGYLSMHLTFISLFLSMKRLGSNCWL ATSVLLSSLFAFLFGLLITTKLGVSINMVLLSEGLPFLVVTIGFEKSIILTKAVLSAS FDSRKKPSTNDAANGHVWGAPPAQSPPSIQDAIQTAVKENGFEIVRDYAIEIAILVAG AASGVQGGLRQFCFLAAWILLFDCLLLFTFYTTILCIKLEINRIKRHVALRKALEEDG INRQVAEKVATSNDWPSGTPDASAAFNIFGKKVRASSVPKFKIWMVTGFVLINVFNLC TIPFRNEKSFKASKGSVSHVLAPAPIDPFKVAENGLDSIYVSAKSQGLETFVTVLPPI KYELEYPSIHYYEDEDEMGLFDIEYTDQFLNAVGGRVIEGVLKSLEDPVLSKWILIAL TMSLILNWFLFNAARWSIKEPQSAKRTPPIQAPPRPQHIRKPLPATGVSGSERSTAEC EQMLKDKKAPYLTDEELIELSLRGKIPGYAIEKTMEDEELMTRLESFTRAVKIRRAVV SRTPATSEMTHLLESSKTPYKDFNYGLVHGACCENVIGYLPLPLGVAGPLVIDGQSYF LPMATTEGVLVASTSRGCKAINSGGGAVTVITGDGMTRGPCVGFPTLARAGAAKIWLD SEEGQKVMKNAFNSTSRFARLQSMKTALAGTYLYIRFKTTTGDAMGMNMISKGVEKAL HIMSTEAGFDDMSIISVSGNFCTDKKPAAINWIDGRGKSVVAEAIIPGHVVRSVLKSD VNALVELNTAKNLIGSAMAGSIGGFNAHASNIITAMFLATGQDPAQNVESSNCITIMK NNNDNLQISVSMPSIEVGTIGGGTILEAQSSMLDLLGVRGAHPTTPGENARKLARIMG AAVLAGELSLCSALAAGHLVKAHMAHNRSQAPTPMRATTPVSAAVEPYIRATREVASP GLALTSGNAGLGLKMTAAR EPUS_02400 MHLYDDVVPKTARNFRELCTGQHGFGYKDSTFHRIIPGFMIQGG DFTRNNGTGGKSIYGNKFPDENFKKRHTGPGLLSMANAGPNTNGSQFFITTVPTSWLD GKHVVFGEVDKTDGSMDVVKELESLGDELGAVQGGSKPKIVDCGEMPEEDEQGPS EPUS_02401 MASSNAATPGPPLAEQSGSSDMPLDTIVLHVLSPSVEVSGGRIT FPSIPLDTKISRLKSRIQHSMITPAPPERQRLIYRGRPLLDMETTLRQVLQSQLNAST DESPTYTFHLVISPAPGSMPSGTPPTSQNHVSQHRSTAPPSSQLPSAAPHPQHHDHHH GHHHAHHHDHHHDHQPRGHLPVHPVMAQAHAAQAMLQHQLNQLQQQIAITSLMAQGQA QGQGHRHPQHQPPQMPPLPPSAGNMQAFQAAIMQQQQIRAHAGMHGIHNPAVNGTPSG LPFGQTASAPLGPITTRVHESVGPDGQRTRVVVNETTFQISRQSTPVPAADRTDASQH AERPSHASTASGPQPLPTGLHQHRNQPLPFPPSFHHGERQDPLSTMSSFVPQPPVYQP PVPDFNRPTASTTTTAWLLSSPNGPQALVFAPGHGLFTSAPLTTQPSTRITRPREGLR FQQTPSGHIRPQNPANIPALPVLDPAVANAQPQQALEAVVRARNAARQAAAGADGNAD ADANNEALRTIMSRVWTFVKLYFVIFMFTEPGTWFRWGCIFAAALVAIMPSTTVFRGF AARLQEQVDGLVQPPAIPVPAMPPPAVLGRRQNEAQLREGGPAAETTRPEEGVRRQGE PDPTATAARLVEEHRQRQPNDMLWDSLQRAERAIGLFVASLIPGVGERHVRVREEARR EAERVERERIERERVAAEEEEARKNEEEEGKEKEEKGKAEDKADGDGGGEIGECSGDA EKNAKMTEASSAAIGGERKGKERAVEEEPSIQV EPUS_02402 MLWAVSAFFHLLHFTFYILLFTFTARHINKESDHEREQIQDKEQ ARTMIASRTGRCCLRRRITSGFPDAPLPPGAHFDSSPTAPFVRRQCLRSRTFKSSRCL REQEKEQSNESFRRRLGKAWRATKIVWYPIPVGLGIGFLGFAQFWRVRAREREREKNA ILAAESEGGDSGDGRGKRPRKRERIRPSGPWQVQVMSTLPLKAVSRLWGRFNELTIPY YLRVPGFKLYGWIFGVNLDEVAEPDLHVYTNLASFFYRTLRPGVRPLDPNPNAILSPA DGRVLSFGTIEQGEVEQVKGMTYSLDALLGKTPPGTSPNPSLPSNIFSPSSNSDLAQS KPTDDPTADNVSADQEFANVNGISYTLPSLLSGPHDEAHASPATPPSDASTTPRPSSE AEVRADLALSPKNQQPWWSPFSTSTQPTQLYYLVVYLAPGDYHRFHSPVPWVCTTRRH FSGELYSVSPYLQRTLPGLFTLNERVVLLGRWKYGFFSYTPVGATNVGSIKINFDREL RTNSLATYANASRVLGGYALKRGEEMGGFQLGSSIVLVFEAPMGQGVGEEGERERERL RRGLRWRWNVEKGQRVKVGQALGWVEEDETAE EPUS_02403 MTESAFKQPLSLLLRQEWNLFGRKTQWAHKSRIVRTHVTAPGAT TEARRHQADIQWIRHDQPTTGLPLPHQQPLRLREETNPRPLSSYLTDDFSRAHNYLRI SVTERCNLRCVYCMPENGVPLSPSAHLLTTPEIVYLSQLFVSQGVDKIRLTGGEPTVR KDIIDLMTQIGRLRRSGLKELCLTTNGLTLHRKLDAMVEAGLTGVNLSLDTLDPYQFT IMTRRNGHEAVTRSIERILEMNRLGAGIKLKINCVVMRGINEREIIPFVELGKNKDIE VRFIEYMPFDGNKWSQGKMLSFKEMLATIREKYPDLQKIQDHKNDTSKTYQVPGFLGK LGFITSMTHNFCGTCNRLRITSDGNLKVCLFGNSEVSLRDILRERNNGEPIDQAAVDA IDQLETDARNASLRDSDVSEQDQRLLEIIGAAVKRKKAKHAGMGELENMKNRPMILID DHYSAFTLPSFSRHLSQEATLFSPQPRPYVQAPQFPILPSIPFGIQLRRYHTKAREDI ISLRNTNATKPKKPKWLRPVHGQGSFRKIPSPDPVSWITPSEPARTWPSPVFGYIPPK YNLIQNPRHEPQLLSDTYIQAQPPDELTPRNSRNPQKDSTAHSNKSQQVTTSTHDSAT EAIVHSALVRNTPLQDSGSTSYVSSARTASSLSTAKKASLMPSPTRTTSTKGIGRKPN TRQTRNIMTLNNPFFTSLPGAFNNDEPLSSQQEPVKPLSHLTSTGDAHMVDISHKPPT RRAATAKAFVLFSNTHPYTSLTQKSLAKGDATAVARLAGIQAAKKTADLIPLAHPSLG ITGIKVDIDLLSPSTETHAIKPDDYIGEAGFEFGGVKITAYVSCDGKTGVEMEALTAA NMAALTMYDMCKSVDKHMVITGVRVTMKKGGKSGPWSVDGDIPNDPPPAQIFESVLQR RMAGLVDEPEAHGPVGVPDSGPEIVEQDDGAVVPEADEAFLQSAATELELFIANTTSP TKAAEIAGLRRSHKSLSARIKELQRRTEQGYEVQGSVQSEWHRDGRVDHDILQRLHGE RREIARKVLEWRIGGGSEVREADKNEMGMELGSLCQRTKEEYAKEAEGQWRWEAGVYG VWRGDQPHPASQGIRIEEQMYAREHPQGAES EPUS_02404 MDTQTPSTSSDMPHLNADFDPDMDKFLDLGQNAVNSSSTSPDMR SKSPAGHSSGGSSFGGSQPQQTFSGPSFQYDSYKQQTGLPVGGLANTFALNQATGMQY NGYGNGFIMPMETLNIPLTNMDEFDFAAYPSMDMDLEADSPTDNMFYSSASSAKAGYI DPNALLGQDNASSSSSAPVQRMYPGMHSQLAAQAKAQQAQKQGVVGQQPKQMPQGQRP LSQSSKIHHPKDPHVEESISRLLNQMRQSSVASVDDDCSTPTANLPHLARLKKDEDEM DEDERLLASEEGKKLSSKERRQLRNKVSARAFRSQYIGQLEGEVAMKAQEANDLKVQN RQLMEENTRLTDLTRMLLSSQAFSGFLSELSGTAPPSSSSNPSQGQSRPQPQPSQKDV NPHQVTRQLENQQQQIGMATIPEIPLDFSLADNTSTASSWNTGISLSNFPVYSLTSLP EGPVLDIGKLSGKADDDRPVQPLESVKRDMPTIEYPTSSYGGKNVAPPSYPTHSLRDV VLDRTAFELYAEAPSNRSKATHTVPCVAHHMISATTADSHPDHLIGGVDAETATTSRL VLMCSRLDAISARIAAVTSHLT EPUS_02405 MGRAGASRKKFYFQFITTPTADTVGTSLLLHFDNKRYIFGDISE GTQRACVQRGIGLKKVKHIFLSGTTTWNNTGGLIGMVLTMADAQAAERESDMLRFAGA ATSTTDARISQDSKEQSRFMEGDALTIHGGERLLQTLACCRGFVLRKGLPISVNEIEH SDEPNLDGPTWSDENIRVWAMPIAPSVSEASESDEAETSDGSTSSAEGSFGTNGRKRS HDQFTQGGDGSILPGSPDPDQHQRHQKVRQRIVTDMFNSDWNRDALFETPLADVKMPA AMFVRDPETKRITSYTGPRPGDGHSLPNIKVLVRHPWPNALIGDLPPVRNIGFKPAMS YIVQGYPQRGKFDIRKAQALGVPEGPSYSSLAAGNSVTLKDGTIVTSDMVLGPTKPSR GIAIIELPSARYVENLIRRPEWTSDKIRNGVAAICWILAPGVIYSTKLRMFMKSMPDV EHIVSSTEVCPNYLSFDSSAASAVRLSRICRNYFPVPFHDNATLPQFQSSSLGRSHRL DEFAAADRGLKVQIEPEFLISKDEIPPLLNIAEVVNDLPIKVQQYASIACQQVATEMA FGSGIDAQRGHEYSEYDPEIITLGTGSALPSKYRNVSATLLRTGRYGNFLFDCGENTI GQLRRIFDGPELGEVLRNLKFIWLSHGHADHHLGTISVLLSHRHACKNVNTPVRRKRG TNAPHDPPCRVVVASGSKLLKFLEEYESVEKLGNVEELLCQRYEAPISDKLGGKANSL LQQIGIKHLRTTHVEHCHGAQAIAITFANGFKFSYSGDCRPSLQFARIGKDSDVLIHE ATFDDDMQGDALAKKHSTTGEALGVAAKMEAKNLILTHFSQRYQKLPVMENIKLPSSM KGPPSDSVEESLATLDELDETGRAVEDALSNGESEYMEESEKLVEWSPSGSDLPKTDM NIGVAFDYMRVRVSDIKHMKTFTPALAALFEAEQTNSGNNDATPQAKNDIINRAKAFK KSQQNMEIEKQEPRMSGTARVSSRDLQKSLEGPRHDTKIKSEERSNLPSKFLHDLTPN SHAKAVVTTAADGPTFEMLPPSDTRPNHPP EPUS_02406 MKSLLSLAHSLPYAIPMFLVLLNPVSATSNTSCTIPPAPTVVPV VFPLSELPDYYYQPPVHLSSADQLSIEVSESQIRDKLSLYALALDGKAFDGLDYVFTD DVVIDFSNPVGLVRGLANVKEALEGALDGFRTHTLLGTQVINVDPNNTCTASTLHYFT TTFYGTGDQEGRSAVTTGQYRDRWYQNEAGEWFVVLRLTIFFVSNLSHVFAFVPLQLG WRARADRNSQGLRWEPDFLMRVVSGELMVGRGLLISNAISNLDGDGDDDDDDDGEQAG NLPLPDKYKVTAIYSYMAELQQ EPUS_02407 MSPVTSSKAHQKAQEDASALAEPATSDSTADASVYFPPITGSTD SRLSIPFLARFPLAVSFGLFYGFALGASKGGAQAAYRYRAENAHRLPTTKSGWYLYHK SKNYHSIIGGVKEGLRWGGRLSGWTSLFIVTEEVIDQLRGRGSDKHRDVASTVCAGMA TAGICNWKNRWDFFTSARMAKTALKVSLVYGLSQDLLSTLRGRRPAYVDWLMRHVWGR PETVEA EPUS_02408 MLDQQRSTKRKNQKLPHIAPTQNLKKSNRIPKPPPRPPPYPILT ELDTRKRKRITENQDPKHSNKRECIIIRDEDLATPVPKHSNKRECIIIVDEDLAQPVP EPKIHFDPALGSTPGTAIDLCQSDEEPKRKTRVKETKVKSRDGKLQAWVEEVPDESLF CAP EPUS_02409 MTSLVSARNSVKPADSRPGHTDIHQEGPQFGATHVSGGNSFQGN FVGLTINSTARPDYRGQLSHSSLEPVKAFVPRPLLYDQIRTQLWNVDVTANKSTKTLV VWGLGGAGKSQLVLNYVQGCCTDYQTTFWFEAGRKESLERDFVNLYQTLFNIQMVAGK ETVSVESAVIGVKSWFSGQRGPWLMVFDGADTIENKEASDYVDIKHFIPDVACLHVII TSRSRTAKDMTRLDGVHVGEMEEAQATELFYQYAQLRQNNQDVEQKEVKAIVKELGYL ALAVTLAGTYVGQTPRLQSDIKAYLPEYRLRRRELLKRNPEQLIHQYSESVLTTWETS YQAIVNHYPEASTLMTMLSFLSFDDIFLPLFGTRSIVTTTLADDAATISWKSILFPSR VVDIYLMEQCFQVLQKYSFVQWKADQCSYTMHKLVHAWGYDRLTRDEQDKFSVATLTL ILEAVNGCGNAPADKLRLVPHIMAHLATIAGVQEVVDSTLSTLVAMGIFVTDIGRLLE GHVIQEFIWVKRRSILGDEHPDTISAMNNLASTLGDLGQLDEAAKMKKEVLEKRRRIL GYEHPDTISAMNNLASTLGDLGQLDEAAKMKKEVLEKRRRILGYEHPDTISAIGNLAA TLGELGQLDKAAKMQKEVLKKRRRILGDEHPDTISAMSNLSETAEMQKEVLEKRRHIL GDEHPDTISAMSNLAATLRELGQLDEVTKTQKEVLEKRRHILGDEHPDTISAMGNLAN TLGELGQLSETAEMQKEVLEKRRHILGDEHPDTISAMGNLASTLGELGQLDEAAKMKK EVLEKRRRILRDEHPDTISAMGNLASTLGELGQLDEAAKMKKEVLKKRRRILRDEHPD TISAMNNLAATLGELGQLDEAAKMKKEVLEKRRRILGDEHPSTISAMNNLAATLGELG QLDEAAKMQKEVLEKRRRILRDEHPDTISAMSNLAATLRELGQLDEVTKTQKEVLEKR RRILRDEHPDTISAMSNLAATLRELGQLDEVTKTQKEVLEKRRRILRDEHPDTISAMS NLAATLRELGQLDEVTKTQKEVLEKRRRILRDEHPDTISAMNNLAATLEDLGQLNEAI TLLEAAEEKTRQAQN EPUS_02410 MKWPWHLAKQPTSNKDAAAESAFSTPLSQPRAPSRKIFPSGLKF FHKSESDAVDVIFVHGLTGDREKTWKTKKAVAPWPQTLLPSHVHNTRILTFGYDAYVS DWQGMVSKNRIGNHSMNLLAAIATYREEDDTNDRPIIFVCHSLGGLVCEDALTTAQQR PENHLKQVLHCTRGIVFLGTPHHGSGLAHWTESLAKAIGVLKQTNPDILAVLKSDSEV LERVQHGFHTMIRSRAQDRLPPIEITCFFEELPLPGIGLVVPAHSATLPGYILIGIRS NHMDMTKFENADDPGFKAVAGELRRWVKALARSENVRLSHVESPHRDRTSGKGVDRTG GHQALQITQGSPQFGSTTVSGGSIFQGNHVGGHGGIF EPUS_02411 MDETGVLLSHLTSRKVLSVSQQTGYILSHLLSGLPPQRVAIGIL TLLPTGVLRAQRPAGGRPRILVNDGLATHKSLEVLTFCHENNIILCRLPSHTSHKLQP CDVGVFGPLKAAYREQVEQLFRGGANTVGKQHFTLLYDRARTTAFTSENIKSAWRKAG LFPFDPDCVLRGMRHPSTGMLTVPGARAALSAPVSSSVEDMPPTPTTSEALTSMRVKV EEDLASAGTSSTQRVQKIIKGFQSTLAHCAVLSAENQWLVEQNNEKTSRASVRSTVVG GPKVMTYDDIIERQQLRETAERRNTVVTKRRKAGQQPAESHTAEQAG EPUS_02412 MSIVSRTRGKSSDRKNSVSLQPSKSTLWRRARDRPTLKDKAVRQ QYLTPCEEEALVRYVLEYAERGYPLPAKSLRSLALVIARRRGSDDPDLHLPGKNWL EPUS_02413 MCIITICKIFRCWHLEPIFHVERCSKAILTHIHYCNRECTPPVL VELEPSRPDSISNSGSNSNSSSASHRAITPNSVSHPNSLSLPTHPSSTTPIPILDSTH NPSRDPLNEPYISLFRSLPHFIQSFSPDPDIDPRPQIYTSPYHDPRNNLSSSTSSSFT HLISPDWLLDIDSCDAFGSDQRSSHSRAGRPVGAQRPTMNVSSRTRGWIWRYWI EPUS_02414 MASGAVIGVSSLAVTYAFLRFLLLYTHDEKEPTAVATEIPFLSP MIGMAKKAKFYTDIRNKYNLPIYTLRLPGSRIYVINSTNLIPVVQRQVRVLDFAAIEA RAAMNVMGATPAGKEILKMNRNGVGEYSYAIEFDKAIHPAVTPGASLDTMNRLSIQKV SEFLDTLASNAPTTMNLFEWVQKNIAWATSEAVYGPSNPFRDPKILSAFWKFEPGIVI LLLQLFPSVLAKESLQAREKIVKAFMDYFGGGGHEQGSALIRARYQHSVDYNVSNEDT ARFELGGAVAILTNTIPSAFWVLWHIISDAAALEECRDELYRLCKIEDGSVAIDITEV KTSCPILLSTLQEVLRVHGTGTSVRVVQQDHLLDDKYLLKKGSTLMIPGPVQHSSKAV YGDSVNEFNHKRFVRTNGRRLNPVGFRGFGGGSTLCPGRHFAITEIIAFVALMILRFN IKPVSGKWVRPTTDKAGMHATVPPPDADVEVEVTLREGGLACKQWNAILTGSDKAMEL VAEDMDQH EPUS_02415 MSPFVRLEGDTASSETNSTVLQEPQAECHEDRPSTPELCPVHNL DTSEDTSEDGIGERNSLTRFTIVPEEFDNSTGEQANFSADSVDSVSHRPVVCSFNQYG RLLHSREEAQEFLRVARIELLAKLPLHHPLWDRMKKDGFLKADESMQEDMSDGGAALK EVMGGDGWWNEEDDDAEDKSSEDESDEDDEKAAEDAAVEVTPIEDTAAESAGSWSLRD AFSESSEEE EPUS_02416 MATTRVLLLGGHGKVSLLLTNLLVKEEGWHISSVIRNPSQRDEI LELGKGQKGNVDVVIESLEDVRSAEQAKQVLNKTNPDYVVFSAGAGGKGGPSRTYAID RDAAKHYIKAAVETPSITKFMIVSYIASRRNRAPWWTDEDWESAEHVNREILPDYYKA KVEADEYLAALAKKRNEKDPQFQAINLRPGSLSDDPATGKVLMGKTPSRGKVRRGDVA AVAAALLKRNDASRWIDLLEGDTDIGTAVENVIKNKVDCIEGEDLDRIYSLAD EPUS_02417 MPRPPRRAPPPPREAATTPTRPPNTVNGIAGGIPPVMLQTPPHA PFLTTPLPLNDPRTSIISNDEPQHHHNLRHYHTPTLNGAAEESSQLPATRMDQTMSDA DPADDDSLLESVPHDPDDTRQLIGLRDISSLATWTLSSSKPGCALPQLRHPSPSHFWQ SDGPQPHTLTLHFFKLVAIVKMRVYLDFDLDESYTPTKMMFFAGMSEGGLVEFATWEV QEHVDPETGESTSGVENVRGWIDISLRGVGGRDTNYHGTDLTPQPRKPRKSSVSRAGS RLKPGLGSNPQTPEDMLSGTEEHADEDDIQAATGGDVLKCMVVQVRICENHQNGKDTH VRGFQVFARDENAGKGSRKLIKKGVIRGKTDNASIGSAGNREEEEEILGMEEADWMGE PEIR EPUS_02418 MAKNDPYLCETCSSIDFEAILFEKLSKNFTHASKSKEVDLGFLD DLIRRSPSCQFCALALEAATRINFGEVPPTTKEGQRVKCLIKGDFFCSLYDAIHRGQA GCSVDVNRLVVHLDPQPYPELQRREIMSQPYAGLMAGSDKRDDLTGSGRLVGDQVDAK TLKNWLRQCEDRHGKKCSTPQWLGNVKQSNSLKIIDVKRRCIANAPPRCRYLALSYVW GACQTFRSTTANIAQFREVDGFDKEIVPKTIADAMKLVVALGERYLWVDALCITQDDD IEKAEQIMQMDLIYAGALLTIIAAGGKDVAAGLPGISEGTRSAKQGTVRISENWSLMQ TLAQGDDRHLQHSTWNSRGWTLQERLLSRRALFFTQDQVCWVCEMATWNEETILEPEK PRYWVMAKRLGCNDQWDDGDPKFTIEALGDYITQFSDRQLTNQGDALSSFSGILRRVA YQESEVFHWGLPHTRFDQALTWEYGEERRKDYCKVHHVPFPSWSWLGWTGFIGGYYID DDLQKSTLRGASSPEIVFYKLGIDGRVQQIKGAASSSESANLVSKAAKDPFEDMRRRW KGTTIVPSPISIRAKGNNSLANRSLHASQLAPEMDKTMHDTLTINQPFHESGRLVFWT SHASLLTRMARSSKIFVDINGKDTLLDKANGFFRHMMGTTGDQIPLDFIVVSRHYCLG KEVGSLNLLVVRWSETELNVTSRIGSCTISESDWVSANREWKLVILE EPUS_02419 MDETSTDIKYWKSDMVPPVRFEQACSEMISSSEGVNFLIELGPS GAPIAKIKKSLPGGGTNTKYCAAAKRGPDSVMSTFGVDGQFFIAGSNVIMSHVNRDDS DFDTAAVIKDLPNYVWYHSVKYWHESEASKDWRFRQFPHHHDLLGSKILGTSWNAPSW KKILNVIDVPWLKDHRMGNDIVFQQRII EPUS_02420 MEISITTSEYFLVERKEDMKNYSSSGSVYDPTTGNLVFEITGLR YHRLETRENIHAAHTYTRLGWKPDITFLDEPRLRQLTMENSRTSLDDEMNPLETLSTW NLHNATLEQNLPLDFFTMLASISGIVGQKGQANYAAGNVFLDSFAVYRHSLGLPACSV DLGVIEDVGYIHNHDGLQQNLDTSIWTGINESLLRKILRLSIFQQLLPIKKANSTQLI TSIPIPQPEEPQLLRDARIGGLFIGDGLRSSGIDSKDGSKDVQALLLVVRV EPUS_02421 MDRKRATELSLSAAKHSDLHFEQGSVEIEFLWDPFLNSTTLKNI LDRDQLAAEPLNSSKPTTMLVGGGLWHLKDLGATFLDEFERGISSVSATLPRNARDLN GIILPPNAREGIRNLVLFAPVAPPIHSQLDPMRAARFTSENIQAMNNYLRDAVIRDGI EVLWSYSLMTWQQPSAYEEDGYHIVENVADKQADIFLNVRCNSEPSLEHYPFDKTCCN VQPPINLEQQVLIFVATLTILYAFYSASKRKMLNQPATTLLAVNGITAAVGIIAMAVV YCFVADRTLIFDKVQKVSSQRTFLQMITIVMLGGVLTVRKPGDTSAETSAKPTRATLG QKFLSRDQTDEWKGWMQFVILIYHYTGMSNVLWVYQIIRLLVASYLFMTGYGHTIYFL KTNNFSLQRIVSVLVRLNLLSCLLAYVMRTDYNFYYFPALSSFWFLVVYLTIRVHHQP NVVPRVLVLKVFVSVIVVQLLLHTPGVLEFVFELLQKTCKVNVDVHELRFRLSLDAYV VYIGMFTAILHLQITGGLPCSTTCLATQIKKIPTAAHVSAICASGVIVPTYLVLIQKF PDKYAYNWWHPMISPMPIAAFAILRNATQPLRDFHSGLFAWLGRFSLETFILQYHIWL AADTKGLLSLGLSSRDAVVGTEWIDKLGLLCDFTLVTAFFLWVSWAVSHATNVVTSFI VNGQQPTKAPNLLDTVRWRWLARSGARQSEVSISATGHARNSSGQRGNARGEQENINI STPPIEVDNSTATTSRLVLRMVSILLLMCIGNWVSVFATTYASDLN EPUS_02422 MPVKEILILRHGHRLAWTFNPQTQTYTSTHPFPTDLPADPPLAS HGVRQSHETAAHLSKLLLPQVKEDRLVIYCSLFYRCPETLQPSIEAFQEMGWKGKVRG ERGMGEWFGAAPFEQPVSGDPEFLRDRFFPWLEGRMSRVLPNSHGETIDELHDRVARA FEVIVREVDREYEEKGSAGEDVTVLICGHAAPIIASGRILTGRMPKDSSEEDFKCFTC GLSRFVRRGKATAELSDTEAAQEAMEWRTNGGVAGGWACTMNSSCEHLSQGEERGWHF VGDESFDSYGPPRTFGGDNSDCKKIEDTNESRTDSAKL EPUS_02423 MAQGGGMAWTLADSYGSYYTICRHLAVTITDPVFPTGFISTFIR QTRPQKSRTHLKDQWRPRPPRRQFHDYFVTHLPSSSLHPDSKSASGLAHKFPRKDSQP VPQSSKVSPAAHPSISRETTVVRIPLRSAKHHFGVSVSRGSRPYNEDSHQAGVIELPA FAKKAPPSITKNPRASTGEGTGGEGANGDPQVFYFGVFDGHGGAECSGYLRERLHEYI EKSAADFEMQSSLHGTDNGKVKRAPGKYEGDTEDLKRSKAALDSIETTHENEISTTRL SSDDGRSRGAKDVYHPPYPGDPPLIQAANKEKIKCMEKSLVTSWRELVGGYFRRFKPA YFSLYSGYQALGEESASLGASGKETSVGAAIEEVVTYAFLKSDYDFVSAQAHKQGERL DMTRAERPLNNDDILGEPSLVAGQIGGPKRFTGGSTCSVAIVSTPTATPFWNPATPSS MLVAHVGDTRIILCSTATGAGIPITTNHHPSSPMESDRLRRYAATFVTDSFGEERISG LANTRAFGDIASKRIGVSAEPEIKRVELGPAEYSFLVLISDGVSGTINDQEVVDIVKE AKTPEQGARDVVSFATEVSAEGDNATCIVIRLGGWERRQEGGVGSMGTKESRQWKRDS ANESRGRRQ EPUS_02424 MGAPGRRGGKANKQAQETLPDQVAELEEVMANGTPKMNGTVGKI DERIEREENIFLFIPNVIGWSKGRPALCSIKAEPSRLGYSRIILAIASLYYMPLHPRT CSLLYSISCLLDALDGLAARRYNQSTTFGAVLDMVTDRCTTSCLLVFLASAWPRWSIA FQGLISLDLASHYIHMYATLTMGAQGQSHKKVDSSRSRVLHLYYTNRTVLFLFCSLNE LFFISLYLLSFSSPLLTPSLLLNTDANSDPNRPSTLASSTQPGHPANPAPSTLFLNPW SAGALEAARANKMDSTVPWILAGLSAPVCLGKQVINVIQLVKASRWLAEGDVEARKRW RMEQEGKKHT EPUS_02425 MSVRKILVAGATGKQGGAVVNALVANPPPYPHEILALTRNTESA AAKELASKSNKITLLPGDLNDCQSIFTKAGSSGSVWGVFSVQLPAMGQKNVSEDIEEK QGTALVDAALSSGVKHFVYTSVDRGGSNSINEPTNIPHFISKHNIEVHLIEAVKDPKK NPQGMTYTILRPVAFFDNLTPDFLGKGFAAMWSNMGVVPLQLVGTRDIGIFAAMAFSK LDSDPKVFLNNAISLAGDELTQAEGSKVFEKVYGKKMPITFGFVGSMIQYLVKELGTM FKWFVDVGYKADIAENKRLNPDMQDFEAWLREESKFNENK EPUS_02426 MLSSQSISKILFGILSVTSVAHAHTWIEQLTAIAPNGTFVGEPG YPRGNILRTEPGFSDVPLVHLITGAPNDPMCKDSQKSTSSQTKSSPRLKTVPGADIAL RYQENGHVTLPENQPGKAENRGNIFVYGTTEPKDNELFNDVHGVWTADGKGGDGRGVL LAKGAYDDGQCYQVNGGAISTNRQKMFAHPTNQLMGADLWCQTDIRLPSDALTGKPYT LYWVWEWPTAAGIDPGLPKGKNETYTSCIDVDLDQSVGDLFQKVASSGFVQDQPVENA AVPDQFSKLGEQPAATGSTDSAPPSSAPSTSAPVPGTPASSAAPGSSDPVASSTAALA PTTISPIPGSSASASSSLATSASSVVLSASISSFEAIPTIILPIPGPDAPSTTPSAAA TSSQAVSDISVQTLITSVITTERVTTTEFVTLPATAAPTPGAAPKIRGRNPIFNLGN EPUS_02427 MACEEPTFRPGLIVVDFQNDFCPPDGSLAVPGGRDLAPLINSLI SLPGFVIKIATQDFHPANHISFATNHPPPNNEPFESFIELKNWVVGREDEKVKQRLWP PHCVQGTKGAAFSDDFQQERVDVVVQKGMNEKSEMYSVFADAFGNFNCEGRGVSHNVV DLLNDKAVSSLFIVGLAGDYCVRYTALDAVKAGFAVYVVEEGTKCVDLEQGWADAVRA FKLSGVNVVQADGPEISKALFSQVRGAPELAQYLWTLPF EPUS_02428 MAKLSIATLEGMKRITRNRMRRGVLHVSLAPSPDSSTAGYQPPS SGRHSSRPDRPVLLRFPVEILKNILEYLEPIWLIQVASAYPDINKVLGFQQSNRIWYD ALPAALFLEPESFQDEVLVENRKMAYTSGDGTDTTLQLSTNHFRNETFYYGSTRSSRF VLPVLLGLSELSLNLDFSYDTTHPHDRRFYPTTPTRVRVMALGGPYQPWLDYRREITG HLHYNMRCCICLELTGRYRRYKQIWGLKWCTKCYDHYMLDPAQVSKIPGLVMLIKRFA YVSSIRLREKPQNYEYRPMVDEILRARIGIDFETAVNVQCYILQLLQTWKGGPQCEQL RVNRSRLRGDIVKRAQEIWQSIKLKGNENFVEKTGDLAVRRLAIQKKIIVHARERWAP ASVLPTFLFPSRLLTDADLWLPYDPDEQWLPDPTLQLETSRDVLRAGTGWVDQKAREM LLELVIYKPDMAISTWVKAAEQWHIQRITQDLYSGKYLNLEYGGHRLRRLENVGTPEN NRLDVIAKKLRIDPLFPVGTLTEHENKMAVASFIRLIRHRCAGCPINNLLILPCGLKE IVQHFGQYHPYEFWLNDKWTVRG EPUS_02429 MPHAIYPHTLTMARPGSPSLGFITIPRGQSVSDCPKSAIIISPK TERIICTREEDFRRWTWSEVKEAIRINRIDLFQRTPTDLIRYVEFVHYLKKTFGSVLH FIQHERLHWPSVQPSGQAPFENPTDYKILYNDWPYGIDLDIVHLVVWTKFELEDDVTT NDLSQESRALIDAFVQKTFCGKNGVSRDSLIWFKNWKSLKSVHALEHFHVMLYKPDQE FLRRITGGDVAISEKLKS EPUS_02430 MPLHSHHAHRRSSLNSNRSSTDDMRPDSAGGAEDDATIVEPDQG NVLSHIISQLRPGADLSRVTLPTFILEPRSMLERITNFMAHPETLLPMPQIDDPIKRF VAVVKFYLSGWHIKPPGVKKPLNPILGETFTCYWEYPDHTRGYYIAEQTSHHPPKSSY FFMAPDHGIRVDGTLKPRSKFLGNSAASMMEGISYLRFLNRGKSRGGEKYILTQPNMY ARGILFGKMKYELGDHSYVRCPENNLTADIEFKTKGYFSGTYNAMGGVIKNEKTGEVL YELSGMWNGEMHIKDLVTGHKELLFNATNAKHTPPRTRRLEEQADRESQKLWRSTVLA VNARDHEKATDEKSKIEDRQREEAAKRAEEGIDWTPKLFRRVRAGPGESEEGEADLDW ILNATIDGKDPKTMTEQILAITPILPGQKVDSDFEIPPHTSNAAPNHDNNLQPEQNAA AQSSGDGNDLIDFGDSAPNAKAVTANQNISSQHRTRSESLMDDDQHINAMNDKMGNMN LMGPMQASGNPSTGKNRPLERTDTETSEVDSFFDAEG EPUS_02431 MAQAKHISDPQGKKMSCSKAGGSPPPSVEQDQSSNEMDIKNICF VGAGHVGAPSATVLAFFNPALHINVVDISEALVRRWNSNSRHLPLNDEQGLCDLVRVT RDGTLPTLLWTDDDGAMERPGRKPNLVFSNDVKGGVREADMVFLCVETPTRSEGEGAG MAADTGSLEKAVKDVARWAKEGVIVVLKSTVPVGMARRVREMISMIRPTLSFEVLSNP EFLAEGEAVRNLLEPDRVLIGLSMTSTGHAAALALADIYTTWIDQEKIQKISNTSAEL AKLTANAMLAQRISSINTISAICERTGAEIAEVQTALGSDNRIGAHFLQAGIGFGGSC FQKDVLNLVHLATSLDLRDAGDYWLQVLQINRFQTRNFVQRVISRLDGSLADKKVAIF GWTFKKGTSDARETRSFHVVKELLKEPVKEITIFDPGCDLADIRAAIRSPGGLESGKS ECPNSNVTVHDNPYSACQEADAVLILTDWEQFRCLPDPERPSVANPKDHGVGAASSPA SYISLGHTASSGVRSIISKLSIRDSPEQNDRSNAFTNPLGHLKPELPCPADCRDCNNK TSLPMSADVQVDWKRVSTKVKSPGWVFDGRNMVNVGEMQKLGFRVEAIGKASVWESDA Y EPUS_02433 MFEAVPIRPEAKRNVLGPIHKAGFLSDTAIYALSADQQLSIYPL NTPESNDRGVIQPISFGDLRPTAQCDYVIDVLRDLHQPYVVAGSNLSDPHIDLVPLTA NPRFALSTQNVVRVQGAHGEEIVRSAYLDRSAETIFTAGEDGCVKSFRATDRSVEAAG QQGSFVKETKKGKAGRFKPY EPUS_02434 MAGSGPAGINGLPRPSEAEYEENGRLYSTFRRGVYLLPCDELEK DRLDILHRVLEEARRGNLLNAPFQERPPGHNGCRVLDLGFGTGFWLVDMAAKYRNTEF VGIDLANMGPDKILENVTLLYPRDYESPWALGEESWDIIHIQMACGSVSSWPNLYSKV MKHLRPGTGWFEQVEIDLRPRCDDGTLSPNSRLVEWYNYIAEATFNIGRPIEYKGDGE THRLLTAAGFKDIRHEAIRIPLNTWPTDPHEKEIGAWYYLSMIGELNNDRGGHGIEAI SLAPLHRTFNWPVEHVWRYIKEVERDFAEVKFHTYHVLHIWSGRAPEEHEKTRDSLRS ER EPUS_02435 MSTLLENTASIFDGRQHPKSSDSFARASDGRLELLDSEADIWSS GRLTEYQVIGRHLPTETNPTPKLYRMRIFAPNTVVAKSRFWYFLMKLRKVKKSNGEIV SLNVIHEKRPMKVKNFGIWIRYDSRSGTHNMYKEYREMSRTDAVEALYQDMAARHRAR FRSIHILRVVELENADQVKRPYIKQLISKNLKFPLPHRVPKSSKKIFAVRRPATFA EPUS_02436 MALLFADFHEAQRVGSGHLLASCLIPVETFPDSRRLRSFAQLSN YSTVSADVRYHLFQSPESSYRPPKNEANAWIDIFVALWTTVKELYAIEDSLHQAGWSR VFDAYKELTNLLIRGYSNGFQAWTVPCLYTTGKYLRAFAIKADVETRSQNEDSFGNGF SDDIMGNFGKNEKLEQAAWVINRMFTTCLSDRSEITQSRKWGIYSTTNLLFKTYFRLN SIGLSKNVLRALQASGDDIPPLKLFPKSHVVTFKYYRGVIAFLEESYTEAEEYLTEAW RLCHRDAQNNRELILSYLIPCHLLTTHTLPTTHLLAPYPRLEALFRPLCSCIKRGDLS GFDAAMSAGEHEFVKRRIYLTLERGRDIALRNLFRLVFLAGGFEEAKDGQAPIRRTRV PVAEFAAAIRLGSKIDGAARVDIDEVECFLANLIYKNLMKGYIARDRGVVVLSKGGAF PGTGV EPUS_02437 MFVRNKPRQGESFSSRYGAGKSVSKSKKHSFRDHSVAAGVKRAE SSAQPSPRKDQSSDPTSPNLTSAIITLVVGPDQRLFAAHEDVLCNSSFFQAACRGQFL ESHARRINLPEESPEILSSVLEFLYKGDYYPKLLHNKRKDTWELEDRSANGNNESTIY HHAVGGNLLKDTVIYCAALRYDLPELGRLALRKQGLQSGIQVSTILSSARYAYAQTPD TDSKLRAHYLALIIRSKNTFKRSGTMQMEMEEGGRLFFDLFVAMCNHIDDLGTISRSP FTR EPUS_02438 MDAVQKEHDRLWKRSKSSNTLDSAQQIIDALQHARDAIAAEPTS ASVSLAKLHNPVKGSFEAINASLKEVYGGHNKYTKVLDRLFKDKPLPSNEIDALSPHP ALVHRAIYLHLLREGLFDVATTLHAESTAISSMLEEKAGPGARDESTAAPLGIDETES NRMQEQFTSMYHILYELRENHNLRPAISWARDHTKALEIRGSNLEFELCRLQYVKLFL AEIEDASEQESATGPQRALQYAREEFQAFSGRYLLELRQLAGAMAFCPNLEESPYQSI FDNHTAWDEVVASFTREFCALMELSADSPLYIAATAGAIALPTLLKLQNIMQQKRTEW TTENELPVEIPLPPSYQFHSIFVCPVSKEQTTDQNPPMMMPCGHVIAQESLHRLSKGG KFKCPYCPGESHPRDAKKVFL EPUS_02439 MSTYEDPIGRSSNEHPSQVRDHVIVPASSSPSIISNTTLSQHGR KRLVFSDPVAFRYLEEDSATNVLERRRRLQGYEIYIVEQWACSRVHPTSIIATYTGDS SHFILVGVLSVPKDENTWSPRLKVYFKAMSEYHARERDTPLGTLMVTNLSGFPSSLSV IAVPDGDVRKHREDFIVNENLKRLGCAGRAGLNLQHPQPSTTAKFHHLYRTSENVPVY TSVMELVKLCQVSLSLYGKLAPAYADGLLCDITERSINDWWSDIGTYLYNIEPSDGIL GPSTVSALVGLLMGAFNRMKAFGAPVGKDVFDITSTKRAIGYFQKSQRMERTRRLDRQ TLMRLHKVTAKTASGEGWTVPKAVKSTVAELSGKGGEMVMGIVGGREKAGIADVETLD IERLAQLVTGTKARWLWQGKAAKSNEPETLGHFGSSSNDKIFSEDDQGNYIWTSKHRE SVWTDNHLQRTNNVDDVENKTGLERLKDAVSLPGLRSHQPRQSKEEARSHAGHIQDTR HDWDERDPEQVSSSPSSGTHVKEASTPPQKAALEVPDPNHVSRLDHNMSQISLRSESA SLPHASEDSEPNPVILASSIDLVEQNRGGEFEELRRELSSDNYNRSFQELQVSGPQAT SLRRTQSLVKFIAFGPESPRVNRVPRCLSFSAIESVVLQWDGVDTEFESDTAKGQEPQ QTLLGEQAHAVFVREKADKIYYLQQVTIPFTERQISDVDDLDQLTQKRQDELNTFYYE RLEDHQTQKATSTDIMADERQGLNEGLRRVEMLGAKLDYEVDALTSRIEEVEDGVAEF DRAVSHIEARVDELVSDGTHKDPWWLILLGYFKNRES EPUS_02440 MATQIPACCEEVRQKTVLLFEIYIAGREDEKNRSDIIRLSPSGP SFSSNVRLNSHRTPGCRFIAAQSYPEWPQELWVAEDIKDRVKDLQKAELDMYPRGPEH TAPPIPKLDRLCQTVKKGETKKDMGKYSYQGRIESVRTAGRLLFFVDMVRNDLKLQVL VNHAQIHKSITQDEFISRRKLLRRGDVITVTGYPHRTEAGQLSLSAIELPCILSPCLH QFPVQRPAGGFGPESQSTFLDKHVEMLANREATQLIRRRSDVVHGMRTFLRARGYSEV QTPILSSRAGGAIARSFETFATEFSERKLSLRVAPELWLKRLILGGMDRIFEIGQCFR NEGLDKTHNPEFTTCEFYSAYASIQTLCDITEKMLNLIVRNIEGAPQKEDESNRHPSI KMPPLALKADFFKGPYPQIDFLLGLNEALGLKLPDLCAEHATAEVINIFKEMKIELPA YPTLPRLLDKLSTTYLEPQCHKPTWIINHPECLSPLSKSFPHPSPDIDQPVAARAELF IHGREIVNCYEEENSPFEQRRKFMMQQRYANAGNDGRQDNEAMEVDEDYLQALEWGLP PTGGWGCGIDRLVMLLTGKQRINDVLTFGNLRSVTRIPEARKIVKVEASFTAPPKPDT NKIVYSDPRREVQPDHVRKDTSLDTDPTHSGPQLDAPEEAFLKIKQKLISENSSRARA YQATHVSSSAEQKRSAGIRGFDAREWLLKK EPUS_02441 MSGPPTSEYAGYVDPNFPSPGGPDDASVIIYGYRPSLALGILGA VLFGLAFFGHSYRLFQYRTWYFTPVMLGTAMEVVGYAFRLLSSQVNPYSVINFVVQYF FIVIAPVFFSAAIYTVLTVFINRTKREYAPLSPKLILWIFISCDVVATITQVAGAALI GVAASNGKDPTTPNNILLAGLAFQVFSFLVFCVCLGICLYRARKIVLSSLKAFTAALC AATLLVYLRTCFRLAETAEGLTSALATHEAYFGSLEFAPIVIAVFILAIWHPGKWLPR HQDWNENI EPUS_02442 MDLEYKLRKEDFVANLSGGSLSEITCVTLVAPAAVLLWSALHSR RNFFAPYGPAAFFTDFLLNVGAILFSMTAYSSAPALLSLLLILPAALISSFSKPLAAT RKSSVSSTKANGNVPNPSLVASSALPVQGFLTTYRGAMMVVTCIAILAVDFRVFPRRF AKVENWGTSLMDLGVGSFVFSAGVVSARSILKSRLDATKRPASSFVSRLMSSIRHSIP LLVLGLIRLYTVKELDYAEHVTEYGVHWNFFFTLAFLPPFVELADVLTTYAIPSYEML SVLFVLVYEIVLSNTTLKQYILVSPRGPDLLSKNREGVFSFIGYLSIFLAGRGTGMTV IPGIRAQQSKGTKPVTAKELAWKARKSLIQKLVIQSACWSLLFAFSTAYFGLGLRVSR RLANLPYVLWINAFNNAQLLLFCLIETVYFPEAYRTLPSAVATRSASVIMQAFNKNGL AVFLVANLMTGLVNLSLNTLDMTSLGAMAVLVGYSACVTGVALLLDRTGIKIKV EPUS_02443 MVKIAKPFVTNADDSPAYWQIGNLWQVMATGVQTDNAFTLLDQI VHDGGGGGPVTHTHSQDEGLYVISGRCTFNAGGHQGLPGSPGTFVSIPGNTEHSFTVD EPDTHVLNFYLPAGFEQLLIAISHPAKERKPPPPELIEEMMPSPWLAEKLAADYGQIS VLGNPFVDRPDPAKMLTKPTPSAKLFPFTANASNLEKITAMGGHWTILADGKQTGGCY CLLEVKFRTGIVIAPRIYKEKDEMIYVLNGNMSFLLGDRVVKAGTGSLVYIPSGTVYS ARVDSEEAHCLNLHTRSGFEELVQFVGRPDVKGPSTLPEDSQQKSSDAAVRARLLDTI GLQELLVPDVL EPUS_02444 MPPKGSKHIAYKWSPESERLILLSVLKGAEFQATRPFFNQVAEK IGGGVTAEAVSQRFYKLKKESEALTAGTATATATANNGTTASAPTPATPTPKKSRAAP GTSARKRRNGATNGDGNGNDGDNADESPTKKTKTATSAKKKKVAATEAAAVAAAEEGA DGEDEMVKVENDDGRSDITVKAEAEEIADANVAGDDD EPUS_02445 MAPFWTDERDKTLLLLMLGPDRIITRAQAAEIAQMMGAPAAEQS GLAWSFASFLTRYYRGYFDFPLTNFESSHHPALLHISNPATSPCLSLYGTMLETDAFY CIFLTQPHLSPKLGLPRLHKNWALRHQETRATPINPTTSLLLLILATPLPCDFCRLSK DSTELIMPGPWDHETDRKLLPCLVDPNLKPKWADVATDMGSGFTSESVRYVFWKVVE EPUS_02446 MTLSETVPGSSCAPSGCDVVSELAVQPNTAELLQYGSGKRGHSP STKKRLAESNARYYELQKQCGKELKEMIPKAILVKAEAHPGSKRELLHTMNALKLYIT GLLEDKKRLQEQIMQSSHSMNIRKSCDKEVEIAPGLRSLWPRPAQQSGIKVGNDDKAT AGATAVMDVSDLGREEGKVIGRLPEVNHADGTLPETPTPEISPYDSLLRQAVEKLIAL TKERAMGSQGVLDNKQIDRLNIVHDCIVEMVEDMGRE EPUS_02447 MANYLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILLPNLYQNPAYDPKNKMNASQLQNHFDAFYEDFWCEMCKYGEIEEVVVCDNNNDHL IGNVYARFKYEDSAQQACDALNSRWYAARPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKEPSPELDRELELATKKWLKERGRDERSMSKSPTPEPTRKRY EPUS_02448 MDIKIEEHTEQNLKTTRANDSESVPRRSFRDHVLRFGYSTSSPT KQLSQDNPVLPPAQPTSPVLKRKRSQALPRKVASHLDPAESSIQEAKSATPKRKSTPR SRKASSASASPASPGTPIISKLHDTLRPNLTLVLIGLNPGIMTANTGHPYSHPSNGFW KILYSSGITPIQHRPQDHRLLPDLYGIGNTNICARPSRLGSELTKAELAEGAKILDDK IATYRPEAACISGKGVWEAIWIYKTGRKKMPKDGEGAFQYGWQDDRLRLGRTVDAKSG EVVWEGAMTFVAPSTSGLNAGMRPAEKEEAWRPIGEWMRKKREQRGMKLETVKTEQKM TNGVAMATKDLDPFV EPUS_02449 MVYDWQDYRDVCYQMYIKERKALEEIMQYMREAYSFAPSKRAFQ TQFRRWGFPSKQNPAHRNIDLVARVKELWEKNTTQRDMLRILNEEGYEIKERELMRVR AKNRWLLRVANGMKAAGTIEAPGATQAISETAPDISLSQDQVALATEPAAMVPALSSQ VLAKRKERFDKLQAESDQRWQEKKRRRRTRGWAGMPADPPGPPRFPSETTLDESKVFL SLDNAMYRRVRDHFQRICNDSEIIKKTIAGPDKWQQAKDRLIQENAHLQKVFWTENTQ LDAKALALDVICTDVTKRMRTMERRMTIAEAKNALGINPEQSRQVRNAFYSRLKADHF TSKLEAGDEHWNELKEEWMQGQPLLLGILAPGDADPDHPNKVKAVEVLCRDVMKRLRD DQTKRDPTKKDRDQLALSTEHPSAKKTTNKASAKRSNSLGNGISALASRALASTPITA SSISDTQIDPSLLQAANDPSFAANQQRSPATLSNYIPTIQTTSAEAPVAVYLRPHPRS QVYQTSKVWLGALSSRTVAELRSLLASKWPNASIVRVDGIEKGAGGQEISYLIEEDDE LDAYLTHVQDRKATFVALMNQL EPUS_02450 MDQPPVVEESARSPLSPDLQMTPEVERELELWRMTGEPPFPELR MTSKSYWHRFSSIDLRLIHHIAGLSIDMHQRGYSGCTVWAQKMPAFLAIALSNDFVLS AVLALSASHLAWQTKNTETEHLAYQHRGVALKGLHEAIGAFARDNSEAILAASMLLSW QAIEWRSWASLQQGVSTVLNAMRPWIHESEIANYIESQRSVAGARTPVTPSFPQTQPR TEDLARLDQATAALHDLRARLANNAELSDLTSRLLLFLQDLRRDFPLQAPEEAFERLQ ELRQWLFWLPPALFRPGESDLGAMAVLSHFFGVALALDPLFPEIGGSYLGSMSVMPIE DMQRVLLARRAAHPQETGVITALSLMDVPLRTVSSYKAKQHFLAQRPQSYQASPHSPY PASVSQTASSPEAMPRSVYAHSPMQSPGNHPSQGSPYITSGSGSSGARRSSGYFDAVP RASSQPYEDNNYMYNYSGPPLGSSAGYSSGQQRMESHRPPSSGYETSSFQAYGIETGD TSGPRAETELKGKGKQRE EPUS_02451 MSEDASAGGWSTIESDEGVFTFLIEQLGVKDIQFEELVQLDSDS IRALSPVYGVIFLFKYIGSSTTSTSNPQDGTFDPAAVDSDAGFFFAAQTIQNACGTQA ILSIILNNDPSNPSLQPSSTIDIGQELRSFKDFTTGFPSDLLGEALSNSSLIRETHNS FARSSPFANETQQDPAARTEDVFHFIGYTVRNNILYELDGLQPYPISHGPCTTDEFAD KIIPVLLKRISRYPPEEVRFNLMAVCRDLRIKAQEIGDVEALARQKRKRTAWEWENAL RRHNFVGFIGEILKGVVELKLENGGEAEYQRWVEEGKKTTEKRMTENQARRKGEAGEE MQE EPUS_08988 MAHDATESLTCPEYWDERYAEVGADKQLHEWFRSFSDLEPFFAR HLFQIRGPETALKILHLGSGDSTIPQDLARKGYKNQLCVDFSSVVVENMSKRHSKIAG ILWQQGDVRQMDQIPSESIDVAFDKGTLDVWIHGSPWDPPDDVLDNTARYVREVFRVL KSDSMFIYITYRQPHFIKPLLNCQGVDWDIKMDVLGSSDSSFDYHGFVLTKVMPRAAD STGNEAEKRGSS EPUS_08989 MASATLGAIGLASARYLSKNDSFGQGFQSYPAHIQDASPGRSIF RPAGALAPTEYRRFKLRHEEELSAGIFRFVFALPTKHAILGLPTGQHVAIAGTVDDHT VVRSYTPISNNRDLGRLELLIRVYPDGHMGIYLKGLDIGDEADIRGPKGAMRYRKGMS RAIGMVGGGTGITPLFQLVRAICEDPTDGTRVSLVYCNRSEKDILLREKLDRFAKKSN GSFEVYYVLDHPDAG EPUS_08990 MMKWNMASWHPSSPDNKELKAGRRNEVQVKSYSKQEVAKHSSKQ DLRISVHGKVYNVTEYAKDHPGGWEALIEVASQDATSAFEDVGHSEDARGIMHAYLVG AFRGALPEAPEALNPSLSGVAQVVRGAPTTESKSGSSSLLNPRTEPAVFAVATAGLVY FVNIVHGRPPTSAGGPPAPGAR EPUS_08991 MAVKTFYLSGEGEKSGVDVDISNVADLDARKQFLGESMGVVPPE GSPEQLLLTEIRWLNVTRRAFDSGRARSTRLALRWATTSKCFPDHLGNNQRLIEKYGP IFQTNFMGATNCYTNDPQLALICFSETHFFSKQIIPGHPLFPIKQNKAGVFIGDTNDP SWKVVHKFMPPALGPKAVRHYAPTMNNCVDEAWPVFDELEKRGKAWNVYQYMLKLSSS TVGKIMLGKDLNHFSSVDAPLHRLGLVMAESLAINKRIASHGQWYAHLPFGDPVRLRD LKHFLAAQIEELEAIRDAKSNGAENLPLHDAALKAANVIGRYSSSQDAQRTQRRPDYL VRATDSRGEQLPKENLVSAVTVATGAGFTTTSSLLSWCIYGLVTYSGIPAQLLQGLVD HGINPNTDSISAEQVEDLLVLDKFVKERNGATTHHISPAAPHSGI EPUS_08992 MNPKVWDNPDRFDPDRWDTEQVENRHKTAYVPFAYGPRSCIGFN FALLEVKIFVCKFVWHYHREKEGETETEYDPFFQLIRPVDFILNAMLNWLHYRASFAV STNPELKHKQSDPGLAALLKGILDPPTRSVEQQETLHKEDARTLWVGVSEKDEKQFIH EGSVYEDLIFENPAIQPWGIYPGLITFVGDTGAGKSALIKLLIEVGLWSADSFPGFVY QKSTFPLRQNPVQKRSTPVVGCEDDEQTPTSADVHLYADPRTLSDKSSGDCPMLYADC EGFGGGEQDPLASRFGAPDFDPVGASEQLNKKIQPFLEGVDRYTPKHINWADCERQSV VEGLYPRLFYSFSDVVVFVQENSRKFEEVVVKLVDWAHRAIEKSCNQSVLPHAVIVLN KAGTSNSGKSDRWDPDSVTNTQLEAVNKALEKKKELKEKVAFWTKRNVNIRTSKDLLE CYYASVKVIRIPILHDPELLEKQVSKLYDMISAKCNESQGRRRQCRMRLDAVKLHLYL RNAFDHFATYADKPFDFVKASFDINPISPTFGLRDGILRLAITVQPLLEDHSGSLVWQ KISRVIASCFLLNAYQRSVKGLGNSDSVFNQYWDHCSKALKDFYDRNWPCEYTSPRIK RCVNVQNAHRKGHQSKDGELEGGVWQCNQTLEAVQQSVKKCLLGELQGIAPDFRLQQG DPSSDEDHLRKVYQCHQRNLDELYCEWGEGAPFKSHTTCFSCLLESPEHVLPCGHIIC TPCTAAFGTPLGAGFIKMDSCPLSRHKEVWNESQYLASIKPDQAGVRVLTLDGGGIRG IVELTILKEIEETLGNKVPIQAFFDLIIGTSTGGIIALGLGQQGWNVSKCTETFRELS TEAFTKHRGPSWAEKATIAINHGKYRTSPLEEAFKKAFSDKVLLFGHPNTSEAVRTKA AVVTTNPRGQVTLISNYNRRVSKGLLYTFYRAEGAKEEFKTWESARATSAAPTYFEEF NHELSEQVYLDGGIYHNNPISIADAESKQIWPQEKYRHPDILLSIGTAFFKNELGTTD SPATAGSKGIWAFKKLMQQLLEDILKTQLACERAWKYWISTKMPPPEHAHRYRRLNVP LDFEPEMDLVEELDKFRDLTIEYLKEEKEQIVSIANQLVASCFYYQFDKQDLHETSNE TGQGWKCTGSSPLCTGRIQCRLPPRSQISKNFGELLWSLFQDIKKERKEGSKGLCFCV NETHVADFGAEDVHEIEDKVIEDMRNHGVFVMSDFDISISSAIAETNIFMVLHMEKGG LIPIGGFPRNLLKDYPDTYRRKKRPPRDSITASIDAPQTDHDFRLQIEENLAASRWRS RLVTRRKKYGRGWSFWARTEASTVEYNSEVGSISSETVSSSTSDSEDFAFPVAKDSSE PGSDDEEVPVEETRQNVEQSEQDGHRQQKGEGSPIAVENLPVGNLDEEGDEARASIAG AER EPUS_08330 MIFSTLDASLFFNGSEAEQNQFVRDLMDGFDKHGLVKLRNHGVS AQSLINTFDVSRQFFNLPPGVKMSVHHKGGASPARGYSPWSNEKTHKLRSSVEIPDAI DAREQFEMGSPTDHIFPTPWLPKSTIPTLRPTLESFYKELGSTCHGLVTAIELGLHLP AGALTVRCRPESSELRLNHYPSMPVAQLADDTITRRIWPHTDTGIFSILFHDGADGLM LEDRQNAGQWLKVESEDVTEMVVIVANTLERWTNGLLRAGVHYVAAPWTSSDVAEDGA TGGVAPERFSTVLFHRPSASISVGPLPEFVSPERPAQFEDMTALDYLKAENGRIFY EPUS_08331 MGEMASMIPLSGGQYNWVAILSPPWCSKFLSYFTGWMSIISWQS AFAGASFLGGSMIQGLARLNYSNYEPERWHATLIFYAIVVLSLIINTIVARLLPKIES VVLILHILGFFCVLIPMVYLGPHVSAKEVFANFTNAAGWSDPGLSFFIGLSTGMFAFI GCDAASHMAEEIENASTIVPTSMLASVILNGSLGFAMVIATLFCLRDAEAALHHPTGF PFIEIFLDATNSRAGTTAMASIVVMAMIFATIGCHTTASRMAWAFARERGLPGSGFLA KVESRSALPLYAIGLSTIVSLVLALINIGSSTTFHALISLTIAAFYSTFLLSAGILLH KRLTTPYGHIHYGPFRLGRLGVPIIILSILYSVIGFFFSFWPPSPRPTAVTMNWSVAV FGGTTIFSLVFWLIYGRKVYTGPLIEIELR EPUS_08332 MDLSIEECSDDDMLRTFEIISAAFCHEHPYIEAVFPSHDSSMGR VAGGARMLAIKRTDPHTIFIKAVDKATGLMIGQAKWNIYDGVVPAEVELEGNFWDTAD EKEFAQHLYREYLVPRRTAVRELGGKVISLDILTVDPEYQKRGAGRLLVRWGTALADK TGVETVVEASEYGLELYRSEGFEVKRRYEICLPEKWAERDTQRFIWMVRPAKKIDQGA QC EPUS_08333 MPGSQNSNRHGGLANVAMPPDSQPEGMIVSDKTTIPAEESGPDN VTDVHGDIALREGISNGLSRQRKWIIMFALCTAVFIVALDYFILTTALPTVTSEFGTS DAGFAWIGSAYLLTHAAFTPVWATISDVFGRKMVLNVTNGFFFAGVLIGGLSGNTAIL IVGRAIQGVGAAGIMVVAPICVGHLFNQRERAHYIVILGAVIAFSSAAGPFIGGILTE RLSWRWCFWINLPFTGLSFIALTLFLHIESPNIGLIEGLQLIDWLGSVTIVGATVMLL LGLQLGVSQYAWDSVTVVCLIIFGTATFGIFGFIEYKVAKTPIIPLKLFARRPRVAVM VVCICQASILAASTYFLPLYFQIVLGASPSASGIYFLPTTLTLALFLLAVGHIIQKTG RYIALVRTGACALLLGTGFLVDTKAYLSWPRIIVSQIVVGSGLGLLNQSPLVALYEVI DPQDVTTGTSAYQFLKIFSETISVILGQVIFQSQVQRQSAALLHRSLPPSVISALKKG QSILLAYEVKNLEGELQELIHDAFVVALKRMWIFYTVISFLAVVASMVIIGKKLTR EPUS_08334 MNKSERYDRTVTLQKFSESLTSPVVTIRGIRAHLSKTFQGAFKE SAEQECILSEEDRRIFGYFVEYMYREGWLHDAKSSLHQSKLSTLARLYTMGDRLMVKG LQDLALRKIAVTLDKTRDLPDQEEVCDFLEVAGAEVPDTPNDDALQAQVLWYAASRLH KLQNFNRFHELLRQYPQLAVKLCMLAGNGNTTQPKIPSISDDKRFKPESIYS EPUS_08335 MVTVAQVDLGTICGDEDDMVVQEIDRVLRECVLQRRPVYIALPT DLVDLPVDTARLASPINTLPAVTPESERAEEEVLARLLSRISHATQPLLIIDGFVARW SIFSEIDTLVRLLRWPTTSTPFGKSCVDETLPNFHGIYAGIAGRSVYKPWVDACDLVL RFGGLDSDVNTYGFSTLPPERATDGALPPTHLGYPRAELASLPPPDPDAGIEQHDFWR RISNFFKEGDVILTETGTPSVGSREFVLPRNARLINSSIWLSIGFMMAAACGAALGVR EILQQERKDEGVNGESSHREQGDGQNGLQRNGESDLTSALNDPPQKNVLSSTTASGRT ILFEGDGSFQMTAQELSTVIWHKLDLTLFLINNDGYTIERFIHGMKAHYNDVSRWRYL DAPYFFGADMTGEGEEREWEGIEAGTSAGDGEVVVNGTVNGDGGDEGVLDVETGAEIK GHARKGKQTTQAQGRRHGQEYKIQTYQARTWGDLLSILDREEVKSGKGLCMIEVFMDR EDAPESLKRLVANVVRRNSGQSEGDEWAKSEGKVVEEKIIKAAG EPUS_08336 MLLWQRLYAFLGFKKSYNALFFCMFASPLFLFALSQLLQCFTIH GIQVSQTAPGEMYWFQKGSGRVGISIHLAAILPCAILAVLQFVPAIRQAMPSFHRING RIVVALLLIANVGALMIARHSFGGTIDIQSAVGFLVMITTIGAALAYYNIRRLQIDQH RAWMLRTMVYMGTILTARVVLALSAVIVSSLGEYRNVWPCEMIEWTWKSYGADDYLQS YPQCADNSAINGTFAPVLANIFSSTDPAEIGASFQVPVGMAFWVSIALNMAGIELYLT LTPREATRLRMESYRRQAAAGYGNPGSEGLVPEKFGDADPWVYPGRREYLDYGACE EPUS_08337 MGYIRHLRHRSLHEMHNEIGNIVIRDPQKSDGNSKDNGKGNGNG NGKGGGNSKSDTNIDTTTSAASTSVTDNVNDPVSNVVSVVFVTASPTFTGDVGGYVTL TDPTPEASPEPSPEPSFTYTVDQPQNFEPSSSQAVWSPASSTVEFVETTAQAPSSTNF EPATTYIPSPASNTAAVIVADSSAAPSSILASSVALAVSSSTLLSSYLPKSSTIGVSR SLASMTPSSTAAAAAAASSSEDMSSGGKAGLAIGIIAVIGLIAGGALWLIWKKKKSTK WQETDDEKTGFGNTVGAMASKPQSAKSFGAQSENQDASASRLSPGPVTQFTPDLAGAK KGLSGNPLNKTNQPTGMAAAGASRNLTPENPSGSPWERRAGGESGESAGNPFKDPVNP FGDQSKVAAAQSPPDVTVTPPMSADGPAPAAVSAVTVGAAVGAAGVAGAAIAAGAGKN GNGHPKNRPGSPAGGPPPGNVHRVQIDFKPSMEDELELRSGQLVRLLHEYDDGWALCV RLDRSQQGVAPRTCLSARPVKPRPANGSPRLGPTGRPMTPNGGRSMSPALGRPMSPAG GPGFRPKSPAGSNGPSRPGTANSNRPFSPPSPSQVTMMPQTSHQMAPGQFNQAPRPLS PGPVARGPHMQQPKRSESPGPYLANSGPVPMSPNQRRRSNSASAVQARRNSPPGASPL GREDPTPGWKPPKPEAQNSMPGVAL EPUS_08338 MAACCGGTPHAGRDRCTSNTSSSPHRPYLQKSCCSKEEDIHAET SSCDTNKSCLQDVWRIDSDHQEEYIRLDSKDIESATTIIEHVTLNVEGLTCAGCENKL FRSLDAILGVHNVQTSLVMSQAEFDLDSAAGSVDKIIQYIAKTTGFTCHRISTHGQSL DIRVSGDARDFINRKRPLGVESLLALNKQTVRITYNAKFVGARDLLDYLFDQPINLAP PRLHPEVESGIKQVRRAAFMTALSTMLTIPVLILAWAPLPEHEVLYGAISLILATVVQ IVVAGPFYPSAIKALLFTRVIEMDLLVVLSTSAAYIFSVIAFGYQVRGRPLSTHAFFE TSTLLVTLIMLGRLVSAFARHKAVESISIRSLQIGTALLVDSDGRGGQKIDARMLQYG DTFKVIPDARIPTDGIVVSGESEVDESNVTGEVIPVEKSPGSSIVAGSVNGSGTLIVR LTRLPGENTISEIAAMVDAAKFSKPKIQELADRVASFLVPVIVVLSLIAFVIWIAVGK AIQHRSTGSAAVNAMTYAISVLIVSCPCAIGLAVPMVVVIAGGVAAKHGVVFKTAETM EIARKVSHVVFDKTGTLTKGELSVLAEDHFSESQSVAASIALGLTMNSKHPVSAALAA HLQARGVEPVLIENMKSVTGSGMEGTLNGVSVRGGNSRWLDVRGVPRVTSLLSQGLTV FCISLDGDLLSVYGLDDSLRPDAMSIVAELQRRDIAVSLVSGDDDGAVRKIGHQLGIS PLQVRSRYSARDKQRYVKAIMDHKDGEKVVLFCGDGTNDAVALAQANIGLHMNSGTDV AQSAADAVLVRPALFGIIILIDLSQAAFRRIIFNFSWSFVYNTFAILLAAGAFVNVRI PPQYAGLGELFSVLPVILIALQLRWFKRS EPUS_08339 MAESTDHPLAGCWQPTRLQALYYGSGSVRKHLLWCLPSPESKAF IITGSSLAQRTPLVKQVEDIPGKDHHAGTFANIKQHAPVAQLDEATEAVTKDSAVNTL ISVGGEVPLTQPRPFPTASMKSPTCFSPTSLSQPTLSAAECTVGAGYTRDDGTKIGVA DPGLAPHVIIYDAQFGMHTPQKLGLSTGIRAVDHAVEMLYHPTATEVPAKQLVLTALG NYSSVIRVSTEKQERFQERALHHRIAAGGLQLAVSTGHERSEWTRSQSHCWLCARLAV IMAYPTASPAASRLRVW EPUS_08340 MDPPAFDESMPTGGNPLEVDVNAQYSGFEYHYIYLVFCGFIVWL IIPGLGFLYGGLSRRKSALAMLFQSLLVAAVITFQWMFWGYSLAYSRTAGPFIGNLAH FGMIKVGFAPSIGSPYIPDLVFCLYQLLFCACTVMIVVGGSFERGRIIPSLVFGFFWA TIVYCPIACWTWNPNGWLYNLPSLDFAGGGPVHISSGWSALAYAFVLGKRKHQGEKSY GKPHNVSLVFLGTMFIWFGWFGFNGGSGLNGTLRSMLAVFNTNTAASCGVLGWVLVDY IKHRRRFSIVGACEGAIAGLVGITPAAGYVSVWFAALIGFLTAIVCALLQDINKWIRI DEGLDVFKLHGIGGMMGSFLTGIFATSSISSLDGATLASGGIDGNGIQVVKQLAEITA ISAYSFVVSCALLYILGYIPGLHLRVSNEVESMGMDLDQFFDEQIGD EPUS_08341 MVKADVTRDYYADLEIPSNASEERIKKAFRHLAKLYHPDRNPGR EVDVVPKFQAVQAAHEILSDPEQKRKYDQDRAKHKSSTPIIPRRGPVPPAPSPFPPPP RRAASTATNQNPFAGNGNHPRPRHHPPPINPEKYAPYARAGAQQWEKTKEEAQSKADA FRGFQQMKPGQSPTGERFAPPPPPRPSHYTTAERPTSHAPNTPPRPQRSWDDFKNAGR STSGTEPLPGFPGLSRTQSTRTRPGFTPMTPGGDEPPAPRSSAYATYSRGERSQASTS YSYFPEGVAHSSPQMTPLRPGKSPLRHVRSSPDVEEGREPQRPGLERISTRYAGIGGE RTDVTNGGIGHRSSSARNSPIDHKWHESERNGLHSPFSRDNPVRYRSSSPKSRPTPVL DSSSSSSGTSSDEDVDEQRWSARARATPRQMRSKPASSDFGGRTAADGPASSSLYPGT KYVKPPTPRDADGGQHQYRPPPPPPPPPPRNQHTSQAASNYVPGYLGTRATAQQPMSG AGNEYAGPGASGNGPNMYAPFHSYPHEWSKSFRVSPSRPSKSVPSLNGFPSWAVPSSV LPRKDTPKKHTLDTIREEKRDRIEIWRSDTLRSTFVEPSRKHARFADSTLSDSSPPDV DTTNLRKEPSFQSASRENVSVKFSASEWNDKLAGVEDLFRPTSSEIHAKRSPVRPARS RAKSHSKSQTSPIKESSKEFFAGINGGTRDHSTASAAFVPGKFADDWAEKLRYQATAT SGEDDRATALKRSFKVPASKLQQTHPRAEPSAVENRASVSPEAGVAESEQKANENIDP MDIDDSLPSNVASSPSEVAEAAARLPPTPKEKEHASAAETPGVHPSHTAAADVNLNDL SNVAPLKPSDTGLGDLKDLNTTLPFESKASPARPSQTVVKGVAFSSLKALNLPKPPKN VIPPLENVTQEAWARYILEMSAYMHEWSIFNKKMLDHFQARQAQLDMTLTSNWMSALG DGPSGEEISRKIQDDPSSSGQQKAGYAAYRQWMEEDMRVREWWNVACDRHQQAVIDLG RVRELAKPLSRH EPUS_08342 MREQATYAKDGGPQLNFLGLNRYPDPHQAELKRRLCGLRNFKVH TQKDLRPENLFVGVGSDEAIDALLRCFCVPGKDKILTCPPTYGMYAVSAQVNDIEIVH VPLNVTKGFALQPDRINESLSSDASIKMVYVCSPGNPTGSLIKKEDVQQVLQHPTWNG VVVLDEAYIDFAADGSSLAEWVLEWPNLVVMQTLSKAFGLAGIRLGAAFSSPDITALL NNLKAPYNISSPTSALAIAALESKSLAVMRENRAKILKQRDCLMEELPKIPGIGRFLG GTDSNFLLVEILDAPRQSGGRPDNVTALSVYETLAEQRRVVVRFRGKEMGCEGCLRIT VGTEKEVNIFLDEIAAALRDIHHQALSDGTMSQEERRAESRANNVIS EPUS_08343 MSDLKKTAYGAAASDTDFRKTWDRSEYEAKAKKEQDERREEGRA RYEAKLEGKKWHKPVDFSTLDATSSRAGRVDVASMVGKSTLIPAGSAIGKRGRGAGFY CEACDLTYKDNVQYIEHLNSKQHLINTGQSGEVARATLQDVRNRLEMLKQRKREREEE DKRMGEIDLDARLKKTEDIEEREREERRRKRNEKRRKDGQNGIKKEDSGWEGRLGIIS EPUS_08344 MELTRKPSELGLSLSNAKATSSANHPLSAPVPKAAKPFKDNKKQ SSRRHPRRTVSNKKTNCIQTISFFDLAREVRDIIYFNVLRPDCAMSYGISEANIYRYK SCYKLHPLHFEAIGLLNAFENIIRISSKGARLFRNAYEHGMPGFFACDKKTSHCRLGP SLSELQVLRLRHLAVEVRYPVFTVNDSYTYREDVVKLGTEIKQIAAVLRRCRSMQTFR LILQTKVVDDDWSDGAETWSPLAPKDHPDVQTLLPLFLDAAQAKCIKTAAEEQKHFSV GQYDKSAHKTDDYTDPLVACYNQQAFDRSIYAAFEQSYDDQIVSRGFEQEGRVRQRAT VGNRCLTSESMEDTEWGFLKIARSNVKQYIHKDPDEEWELLQECRKCYQIFDSREDLD AHLELRPKHRMPFVLSGTHDGDLRFRFTHVVPNQVQEYTAVSYTWGNDARSEIIYVDN QPLTISPTLWACLHCLREKWQYIWADAVCINQDNVTERNEQVRIMDQIYSNAAIVSVW LGNIPLPPQVNFEGRIANLEVDYLDWEESMYDIASRPYWSRTWVIQEFLLARVVHIYC SNTRIDGDLFQDMLSNAAGIDLFSVEVADTVTQPDLIQKWPALPFVIGRHADRYPQLR QPLYDLLAGHVNSQSKDPRDKVFALLGLLPTEERSSLERSFPDYALSKEQVYIVTLAH VQHFSGDQPIEPIFRALHINQATEITRLMKIVEIFDYIDADNPAAEAEFMATDWSGSI EEDSRSPIIY EPUS_08345 MHLATNLALSAADRWNIMLADIWSLRRAVEFVAVTEHDHPEMRD PLSMFLNVAKCKKFQTAAEEDKSFFVYEPRPYGGEFGQWITIHSYQDPLVRQYNRRAG ELGIRAHFDRTLHASYVKFEYEVDVPKLFRIAFPNPTPEQDTIFEDEVRDMGHSEALV DHEGDTDGELEDGGDKKYAANFPLYQTAAKRRPQIYANVDAAQPYQLRPECRKCYELF ASFEGLEHHLQQFPRHRIPFKRKQYNVLNYWAQHGGGRKCWTCAKSYTSLEFLAKHLD DCGHRREGMIPRWKQDNGWMNRRDGKRKAREKAAQEWEWRKAYEVIEQLREQPDQEQQ NEEADEE EPUS_08346 MSLRNLSCPPTGTSRPVTGPLRHSSRPSDSVTRYPTDKVRERSS RDRKKFVPLGEDDYRREQAELYPPDGYFSTTSKQKKENGKRGKVTRDNREYPQAYCED YKEYDDAKKTAIGANGEYRSQKDRYQEIERKTFIPSLYDSHIGNDDRSGVYYPQHHRA LREPSDRREDTAYDYATKRMDTFEKWPRISRSTKSDQNHYTATDYAWNEHEGALRDRN NHHRYASPSSTR EPUS_08347 MKTLFALLRASPRYLQVYRTSKQTVLSHITWNQITPVVVPIALA ALQQQEHRATQNNHGTLCETLENARQTTMRKSYEIPLETSRMLLQFHENVEFFISDFV VSRLPIIENYLYPETSHLSTKASWYGAASPKIGRALSQTEYSRLARAFYHLELYGSLF SRLDAEEDDTSVSEQSCDFLQSLKDWELEELLCVRDYLIERLTEYLNQVEDDFMQGFL EDEPHIIETAGPDSRWNNVDWFFSDDAHGQFQEGWLQGCLSRGLEEIRAILTADTSEA RFDALGSTDLAPNPFGRALEALPTKLSPWRTCCSGNDCKNAKYIDDAEKHNAAWSWAI KGRRHPRSFPDYANNPSYTGLRRWGYMIWDYERLESLGILSQE EPUS_08348 MISSHQSPLFVPATKAYCQVYCEGPYTNLDMIQYLIDKSTVIPQ NGQSPRQATIEDYVSEPNLVGAVTNQNMFEDSIKDGGALHWLVAGRSGVCTSFAIKTV DRLYHWFPGEEYEFVFYDLGQHRLAICKKTKILIDSSASEAVKLEEGQEKTVNKHIFL YENQCLKFRGKNENVNKVRKDSSMSLHHDAAFPPIKGLRSEFKIAMTLNAKAALIRCL GQLAKNDKAELLCFFRGYLRPAFRYGFDGMIKWVPTRKVVELSWNTRSGVKVRGIQFG SGTEDTNQDCISQIEDFLNMKDYGIDRMDQFSCVREWHEKLWVIAAYKWGYPTLQPIR AKGRR EPUS_08349 MASLDEVIRATQKAREVAKARLKNNPEEVRRSQEKYKGIPMDPE AAQELIDRLQTPGNRSAAGPDENTAPRPKIPADQRTGTKQSSITSHGGPVSQNHTAGP EAPSNQGPRVGQEGAVSRHVLNPARSSPRASSPAPAGQGILHQARLNSRGSSPKPATQ IANRQGGDQNQNTRAEQEIVKNQLGALEAKMRQIATLFSKPEDVMRVVQMLRDAKVEV GQLEPVS EPUS_08350 MSPRQDTYLSLCLEQASKSPLHYRHGCIIVRGGKVIGRGFNHYR PGFNGGALKNGRSKTASDMLVQQKPQQKPKQKNKFNRRDEDLHACGGRADAHMALSMH AEMMAIRSALSLSSHPSGASARSNAWYETPCFRSPGLESARQQRRLEGRSSPVASQHR KTCGVLNPIYVDSSKLNNNAPNQPGHNPTTDQKTNEKKTNEKKNPSTAPRQYEVGQYL YEDHIQEENPVRLAKSKQRRCTPGGAPHQDQGPMLVPAAKTLPKARKLPQRLDSRLNG ADLYVVRQAWQHRSMKRNSQPELKQDDALSSIRSDLSETLSAPCSPKGPLSLHDELKC SAPPPPKLALQEGSQCEPQFSATSSRPCYRCILYMEWAGIRRVFWTNEDEYWEGGKVR DLVDALGLNQASNGASEDTSAGIFITKHEILMMRRQMGDHQGQKGR EPUS_08351 MANPYQVRPFAVDPKRVHRGSLSPDDAAAMSGGRQPTVYDNTEI FFQQVKTVMTHIPRNGSLSSLDSIPSQRSSNTSWVSNYTQPTVPSPEPGTFSLGSKPS HPGLQRGPIFKRISQGVYDCILEQLQDLHSDRLSPSCATCYMRDLVALQLTSRSWDRA VRKTLYSKIHISDNDSPTQLKKYKMKYGTRLRLLRRTLRERRMLGALVKELTVPDPAI PPLLPNARPNPGFVEYRDLLASLVMVCPNLERLQGFYTFYNHEFDRLTHALSTRRRLK EHVWIVSENQAVTERSHYQVSPGLLDEHQVYQFMHYHYAWSQLETLMFCSPGGTGVLE HELFLRVFPLLPSLRHLCVSCFDGDDFMDQTLLALPALISLRLEECPGISGSGLSRWA ASPAAHTVESLTLIHQNLGELVTISKILTSLPQLLKFTIVQADISPAIPDSIMIFQPI LASPTLKHLHWDVAPSGQYTSTKYYADLPPSSQGSQTANSHLAASILHAGFPNLVALR APQDLDPPGVLQEVCRPTTNASILLPADRYGLPPKSRGSKSAMPEVLPTNNSLHAARV RAQSYIDSASKSEKEFCKVIITDHSNQEASSHKSSSSNHSVSTDPTDPGDLFSDPQDP CLDTGADRALSPMTQSNKQFSTAISSMLDASSSEEKTTSVCRSDPMQPVKLHEYSFAP FLGRVSVSVSSPASSVLNPPRFNLLPDVPGYDMEGGIIGWADLLRLKEKDDRAVNGPA WIRDGCTGRWNQGCARGPGWWRHTERERRSGRIIGTGHFF EPUS_08352 MSSKEFSNTSTGDAPADPYTAKNKDDPSLEEKIETLISFVSSCK FGMMTTHNASSNLLVSRCMAVAAKEGGGIDLLFHTNTESGKTDDLKDDPSINISFLNA TGEWASISGSSSILTDRETVKKYYSPQLRTWVGDLGDGKHDGGPDDPRIGVIKVEAKT ITYAVARGNAVSRGVEMVQGALTGSAAQVNKLREISEDECKQWRASHQ EPUS_08353 MTDDKNPQDIAQQLAQQVQQASGDAIPQSSSSGEEPSIQDKVQA AMTQGQESGLLNSESLQDGSMQEKVKEAMEKGQAPGGFLNKVMSGEVNNPLSSYGNNI GNTIEDKLSPVGKYAGKGFEKIGGPVGGIVDPLLGGVMRSGGAFGEAVGVGSGNMDKK KAAEAEEREKMKKEIGGKEQTGDNPLGLLGKLTRLEGPFNHLADYEKTNYDRALTGDK RPCPSQRAKEKPTLLEKIVWLDPRKYLPPCLNALRIKNERQSYFYEEDAVGFLQKLIG LVCGYDGEPSEHAQLKHICLAEHPSFFDEEVSLPEFLTLSNLARKGELSSIASTIYWS PMPKCPMAHIGREKYDKYSGVMIKW EPUS_08354 MASVKVIPNAPPHLVEPTEIYGVEGPITLRIATGGAGQSGLLRT LAEAFINDFSQQYSSAPESERPPGCVIPFRACWIASDTSASFNNLALNAADVSITYHA TAEKIAMGQGVADRRENAWRDHFMLVGPKSNPAKLPVGSQQTVYDLFAQIFCAAIETA NSKHPVRYLSRFDKSASNICESKIWITIGQAPWSHPYSTWYHQYIDFPFQSLRIASKL AEYTLVDRGTWYSIEEDVTDSLEIFAEGTDDEDDPLLNSAHALVGAFGANKAMATAFV DWLIKPDGGQKIIKEFAVKGHVLYTTAPERSEA EPUS_08355 MLFFLLTPVVPLLLSANAAPTALLPKPSTLDTAGQIVEARADVI ADSSCEAKAAWALREYQRVDKQCDDWGIKAFFNSECYYAKPKNCCPEDKKSKDCKKFW PH EPUS_08356 MARFAAQNISLRSDYQFDLKDGGWLGGRDLAEIREAVRNALEYL KKKFHPAWKTTSEERQRILELQRDQRYQS EPUS_08357 MEEEAKREKEDEEWERRERERRERDEREREKNRRRREKKKKGNK NKGQGKGGDGDGDGGQATKDGVEKATGQRSGVAGLQASRRLGHEHDDRSADENGVAEN GSRSGGQAGDGSSEAIGVVIHDDD EPUS_08358 MQTITTTPHLPPSTSKPWTHYLTLDLISHILNRSIFHPFICFLI PLCLLARHWPVSSRGIVYTFSWACIVTLYHTLAIWNHRLAYGRARTVSLENEVVLVAG GGGNGLGRLFAEVYAMKGVRGVAVLDVRVPGQGAEREEWEERGLRWFQCDVGRREEVE RVKNQILKEFNTHPTILINCIAALITPGPIPSLTTQQFTSTLTTNLTSHFNLLSVFLP SILSSSNNSGGTIVTTSSILTHLPTIHLAPYSASKAALTSLHHTLTAEIRALSLSHKV KAILVEPGQIDTSLFKGVETPSRVLAPVLDTREVVKVVTGLIDAGEGGIVRMPTYAGW VQWFAVLPVAVQRGLRWASGIDRALAGFAAVGIGDRGGEERLVGRGKSDAKREESSGS DDLVLVE EPUS_09484 MVVGSSLWVRERSVYADSSYTGIHTHPKKWVTLEWWSLYSVTHQ NQTTAVDAAWEALQPTHGIVAVDSQWADERQLLPSMELPGDTSKRVYILEAYHMLHCL KILRKTFYQILRRESTTFKLQHSNHCFDALRQNIMCNADDTPLYTWNRRTAGDGQPRK CRDWNILRDWASEHKACYRNIDGREGGQFIQCDDEVNADDGLIVESFDKL EPUS_05069 MSCDTIEPDQDIASWSVTWLEPWDDSGSGQFIEQKAYNPTHATK MSCDTIEPDQDNPSWSATLLEPLAHNSLRLDEQMSTHVGATSVTKDFGTGKHNTSTGD HDTFGPKDTGSKLSCMTGSIIEASHNLSADGKVSPISLAIGGPSPSSVAGNQPLYLGW ENHCGSSFQGFETLGLRSNPWHMHMEVEGGKTLPGGEKGRALTVQHSEAIPSIRSECS KPVPLLSAGQLVFDANMLPLSTRKLRKKTRAEREASQTLRNSGGACPQHKAAKKACRC FGLEGQADPQGSAARRAANRDGLNGAMSESTNQSNILASSDDMGLLDMPENLGAVDET LERPGLKQVWPASNMADPLKRVLIFSCPYVFAPCSFRTTQMGEWTDHLVVAHSERLDS VART EPUS_05070 MDLMNSSSHSPQQSVEVRPAIQRQSSTSSSGSGESSHTRPRKAI RSSRQRTSGSGAQGPEDEQSGSRRGSISSMRTSSAPLEGPITYTPTTHRISKAKKGKR VHACEYPGCNKIFTRAEHRRRHELNHSPEASYPCDRAGCRKAFHRPDLLQRHQERHEL EAQTEGGVIGHRRLPSDQSSASVGHSLITSTSMTSPMVSVQASSNGLSIPSLLHPQSS EGYSHSQHSSTFDFPRAPFPMYTSSISAPDDFIYSSPESSQSPLSDHYGFPHRNSMSS SSSVVDFVPPNCASPLVNTTASGWAPVLPPSALPSNCSSLEDDIGGFSSSSAGAPLPV PISQLDRHEWLVLQRELASASGAVQVDHGGLEIFDIVKWQDDSLDCYWRHFHPFFPIV HQPTFSATSSDLMTAVMVAISSQYDTQTNAKDYSLALLEACTRSLAKRDKIHSRSRLS DLQTVFLLEVLMKFRSRKAETGLSPRFRALFASLNHTGSRYWISNNPITVLKGLSKSP SAADLQQAHSFWLNRETRRRLLLGSFILDTQLAALFEQQSVLFPRWPGETISAHVSNL PYPCDNELWECATVEEWAGLATSFQQITLSDAADPTIYDRSSALDSFRARLIFTHLVN CPSHGNTDSGTELGKLCETLARYDLSGQHVPTIFDIHAHTTAQHTPIRSLLIVSGESW LFGKKLEHEEDFAAAKAHLREWVDSNKSQTALWHATALLRMVLDVRPNTPLQPVHGTS NQGWEMGMLHEQWCIYIAALVCWACTFDASASSAPLSGLSISSTPPAISGASSPYIAT STPTGYPALMEPVEAGVEMCTFLQATDVDDLNLLPAVLDKVRGQTRGLLEVVRNGKLN GSLGGLINEASGVLYRLVEGRSQLSHF EPUS_05071 MVVFVDLDGDDEELQDIQQSLTHHALTSQHLQKLRIRDVQSDYQ HDYNSGSSDRGNNQAEIVERWNPNINSFSAALSCYPVVKSLSHHLDLNDLHSLSRTCR QFRCNLLQYHHQLLKQSLRCTHDSQPLLADILHQNASTSMTAAEITAVLEAIDFEFET VDVDRKILTGKIGKCATDLVAECRRCGVVVCRNCAVKPPSNSLLKGRYRRLCARCKEA PLWMHTLPVRGSNLQRYVPRSSEGVVSISSSPRSFQTSVTASTTTTSTGNSYHSLTEA EPSSAFTAPAFEHSPCTCATRGVYLCQACGQSLRSADTTYQRVWTWRSRYSTHIGGLG TGMGEGDQGQKCGRGEDCLDAVESEVETDCSERPASLYPSNSKATGSDSDRHIEERSA GYLRQEIEGIGGVVKKKVKQRVRIGATVWEHDDERASGKYLERESSGKERSWCGWCGR AVKGQRDREVHGDDCFMI EPUS_05072 MNNSYPNSRPQVGATFYPGGVDDFIMPEVISPAPQRIMPEVPEN MQHNLANLEQQAQRPAQYNDSIPTQHDNQRLQGQYPGQPINNQYEYQTYHGNGQGQGQ YGGGAYNHGQQTYGQTASFESMEQPNFSRFPLLRNLPPNVPPTAEQKEATLEGARLPV LDSNDPLNQLDWAQDALAYVEESIQNEQRMATISSAVIKLSRVQQLLKDDAIKVIMFL ADQEHPRALVLKGIWLEFGKFDVAIDKRQAWECYKTAVRNAAGLNINDPAKKWGGRAQ YRIGMQFENSKDIGNALKHYQMGVDVGDSAACYRFGMMVLLGQHGQMQDFQRGLSLIF VAAQNADENAPQGAYVLGMLQARELPQVSIPDRFLAKDINAARMNIERAAFLGFAKAQ TKMGSAYELCELGCDFNPALSLHYNNLAARQGEVEAEMAISKWFLAGHEGIFEKDEEM AFTYAQRAAQDGLPTAQFAIGYFHEVGIFVDVNLHVAQEWYKKAAANGNADAAGRIDS LSRSKTLSRRDHEKFAVSKIKESRLQSPTESAPPTPAMPTMLDMPDPGRLSLISPTYT GSDRHAQQPRQSVAPYPANSGFDPPRPGMVSQSSNMSNPEFRAGSAFGINPNLRPSSA ATIAGTWPDQNYKPGLQDQAMPYATYNSVPVGYGRGGRATTSPHQLGHPGPGRSAPSP QARISSPQPPSKVDIGFLAPLESSGADRKNRLPRSDSPAPPNIRQQMSPNNPHSPLTS GSQRPSGRDPMQQKPVPQQIRPSSAAASKPAPASTPKPAPSSSQPAATVRPPGKGPKT FEEMGVPAGKGKDDCVSIADLVLWDSLTDRAYRLLCDTPKFYCQNFWIGYAWRWCQEK ESGLYA EPUS_05073 MSYNRLGRRDDDDEDYQSPGMHPSYGNRSPSPQHLSQGYQLEDQ PYRPQPPHVQMPMASSDRLTMQPTYSVEHVGGAYDPHQPPPFNSSGYGNDDYAISPEQ HHDAYFNQPYTPHPQGDYALDQYATPTEHYQDDNDQRPILDADDPYGPNPQLQPEYQD DPPIEHAPMPGPGIQRWKSQKLTTELFNGNLVLDCPIPPKLLSQVPHAEAPQRDEFTH MRYSAATCDPSDFYNERFTLRQKLFATPRHTELFIVVTMYNEDDFLFARTMIGVFKNI EYMCSRPKSQTWGKQAWKKIVVCVVSDGRAKINPRTRAVLAGLGVYQDGIAKQQVNGK NVTAHIYEYTTQVGMELKGKQVILKPHSNTPVQMLFCLKEQNQKKINSHRWFFQAFGR VLDPNVCVLLDAGTKPGKDSIYQLWKAFDLEPMCGGACGEIKVMLDRGRKLINPLVAT QNFEYKMSNILDKPLESAFGFISVLPGAFSAYRYIALQNDKNGDGPLEKYFAGEKMHA NAGIFTANMYLAEDRILCFELVTKRNCHWILQYVKSASGETDVPDGMAEFILQRRRWL NGSFFAAVYAIAHFFQLWRSDHSAMRKFMLFIEFIYQTVNMLFAWFAIGNFFLVFRIL TTSLGNEDLLGTAGRILGVVFEWTYLLTLVTCFILALGNRPQGSGKYYMTMVIFWCFL MVYLTFAAVFVTVRSIQAELADQDFSFSTIFTNSQFFSMIVSLLSTYVLWIVASLLFF DPWHMFTCFIQYIVLTPTYINVLNIYAFCNTHDITWGTKGDDKPEKLPSANVKADGKV DILIPQDDGDLNALYEAEIQKFSIKAPKEVKKVSEEEKKEDYYKGFRSTVVLVWIFCN FALAAVVLGAAGVNRIEVNQDPEEQDNKTTIYMAVVLWSVAALSLFRFIGAMWFLVVR MFRGV EPUS_05074 MNNSTSQNMSTSQPQRNDGSALGEFFNSGLQTYVNYPSENETAP EEFMWDPSLFTNSQIYSPPAATHTPAWNQNAAAQSRDPPLTAYGALQSSFQLGQYGQP SFDLRQPSPQPAHDPRLISRPSPSPTPYSHHNPQSAMLYRDLSYQNQQQFNPQSMVYP QRPNSTSTPTFDGHANRSPYFNYGSHMAGQSQLQVNPLRHQVLPNAYLPQSLDLTNSL GGFPEQQQQRPAAPFIDPSFLTANGLQRQRIDSAQNIYPFLGQENVHSQPGGQHTSLS TTPNPVHGSNVPTSQTGAFMGVFVPHKTDKATAKVRKPKDPNAPKKPRGRPRKDASQT SAKQETDASTSESDSDELQGEEKAEPLPDLLRDPRPESADKVIYDVATAVWSPRNKPA VAENVRKGIALFGDVVKQQRDAWKVKNEALKQAELANTTAIPSLKQEVAQFRKTIETM ADQALRFGHPAHLAKLGENPFTMSALQSFLVDRINALDMDGDLVKSILKLMDRFTTLD EEMLEKTKTSKILTRLTKKAGNEVKALAQSVLDHAAAASKKKAASSTKVEGGDSPMAM NDPKTASAAGLKRAREADGGSLPATKRTVVLTTVKQASKPLALQNAERKKLELAATKA KTPATTVNGTSSTAPAAASKAAVAAAMPPKPSPSPFSALMSASKKPGTSIAARAAAAK EKASAAPAPVTKKPSPPPVAVVKSEPAVAPVAKSTFSFMDTLADMSRPKQIEEKKVDD RPPETEEERKKRLRKEERRKLRVSWAPENELTQIKLFIHDPEEEIGQADSAMRDVDDV GGEGRMLKLHKDLEDLDDDDDGRPNAEDMEPYRAPTEVDFTVIDEAARTGSFLRRGGS EKPESAEKEAQDLREQNTLMVVYALPSDVPPTPKEPPAAGDEDDYTPLTSFGEPEEKT RHREKQYYEQIRAPPQQAAATTPDLNAILNLMKQNQQPLAQQPAYNTFTPQPAPQQQP AQGVDISKILAVMSQMQQPQPQAQPVIPAQPQQQGGQVNLAALLAQMQGQTQPTQPTQ PTQPAQPLAYGYTGNPNPYPGADTEYSRKHGRNESTSEYEDYAWKKKKPASGSGGAGG GGGNAGSAKPHPKAKTVICKFWQEGKCKKGDDCTFIHE EPUS_05075 MAKSQSLRDRQIASIERILNLNSQSPESKANHEAHTNGLIPQST AILNEDGEPIWKVLVFDNLGRDIISSVLRVNDLRSRGVTIHLNINSPRYPIPDVPVLY LVEPTAVNIQLICSDLARELYSPAYVNFLTSIPRPLLEDFAAQIAATNTAESIAQVYD QYLNFIVAEPDLFSLGMGKEAYWTLNSAKATDADVDSSIDRIVSGLFSVSVTMGSIPI IRCPQGGAAQDIAAKLDRKLRDHVLNSKDNLFSSANQAQGTVTYVPSSRPVLIIVDRK VDLIPMLSHSWTYQSLVHDVLMMHLNRITVPVSEDASTTPKTRAYDLNAGDFFWARNA SVPFPQVAEDIDSELTRYKTDADDITRKTGVSSIEDLNDNSSSAAHLKAAITLLPELR ERKAILDMHMNIATALLKGIKDRQLDNFFQLEENITKQTAQQILQLITAEDKGNDPLD KLRIFIIWYLSTESEPSKAEMNRFESALTTAGCGDTIPALKYIQRVRLETKMTMMTTA PTSQQSSSAATQQPLFGGLTSLSKNFTDRLSSTAFGNVNLDALVSGVKNFLPANRDLT LTKILESIMDPTAASSSAIAKTENYLYFDPRNAHARGTTGGPGVASARGGGATMPGGL GSAGPGTGASFGQRRQGFNEAIVFTVGGGSMDEYGNLQEWVKRTSISGGGGVGAAGSG GARNRRVVYGSTEMVNAREFLEELKKLGMED EPUS_05076 MPAISSDGAVPAIPAGADSSADRLPRKSHEKTLKGVFAVTNGTG PDRGLPEHKPDPFKALNGLKNGSFHSLPIGTPPGSANGGRERSHSKAGSTRSIGSARG RLAEVGRLSLQEERHQRTPVQEYLPRGHVNGVHGDITKTPSKQRQEGHGEYFPPIDSR PQDETSAAHSTVLAHQDAPDSITNQLPPNRFSSPPGIFTSISQDASSAALPRLAHRHT LTIPKSSTTNTRPSRDFSFNAAQPEDAISAAGRTSPVATDQPRNRRASLGLARRTTKP LPSDSHPDEYPPDEDAMRWTEAIRQKRASRRKRKEEEDDDRVIVGTKVDQGHVNWVTA YNMLTGIRFTVSRTNAKMDRELTEADFDARHKFSFDITGNELTPSAKYDFKFKDYAPW VFRHLRSIFGLDPADYLMSLTSKYILSELGSPGKSGSFFYFSRDYKYIIKTIHHAEHK LLRKILREYYKHVIDNPDTLISQFYGLHRVKIPYGCKIHFVVMNNLFPPHRDIHQMFD LKGSTIGRDFPEEDLAKNPRATLKDLNWLRRNLHLELGPGKREAFLEQMKRDVSLLQR LHIMDYSMLVGIHDLEKGNEENVRENMLKVFQPGGERKEGDMTNMLTRTPSRMENALK AKQLRLTLKKEKPVPMGMVQAKMPDEMADERKDRIFYSDDGGFQATHDNGQPGEVIYY LGIIDCLTHYGFVKHLEHFWKGLSNPKNQISPVPPHEYGERFINFITGITMTEEEAER KKASGSEHAGQSFDHTVSTVDGATRSIDAVRMSSHSDRQPRSPVDKTMDKAQKQAEKS ERKGAHEQAMPDRTLGTMRSSSTDRTHGGAGSTLPVVEEAGEAGSTGGRSRNSVAGNV VDEKERGRPEDEASQGGIRRVISNEEPFTEKADADGFAGAPVLPPLMTSPAMTDPEKS LGSGGLEMPEGLDMRHGV EPUS_05077 MPAKKAAAPAPKKAAATPAHASYKDMIKEAILNVSQFSLRQGAS IGPLLRTTAPITINMPSFNALKDRTGSSRQAIKKYVKANNKGLTTATDAQFDVMFNKA LKTGVDKGDFTQPKGPSGPVKLAKKEAKPTAAKAAAPKKEPKEAKPKAASPTATTKKA APKKAAPKPATTKKAPATKKTPAAKPKANTAKPRAKKTAKQPTTAPAVVDVPTSLTKT KSGRVTKTKQTAPTAAKKAAASKKTPTKKATPKKSATPKKTEAAA EPUS_05078 MGIKQLAKVIQEEAPDAIKAGEIKNQFGRKVAIDASMSIYSFLI AVRSDGQQLMSETGETTSHLLGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKRFA RKHEASEAHDEAKETGTAEEVEKFSRRTVRVTKDHNDECKKLLKLMGIPFIDAPTEAE AQCAVLARAGKVYAAASEDMDTLCFNSPILLRHLTYAEQRKEPIQEIHLDRFIDLCIL LGCDYVDPIPKVGPNTALKLIREHGSLEEVVSSIEKDPKKKYTIPADWPYKEARELFF NPDVRPADHEACNFTWDGPDVEGLVKFLVGEKGFSEDRVRNGAQKLQKNVKTAQQARL EGFFKPMAKTDEQKASLKRKHEEKLADQKKKKKENEKAKKEAKAKPKMNA EPUS_05079 MGELGLLGATIKGHGCAGVSSVASGLITREVERVDSGYRSGMSV QSSLVMGGIDEFGTQEQKDKYLEPLRQGKMIGCFGLTEPNHGSDPGSMETTAKPHTSK EGYLSISGAKTWITNSPIADLFLVWAKLQETGKIRGFLIEKDQCPPGALEAPPLKNKN GLRASITGMIQLDECPVPKENMFPNVEGLRGPFSCLNSARFGIAFGTMGALEDCISRA RAYALERRQFKNNPIAKYQLVQKKLSDAVTDAAYGILAATQVGRLKDQGQAAPEMISM IKRQNCDRALVNARTLQEVFGGNAVSDEYHIGRHVANLFVTQTYEGQSDIHSLILGRA ITGIQAFA EPUS_05080 MALKRINKELTDLGRDPPSSCSAGPQGEDLGDSPFQGGLFFLAI HFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKVLLSICSMLTD PNPDDPLVPEIAHVYKTNRSKYEETAREWTRKYAT EPUS_05081 MAQDLERYSTFSDGRASVSMATESERVRWPPLTRLLMSGEMSGE PPREFTLKERFDVWMVNEGYRRLFVVVFVLVHLMIFAFAFLNFDLKDNLTTARTTFGT TFVIARSAALVLHFDIALLILPVCRTLISLARQTPLNGIIQFDKNITFHKLVAYSIVF FTWVHTIAHWNNFAQLAAKNKLGFKGFLAANFLTGPGWSGYIMLIALMIIFATSIERP RRANFERFWYLHHLFIVFFVFWSVHGAFCMIKTDSAPFCAGTGVFYQWWMYSGFIYLA ERILREVRGRHKTFVSKVVQHPSNVCEIQIKKEKTKTRAGQYIFLCCPEVSIWQYHPF TLTSAPEEDYISVHVRCVGDFTKALAKALGCNFERSSGHDKKQGIGSEVVGVHDGAKE NDIDPTIRKVLPRVYIDGPFGSASEDVFKYEVAVLVGAGIGVTPFASILKSIWYRLNY PQKKTRLRKVYFFWVCRDFGSFEWFRSLLLAIEAQDLQNHIEIHTYLTAKIKPDDATN IMINDVNSDRDAITGLRAPTNFGRPNWDMVFRSIRKIHSPAEAGVFFCGPKVLGSQLH VKCNMYSEPGFNFVWGKENF EPUS_05082 MEVAGLAAGAVSLAGLFSGCVDCFALIQAGRAHGRDLEILVTKL DVEKTRLLQWGDAVGLLSTDPKNRNALLDTDHTRPVIERVLQCIFMLLTDGEKLRSRY GMLEDKQGAESNNIPIESTMVSRSRLSAFRAAYANFRNRITLQQRNANHLAKVKWAIR DREGFSNLTRDLHDFIDNLRDLVPVAPILTRLLVKEDMDSLPDDLGMLRLVDEACRGR GDEWSDAATSRLQNTEIASEDAQRIMEWRQDIQRGDGLTRTSPADTVGSMAASPGQEM LPRAGKQSVDGDAQPETAGWFPHQNRLETKSLLSADSSLGSTLSHAHRKSMWVLHDDA LERHLHENGIHLKYQSLLDDDCIKPNNITYIKRQLTKEQLGLPSFQDFKHFKQLESRE IGVDARLNMTIQFLVGDRTPRDIKAVSSLTSHTFTSIEPLTDGRIPTPTPDFCYGASI SQLNIHATKELSRHILPSRSMNCPIAPNFFLEVASNHRDTAELGMTACYHGVVGARGI LGLQCYGESEYIFDGCAYTLSATMDDTTLKIYAIWPTAPSSPQIHDYQNRADFHEALA GVWLMTYSVQCYYEGLTALRNAIRLTEEWRRIFIQNANAKYETRVKH EPUS_05083 MAQRPPNMRYEICASSEESKVLLETTQDVNEQIYMVRKLRRQRS ANLTPSEKTWIDSAIENTSNAVSGITHFVEPVPESPPAGRQWMPGPSFLQQLKYLIKD SPQLSAQLVRLSVAAQLLSTAMSVLSACVTVTSEGQVRSTGAGTNTVDSSWNELSEFI HRRRFNSTPSLAGDKRIPPAHGAQSGSPQSWNNVQPEERSPTEDQWSESSPAASARSS GSATCQTQTSSDGLKGPIAVSPPVQPGSQKCTTRSAEMTDRYDMDHGLTLIIETVEEW RQTTERALNDINALSRALTSGMMDRSISILRRTQPLRTPEGQVPFQKPVPARTLSPLP PRPIIELSLRNSFDKESLPHDNYKTPIPGARFLVLLKIHPKHPFPHFHPRHIGFQEDV LGWKEEQNPLERKWMRLAHLKNELKFQSNQSILRLNRYVV EPUS_05084 MAGFTTFTYTGTVNLPTPKAFNTGDFVPGSLSIEGIQNSIAFGA TTSVSTLLTTNLAVLTASFSVEQGQLPTTTPIRIATALGSFWIPPYEVSGTQIPIVNL TSGSTQPSSTQPSSAQSSTGEGVTSTTGPQSLTPTNSTRANPSNSTRPTSTSRTDTPQ PEQERYGVGHLVGTAVGCLIGGALLAAAALFLFMRRRWRNRNDLSEPVREKSALNGHG RAVYVAGSKAWECHLPQSESDGTIRAMAERTLDQIEMHVENFYKDTANVHIGPEVQSK ITELDSRHLPGPVLSLLPQSNRPTVLIKHCIGNLVISRIAAAEATAHSFLPKDFHTPK SSSTVQSKPAFTQALSSWRVLTAYLSVEPEHDQAYHEERDQSIAHAAQQVYQAFGPWA RNTDTEKTRSQNLVGIMKRASELGTTLFSQPSTFEWQWQRHAQGREVPVSHDSTKIVV LPGLYKTSDQSAQEIAPPMCVIEPRFGAF EPUS_05085 MRPETIQNWPGNPRGGIADKVDSKISYDATGQVGAWGFMVDASL NLNNQRCEELFKLYLDPDFQDPYEGAPSFREARTWFTDYLSCVYRAVTRHLSDTIPKF ASKHVEFRFSVPTTWKNPAMIAETEQLIRNAGFGGESSKHTIEIALTEAEAAAVYASK QHYEEGDVFLVCDAGGGTSDVNILKVVSSGVGQTELEPLSWVEGRAIGSTLIDFRVEK LIAERLRWIRHYLPAEPEAVAFRMLRESSRFESFKCNLGDEAMDLPSLRLPVPGLAYG TNLEIAHIEDSQMIITKDELQKVFDDQISKIINLIDEQLAILQKTNPQETITYLILSG GLGSSPYLQMKLREHYQAATARFSNAQHMQVLKTPKPQLAVCHGLVLDRVQQIKDDRV VYKERYCRNSYGVVVRRAYDPNIHFNEPVSVDPRDQKCWVENQIDWFMVQVSGVLCKI WASSNCGQGQKVSVQQGVKQHYSMKVQPGREHQLWNTEVVMSTLPADLLPTSLNKSGA QRVCKVESSLPQDMKLKNRHWYNARPQYLRAEFDLQVLIGPADLKFQTLSKDGIISRD HAAINVEWSSSSKDASQPEIAELDGNQAFDAQSKVHRWSLRPR EPUS_05086 MPSSITLTVSHLPTSTSFFLSALQPLNYAYRGRSDNTIGFGSTL HPSAPPDFWITQEIPGVPAGAAHIAFEAPSTTAVQHFFTAALKAGGKIHGEPAVRDES GYYSAAIIDFDGNSIEAVFRPSNSDDKENDVKSTVSSKAMTKAVSEVRSQASRAPPSV VESCISRSALPSEAPTPARKTPSDMVEGIVSVANVARNLVQSVSGSQPSQPHPTGNGD GNVIFGTLLGVAAGAALHYAFSHDKDTKTRPGLYARSATEPTMPVHHEPYGQLEGPKY ITLEDNDYASTIRPNHSTAGSYRNSVESQRVYATGFGIGSIAPSKASKASQRTSTPKM IEAPPLAPPSTIHHAPQSQISRSSSHHNQAPSMSPSHASRPSTTRRASERSNAEPPVT TATSRHILRTTSESQIHRTSTYPPPSAAATSRTLTATLRPTPLSEANLARVTSASTAP PPPPPSLPLSLHSKNKEPEPYPIDLDGRSRASKSRSKSGSRHGSKHGSSSKAAGSTSS RKKMELEVTPEDSVSQVSSVRSASTVRHRSRK EPUS_05087 MLLPIILVSLSLSVPSLAHFSLVSPYWRGNSYPTQWERPCGGVN QSISDTNRTEWPLDGGSVVFRGSHPWAYTFVNLGIGGDNTTNFNITLVDGFNQTGNGT FCFPRITLPADLQVSDGTNASIQVVQVNEHGSSLYNCADITFSTDARTLGADECSNST GVGGYFFDAQPATSSTQSGTQSLPPPELPRLVAEQHVPISATDKDTQRLIVVLSNASL ETYRASHGGRTGGRDEKFSLLNSDEHIGVMRKMNRDISDARPDITHQCLLTLLDSPVN KAGRLQIYIHTAKGVLIEVSPTVRIPRTFKRFAGLMVQLLHKLSIRSTNSQEKLLKVI KNPITDHLPPNCRKVTLSFDAEVVRVRDYVQSLGERESICVFVGAMAKGKDDFADAFK DESISISNYSLSASVACSKFCHAAEDVWDII EPUS_05088 MALLDDMDANGALLCLRPIQIERRLKSWGPLAGGVNALHTSQQN TIHQAGTPATSSRRDQSATNAENYARESEDILEIENQPQLLRDRPAYSNQKDMPTGHQ THWEELRSLEPISVVSPKTRSDLLKGVGPLSQAEQACLADLFEKIPLGQFDNPSKAGL GNFSPERRYLKNILPYLRGYMPELLESIQHPKRPVCNESKHTTPLSETCNPSDLVHDG ILPQHGIRDSFAGKQDLELTDGYDHHGNNNRAKRVWDSHSSTQADSPKPIGPNKRRVA PQRQQIRCTSPVFFTTARTSTSVMAGAKSIQQRQRRRYSFHLGENKENEAPEPSDFMK RRHSASSMSFRRITGMASCSGESSYAVSDIVLDELRVDASNVIAGLANFVPTPTPNSL AVEMDLLGIAVED EPUS_05089 MDQSTLQDGRKTKISEPKHTGNNNGSQEEASKHTFKATQSNTGH ISDPSYNDAPEGNLLARNLPHPITSKRLVLVDRSGFAEPRRLAASAVTRDNLRQQQSL LAALTPMTLWESESMKTGVWNSVGAVVVTEVVDAVCRWIVFEEE EPUS_05090 MPSVVARPAPSATSTASPLSPRRPSISLLSRNIDSVILGNVQFE TWYYSPYPDSIILSSENPPTNLRNGELNGRTVSPSASSAASTTCPVLHVCPYCFRYTP HKLAYVVHLRHHEQLRKQDFDLPPVPASAMPVYSHGGYSVWEVDGETEKLYCQNLSLF GKLFLEQKSVFFDTGGFLYYVLTYTPPEHAFSSGSNATNQEHMHGDGREDLGLKTEVL GFFSKENPSWDSNNLACILIFPPYQHRQLGKLLMSVSYKLSGWEWEGGVIGGPEKPLS VMGRRSYLRFWSERIARFMLGESADADGRKVFDDGKKRKGRKAAVMKKALTVKEIGER TGMLAEDVVAALAEMGICEMMMPKKARLKKSGEVNGIGRMAAVTGNDVEEDVVTMIVK RSKVAEWVQKHNVDLHDPVREAGFIGEWAMSEAETNPEDIEMEEHDGQ EPUS_05091 MSFQDDVSASTSEGAAYPWILEHLLAYPGSYEIPLRTMYTLNAT TQAPQPQNPSSPTSSSSSSVVGNAFPAQQKDEQHNLTTATAAAQLRANLMSHISQIPS QPCSLPPSFVTSFVRRCFPPDLDQVDFPQALTALDYLKDLEIRRRREVVAALDRLGID RADFGERSVLGRKYPGVLRWVVDIEDKERKVEALYTQVFLGLRRWTLVNELSLLPFNK ANCIAMLNTVYPPAMIPNIQSVQPTHQLTPAILSAQRNGFFRYITAVEKNGTAVLKCL INQGRRAGEETGWPSVRETMDKYLRMASGIIDECFEITGKDSVQTPVSSTHSGTENED EKQRRKVDSGISFTSSSNRGSLQSHQTRPSTSSSINTHSRNQSVDKPLPPYPQQTVHK PAGSTLERIAREIRKIRSRGDVLDGAKSKPNTAVAADVSVADADAGPPRTPGKDRKLR LRPSLMKMRSNNALREKDGNSAGSRPVSRDDGPIEVEAPSFDVEEMKRNRMVWEAQQK RKGSRDKVVFMDVDR EPUS_05092 MTSISSYVSSAADVEPTLRRFQEMIAKYQFMEANVSKRAAGLRE KLPEMESTLSTIRFLRRRKAKLEEGDDDDDDDDEKEEAVADLETTFSLNDTLYAKAKI APREIEEVYLWLGANVMVAYPLAEAEEMLKARVEKAEETLKACAEDMEFLRVQITTVE VATARVYNWDVVEKRKRKAIAGKEGAEGEEEGGQEEG EPUS_05093 MSIRCATKTYNVPRTTLRDRMKGCTPNAEVRKAQHNLTLTEEET LVRHILDLDSRGFPPRIDDTRHAKPVGKQWPYNFVQRRPELKTRFSRAYDFQRALCED PDQINAWFRLVVNIRTKYGIQDCDFYNFDETGFMMGVICGNMVVTCADQSGQAENIKA GFQGTGLVPYDPQAVLSKLDIKLRTPTPTGPHNSNNAVSQLEHEVEETSEEDSDVEDN EPUS_05094 MIFNKAILLFALSAGLLDVATAQGRQGGNEVAAAGQNGANAGAG GGNFTAGAIKPPFGNNTAGGDNGAGANNANGVNRVANDANGANENEAADGQNGANGQN DANGQNGANGQNGANGQNGANGQNNANGQNDANGQNAAGQDGADGQNAAAGQNAAGQD AANGQNAGAGANAGGQNNANGQNGAGQDAANGQNAAGQDAANGQNAGAGANANAGGQN NANGQNAAGQNAGAGAAAGELALDPANIQEASNNDGNANAEEGQSPSITDPANFINFC SGKTPTNGQQLEGGSCNGIVMGDIPAKDNMVSSMITFPEPGQDIAADEDFDITVQVNN LQAGTFTNPDTTYYTAPQQLQGGIIVGHTHVTVQDLQGNLNSKTPPNPATFSFFKGIN DVGDGNGGLSATVAGGLPAGTYRVCTMTAASNHQPVIMPVAQRGAQDDCTKFTVGGVG ALNADANAGQGANAGQGAAGQDGAGQNAAGQDGAGAGANGQDGAGQNGAGQNGAGQNG AGQNGAGQNGAGQDGAGQNGAGQDGAGQNGAGQNGAGQNGAGQNGAGQNGAGQNGAGQ NGAGQNGAGQNGAGQNGAGQNGAGQNGAGAGAGAGGQDGAGQNAGGAGAGAGAGGNDG QQAQGGNRRNRDRVKFSKRQWIA EPUS_05095 MAAGPHTFMTVNVFTAEKFKGNPLAIVAVRGDALSQAKKQLLAK EFGFSETVFLHDAPVRGMPRRLDIFTPDEEIPFAGHPVLGTAAYIFGCIDIQPYTGPE DRENRQAAVLLTKAGPITVFSNPYRQVQACIVPHNVHIHQHKITLDDIIEAQPRCQFI PAMEMLKKRTFPIVSIVKGMTFGLVDLTDAPGAMAVLGAERSPVAKLDEGWNAGLAGC LYYRREGVEVKEGEPTIHKIHQRVMVSGMEDPGTGSASCAVACYLALNIANSQSARKT RSLGQGDASQTNEGIEARTRSLSLGPKKEHFVFGIEQGVEMGRRCQICVEVDITHNEE GHRQVSAVMLSGRSTFMTKGELIGVY EPUS_05096 MKGHVLLHQKTFFNDSPRLFSTSNICAECCLKAHLPRYFPSTRR ALSTSKRNHTAWGAAVAAVQNTVSEVLPHRKAPANITIDPLRIVAKELKFLNKNIRQL LGSGHPILDTVAKYYTQSEGKHVRPLLVLLMSRAIAAAPKRTRRDTLIPDSRAIDTPM SPPKVLYDANPDQAGHTPITGPSYETDYSFPNDGSILPSQRRLAEITELIHTASLLHD DVIDHSTLRRSEPSANILFGNKMAVLAGDFLLGRASVALARLRDPEVTELLATVIANL IEGEFMQLKNTALDEKEPRWSEENVSYYLQKTYLKSASLISKSCRAAALLAHSAPEVV DAAYAYGRNLGLAFQLVDDMLDYTISGSELGKPAGADLELGLATAPLLFAWKERPELG RLVGRKFIEPGDVDEARSIVLQTDGLEQTRALAQEYADKAVSAISSFPPGEAKDGLEE MCIKLMKRRR EPUS_05097 MVEYLISLGHDTSGPATTGLISWHSVTTLGPSYNTDGDTPLHLA ASHSQTEIVELLCSHFPSTINHANNEGATPLHLAIRAHPPSSALTSTQPVKISSKAAE DSSTVESLLAHNADVHARDSNGNGCLHYASTWGNLKAVRALIQAGADPLQRNNQGWTP QSYSITVQAEVYYKNLVAEWEKRRAEEVIRLKERRGKGGGGLRLVSDDGDYHQRNVTD GDEARARAESTDSIASSATGDELHVSLRRNDTWK EPUS_05098 MASQAQCLYCFECLSASFENRDPPTLGKVERLWEDHQNSLPSED EPSNETPEGQPLEEDPAMEDSEDAEETDEEDGLDEEDEEDESRGSIEQDSTSSTQPPP ARPQIPSISRLQASTPSSQSCC EPUS_05099 MDHSEPPSKRQKILRHAKPELVLVEVGKEREKFMLPESLLCHKS SFFAAALKPDSSFPKAKHNVIKMPEEDASLFDDFVSWMYNEHQTPRFDLNKHGTVENT MEELIHLFDLGDRLGALELQREMIRKFFNRLAGIPAMCRYFPHHIVDLIYQKKSAGIE ILKEIATDYFVWGRRTHWAEDAFQCLISDQPEFGRACMKKLGTIALQEQKSGKRVKNP FHGTAHHYVTGFDAHAWSAIT EPUS_05100 MATETARKRLRFNDMGTVTTIYVGPEEEIFIIHSSFLTEASAFF KAAITGNFKEATANAIKLPEECVETFEHFVRWLYRGCRDELIPTNDLSICGARIKNII DLYVFADKIGCQELKQVMVRELYLLVLKSGSLCYPLDSVGYLYSRPITAEPLREITVA FYVWYINIESFEANEDVGELLVKAPEFAKEVLIEMSRRYGKKKATNPLEKGVDHFLGK T EPUS_05101 MKREAKRRKLVNEPIAIIKVGSPPQNSHVHKSFLCQASPFFRAA LEGSFKESADSVVHLPQDESSTFDRFLGWVYWKEYDVMDLVKGKSNKDYWSVIIHDHI FADKIQVEGFQNHIMNAVIGAYKTLELKPMGLESVLEIYTHTPESCPLRKLALTLYEC VTPDWFQTPAALNGLKRVPQFAAELVQKLAGSGRTKKDFRTLRAEDFYDKRDRNADAA CGGMERS EPUS_05102 MQLPPFGPPLLDGDNAPTNEESDLIKRHCSELQHLLRDPRFRDS PLVMKLQENLSERALFTDYPNAEADEDQALSATNAYKKELEDDQWRYEHVLDSSRIHV SGRRQSQLEGQQSNQRRPRQVPGMPQGEKLPSNHFRETSMSEIEQPSQKPSMNKFYPR GSRAAGLSEMRLQPPIEVKMTKMGLDTKPSELRPLKSATSRENGIEITSEWAAQETPS RVGRSEGILFDRIISGSDPSITSSPKANAHAKAPSRSIDPKAVVAAQPDNGHPRIKYA PPLDARSATQVGTASSQPARQPQTKHQGQPAKPQSQGWAHANPQAYVETKDQEKQTDV DEGVVNRQRGFQGKRHVHEGEKARRETGSLGQGSGAV EPUS_05103 MASQPGTGQRPQVQPCRYKTGKTLGAGSYSVVKECVHIDTGRYY AAKVINKRLMAGREHMVRNEIAVLKKVSMGHQNILTLVDYFETMNNLYLVTDLALGGE LFDRICRKGSYYESDAADLIRATLSAVAYLHDHGIVHRDLKPENLLFRTPEDNADLLI ADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKTGHGKPVDVWAIGVITYFLLCGYP PFDRESNLEEMQAILVADYSFTPSEFWRGVSQNAREFIRRCLTVDPVQRMTSHQALQH PWIKGQDPLSPQKGGPVGGQEDLLPVIKKNFNARRTLHKAIDTVRAINKLREGGGLMM GMMDGAMSVDPKPKPEMVNGSRVTSGDDGGNPMEGIEGLAHGSEAPLRAEEKGGSTLR PGTNGRGGDTDEMEIDSRSDARGQTQEQIRLQEKKVREAVSGLWSRR EPUS_05104 MSATQLLNPKAESRRRGEALRVNINAGEGLQDVLKSNLGPSGTI KMLVDGAGSIKLTKDGAVLLKEMQIQNPTAVMIARAATAQDDICGDGTTSVVLLVGEL LKQADRYIQEGLHPRIITNGYEIARVETLRFLDTFKITRSVDRELLLSVARTSLSTKI NAALAEQLTPSIVDAVLAIHRPPEKPDLHMVEIMKMQHRTASDTQLIRGLALDHGARH PDMPKRVENAYILTLNVSLEYEKSEINSGFYYNSAEQRDKLVASERKFVDEKLRKIVQ LKQQVCGSDPKKGFVVINQKGIDPLSLDVLVKNGILALRRAKRRNMERLQLVCGGSAQ NSVDDLDPSVLGWAGLVYEHTLGEEKYTFIEEVKDPKSVTLLIKGPNQHTITQLNDAV RDGLRSVYNTIIDQCVVPGAGAFQIAAAAHLSSESFRKTVKGKAKWGVAAFADALLVI PKTLASNSGHDIQDVLAALQGAHAEGNMVGLDLTTGEPMLPVQEGVFDGFRVLRNSIA SSQGIASNLLLCDELLKARQMGKQSGPGGPGGMEE EPUS_05105 MPARTRSADHQHDIYVRSSNRLILCFDGTGNVFQGTPGDTNIIK LYNMFDRTNVCQMHYYQPGIGTYPAEGPINVSWLRSLMRKLEAVIDSALATSFDSHVV AGYRFIMRHYRPGDRIYIFGFSRGAFTARFLSRMISHVGLLSVGNEELVPFAYQVYQK YEQNRGGTAAQQEEHVKFMNSFKRHFCRTEVEAARKAHEDISENESGIKVHFLGLFDC VSSVGNLDIPFFQKTPPLPAVRGTAKHVRHAVAIDERRVKFKAALFSQEQHEAPEDIK EVWFPGNHGDVGGGWNVADREDGSAHENNDNDYFQLSDIALKWMMDEVDDVEASRVEE PTDRLAWDSEEKANFLRRFQQNKDEMISARMHDTLTWNGGSTNKLKVMLWNFIEHLPF VIKRWEYVESPPKSGSYIWDYIGWPPNRGERRDIPSTALFHHSVISRMRLSPDPNNYR PKHYVPTNSLWVHAGGAHLAEPTNTGTAARDASDQIKVVERNLSELANQYRLEDGADR DYGAQPLVKKPRIYFEHAEELTRGSVAIEGKMVVTGAEKWDRIYRVAMEVN EPUS_05106 MSPKDFNAKLEIGDSYTSSPKKVRPSLLSNPAAVVREILADCAA VRQKYHFYQLLPLLEDLVKKGEPLDDKKGTTEQLIEILTLLPAESQLRTDLTNTLLDT LWDNLQHPPLSYLGGNVSYQTDQEVEQAKVGKSNTKTSSLDPESVEFVSPVDANIKLV ETVPTPPNAVLQYRTPDGSYNNILSPDLGRAGTPYAKSVRTEKKLAGVKPDAGLLFDL LMARGDKPGDFKENKAGVSSMLFYHASIIIHDIFRTNRADTNISDTSSYLDLAPLYGS SLKEQLSIRTMKEGKLKPDTFVEKRLLGQPPGVNAILVLYSRFHNYVAEVLLKINEAD RFQLAAGPDQGPVQYAKAIAKQDHDLFNTARLIVNGLYINICLHDYLRAITNTHASAS NWTLDPRVEIDKHFDSQGTPRGIGNQVSAEFNLLYRFHSCISKRDEAWTNKFFTEQIF VGKSLEEIYKMSEADLMKGVLQSAQQVPSEPEKREFGGYKRGADGKFKDEDLVSCLKA SMDDPAGIFGARQVPKILRVVEILGIVQARKWQVASLNEFRDFFGLKRHQKFTDINSN KDIANILERLYAHPDMVELYPGLMIEDAKPVRSTGCGICPTYSVGRAILSDAITLVRS DRFNTIDYTVSNLTAWGYNEVQQDYETLGGSMFYKLIQRGLPGWFPYNSLNVMQPMYT KEANMRIAKSLKTIQLYTTKDPAPPRPVVVLTKHDDCKAVLEDHKTFVVPWLPAINDL FPGERDFSWYMLSGDGAQNFANRQMSEKIFYGAMPSLLPTVKRFVNQWGRDWISKEGF KMAEGLYEIDILRDVVIPLNARLLADLFYFDLRTDDENPQGSLSHADLYKHLLNIRVW GANNNDPAQAWNRRRWAQQSAKVIIDTTRPLVQEVANGKDTGGMFTFLSSFVWPNGSH GSNIKEGSLRSLGRKLVQAYLANGTSVEKTIDNLWLNGFGAVGTLVTAFAECMEFFLQ PKNVHIWSEVQSMAERDDDQGIRDYFQEAQRLTTRGRNMRIATKSKRIGEKSIAPGSA VVLMLGEAGRDASHVSNPHEFRSDRRSQSATSRPMQPFSDGIHHCFGREIAITFVCEM IKLVAGLKDLRPAPGLSGQIKAIQLGTEKCFLNNTWSYLTFDPTKATRFSLAFRQRAG LSEAEKSRCTEILKKAGKYNILLPRNTARRTLKAMSPIIFDLNCETRYGVFQQYLHRN LLWYVSDNKLERIEYREPEVSSWSRMAYTGGVQFMKITFDGGVWIEDLRFDDERCGVE SIKVSDW EPUS_05107 MADFLLHEGPMGYSLFKVVHQVDTIGNRLKEVQDSVQDLAKFGK MVQLVSFLPFENGKQALEELHDISEGIASDFLRSFLELNLPKTKAVLGVSDKILAGSI KSAFPKIECETGDTSEVVQDMLRGIRLHSDKLLKQLREGDTNTAQLGLGHAYSRAKVK FSVQKNDNHIIQAIAILDQLDKAVNTFSMRVREWYSWHFPELVKIVSENQKYAKVALF VKDKKTLSVDKLHDLAALVDDDEDIAKGIIEAATRSMGQDISAADMENVTLFAQRVIS LANYRKTLHSYLVSKMGVVAPNLATLIGEVVGARLISHAGSLTNLSKYPASTVQILGA EKALFRALKTKGNTPKYGLLYHSSFIGRAGQKNKGRISRFLANKCSMASRIDNFSETP STVFGEALKKQVEERLDFYSSGAPPTKNAVAMQQAMDTVLGQMDIGDPSAKVDEDTEM GDIAPQGVQKQEKQKKDKTEKKQGKDKKKEKKSRSRQDDAVESKKRKHVEVNGIVRTE GKKKKKKSSVE EPUS_05108 MASSTPRIEIYNSPKRKRGALDSIESSPHGSPRISRITTALPEY PFPQELQDRSPRPEEGSPSSRVTGQFRTLDLQGTFVRQIAFTDAGHAKKRFAQANEEQ LSQNTDDDPSPDAQCASSPPDPENNVYPARENPGLEKFTPSSNIVDRPRAHSRSPPLD GDPEDNPMTWHESEITGHNPTDPNDDGYGINGIGFRPTPAIAWARSQRRKQQLAEYRN REAREARERRSQRRRGESAELSAENAENTKKKGAKVRFGDRN EPUS_05109 MAEDTRKSNNAPPNDAPVTDESLPQRRKPKHDFPTTQAGKMWEA FGNPAEPVNEMPGGTYNSAGGKPKEVTWKSAFEWKSGDAKRWYKAPCARDALLVGLGG GATVGGVTAIIGGLKSMGRAANFSVAGFVILSLGQYGWCESRRKEEAKGMAAAVIGMK MLQEKRQREKEAEEAKAVAAAAEAERLKEEHRQKQKSSWSFW EPUS_05110 MPTRKPSKYGNNLRSKKSSYNPRNTKTVQLSALRSSEATSQDEK LQATRLAHSIDESMGFARYESGKKRVGWLCNMHSTTIEDSKVPGGRAGVDFYFLSDDG ENFKATVQYDPYFLIAVKRGREAEVEEWCKRIFEGLVKTVSRIEKEDLQMPNHLLGYR RTFLKLSFANVSDLLSVRKTIMPIAEKNKSSLNAMDTYAEVAGASAGFDIFDERPDEK RSSGIMEASNSIVDIREYDVPYHVRLTIDKNIRIGKWYRVEANHGQISLTCIEDRLQR ADPVVLAFDIETTKLPLKFPDAAIDQVMMISYMIDGQGFLITNREIVSEDIADFEYTP KPEYDGPFLIFNEPDEKAVLERFFLHIKEAKPTVIATYNGDFFDWPFVEARASVLGVD MYAEIGFRKNSEDIYQSNYCVHMDCFSWVNRDSYLPQGSRGLKAVTVAKLGYDPDELD PELMTPYASERPQTLAEYSVSDAVATYYLYMKYVHPFIFSLCTIIPLNPDDTLRKGTG TLCEMLLMVQAYQKDIVLPNKHKEPREAFWEGHLLESETYVGGHVESIEAGVFRADIP VNFAIDPSAIDELLGDLDAALKFCITVEEKKSLNDVTNYEEIKAQISGKLHSLKDNPN RHERPLIYHLDVASMYPNIMTTNRLQPDSMITESDCAACDFNRPGKTCDRRLPWSWRG EFLPTKRDEYNMIRRAVSNETFPGKSKQSPHRTFDQLSLDEQAAIVKKRLQDYSKKIY HKIHDTKTIEREAIICQRENPFYVDTVRNFRDRRYDFKGQQKVWKGKTETLKSSGAST AEIEEAKKMIVLFDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTGAHIIQMA RELVERIGRPLELDTDGIWCMLPATFPENVVFTLQNGKKMAVSYPCVMLNHLVHQKFT NHQYQTLTNPVTFKYETRSENSIFFEVDGPYKAMILPTSKEEDKNLKKRYAVFNHDGS LAELKGFEVKRRGELKLIKIFQTQIFKFFLEGTNLTETYGAVARVANRWLDVLHSHGA TLADEELIDLICENKSMAKTLEEYGAQKSTSITTAKRLADFLGEQMIKDKGLNCKYII SCKPKNTPVTERALPVAIFSAEDSVKKYFLRKWLKDDPGDMDPRNVIDWDYYLERLGS VIQKLITIPAALQKVRNPVPRVAHPEWLQRRLNVKDDKFKQKKVTDLFEKRALGQLPL NILDHRLPGSGDIEDVLSSQLKSKVPEPTKTVQKRKAPDALKAPMDPFAALPPKMPSI QEDYSSWLQYQKQKWRIQKQARTRRRQLFGERPAAASDALSSFFRNQAELLFVNTWQV LQLRETDSPGEVRAFVLIGKKVHALAVRVPRQLFLNLKGQHLPDVEISGCEVEKVNHT LPNGHPSVHLFKLTLSEVSYIQESENVSLLCNHPSVEGVYEKQVPLSIRALLKLGSLC SFDETQKGVLGKGLEQGFDLSALLRSSSKDPYLSDPSELGYLFLYHVVAGEKQVFALF SSERAEAQIVILNRKREAQSLPNVDKIYSEQLLRKTEEGDDPTWQATFQYQDKVHFKT SQVTTKRKTHLEVGDLVKKWRNEETKPMLLVIQSPQQRQLVHDITILKEYPVLALRSE TSDSDLPPLGWQAYAARRSVSHYLTLSSWISHLVELARYGDVPLCNLACDDPRFLVDI AYARRLQRSNVVLWWSDKPIPDQAGHEKDDIRGPLTDMVEMPNINNAGAYSSVCVDLE VRNLAINTILTSSLINELEGSNGSISFNPAADENNNDENGTIASASAFANAPLLVLRE MVKAWWAEACRGNSLADVMVQHLVRWVESPTSFLYDRSLHYYVQTMSKKAFQQLMTDF RRVGSQTVFASSNRLLLQTSKQDVGNAYAYSQYIIKSIQQKPLFHFLDLQVKDYWDTL IWYDAYNYGGKGTSVIDEHTDSTNLETIMHWQLSRFLPLPLQADFDHWVVEYIDLVHR LKRPPITADDSSSTPRPTQLPATFTSLTEDPTSTEVTTILKEPFSKPLKKEIMALIRR QRDELLHPELVSDWSFPSLPGGTLDTPNRNPVLELVKALMQVMSLSKPLQLETRLLRK DLLALFEVREFSSEGRFENPSKSLKFEQLSCESCTMTRDLDLCRDEDVLPSPPGPDGE VVESKAWKCKACGAEFNRVVLEEKLIARIQRWVLEWQMQDLKCVKCGVLQGDTAVFGE HCTCGGKWEGSVDRKGVKERLGVMERVAGAYELRLLEAVLDSIRGMM EPUS_05111 MDASGNVPGASAIQQQQLASMIRPEQVKKLPHLNDEKKQQHEVI IQRLWTALRGNPQGSPEYQKAIASLAQVSTSLMAGMKRYNAQQLQQRQHHEAVIAQQA GPSNEAEGNRPAGLGLQPNQIMQHIQARVNNYPFSLPPTMAEGSPQAETWLREARNRL AQAMQRSEHAQKRKADIQASAQARAQSGNPLAPQEQEALRTKLMQCNKVLAESNSFME KFKEQQNQFRISAQQQRYEAQNPVNTAPSDNANQAEPSMPTGQASSQGGPQALSISSA VSAARDRATNAAANQTGSISPTNSQPQPSQTASGQQPQPQSTPQSAMTPSQQNGAPMP FSAQSALQHSNSNYGQSQPQSATHAHPPQNVGFMNPGKKEERLNIPKNLNVTAPRPVP MPPARPTLNGGPGVGMPGQLGEPAIPTMPGYVLETSEDGRVLSRKKLNELAHEVCGPG EEDMLTPEAEDIMLNVADDFVDDLISTACKVAKLRGASSLEIRDIQLVLERQWNIRVP GFSSDEIRTYLSPCPWAPGLLNVFP EPUS_05112 MRASFGSRFYKGGYVSLLVADVTPLILIKDQSQNRKRKVVAQEL DSLDGLRKLYRDPRRGADANLRLLHVQNAPWATKFLLRKFNIDGRDDLVGSTFGKYVK FTKPDTRGGKPFPNAKSWRVQHDPWRGVSKTSFGFEYLKEYKVDDPLTEGRPADEKLM ELNDYDEEENPAYGYDVYIQRLACYVQHKEDPPTPPFDGANGISNPYKAESDGHALAK KQKEYLPQLSSLDNENAIIIFENSKSGSFEDCLIPARSEWETHWRRLPFYLAYEARDI VGNDDLLGRQCARMILDDVFKALVARWETLLDKCQTHVSILEDKIYEQPADETRAPEL WRNSSLWLRIEKLTTAHMSVVRDMRVRLRELVADLVVPSNTSNSNNNNNTAVDVLSVF NDDDWLEEIPNDFERIATTVEEDLVKPTQALISLLYQSVSIRDSRLSLQIDTSTWRLS WITFIFLPLTFMVGFFGMNVNTFANDPSIKWYFVVSVPFMLLVLAAWYITKHILARQR QTPYQRGIYESFFHDLAVNYPTLWSRVGPRDYIVPRTRLDRWKWWLIKRWTRPERTIK AGQANAETAGPDNLSRWSKCKRYLIRKWTGDITTFMTKTADQQLLDGDDDTDLEQGHG NFIVDSLLGATEMLTVPGQPIASAALERLEQLKRLQRLQQQEADVVRPDEDIAVQAST TEEPPLHFVNANIRFERGLSPSASPVPPRAASGSPRGRRPISSGAGSSGSRNSSVLVE EEDPIWINQCAKEGKGGWYWRGVGSQSQSVSPNPAGRAISGVGDRRRTSSGGSVGVSG RVFRRGIEERVGLVVGGAGEGGTGVVARGSSPLGVMTNIGDERSEKSVDHGREGLGLG LADAHGEEGGDGSSNTAESSGSEPERAAEETAGRDNDKLEHRKEEHKQKEKEKEKEKE KEKEKEKEKEKEKENQRWE EPUS_05113 MPERRSLRSNKPDSIPTTNGEKARSNSSSSNRDKPRPTRSTSSR SKSFSNKKGITSGAKDTNGDQPHLNGSDPTENGVGGADGADGSKVDDQPAAVTGVKKS GKDKDGDEEMTVVVPPPKASKLTASPTKDDGNDVAMNGIDDSESQAKDDVVDPKAKAV DTIKANFGLLERAVSQFDPRFTLRVLRSISSIRKHISADVLAQVISDTYPSSSPAAKG FLDILGKEYRSSNDGSSEMEVDPKPSTKNAATPKEVLPEVDVYLSILCQVLLYDQEAF GKGTEFSDRLVEHIRTLNRRTLDSLAARVYFYYSLFYEHTAPLPPSPVSAVISIRQNL LAALRTATLRKDQDIQATVTTLLLRNYLSTSHISQADLLINHTSFPPAASNNQIARFL YYLGRIRAIQLNYSEAHDHLISATRKSPSSYTASGFYQASTKLLVVVELLMGDIPDRA IFRQPSLERAMQPYLQIVQAVNAGGLDNFNSLVQKHNAAFRRDGTYTLILRLRQNVIK TGIRTMSLSYSRIRLRDIVLRLGLKSEESAEYIVGKAIRDDVIEATLDHEDGYMKSKD VGDVYATREPGDAFHDRIRACLALHDESVKAMRFPMNQHRLELKNAQEARERERELAK EIVDGEMDEDDAGGDFEGM EPUS_05114 MTLLLNHLEQISGSARSIGELQFPPPKIFTNALLGNHEITTLIR DTEPHERALFSVDPYAKNLPAQRRSHRRTTAFSSDEKGGHALFNAGPRKQSAVARVLG SHMLQEIEATRGHASNQKSGRSGGVNVEVLLKGAEKLCAVYPVVGAPERITSLRSRFK QVAESVAVYEARVASQTAQLSRMNKSLDSSQDHEDEHQDEDADAIASANSQHGAAPVT DEELRTEEQAIRELEQKKRELEERVASMEKDLGGLLR EPUS_05115 MGLRDRIQSAITGLPAPTESGYRRRPQAGPPAEVSRPGQRRASS ATSTSNPRFRASRLAIEGDSGPSAQSRFRSGQPAIQKNSTSTSSSTSSSQQNGTTVSG ANLASTRPPPRTTASTPVLPLTRISRSYGNNDKQESFSPNPSRRLALEGSGCTPDSCS TAASRITNRANLATTPKAKESEEGDQLAPLSEREIIDVSDETSLPRAVESAQGQIRDG VQQPVAQQATRTTIPSPPISMLQQKPPLTRTASSGRTGNPNPASTSTNPSKLGAPQPI SQPPGRNQPPERMRTGSSGPPSMTKTSNGDTGQPQALYLGAFDMADADQSAQQRTPTT RGGPTQRGTRSRSSDLGQRRSSSRSSTSTHRTTVLGHGSWFPRPLLCRDGSFNSTHRP YYDSYWDSWGYHYPFDAPPWYPTRYVLPHLQTTFTEVSTNYFEHESPATPDLDTTRGS EVINRYYNGWRDAYTEALSGSCHAISAADRARILANRDGLRSRQDEAIRRLTDGDQAV VRETLDQVRVDRQMAVDMPLAQFRWATPWIKEVWVKEHGEIPG EPUS_05116 MGSVDTELYPQASGAAASLVAVHTAPQPLKLYAGWFCPFVQRAW IVLEEKRIPYQYIEINPYHKAQSFLDLNPRGLVPTLGCPAGPDGKETKPLYESNIICE YLDESYADTAQHGPRLLPSHPYERARCRIWTDFVGSRIVPAFYRFCQHQPHSAYSIEE ARAEFLAHLKTFTAEADAEGPFFLGANISMVDIALAPWLIRLWIFDHFKTGGLGMPGP GQGGQDEVTWARWRKWAAAVEERRSVQETTSSKERYIPAYQRYADNTTQSQVAQATRS GQRLP EPUS_05117 MLLQPGLRWELNQCYEAEPTWTHDPNVQVIVKLARQHLHFSKDD ECTADYFMQGAFNRIYLVRCPRGGKDERSFIFRVSLPVDPGFKVSSDVATMTYVREHT NAPVPRVVAFDPSHENELGFAWTIMEMMPGQPLCHRYRYMTRKQKEDLVRRIAEIVAQ MFRSKFHGIGNLYQAADASPQQTDCDIIGRTPHRAENAHTDESSVVGVGDADLSSSGE AGKNGPSARYRIGRIVQMSFLWHKRVHYDIYRGPFACSYDWLAARLAFVLTDSVAILK NPVLEQRQKFLASKYSLTARRIQKQLPNFFPREDSYPDQVIPETTTLHHYDMSGYNVL VDEEGQLTALLDWDGVSAVPLWKACQMPEFLVSRYIDEMGDDSPKRMDNGSIVSQLAK EEAISLEKAQLRNLFLAEMRRLEPYWVDVYRTSERSADFEVAVQLCDSRSNTDTVGEW LRDIEQGKEYWSLQRRLLGE EPUS_05118 MTEIDQLPTDLQPHPNPLPNPHHRKVELQSPLDLTYLQENIAAA TKQKLDLNFPLDAATRPTTGEGDEGKDQVQNRAEGKEDPMRVRVATLVNQFLNTTFDY ASHSISINGNDVHTALQNPSSAFPSTTTSSNIITHPTTTSSSAISNTQPQSHPEAERE GVHFSYEAYDPRLSTKLASLYAELERETLAVSQLRRTAPAEGARMVGEALLRSIKEDE ERNHTESFSDGQGAEGRLKLDPLPEGWREETAEMYERGLADLRRLAGGLGSAGARDTS SLRSPEGGSLTETVGKVQRARDVTMEFE EPUS_05119 MPGIIYQPLNHKRREIRLLILKSSVTDQPGESSNDRDQPIQYSF HITSLALYSNKFESDIFNNSGIGTAAWGLNELQKFVRRAAGQKPAGYTALSYVWGDPA CVCDVEIDGQQVKIPRNLFEALTSVRVNTSSRVLWADALCINQEDDVEKSWQVQEMAT IYRRAQSVISWLGGATGQTRVAYSVFRDLQGKEDWSNMSFLNAFQSRATSGAAFEEEV ARLVDDPERWTAMLAILDRPYWTRMWIFPELACARNRFFLCGDELLKDIDRTVQRLIA SPHLVGKAALDLMAEERSSMILAARGLTFRSPEGLAIDERKARRPLLVRLLRNLSTLV ASDERDLIYALLSIADDRVVLEIIPDYSKTVVDVFTQAAMALLKQNHLEVFLDAVSSE ASQRLGLPSWAPDWPEWRDLKLNHDLYRVCDYKFKQRSTNLHFNTRHVTLDCYIVDEI MLTGEPYRTKPPIASATMRGFKLCEWLESIESAIWDHSRYIKTQGESSTGRKQDITLR LLSADALFEPPARRIPRNLPPESISDIYHKLRTADSLTALRDYMGQASVQKTFTNVGS YFSAVRHTLLRNNGARPFRTVGGYSCLSVEGECKFGDSVVIVPGLDIPLILRKTEPGH VSESPYSLVGVAYVHGIMDGEYFSQRTPRPERQRVTLC EPUS_05120 MAQSSSRYEAVPSLSSIERLRDDEILLEDLPPLSSVKSRERKII SRRPQFKWSSGLRIISTMIIGFIILFAVIAYLSFIWFGKGTGSYWSRIALSNWVSTSV VMSAVIIRTVVTYHMMLNTSVLALIAMRTGVALNKIPRISSMRYSNSGPLELLYLFFR SAKYCLSPCVFSLTLISGVLTILLQFSSTLLISDLATDDVKTPANSSRTAVMPLIHPE ILSENFYTDGLISSETTYPVFAEFSQREERSNDSRVDDTGPMIRALLPVSTETHRSRI LSFEGNASIYDARWICSQPKVTNISLVWTGTEGLYLTGNVEPLTLVAPMVTANHSTSF NCSLIPTTSFSGIWTLMTCPLIDALRSGANTNFGLMSGIDTAYNTTKVHTILNQTWTK EYTQRTRLPFDPDISMDSGYFNYEGSIGYSDLLINVTNIILPYEESGYSVIRINETVI TDDWYLAANDSSAWNSTGIGPWTRLESTQAVSVEYTGRYKLDNITFPIKNLNMVIDMS YCSDAYAYVKNVQVKAQRKTSKDEPISLSDGILQIGATGNQTDTEERGIMQLDEAELY GQLEAERTEIMRSKSDVPINPVSSDLNQFVTHANLQRSPRAWFPLSLYMGDSLKPVHE SRVGLFQHIIQDTGSPALAMQAMQHTLITDRYYKYMPLFKNESTQIMTFTEQAIQPVK STGFIVVLIGLLLHMALTAFTFITLGGSSGWAKTINSIDQSWQSYAQVLTLRAEVDEI LDKEDSTQAIDDKVEKKLKQQGLANQIFVLAENDDGQVRFRKGLEGLKEA EPUS_05121 MAAPFGLSLVDLTKAIQLAREIYLKCYTEDQGANHKYREFVQHI NTLRSSLQRLQEGFRKYEKQYPRREWHSDPYNTDAADALWPQLTGDFHQTLKECESLL SRHGYLQNGRTTATSNLRWWLSAEGAVDNLMAKLRLHITMVDFYAKPAEFEAFIRNGT QIQQMRRQLANLERVMMNGPGSSPALWECIVSIELKAKLEAELQACPPVWLKDGSSWP LEEGFRALTFHFARGTIHFNPTPQSGKIPELQQYLNLAKSTWILEKLKESNHFKATST ESIWADYMRELEDDLKGQVHRFETGELGKLPAHSLLVLASDAYAISQGEEVNPDPLDA GKAGPIEEKILEIDLSPTTGNRECALLVFRESDADFRLVTSMRQADTLVALYDKEVEL NMARNRLVPAYASPAQGLSPRYNLLLLNEKGKKAKEFAFESPEDVQKLQRALTGYRVH HDMPVARWCINGSKEPGNYGQGILQLWQFKPLPALLDPNSSEGSDSSSLLRIPTSNGQ NGSSVDFLGWEPTPSTPFADAFHENPSSTTSSLAESKSVEAQNIRPGMTVLSSTTRTS GYGTPTTGRKFSKAWSFTSTSRSGSRETQRHFSIASGKTGTSRASVMTPVQGPRGRGT EFVKPELPALILFTKCNARYTFLHLTLDPKIHINPTACKCRNERSGCKRVVIESKNPC FTMRVLTADQKGDDGINSWDLSVFRYPRTEKWQQAIVNDRVKTLELEFATVEERILFV DEVTSLENVRTTDHNEYQEVLRQKTTKKEKGRG EPUS_05122 MPLEQDDQERVRRAMEEVGADKTGTRLLVLNVSESQKLGYWTII CTVINRSVVIGMCALLVWLQFALSVPKFLLRNRNDDDSPAEGESLQCVPRSGGEKNFL EYAYGSNRLRKLRTTCMYGLIYVVLGNLTGNTIAFGTYCLEAAGISGGGDSLTRGLAV VCMTAACLLHASYRQGGIYTIIVIGIFKVCILVAIIVIGFVAMAGKSFGYGSAHGETI VNATSQNGPSNLAASTSFSHAKKDFGSYASSILFVIYTYSGNEQPFYVISEVIRPKKI FAKASISAVSLTIILFMLVNIAYLGIVSYDPELTIQCLSIKDSVDKFNPNLVNDVIMD PTRIRDKCNLDMATVFFAAIFGPGLASRVMAAIIAISIFGNVVVMTFTASRVKQEIAK EGVIPGWRFFSRNVTTPWAHLRQRFWPREGYEPEQSPAPALLLHWIFSMILLGATSGE TADVAYQILVALYAYTIVILVGFFTASGFLYVYFFGEDGHWVERSGFNWGGPFPAAIY AAICAFFIFAPFVPPGEGSPYLNEVKWFIVPTVGLGFMVLGYVYYLGLMHVVPRLFMK NKVLIADREAIIVREHGEYVVYLEIVDASWETRPGSANESDVEMHTFQVKDNKKEENN KEEDSKEEDSKEEDSKEEDSKEEDSKEEDSKEEDSKEEDSKEEDSKEEDSKEEDSKEE DSKEEDSKEEDSKEEDSKEEDSKEENIKEEEDIKERKGY EPUS_09398 MASDEIVWQVINQQFCSFKLKLNTKSQNFCRNEHNVTGFCSRQS CPLANSRYATVRPDPSTGALHLYIKTVERAHLPSKWWERIRLSNNYEKALAQIDDKLI YWPKFLIHKCKQRLTRLTQVAIRSRRLAKEEERLGEKIVPKLAAKVKRREETRERKAE SAAKVERAIERELIERLRSGAYGDRPMNVEENVWKKVLRGLERAEKGEEEVEGEELED EEAEEGVGEVEYVSDLDEEEEEMEDFEDWLGGESAEEDEDDEDEDSDEEDSNESSEAE DGDEGEPDVGAKRKRQAPLPKARKKPARVEIEYETELPPKEVLLA EPUS_09399 MPRAEIGSTKQISNQMKSKGLQRLRWYCQACSRQMRDENGFKCH VSSESHVRNMMLIGEDPRKAINEFSSQFQSDFLKLLRTGHGEKKINVNHFYQEYISNK EHVHMNATRWASLTEFAKFLGREGICRVEEEEADSNGRGGGLMISWIDNSPEALRRQD ALRKKERQDRGDEEREWKGIKEQIARARKEGQSGEEDEDEEARYLQRKEGEKIKLSFG ARKPASATEEERRNAETKPPSPPLTENEELSSEDNPTLKPDSTLRETNTTSPPAPAKL SLKSSASFTTPNPKNVFASAPKKNPLAMAKKPRPASVLSKPMSEAERIMREEIERKQR REASGGGNAFKRQRVS EPUS_09400 MECQHCGKSFSEVYVVTAGILVHYLGSKTNLAPNTRSAFEAHTS WHTERQAQLQRRPRKYTIHERPPWGSITSHSNRSGPCAPESATTTEFPSSPSKGQNIA KVSVVFSEHSIPDFDFNFDTISPLPPTSSHSLPVIKTLADVVARFPAFDIEKTGDISH TTRDYKCADCEAIFSTQKDCDLHTQSRFCPGSAALAFALYRDVRQPGGYRIDRKYLPF NGQYSSIKCQKCHLSFSNRVQKKVHELSHPLPQIECLHCERLLSTTTELESHYQWHDD MEHRHHRNRCRRKLPGLKLQSKALYRGQSRAGVADFGGKSVFLADEDLSVIPENPVED GRGQDSSEPDRELVAISFISPEDLERIHAPNGVFREVEPFYLHPQKETRTVYVADALD ALEGKSPSQTSSRCTTSSTRKGDQPCNFLKPGEQLERTAPQPNGLKKKPSIRKLFRRT KSEPQLESNMKQRSSFRHTFRRDSAVKEALVVDGHLMLSAVLQKVSTAANKGDLKTVR KECDRLMAMTPPAGSDADSSIIPVQAFAFPEGTLIQDTAGNARYISAETVNEITSALR HTPVTSWAKTKVSVLSTRAFLSSQDGDYGDAFWCYRQVLNLLREHPMLFPDVIWHAAI FSNLAHLSARLNRPDDEERYYLKALAISYNRYGRKDLNNINFLTALAAAYEENDQMPQ AAEVYKRSLFARMEISGPGENDTFMAMQELAAVYSKMGSLTVAQLLYEKCLGGFETQL GLDHKVTLLIVDRLCNIYVQQKAHDEALALYGRAFPYLRNVCEPDDELPRNWLCEYMQ HTQNYDFPPDVSALLQSYRTHPTQKNLWVLQSLARIYMLAGLLPDASDLFDFVYNARR KLQGEYNTTGLDALHGYCLALECMDSIDAAHNAYTNLVQLALRSPDAKDGRTRALNVR ARLGALQERKKVLAGEKEAWGLKSTGPCGTCKYQTNLLCQKCQISRFCSEACRDLSSR SHDLACHPSVTLCQSKSVTVIPNVPHRIEKQVINHLSNQNIHRGMKAKIFRVSNSFTF NYDPRNFTTFRLKFNSLVDTFVSFNHDADIRFAILNPSPPKPSTFLPLESAKHHIRTT TTSSFLRTPIHGLNGSEPPSSRTSWETDTTNQDFQWATPAETETLLLPKGKDVYLVVT PGEKLFGEMVRKRRQVRRGDSAELEHLSVPDEALMRYCQGLVLKGQERRRFCYLVEVE S EPUS_09401 MSRHLPRPAPWAESTTASMQSCIVGLEGWDFASGVQQLRSTSPR TLPVVANFFQGHIAGRIPQAPANTCLGDETSRLLGEYFIVRRPVWWRFQRTCHLKKPR VCPVRNAHYGLLPLRSGQTVLLQGTGGVSTFGLQIALAAGAISIVTTSSNEELAKPKR LGARHGINYRAHPDWAREMKKATGGKGADHIIEIGLLSTWGFL EPUS_09395 MIDQSPPRTGPSSSAPPAPRRPRPDAEYTGPDPPQWDPLIHPAE RPMITPWSQHFNQARRQIIHSWHQQFNQAHQQANAQLQAQAHPAQQEVNLPPHPQVNP AQQQVNPHVHPQVNPAPQHINPHPRPHANPAQQQARQSRSPPQHRGSHSRQGASSSDS QSGTSSGQSSGSSASSSNRGGSGNREHARDDKGKARH EPUS_09493 MTSSTSSMTTKVSITLTRPEDWDEWMLIVNSMARNGDVKDLINP DWPIEPPQLSEPKRPTAADIKPGAASLTELDTGEQKLFTLLREDYKYDMMRYRDRQSA LSSIQDFILTRIDRQHLILLGGKETVYQMLTALKKRLAPTDRAREMDVIRRYRDLQRA PKYQQIDKWLLQWERVYTEAEQLSLPDVQKERPLYDFLNALRPVDTAFVAGREATVEE RIRRGEDLPTVLDMLENYRNHVRISKAFSNKGSSYTAFATFQGSPEDKEKKKQKCPCG EEHLFKECPYLIESLRASSYGLQTQKSNRRSTRSWRRYQSKAAVEKAQKQAKEQKKSN KKEEKSTEKPATAMGAFAVESFSYKLKNCWTLDSGTDTHVCNNRTRFKFERMATEEDV LMAGKTTYAIEAFGRVEITVKTPRFSDESGVPATSVDDYWVLEYGPPEPLDDSRAAFA LNKPSRAPKAPVKATATEWHRILAYARPESIAYLEQSVEGAKVIGRAPFTTDYETCLL TKAHELVSRRTDEEEPPIEPLSRIGERTLRKAYAEVTKKYKITIERSAPYTAAQNGNT ERAGGVLILRARALRIEAKLPDELWPEAFKTAGYLNNRTPKRGLRWKTPLEAILKKRL QLSHLYPYGCRAYPLRKNILHSYKLEPRALIRNAAEKKISELAKKGTYEVILKDKISE LAKKGTYEVILKDMQKEILPLTWVFKYKFNTDGYLEKFKARLAFEAALLKRFEMKSLG ELKWFLADSKGAPKTPLPADGVPLANNDEDSDPQRTHAFQSRIGSLNFAAVVTRPDIA FTTSKLAQNWKNPSAAHIAVANRVIAYLYATRNYAIEYDGRATAQIFMASSDAAYADN ESRHSSDGYLFQLYGGAIDWRAAKQRTVTTSSTEAELLALSRAAKEIIWWRRFFASIR FDTQQEMVINCDNLQTIRHPAYLNSEVRITMARN EPUS_09460 MSDVNDITGIEDEKLRKALVLQSRVASLIESSQRLLDLRRPERP PPHIPLAQRPFEPDRTLLTKEQVRMYYAWSLDRLHGLSAVVRENVQRRMDNAGDSTAD ATTKRKPYRHMIDDFENNEWKRMRWPIGSMHRDVLDSLMNGNLISRQREDVDFRARTA DSTRLAANPAIYLLQIVNVEFLNQQPRPHEGKGLSWREWKRTLQALTQYLTESEAGIH GRDSWVDQVDGSLIDRSREPFGKDQKCRFLTNKRQKEVLHEFVRAAKELYVDKGQALE DVNPADPALDITLARVPQECRFSNTIFGLFHCVLRELWGRDRWEVVSSKLVDVTDPLD APVAECLCSEIVQTYWYQDGSNPALAGGMMVAGKTRSLTSEDYKYYWKESKDVLVRLN ILGDNLAYYKKLREDTGRTLDAVKICSTDQRWDEIEKSQGLLKAQLDHIKERADAIVL TPHIESIEEWVVENNAYLESKYPTKAGEADRSADA EPUS_02452 MPDSWEYPFFAAWDTAFHCIPLAMIDPDFAKKQLDLLTREWYMH PNGQLPAYEWNFGDVNPPVHAWSVFRTFKIERKMYGRQDLDFLERVFQKLLLNFTWWV NRKDYDGKNVFEGGFLGLDNIGLFNRSEPLPTGGVLEQADSTGWMAFYCLSMLNIALE LAKHRRIYEDIASKFFEHFILISDAMTFRAGGAEKSLWNEEDGFYYDAISWGGPWTQQ LPVRSLVGLI EPUS_02453 MGWPQVEPTTSHLTYLIVSVFLIAYALYSELIRNRLHLSEPPLA TLVGIIFGPRGIGILNPESWGWQDDISQEFTRIIVGVQVFATAIELPKRWLSRHWRSV AMMLGPVMIFGWLASALIVYLILKTKFTTALIIGACLTPTDPVLSASVLGEAKFSQRI PKRLRHLLSAESGCNDGISFPLLYAGLLAVTKPTAGDAVKDWFLDVIIWQCLIGTGIG VLIGIAANKLLKLCEKNGYIQDFTLFVFYFLLAIFCIGVGSTLGSDDFLVAFGAGTAF AWDGWFTEKTEKVKLPNVIDLLLNSTFFVYFGAIVPWEQFTGQMNAWKLLACLVLILL LRRIPIVLVTHRWIPDVGNYREALFSGHFGPIGVGALFLAIEARARLETGTSDPLPHP PEHSPYKAAIDTVWPVICFVVIGSIMVHGSSAMVMSVVGHFTRPKKERAPLIGGETER LYGMVNGNDVASLASEDSES EPUS_02454 MFKKDLTPGAKSKVKSSVQRAIRTKVVETYPKLAPYIDEIIPKK SQLDLVKLPDRVSLYILDSTPLFWQHMDDPLIPHLKLVHAFPQAFPRLRIDRGAIRFV LSGATLMAPGLTSKGGRLPDKEDECKEGDVVAIEAEGKEEICMVGQLKMGTEQMKGMG KGVVMDGGHYLGDGLWKMNFD EPUS_02455 MGATNSTQSSVTLKTPRGSLRGIQLSDAATSKPVYHRYTRIPYA LPPVGPLRWQKPHRLPDDFSFNTNEDGPGDYTSFGPISPQPIYNHESARLYPPEAAPE PANVQSEDCLYLNVWVPAGRDRERPAAGWPVQFYIHGGWLQVGDAMQNNTNEPFDLLL HTSHPRIIVSPTYRLNIFGFLCAPSDPNSPSSSPCPGNFGFWDQRLALEWTHAHISFF SGNANNITLGGLSAGAHSAMFQLTYSASRSRPESSPLIRRLYLWSNVVGIQPNPPTSP AIHSQFNQVTTHFNIAASLPTCEKLSILRTIPAHNLVAAIPHLELHTFRAVTDEEFIP SDFLSSIYHPDPDPDASGSGSTNFSSRLKTNRVKILLGEVQDEARLYRLQLLPVARRG RTVKSLPPSYSS EPUS_02456 MPPKKQVKEEKVLLGRPGNNLKSGIVGLANVGKSTLFQAITKCS LGNPANFPFATIDPEEARVIVPDARYDWLCEHYKPKSRVPANLTVYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVNPVRDLDIISEELRIKDIEFVE KAKENLAKQTRRGGQSLEMKKLKEEEALVDRILQWLKDGKDIRKGDWTPKEVEIINPL MLLTAKPVVYLVNLSEKDYIRQKNKHLPKLMEWIKANAAGDPVIPISVSFEERLTRFE TDAEAEEDCKKLGTKSALPKVITTMRSALNLGSFFTTGADEVRQWTIRKGTKAPQAAG VIHTDFEKTFIQCIVFNYETLRELGDEAAVKAAGKVMTKGKDYVVEDGDIILIKAGAA KA EPUS_02457 MSSPILHHISATPTISTATEDPFISPDFHLRDRSLSPASRVSVA SSAAASFADVSTSQRSRSAWWWPIDAPFRISPFRAIPLRWLVILLCLSMAFIIWHLPL PTTRELTPQFPGSHSTTALQVLRPHNSAGVPIKDPEQWLRENSEDAVARNERWWKRSP RKPRAAIISLVRNEELEGIMQSMRQLEHHWNKKYQYSWVFFNEKPFSERFKAATSNLT SARVYYELIPIEHWSTPEWVDEDRYMNSLDYLGTIGVGKGWMLSYRNMCRWNSGFFYK HPRLRDFDYYWRVEPDVHFFCDINYDPFRFLHENNLVYGFNMNILDDARSFPTLWAKT VDFIQQHPDLVHPDADLDWLVDEQGEYNNCQFFSNFEIGSLNFFRAKENEKYFDWLDK HGGFYYERFGDAPIHTLSVAMFAPKQAAWFFRDIGYQHDINRHCPPHSEDRCSCQPTN LDENFYKLVPLESPQMKPRDTCIRQWLGSGVNGRGVDWLKKKDGWSQDAERAFGGDGY GGYVVDGMV EPUS_02458 MVLRSPPLAQSFLGMSRAQIQRGALRDVHHLPAPVSPMLIWSKR SFSSGSSRYLPAPAIGGPAGSTTAYFQRPTLPANTIIRFVPQQTAWIVERMGKFNRIL KPGLAILIPFIDRIAYVQSLKESAMEIPSQSAITADNVTLELDGVLYTRVFDAYKASY GVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERAALNTNITQAINEAAQDWGVTCLRY EIRDIHAPEGVVAAMHRQVTAERSKRAEILDSEGQRQSAINIAEGRKQSVILASEAMK SEQINLASGEAEAIFVKAQARARGIDAVAHSIKQGKESAQAAVSLSVAEKYVEAFSQL AREGTAVVVPGNVGDMSGMIASAMAVYGKVNESQKRLRHENSKGEQGASVVEAEHEEH EGKSDEMAKSVLESFDITRKANRNFDRRSKHARSSTIAAAVMHIIKPIWLTHGGEKKD FEVYSCHVSPDGNRLVTAAGDGYVRIWSTDAIYNTASLSYSKPKQLASMSYHSGTIHA VRFSPSGRYVASGADDKIVCIYVLDPNQSSHSSAFGSNEPPPVENWRIFRRLIGHDND VQDLGWSCDGSILVSVGLDSKVVVWSGHTFEKLKTLSNHQSHVKGLAFDPANKYFATT SDDRTMKIFRFTSPGPNSSAHDQMNNFVLETTISAPFANSPLTTYFRRCSWGPDGQNI AAANAVNGPVSSVAIINRGTWDGDNGLIGHEAPVEVCAFSPRLYRRLVGAPGKEGQPA QHVTVIACGGADKCLSIWTTTSPRPLVVTQDMTGKAISDLAWSPDGMSLFVTSLDGSI VTLRFDEAELGHPQEVEENERSLAKYGTGRKGVGIIETPDGLLLEEKSKAGELKGVEG RMGALMGDAQSSQSAVDGAPQADGGLPANMPNAVNGTINGEAATKDRPDPNQAKLERL KQRVEITKDGKKRIKPLLVSSTGAAESSLPQTRLVNAAAGNHAAGADAPQSVIDLSKP FDGLPKGGLATLLLGNKRKLAQLETDDDGHAEKRIAMASRNGATPIVTNGPDGLLPAQ PEASVTGRQPTPEFIRPAVVNPVLSVSQLRLAIPKVRSVIVQAVDAAGNPTSAPSTTD VAAKSKSDLVFEARNPPAQLITNRPQDREPTRITLSRREQPIWQDFLPKTVLLATGNK DFWAVADEAGSVNIWTPAGRRMVNPLVLEAQPVILESKGLWLLCITAVGMCYVWNTKT MSSPHPPVSLAPVLDAAVHSLTVHATGAPAITNARLSSEGRIVISLSNGEGYAYSPQM YTWQRLSEVWWAVGSQYWNTTDASVGNIKHADKHKDALEDVQNVSAGIIPFLERNTTN EALVRGRAYFLQRLIKMLLSREGFEGLESGISIAHLENRLAAALMLGAREEFRLYLLM YVKRLGAEGLRGKVEELLRTLLGGITEDENSPQEHGNERTWQDNKDTICGWERNVLLR DVVLVLGKHRDLQRVMVPYAQLLGVMDADIQRSDAMVS EPUS_02459 MAATTSPALPAFSYAQAAKGLAPAVSTAQSQAEPSVNNPDMSST ERTSSIPEPEKLDSLNVTADIVKDNEISNGPISKMIHDDGKIGSTTKSTLDNSSTSNT KQTSSSLSGSKQVSESTSPSLVASVATLPREDEISSTQNGSSESWDKQSQNSALVERP AQMADNGKAHNADDDWVNVSAPKAEKELKAAPIPVVNIWQQRKEAQAAKAKADAALRS STVSAAPNKPKPQSQPTRHVEAQGDDDETKRKSSAKLTEKGDGNSKKKQLDDPKARDD GKRLARPGRASEPDKENTEFSTAPPSVGDASSWPTPDTVLHDERKKSQAQDKGDPKSP GMKPNQKWVPVPYVPTAKFNTPLPSTMSRRGGRPIRGSREGGNRGGHVPQGQGNIGEK TEKTKPMGPPPVPKQASDQQRGRAHETLAGSRATSAPTQGRRAASVGAAFPDHRRSTQ IDVSDGTTFQGRKQAAGGSQDSSAAPAPIENEEGATSTKSRPDSRSISHQSSLSYRAG TYDSARQASGESHAHPRSYAGTERRNTYVEVDRQAEASGRRERRESNKDYPRTRDFDF KTESWRDREFTGERTEQRSGRGRGGYRGRGNHSTYTPSQSNQTHAFTAPLPQQPFSGG KPHTLGERHRQSSAPYAGIPPQPNHRSASRSQSIATHGVYPGVPNNFSTALTPIQTDM PGMIGGYPTLYPGIMSAVPYNTALEPMALISMVSAQLEYYFSIENLCKDMYLRSHMDS QGWVPLSVIAGFNRIKSLTEDMGLIRHVCQVSRNIEFRPGDDGNDRLRKPEKWEHWVL EMDQRQAHARNEGPPPLQEPQSPPQFNSTFPSMSQMTSPTWAPGPFYDGYAEAPSYNA AASPSGNPDASTPSPSNIPDLPVSEDFSLMNGQAELTHGHSDDVPDTSNSAPLAQTFY PAGPGRVNADIPTTNGHAPASPQEIGIENVFSNERMNELHVCVRHPTPQYPPPFISPG ARTFSHGSIDYQTPVGTQLANPLPSLRGGAGSPKGLDSSPHHSASVENSADNTDGGVF WMKDGTPPDSWNQEGSTSEKYQSLHDRALAERSPGIITDDMDWLYQFWSHFLVRNFNS DMYNDFRTVAWEDAAAGQDGGLNHLSQYYGAILNGQRVLTKELARDIVKLAEADLQHK RQMFYKLRSAIRDGAFNMKSRIMIEKFLTPQFKDELER EPUS_02460 METIKNDVKTTTGSDSHFEPGHKVPIQHQDPPGLQSDLGPKPVS SKLPSEDGGYQHYKAAGKLEGKKAIITGGDSGIGRAIAILFAMEGADSLIVYLPQEES DALETQKQVEQWGKKCSLLAMDITSKENCQKVINTALSQLGGINTLVNNAAFQMMQKS IHDITDEQWHKTFDTNIHPFYYLSKMALPHMKSGDTIINNASINAYIGRPDLLDYTTT KGAIVAFTRGLSNQFCSKGIRVNAVCPGPVWTPLIAATMDDEAQDSFTSPMGRPGQPS EIATCFVFLASQDSSFISGQCLHPNGGTVVNG EPUS_02461 MGNNAISLKSRFQNAKGARAKADLGSHPKTASTHNLPANRVEKL TSGVRKRRSHNEITLTDRFKDSIDTYRTELGSETDRLLAQTEHDLDQQLAEITQEFGG QLRLVADFESKIFSPISEERLEIVVGSAEEGGQTSVQYATLADRMRDLERVLSAEAAQ LESLWKEWYATNLELVRLAVEVLGPVGVKASRNQDDKSLTAQVTAAIDENRGHEARHT EYQKKAAEMERSIRATAREAINHLTEQEKEWRITEKKKIQKIKQIIMEAD EPUS_02462 MSMTRSTSNTTIFPPTPDRSQSATPTSVASKVSEPAPNHTHTTP PCNTNSFLASKLTITHATNPLPVPLPNSPEMWSQKCHTDHMLTCSWSALTGWSDPAIV PFAPLTLSPMSSVLHYATECFEGMKAYRSSHDGRLRLFRPQRNCERMLKSATRVALPG FEPAELRGCIEKFVALEGPRWLPRTERDGEAEPASGSYLYLRPTMIGTGDALGVQRPT GALFFLVAVCFPPLDEPQKAVLPPQAATHTASLPPPLAPLAGVVSTPPPKTDLGVSEA GMRLLASSTDSFGNAKVGANYGPSLVAQGEARAQGYHQILWLFGAEGYVTEAGGSNFM VIWRTKRKDGGAGRLQLVTAPLGDGVILDGVTRASVLELVRERWATTVRPSRAGEAGW RSAGQGEGTVEVEMEMEMEVVERRFTMAEIVEASEEGRGCSRRSAAGPLSLSRRWRRS DFEGWILSCR EPUS_02463 MTAARRQAVAEPTPNFPRPAPIAHRPSPIAHRPSTFCLQLRHLP SQLVLLSPVPLGRSGRGTNRTVDMESMRLLQQRALRQIFQFRPLRTARAVRHISSNRS ANQQQCLCRSQNNSSRLPSINRHYPRTPFSSPRFTKPTRRFYSSQTSGASPSSSEETL TLSQRMRKLSREYGYAALGVYLALTALDLPFCYLAVSYLGTERIGRWEHAIMSYVKSI VKWPMGKEGQEKVDEGAEYVRRKVPLEEEVVEGRETTGKRLLEEEDTTPVVDDHGIGE AEKANRGDNASMSSFSLSSSRGFQSSRKNPLCRGISSEADLNIFVSAAGFWTKLALAY AIHKSFIFIRVPLTAAITPKVVKTLRSWGWKIGKMPTKKSVAGTTGINTGRTGVKPDK EPUS_02464 MPSQRPCAAFEPISPDLNLAALVETTPNFEYVVRIHCDMIDHQG IEAFEKLILLHVVIGGKPLVIEGYQERLDRWTFALQWLRDNCSQKVENARDLTKKTNL PLSIGHYLNNMALLTNQWNSKNYKDPDRQRIYLKDIDCPPLWHDKLSHLIPPALFYLN ESTGEHGGPGAVEEPNPNGPGTRRGRAIAQAGDLMSSLPPEMRAENMMCYIGHEGTYT PAHREMCASLGQNIMVETSTGAVEDGKPTKPGSSIWFMTETKDRHVVSEYWLSALGHD IEVENHFAQINAWKAAPFKTWVVEQRVGDFILIPPLAPHQVWNRGTRTMKAAWNRTTV ETLEMALEEALPRARMVCRDEQYKNKAIIYYTLVKYSKLLTAAANLKQKASNKPPAKV RQLQKDFRRLHTLYTQILISESFAPGQEERKLEFITYDSNITCSYCRCNIFNRFLTCP SCIGELPNGDEDTYDICMECYAMGRSCLCISKLKWVEQFSWGDLTQKHEQWRRQIIQA EGQVTEKSPKSLKVELERYGKRSLAEICQLELKKRPWKDVRRPVAPVDDGNVSDEVVV NGEGIVQKKKRKPRQSEKFKREHKVCHFHTTWEPKWKQIECSQCDASFCYGTLARAFD MKPQEILAEKDWKCPRCRNFCICRRCREKPGWKMIEPPRIMLGHDTKKVADPRSVESL VDYSQSNISWLQKVGDDGTKDTRRLQRRKQAAEKAKAKPDELGDHYVEDADTTRPENS AVENGLLRLARQEGIPIDPNLENGAGEATTDGESQEEEDRYNENPEEAGVGEVFESYR QGPPQPQHVIPAGGIIRDAEHAYDATEAITFDYPDPEFEQQVPQSDEHAVLKEPAPLG YEPAVQPEEPAEIEMVQRKRKRSGAEAGDEPYKAHKKVKDMSARKKKQRKSLVVKLNI DKSKLGELNKMALIAQRALNGVEEDETPVISSDLRALNAESGAADTRLRVKKVHIERD DEDDDFTGPRVRKDRQKSAPEVHSVPARRTRTQNVAYEESSDGEGFAEVVGPHKRRDS RHLEGPDSYDNGTQSQSNSSTAADSGGTDASSPINADVEPSLEQTDVVVGLDQAPTTS QKTVSKRKDGIESPADLNKKNSAGHEIVSSTITVDSTINVESQNRTAIQLTGIQRSKS TKGPSASPLISVSSVNTPRNGKAQPRSSGALEAEKNRKAKLAAMHWARGDSNDLEDET WSGSEEEEEDDDDEPNKDHFVPKARTPRQHKPIEKSRLGVPDPVRPTPKPVDSGAANR ASARKATPADWNNVISSDEDMVDVSIEHSKEHSKPSVSSARAKRVAGALKAASPTGRR R EPUS_02465 MPLLVKFGGVLKVLPPVRTSQLRLKARTLLSSVIVQRESHRTSY CCGLRHFHITIKSQRPRYSTSTAPSSAGTIAAPETPSRPVYKPPHKGLVSHLPRSWIP YAELIRLDKPTGTYYLFFPCVFSTLLAAPLAEPMASPAAVVSTAALFFTGALIMRGAG CTINDLWDRNLDPHVARTKFRPIARKAITPSTAVIFTGFQLLAGLGLLLQFPTQCLFY GIPSLLLVASYPLAKRVTNYPQFVLGLTFSWGAIMGFPALGVDLLSDFDSLAAAAALY ASCVAWTVSYDMIYAYMDIKDDANAGIKSIALAHEHNTKAVLTGLSIVQIGLLATAGY FSGAGPVFFVGSCGGAVATLATMIYRVRLKECCSWPTRRLSCPAL EPUS_02466 MAFTNTPPRSPFSAAKHAKDGKHHILLAATGSVATIKLPLLARA LSEHHPIVSIRIVLTPSASHFLQSQSAEQPSLSSLLTLTGVDGIHEDKDEWSKPWVRG DGILHIELRRWADVLVIAPLSANGLAKMVNGLSDGLLLSVVRAWDTTGLIDGVDGKGR KKRIFVAAAMNTAMWRHPVTGRQIRVLEEEWGSADGIEEEVEGNGQAGPGEGWITVLR PMEKELACGDVGDGAMMDWREIVAHVERHLGLDSKKHTIGTS EPUS_02467 MLSRSILSPAFRGALSALRPSATSSTLTPLIHHSLLQTRLLSSE VRSAIDKAVASAPVVLFMKGTPETPQCGFSRTSIQILGLQGVDPEKFTAFNVLEDEDL RSGIKEYSDWPTIPQLYVGGEFVGGCDILMGMHKDGTLADLLTEKKVLIEEQPTQPQ EPUS_02468 MTSTGARLRQTFKYPTDSESSDASREELDEEEQENLISTLHNQN AQQNALYTLVFTILPLFLILPFIFTFRFSPLLSILGVTSLLISTGRIRFSSPSSSPSP TPPPPRQANQAATSGILNWLQITDFEHRLLDAVPENGPLRMALPWLNGAICVVLGLAA MVLYRRRGRSSGSGRVEAEADGMWIFCLLPSIAWAMVEVALRSMEDVGKGVGELERLR YRYKGA EPUS_02469 MPQNGAFSLSKQETLGEEIEMRSAVHLEDENVGPEAPLISGDTA LAPDHGDNGKSAASKPNPHPSGSKFLWMLTFAACISGLLFGYDTGVISGTLVSVRSDL SARPLTTLDKSLITSCTALFGLIASPFAGILADKWGRKVVILIADGLFTAGALWQAVT GTVWGMILGRSIVGLAIGGASLIVPLYISELAPGHIRGRLVTVSLLFITGGQVVAYIV GWGFSTIPAGWRWMVGLGAVPAVFQFALLTLMPETPRWLIKARCEERAKRILEKVYHD ERYPTEDAVSYTLQAIKSEILEEEHASLASPKSSSILPPTFSSLLFHPPHARALTIAC LLQGLQQLCGFNSLMYFSATIFSILRFSSPTLISLSIAVTNFLATIAAFYLIDRVGRR RILLYTIPGMVIALLLCAFAFTFINLAPPTDQQGTVAGSSTPSRLPAVAILISLLLYV IPYATGLGPVPWQQSELFPLSVRSLGSSLSTSTNWLCNFVVGVTFLPMMDVLTPFWTF ATYAGVCALGWVAVWAIYPETMNMDLEDVGELLKDGWGVRESLRRVEQRRAAGCMDRV GG EPUS_02470 MPSNPDWVQNLKPSGPQGSDLLQQERNQSNLDVDKLAEFLFTKE CLDRQDNLLNILRAEKVFEKSQNYFNGRNERILDALSRAKRLRQLSVQHAWTQEEYQM ANELISEPTPYGLHASMFLVTLRDQGTPEQHKLFLEKAQKYEYIGCYAQTELGHGSNV RGLETTATWNQEDRTFILHSPHLTASKWWIGSLGRTANHAVVMAQLKINGKPKFGYNT MDNGFLLLNRVKVPHVNMLARFSSVDPQTGKYLRPSSPSLVYGTLTYVRSTIVMQAGS VLARGVTIATRYCAVRRQFQDRDAEGSDTGENQVLNYTMVQIRLLPLLAATFALHFTG KGMMVLYHENQKRMEGDAGKASDTDRGAGPEELHPGTDLLADLHATSCGLKALASTTA AEGLEVCRRACGELSARSVLQGTAADNDTTRILRHFLARQDMGAAFDVLTSDTDLVAA FAWRTAFLIFEALKHRDEDKRSWNSLLVDFWRLSTAHSQYLVIKNFHATLDDPMTKSS LDPETLSLLHKLFRLHALHTLEREASEFFASAATTVRQIQLAHTKAVMKLLEEIRPHA VRLVDAWRFPDWQLDSSLGRYDGKVYEDMFYRASELNPLNQVTFDPYPDSGILVRRGE RETSRQRVGRDSKL EPUS_02471 MASLEVKKKRKAVTRDVDSGPAIVSGDEPGFAQLDGTLASSDDS EIGDNDDSIFDFGSTEESSEPVEDLSDDDGGGSARSSDDGLSKGSIDQKAKIAQPVDI EVETTGLSGEIPDNEEEDDRPNFRVQKDANGNDRYIYDEIDPDDNSELDELGPEENTI GNIPLSFYDHFPHIGYNINGKKIMRPAKGAALDALLDSMEIPKGWTGLTDPSTGKPLE LSQEELNLLKKVQMNEIPEDGYDPYEPTVEYFTSKTEIMPLSAAPEPKRRFVPSKHEA KRVMKIVRAIREGRILPYKTPEEGREDDADVQNYDIWANEKARPEHIMHVPAPKLPPP GFDESYHPPPEYLPDKGEKKAWEDADIEDREKEYLPTDHDSLRKVPGYENFVKEKFER CLDLYLAPRVRRSKLNIDPESLLPKLPSPEELRPFPTTCGIIYRGHKGRVRSLSVDPS GLWLASGGDDGTVRVWQLDTGRQIWSVSLSSNEAVNVVRWRPGKDAFILAVAVGDNVY LCVPPICDPDLEAASLEVLDAGWGYSSSTSTTKLLITWTRPSNKLSDNGVHALISLGH TAKTLSFHRRGDYFVTVCPAPSTPSSSAIAIHTLSKHLTQYPFRRRLKGGGPPQTAHF HPSKPILFVANQRSIRAYDLSRQSLLKILQPGARWISSFDIHPTSSAISGSDNLIAGS YDRRLLWHDLDLSPRPYKTLRYHQKAIRAVKFHPSGQYPLFADASDDGTVQVFHGEVV ADMMSNARIVPLKVLKGHKVVGDLGVLDIDWHPVEPWILSAGGDGTCRLWR EPUS_02472 MPGNENNGNGVLHTPDLEEGSGSDFLIYTPSSSSNGITVAENEI ADGMSDVHVSETPGALRKDEYDVDFEDTRAFAVFRWGDILRATAERLSASDHKIIAKY DDWDDIKEEIHGKLMDTSSTTAMKRLLGRIQPLPRTLSTLTRHFANLVAPQVARFDLL WGMIYLNLKLSYTSPDRLKRTADLLNKIRTVVVLFNQCLSSCDQVNEVLLNIVDFLDP LTIILSDSITYLHKYASDTAAEQAWPDLRENINWNLSELEGIVKHVNEVASYSKANQD RQIRNMSLRHALMPESDEPGTFPNIILPFSKNPRFYGRAVELEKIEKYLSPKDDQSLR TYTIYGRRGVGKTEIALQFAYMNQAGFDAIFWIQCETSVSIRQSFTNVAVALNLPGAD RDGHHEENQLAVQNWLKKTSRKWLLIFDNAEHDQILKGYWPMGASGAILTTSRRYHNF SKDLQRKGETIKPFDPAQSWDLLLQLLGDDWKKMEREGHIPPSEVSAAKSLLEKLGGL ALAIRQAAILIKNPEIGGPTIAKTYEVFKEKSRTLPERHLSDRSSTEKPLDALWDMTF NSLAKNSRGLLGVLAWLSPDGIPIQLFLPRTQRALDGRLAFCKQDSVYLDSKDRASLF SIVTPSPEFEKAVDELLAKKLIRRDRHVLSIHRVVQEAVNYHDLDDLQESFDIASRLV YEQFPKQRTSESLYESWNVCQEYIPHGVYLSKKYSEYAPSGKLKAHDYFLQLLRNCAW YLYEIGDFDGSGPVVETAIGACEDKTSRLYARLVDIAGSRFFDLNRLSDCRNAWETAL RIRKELLAHDDPLIAGVYNNLGNLETASGNLTEASDYFERATLIWIAGGDATASHLAL TYLCVGRMHMLSNNFQEAEKKITLSESMFMRLGAPSVAMAHVHLAYGYSEVLQGRWSI AQRSFSECLRIALQEMPTHPITAAAYYSLADVELAQDHAEVAKGYLNKARQIAELRSP THDDGVIARILWKTAVVLESDVLGKFSSEADALRQRADLARKKLIASGEGGSIPFVDE HDRERDQEEDTYDALVPLFYR EPUS_02473 MKNSIYAARFRRPTTLSNVAERTPEVHEAEWVAKLTPQEFQKRD DLVSLLVGGERIKGSLADSCLWYGRYLQSKFHHHWHLLAPALVGLEDNVTPASGDLVI VYCFVIEVAKLLKTRKNLALVEIVDELDNQQLLKPQMDEERAILNQIVFATLGWLSML YEAVPHPAANKLEVVRTSTTSSGYRNSLNTRKYHSYMRNFDYIDFPFFELLARFGELT PQWKPHFANELGSLRQAEVISVKDVCFNTLQGLADLKIEWVTSLALHLEMDSSKKTLK LFQFPSFCRMMVVENETHLLSRLLTDHATRLYEDVRAPEVVTEQFFKEILLTYRLIFG QDDRSYKAFSRMVPVWEEQCGRSSWETTWACDPMLLTLCGKSATSDEARKIYDEIDEH EPANCYNAHHEFPFFAKRLLELQEFIKHHQPQNLRALLNDRRDVAAWYTLWNNQLLII FATITILLMMLSLVLQAWQVMLARQQLQQLG EPUS_02474 MPDVRGTIEYLQDLELYETEKPYWCFLPPKKGFDPDKERVDNLE FEDHNVAISDIRGLEGDVSLENYGFQVLSHDTEIPAFTAADAVEAYKRETEQLLMEAL GATHVKCYDLRLRKNIVFQRTEFDLNNPLHTEGPARGAHNAPQVINRYLSQPEKDAFL RIGYRVRIVNTWRSLLPVLEDQPLALCDSRSVGETDLMAADRLVPDRVGEVYYLKFNP KHQWYWLSTQKRSEPYAFVMFDTKAGTHARFCPHVSFKNPLAGAKVPPRESVETRSVV ITKES EPUS_02475 MSETDTSAKQHSACDECRKRKLRCSGQPTGCTRCTKQHLTCHYS LQMQMGRPRKRRKIAEEPGEGQLQHSALAEMLVSNENGLNEANQLVQDGFQTVHQDSC PIPMPSSTSSATAPSSAPVPDEDLLFDDFGSFSNSINHIDNDATPPSHSPPTPSLSAM LESFPSTFDWNDHFNTTNLPVSVSNACSPKIRTSTHLPPIASDGLPTHHNNPVVTSAP PSFTPSPVALPCTCLPDIYLALSNLSTLTSLPMNPNTIETLQTATRTGHNVLYCPKCP QKFQSNMQNIMLLATLLSGIADSWSRILRTSPQDLARGFSIDPNVRSSTSTDTANSQT ETEEAEWKLFAHYLIRQYVFGDAPPPGIHLPGICPILSSASSSACPSKPPLIILQNLC DAFERRQKTYHGLVVATGEFPRAYQSTEASATLGRVNCDKELRSKMSNATHHEKNEAL CLRIVEGVRSTLALLDTRADPERRTEWQVRLNDILGR EPUS_02476 MTFFASVRQGFGRANTKSNSNLKAAAAANGASTSNSNIPPLPSM TNSPSVSSSLGMDSNYNDGEPKRFFSEKYAKCSVKGNFLTLAAQPKNVELGEWLAHQL VEMNRLLTGMIQVIQEVDTNTGLAICNEKSCPTMSAGRLTYTWLEGGRPAKIPAPSYI TRVQKWIVGKIHDPATFPTDPPTSVASTAFASGDTSSPANYSTGAPIAAPPTSLSAPL SQLSGQQNDWVGKSSGFPPNFHADVRSIIKQMFRCYAHLYHGHWENPFWHINRHLELN SCFVHFVTVAMYYDLLGRKDMEPLQGLIDIFVGQGVIPREAVQQHTG EPUS_02477 MASDRTQSSGGFSREQSSDTNEAQYRINGEAASHTKEGSRRDTN GDVRSEDGDADLFGDDDDGDAHSKPGDRKLDDTELDSGDDEGRRDRIADTIEDEDDEQ EAVVDQVVRIYPSDLGRMAEPEPGNGDLYVLNLPPFLGLRPENYDPDTYEVPTTHHGA AQPPADKFSPFSVASTTAFWRHDPRDLSKLQSNTRLIQWEDGSMTLQIASQPRDHYRV STTALRQDFGNGKNKKLGESQDYNPDKDSQHYLSTPHAKAEVLRIIHPFTAAMKIFPS GEQADEAVLALQKSLAAAEAVHDPFAAIRNVKEDPELAKTRAELAEKDKLRAERKREA QIERETGRKDKVLGRRGVGRGSVGLTVGGLEDEDGMPTAKARKPARKGGNRRGDIYSD DEDDGYPRGRTREDEYDQDDGFLAASDEEPEIFDDEDDAKETDLDEDDLEIEGRQTVL QSKSRSDRQGTPKRSQEEIEEDAAVVGSPHARKKRRVIDDDEDE EPUS_02478 MNSPDSVISGSQSSPIKLKHIKAHRSIPTASLQTLIKPLRTRLV QPGKPLPAGSQKLEVPNSIQSDHEVKEYCIENVWIYDISLKHAAGESAPTNTRRDSKH HRLLYFAGGSFQSPPSNNHWKFLSKLVKELHPTYVITVVSYPLAPNSPAPSSLPVLET LLRTILQQAAQDSVPITLAGDSSGGNIAISLALKAVPSINHHLEGAQSQTLSSMGEGG TPLRNVLLISPVLDMRCTNPQISEADKHDPVLSASYIEDVAKTWSANLPRDDPAVSPL LADLTPLKRAGVKVHGVLGTFDVLAPDADLFRERLESVGVEGEWLVWEKQMHCFPLAW HYGLEESVRGVEWVVDVLKRNA EPUS_02479 MDLGGDIQNDTASEDVLMSDAIPQINDEFDPSEAYITPKWFTEH SSGWSLSHSKTSRERLRGRSAVDGNVISPKSDYSCRSDMTSSPNRDLTFDVHNSPATT SYNPSATTSLPILDSVFNVDNSSATRSLPIFDSVLNVDNSSTSRSLPIFDSVLNVDNS STTRSLPILDSVLDVDNSFAFSAVPSGTRVQKSPATFQCTLCPKRYTRAFHLRTHLAK KHTDKRPFVCTVCGKGFARYNDVKRHERHERLHSEKKKFVCKGTLKEEGWSWGCGRRF ARAEVLGRHFRTEAGRNCIKPLLEKETEEGRLPEAPPSGYLPAALLAQYPALAVSDSS SAGIYDNNLGSGHDSPVSFDPMYSEGHTPGERTGNPINTCTNNNAQDENKKYPEPDIQ VPAELPLLNAYMVVIDTFAQKILPPHFLTTPSTRYTADWSSPSVPYPPESAIADRTVN PQSEKVVMSPASHIIEKTTPLQQGRLFGQLEQKIENTKAGSKKSASDTNSLHGDSRLV DNKVAFNKLVPSDSGYHTGLGTDTESVCSLGSVGTSLGLPQDFLQEFIAFFGDTLIDK AGARAWAGYALAQHAPEVVEHRLDGMLKDYAADLLSISQEVKMEHKQPHQTVATDRRI ASGATKLIRRYRPKIARYFRDHAVSTPSNSKSMAERLQGLGKQLSLTEKLGLFEKVAS SGRTDADNALDDECDDGDEGEYMADIAPIRDFLVSGKAFQDLATAMRRSLYCDDRTSI DRIAHQILERLSEAESAPCPRCSGPQDDSKGCSDHRPIYSVRFQVSWDPQGFLQSQFG NRAPRIGSLVALTGSALYAQATTCSEYLQTNWPRSGSFVLSTLQKIIDPGKGMGSKEH RDYTGSLDGLDLRMAFRSLAAGNEASKRNLFVDARGAAEMLVELAQQLAWIGAVLRPS PYDEKLAYCKAAIQSSGSIIPCFAITFQCERLHPTENPCWLPLFCGASIARDFPIPDR QEEMGLEIPLEIMMGIAGVRHVVEYEGGVVMKGFSIMFVPMRRSGNRVQWHLISSPNC DTRLSYRDVLDRCQDRASLNEVDLGSLRTTRAIVGWCSAASSLLGSDTANYGNIDYSG ANDADTPLKLAGGVLGFQHLGVAQFDFTLGPKDGKCHFQRSGPYQRIISAAEKTPVVL YDTAEKRAWLVPASGVMLHMAHHRNRLELFETNGKRVKLLATGPAGPSAKEILLKCAS MDLSNCGEYTFKDMVINIWSQLEFLIDQNVRRDRTPGTAVTGKLRDVIHGFEFKAVVE ERSPFRRKQKTIGKTNGGWPALVRDIDALVLFANGYEDIIRPQTNNNNQSLCSLWRTV PKWKDYLAASVKTLKDLYDVAGCRLDQTYLTSTHLQWHRGNSSLFEPCQTPEAYRCQC IRLQQIVPKSAIGRVVPPGLLVDDAAVIFGQSTSPLRDLIAAPQKPKPNGIYGQQNVR LLTPPTLYQDHDDVPSSVNSESEPSARSGSDVTSSTPTSYTSFISQGSIAANMNDLKS DPEHTHQARKNDQKLLVSLELEIVRIILWKIPGAVNNTILLSATRTHFLNSVTPLIRM VPPRKNQKQNHVPIGGNSGGRDEASCYGPKKSVYMDSVPWPADNRESR EPUS_02480 MEGRNSPFSSTCFLDTFQSETVKPSDLNTDMETARLVARAKKLA SLHVPHKPLVVTNVWDAATAKLAALHPSCAAIATASYAIAASAGVEDDDLTPEQNLAA LEGIAAVANKQGKPLTADMQSGYGDRLEEAVRALVRLGVVGCNLEDKDTATGKMYPVE EAAGRVRRALAAARDVGVPDFVVNARTDVLLAGGTVDEAIERGRAYLEAGATTVFVWG GPKRGGMTREEVTKIARALDGRVSVKLNLGTQYLTVKELADIGVARISCGPELWRKAM RAFEQEMNGILGSG EPUS_02481 MSSNPSIRLTIAQGLISFSRSNTALKHPLHPVLGHCWTTSSMVS QFTISALPPTLSQNGSQLNQLRHHGHLALVLDILMPEDGFHDAFPSARRAQPAGPAAV PPSLRCRRELRLQQDPVGMHATPQN EPUS_02482 MAPVTRSSLQGRSILREGSWQIPTEDDLPSDHAADGDEEGVEDD TTARDHPDEGLDDDLFQEHRGLGSPIDFPSSNTQQILQPLQQTADRVSRQVEDFARRL DRFHSSRNTNRATLWKDALDLAGAFGSIAKSRRSQTSSDLLQARHSSRTGGSRLALAQ EGQHEVQRAQHEADLWDLFTLLTGFQDPKLQTNYAKSQKLCLRGLHRYSDDSEIWEAF LSADKSAEEYMEILNWLHRTASASRPSIEDVTESLRHKADRGDGIWSAGWLFTKESVK SQKRNRSWPKPLDRSNPGLQSSHIRKSDSRPLVAQLDPDAKCREAAALEEADEYHEQA AWIACWEMLRRGASAELVRDWWSERKESWRAISLGGAMHSSLSDQDRQWTRFIGLWRM NDWTASCYKICRSDKVGSKHEAAVYGLLCGDVQAPLQVCAATDDYLFVHLNAFLIERY RDFGTAFSQKESRQSYTPGPSRYNEIRRLVQYCQHNEKTSEEFRDPLNTIQSTIISKD FGSFFLRQGRALAQIATKNGQTSNLIVSDGVREENQAVYTTASNPDGLRIIAHQQLIL KSLGFLQELYTKHEAVVENNLTAYIEWLQQEGKMALIPLYASMLSAERSAQVLGAVIM DVTDMKERDMMVSLMKRYDLDISRVLLMQYQLNAAPLGSIDFDNPKTLKPVSITEYVG AGKTKKLKVKSGFMGEEIDESEELLIRCLEWYVYGDKQSWAQACRIGSTLHKYFISKG RLAAAHALCMRAPLSTISKAALKVDLKHTSSSGDASEDEDAEMDGFTDEDRARPISPS KRRNGARRHSALANNEESAADSAELADQARTWRQLEELVEALDALEKWNEVAEEVEKT KGDIVHMRTMKKVMQAALNDVLVSMYPLLRPDFLSRPSDEAEAIPLAKIRNHYLPECV LAYNSVLYFAGHVITRSWLVQCMNLAQEVATNDTLTEAFVASSRMKELVSAFALSSQA LLHANEQGIKKGKKDEGIEIWQVKPQDVGLIKDS EPUS_02483 MEPKLAKLSINQDTPKKPRAKQPSKFSEPVADSWDDEIDSSDTE TEVDDAVPPKKSPVPNAPPPTPASPSSGFPSWGFRDSIAPSAPIGDHNENQRRPEKST AVAGRLITAGLGMKAPKKTEEQKAYDRALRENEIKRRNKEREAKEKAREENEQARTAM WES EPUS_02484 MPHRSVRAARLYVARISRLRFSTTSVYYGYSDTIRNLKIGSRTK VIYQGFTGRQATINAKESLDYGTQIVGGVKPGVEGEHLGLPVLPSVRVAAEKLKPDAS AIYVPGVGTAQAIEEAIEAEIPLVVAVAEHIPLHEMLRVFQMLRTQSRTRLVGPNAPG IINTHGRCRLGFQPLPFFLEGNIGIVAKSGTLSYETVASVTRAGLGQTYAISMGGDML SGTTFIDAFRVFEEDEKTKGIIMVGEVGGRAEEEAADWIVEYRKRTRNPKPFMGLVGG VQAPPGRVMGHAGGWAAPGEASSAEKINILKQAGVVIVDHPEMFGPGMKQLLETSEKK KLRTQLDNVQQRGFHTICQQPRIVQNATMSNDQRRTLYIKQSEAVDMLTKAGFRKSDT LCSSRTCMLGISVDRSERAPCIIASPSTDPADTLYLSKKFAFAYGSDGAISDAMLENV SCHLAISGAAQKGLFKLISALHDIFVSKEAFVLETKIVITENSEVQIKEAKFGFDDAA FKTAGRQGDIHALRDNTFEVLEEVDAEKSGIIYVKLEGEGSVGTIVNGAGLAMNTIDS LTRLGAHPANFLDTGGKATSDTIKSAFKIVCSDPRVKVIFVNIFGGLTRCDMIAEGIL LAFRDLEPKIPVVVRLRGTNEALGQKMISESGLAFDAFDGFDDAARRVIELAKSSSSI PEIESTS EPUS_02485 MDNMTTTFGTSSPAYVTESSPMPRSLPWVVALLLGYPLLQQYLR YRRLNAMKTKYNYSTRQDLAKMTDQEAWEIFNNLAELEFPTMFEKGIQFALFRTYGIP TISSLLVKTTQLSTTKNAGKRYADTVVLLAEIFGNKPTSERAIDSFGRMNYLHGVYRD AGQILDDDMLYTLALFAIEPIKWVERYDWRKFSDLEKCAMGTFMKSMGDAMLIDYGNL PSAERGFRDGIHWLEEIWQWAEEYEIKHMVPNEKNHQTANETTALLLITIPDALKPMG KHAVSAMMDDRYPRPPPIYFRVLDVVLALRKYFMKYIALPRPSFMRYRLLDDKEDSKG RRAVQRYDAYPFYVRPTFWNRWGPSAWPSRLLGLPLPGDSGDIYYPKGYSLPEVGPNT FVGKGSVAASETKARLMKERTGGCPFAVLR EPUS_02486 MATIAAFKLPNIQNEPNKHYAKGSADRDGLTAAIEAFKKKAPVE IPLVLGGKSVTTSNVLTQNNPSSRSTPIAKYSNASSADIKQAIDSALAAKPAWESLPF ADRAAVFLKAADLISTKYRYEIMAATMLGQGKNVWQAEIDAAAELIDFFRFNVRFAEE LYNQQPPLNSSGVWNRLEYRPLEGFVYAVSPFNFTAIGGNLPGAPALMGNVVVWKPSP SAVASNYLVHQILLEAGLPPGVIQFVPGDAEEVTKTVLDHPLFAALHYTGSTAVFRKL YGQIAQGIVAGTYKGYPRIVGETGGKNFHLVHRSADVPNAVNNTIRGAFEFQGQKCSA CSRVYVPASMWEDFRSLLVTEVQKLKTGPPEELSNFIGPVIHEASFKKLSKVIEDAKN DDDLELVLGGTVDDSQGFFVQPTIYETKNPLHPNMSTEFFGPILTVYVYNDSDASAFE DICKTVDQTSDYGLTGAVFAQDREAIRYAEEALRNSAGNFYINCKCTGAVVGQQAFGG ARASGTNDKAGSVNVLSRFVSARAMKEEFLSTASVTYPSNE EPUS_02487 MREIVHLQTGQCGNQIGAAFWQTISGEHGLDASGIYNGTSDLQL ERMNVYFNEATSTKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSIHQLVENSDETFCIDNEALYDICMRTLKLQ EPSYGDLNYLVSAVMSGVTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GSHNFRPVSVPELTQQMFDPKNMMAASDFRNGRYLTCLAIFRGKVSMKEVEDQMRNVQ NKNTSYFVEWIPNNVQTALCSIPPRGLKMSSTFVGNSTAIQELFKRVGDQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDASISEGEEEYPEEEPADADE EPUS_02488 MDDPPGVPETTQSGFPQSPSQFDSDPRISFSKLEDKWILETEEG PEYEYINGLKRWVPVLDQSLLEEQSKAYKVEGVDEDAPAAAAAPKKRKKQHVNGEEDQ PIQKPKKARINTAVYVTSLPSDSTLEEINSVFSKCGVIAEEIDRGQPRIKMYENDQGG FKGDALIVYFRPESVNLAIQMLDDTSLRFGDAERMKVQAADFSYKSQQDAPVKSSKRD QKKVIRKTQKLNHKLADWSDDDISSLQDTSSRWDKVVILKHMFTLQELEEDPAAILEI KEDIRDECSKLGEVTNVVLFDREPDGVASVRFANAEAAKACVRLMDGRWFDERQLKAY IADGSEKFKKSNEKKGGDVEDEDGENSDGGDGVGGEGDRLDKFGKWLEEEKNTNSKRD DQQDN EPUS_02489 MASTHGGQPVSPTNYTIGPYDQNNGDPNGGESLTQDLNVYQSGD IAWMLTATALVLLMIPGVGFFYSGLARRKSALSLLWLSVMAAGVVSIQWFLWGYSLVY SRSAGRFLGDLSHIGFRNTLAAPSVASHRLPDLLFAVFQGMFAAVTVALAVGAVAERG RLLPCVVFMFVWTTVIYDPIACWTWNPHGWSFRTGVLDFAGGTPVHITSGAAALAYSL MLGKRRGHGTHELNYRPHNVASIVLGTIFLWFGWFGFNAGSALSANMRAVMAAVVTNL SASAGGVTWCLIDYRLERKFSTVGFCSGVVAGLVCITPGSGYVPAWAAVVFGVVGAAA TNYGTKLKYYMRIDDSLDIFSIHCIGGLVGNLLTGLFAADYIAGLDGTTDIRGGWLNH NYKQILYQLADSAAGLAYSFVGTCLILLLINFIPGLYLRAPEEDEIMGMDDTEIGEFA YDYVELLRDVVHGVEDDTSSALPMLSMSLSREKHIYTSDGSRPYRAIELEGEPVPHSE EPUS_02490 MAEQPQLAVSSNTPILTPKPTPRRPSDEEYAEKSRLAPVMEQSE TPHQVLDRSSSSSSDELPTAPGYLYSSEPAYGENGDHNLTYTPTTVSAWTPSTGLSSG FSSWNSYSNARPRGGSGGAASGAEKPTAEQGFVPDPRVQRPSAPLRTPSNTYAPARKP LQFFNASSVRTRSSSNMRTSRRDPNAQYRAQEKAYVQRVRQGPADWTKFDTQTPSVGF STDSETEEESPSSDLQFDNNDPYDPETNLFLGNEDVQPSLEELSVPENRERLEWHSML ASVLKGDVVRQEKQRLIGNTEQMSSVELNGEIWLGVKAKAHGRTTAIQKRMIEDRRAT IGPTIESIISFEIKGETEVGKPPAMQVEDVVRKIEKCECLYSTRKGLENAQPRAASDA FQASCDAIMSWHNTTQLINTELAILQGWVGNAELDFQKPRKRSDSGGKLIDESSFIDR ILKEDGLNSLQGNHSMLFPIGEVIKKAKNTLIHNASTFAERHLPPYIEELLTLLNFPS RLIQEIVRIRLNYAQKMKDSAQSSAMIIDQMIDQFQILMKLACRIKQDYLDISYPAPG WELPPCIDENFDGVIVDALKFYFKMLNWKLGATKNTFKEAEILEQEWEFSNQIGRQLE GGDIEVAEQFSSLTAKALLRLTGHFERELRSQPDESAIEMEKRYKAILDSVRVRQRKL FRFSRILRQRFENATEFSFGLDQNELQEFYDSLVVTGHFLVSAVSDNVPAGTYLIASP SLRNRAKATQSILGTSFHAEDAPEDPTNPYILVLRPEETLSWEGEKTQMDLPELPTDL RVGRLRLVADGSQQRLHNARQNLFNATGKKLDIVIEQRANLGRVNIELGKIKKTTFKL SNTIMESVEIIRTKNRGIASPDLIQSCFAFATEFGKRSLMYMDPNRRMMNNLKLTRLA LDWVSFICDDCDASERKTFKWAVVALEFAMMMTRGQNILAISDEEYSRIRVKVAGCMS VLISHFDIMGARSTLAAQQEKVRMEAINGPTKRLDISRMVDDDEAAQLVTTQRMCLLT EIEDARRDNEAKRATLGRVLEGSNEADRSLTFLSSSATNVTMRWQQGQFVGGGTFGSV YAAVNLDTGYLMAVKEIRLQDPQLVPTIVKQIGDEMGVLAVLDHPNIVSYYGIEVHRD KVYIFMEYCSGGSVAGLLEHGRIEDETVIMVYALQMLEGLAYLHQAGIVHRDIKPENV LLDHNGVIKYVDFGAAKIIARQGKTIMAPDPTPQSPHKADKQAGGDAKHNSPPAAPRG NPQKTMTGTPMYMSPEVIRGDAPSSSMTRHSGAADIWSLGCVVLEMATGRRPWSTLDN EWAIMYNIAQGNPPQLPSTDELSEDGVEFLKRCFERDPSKRSSAAELLQHRWIVEIRR LVVDVPDTPRSETGLSTSSTNSMPNSVHPSRQNSSNF EPUS_02491 MVGRIISDTTEEQPPSKLANGIPGKGRGPTGSSSPNKKEEKPKA LDVAELKDYQLGDCLGKGAFGSVYRALNWGTGETVAVKQIKLADLPKSELRVIMLEID LLKNLDHPNIVKYQGFVKTPETLNIILEYCENGSLHSISKNFGRFPENLVGLYMSQVL HGLLYLHEQGVIHRDIKGANILTTKQGLVKLADFGVASRTTGLHESSVVGTPYWMAPE VIELAGATTASDIWSLGCTVIELLDGKPPYHKLQPMPALFRIVNDDHPPLPQGASPTV LDFLGQCFQKDPNLRVSARKLLKHPWIANARRSDAVDPKKSTEYGEAIKSVQEWNDAL KGSPPNIVSSNKPSRPSPASPIHGQRDMFRPLKTPAQPAPIVSRAKEANNKFRSPEGA ADDNWDDDFVSEISPRALQLPQLRPHDHFGGMLSSEKLKAFASFESITEQGLHEPDYD NDRTLKSPLQPSESDACKTVRPSAPVKKAEPKHARHKSEAPQAKAKANQTARKASLSS ARQNTDLSKSKPLPLKAADHYQPQPSRLYRESSIEDYSDLVLGNELTLDTKLSMMQME NEVPSKILESPSVTDLMQSMRPPPAGGSLRRQPPPRGKISMRRTRSSIEIQRYAENEQ DEDFSDVFGIGQNILEKTESEEESEPGTLMLNSKISNNSRRSDGDDEDDPFAQLEEGL PELDLETNIARDKHARLRTDVEALVGQLKVAQDDDFLLQISEDLMNIFGLFPGTKNVI VTAHGMLPILEILEDCSRLDIVLNLLKIINTIIVNDTEVQENLCFVGGIPKINKFASK KYPRDIRLQAAAFVQKMYQTSTLTLQMFVSAGGLTVLIDFLEDDYDDDRELVLIGVNG IWSVFELQGSTPKNDFCRILSRNSVLDPLSLVLNRVLSEPAENGEQRELAQLCEGRIA SIFFIFSQAENYVKELVAERTVLHRVLKNLKKMSPAHQITMLKFIKNLSMLSTTLDIL HNSNAVDVLTELLDWGMNQPHCRELSNQILNTIYNLCRLSKRRQEDAAVDGIIPILIK IVKQEWPLKEFALPILCDMAHSSRATRRELWQNKGLAFYISLLSDPYWQVTALDAIFT WLQEETARVEDSLLDNHTFTVAITAAFTQSKSSSFENLLEPLQKLLRLSQPIAASMAR SAELFECIGQKLNSNKPAIRLNLLRIIGSICDATDEGGLLLEHFGLFDLIRELQVSDS AVLVRSMAQELIRSCEEVDSISLHGGGGAVGGGGGGGGGKRRLNGTGALRRASASTTP PHLLERQMSMPIGPSSPQLGRSERSSMGFFDGSDGVAVGLSGRLAQTPRRQRNGVGYL NGSTAIRPASREGPNGLGRESSPAINLPVPALQKASTTIGSINSPSVNAGNANEIGVV KSRLPRGMVGGQYRMSRPSTTNGNGSRDTGGSAAGPGTKTPGATRVSRESLYGARNGG VDITVASARRTTARRHPSGDGKWS EPUS_02492 MAMFNSRATKPDTFHHVMKIAWDVGKGDGRRTVMYESMSMAVHK NDLELVEVKVPEFNIIELVSMEKGFKGLVAVELRSYIGTNPIVQRVWRAASVSSETEQ ILLYPLARLMYRLEFFSNVLCWATEASSLLP EPUS_02493 MIELGLSRIAQLVKHTPLSWKAIHVAGTNGKGSITAYLSALLKE AGVVTGRFNSPHLIDRWDCISIQDQPVSKKVFTQVEDEVKGRDEKYRICASEFELLTA TAFEIFNRAHVQVGVVEVGLGGRLDATNILRPQDVLVSVISKIGLDHQTLLGQTPEAI AGEKAGIMKEAVPCIVDGTNESSVLQVLHEHAKRVKTTLSVINPETAPHTMKMLEPFV QNLDMAPHQQANLFLAIEAARAAESSLRPKKPVDQSLDVISRVTWPGRLQIVSLQPLL GYDITVLLDGAHNVQAAKSLAVYVDQRIRQQSGSVTWILAASKGKDLLELLSCILKPQ DNVIATAFGPVDGMPWVEPVDTGKIVQAARRVSEEIKITATKTVEEALCGLSELHRAA PVVVTGSLYLVSDVLRLLRASK EPUS_02494 MGLPMFREPGESSPQKVAEKAERAAASSRSAIRRERTIRDTGRL HRFSERNENEVPNGAATVLSSGTRPRNRVRMRQDRGTEQQIQSRAMRRWGPILDAARR GDEGGVHDAIRRSVDEELRGDGLQHQRSGRGTRVPRSPRTSYTSALRFEVAPTSRSLA SPERSVEEQALLARPYMPSPPYSFSDNSAVGLRLRATEGTTGFIPADPTPGFAPARGV HRDNEEHEPATSGSAPRHHTPPGESSWTASYPPLRRVSHLSPRLESDRFSLSGSGGLG DRRRSVSSSSSDPGQDTWETLLTTMEPDAQLPSTDSSFTSATASQSTRQSRQASQSRN TSFVSATTPTERAVSRTTSPSWPYRASYGNMDLDIRSTQYDCLSMESRGSMRTMLDII ADARQARAGNPAGELVQFHGPRSDENPMLTVRHEQAERNREPEERDIVLDENRILAHH FTTLRTMDELRASNNARARGMARTEIPSWQRQHEANSTSNNNNNNVNINRRPRNAHDL LRAIEHQTQQIAQDLQETETGPASRPDTPSRGFAQAAEDFHRLGSFGPQTQTGTRDTT AEPENDLESMHRLIQRIARREDIPSEWWASAGLARTIRENQ EPUS_02495 MITPCRVAASRHLQHAPALVSLASSRSRTLASRRLSTQRAFFSQ DHPFLTPTHSNQQLLLAQSALRPSTSPLLLRSHISLARLLHTSSPQFEQTRPTDEVRD SPRVESSTGTGTDPSRNEESKTDDAKDEKSGEESSDAKNESKKDEPPPPPHGDKSPWQ VFTETLRSEFKASKEWNESTKQLASSAHQFTESESVKRARAAYDATAGAATSKTAEAL KTTGKALGQGAAWTWDTKMVQGIRGGVNATGRGIEKVTRPVRETKTFQSIKEAVDDGS SSTYGGWVEKEERRKKKELRDMKEVQSGRGPPEKWEEDPDAGTNVTLHKDSAWKESWN SFRDSSKIMQSVFALRSTYNESENPLISTARSISDRVAGFFAENETAMVIKKFREMDP SFQIEPFLRDMREYILPEVLDAYVKGDVETLKLWLSAAQFQVYSALMQQYTTAGLKSD GRILDIRNVDILNARMLEPGDIPVFIITCRTQEVHVYRNAKSGELAAGMEDRVQLVTY AIGVTRTPEDVNNPETRGWRMIELQKAGRDYI EPUS_02496 MSLAESKKRLALAIIDFLSTSLKDGTLTTDDNESVEVASTCIAD AFKVDPNDKAAMQDAVGTQNLHSIYRVYEKLKGRSTASTTGESSVQEGRPSTPSAPKS EDSKASATATEKPNPEAEKLKSAGNAAMQQKDYQTAIAKYTEAISLSPANPIYLSNRA AAYSASGDHGAAVADAEIAVAADPTYTKAWSRLGLAKFALGDARGSAEAYQKGIEYEV AEMEKEEEEHLPEDDGDDAPGNTRGPGGAGGMPDLSSLASMFGGGGGGGGGGMPDIGG LMNNPMFAGMARNLMQNPEAIQGLMNNPRLQQMMQGLQGGGGGGGGGEGGGRGRGGMP DLSSLMNDPNIANLANQFMGGGRGAGGGAGRGAGQGS EPUS_02497 MYWPCGVPRVYTYHGSRQSSATKEEEEEGDDEVRQPQSPQSLKR ELGKEYQRESINRDTVISSEIIGLCVAATDHLFATITLSTLTFWQNRPTVVLAAAVRS ISSLDKYGTNQSIHLRPDCGVAMVQTSHGYLLIYSIEVDQSARVYQQHFDQSQARRQS LMQNFRAEDAIGLREIIVQLRRAVKIDAGISAVLAFPNDLLIATAKPPAVQCIKWSLD ENGPQTTAELISKMDWMHTKSVVVQMVHNRAMHLSVWGAYDGKAYAVQRQNQATLKQE SSAESSESDKLQPRNSKMFTGHCFHDPDNENLFARVVAVNAKFSLLAVGCHNGELCIY GLRDYAGNVFLSHRIGAVGSLVSTGRLTCLTYSPDGYCLFVGYEKGWATWSVFGKPGV SSFTANHTQSGSSNERWLAGISTANWTAGGSELLLTSPGDHRIWRLEFSRSAAAGCFS CANLMRALFQTPTELIMYRGHDLPDLTSISGQPSLWHHAQYPAAYYQSHGPIRCSVIS QDGCYAAIAGRRGLAHYSVQSGRWKTFADSNEEKSFAVRGGMCWFNHILIAATESDSS YELRAYSRDAQLRQSSVLHLEILPASVVFLGPSGEDSLLVYTSENVLYHFVISFTSLG MNLVQVGQIAFHGVVRAPTRVRSVSWVLPENQLRDGDPSQDVALAAVLFLVDDKLVLL QPSRADDGGLRYNMRVIAHHIEFYILMRDQLFFNFANTGDESIPPTPSSGHGLNRIGQ HHTLRDSLWTFSGSDLNMWSDVRDVLRMAIEGSIADSVSLLSVPVDFYPLSILLNKGI VLGIESDLIQRRDLPFAQFRTAIRTHLFIPYLLQHHISAERNAPAAIALARQYEYLSY FAYALEVLLHNVLDEEADFYSGGADKSKSTLPAVLSLLYGSLSESSYLSTIVQCIRKT EFTSWPVLLAHLPPPAVLFEQALQLDDLRTATGYLIVLQSFEEDHGEARRSEEDVEAY VIRLMALAREKDDWELCSDLAQFLMAFDPMGYALRRVIESVGFREMESSEAPATVKAT GLGLTIPDPHKSGKEQAGHGNSSAQLLGSEVSFLQPKHSSSVDYFSTSPER EPUS_02498 MDALLSLPFLSFLLIPTMTSYSTSLNLLFFYLTWSTLVLSHPPL RLEIVATLAVRILFYIVPSAFFLLFDALLPGAAEGRKAMGSTGLPLKNAKRERSIMVG KRVLWSLANLFQGVLLQAGVEVLLTRFLGTKSALKVTTSLPMPWGIFMDLLRGWVFRE IFGYVLHRYALHDARSPVTQYHEGWYHSIAAPFPMSASYDHPIAYIFRNFLPTFGPAL LFRFHLLTYIIFLSLVSLEETFVNSGYSTVPTNFILGGIARRADAHVVSGGEGNFGPW GIIDWVCGTTVGEDVIDDLRAEAEKHDMEGKVDMAVEKAKRKGGQLKARTRRRRET EPUS_02499 MSAALEANSQHQAQTKKFGKQERTVPHHSQKASKWYPAEDQKQP KKARKSIHPARPRPSLQPGTVVILLAGRFRGKRVILLKHLSEGTLLITGPFKINGVPL RRVNARYVIATNTKVDLKGVDDKTLEKISEPNYFTKEKKSEKAKTEEAFFKQGEKPEK KKPTSARAADQKTIDKPLLSNIKNEKFLASYLASSWSLQKGDRPHEMKF EPUS_02500 MSISPIITFKAGLCDLNTATDPPRVKALPEPGYIYLYSEDDLVY FCWRQRSASLDNPGLKLVMIPGDASFTPYKATSSQTPSNPNKPTNGRIYVLKFTSSSQ RHLFWLQSRSQHESSDPAWFSARDLKLGQIVNTLLQGEDIDVQQAIANLPNDRNGPDR DDDDATMEDVEGQNHDADHHRGGSGGAGPDATGGDVREEGQEAREGGADGGRAATTGS TDASSIIQNFLKSMEGTNAGQSNPQGNLFTTLADLLTPASTIPLIDSADERVIDKFLD FLPSTLLALAQEIDDAAAVSTDASSADAAKQAIGLEQKKDILRRVLRSPQFSQSLSSL TVALRDGGLPSISEALSIPVTNGGFMRRGGVPLGGGDAVEAFLNGVKEHVKSNSQAKN KMDRD EPUS_02501 MASKDRSPPKFPSPQSIPLQDLPRPPDDDDRQSPRSGGRTSLRS PRTSVFGRIQGARYERLQEGSPSPPSRSEADNPQSATSRLQISPFVSNQEAHSPVDDL PTFAAATSSIGLSFGAREPSDFSPRSTDNEPIGPAINVTTSNDFYLQAGRHPSLESED QLPPGDADTVPLTSGPYLHPALRPGTNTPNGQRHDRQGKLESKRQSVHWTDAEVVGPI PQRSPGSRLGDDLPNVEEGQGLRRMESTTNGIGRLTSVSGRERSRSQSPSASISPIAR ANSILRMMSQRVVNLSNDAEVVEQSIRRKSSVGSSRMDGPPSLPAMVNDEHGDPFGGE VDPSSPVEKLPSVADDHDSHNPFILLNPLRGKSLGVFGAENKLRKGLCEMLVHPVTEP LILILIVVQTVLLALDSAQSVYVDPRSKRWGSSRTDYALFVLFVIYTLEIIARTIVSG FILNPTEYSTLDRSLGLRKAILNQGRNLFAPQRQRSTRNAATVPDQPSIIRSFTGLQP NIDVPGHGRQQQRIRLAKRAFLRHSFNRLDFIAVVSFWISFALAISQVESEKHLYVFR MLSATRILRLLGLTSGTSVILRSLKKAAPLLVNVAFLIGFFWLLFAIVGVQSFKSSLR RTCVWVGQNGLPDYPQNIAPQSIQFCGGHLDARTGEAMPWRTADGRNGTAKHKGYLCP QGSLCVEGGNPYNGTLSFDNAANSLQLVFVVMSSNTFSDLLYYLTDTDYLAAALFFAA AIVIMSLWLMNLLVAVITSSFQIIREESQRSAFTTERIEETVTDEAVTKRKTPLSRLY DKTFWFWIAVIVLGLVGQCLRSSSMGDDRRKLVDNTETVVTLALLLEIVLRFASDWRH FFYSRRNWADLILAVVTSIIQLPPIRNSGQAYAWLSAFQVARIYRVVLAFSVTRDLIL VVFGNIGGLLNLIVFVFLITFLTAILASQLFRGEFPEQDRTGATVRITFFNIYNSFIG MYQVLSSENWTSPMYNATQFDVQFNTAWLAAIFFIMWFILANFIVLNMFIAVIQESFE VSEDEKRLQQVKSFLQQKQLSGSSHSNLSLASILKVGRDSLRRRDPLDYGPASMEMLL KEAVVKEFLEEQDETPIRRQTDLPTPTLPIATVDTGVLSALWGKITGLNTHREQNPFY SKMKFSRAYEDLDPQAMAKEVLSAAEQRRRAQRQYLARHPRYNVSLFMFGPNNPVRRF CQKIVGPGRGDQRIEGVDPYKPVWYSFSAFIYLAIVAMVLLACLTTPLYQREYFERYA LNFRTWFIWTDMGFAIFFTLEAAIKVIADGLFFTPNAYFRGTWGFIDGIVLVTLWINV ITSLYRDDGVSRAVGAFKALRALRLLNVSDSARDTFYSVIILGGWKVISAAFVSISLL IPFAILGLNLFNGQMESCNDSNFGHPELSNCVGEYQSAPYNWPVLAPRQVSNSFYSFD NFGNSLFILFQIVSQEGWTDVMWSAMSMTGKGIQPKPFAAQGNAVFFIIFNLLGAVFV LTLFVSVFMRNHTEQTGVAFLTADQRSWLELRKLLRQISPAKRSLGKTSRAWQKWCYR MAIQKHGMWQRSITGVLLLHLVLLVIEFYPSVGWWDKLRDSLFLGFTGVYIVNIVIRI IGLSWERFRRSSWDLYSIVTVSGVFLTTVMTLASYSNRTFDQLQKLFLVSIAFLLIPR NNQLDQLFKTAAASFTKIGNLLATWFVLFLVYAIAFTQTLGLTRFGANENGNLNFRDV PRALILLFRMSCGEGWNQIMEDYAGIKPPYCVADESFFNSDCGSAVWARTLFISWNII SMYIFVSLFVSLIFESFSYVYQRSSGLSVISREEIRRFKQAWAEFDPNGTGYISKDLF PKLLRELSGVFQMGIYDGEHSVRSILEDCRIQSRDFPRSSIQSGKAVEGVDLNMLNAR LSTIPVNEIRQRRARMNIFYQEILVSADPERGIPFTSLLMIIAHYNVINDTKSLRLEE FLRRRAQLQRVEEAVRRNTVVGFIDTIYWSRWFRRERSARGASRMGAPPQISVPEIFV DHPDDEIGRSEGTLPRDFTETAPATPVQGADRADRASLSSGYDAGRVSSMDTSLRRRD SRSNSPTRLDPSPTAGPPLASQQLHGVDTSYQGAGNGPRSESPGRLSPSHSPSHSPRH SRQGSSVSARGVLASLDNSAWGESIRRSFTMRRPNSTSQS EPUS_02502 MTWTFNGTVDTTVTGLLLGCYWAVTGRFVLLTPAEPPVVIGYLQ LASYDNTEQEYPTPDHPESLPPASSPPASFSKEKRVAVYEYDGPRYNSHCRHIVVGLG RTNQALRVSLLDGAALLKLASPPPVTPYSSLLTHPFFLSTHIWHSYIHSFIQQLEAFP SLSRDLHSFLYGRSSHSSKPYTVSKPDWIWQTPLRSTHYQTTSASSLNWPSEASLSIM HNMVNKSNSMLLPPLPLDSFTKAVPDLIHYFEGLDTTIEELASSSTMASTTMSKVPAP FPSPFTALRLSLTTSLNHPKRVHINQSSADSTDCSTTTSASGSPQASFRGAAFTRSRT RNTTRTRSRSPRSMRSYTNSPVLLSRRPSATDLALLEEESLSHHVKSVGLGLGLMEPR PVIPVAVAMSGSSSMFDGVTDEEEMEQRQPFVMGGIFEVMEGSS EPUS_02503 MAPIKSLPKGHENSSTPLADYFWIAGLDGQDLLDTYVRLGEVHN PRKNRSSSDLNDIIVEDEVAEADVSSIQDSPRPASKHSKRNSYQRLSRLSSEAQSSIR SLDKIPSGTSSARSSATIRFVPSASSPHVSAALNDVDFDNALKKFATERDSFFLDINF SAGAVAQPSRPRPRTRTQKIVAEEQGPAPSRGIGSVRRHMSFREMNSVKRQSSMARQA SVRTSRRTSNYNSVIPSPEPLQSSPTMHPLKRKFEPVLLDRYPPAGMPDESRRRGNFP DYVPMFAFPNDINVVSSDTRPRSTWHGFSMTAADNSRLHAVCIIVWIPMKAKAADELE KRCEEWRRANMTDVERELASSLGERLAAERAKLSRLLSRLPYVDSGSAARDELEEDIS AVEEKIVMMTDMLRPLRHGAASRIEGLTDGDTGLWIPRAYGILGRDSSLTSFWKEWLR AITVPMADGAVMRVPPSSPRVGMWQPLERYVINLCTEAPSPISSKTQVEIAVRELRLF ARKEAINELPGSRDTDLYGLFRALTIPNIMILFEYVLSESRIILLSSHTSMLHLASKA IAELIWPLKWAGVFIPVLPARLVQALEAPCPYICGIERRYEKVELPDDDFVLVDLDNN EIESTARPTPMPRQQRRKLMSLLQLAAPHHNKFGVPVGPPPCAVEAMPFDSFASENPS VFSSNAQSTSLARLVGLTSTSFGAAAAAEGPRKTLILNAFLQAKAVSRGSGTGERPRT ASTSRKSNPDTPNQSPITGSFPQLPATPVSRSDSGFALQSSLRDKRGAHFDAGSKGSG STYRQAKRQPSLPFLGHSSNPSISTLNPELHNQSSYAPSTYAQSTLAASTIMPQVLMQ PVRNTENTSWIEGHCLQWHGHDDRSTCSVCDDKAEDGIYRCSGCGVTVHARCGYQLYI VCPVAFYPEQIRAAFVRCFASLFYTYRKFMLAPTPEQKKNGMFYRFDLNSFLRSMPCE NADYIAVLQQTQAFNEFISERECTPPAPTSSSSTSVHTSITLFDSIILSKRNRGRLLP TTRQIPGLSFSSRKNPFSSRFSTSVFTSFNHHSSSTTPPDFLTDTSDHLWRSASASTT STANTRSDFGDPTRDYRQIVTRVPAKLEERFMREPRMIQGVPRISEKSKNAAQGGRKP LPLALRERINGLALNAPDSVGSPLLPPTPLSGSSRGW EPUS_02504 MQAQHADDGDPFADPAQVEEEEDQRTFVGEATLNAGRNANLTLG TDSLIVLGITAESKTTRVIPFFNILWASLTKIDLNIQYTTPIGKSDVQVAYVTYTLDP SSTTNAENAKNWVARLLDRAYGVSQRQKRIKVLINPYGGAGKAAKWFTRDVEPILRAA HCEVDVERTSYMGHAVEIAEKIDMDAYDVIASCSGDGLPHEVFNGLAKKSNATEALRR VAVVQLPCGTGNAMSWNLNGTKSCSMAALVMVKGIRTPLDLVSVTQGQKRIISFLSQA IGIVAETDLGTDNIRWMGSARFTYGLLVRLLGKTVYPCDIAIKTEIDDKAAIRAHYSA NVCKHHQSLKLASTSTSTLSHSSTNNDDTSPDTSSPTSIGLPALKYGTVQSPLPPGWT LTPYPTLGNFYSGNMAIMAEATPIFPASLPSDGLLDLVTIDGTIGRLKAIASLLAVEN GTFFDMPHVNMRKVSAYRVVPRFGKWAKPSPPNKVQEKSWVGRVMAALGMTGVNSKDN NNRDGGYISVDGEKMPFEPFQVEVHRGLGTVLSRSGFVYEADGPAGWDGATATATATA NTNTNTSITESSAEAGTAQIER EPUS_02505 MASFLDNAYSLVHQDNSADQPSQQDLKTQLEKGTEDSKVETMKR ILTIMLNGDPMPNLLMHIIRFVMPAKGKHLKKLLYFYYEICPKLDANGKLKQEMILVC NGIRNDLQHPNEFIRGNTLRFLCKLREPELLEPLLSSARSCLEHRHAYVRKNAVWAVA SIFQHSESMIPDAPELIQNFLANESDTTCRRNAFAALMAVSHQKALEYLNSAFDGIPS ADELIQLVELEFIRKDAIQNSANKARYLRLIFDLLEAGASTVVYEAATSLTALTSNPV AVKAVAGKLIELSIKEADNNVKLIVLDRVDQLRRRNEGILDDLAMEILRVLSSPDLDV RRKALGIALEMVSSKNVQEVIMLLKKELAKTVDEQYEKNTEYRQLLIQSIHQCAIKFS EIAASVVDVLMDFIADFNNSAAVDVISFVKEVVEKFPKLRPSIVERLVSTLGEVRAGK VYRGALWVVGEYSLRENDIRDAWKRIRASLGEIPILASEQRLLDEASDEHAEPKEQVN GHAKSAPSGSRKVLADGTYATESALTTNSAAAAKLEAVKAAQKPPLRQFILDGDYYLA TVLSSTLTKLVMRYSEISTDEARTNALRAEAMLIMISIIRVGQSQFVKAPIDEDSVDR IMSCVRSLAEFAQRRELETTFLEDTRKAFRAMVHVEEKKRAAKAAVEKAKTAVQVDDV FQIRQLAKKSDGSVDEIELDLEKATGGDSATGDLTSKLSRVVQLTGFSDPVYAEAYVT VQQFDIILDVLLVNQTTETLQNLSVEFATLGDLKVVERPTTQNLGPRDFLNVQSTIKV SSTDTGVIFGNVVYDSPSGTDTHVVILNDVHADIMDYIKPATCTETAFRTMWTEFEWE NKVNINIKGGKESKTLRQFLDHLMKSTNMSCLTPEASLSGDCQFLSANLYARSVFGED ALANLSVEKEGEDGPITGFVRIRSRSQGLALSLGSLKGLKAGTI EPUS_02506 MAQVNEPFYVRYYSGHTGRFGHEFLEFDFRVVGDGRSATARYAN NSNYRNDALIRKEMCVSSLMISEMKRIIKESEIMKEDDTKWPQKNKDGKQELEIRLGN EHISFETAKIGSLVDVTESADPEGLRVFYYLVQDLKALVFSLIALHFKVRCPDPSVAQ IC EPUS_02507 MRTPENETERAAHAIHPHPPREPRAMCPPTPPPEIGDDAVHNIG ANGGKDDGATGGDSAAALNFVQDRSLYMTSEGAEVDTLPESTPADTCDNDKETAAREG GTEIDTAFKTDVTMLDHSAVDATSMPTPESVALDGDAADMFCATRLSESLTAQTTGDA LCADEPTQQRPFTGPKTSKEHDFEATHEDFPDPTKPYTSIGCTASSVRSSDPSATPRF EFSNTRLYPHYPSSFLRPGSKFSGTQQSDRQIYNVDVQILTLSVAESTLTGYLRICGL TEDHPTLTTFFTGEIIGGPNHKHTFQTKDPSWGASDRTDLSHWARFPAWRPLNKDARR DINFKYPPHAIGPGSRPTSSLSSTIPLHTATIDDVEDQADDDANPGWWTQPNIFMRWK EWFLVPDHRVRSIQGASFEGFYYICFNQVEGKIDGIYFHARSEK EPUS_02508 MASKRSRSTYEEDLQKQESPYVVYGTPLPPLDEQGRDDGSYIPI WKQEVTDDQGRKRLHGAFTGGFSAGYFNTVGSKEGWTPATFKSSRNSRAKDLKDAVQQ RREDFMDEEDMREAEESKRMSTAEEYAGFGSTDEDPKRLEAFMDIFRPAGDTIGVKLL KRMGWKEGQGIGPRVRRKADLGEAEGGNEEVDTTHLFAPEDPPMVSLDRKYDHKGLGL GEESPISNILPSTRPKANSEDEGQSPDPFSRNVRTSRKVLTPKKAAFGVGILNDTGSD DEDPYQMGPKTSYSRVIGEEKKHQKKRAAVQGASHPLLRERPIFMSKKTKSKPGFRRC HDGRLPLEGFVLATELDSFSLLNLQDEKYKPPKVPEGWVSKKQPATKHPGEAHVSTAE AAKLSYMDAKARAVLLGEEQMPGKSVFDFLTPAARDRIAVASGKSNLPAALNERPPKG FEASHEDRNRVLQDLIPRLDAEVAMQALNRGVGGWMPYAEDDEKRARYRAFLEIRAGI REGLPERVQGMTKDDWVNEMHEFSRAAQVFKPVTGMMASRFISSSSRSQRASDNLDSS GNGEALLSQPPTKPGDPAMNAAKMGMFGPLTRSVKSFYPTRLLCKRFNVKPPANVQPD LGDGSGKGASISSMAGTRFESAGYQMQPSGDPEKADPSVSHQKLLQPSEDVAFASSTS KPTTAAAPSVDLERNEALEADRPSDEGCCGSTQAVDNDRPSSALAAPPQPTTSLTSPI SPIVSSTSRTPQPRTLQVHSTSQSQSPSRSSSALRPSSSIHTPRLNNLPSHERYNAPL TTPPPWTSKTQIWTLAQLQSERQEFYDTRVTGRLEIWGALQNVCELVRGGDIATAQGI LDAAGVTLPTGNLVDGCYDEAGNLYRLPEVVVSDPVNIRGDGDSDVRLDGSRNSNNID GETMIGVPDAKIAATAEDTVLDGDERDVEEDEKDILERRREEKGKASERDAIKVRCRL SDRGGPDVVIGLGKAQHVATLVRRVHVEGNVSPSLRIRLAYLGKILNERDTLEAQGWK EGHVINAMVVGDGIMPRPQLS EPUS_02509 MATATSSAAPTVVRSSSTRASGGNTDIPNRHRSTAPRPTSSSHR SSSRSHTHDPPPISNQAAPANVTRRDHETANVARRPSSRRSSSRDGGFDGGQQYRPES TRTHHRERSRPGSSRNSTDISRGSTVVANGSSVPSQAPASSVTSERPASSTHPSMGRR RTSITTTTGTWSLGKTIGQGSMGKVKLAKNTESGEQVAIKIVPRQATDEHGNPKDERA DRSKEIRTAREAAMVTLLDHPFICGMRDVQRTNYHWYMLFEYVNGGQMLDYIISHGRL KEKQARKFGRQIASALDYCHRNSIVHRDLKIENILISKTGDIKIIDFGLSNLFSPKSL LKTFCGSLYFAAPELLQARQYTGPEVDVWSFGIVLYVLVCGKVPFDDQSMPQLHAKIK RGIVEYPQWLSHDCKSIIARMLVVDPKDRATLQEIMNHPWMTKGYNSPPESYLPPREP LQLPLDPQVIEKMTGFDFGSTQYISEQLTQIIESEDYQAAVRKYTREDGGLSAAGEKK RGVFDFYKRRNSVSREGLSAPSAEAMRGGDPTNAYSPLISIYYLAREKRDRERSEANP GALAMPTSPGERPLAMPDLPPPEAAHTNQHAYEMPGEKATGGRARPRARTHGDDEVSQ DMEKLDVVGKRGPSTPSRATPPVEPPVKKEGTAMGLLRRFSTRRTKDRTTERQTAPSV SVQPPPELAPPPRKSFSVRRSRKRDPSPTNIHPGGSQPQQEGLLRASDANAGSSRSSR FLGRSTSVNSAEYRAPRFQTRGVSERMDSPKLAPEPPPTSGSDHSSISGQKNVKGNLT ETIADNATPLAMPRSPSSSRPKSLGHARRESIQARRQRREEARDREGNLPEETDAEMR DEAAAPPDTPGAEEASKVYLKGLFSVSTTSNKTVPFIRQDIIRVLKQLGVEYTEIKGG FSCRHAPSINLDRVKDLGSPLDEERTGRVLSGHPRRISFGGFRKDRDEIREEKMARQF SRRGPADRSFITNSEGSDEYVRPPRDSKTDETRDMAATTTRVQSDTGEYLVLKFDIFI VKLPLFSLHGIQFKKVQGGMNQYKHMATKILASLRL EPUS_02510 MYGLLTTNTPDPTLRRSTLQILLTHLSTLPPSTRLTTLQTLQIW TSLFYTLYMHDSRSTAPLSTQNLINTLTTTLLTSTIQPDNPQLKLALTTGFWETISRE WSGIDRHRMDKYLLLVRCMLRAVFLLLFESTREQATTEEKEAANGQVEVLRKWPLSTG RGNGEGRKVPDGIRYHVLDVWVDELEKVFQDGDREEEGKEREKERRTVVLGMTMGLVE KVAGDALTKGVRLRSKDVLADGRLEEWR EPUS_02511 MTFLKEDSKKRLHTGQLKLQALDKESSTSSKRAKSTSLGSDRKT SLPYVSSKPPSTATTKTRFSTPTSEIFIKKNANRPLFKSPSWQNRKILQQNGARSPVA GTPTPTAVPTTYKASDFPKNSTLTARRKSINLLPSLPSRVKSEPHSPSKNGCSDEPTS EPYPTKQAQHYHHSSADSPEPEQKLLSARRHSNHKRFINQERQISKTRSLPRGQSKPP KITSAYPVLRRTSTRVSKLQSATSSSRLSPDSSSCSLTKPNSNNSKQKASSKAKSINS SSTPLRPTVVVEIDNSPYKSASRKLLEKFRQPFPRSESATTTKASVKSARKQKEAQLL TELKKDTTIAQSRASTVEDFFGSHGLSKHYNDACTIDQRRSLQVAQTKAKRVKRSKIK LDWEAPLTEIVASTFNPADVIPPSEQAKRLLDLKFQDVSGGASLTFVNEKNDIQISGK FQFVDSYLQRGLREIAQKSQVTSPEIGCTCSGQCESDDSACRCLRVAEGSVRPYIKRD DGLVTLNPHFIDTVGFAPEKIFECNTSCRCSGDCFNTVVQKGRTVPLQIFMTERCGFG IRSPERIKKGQFIDVYLGELLTTKAIEEYENASTEMSSSYVFSLDFFGPASYHVQGLH FGSPTRFINHSCNPNTRTFTVMMNHADQKVYKLAYFAIRNIPAMKEITFDYSPETANE EPWVPTPGEEDEGVVRCLCGEKNCRGRVWPKRQTARRKGRGWART EPUS_02512 MVYYFESTAVNPPAFIYVGKDKVENEDLIKFGWEEDVWVCRSTR RFCAHVYLRMKPGEAWSSIPEALLEDCAQLTKANSIEGNKKDNVTVVYTPWSNLMKNA SMATGQVSFYDPKMTKKILVSVRQNAIINRLNKTRLERFPDLRSEKEDDLKRKRKAER LAREEKKAQERQEKKEREEKRWQKDHAYDELMNADEIAASSNQDRDADFLDDFM EPUS_02513 MSPLATSDLGPQPFAPSLTPVAKNGQHVHGKEDIDPLKAMSHGD VTLPGIPTFPSAAAKRQWQLEHMAAAFRHWSREGYVEGISGHISVRDPEHHEAFWTNP LGVHFGLLKASDMILVNLDGRVIGGNRSRCPNAAGFLIHAAVHKARPDVHAVCHAHTV HGKAWSCFARPLEMLTQDTCKFYNAHSVYDSYGGVVLAAEEGERIANALGSGKGCILM NHGLLTAGGTVDEAAFLFESMERSCQVQLLAEAAAANGMKKVIISDEEAAYNFKLESD ATVLYAEFQAYYDYEDSMLDGAFKK EPUS_02514 MAANILQLPFRRTHHVSLSGAIRQYISTKYEQHPEMFKEDLQLI DGMREEAISVQEPHISGIRRLTAYAAQLRWIGGKFPIDIGIDFPWYPALGFNTATPVL QNNIRFELANILYNLAALYSQLAFSTNRNSSDGLKTASQYSSAAAGVLAFLKTEVIPD MRSTPPEDMDEMTLECLEQLCLAQAQECFWQRAVKDKMKDATIARLAAKVSDFYSAAA DWAIKSDAISTEWIHHFDAKHHHFAAAAQYRQSLDCLEKRKYGEEVARLRDSLACVNE ALKESKWVNRTVLGDMNGLKSKVMEDLKRAEKDNDIIYLQPVPPKSELKMLDRANMVA AKTPKEVQDGISMLGEGLPFGRPLFAKLVPYAVHVAASIYVERRDRLVNQGIVAELDA MTARLRELLSSLNLPGSLQALEKPLGLPPSLVSKAEELRQQDGEYRLKRSMEDTSKLK ANDQAIYAEGVELLKAEKAEDDKARAKYGTDSWSRQPSDLAGQKLWAQSTEIEGYLKS AASSDDLVLKKMRESERVLKVLTGTSRELESYVPSSRRATMTPQIEREVSRLRTCLNE VTRLESRRKRKIEALREKARSDDINPALLKETGRLEREFPMQKIEPAQFEDLFEERLQ DYEVDREMLMKEQEDQDGIVSRLQDANAAFVNARKGDSSTKEREQALQELENGYLKYK EIISNLDVGRKFYNDLANIVARFRDSCKAFVNQRRMEASHLESEISTSAMSAMRIHDT SATLQRQKHHEASRPPPPQSLEQEPLTAPQPTRASIVPPGPTAGMWTPEMGIKFGPPA QGSHNPHVAPYPQARPNSNAPWDQSRPRFT EPUS_02515 MASRYSRRLVKPLLYAAAAGTAGGVILYISYRPRNIPGSAGAVV PTPTAEDGTFIPPRFPRIKTREEQIADLKRSAGSTGGGSLTRKVKESYDNLRGQSQSG AVEEDPKNLEPYDVLIVGGGATGSGIALDAATRGLKVALVERDDFAAGTSSKSTKLVH GGVRYLEKAVWELDWNQYELVKEALRERKYFLHTAPHLSMWLPIMLPVQHWWQTPYFW GGTKFYDFLAGSEGIESSYFLPKSKALDAFPMLRKDNLFGALVYYDGAHNDSRMNISI ATTAALYGATVVNHMEVTGLTKDASGKLNGAVVKDVIKSKNGQPDEQFVIRAKGVINA TGPFCDSIRKLDEPGEQEIVAPSSGVHVVLPGYYSPANMGLIDPSTSDGRVIFFLPWQ GNTIAGTTDAPTEISEQPIAGEDEIGWILNEIKSYLAPDINVRRGDVLAAWSGIRPLV KDPKAKNTESLVRNHLVTVSDSGLLTCAGGKWTTYRQMAEDAVDEAIKHFDLQTSPLL SAPRVSGSEMIDDAAPLDGTCQTHQVRLIGAHGYSKTLFINLIQHFGLETEVAKHLCQ SYGDRAWTVAALSSPTEERFPVRGRRISALYPFIDGEVRYAIRHEYAQTAVDVLARRT RLSFLNAQAALEALPKVIDLMAEELKWDKKRKEVEWKDTMTFLASMGLPKSKLSMTRK DVESGKAGFPTEYERKLYSRHDGPADTLESDSKLKPGQNPGISYDSPANK EPUS_02516 MSFIKAAGNARQLSVIESAYSQELDSTTMHDSSEDSTEQKDFAV PDISFAASSSVLGGTQDPSQISSLTLNLLSTDDLHHQRQASKDIEKGDAATLSSDESG PQVAEIANHDKQDPFAQESEGGVQYRTMAWWQASMVMIAETVSLGILSLPSALATLGL IPGLILLLSLGALSTYTGLVIGQFKLRHPHIHSMADAGMVLFGRIGGEIIGVGQLLFF VFIMGSHILTFSIMMNAITSHAACTIVFMLVGMALSFLLTIPRTLKNLSWWSITSFIS IIAAVTITMASISISRPRIGKVDLWPNADVAFHQAFLAVANIIFAYAGHVAFFTFISE LRKPEDFPKALCLLQGCDVAMYVITAVVIYHFAGDQVKSPALDSAGPLVRKVAYGVAI PTIVVAGVVNGHVAVKYLYVRLFRNSGQDGAKGGNIMYQTTFKARGIWVAINAVLWVV AWVIAEGVPVFNDLLGLTSALFASWFTFGLSGLFWFSLNRGRWWCWKHWRMALGSVVA ALCFLIGLLICVIGLYASSMSIRANSQRKMAGGSFSCADNSGAKIVGDLGGGKVVEGR ALALCSI EPUS_02517 MPRVTRAQLRAQEAEVGPQLIHEDNEAMSTTNTQDYDPFSSEIS IRPPLGEIQNNNNNAPLLPPELGEQLTPTNKNKGTKKSNMRNRKNIKLTLANDESFLP SPDQQVLEDESQSDASSAAEAAAEELRRDSLALEISQVLVDNARPVTPSSTAAKEASR SLSKSPEKRLIAVQGPVQGTPRFDPLVHSQTSFAGQVSGQRSEDSFVSSIKTRTPARI MTSEQHAGDGPDSFMENIINRSPSKYVARIEDSVEAIDALEEAIEQVAEDLPKAMPSS LESPVRNKTPQANTIKPSPIQGVMDPAAPLQQTSKNPVFPTKEKLVGKTPTTTGRKPL ARASSAQPQPRTSTLTTIKSRSSTQPTASAATTRHSPPAPMTTTAPRRTASTSLSTSK PGFVPIKSAKPPTKSNFSLPGDAISAKMKAQREERLKKEEEAKTEEARKRTEFKARPV PRAVSSAGAGGKVRVSSVLPRENATSRARMSLIIAKKDEAGKDNAGPAASKVVSGSGL GSARAGGVARKSVVPSTAQSSFSVTNTRTRPSVAAAATITGRVSMSTVSANSAVRRNT THQTVPSKTRQSSIQTMSSSAIQFGSEGSSNTNPATTARTGKMAKGKEIFSRGKLAEE DLQKQKREKEAAAKKARAEAAERGRLASREWAEKQRVRKLKRETLPVPGNVDGLKMVG LEEAEGQ EPUS_02518 MAGKAKGTSSKRSKGRGTRQSASSSSAAHVIAEKTRQTQTHIFF WAGPLSNWHKGRPFSGARALASAIFQLDKINTNHPAETALSSRLLAAHTFNCGEQWLM ATKGWLFERDVDLGETTTTGEEFKSLATQMLAPQPPPKDQPARRQLYMSTLCAVLRTT SPKQQKALGRKCRNFDPAVWDDASVPIVVAGSVARAEADYMLKRIYLKAGKREFVEGS PVDTIWGVGIHWTHPSIEEPANWRGTNRLGVCHGLARNIILEKFGNELEAD EPUS_02519 MSESGSEQSRADKKRFVLTPWEYNRNAQRVFRQRRKEHLKHLEA TQKEQSSVQSEEIEKLRQEVRDLSQENESLRKAYGSQASSPGPTTSSPGDVTMPPFLP YGHSTSYLGSPTGSSPFIPGQTAANLVVVVPNNISEIRRSLHALFAPLLEIPVMANPQ NHLATLAALAPTLPLQLKPTELQLRTPHHAYIDMIPSPMLRDRLITIGPANSNTFMTQ VCTIACDIEDTGQMTVWGEDWLNEFSWEFSADVLERWGGWLLTQEWGQRANFWRRQRG APILAAWDA EPUS_02520 MTKAGKKSGDNVVTSVPRTEDGLVDLERSKTLNNQAFSGLSQKI QAQFTKLKADNPNVNAKQAKDHKKNARRKAAPQTTLESNTIKSQNRGQKRDSRGKVKE AGKSKSSLNTAEASSATKDILKEEVYSLGGTAEDLALVAGLDSDSELDHDRGQDKSSQ ETKFEKGLKKGIAEILKQIDESGRPTTVESDEGVEDVTEDGDAQDEEKQGQRHGSFHA AESPGSATASTSRLGRSNLLIEPRPDWFNTQLPPINDSEVPKVKIPASLIQQVHDHAK SLLDAENESFNATQQSSSSQKFYSTVITSGTLSDKISALTLAVQESPLHNIRALTTLI NLGKKRSRAQAVDVLRALKDLFAQGSLLPSDRKLHAFLGHPNLLGALANSKGWKVGET LPGFLQPQHLVLWAYESWLKEKYFEVLQTLEIWCNDEIEFSKSKAVNFVYELLREKPE QESNLLRLLTNKLGDPSKKIASQTSYLLMQLMAAHPLMKMTIISSIDSDLLFRPGQSL HAKYYAAITLNQTPLSSKEEEVASKLLSTYFSVFVGLLKPADPDRRVQNDESKARTAS NEKRKSTRKHSHDTEYAQADELREKLTSAILTGINRAYPYTDSTSDSLSMHLETLFRI THSSNFNTSIQAMMLIQQLCSSHQASADRFYRTLYESLLDVRLITSSKQSLYLNLLYK ALKADTNVKRIKAFTKRIIQVLALHQPSFICGCFFLLQELRQTFPGLSALIDQPEEHD LDEEAYKDVTEPQDKGPMPPESRNFPGKGKAYDGRKRDPEHSSAESSCLWEVIPFLAH FHPSVSVNAEHIIRHAKLPGKPNLELHTLIHFLDRFAYRNAKIGSSNLRGSSIMQPLA GGDTASLLVRSASHDQLPVNSEKFWNRNSMDVPAEDAFFHQYFSSLGKTNPNRKISKK IRQSAENDDASDTEESEIWKAMMESAPDLEGADESDQGLEMDDLESDFDRSSEEAAED EEDDEDDEEMEEQEVSSSSDEVSVSSDAEISSEYGMAAPAADHDSLTAVRFAGKIRGP SAQRRKLKHLPTFASVDDYAEMIDDEGGEDLG EPUS_02521 MKFGACVRVLNGARNLDQQYRKYASCTGAGIGSLFQRRRCYCED ARYRCSAFWKPSSKTHEEKKTKNGKEDSNILLAQAGFIRQAYSGIFHLLPLGLRVQDK IERLLDKHMHSLQASKVSLSSLSSQDLWVKSGRLGAGTDVFTFSDRKDAKWLLSPTHE EEITELVGSLVQSYRDLPVKLYQISRKYRDEPRPRQGLLRGREFLMKDLYTFDVDVPA ALRTYDGVRAAYNKFFDELKIPYIVARATSGNMGGELSHEYHLPSSKGEDSILSCSHC DYVKNEELVTSRQVSIRLLESCDFDISSALEELPKLKEAVFITNDKGSLVKAYCKRWD AVASNATSENEINPYAVKAAIPEVALGIENPSEQFFSSNSTRGRTLYMFDDQITWSDA QAQLATERGPSSPANAPMLIVESPSGSLRIPDLVKPQTGDRCPACSEGRIQVQKAIEI GHTFHLGTRYSEVLNAKVAVGPGSKSQHEVFMQMGCHGIGVSRLMAATASALSDTVGL NWPRVIAPFEVIVIPHQLRDVEACVAVYDQMLQGEPACNDAIVDDREKDWLSKLREAD IIGYPVIVFLGKAWKERGVYEVQCRRLKVKQTVPGVELSGFVRGLLEQLIDTLTKDTF IPLQDDQVNGRRFPNLASSTIPARPPPPFTLILLPVGTTPCRSVLQNDNLLPTPTRSR SVLPSIPPTPSSILRTNATSSQPCTVDITTLAAPQLSSLQARLSQEIEHLSTSHTRLR SAQQRFRECIQSIEGGVRAQKNEAEILIPLTTSLYVPGKLADREKVIVDIGTGFYVEK TTKDAIKFYNGKVEDLGKNLGELEKVIGGKSENVRIVEDVLRQKVMQGESATGAGGPG EPUS_02522 MSDYEIPNAREWDAASSARFSTSRLSLSDELDDGFTKVHGSKTK RQNQVNTAQSTKIPVHNTTGKGHHLNGYDGANNQNPILTNGHIYGRGRPEASRPERGR PDRGRGRGAGRGNENSNHFTKRSTNPAPLPDRSSTQRSKPISAAELACQRGEPAAGEF SFTIPRRACYRHLRDKAQSFKGQLAAISRTSSTHIEESPADVFKVLIWGDEVNLRQAV LQLEDLDKSVQPQKWAKNPAFDGRRENRRERKAHEEEQATRLQLFADSQQYPFEYSFI WPDSELSLEQVIDRHDRPKGALESLRSTYQCSIRCDVASSTIIIQGMQERDVIAAGRT FERIARQMITDMSQFIKISLLSAPTTPLHQPFVSMNQQVKLNTALYYLPFSQERKTDS FMVSVPKLWTHILPPSDEARLKSEKMVRRLDQYNRNAILAGLEKSLTNLHFVEKAVRM QVDFGELAFLRYLAPRSGSQSHSLEEFRRTMSKERTHLLLQAMPPNVQIHKLIDHLLK HPALKDEGEDSASVTERYVVAFDFRRPDRKILRHEQEFGTSYRNEEMEMRRKSWVLMG ADSDRESPLVLSVLDFESLDWQLRIQAYDILDARSMAKEYADFEAAVGLGAAPSPAGI TGPPAQRASFPRGHHDLVRVTNKPLIQFTLGKTDHILEIAREDVYETHLYQSYPPKPE SKWTASFYYSQWVNDLGEFAYKKHGETPSWQASLSTFFPEGEDAAGQPQKKLKGPRMF LKEVEEVKNILWEATRVCTDGNNGDRLATSGRIGTVEKGEDDDLAMAN EPUS_02523 MAATPQKSHKKQEWLTKGGLAREDSDDELGIEDVPWRWIYDEGT DLYDLDKTPTRKRKAKTLTESTRKIKGAQMGSFTCYVGDTVLLKSPEQGKDWAGIISH FSETNDDDEEEMSASIMWFCSPEELSRGKKSRLRTDLLPNESFITADFNLNPLESISG KAIVLSKEAFYKKYPGGRPPRSKAAIALYGKTLLCRRGLKQRTGKYTDEFVWEEMYQD IGDLYGLVNWINEQTKGRRKPTEKGDEAQDKEFAPNKHGEEDAEPETPKKRRKTASAM STPKSSTKSSKFTTPTHKRIVIKKPLEITPLGTRLLSPSQILSSPYQHARTNLHVASV PASLPGREKEFSTVYKYLHDAITDGTGACIYIAGTPGTGKTATVREVVAQLNNAVLSE ELDDFNFVEINGMKVTDPHQSYSLLWEALKGDRVSPSHALDLLEHEFSHPSPRRKPPP HPLVTKNQSVMYNFFNWPQLRHSRLNVLAVANTMDLPERTLSNKISSRLGLTTITFPG YDHKQLMSIITSRLQGVPGNIVDADAIQFAARKVAVVTGDARRALDICRRAVEIAEQE QEQSQNEHSANPESAAEAGALDTPSKKPQSKPKNQPPHPPPQEERAARDVLVEAKRIA DVAENQAIHDFLLVDQRRHLQEQVSTGAGKAGKAVQVKQVPRVLAMGAAAMELLASGV LAMEARARGERSGKIRLRVGEEEVRGALRGDEEVRGLGFSG EPUS_02524 MDALPPSHAVQLSTKHTRDLFGSDFGQLASPESDVGTSYRRKAE YGDVRELPKALAEKQAKATAAGRIKRPKVQSAAQGNQMALVKSNGDPNTASQNGLPHS TSLVRRPNMQQPRPDWHPPWKLMRVISGHLGWVRSLAVEPGNEWFVSGAGDRTIKIWD LASGNLKLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLETNKVIRHYHGHLSG VYTLSLHPTLDVLVTGGRDGVARVWDMRTRSNIHVLSGHKGTVSDLKCQEADPQVITS SLDSTVRLWDLAAGKTMGVLTHHKKGVRALAVHPKEFTFASGSAGSIKQWKCPEGAFM QNFEGHNAIINTLAVNEDNVLFSGGDNGSISFWDWKTGHRFQNLDTTAQPGSLDAEAG IMSSTFDRTGLRLICGEADKTIKVWKQDENATEESYPLEWKPTLGRTKY EPUS_02525 MDPSRSEFAIHEAAREGKAGVVDSLLNANPKLATQKDDDDRLPI HWAIANNHLDITSTLINTRNFDPDTPDGSGWTPLMIASSLKDNQGEQTVDLLLQKDVE VNAQSFNGQTALHFASSKDNLDIARKLIEHKASTRLKDKRGQLPLHRAAAVGSMPIVK LLLENKSPLNASDVDGMTALHHATSEGHGDAAVALLKAGAETDKRDMDGRLAIDTAPD AKVRKFILQSAEREGIEIVTS EPUS_02526 MASPRKPAKQSSGWGGFLQQAVLSVESRLDNILADEDQPSGKTN ASEKAQEHTGRASTDVSRSSSTTRTSDRLQERLARALAKKTSEARNESPVSTVDPVSG TATPVDKFPHENAQNTSSRTSTDGMRNVRIPSITADDMHIINPAIDNIEQLEQKSLTC GSGVFEKERQPQVLSQAATPTRLSMEEESRIQTQDIVVNGIRTPDEGASAEEMYTYIE KIDALQAKLQYLTREVAVSAHDAAAAAKAGSPEKRLLEKDEKIALLMEEGEKLSKAEM KHLMTIKKLRSQAAETAKSQSSIRARAEKAEASLSNAEQRRNRAEAAMRKAEEKLALS LNADRDAEALRSERDALTNTVADIRAQLAHANRRAEIAESKAQSDALGKEKKQIAELQ DDLTSLKVEREISEEKLQREIRDLKALLEREKERSTSLEGELRAEQSLLESKMESLRN RAEEASSSSVGDSQAKLLRQIETLQNQYAVASDNWKGIEGSFLARIANVEKERDGLEH REGDLRKKIREATQKAKNAEKENEASKDVIYQLEQSLSEQKSEVKHLKQKLRETEDSL ASVRKDLEAQKQRYESDLSRRVEEERHRWKEHILIQSPNVHRNESPIGSLRKGSGLGL GLEHVNGSFASAERSQSRRPSAFPPSFRNSNTPPRQNSSTSFQPSLNGTVPETPASQA IDQDEYFPEPSTPASPGTHRGVNDLISVSTVGAGPSVQLVERMSASVRRLESEKAASK DEIARLTAQRDESRKEVVALMRELELKRAGDERIKVLEEELRLVNERHQTTLEMLGEK SELVEELRADVADVKQMYRDLVDRTMK EPUS_02527 MSLVEPPPYLASLQNNIRARPIPWDGAVRAGNLADEQLKKIKSV DKVRKEQRKQNIESDLQGYTTLLIGGKNGTSVLEKAAKRTDIIQYVLVLAADLVNDSP SLASKLLDEPEPYKPFLPLLSQSSNPEDPIPLLASSLLNNLASRSLTSSSKSLPRDEE ALPKLYSYLSKLANSQDSGLQDIGVQGYSALLRTKKSRELFWKQRKDTITPLMNILHS AAGAAKDTDSTLWSGATSIRGTDAAIGGGVGLQLLYHVLLVIWQLSFEGKLIGEELER EHEIVPLYTQLLRISPKEKTTRLLLSTLYNLLSANQSTLIPAATLVRLPALLANLSGR HLTDPDLLDDLKNLDEMLDEYTKTQTTFDEYAAEVESGHLRWSPPHRNATFWRENARK ILEFNRGELPKKLAEIMAKPWENDKKVLAVGCNDVGRLVKEVPDQRGQLEKLGLKRRV MELMSDPDEAVRWESLRAVGEWLRYNVEN EPUS_02528 MGTPRALYRGRSRGRGGRDRGRRGRQIQHTKSDFRTSRLEEKRD AAASSEDENGELSEKDHESTTAIFDNDANSDEDTNDIAYSYSRLLQSLKPLPSSNEPV TKRRKLLSGSSQPAVASTKIERARESVPCDNISTNQLRSTAEADRLEPQIEVGGVDGP ITAGEEEDEENENAGGEEGDEVDEVDEVDEVDEVDEDLTDPFQSHFANPDLVFLSERV KAVKDHKWTTQTTSMLQGLKQQYAVPSPDGRTVQNTSPIRSFESLKLKRRLVAPGQKV WSRLNIAEQEIASAVIMYKDVLFGSRTIENARHLRDVVVLHALNHVFKTRDHVIKNNA RLAQDEDGSASEIRDQGFTRPKALFILPTRQSCVKFINSMVELCQPEQQENKSRFVEG FAQEEDSSWEDKPRDFQELFGGNDDDMFRIGLKFTRKTLKFFSAFYSSDIILASPLGL RTAIESGGSKDGKKGADADFLSSIEIVIVDHANALLMQNWQHVEYIISQLNLLPKESH GCDFSRVRHWYLDGEAKYLRQTIVLTDFLTPEINSIYTNVMLNVAGKLKYAPVHEGAM IDVSASFPVRVPQTFVRLNATSPASDADVRFSYFTSAILPLLRKSKQETGTLMFMSSY LDFIRLRNYFSTSATTDSFSFGAISEYTSVRDVARARSYFLTGRQSILLYSERAHHFR RYKLRGVKRVVFYSLPDNPIFWSEVVGFLSTEFADETHKGKPTVRALFSKWDVLKLER IVGTSRVGRMVNDKAGDTFEFT EPUS_02529 MAANEDTFLHLARPLGPAPVGTQPSTHPLTVIIQPQALLSVLDH STRRPPDQTRVIGTLLGTRSDADDSTITIHSSFAVGHTETTDQVEVDMEYQKTMLDLH KKGNPKEVLVGWYATSSELNTFSALIQNFYGGQGDGTWPYPAVHMTVSTEAGKGVEVR TYISAPVGVTPERAADSAAFIPVPYEIRYGEADRSGLEMLAAAKDREDRTVATITDIE SLEHALEDILGMLDRVGKYVEGVIEEEDDTLPNTSLGQYLLNALALAPKVDPADIEKD FNNHIQDVLAVSYLANTIRTQMDLSNRLATAQLTMGGPESTSLATKEEGGQQSQRGGG QRGGRGRGGQQREQRDQREPVSAI EPUS_02530 MEPRARAARLKGQLNFPEDLKQLLHAFGAPSPPGSPPDQVPEPY PETLRVLDEILTDFIIEICHTAVAVASYSGRQKLKVDDFRFVMRKDPIKLGRMQQMYM TGKDISKDRKAFDAIGMGGAGAAGGKVAVGALVVFAEMGGEEGTGRGKGRGRGRKRKV VDQPDGAGDGDGAGEEGTAGKRARSDAG EPUS_02531 MLFPGHERSRLLLEDSANSGTRLKVQECATVDKDNTATESLKFD LLSDFKQIEAPTKPSVEEQNHDPKIATAQAKTKLDDQWPNGIPPTGPRKSLKWAGQYT PRRPSTTVERVQKRVVDDGTALKGTVPRPLLSQTFEKGIHSLATTKSVDKAVCGTSTA LESLQRTKSSMHDSGHVTTLAGYETVKTISQNTTKLESIEQNDGQCDKQLQINVEVSE YSPPEFVALLSPTNVPSMPSSDPQTFLGNGIGHATKSPTYSVNKTHAPYTNSPSGVQH KDTAITEDNWVCRRCLNKLAKKAEPQLPSICQLPITTQSESIGGTHGVPAAAHIPVSL HDSRLPEESSIADAYSQSAIRFGDSHIMQKESAHKPHAGDDRRVRGHGTIGDAPTAAP HSRAPSDLNTMLMTENTINLTLVTAKKPDDISPANLPSYSRGWEARTYGKATKADSVN GSRDFAPSSPAAAPSVLHRATHTTTGPPLSPNETPPSMEILRGDTSILPPSADLLQGH SRDCRPPRPLDPEETQAASQLLADDAVTAPWGRTKSSSCDNKFSRNASELPNGDRSVS KHQARKAEGLVSIGELNIPETPSDVMATAQSADHCAAKREKISRLSTSPPREASQGKS SNIVRPFKKLCSLCQRPILGSTSLCTKCKQTTDDEMPCRPNESLGNGSLEVVDLPTMT MTGLTTKKTQVACGNMIGQWKLAKESRSQEDVTGALRMCYESPVPGSPVIPETPDLGS EKTSNSRDLLRTEHGRTEPNQIRATIPIVPQKRRNIAPLASDSDHCFSKKRPRFFKPT TKSIAVTVPLVKSNQPPLTPKSGSPHVELISRDASVQASVETAHRGTSPCSASFPGPN KNDGQPKCSALPDVGRDKAISEDGNISIFNGTPREATCRSPCSSRMTEQWQSVKENVV RLEHQAKEFTERNQAFEQAKMAIDRLQRPDQAKGQLPTQKSSVPGAELCKLEDDGKVV NNHSTSMNDSVTGNAGKWTLKDEKALLEKLKHRGVIFEDDSSSDSEVGIPPPTTKSAP RDPLWRRPRSSSDLFMIAPDLNGNHNSTDPTRRRREIAARPFRKERRLNISYLRQERG DNIHEEIDRICPSRMVKVSSTLASTFPDPMEKSEQRTQAEMTFSEFIGVPASPMALLT KDKQLAFRDGTRDAKGSLPRAREKFIVTNRNIFCMEK EPUS_02532 MDFLKSAVASAIAKGSSFPYALGDRLDNEESIWALHSATKRDDG SSCSIFTFDLQKDKSRLPLARNALRKLRTLRHPGIIKVLDTLETETNIYIVAEKLAPL SWHIKRKSISEETAKWGLYTVASTVKFINEDAASVHGNIKSSSIYTSESGEWKIGGFE ALSSMKDDDAVIYNYGSLVPGSGRSQPPEVTNGGWAAIKRNPIAAVDSFGLGILVFEV FSGSFRGTDQLSQTKAIPSTMVPSYRRLINSNPKIRLSAGHFLEQGTKVGGFFETPLI RLTTDVDNLGLKSETERDQFLSELDAVSNDVPEDFFKMKVLPELLKSVEFGGGGPKVF AAVLKIGSKLSDDEYEAKLVPVIIRLFNNPDRAMRVCLLDNLPLMIHRLSQKDVSNKI FPCMVTGFTDVAPIVREQTVKAVLTIVGKLTDRVVNGELLRYLAKTANDEQPGIRTNT TICLGKIAKNLGASSRSKVLVAAFTRSLRDPFVHARNAALMAMSATIDFFSEDDCAYK ILPSLCPCLVDKERIVRDQANKTLDLYLARVRKHGASLADTALPAADSVNTASSTSTR MGNSGDTSWAGWAISSFTNKMTTARGEMQPPVDGLTSNAPNESRSASLPASGRTTPAT LPSSGKAVAVVTERVATFTDSALLDGQQPDDAFKAWGDMGEEDDSFFDAPTSRKRSPE PRNNTKYDDEGEPDFAGWLAAQSQAKSKKPLPKGLTKVANARPSNIDRPTSTHTIRPG VGTKKLLNTTSKPKVTVPVKKIDTKPQETESTDDGWGDDWD EPUS_02533 MPHPGYQCTSSTNLSSGSLSYRQHDGKFGIVTGGSRGIGAAIAE NLAAKGCSLLLVYTSDTSREPIEALCKSLAEQHSVRCVAVQADLSGLSRSVAHIVSTA RNNFSHPKTGRFQIDILINNAGIAGNKMLNDQEKGPIEEEQFHKMYDVNVLAPLLLTQ ACEPYLPTDRSGRIVNISSVSSAIGYSGQSIYAGTKAALEAMTRSWSRELAERCTVNC VNPGPVWGDMYENAGPKFWAGNQPFVDAAPLTAYHGEIQVKAEAGEGQEEKFDKTVKE GMGGRRPGFPREIAGVVGMLCSQESGWTTGSVISGNGGMVMST EPUS_02534 MEEEPTDGIQHGHITSLSVMRTHRRLGIAERLMRLSQRAMAEVF NASYVSLHVRQSNVAALHLYRDTLGFEVEKVESKYYADGEDAYAMKMDLTDMQIKNVP EVDGEDADEGGEVGSMGKKGDDGKGGKENKEGKEKMIKVKVGRGLGVGDLVERNESTK S EPUS_02535 MTDDSEPVPPPYSPDDPLGESTSAQRKLVDGTLTPSANTDHRAF PFYGHAVGEAGALAVTVSTRHCIEHRVSQQQTSLPSPQRGSKMQPDSQNMHYLGGEEI ADIPTKHPVPSGLLSASLVASLAVQAVARRVEQRTGDISCAGRRQYRGSPSHRPRCSR KKFGPAYTTDHAAEAEENGTIHSTVPLPSPASECSMSAHSAENSDSTNLSKLSKSMAD MNLTKRHRGTGATTSSHADTRISSPDSKAMVASPPTFSNPPLLLNRPERRALKSDLKA LKHEIRAAARQVRTERREEARNRGEKRYRAMSFQEKSELRAWKRESLGEVRKVARSVR RARRSRA EPUS_02536 MLRELRLSEGMTKKWMDLLESGYDEPLKVILIALCEGLRELSYI TYDTSGHEAEEDPLGMLSTSIRSIYLLGSPQSCQWPVGFKALRSIIVSEPCRYRHSHE SFSSDSATVAPLFLLPEIRELRLTLIGYRESGDYVWEWDEAVSSVKDLQIINPDLKEK SLASFVSACRALQSFTYDRLLHEPLMCASLLKHAKHSLEELRVDEHTSG EPUS_02537 MAVQPTIHGYSTTIDVCSVIESVTKVYIIEPIYINTYVQHNTTF AVNDYLTITVEDAPTSFDGVVKGTTTTQMTSSYMVYGASSLEWTKLTGCSNPASFEAV DSYSFVLSVFVPKADNIQRRQSGSNGFITNQGSFNNSCADAVVYTLVNGQLFANTTSG ATQFGADSGVPYSNFTPSASPGSITTTFAVDSQNNLIWNNVAFYNNYVQWCVRSDNMI LAVFVSSDQAPSDCLFVQLSMVRLSTCAQPIRGPTGPTGPTGPQGETGSVGPSGPSGP SGLSGASGQVGATGATGPSGPAGPAGPSGPSGPSGASGFSGQVGATGLPGPAGASGAS GLSGQIGATGPVGPSGPSGPSGLSGASGQVGATGATGPVGPSGPSGPSGPTGVSGLSG LSGQVGAIGPTGLTGPSGPSGPEGPIGPTGASGLSGVSGLSGQPGPTGPRGPSGPSGP SGPSGQTGPTGATGIAFAYLGCFAQSCNGPPPCQLLASYALDSSVTGNAGGYLTTYTG SSSANIDAQCSAACILRAGANYFGTVNSGTTQGDCYCGNVVSAASALLTNCVNCNGQS IGQCGRPANSIAIYARSF EPUS_02538 MSHGLSPSSTPHFKPVQQTKAHPTFHFLAGLLSGLSTSVLLQPA DLLKTRVQQSRNSTLLPVLRSLLASPHPIASLWRGTLPSALRTGFGSALYFSSLHTLR QRIAQRQQRVSTEGVSLSLGDGITPSTRPSSVLPRLSNSENLLTGAVARVFAGFLMMP VTVIKVRYESDFYAYRSILGASRDILSREGLRGFFAGLGATAVRDAPYAGLYVVFYEG SKKKLNGVVGKDGGVIAASVNFASGVFAAGLATSITNPFDAVKTRLQLFPVKYGNMVR ATKLMLKEDGVRSLFDGLALRMGRKALSSALAWTVYEELIGRAERFSEKTSAKSVL EPUS_02539 MPPHQYRVAEVCPYDSSSSDEYYTKIPVRRHARYGSVVSAHHHH HVHEPVYAPSPSLAVPLVDVGGRKRASSTTGLPQPNIIINNADSGSRSRSRERTRRRS PSRGRRLYDGEYEEFIHRRGRSSRTPSPYYRSEYRSEYRPEKVEQIERVDYETRKALE KLKIFEEEKAAEEAQKKFKADMELRKAKEQLEKAEAEEKRIALEKKAVEDWQREKAEA EEKRKALEKKVVEEWQREQDAKKAREKKEKEELDKKVDEQFRAKLAEAGYSLSEIEDI INHKAERDEKKHVNEMRIARLRPTYIKVHKKHLLPETLDVYRLPWSYDSKDSDYILIE TYVDDDLQEELFAHTRKIRSRKLIEPSPIEKKVIVKINDKKKDKMYLVRKKDTSPLRF GILG EPUS_02540 MAIPNAALQKLLQEVESQAIQSQQQINIVRSQINVKQRDARLNQ LTSTELSQLPRTTKVYEGLGKMFVATPISTVDARLSKESQTLKSEIAALEKRLHYLET THKNSREHIEKILQTGGRG EPUS_02541 MSSWLPSLPYPPPDQKGFWEPVTSTINWCEEDYYATVYAAEIVN TLTNLLFIWLAYKGLISCYKHGHDSVFAVAYAGYLAVGFGSLSFHATLKYPMQLVDEL SMIYTTCLMAYASFSYSRSRAFRIVLAVSLVGLSLFITLYYHYLQDPAFHQNVYALLT TIIVFRTIFTMERTLRPSLRHSEEKHRQERKEKSRALHEKQVQARLNVRDKAILKDMW ILVAFGLTMFLGAFGIWSLDNVYCSKLRSMRRRIGLPWGVLLEGHGWWHLMTALGAYC YIVWGIWLRHCLNDRQDEYEFVWPRLYSLPEVVQSKAGKSSNISLENGFAKKTR EPUS_02542 MVAAEQEADEVANSYRYGSVPTRGIRLLEIPEISIDKPWPLNAY DLQDCPTYFALSYTWGPPLDTAKSRAEYGGVKRPLTLRTGNHSGRLSIELNLFEALEQ LIAAGYTGRLWIDAICINQQDKLERSSQVALMGHIYSGCTRALVWLGRDTSNLGHFSW FHETLLPALEKHLDEGGLVSDFFQVVKMANSGEDTTARWRGYVLFYEQRRWFHRCWVM QEVALPPHVSMLCGSAYLDLEEICDLAMFLNDVASAVVPADWQLDHPGTELLTSHKVY AIGLRRVVCRLKDLRSIEWIPSVTGARNPCEMCVALFLSLLSGMRGYQATDPRDKVYA ALGMATKFLPEGEDALMTPDYSLSVSEVYRRFAAFEIVKLPYVAVLSYVEDKSIRLLS DLPSWVPDFSPDIVGSAYASRFHDYPFNACVSESVGRYPRSITGHTLQLYGGYFDTVT TVVQGTDSYRQRTQPSLLAMEIRCLEICSQLLEFCSSLESRYVNGQRRLEALSSTLIL QQIDGRPHSTETSQMFRYWLLAMLSVSWASLRLAPEAEPLFQKCCKALQNLEEAQDGT LPSEGGIVDYSRMHLTREVNVLERVPSDALPEDIPPRTVKNNVWDNYRLYDRGLHKGL FLGQRLCKTSTGCIGLGPPSMRPGDQVHFICDATVPFVLRPEPVVSRFTLMGETYLHG FMNGEVLRTDFKDRIEPVHLV EPUS_02543 MHQSLQVYKPVSTGKSDGVDYLATSVDEGSAGEWKNKKLATDGA EDFGKAGEIGDAVVAEKINEDVPEALVDDLKPVYPGFLSTTPIQRTSRTSTPIQPLLR SPLKRTATLKQQ EPUS_02544 MYEDSTHVRQHALQSVHNATDAGRPSFERLLDKIEIPDRGRHKP ILILDGGLGTTLEDEYQVTFSSRDTPTWSSHLLASSLETLLEVHRSFVQAGADIILTA TYQASFNGFTSTRRYDLNVTSAERGGFYSQEEAGHLMRSAIPLARSAFGSKKGLLALS LGAYGATMIPSTEYTGKYPPEMGDCVNLERWHAQRINVFEADQKTWNEVDLVAFETLP RVDEIQAVRNAMQVLSMQKPFWISSVFPNDDEKLPDGSEVMDVLEAMVAQTPSESKLI GHPPTPVGIGINCTKVSKLRSLIQKFEEAAASMQVHLPHLVLYPDGANNMTYDTTSQL WGPAKDAAGVGKWEDEMFDIVHEVQERDKWAGIVVGGCCKTRPQHIARLSEKIKHKR EPUS_02545 MASTGSEYMEKPRPTSMDSTPIARGIQSHDNYDIERPKERKQSV VGKLKSAYDLSNTNRRPSRDGSIKQYDETHRKLKPRHIQLIGIGGTIGTALYVQIGQG LLRGGPASMNEMVTYLPISSPFIRFAGRYVDEAFGFAAGYNFFVFEAALVPFEIVACN VIIQYWSAAVPVGVIIAIVIVMYAILNIFAVKWYGESEFWLALGKVLLIIGLLAFTFV TMLGGNPLGDRFGFRYWRNPGAFNELYYGGNLGRFLGFLQCLIQASFTIAGPDYVSMA AGEAENPRWVMPRAFKAVFYRLTTFFVLGSLAVGILVPHDDAELTAAFDNGEPGAAAS PYVVAMNRLRIGVLPDIVNALVLTSAFSAGNSYCYCASRSLFGLALEGKAPKILTRCT RNGVPIYCVAVVLLIALLSFLQVSNSAAVVLSWFVSLLINFAVMAFTFIKFKKACEAQ GLSRDSLPYKSWWQPFCAWYAFTGCFIMAFVGGYPVFILDRWNVPTFLFSYMMIFVFP ILFVGWKLIKKSKWYKPGEADLYKDVDEIEEYQRTYVPTPPRNKFMYWFDRVFS EPUS_02546 MLPLRINLLFVFCTISVAREITFPPVAAIPSNGQSILSTADDLL VEALKFSGLSTFANVPFVHCLSKDQDVEKYDIAILGAPFDTATTARPGARFGPHGIRD GSRRITGLMGWNIYTDRNSFLDWARVVDCGDAPLTFLDNTVALKQLEHAHKTISGRPA NATDVSKTPRIITLGGDHTTTLAALRSTYDHWGAVSVIHFDSHIDTWDPKILGGGISQ YAGVNHGTFLHLAHEEGLLLNGSIHAGIRAPLVRRKGDIRNDKRCGFEIVTARDIDRI GIDGIVRRLKERVGNTKVYISVDIDVLDPAYAPATGTAEVGGWSTRELLSILDGLEGM KVIGADVVEVAPVYDNVGETTKLAAAEVVNSLMSLMVETPVKS EPUS_02547 MSDKGHVEEPKNFAPKTPVNLDPPKDDPITYEELSKCDGVFRPY ANEHRLPVLNFSTGSDPSKPTLVAIKGTVFDVSGNDAYGPKGQYHIFAGKDPSRALAT SSLKPEDCVPEWNDLDDKYQTVLGEWFTFFSKRYNIVGKVQGATNK EPUS_02548 MSDAFKRRRSSADEDSEGTEDAEREAKKAKTTQSDDTPPKDQRR TLFVRSLPASVTTERLTEFFSQSHPLKHATVVLDPHTRTSKGYGFVTFADPEDAQAAT AELNNAVLDGRKIKVEIAEPRHRDIAEDGGRSKPSSKTADLKAEREKKRAEFAPPKLI VRNLPWSIKDSEDLAALFRSYGKVKHADVPKRSAKVQAGFGFVVLRGRKNAEKAMAEV NGKIVDGRPLAVDWAVDKQTWEKLQTEEQHGGGEGPELSHGDTNGDDTENNASSKTND SETKPGGAGSEDEPTSEDKMSGAGGADLEDEESRDDDSEDEEADEAPSTTVFIRNLPF TSTDAMLRAHFSQFGAVRYARVVFDHETERSKGTGFVCFFNEEDARSCVKGAPKPAAS PPATADKKRKGEALTHSLLQNEATDLTGRYTIEGRVLQVSKALSKSDATRREADGSSK RELRDRDKRRLYLLSEGTIPKGSKLFEKLGPTEINIREASTKQRQKLMRSNPSLHMSL TRLSVRNIPRKITSRDLKALAREAVVGFATDVKNGHRQPLSREELKRAADSMKEADRL RKKQGKGIVKQAKIVYEGKEGMKVDEKSGAGRSRGYGFIEYVSHRAALMGLRWLNGHA VKAGDAERSKRLIVEFAIENAQVVSRRTDREARMRVDGPKRRIAKAQPAKSQDKITGK KRKREDTPEKSKRPKQETRKSPITTEEKNSIARRNRIIAKKRHMRKSRKA EPUS_02549 MDDDFGDDADFDAALAAAKRSSSAPKSSGPSNSSGPPKVVQPKP QALASRQGPSAVLVSTRQKGNPILNYIRSLPWEYSDTPADYILGTTTCALFLSLKYHR LHPEYIYSRIRALGKMYNLRILLTMVDITNHEEALRELSKTSMINNLTLILCWSAQEA GRYLELFKSYEHASPASIRAHQAESYRESLTEFVTTPRSINKTDAASLISNYGTLRAA INAPPEELALIPGWGEKKVKDWCSAVRENFRVRNAARTGATLQRNESSMGMIDEGEDS RASNRQTPIPISMIPSREASGLGMSRKDTTPEPTSKRRRIEEVAHAEISSDEEAAMIA AAEDEPVAPHGMVRKDSSRAGETMSEGIAAALAKLREKA EPUS_02550 MSSTAPELPKGLAESVANSKAEYKRLGKSGLRVSVPILGAMSIG DSQWLDWVIDEDQALPLLKAAYDRGLNTWDTANIYSNGASERLIAKAIKKYSISRQKV VILSKCRGTVGEEPSVLSIKYPDAIEKSKDYVNQAGLSRAAIFNAVNASLARLETEYI DLLQIHRFDRNTPIEETMEALHDLVKMGKVRYLGASSMWAYQFVMMQAVAERNGWTKF ISMQNHHSLLYREEEREMNKYCLETGVGLIPWAPLYRGFLARPLSESGTTTRSQDQQD ELTETDKTIIQRVEELANKKAWKMSHVAMAWSIQKGNNPIIGFSKIERIDEALEIRGK ALTDEEMKYLEEPYQPKNISGHS EPUS_02551 MAADAQDLSKVDSAVSGISSPPKDEKTVPAKKRTSSSAPGVMNV NDLERDGIELQIAKETQKLNWKLNTSPSTIDDKDVLKKMLTTPPVKKIDLHFPLGLEV SARNLKGVTIKDALDAIHKQFKKKADDELENPVLAGFEWDKEESWTRLVVHQKKEGAA PSSKKSKKNKGEED EPUS_02552 MLQPRISLRQLRPTCSRLTSPLLSRHHATIVETPSANPPDAPVN IYSLNVAPPPMRDASMTGQSALRRYRPRTPGIRHLVRPVNDHLHKGRPIFKLTFPKKG QSRGGRNFTGHVTVRHRGGGHKRRIRIVDFDRFKGGQHYVERIEHDPGRSAHIALVRD KEAGTKSYIIAAEGLRAGDTVQSYRSGIPKELMDSMGGSIDHGILASKTAWRGNCLPL GMIPVGTPIFNISLAKQGRGMYCRSAGTHGLIIGKGEDAVQKELIKKMEAKRARDKAK AQQKAEQEEAEKLAAKGAKVAAAKEAAEKGTAEDAEKAEEEVQEKFENKAAADADLQT KAQDKTQGLEEVDDGALTLSDEDIRKLEKAAQYVTVRLSSGEVRLIDKEAAATIGVAS NGNHQYRQLGKAGRSRWLGIRPTVRGLAMNANEHPHGGGRGKGKGNRDPVSPWGKPAK SGYKTRDKHKLDKLIVQQRPRNHGKRRRGYS EPUS_02553 MSSRHPGERLSFSNALCTIRYAGPLPDQKGDWLGVEWDDPERGK HDGLYKGTRLFHTLSSSPNCASFTRATRVPDEPRSFLQALRYKYAEDDLDYAPVGANG SIEISGKVVEEVGFERIRQQQALLHHLKIVILDGLRVRGVGLGADVERAQEEITSICP NITELDLSRNLFERYKDVAEICAPLKELRVLKISGLRLLDFDLDGSSAFPKVEELHLD DSLLSPEQILRMLTRRNKDGSIDLLFPSLKSLSVAGNNLSTFFAPTPPSVSIVLTTVK TLVMDMNTFTSLVPLCISLIPLFPTLTTLSLQHNKISTFNSSTSTTDQPTPLYPSITT LNLSHNAITDSRVVSSLPELFPNLTSLQVSDNPFFSNLTSRTTHGIEDAAFLITVARL PTLTMLNYTSITEKDRMDGELYYLSVAEKEIQKALQNTQSTTNNDFRRSLQDWARYIE LCDKYDRVHIVGRSIAAQSAHSPKDTVPADAVSAASYRPPKYPPNTLGAQLVTLTFRL QTPSKNEPPTTTDTIPHSPTPESVTLTLPRTLDVYRVKSLLLRKIGREWNLKPLEFTF EIVQDAGEQVEEIPDSTRRIGDWIPESVGDVVLKVRPRPAVSVMEDAIGSMALERLKG VVAV EPUS_02554 MSTPASPGNGVPEAPQYQPPKAPPKQNPAFRMLGMPNFRFKLPS RNWLIFLSVTGSFAAAITYDRRQKKAAQKKWCDLVSHIAQHPLPVNQMPRKLTIFLSA PPGDGIRPSREYFKEYIKPVLVAAAMDYDVIEGRKEGDVRYGTAEQIRRLRRKKGEQT ENSDQREIDPEQLVENIREQLKIRPEPVIRGDLIIGRHTWKEYLRGLHEGWLGPLDEP QSRREPTLPSDDASHSTSTDLPPNDASTSTNTDTPSESLAESKIPPPKEAETRPPSPP YAYISTSAYNTSPASPNLPIHLEPSAPIPHRHILGFFNTPIRIYRFLTQRHLADSVGR DTAALVLGLHRPYRQDSSFASSSTGEIDASPVTTSPPEKDGTTSPAATVQTAQTWEQQ SLLQEEEADWHKSAKKPRKDDLERVWLDDVVMDPRIGERMRRFELPPEEEERAKRIGQ GSERSRAAEVMDLRSEPVITDESQASSGKTWWAFWEKGKG EPUS_02555 MEPDNNRLRLNFPFENQQNYETSNNRVYPTTPSTFPQPIYQNQQ QQDYLGGQYQANQQGGGYFVNSPYPPQQQNQFQSQQYQYQNNHLQSPQPAYPGNRQYN DATNGMIQQFSNQDLGSARGGFSRTPSPGNARPRTAGDTRGQYANHLPPAMPNRSPKP DDEEKPNPNKYSDNVLKRGTAAKQLVNSFFQENIERARDRNSRAKELDEILNDPEVKD LVKQQKVNELAQKEARFLRFIRTKESPKNFTTLKVIGKGAFGEVKLVQRKTDGKIYAL KSLIKTEMFKKDQLAHVRAERDILADSKDNPWLVKLHASFQDNAYLYMLMEFLPGGDL MTMLIKYEIFSEDITRFYMAEIVMAIEAVHRLGFLHRDIKPDNILLDRGGHIKLTDFG LSTGGRKQHENSYYQALLKSTQSDRSGNRNSVALNEQINLTVSNRGLVNTWRKSRRHM AYSTVGTPDYIAPEIFLNQGYTYLCDWWSVGAIMFECLVGWPPFCAEDTHDTYRKIVN WRESLYFPDELVLGREAEHMIRSFLCDANDRLGKEGGAHDGASEIKKHPFFKGVIWDQ LRRIRAPFEPKLSSNTDVSYFPIDEIPQEDTTAAHQRQARAVPSDQDAEMSLPFIGYT YKAFNAFQNSASSGRNGWLMAFVNVFYTYTYGSAGWLCLQAVPLLLAPKVITTILGAE GHYGTDLEVYFGRFLGLSLVLIAVIMMLLTGSIPLTSMVSEPVSTEESDPKAPYAVPV LRTTMIFHSLAAIYCYTSYVSLGQSGFMLGLVGYGALAIMGLWCVMFATSRGHISKRT GADKRMSGFPFTNKKAYNPKVDKKRI EPUS_02556 MDNITNPSLQAIPVSLRNLQSAAFPHPHPLTPQLGPDYDPTAFQ PYGINDGANGQVQAFPQPRQSFQTPTRYPNGSFSVITPDQPQQPAVSFPVNQDVSPAK EIGGHFSGMKAIPNPPDLQEWRHKLFNIKEMITLTEDEFQTYFPHVDNIYSHRSTQRY KRKPFVSHYWDCRLKGRPPGTPKTDDPGKKKRRRTARQRDLCDVKIKITEYFPGAAAM MAQPDFGAGVDAAVDLMGDGREPPQPFGVLAPSQTLPAGHPGAGGARYYTIQRVSGGG ANGKENEAGEHKHTIEESDAIKRNSVRRKMLKEETERKRIDAANKTTTTSSSTSTKLT TTNTKTDTTTAAATAATTNPQSLPSSLAAQTAQRHSQPADLIFYGNLFCPFAQRIWIA LEVKEIPYQYVEVENKLSLPPEAVEVNPQKRLPCIRHGNWGVWDSGIMMEYCEDLSMG HSLLPLGDPQLRAHCRMWADHINRKIIPPFDLLLITPSTDPSKQSSLTSTLQSAITDL VNASHVTGPFFLGATISFIDVMFAPWIVRLSRVLKYYRRWPDPEVGTRWETWVQAVEG DDRVRRTVSEEGEYQRVYKRRASQGVMTMPGGVGWALADGMVPDSGADVDGVMGT EPUS_02557 MATPDAWDDNWETAADIPSEPAKKPSSKITKAQRRAQQAEFNRQ LWEEAEGPREPNYFLETRGVVPKADFKPPPKLLSRKPPTPASGEANGDVSSDEADGEK KKPTIEEQRALAAKEREEKQRKYEERRLELFGSTTATTTKAAGSGTSSPGETPPGSRS STPNRARGRGGRKNESSSRPLSSASTLSLKPSSLAPSTGGKKELFDPSYNPRPDSMSK RSESREKVIEPIRSPRGPDGSGRGGFGFAPRGGRDGSRAGLDTRSKIDADTRPSLDPR STGDLSMN EPUS_02558 MSSEARPIPPAQFAAALEDLPVENLYAKVSEIRNSIAHLERSNK ELEDYSNSAGGDADCVAAVRENEEVIGRMNGRIELIKNEVERRGQKWHEAEMNGEVDG EAAAGGTLTDEELRREMEARMAEEDDDAEEGVHL EPUS_02559 MSADSTSIYTGFWVNWSHGRVLGSTITLSARNSAILTSFIATFV TIVGAQLWKILCFIFHQSRASQKPKDGLYHQHQNVFRNSSTPGGAAWSFILQSWHWSG RARHVMLRSLPWALFSISYIVVFAVLSIFASSEVTKAAGQDRLIQSSQCGYWGTNRST NAEGHYQPGQGAFAAKVLKDSQDASAYARRCYDGSADALGCDMYATPVIRWEGKATDC PFRDNICWRNNTYQMDTGLLDSHDDLGINTPVEERLKYRRVTTCAVLVEEGYIEQNND SALVTWNYGPSGDRNYTISYSKYAVRSNIGYIVNTNYANAGGHSSWTPAAPLNRTDAD VQMVFISANSMLYSVPVDDPVFSAHLVSNISLTGNDGQELVYYEADSYLSPIACTEQH QICHGDACTPLSAYGVVFSQSQGLTELQEAISQRLAFAAIFTGVAQVINGRSGTALRA LETAQAIHQVSLPANQWQVELSSWFNTGLVILQNVLRDYASPTNLGPGSYVRAPETPA EVAMCSIQKTQATYGTISFSVLGLAIILVVGAIVLVLSCILETAASFIGLESYQNWIL DDKLQLQRMVFEGRGVSWLNTRGPIPVTAAGERFPSVAHLPESQALMFEDDKAVGVNV REFR EPUS_02560 MALAVNADWNNTIIYGTDGRCNGLEAAQCSFSRGGLFNDTLSTT WENPPTPSAAEFRPADGGTLSAAAFGADTIILSNTAGELTDFTFFTPRSGDLPQSGLG LGRNSTFLDSLFSAGKIASRTWSLFWGLEGGDHADTADGSLTLGGYNMAKTTEPNITQ PFSSLADMTQCPSSMIVFVTDIQVKHSNGTSISLFGDGKGNALRTCIKPDIPLITFPS DIWNAFKAAIGGTYIGPSNSYKLWGMRYATQDIFDGDLQFVLSSGLELTVPNSQFVVP DVQVDKAGQMQIPNDTIKEILVYNLEDSNVNDMPLLGQVFLTSVYMHVDNEREQFTLW QANPTADEDLVAVQSTSVSVCNSTTPNLVGNGTPSALPKKPPSTGAIAGAVLGPVFVI ALGLLVWYFVARRKKGQLPSTAHRQSLLHDTHKEMVSAGYGPRLAYENAWQSHQLHGT SKPLEPHEVGGAQIHEMHPDYVSPRYELYGSASSI EPUS_02561 MKTTGILSGVFALLVASAVAVPTDYYPPSGNGNNNGRGHGKGGN DRDCQNGPFKFTSTYNVVATPDQVVNGTTPTGGLPGCTGFFDYGINSDLNLICWNIKL VDFRGEYQSPARTATHIHQGARGASGPFTTGILANGTDTGTGFTVKQIEDNPSGFFTD VHSSLAPPGAVRGQLA EPUS_02562 MCGKTKTQGGYHRFCSGVQETVTRYHRDTYCDKPHHQRLRCEMS AIIAVTDAANVVPREEHRVISPLVSLSAAAQQRLQVPYSGLSAKDFHSALINPLVQGR SQRSLPGPTGIIPRPSTTMQTRPHGPGSSPSVRIVLQQRPQAPYESPPPVGSQSSTIS MRQARPQRQQPGSGSQQPTANPDDVNIRRPQISDEAPSSNDSERSRTTVTEEGSLVSD GSLTTITQSRSLSPPLSISSGRVHQALSARKRNRD EPUS_02563 MGYPDSFEGFMVESQKDWTNFKKQDFKPKPFEDNDIDIKIECCG VCGSDVHSINGGWGEVPLPLCVGHEVIGKAVKVGSKVSTVKVGDRVGVGAQIQSCMEC KQCKSDNENYCPKQVDTYGAPYPDGTIAQGGYASHIRAHEYFTFPIPDSIPSIEAAPM MCAGLTVYSPLVRAGVGPGKKVAIVGIGGLGHFAIMFANALGAEVTAISHSPNKKEDA LKLGAKHFVCSRDKDWAQPLAFEFDYVLNSADMGHKMNMKDYISILNVGAELHHVGLP DGPFPELMAQDFASNGSKMGTSHIGCRTEALAMLKLAADKNLKPMIETVEISEDGCKK VVQGVHDNAVRYRYTLTNYDKAFGKGE EPUS_02564 MDKNPQTSHDDESIQPKWHPSYRDRTLRRLCVAVCLLLAIWTFA RSLLPYLSACHHSDRQRVAGDEFPQISIRNNTRRVSFEAHIMSKCPDAKACLQELVVP AMEKVSDKVDFELRYIGSVDPNSDAISCMHGPPECLGNMILLCAAQLYPDPKLSLGFA NCMINDYHDIPSRDLVESCAMEHGIDFKKINGCISEEGKAQGLLKDSVERSAEANVST SCTIRLEGKTWCVTDTKKDCNDGGNLDGLIKDVESAYEDLNS EPUS_02565 MSELASIESMSTGSAPPDENDQELEAFISPLVIGESKLHTLAYQ FSTVYKDLAQRSDQQFLPTPVTSLPSGRETGQFLAIDVGGTNLRVAFIELLGEAADDN DQSPNATEKPRETIKKAQIPRVRRILEKAWPIGEHLKMDKAEDLFSWIGDCIAEVVSD RLSSDNYKLRAPEELVMGITFSFPMMQDTLSAATLMPMGKGFAITSDLNLAPLLLAGY ARHTRRQSLSETSPRSKRRRLGPLPRLKIAAITNDTVATLASLAYSVKSLPNSRVAAG IIVGTGCNATIPMKLSDLAESKAKGIIAHDPKATETVVNTEITISGACGPLEPDSTKW DRQLDAACARPGFQPLEYMTGGRYIGELIRTVFFDYMTTTHSPPIPASSLPATIVHSY SFSTTFVSAVVARARSDGELAAELKRRIPPPESSNWAWSPHSAGALRKIANLVQVRSA GLIAAATIGLLATVGEIALSDPSAPSSIAPEDKERVENNSETRSAKAEAMPSKLPRRR SRNGLSPAPGVTAWQSGPEELVIAYTGGIIQHYPNFKEQCQRFIDRLVMRAGPQDGGK SVFLREARDGGIIGAGVLAGMETARVTHCDRAALQQVPTLLLLIIQMSSLVYVVEDLV ALAKRQNGQQNGKPTDPDGLVLEAWAQGFMVGALIIMSCITLANMRRGVLLHKLILIE LIFGVWHGFFIFFDSPIYNWWLSVSAIFLNASWSLHNVIAWLKNKPFLSRRVSLIYIG TVILVQPYWVLEIYANFTYFHNINTIFLKTRPVEALFRDPWWIFTTISLFYNIKTRYD LSIPQIVRLSPRFAVMLGAMVLSICFLVVDICSVTGRLASSLPVGINPFWKLSFVFKC LTDSVVLDDFKTALDRLRAFKISRLGSFALDNAENGRVLPTDPANGWPGSHARSKPET SVPSSPDGDELSQQRTWPAGKQDPNHPEGSNRQSRENREISPIRESSSGTGVARNDSG SPILRPQSSWLRDSGEGDYAQAVRDVTRSSQSEPSHTERDAALGRAK EPUS_02566 MQTPPLAHQGQQQPMMYQQQANGMNYQQQPGMMQHDPNQHQMHQ QQQMYGQPPPQQYQGGPTSPPGQGQQMGGGGMAQNKNDGSQFKTATAIPNLGMGPAPV DCPSCGKRGMTRVSYQAGNTTHIWAGITFCFTLCLCFIPYLMNSLKDVQHHCSNCGVL LATWHKSGAVDVHMHG EPUS_02567 MSLPTTTAQWTIEEGQNGFDSLKFDESAEIPELGDHDCLVKIDA VSLNYRDLAIPHGKYPFPIALPVVPCSDASGKILATGRRVNRFKKGDEVTTLFNQGHI AGPLTPRAVGTGLGGALPGTLRKYGVFEEDGLVAKPQTLSAEEASTLSCAAVTAWNAL YGLQSKALKPGDVVLTQGTGGVSLFAVQFAAAAGATVIATTSSEEKAKKLKDLGAHHV INYKSNPNWGEEAKKLTGEEQGVDHILEVGGPTTMAQSLNAIKIDGVISIIGFLGGVP RDQPSFLDCLNHICIVRGILVGSRMQFEKMNRAIDAKKIKPVVDLRIFQFDEAKDAYQ YMWDQKHFGKVVIRVD EPUS_02568 MSMVSSPAEAVARIAYLSSDVVISVQSSLAKDSDFSQHLHQFAS NRAKNHVSRSIPEIQTSRTNADPLLSAYAPLRSGRLVSVTASSSILLTSIPHLYKLAE YPIVLHVSVQQTPYPDFSEISSIRQCGFSFLHSETLQEAQDIALTAHALAIRSGKGVI HYFDPSNSATNGPIALEKKQLIDKLLMIDAMQPFDAQSGDHFALYADDGRIATIPEQE GIQSSSGSISVKPKAQSQSPMRKDIGPSSSSETSSVGSSRRESSTSSGPASSAATTVD PPATRPVTSSDIYQIVTDTWARMKQIVGRSYSPIEYTGPQDADSALFIFGSTGVFVDV LDDDKIPEDLSRVGLITARLYRPWLGSTFVDSIPKSIKHLAVLEQVRRKTTKWGPTFL DILSSLNPTAGGKSSITLVGYRLGYIEPATATQALRGIFQNLTAAAPIQGLCVGNEAG PDQPLPVVEVQQPQVEAAYFKILNQLFGQRLHIANQLGLNNAGISPTIAASPEYGFGS LLARIEHRKRFVLEAQEAARSQEFITEPPRQWLSKWALHADDAQKANNLAPEVISRLS NDGSRPASHLLSMKNLFFKESQWLIGSDAWAYDLGNSGVHHVIASGANINMLIIDSQP YSERAAADADRRKKDIGLYAMNFGNTYVASVAVYSSYTQVLQAMVEADQFEGPSVVVA YLPYHKEDDSALTVLQETKKAVDLGYWPLYRWNPSNEVKGEPTFHLDSEHIKQELEDF LRRDNQLTQLMNRFPNFSANLSESYGSEVRKLQKRKAKDAYEQLLEGLYGAPLTILFA SDNGNAESLSKRLASRGRARGLKTMVMAMDDYPLEDLGTEENVVFITSTAGQGEFPQN GRAFWDNVRNAGDLDLSAIHYSVFALGDSHYWPRKEDKIYYNKPGKDLDARLSLLGAK PLRPIGLGDDQDPDGYQTGYADWEAGLWQALSVADVAGLPEEPPPVTNEDIKIESNYL RGTIAQGLQDTSTGAISAADQQLTKFHGTYMQDDRDLRDERKAQGLEPAYSFMIRCRL PGGVATSSQWLQMDAISSDHGNETMKLTTRQTFQFHGVVKTKLRPAMQSINKALMTTI AACGDVNRNVMCSSLPEKSAYHSDVHKVSQKISDHLLPSTTAYHEIWLKDDKTGEKTQ VAGPAVVDHEPLYGPTYLPRKFKITIAVPPHNDTDVYAHDIGLIAIKSAETGRLVGFN VLAGGGMGVTHNNKKTYPRTGWMMGYIPVEQIHIVCEKIMLVQRDHGDRKNRKHARLK YTMDDMGNEVFKSKVQELLRPHEIDFEEPRPFRFESNIDAFGWQKDEKGMNHFTFFIE NGRVEDTADFPMRTGLKEIAKVHTGEFRLTGNQHLILSNVSDEAKPQIVDLMMKYKLD NTQFSGMRLSSSACVAFPTCGLAMAESERYLPELITKLEGCLEENGLSQDSIVMRMTG CPNGCARPWLAEAAFVGKAYGAYNMYLGGGYHGQRLNKLYRSSIKEDEILEIMRALLG RWSRERQAGEHFGDWTIRAGIIKETRVGSEFHDDVAEDESDAE EPUS_02569 MPHQTAPEDDDPVIASYPVYLTSPHYASPPNASAEILPDTAQKL VLLQYPAYRPSDTPYNARNLQKPTSLRIKPNTGLLELDIPIDTKLNYNPDKGSKYATS LKRSRIIQEGGTHGLSGGFNTGPAGRNIREEEDIDMKTIPAHSGLSDDPTLNVQTLGG KIVKPSTGDPIYLLGSFKGNSMHLPRLDALVQVRPQLPHLDALDELERNRGLTALARA KGKDGAAIINGDVSAALPPPTGPSTRPESKAIDIKLKPSGPASQSNDLTTNTNAKLLR AIQQESWQIYDWIDEDETESHDHAEKALHLSMPPGDDLTPEPPNLESAINNSEWLDLM SAPRIEHGKKAGDKGLMGKVRGRERERQRRKRNEAARREKATTTTSVHNQASAEANSA PGPSGQEREDVREDGDATAGEDTADDAQIGPSDEESSEDEQGDRQAGDRDGGVGDDEG EGEGEGDIEMLDPPNLPTASQVDGADTADDDAQEVQPPTTAADQPTPTPRRRGRPRKS QVVQDPIVVDD EPUS_02570 MADDHLGEEAQPQSARPLHSSPLSLHSVGSSHPNIATQIAPTIQ PDSEESDEAYAESITTSYVTSIASEISKGVLENDRIYPNYGKHSYGLPVDEHEMDRLD LQHRKYELVIGDRHFLAPVGPTPQKILDLGTGTGIWALDVADMFPSAEVIGVDIAPIQ PKWVASNCQFEIDDVEADWMYKEDSFDFIHFRDPLYCIRDWPKLMSQCLSHLKPGGWC EFACIYPVPMCDDGSVPETSGFKSVCMKFMEAGRIFGAPLDVPLHFATYMREAGFVDI SENIFKVPASPWPKDKRLKQIGALEMTNILEGAEAFGSRVFHQVFGWSKEQIEVVLMD MKRDVRNRAYHQYCQYIVVYGRRPT EPUS_02571 MPNLDEDPEPKLSRRCWGWPLWLSERNVTIVLYNVPIFFVGIWL WSFPTDIRCSHLRLQVLVNSIITVTLCVWWPAGIDVYYGIPRPEPTTYERRRDFAVFL FALVVAAGMGWGMQPKCGYEQHAELGNGIFLM EPUS_02572 MLSKAARRRYALLYLQQASEQIQLPWLCPALYRSPQIHVQSRPM THRPSPPSPSASLLRSQSPRRLASAAASPDLLQTDSYLEYDPRRDSQPTSSRVPYRRL LSDIPLHEPDGFLKLDDTIAEPPERLSSNKFKEIQIRGNAQEVKTTMEACLQVHRFDR AIALLRQLQIVVNPKSAYLQEAFNDCLHAMVMDMIVNKNKKNVELINQWLEVDMHNAG VEPDARTYALKVKVALATMTGSKRDRTVRRYWELARQAETVNEVASLRDILSEQDLGK LSEIVPQTQIGYDFPDNFEEYGITDDHLEIVDQPALAQRPVEEIRETSQKGLGLTSLR KSLSLFSDNSHFENQVEKVGRSYAHARQSRLETDAVKAAVERWRVEHEKMVKMGINGN LQGGKLGALLWQWHQNVSKKLVEELKLVEAAEVKNRKNDREKLRCEYGPFLRLLEPDH LAALVTITTISAMDKNGMQAPLRLARGPSKLGFPHLIEGVSGNILNKRAVPHPP EPUS_02573 MTVEKEDPITKKMLYVSQPVFSRNVLYEHGRKVGVVIMHASLVE KLMREPAGDLIAKHLPMVCEPKPWTGFQSGGFLETLTTFLRVKGGEMTQRDYAEAAAE RGDLDQVFAGIDVLGKTGWKINRDVFTVMLKAWNSGEAVANLPPLNKVFDMPEKPADT NDKEARSKWYRAMRKIENEKSGLHSNRCFQNFQMEIAKAYSNETFYLPHNIDFRGRAY PIPPYLNQMGADNCRGLLLFANGREVGEHGLKWIKIHLSNVFGYDKASLKDRENFPME HISDVVDSVNHPLDGRRWWLSAEDPWQCLAACFELKQALDLPDPTKYISHLPVHQDGS CNGLQHYAALGGDIAGAKQVNLEPGDKPSDVYTGVAELVKAEVKQEAAQGDEIAKLLD GSITRKIVKQTVMTNVYGVTFLGAIRQVRRQLDDLVPELRNGAGPISGQAAAYVARKI FKALGAMFSGAHDIQYWLGDCATKIATSLSPAQLQKVIEAEDHGNTDHSGAGLRTSHH KKAKQSETMAEIASFRSSVIWTTPLRLPVVQPYRISNGRRVKTNLQDITLTEPTIADA VNKRKQLQAFPPNFIHSLDATHMLLSALKCHEVGLTFSAVHDSFWTHAADINTLNRLL RDAFIRMHSEDIIGRLAAEFKTRYKGHIYLASISADSVLGKNIKAFRTKFHRGQRPAT QVRARQYAELVLEARRQNLLKSDDPAKKAEGKKLVTPCSLFETLDGHKYLKTRHSLGE TAIGAIPQETDQKILEKALHSEDVAGDVDMEHALEPLVEGKVGADGIIHIAEAETEDG EGEIASKDEEVPGKKTKKSQSRASDVTWLWLPLTFKAVPQKGEFDVTRLRDSQYFFS EPUS_02574 MGLTSRLLRLPRPKTFLYTMSLSTGASLITLSLLLNKVSALYGL LALLTGFELNTLQLSMYIYSLLALGLTAYLAPHIRTHIVNAAYTAAFGVTWFLPESMN SLGVVIVLWTIRLYFCVVMLSYARMVLRRHVALSSVKNNSYTAASKDAAMAENPFRES GGWQGRLGRVMVAFPRAYWLGGDGGVGDEEGVIGAGDVRWMEGMGGKFRKGGNGAGTS NGNGNGAAGTEGSSAVESSGPLERERRRRSGTGPPPGYESWICRSVSTMAVKRDKRLG SKGPRLYLGFAALVRYSDQLDGQEFRCSQQT EPUS_02575 MPKQNQAQRRKASAQKQRDQAKKKQAQQKERTQQQQDDKPELAE RQTQSQVFPEFEISDKFFELRSALEILQVSNLITDEEAKEMQKMYAFKDEPTEHELLA SVFERIEAYVGDAGKTSKERRQVRNAKRAIQYHTEMQSILRGQKQVKKAQASLRPSRP AQPAEAGSSGKESQPPQQAQIPQPEPPEVRRERLIQEHRDHEIGISGQLKFLADELLS LGVLSRREALQLRPPSTLAGWTDLLHDLEGKLGRQVSGRGQATERRRANAQHMLHALK GVAFGQLAPPQGSQGPRQSQGPRQSREPRQSPSPILIQEGAAFTRSS EPUS_07859 MPDSNNVASGVKDGVTGVTSTVGNLAGGLLGTVGGMVGATGKGL GDTVNATTGTTAVGDGLQGITGTVEDTTKQVGKGVEDGREEEEEEEEEEEEEEEEEEE EEEEEEEEEEEEEEEEEEEEEEEEGEAFICACHS EPUS_07860 MTPQPVKPAFGDNTTELLENLSISELPKRRPPPPPPTHTNGAST RPENVPPGSRVLPPQLDELRHQHIGKPRGPPGELNIFTDPSRDRRPRSNSDTSMRDKS TKFLDPNDDRRRRERRHRDPRKDGKPRAPSRRLDVIDKLDVTSIYGTGQVFHHDGPFD ACNPHRNRKGSRAAPMQAFPKDSRNMAIGGSGPNNSNIDLAQFHGQGEEGYNDYSTSA VVDEYGDGITRPDVATRSASFHPITRVEPLHGEESMGLGTSTFLEGAPASKAALQRRE SDYDEVQSGSGAGLGRKKSLAMKIRGISNNRVVTGGGRTTSPELGERRVRTPTSPLRS SQSMQDNNPFFKDYDREYEKKGAQIVFAEEKAGRTRAPSSPKQRGSGLERKTTSESVG EEPKAGGGGFLSRVRSLKGGPRKARGDRREAS EPUS_07861 MSTTTVPLSSPSNTTATAPKPPSPRFTLELEFVLSLSNPYYLSH LALTYPHLLNPPKDSKPSAKPSNSTSRPEPEPEPESDATKFASYLSYLYSYWRKPEYA RFLTHPGATLRNLELLQQEQFRRDMIRPDVIEKLLVVGSGGGGTSSTAVETEQDGVGV KMGQPGQGDLLPKEESEVKEEKG EPUS_07862 MGKEKTHINVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYFVTVIDAPGHRDFIKNM ITGTSQADCAILIIAAGVGEFEAGISKDGQTREHALLAYTLGVRQLIVAINKMDTTKW SEDRFHEIVKETSNFIKKVGYNPKTVPFVPISGFNGDNMIDVSPNAPWYKGWEKETKT KSTGKTLLEAIDSIDPPTRPTDKPLRLPLQDVYKISGIGTVPVGRVETGIIKAGMVVT FAPANVTTEVKSVEMHHEQLTEGVPGDNVGFNVKNVSVKEVRRGNVAGDSKNDPPKGA DSFNAQVIVLNHPGQVGAGYAPVLDCHTAHIACKFSELLEKIDRRTGKSVEDAPKFIK SGDSAIVKMVPSKPMCVEAFTDYPPLGRFAVRDMRQTVAVGVIKSVAKTDKGGAGKVT KAAQKASKK EPUS_07863 MADNEGASPREQIVEACRRDNVELFQEVLGGMSGQPAEKIADFF NTTTDVMGNYLLHVCATYGSYDVMDELLNIEYLECDPFTRRDKETPIHTAVRYATERD IEIGTAMAKMLVDAGGDPRTKDKHGRTPAQMCDPKTSELRSWLISQEYILREGLKQET QETDGDDGPTGSASDSE EPUS_07864 MAPNSRKRRSEQRNDPESSASESPARRRRVQQDGDEEAGSTASE PETQTQTQTATGVETMMKKLVRLALSCEYSRIPIRRADISTKVLGETGTRQFKVVFER AQHELRTKFGMQMEELPSKEKFTISQRRAAQASQKVPSTSSKSWILTSTLPPEYKFDP DILPPTKAPSESTEAIYTALYSFIIALILLNNGSLPENKLERYLKRANADQWTPILST EKLLQKLVKEGYLEKRRDTSSGEEIIEWVVGPRGKIEVGERGVQGFVRAVYGGSGEED EELERKLERSLGLQPQQRVVVDEGTEETPQVNGSAERSRTTRGRARPSARDDTDDE EPUS_07865 MSSTKLVTVFGATGNQGGAVARSLLKNKNFSVRGITRNPGSNAA KALASLGAEMVKADGFNKDEMKAAFEGNWGAFVNTQSEDPSVTQPDGPNEFDLGKSII DAAAEAGVKNLVHSSGADTETLTKGEVSCRMMMNKHKTFEHAKSRPEFTNVIAVDCGW YLEVFLSEEYAGVLGGFPLFPDEEGYLTMSIPRWGNDPERIGLTAVAQDYGDIVHGVL LDPAKYNGKLVQANSDIKSSEEIAATFEKVTGKKARVKYLQSAEEMQTYGQMVLEDVR EMFRFLQRAEGRYYNGEETEGETAKALKAAAAKAMAGSEGSALMSLGDFFQKHFGGK EPUS_07866 MDVNTIIQRAMLEYDHTKPFGDEGANALYPWYTTQQRFLSAPQT PKVMEEDMKEQPRQFSCRSTLERLPPEILLTILEMVDIASLVAFASTNSNIRHYVRSM PHIAKILQEPHASNAIARMLYAGTARNFQIRAFMKAWASSSCDLCPSSQKSETDAFAT EICLLRCCRVCRGCETQERSISFLPLSMASQCFEMEIRDDMHEGTAQAHVLWASEWIH GTGRLPAKQGRYKTVQVISTDVLMRMVIDKYRAFPCPKGFMGYIRDLVHKYMRVRNIS RASVMHVRIAKALTIRGFGRAVDKAWEEMGLSFKAAKRSLIASLPYLESKGSPMAVDR GFTCEGCFRDERLDRRSFLHRYPRSRQVWLRGQVMNHFIECATAQAIAAGGYLTIKAE DKLLSELLFKACSYWREPDSVPQMVRNLVFTRPGEFNWTAHNFMLWHQKTLKYVTEKG EDVVQRRERMENLVRRWDYKKARAIQLVRNQRLGRIYAPSSSARSA EPUS_07867 MGSKTPDDHRRGPNESSACAAIATINPPKPAAASGLLHGASEGE DEDGEDEDDSKIGADLKSSGQPNNSKKKKRKSNKKKKRKALGGQQTIPPRVALANLFH DQRYPEGKIVEYVTNNDNLRRTTAEELRHLSVVNNMDDEFLNDYRKAAEVHRQVRQYV QTIAKPGISMSQLAQEIEDGVRALTNHQGLEIGDALEAGMGFPTGLCLNNVAAHWTPN PGAKEVILQHDDLLKVDFGVHVSGRIVDSAFTVAFNPAYDNLLAAVKAATNTGLKEAG IDSRIDHISEAIQEVMESYEVELNRKIIPVKAIRNITGHNILRYKIHGGKQVPFVKTR TTQRMEEGEVFAIETFGTTGKAYVRDDVGLYGYGRNEHASAAGLHHASAKSLLKTIDK NFGTLVFSRRYLERIGVKNYHLGMRSLVSNGIVESYGPMVDVPGSYVAQFEHTVLLRP NCKEVISRGDDY EPUS_07868 MASAAANAMKAAANTAGKAAGRASAKGSPADRTLQKGAKRDPEL YVGRSQSLSSSCCSDKVSTTQILLGIMSGAFCLAGYYFGRKPTSATSEAEISVANSSM PWQTDDHDHEDDSKQFKYRYHPRGDKSQAPKNAPSALNTVIIPNVTLPKELHDMFNKW GKDGY EPUS_07869 MSYRPSTAQSAISSELSDDEDISKTKSKLPSPLSQSSAPPEPSG TQLRGPTTLSALVARNNARNGTSTTASSRPDSPVSHVSKSHVPSLAAQGFFRPMSSQH LQAQRLGRPGTAKTGPSISTEDGTRDYETDTRRSLSTIRQERQGSFLPVQEQEKVPLS SGIEASVPPLPDRATNANPRGNTSRSLGDSVRLLNERASSQRQSKPIHLNLPKGATQG DSGDPALKSPRSFRSGLSLGSRRGSRQQIGAGHQHLPSNATSTRFDSTQNPTVVPETA SPGKNYEYFEGNTVFCWGGRFQNARDRPVNIATGILLVLPAVLFFAISAPWLWRNVSP AIPIVFAYLFFISLSSFIHASLVDPGVFPRSLHPFPPPDPGADPLALGPPMNDWVMIR LATSDTAAMDVPVKYCKSCNIWRPPRCYHCRVCDNCVETLDHHCVWLNNCVGRRNYRY FFSFVSSATLLGLLLIGASLGDCLAYRDQRAVSFGSAINQRRIPFAMFVYGLLVTPYP ASLWGYHLFLMGRGETTREYLNSHKFVKADRHRPFTQGNILKNWIAVLGRPRPPTYLH FKKKYEEGDQRFGPRRGTRQASLVEEAEGGMEMKPVRGRQKAFEGPVSRKADPPRRL EPUS_07870 MPLRQTELCFGTKSDPKQANGTPAMHSPWPEPSRPSTAPSETLP ADDDPDTIIVDCTGHQPQLPTPAESTTDASSASSHDIYIQQISQSKPRRVDPKTGARQ QSRDYIVETTLSEKGRSVSGETLVTNASQSSLLRSGIAVLDLPWSVTSLVKHQDTIDA ESWSQNGGSSASNVEEDHLRVEKAAAKRAKMEENAKHWNARKKAAEEKATRRSSRGSM LERAGEAVSGLTASVLGKPPKKAVGIARDRLEDIKRRASLRPRSMVEPLISTTPALEG PQAKIRRLSDGDLDKDSRTAEALIKPNKPPPVRREKRWLASGLYTGQTRDFDDTHSES KNKRKHDDVAPIRENRTLPLPIFAGERLMKQGRDFKLPYDVFSPLPPGQPRPDEWRKT NKNVFVGDAAQVWRHSKPREHSTCMCTQETGCDENCMNRFMFYECDDRNCNLAECSNR SFQSLAQRSKKGGKYNVGVEVIQTRDRGFGVRSNRTFEPNQIIVEYTGEIITQDECDK RMRTLYKNNECYYLMLFDQNMIIDATRGSIARFVNHSCEPNCRMEKWTVGDKPRMALF AGDKGIMTGDELTYDYNFDPFSQKNVQECRCGSSKCRGVLGPKAKEERKPKPEKELGT SAASRLAGAKRKIADILDERTDCWNKRPKIGVPASAAAALKRITTTKSKSRSPARTPV YSSTQPSPSKPVSRAALVKKPALRAANLSNRGVTTRRPSILKRIVDGATQKPTTRRTA SHSSSSEALLEGQIEKPLTRAESVKAKAASIRKNAVSIVRGAGGGT EPUS_07871 MFQTLIDRYLPGLSQYEDIYKKLHANPELPDLEEETANLIEGHL RKLSSNLEIKTKIGGHGVIAICRNGNGRTILLRADFDALPVEEKTGLEYASTKKMKDV NGDLKPVMHACGHDFHVTSLLAAAETALKARDAWSGTIVFLFQPAEERGTGAAAMVDD GLYDPKKHACPVPDMVLGQHVMPYRAGSVETKAGTMMSAADSFKVTIYGRGAHGSQPH HAIDPVVIASYIVVRLQTICSREVPPDETAVVTVGSIQSGDTENIISAEAVLKIDIRS VTQEWRQRILESVKRIVRAECEAGRCPKGPVFERTRTYPLTHNDKEVNNAIEKSFSSW FGKHHKSKPKPHLASEDVSILASSVNRPCCFWFFGGIEAKEWDERENNGTLDEIAVNH SPYFAPVLQPTLKTGVEALTVAIMTFLRTPSNEMLYTPADV EPUS_07872 MPDEILLEIISYLDIASLVRFAECNPDLRSLIVGLRHVRIAKSH TYIRSALLEMLHAGTARFFTLDDFVSMLTTSKCMICKDSDAFAPWVCLMLCERVCGKC IKIERKNIRLPQNVASECLGLNLSDKVGEPGTASVFRKPKPLLSERFSRVGCRAWWTM RGDNRLDIIPLRLAVKLSLEKHAQIGGASHVKNLIQAYISQNDPNLLSRGQLNAITDE CDVRGLSDLIVNLEDWKQHEKRYEDSIPNWILTGYMPFLHSAVLPLQLEPGLLCEGCE RIAFHTRRSPATAAAAAAAALAEKSYLSAQYEVHFQHCDAAQSIFQGERLRMSDEKDV RETLSTTLSRFDTLELSVRDHFLSQIRRIDFDRTPREVYAAVHPLLLRIDLVGKRCLQ QQTSSRQVVSSTTRRFEDYTG EPUS_07873 MSPNSLQGSASPAPNPTRHANDGTFQVTASFNHGNFPDGQFFLE NENTFLTAVDVSKYSQSPLWPNLLTRTLMPLNNFERLPLELTTLIMEYLDISSLVAMA SVNLRMRKLVQELPAVKKIQQNVYAARAVNRMYTSGTAKFFSLTYFMAKFTSWICTLC QRREFAVVFCLLLCRRLCRTCYGLWNFCPPLPVTMAMECFQLDHEEITCKMGSALMPI PPSAQRQSCCGQLRRPGWYPRVEVVSIAAAADIARSKYNIVGGLDYLHDLVSNYLMEH NIDSHRNALRDIMLWDKSFPGLLEAIRTYWSLLGVDPNIQSDLLFTTLPYLHPRRTPL KVESGFWCVGCQRDLVLKCAFNPIRTPNYGGLAVYVREAFPKHLSTCHTARQIKKGIL LPPFEEYKLTRHLFLKRMEYWPKGYRCPSEVIRLYHRRPGDRNWTATQFREWHAESLR RIIELGERDRQCRAQLADFKHHFDEKCRLSSGISTSHTVCKLTVGAGNPQSHGKTGEI GFDRGLHADSGRSDAEKSSPPMLQKHDDNMSRSRSSRTAELAEDSPKSSSTTIPHDET RSDDMTSTSGRCQVSETGGKDAVPATLPTNELAQAEHNIHPVPVTKGNESKDQNGNGS LGSDRPSEPFADNLQNLGVSKEKALVPGLWEVRKNEAVDDSDEESVGSKKNEDDSKES DDSDEEI EPUS_07874 MPRRQKDLALLQSILRPTSSSCSRQSSIRDFSVLNRPPPNYPGH VPLTFIERSALAVGSAVASLLNPRRADMIAALGEATAQPFFITKLRNRMLASPTGRRI LRDRPRITSKTMSLEHLRKLPENSVGRTYAAWLDREGVSPDTRDWVRYIDDEEEAYVM QRYRECHDFYHALTGLPIFVEGEIGLKAFEFANTGLPMTALSLVAIVKLKPQERQRMF NTYLPWAFSNGLGSVDLINIYWEEELETDVDDLRARLGIEPPPDLRETRKKLREAQKR ASKDKKT EPUS_07875 MAASESDLALHGVSPRVGSQESLSTAPDHGNTSSSDLSRTRSPS PRHPDLSDEAAKLSDKLINAINHQTVLEDTIAQTRHELETSRAKVQQLEAEVRAQEQK VTEGLLIPKADADNDKAQLVAELANERRQKVVILQEKRGIESELENLTASLFEEANKM VAAANKERDAVERRNQQLRDQVKDTESLLANQQEQLAQLKLVMQQMGPQGSKDEGPDS ARTSTEPSSPSSYREKDAQFLRLLEAMNLSPRTTEIGGEISPAPSTTLTHLLKPVCRT DLPAYEDFRHLLLLAQKSQPASRVTSGSYAGLSVMGLGGLTSSSSSSPLQPLSNSSST SLNATSSQSATTSPQLPGSFSPNSNTERGPTPLKEIKFYKRLLVEDIEPTLRLDLSSS ISWLSRRSILSALTESGLIVEPIPESGIRLYGRITPCALCGESRKDDSNPRTHRMRVS EGESATKWALCSLCLEKVRATCDLVGYVRMVRDGVVRCTDKEEEQEAWEELIRLRERL FWARMAGGVVPSFIERMSEKGSPLVRSSREEEAAAAAAAAGFELTKIRQSSASRNLTN GPSTPTNSSTPDSENTEAAAQLQKNLSDSIPSDPEASMPGIRDVTPKTPPRFPIREKR GNSWGSLKVSVPKAFSSLGEDVNVLH EPUS_07876 MSFKLSKLLNPAPTAGPASIPLHSNQFDNPSDAAPGHVETHGSA PLPTPVSIPTAKLDLSPPFSLTTSHVAPVEPSTALEHGSSSPTLDQYHFSATQNHARR QSIVKASHLPPPTLAPIQPQYDISPPGTWIESLDEHKVNANRLPGMHSVGPGPAQTDT TLPDVAHDEGPTLLDTATTQDDVTESRPISGYPASEKEIAALSLAALDGALDEGEDAT VKTEAQEVPSTGARPRSKPVNRLQKVPAAKPSEGSSDKRFLCYICIKLFTRRRSVRDH IKKIHNDTNFDMARAIEVTVDPETGESVIPLADLVKTLPPPSGGQQAQTSASRSASRA SSVETNTFSTPALVAGKKRPASDMLPAQTTASKKKGTAKPKPVNTSVKKVKTEIDSST EAAVAFRSPSGTPVSSRAGKAPVAVNVKKQSSMSLASSPAPSDRMSIAPATDNEAEDE GEQAYVPTEGADENEADNDNDNEVFCICRKGDNHTWMIGCDGGCEDWFHGKCVNIQER DGDLIDKYICPNCEDKDRGQTTWKRMCRRIECRKPARVLAEPPSKYCSDECAKRFWVG MVKKSDPNALVSEDGLMVVGSKVHHRSQEKKKRKRKKAREDEEYNDANNGEESEYQEG RVKLSPSQKKRKSISPTMTKICKNEFLDEERFSSDDEPPLSTKGGPLAVGDLKMMLNQ AKTVEEIRQLGQKPPTPPYTSDAEGYDQDTKMFNGVGPKEERQQDQKPFPYNYEEQAH LKRLSAKKRQLDGRLQILRDQEKLLAMIKTRSAVIIEEMDVPKAKNVCGFDARLSWST AEFEEWHDSEAGRIALEKGEIGDPEPSNHPVKQERPDGDVMLDGVEDDPEQDMDEGDA GGDGDDDARRKTLLRGICVRNKCPRHREWRRLLASEYKFEEEGVRRQMRKVEAEGTEV RERAAMRLLCEQE EPUS_07877 MARADQKLRSTGRDVFVNFKPKSTDKPILEDTAQEIASQNSRSV EDAVPTTRFQFIDNTSASSLSGNSTQVRRHVMKEFMRQKRWQDKKRQENPPKESGSTL RRPARKIKARGKAHQSESTASSGASSPSVSGTGSLDECQTQPNAVHNSAYLAWSNDQV EEIERNVTIPGQAEKGHPDFFFLEPSAFAKLADISSSESSRTRSISSCDSFSTAGSLM SSESLHVYVSPDPQSVLSAARTDPFDCLPMKLNQQDKELFDFYANVMPACSYGFERRS PHAHNWYLSVFIPEAMKGAVCFQNTILVHAANTQAWVKGLTETPASIEHRARASQLLL QHFQSSPTDTSDASISATLSAAALEDFDPRIQRREYAWMHFRAAVQKIRDRGGPSVLV QHNRLQMLINWSDYILSGYSSNGPTFYFHHDPTAMPSHPLEAQQIAVQEIEEQCEEFI KFLRCTEQLAFVQAQIRKTPIAVEQPMRYTAFIPGQPLERLLASPPGLRYTETGQLKQ IISRLASLLTINTAIWEYRHSTDISEEFFRELIENVKYNELDVHLSVEALIQILLSGS KNPALLDTERPWFVGRMLKVAKRLKETSFQKLNNVLLSHLTLGSDLHPVMNDWENDLR LEILGAPLTSHVLPLMQSSPPKVSC EPUS_07878 MPKLIVIFCCVFASLGSFLFGYDSGIIGSVIAIPAFVAYIGDGN LSDSATGGIVSAYTGGAIIGSLVVSWIADPFGRRMAIFVGSVFAAVGGAVQGGANNIA AMVVGRLLAGIAIGMLSATIPNYCSEVAPPGIRGLLGGTQQWMLGLGFVVAQWVGYGG SQATGAFSWRFPLSLQVLPAVILCGGIFLLPESPRWLLEHQKVAEAQSNLEKLHLNRS QTNADFVAREFQEIRDALLAEKAAITSVSWKTIFTNAPYRRRLLLACGIQAFTQTSGI NVINYYGPRIYATLGFSVSTSLFIIGVYGAFAQLWNTVCLAIMDRVGRKKLLLPSMFG MGAALCVNATLARYFNPETSTNQDALRASVAMNFVFSVFFTSLGVISWVYPAEIMSMA IRARGTSLSTVTNWSLNLLFAQCSPLALSRLGYRYFYIFAALNWAGGISIYLFYPETL GRTLEQLDELFGDQRVAHALKDTTLTTGEASNDGFTRGVSVTIDKA EPUS_07879 MPATKTVNTNAEAQKSHKSMRRMPAQKDQVRWEATVHPLYRVQL RRRNPAPAYIEALESRLQKAEAILRTVLPGVNLDDPKFDAHGIDQIIDFARHAKTGVA RGQPAFKATRSTDAQDDNAQLQTMVEGTGSLDLDDQGHWDYHGNSSGHTFMRYLSAQF GDLFVFDSRLPDSRSRAMSHLMESPKTASSSSPYDSSIPHSAELPDRRTAMQLCRNTL EDACSLMRFVHKPHFYGKVNRILNTDPDNYTNADTQFLPLLYVVMAVGCLFAQTEDTT LDTHGYESAIEQGFQYFLTARNMLDITDCRDLTTLQAVVFMILFLQSTAKLATCYSHI GIAVRACCRLGLHRNIKKEFNPIEQEERKRIFWLIRKMDTYVGALLGLPTMLSEEDVD QPLPIEVNDEFITTTQILPMPAGTFSLLRASNAHTKLTCVLEKVVKFIYPIKGVECVS PGEPYSISHSKIREIEEDMQTWMDDLPMELKPSDNAPPELARVQQLLRLSYAHLQMMM YRPFLHYVSQAHESRNVDKQSYACAAACVSVARNIVHITAEMKRRGLLIGSYWFVMYT SYFAIVSLVFFVFENPQSPTGNEILKDATEGRDVLASLAKRSLAADRCTHSLKGLFAE LPVKLQQRKSQSQSMPASRKRPNPALELAHKGHQSVPTVSRITNTRAPDRASTSPSGI TMVSAAQSMASPNSAGVRAALNDRPLLQSGQHHPESASAVTSSKLADKTQLAGEEGTS SQMLYGPHLSNNLLDLGGLMYPSTNPFAYGNQPLSILENTQMMTAEPQTSFGGPTNAF GIPGSTNSPHNVPFNHFSDHPFGDSRSQAVYQHDRTGVTTSPSRTLPNFHLPQSASTQ EAGNLNPDEEFWQQMAKARAVLTPGINLDELFGSDGGWHPVSMDQGFGRT EPUS_07880 MGNRPISANPRLWQCLPAEKQYMLEGALEFRRLEEQIATLEGAR DKESIRSRKALYNEKRRLEDQELRDWQKRQPIKYDDPLGYHQAIFDQA EPUS_07881 MSDRWPGYSEKSSGDSTPVCFWDSPLPEDEVPFKYETPFSSVCS DELINPAILPAVAVPGLGAIEVVDLTDVDEISAKHSDVGMIQDDSAPVRGVEAVFLAV GADVQQGQKLAPKISAEIELTVVDD EPUS_07882 MTLSLAAPPLPAFYASIDDALKALNSFAAAEGYAIERLAQERLA QERLAQERLAQEEQKRLAREEQERLVREEHERLAQEEQERLVREEHERLAQEEQERLA REEQERLAQERLVQERLVQERLVQERLAQERLAQERLAQEEQERLAREEQERLAREEQ ERLTQERLTRKEQERLAQERLAQERLAQERLAQERLAQERLAREEQERLAQEKLAREE QERLAQEKLAREEQERLAQEKLAREEQERLAQEKLAREEQERLAQEKLAREEQERLAQ EKLAREEQERLAQEKLAREEQERLAQEKLAREEQERLAQEKLAREEQERLAQEKLARE EQERLAQEKLAREEQERLAQEKLAREEQERLAREEQERLAREEQERLAREEQERLTRE EQERLAREEQERLTREEQERLTREEQERLAREEQERLAQEKLAQEEQERLAEQERLKR ERLAREEQERPAREEQKRRAMEGEKASKSKRRPIGIGKRHKDRKRVTQINFNKLAESV TQRTIESHDSTAMPYVERHTEQVQGVTTLTFASNPKTMTPQKIEIHFVDKGRGNTRSI GSL EPUS_09488 MRARLQDWIKSKLGRRSTDNGSVEHGNPSIIETLPGLPQNVVGT SINPWNTFKSMLVRRGIIGLDSIPEGSNGISAQAESLSRPSLFTSSAFSRLQTPIPVE DIFFDAWILSVQRIFMRSFVIFSYSIWLYNIHYTVLILICIFAIRYGLLRLGIRTIYG IPIPKKHRLLLALIKWYPKFWEHIASRACLTSGRRSFLTWFWWYIYLLIADGADFKQN YLEAWRQRDERLLHIYIQDNHLHIPIPPTHNDKIVLERIRLFYGMVQVERGLLELLFP KQLERIDCVEMTKDTFILMREVETLQLGRYQGRLVNLFEHPEFGERTSAIADKIHALS RDTIALNFVRKYNIGSISMILAFPPLGSIIFATVWIRVFIYRAGTDIQVMVATAFTVA SYIVTAGALIIALVGFLDAKRTQNEEMIDKLSRKSIEPVHIPIPVSITVAATNNGRRP IQLPTGAWT EPUS_07961 MQPRSRSQISRALIERTKHDIYPFISPSSNLTGCTNGKIVLGTG SSGGIGRAAAKHFALAGAKVVVITGRNETSLQQTKSAIQAEVPSCDVLDVLLDITDET AVGGLFSKLPAVPDILVNNAGTQRSSIRRRASLTAIPMIGGPTLYVSRGIFSQTQMIE YFQEVNVKGLYLCSRAYLRALGGRSGVIVNVSSSVSVGPAAGNLSSYASAKIAVNKIT EFIHAEHHEQGTRCIAVRPGGIADTGMGNNAPPHYRHLLHDTVNLAAGTILYLSTPRA GFLDGRCVFSNWDMEKLEAIQEDITKQDLLKTRLGLADGMKTDLVLPQYLSRALWLT EPUS_07962 MADMATNIPVVQAAEPPDMSQRSLGSPVREKSFPLCDHAPRLIP QMPRTGELIQIPGLGNAEESSPHQPDVSDLNCHDTTAQTGDSQDNASSTLETSAPLPD AISHAPVNIDGLPVDESRTIQEPLEKPHINRGMRCALQAAQTEWERQSSQFHKNKNIH HFNFLGEGVTHKTTTPPAVSLLVAVTGAKSGFVSQWGERGTEIPDPHGFAVMRNAMKH VDPVIYQGPAEILHLRGSRLREAATGLCKNFYSQHGTWWSDTYGEHEDRPELDGMNVL KYEPQIFPVNGWFQNSSMMDRWDLCRNSERLAKEKLQETQQVLKRQHACERKGSPLYE VMTTEDLHLSPKERVDMPMAATSSKDGDGARKGYSAWTVDINQFLGKCAKWSDEIDDE DEESISPSPAAPLGHLTSAAVQIEAKRDLEGEPKVDVEPTSSATPDSHAAPDTSPVST VADDKGLTIECEEVNGRPSRPAELQSGPVHNSITPCHADAKSPEHSLEGLTQEELEEE DREIYGDTAAVSVSVPDTLRPLQKCGLVDRQDGVESSSESSEEGADASSTAPTTPADS VSLGVSFCRPIREKPAALVHQPQTVPATTPHISSLAASSVNFSFPIRKKPVAPFKDLQ VVSATVFDDSSLTSAADSTKPPIRVKLPGATNLPKDTVENGFATSAEVSSSTSPPPAS GSDMSYNAPSRPSSPLLQNVLSDLEDEDGIGENEQMSPISLKTLNHVILPLQLRKSPA RRLTDSPFAPMEMSEEAWPATDTTNEEPSPSKCTAKPKSASLVLPSDDFEPAIVSPKQ MLGKFKRASLLSSKGSSIPRSKSFANLKDLAKENSSPRSVQRQSSLPSHAELEKLAEL PLPKTKYRSKLDKMEVISEENESEAEMSVSPEEPEALLTTTSDPTCQTKDDKAHNEDV TDEDGSDEHSDDTDVVIHPKSPVRSQYKRPASNTPRKMMGRRSIWSDMPSYANSYTST GNLEPLDEVESVDVSVEGTGNRAIYAIDYWAGVFEHENNIDFPRSAPTNASNVPVSAD PLTAARSVAGSASSSPHGSSNASAEDTEATTPISNKAAKTITDVHFIRTKPATSVWGR IKAKVTAQPSRSSPAPPTKEKSKLAKKMKKFLRKGIEAFGNGARPMGL EPUS_07963 MSSGMSKRLEGKTILVTGASSGIGQSVAKEFARTSPQSLKIILT ARRLEKLRGVAADIQKEVGDGVKVLPVQLDVSKQEEVKSFHGKLPDDFKDIDVLVNNA GLVKGVAQAPDILPQDIDIMFSTNVTGLINMTQAIMPIMKQRGESGSGDIINIGSIAG REGYPGGSIYCATKAAVRTFTDALRRELIASRIRVIEVDPGQVETEFSLVRFGGDKAK ADAVYAGCEPLTPDDVAEVVVFAAGRRENVVLADSLIFPNHQAAATVIYKK EPUS_07964 MSQEGRGKNGFGRYIRRFKALLRRGSSARGSVASPSSLTAAENP SVTIRNSNISGNLPPAQDMPSPAAAEATCHQSTIHMPLDARGTEQYNRFKAMLSKYNM EFNESTWPIRPAMQNSRVEKSIRMRVRIICHYCRTHYTVSKECTNCHHRRCEKCTRLP PKNRNKNKEGQPGTVPLEIPDRPSFLAAAGTVLLQEASVNVAALSADEGGDEAEVPCS GERAVSKRPRRRKEVPVVMPSRTGGQDLIRREPVQRVHRTCCKCLRPFVRNSNACPHC RHLRCTQCPRVPPKLDKWPNGYPGDIIPAEPERIHRQWKKPRVRVRWTCHECRKLFME GEYRCANCLHARCTDCEREPARRARQQFSEEAVAAVQGRLAAVGAPTTEPDVAEAPGV ASSSSRQQREEGNFPMSSG EPUS_07965 MTSSKPAFNSDGQRMIHTAGCIIIGDEVLGGKTIDTNSTYMAKF CFSLGMALKRIEVIGDDEEEIIEATRRMSRNYDFVVTSGGIGPTCAYPPLPLQINHLM LNRHDDITYQSIAKAFNLKLKLHEKALEKMKRISKPHKSQPNFSWDKPSAALTAKLRM VELPIDETKSDEEQVLFVSEDLWVPITVVNGNVHILPGVPRLFEALLDGMKPLLLPRL TDPEGKGIYRLLFSTPLAESAVASYLTELAAKVEPKGVKVGSYPRWGKAHNTVTLVGR NKEFMDSLIPEVEKGVEGRRVINEEDDDSPSEAESKGPKDP EPUS_07966 MASKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCVIDEEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSRQSFEEIMTFQ QQILRVKDKDYFPIIVVGNKCDLDAERQVSKQEGESLARSFGCKFIETSAKSRINVDN AFYDLVREIRRYNKEMSSYGSGAPAMGSHTPGQKMEMGDYNESAGCCSRCVVM EPUS_07967 MSSIDTVLSGNSSNTNVDKYAGQSASAFAASLVVSIIVFAVEGG LFVLIKDRFSRIYQPRTYLVPERERTTPTPPGWFKWISSVVHTSNSDFVEKCGLDAYF FLRYLRTLLKIFFPAAFVILPILIPLNFVHGRGASFASGNGDAAASNVTGLDTLAWGN VRPTHTSRYWAHWILALFLICYVCYVAFDELRVYIRMRQAYLTSPQHRLRASATTVLV SSIPRKWCTVEALDGLYDVFPGGIRNIWLNRNFDELSEKIRRRDKLALKLESAETDLI KKCFKANEKKVAAENKKAGKRLTKEEKLKIEAARDEKGAQNATGHGLSSGNPHQVQHT VQDVVGGTHDSNSESESVSVHEDAHQKTILPIPVLGQGIHAVTQGIEKLGKGVLDGLK RADKDLNKTIDTTNGFLPPDQEPRSLNRDGSKQAETHLDGGHQNAHQHRHTRGRGRGR DSAGHVQSPNSLAGTDGEWENIGHSAYSDDEHRPLGQPSPITPKKPSMAGPETPESKS EGKRHALKSVLGLSAKDSEPVGYRSAYNEEREKDAEDAAWRQYVEEKDRNTMRLPIFG WQWMFALPLIGKKVDTIYYCRKEVARLNLEIEEDQANPDRFPLLNSAFIQFNHQVAAH MACQNVSHHLPKQMAPRLVEIDPKDVVWENMSIPWWQSYIRTAAVISLVAAMIFLWAI PVAFTSALSQLATLARTVTFLEFLLKLPTWFISALQGVLPAVFLALLMFLLPMILRFL SKVQGTQSGMLVELSVQKYYFFFLFVQLFLVVSVAAAVSTILDLFTGRDSVTSVPKIL ATNVPRASNYFFSYMLLQALSVSAGALVQIGGLISWFILAPLFDSTARSKFKRQTQLS EIQWGTFFPVYTNLACIGLIYSVISPLILLFNIITFSLFWFVYRYNTLYVTRFTRDTG GLLYPIAINYTFVGVYVMEVVLIGMFFLVRDDQDNVACYGQGVGMVVILILTGIYQIL LNEAFSPLFRYLPITLEDDAVRRDEEFARSVRQKHGIIEDEVDGEDLEDELERREQGS REEDREVEEYELRQLEARKHRKSQKEHEPYQYQNPEVTMDLERTSKTWQLLTATSRKA NTAVHKLPGPIPGQRSSWADRSRNRRSSVFSKSISPTAAQSSRSESPSTHPRKHDSNH DGVRHKLPARNAFDVVNNFNPLLGNEKDMEAQKAARNQLSEALFAGIHEELEDLTPEQ RDVLVQRAFQHTALRARRPVIWLPRDDLGVSDDEVRRMADFSRNIWVSNIRQGLDSKG RCVYSGAPPDFSEVDLIRL EPUS_07968 MSSFFYGGHQQQQQHHPHNVAASHSHHGRTRRAPRLSASQNPNR QYRARPMKDVNIEAPNVTAFRVRFEAGRSFDLDDDLEFCPNLLTDDERLSINSGSSDR SSLSSGSPDASPIQHQIQPQQQVTPAISLSSAGSSFVSPNLSMNHQIKIHQPSAVRTR NAIPIVNPSTGMRVASPPSSISPGMMQQTTAALLNYVSLWTDSTNPSFYAWGGEISYA LPLDQLLPVPKNSVWKFTPADGGSGSWSEQAMPSNSIFSSLTRPAGAIGGYGNGTEYL MGGYSSRRTTPQTADVDGFVPIPGIVSYDIEAGTLKSLSATDYSIYGTAMYGQMQYVP FGAEGLLVVMGGSTSDAVEWTDRGSKYVSFETITIFDSTTNSWHNQIASGTIPDSRPR FCSVAASGDNGTYEIFIYVGYVASASGEPQASNTAAQRL EPUS_07969 MLLWKDFLPNQPARFLVWFLMRRRRRASNLPAVPRQSAEHEGTE WKAELQSHTPPESKVELRGPIPPEYLTSSNPLAELAQPQTVTTGFRRDLQGQELP EPUS_07970 MASSAQSVLLISLIALLLLATPSYAFGAGNIASISAIEGRNFRH GDIEDALKLVACIKGHKWTSMMIKRVYFGNWLRDYSQAMDTGTLSKAQPETIRILVWI LSFLSFGYATAEFEVTAERLGVYRPEEHIDNPKNYNDDKDARQVDPRLRGPIRPIELQ VDPQTGMKNYIANMHGDWATSAAYVKYSFERCMYHGRLYTNGHGIFKGKDEDLAEALR YMGQGLHCLEDFGAHTNYVELALRELGLHNVFPHTGTATAINLRGKHTFPLVTGTFGM VDFFHSVLGEATDHFTQSEINEMDNALCSAQSSSQSSNPLNSLTGLLSKIPGTKDLCT EAERLQASSQAQARASGPSSSTRGVGDFNQQPGRHDANAAYQQGYNQHPHWNQQPSQP QWGQQQQQQPGAWNQPPSHQSQWEQQHHQPQWGQQQPQWHQEQHSQPPFTNQQAPPHA APPQQSAQRPGLPGMPNFDPSKTVQQIYPILVFRDKVVRSISAIISKIPGLEALVDKI TETLTVFILSLLAPFIRPIINGLTTQLQAGSSAVVDSSGKHQYEPWTDPHCTDPTHSM LSKDHFSNILNEPAGQVASEILKFVAPRVMYAWDHPNVPVQQVLDDCMKVFHHPAARD HQCEVHRNMFDAVQKWASRRPGGGRDLDDILSSESVRAGRNHKDGGQTGGAGGHSHGA PGAKPVQHSIGGMSAGFPGQHQQQQQHHHHSQSGSVGGGYSPQPQHSHHQSAGSGGGG GFNPLAQLSHVPGMSGPRRRWREERAG EPUS_07971 MAKMTPYLKKHVANSLAPLQEELSRSQQRVKGLEEGIDKLFEAI DQPSSSLQLRVKLQRRPAGNLEPAPDRSIRPFSLHHHTTTTTTEPSLRASHRHLLALH ENLRTIVTDLSLNHNHLSRRVEQGDAHNSMVCINDRLRLEEQLSIMSNALFSTRAQVQ WLLNRERTLGAAIDQQQQQQQAAMRGRALAATVVGQAQTAEPGAGANGSGNGNGNEYP AGTGPGIAGPQLRPARRTSGGGSQERVKL EPUS_07972 MSNPSQLFLLADHIKLSLLERQRAVSLNLDPTPTQSSHISRSIE SFREGLEALERSAQSNSSDADQLQRLRSQYNDLSRQFYGDNLPSTAQATITSPNDPAL SADFERAQQPSKDAAGSSSLKREISKPSKSVRFRDNDADDELDPNRGALFPTQYTDDP NPVEPDPTGTMTNEQIHAYHSQVMAEQDEQLDRLGESIGRQRHISMQMGDELEGQVAL LDEVDRGVDRHQGRLDVAQRRLKGVGSKAKENWGMTTIIVLIVILVLLIVILK EPUS_07973 MEDLASRTDRASITEPPTTTEASKPQTANDTTSAPSTQNQNQSQ SQPQQKKEKKAKAPKPPSAPTSLPLSPALIDLRVGHILRCIPHENADSLYVSTIAMGD AEGTDNTHKDEETGRVVRTVCSGLRGLIPIEQMQDRKVIVMANLKPVTMRGIKSAAMV LAASPPPKEGEDAHAADRVVELVAPPDGSEGGAKVYFEGWEYGEGKGPEKVLNPKKKQ WESIQPGLYTSEDLAVVFDAARVQGVEGGTGELVVEGVEGKCKVPSLKGARLS EPUS_07974 MYCFQALFTVSLLITTALAAPAQHLRKRSFKIPRVQQHNYVPDG TFALRKAYRKFGLGALDTYPGVHFTPKVAAANGGNETEDGEVSASPTQNDAEFLSPVS VGGQMLVLNFDSGSSDMWVFNTGLPARSRRGHTLYDPSKSSASAPLEGSTFNISYGDG SFSRGPVVTDTVDVGGATVEKQAIGIPNTVSRSFTEDVHSNGLVGLGFSKINTIRPER QKTFFDNVLPDLSQPLWTASLRAGEAGAYEFGNIDTSLFQGELAIVPVDTTRGFWEFN SAQFAVGDSAPQTASTGSGTAIADTGTSLMLMDDEIVEAYYAQVDGARLSPEVGGFTF PCASPLPDLQVAVGDNYMAIVSGQLMNFAQAGTDTESGETVCFGGVQSNRQAPLQIFG DVFFKSQFVVFNGAEPPSLGLAPHA EPUS_07975 MAPSLEVPEVSALEAHLRAAPKLVAPEPDVPPPEHCPGPESEKA GQGDACQGCPNQSICASAPKGPDPDIPLITTRLSGIRHKILVLSGKGGVGKSTFSSLL SHAFASNPDLDVGIMDTDICGPSIPKMMGVESETIHVSNAGWSPVWVTDNLGVMSVQF MLPNRDDAVIWRGPKKNGLIKQFLKDVEWGELDYLIVDTPPGTSDEHLSVNSFLKESG IDGAMVVTTPQEVSLLDVRKEIDFCRKAGIRVLGLVENMSGFVCPKCTHESQIFKATT GGGRQLCQEMGIPFLGAVPLDPRIGMACDFGESFMDGFPDSPACKALRGVVRKLGEII GEDPDQLLPDGPL EPUS_07976 MIFCCPQLEAFPVRPNAIPLRRSHTQIYFLAQKLTKLASATVNL AGTTVTFSDHEWLTGKAALDTQGLRERNISLQTNGDDEARKAVLHLNALEENGEKQEK DKKTFGRTPDGIVFTVPYTHDMVSQLLSPSEPKNFSDLIVLFILGLHILGLWLLPDTW RVPVFGSVFIFWRASYNLGIGCLLQIQSRQKMLVRWAKKAKIFVDPATGQNPHPKLFH FIKRELETKIPRDYKFENAPIEYNTWLVFRRVVDLILMCDFVSYCLFAAVCGGRPTGE TALGTAARWVVGWSLVAFNLWVKLDAHRVVKDFAWYWGDFFYLIDQELTFDGVFEMAP HPMYSVGYAGYYGISLMAASYKVLFISIAAHAAQFMFLVLVENPHIERTYNSPPPRRR HTELELASRDRATSSGSNEAPSLLKDGHPSSVHNLLGVQNIDMYRTTDFSVLITLFLI AALTALTPSAPFHQGLFIANAAVWRIWYSVGIGYILNRQSEKKKWTRHFLKYGDSTEE AWRQWKGTYHLSLTMCYASFAAAAWKVYVTPEDWTADFALLKHVIGFSLIAVQLWVSI SIYESLGEFGWFFGDFFFDQSPKLTYSGIYRFLNNPERVLGLAGVWGVALITGNRAIF SLAILSHVASLAFIQLVERPHMQKLYGRQLRQDAGLVRSLKRSLPPPLRQWQDGMDKV LGETFDYVEDFLDAARPKLAAGVSTMVQDTKDLFNKYPARISITRVEPEIVGFNPEDY SLEIEGTPSSPQAEHQRNSCKESENARTPPKRTGDFKTLIFEYGAPIKVKWRAPANHS KKDWIGLYMVTDNASREVTRISSSGRWIATNPDMYDSLAPEQGLLSSDVQSADLQHHG EGPTQQDKLDSGHHGFVSGEVIFSGDKLWWTQGVFEFRYHHNGKHNVMAVSLPFEIRI ARFDEDEVSYSGTNAQHDTILRAAVEKALLPVVRNCFDRDPEIAPDTVDDPYGTLVER DGKFARRVVFAVHQMFGIELAPEVVRADGNVKNLAWRICNAKKVLEPYSMSRSKGDTT PTNDDEDKGLR EPUS_07977 MASFSPMSSIMARSLPPHCSPTTPFLAQLSSSFHSCIPTALALL STTLGTLSIVSWLFAQLPQIYKNYQIQSTAGLSIFFLVEWCLGDATNLLGALFTHQAG WQVVVAGYYVFVDVCLVWQYFWYTYIKRSLDGQSLHSSNSSDLGDSDVDSINGLSPIN SHFRDEEIASLKSDGAKSGISDCSLPINAPQLSGSASEKAAPKPISAYLSESAASSWM PSPSPRTLLYVSTSCALLSRGANAAPTTAAFFTATADIHILSAESTTELAGRVLSWAS TLLYLGSRLPQLYQNFNRKSTAGLSPLLFIAAFCGNLFYSSSLLTSPNAWQSFPPYGG HGWAGPEGSDRWEWVALAAPFWLGAAGVLSMDGMMGVQFLLYGGYGEEKVVKVRDKRG WSRWERVSGWMRGWVPSVRGKEKVVGLGESQRLMRESRELERSRGGYGSII EPUS_07978 MGRAKKTRKFAQVKRILGQHDSRLQAKLASKQSSRKGDEKSTVR HIPQAPSALFFQANQALGPPYHIILDTNFFSHTVRSKIDILTGLMDSLLAKCIPIVTE CTIAELEKLGDKYRLALRLAKDERWQRLKCSHSGTYADDCIVSTVMAHRCYLVGTNDK ELRRRLRKIPGVPLIAVGRGKYVVERLPDTMG EPUS_07979 MIKAVQFHPPLKKPSSSTTTEVDYGCVGSNDESSIDENQTQQNE VRVAAPEEDLAKVTTAGSDSGYSSGTNSILPAQDIERLHVPLDNLTKADSESHPARAV MSTPLDEAICAAYKDVQEFNPALPAEATASTKSFGTLDAPTDSVSEEKPVIEAAVEPE CVVSAPHTRKMARAISPRTKMLKLQQQAAHNDETPITVSKEVEEPIIIECTTPESTVI PVLPPSPPASECSTASDDSITFITSPSLSTTSNQRTSFPTEATDGVDGLSPCPVEEDF MVQDGAAEQSNPTEDTDEQPQELYMKDPSEVTAWIEGSDSPHSSSSSSNNSSMGNTNP TKQQRKKANRKARQRTSELMTKIEQEMLAERVKVQEAKKEHQKMKKDRKKLEKEICGG KGKAKGKSQSAQPTKKTKKAKKERKIGYVIG EPUS_07980 MLEEAIPEHLVQERTRPVSTPPNHEPALPAYGARFPQRTKDLVM AIMGAQFSSASDDDGSAISKLMGHINCSLDRLRPSFWELASVTDNSGAYNIAVIAYWP NKMLHSEWAASSNFQQWWDELNAEDERHGWFLEVFFPTIERFETVFSDNEIPEGAAHM REGMSGPIKQHLYFGSMRDRLPVAQTDALIGETGRIPNKPAGDTSRRRLRVRGRQNLT VIRSGQDWSDTDPKERKLYLETMHPVLIKGMEFLRDHGDQVGCYSCRFMDVVDPVSHK VDKDKTFGLAYFDELNSLERWSKQHKTHLDIFGGLLQYAKVLDGNMALRLFHEVLVLT PEQQWFEYIGCHEKTGMLVSSTDI EPUS_07981 MAAPKALPPFPSTRLGGVSLPSTPLVKAAYSYIHLHTSPAISNH TIRSAFFSLILREKLTQFSAVDPETVVVATLLHDLGWSFTSELVSQEKRFEVDGANVA RDFVRTHFRSQTQNSDKEKWDEEHLDRLWYAIALHTTPSLALHAPALTALTELAISAD FSGPNVQFHGAGNARVQLITPAEFKEILHTYPRLGFKEKLREIICGLCRNKPQATFDD FAADFGKRLVPGYIEKLEDASVMKRLEGGLDATVQFE EPUS_08582 MGMGPPKSGSPNRYRLTSPFFTPPEKWSEYFQSTWAYLRRYYTI QGADGRSSALTIQISTFERALDFKCVVQALIYFNSMWPQAFIPDNKNRDKSEPRIAVG QSYISHHPDYWSAAPSTGMVFFYIRKPSEVGERSEDWIQFVMMFVMAAIDSPSLAHLQ SHSVTHAGLRQFVLRGLDLI EPUS_08583 MLGTNSSLLNGKQQSTLTVLGCGTLGIAILSGIFSSLSETSHIP SPAAPDSGAVTPTSDAPSPSTPSKFIACVRRPESAKRIKRSLEEYSQNLQILQNENIK GVHAADVVILSCKPQMVKDVLAGDEMRAALKNKLLISICAGVPVSQIQEILYEDSTSP NQCRIVRVMPNTAAVVRQSMTVIATSNPPLPAELESLVTWIFSRIGRVVHLPPATMDA STALCGSGPAFIALMLQSLADGAVAMGLPRAEAQVMAAQSMRGTADMVLRGEHPAMVS EKVSTPGGCTIGGLLVLEEGRVRGTIARSVREATVVASQLGKGVKGVNGPRFAMSDDR D EPUS_08584 MDVTIVGLQNAGKTSLLRVLAGGEFTIDSIPTVGFNMQRVQKGH VTLKCWDLGGQPRFRTMWERYCRDVNAMVFVVDAADRDAIPVAREELHILLSKPSLAG IPLLVLGNKSDLRGKMSVDELIEALELKRVTHREISCYGISAKEETNLDAVLQWLIAR SGK EPUS_08585 MPRSGTSTEWSVEYDTLRRQKLFRSPPSDRSAYPALAAAVRPHV ESFNALFENQKLVEEGLLDTGIHTVVDGDPRDTNRDLARNRLDLRVADVVLEKPVLPA SNKFSVRRREILPSECRERHVSYRGKLKAKLQWRTNNGDWFESWREFGQMPIMLRTNR CHLQKYTPSQLVQHKEESEEQGGYFIINGNERLIRMLILSRRNYPMAIIRNTFTSRGP TFTKFAVQIRSVRPDQTSQTNVLHYLSDGNVTFRFSWKKAEYLIPVMMILKALVETND REIYEGIVGPLTKQSSNSFVTDRLEMLLRTYKVYGLVGKRKTRAYLGEKFRSVLDIPI TMSDEEVGVKFLRKVVMPHLGNYNVTETQDNDKFQQLLFMIRKLYALAAGECAVDNPD AVSNQEVLLGGFLYGMVLKERIQEWLTTVGSLAVEWANVKDRKFADPSFNTDFLPTVV KRSNENIGNLLEYFLSTGNLVSPTGLDLSQTSGFSVVAEKINFYRFISHFRMIHRGNF FAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHLAHQCEVHVANTDVSGVEAALV KLGIFGQPSLAVSESVVIQLDGRILGYCSPPQARMIAHTLRHWKVKGENDIPLELEIG FVPNSNRGLYPGLYLFSKASRMMRRVKYLPLDKPDYIGPFEQPFMEIACVATDVIPGL STHMEYEPTNVLSILANMTPFSDFNQSPRNMYQCQMSKQAMGTPGTSLKYRTDNKAYL LQTGQTPIVRPPLYNKYGLDNFPNGMNAVVAVISYTGYDMDDAMIINKSSHERGFGDG VIYKTKLYSLEAESRGRTRSKADITKLFGFAPQGERDPKATDILDEDGIAFIGSRVRE GDIVAAYHSVMFDPSAGKHQNLDQRTSFFKYKDPEEAYIEHIRLIGSETGDQPCQALS IKYRIPRRPVIGDKFSSRHGQKGVCSQLWPSINMPFSETGIQPDVIINPHAFPSRMTI GMFVESLAGKAGALHGLAQDSTPFQFSEEDTAGDYFGHQLQAAGFNFHGNEPMYSGVT GKEFAADIYLGVVYYQRLRHMVSDKFQVRTTGPVGALTGQPVKGRSKGGGIRVGEMER DALIAHGAAFLLQDRLMDCSDATRAWICRNCGSFLSTQVSISAYTLKSRGAGIGGGQQ TSGVVRCRACARKALIGDSKMDIWEDGNGARFVGGEDTTVVNVPGVLRYLDVELSAMG VKMKFRVEP EPUS_08586 MLILPRNLLHRNVAFPAHQPCTSTTPSTLLSSIPHLPLRLNPFH LLSSRPASSHRWVERQSKDRFARQARVQGLKSRAAFKLLQINERYRLFRPGQTVVDLG YAPGSWSQVAIDLTKPSGRVLGVDLIPAQPPRGVSTIQGDFLSPDVQDSIRSFLRDSD RGRSLRPQVYSDVGAEHAGDVAIDAEMTRGYVDREREGSMSLAVEADEQEVEMMGHIL EERTVDVVLSDMCEPWEQTSGFFNRTLSDPYHRMMNTSGNNFRDHAGSMDLCRSALRF SFDTLKVGGHFVCKFYQGAEDKALEKSLKALFHKVHREKPESSRSESKESYFVGIKRL AKADRAAVFDGS EPUS_08587 MQYVPFASDIEIPFYAALASHKINHDRLDDSARKLLGLYEIRPS DRPENSSRMQIHGNALTSDEVPAGYYRAEGMIKNVNTIEDYKGTDKGSMLQQAGRTIW DAINDGTIYSCPSLLTSFVVLSFANLKKYHFYYWFAFPALQSDPPWAPVAESTGSQDA NTSIAKPMTSAETSALVEKVQTWRYGVDARQHAFFLAKRNRRLRRESYKDESDSVETR PTTPKTPTERLGFTWQVSSLSGYESGFFEGEYSEDCYVCFADPSNYPQAPGWALRNLL VLIRQRWKRDRVQILCYRDTQQQRDGARSVIYDLELTKKPKKPRTKTEEPTEVGEISV PKVVGWERNEKGKLNGRMADLTEYMDPKKLADQSVDLNLKLMKWRISPNLNLDKIKNT KCLLLGAGTLGSYVARNLLGWGVRKITFVDNGRVSYSNPVRQPLFDFKDCLGGGAKKA VRAAEALKRIYPGVDSTGHELSVPMAGHPITDPAGTKKDFEQLKELVDSHDVVFLLMD TRESRWLPTVMGKAAGKVVMNAALGFDTFVVMRHGVKVENAPDTELGCYFCNDVVAPA DSVKDQTLDQQCTVTRPGVAAIASALLVELLVSLLQHPQGPAAPAPMSSTEDRGDHPL GLVPHQIRGFLSTFQNMSIAGKSYNCCSACSDKIADTYRADGWDFVQKALNEKGFVEE LSGLAEVQRIAEAASADVEWEEEGEIEEEGEGEMI EPUS_08588 MLIGICGGICAGKHSVAEYLVQHHHFLRLHLPNTPSNLHVSDPD DVRRLLPSISDQRGTRGLTFPDVDSLLDFVTRRWREHWVLTDIHSESTLDLLLRRPFF LLISVDAPTSLRYTRFSARCTLRSLTPPPLDKFILCNPPRPLPSPHPQPLHHPSLPRP TWDKYFMHLSTLASTRSNCMKRRVGAVLVRNNRVISTGYNGTPRNLTNCNEGGCPRCN GGKSGQLNTCLCLHAEENALLEAGRERIGDREGGILYCDTCPCLTCAVKIVQVGIEEV VYAQGYNMDEDTARVLEEGGVRLRQFTPERSGLVSLGLEGKEEEEEEEEAVNGWLVNG GGLEGKKALVKMKDDIANR EPUS_08589 MEGGEQVIIDQKKEIEKSGGSKPETQGHEGTFGGGNEEGDYCRI CRGEATDELPLFYPCKCSGSIKFVHQDCLMEWLSHSQKKYCELCKTPFRFTKLYDHRM PQTLPIPLFIRQICLHAVRNTFRWVRYLLVGLVWLGWLPWSIRQVWRGLFWLADGSWG TVTQISANAPTSYLQDLGNSTTDVGLTALSSNGRGEFLSALPEMTTPISGFLAYSSSE FLLMKIVRFLYPGFFNWSVGLTSTGAANSTAFLHLSDRQQSFLSEVRYLKTLTSYQTI NHSVIDVLEGQLICLLVVTAFILVFLIREWVINQQPPADLPGAGLVEEARADAGDAAL RGPARRPRRRAGEEGREERVRRIAVPRARRRLLAPEAEAENVAETTEEPVAGETPGGD VEGSGRMFNASKGQAAQEPTEDNESPQDPCSTTRPTLKARNAQEDAASIRRTIEEGIP SQSSPKWPGLETFKDLWNRADSDPIEVLRIIREDNREVELGWVVAHMEKLQQNQQLLQ PPSDMQTADLEDVHESTDQHQSGGSIDAADLPAQPPEASSRPGSSGNNPQNSEAEEAA LPKQDPQEGSTASWDVIAPTEMTGLSALDLAAPSSSSNPSPEFSPVFTAAEPNEGPTV EQEEISRANAVFEAPDAVLHGDSTSDDTPTAIDALADWLWRTDEYVAQASEQFGEDDA QVVEDVDHEAPFVPIPAVDVVSDAEDEAEAEQEQNPEVIAAAAAAGIDLNNLEAVEDA EDLDGILELIGMQGPLTGMVQNVIFSEFLITLTLAASVWLPYIWGKIALLLLANPFGV FVRAPLHLLSKVADTSVDISLFISGICVYMLTFALNCVLHFVYLVRPVSHPWIDTISI GKMSLSLASGSGSRLEKSIFGTFGGLKPDLPSFSVLSHQALHVFQRRLVLDLDTIGQF MVYISYEVPLRLKECIHGLAGIDKTIFTKALNLLVTSCQQSRHDLRRLYQGLCNLRSL KVEVQAPLDTSSLDYNLAQWNTRDRIIAIVIGYVFFAFIGYLYVKISRLVLGLKKGEK VEGVVADSLNQASGVMKVILIIGIEMIAFPLYCGLLLDVALMPLFEGVGIQSRLAFMM NAPLTAVFVHWFVGTCYMFHFALFVSMCRKIMRKGVLYFIRDPDDPTFHPVRDVLERP IATQLSKIAFSGLVYGGLVILCLGGIVWVVSRIQGIFPIHWTSNEPILEFPIDLLFYN FLLPILIRSVRLSQKLNAMYEWWFRRCARWLRLTNFLFNEQKDDEQGSYVRRTWWAVL RRRRGDVEKPAIGEDRQTLADDGQIGTYFLRDGTFVRAPGSDSVRIPKGGRVFLEVDE NNSRTDGQAEDDDGPHGSTNHNFVKVYIPPMFRARIAAFVILVWAFAAASGIMFTIVP LSLGRTFIVLITQSDRPPNDLYAISIGLYLCGSVAYAFSYYGICNEWVWGKIKYYFKD TKHALPRLWSSIAYFFGLAYMGVMFGFVLPFLFSTLVELYFVAPLHTYLASTYPPPPT YAPPGLPPTIHLVQTWTLGLVYLRVILRIVTNQPGPHTRAATAIRSILREGFWRPDTR LATRAFVLPSLVLSSILLVIPLALGWIINATLRQPTLQAKVYRYAYPGILGVALALHC AVLLRRQVGVWRMTIRDEVYLIGERLHNFGEAQRRQSKGKGKARVRDRAVSERLQIQ EPUS_08590 MAVKENGVLSNGSASKSGSQVTRHANRTTRPSKGAAAWFTSTIS RLVIWYVLITCIFRCPSSSNDLGESSPQICKPYLTTVSYLSPHLEPYYNTYAAPYVEK LQPYTQQLDQKVITPVTRFTRNNYQTHAAPRIELAKAFSQQQWEKSVLPQVQTAQKKT YDIYGASVAPHVEKASSVVGPYYGFARDNVLNIQQKHIMPALEISKPYLGSTYDASQT FLVNTGIPYGKWAWSSIVIFVDGTLWPQMRALYGDNVKPQLVMISERIAKYQEGRKLK ASMEKVESSISAASESASESTVAATPEIIPETPTPSEESAPAKTTLTGKEQIAAAREQ VATDLHTWQEKFSKAADKGSEDLQERVKEIINGLIKSAVDAEGPELLTALNKTVEKEI TNFKSKVIEVVSTLSHESSKEDEEIAEKEILDSIRWSANSIRERAAAVRKWHTKFETN VAQRAEAAADSTLDVLDGIRDLGLQEIGMRWAWMDGVTYKDWAKYHELKKQFDHWRDE VRDVASKHEVIEDAKRAGNVILDEAMVTAQTAAMELVHLKGVGKWKIQARDSSDNFES RVMPAAAVSAASKVAEDINNVSESLAGSTQSTMESLSAKANHAASEAVKSASSVVMGT SQGSVESMASKATEAAAGLASSASSAVVGTSQGTVESVVSKASQSAAAMASDASAAFG GESSSGALESVVASARSVTDQLGDSASSAADSIVSAASSAKEAVSPSSSGNAASSASS IASSVSSKVFVGAMAQEVSDSTPVFDDVIDENEEATFSEKVQSVVKDAGDRYADVTKA VSEALLGRSQGAMESASSVASEQYSSALAAASNVLYGTTQGTAESITSAASEKYSQAV SAASAAIYGTPVPASQSLASQANSAYTDATSRARSRYQQAKSIVSAQISGTPKAIHEE MFSSVESAYSGSLATASGRLDSALSAASRQYASLTNAAGSFVSHPTQGPLESISSVAS SNLQVALSKASAQYSSAKNAVGATPTPAHQQYLQDAQRNYYAALGVAHERYSDFVNAA STAIYGSPTPALSSLSDAASQAVYGTPTPALSSISDAASRAIYGTSDLAYQSIMSAAS SQYASASLAAAANMEAFMSSAKSAVGATTKSPAQSLYDQASSQYNMALSAASSSLSAA ASAASSAAYGTSAGVVESAASQASQNWEALVSKASEQIYGAPTPFFASLTSQAGEYTS HATDAAALQYSNVQAFVSELIVGKEPDFTESVMNRLSSAYHTGYHISVALSASSLAGD AYQSASSIALSVSSVASSAASTYFTPPPQIESILGAATEQLNAAVDAASVQLYGTTKG TIEHVKLSAAEAYSSASSAASEKVYGTQTGYAEAAQSSMSSAISSAQAAISSAIYGTP TGTIESATSVAGDTYSSVSSAIAENVASAGSVASGAYLSAAAKVSEAIYGPEQSALES AQSRLAAAVESAQARIANFASSATDGASDVVDAAKTNVEYLASSVSSMASSVTGRVKD EL EPUS_08591 MAQKAAKSLASRNTFILRRNHLVTVALHLLFLLFQILLVRPRSW VLYLTFCLPAIAIEVYLDVIGRPEYSHDGFLRRPGQDLDAQGLTDYMWDVVYWTWINI LLVLIFGNNAWWLYLVVPAYTIYLAATTVGGLKAMFSPPKDDEGATTTASEKRISQGH KEPLQLSVHNPEDQTQGIGQGPNHTSSGVTK EPUS_08592 MSPSTNPYIVSQLRSQIYYHLDNNLVRNALFLASRLASYEPRSP EAAYLLSLCQLQSGQIKGAWETTRVHASRAIHLGCCYIYGQASLELGRYVEGITALER ARPLWLNKNSWNQHSESKRQHLPDAAAVYTLQGKLFQAHKDMGKAVDCWVEALKLNPF MWDAFLGLCESGANINIPNIYKMTPEMISSMKLTIQNEKDLAVPSSAPLQSQPTNNPI PDPFLSSNLRANGISGYGSSALWEKLNGATISGVNISADEEGTLTATDEDNLQSRPTW EPPPAPARKQRSAQELVDYASAPPPRLGANSIKSRTRSKVGSEDAVLTVPDPPPAAPT KRTVSGQVATSQNGAPEGTRRSRRLLNTVQPTTTGGSKISSLASSFGLREGRDIKKAK APAKGRTATTSTVGRVVSGNRNRADIMEVDRPPQKPPMTSQFNKDYDGLQSLLDLFSR MANGYFCLSRYDCHNAIQIFNSLPTQHRESPFILACIGKAYYEQASYADAEKFFIRVK QNSGSVLEDMEVYSTVLWHMKNEVELAYLAHELMETDRLSPQAWCAVGNSFSLQREHE QALKCFKRATQLDSGFAYGFTLQGHEFIANEEYDKALDAYRSGVHADPRHYNAWYGLG KVYEKMGKYDIAEQHYRTAARINPTNAVLVCCIGMVLEKNKNYEAALAQYSKACTLSP TSALSRFRKARVLVQLQQPKMALVELQILKDVAPDEANVFFLLGKLYKMLRMRADAVR CFTAALNLDPKAAQYIKDAMESLDDEEDEEEDEEGDMT EPUS_08593 MTNITVPNNYGYVLGISLIATPLLAFAHGTITGRKRRAAKIPYP NAYATAQEMKANPAAYTFNCAQRAHAQFMENAPQTMMHMLVAGLQYPNATIALGLGWL VARMLYLYGYIYSDKPQGGGRYLGTWFYLAQAGLMGLVGMTALEIIS EPUS_08594 MEPLPDREPTPEVEMTEPEQPVDAPVGLATLRQPMKNKKNVDIG TLRQNGDSPDSWRFTFILNFGRYGKPAFMLRCRRNKVATQKLDESKSDSYLEVTFEWQ PGVSFLNKNGEKLYQLDNLARNVLSKENVPLLAKLGWYYPRAGSEIEKLSDSDKAGCV NINFQSRTPRIETDVIKTQAIANTTEAEKEFIGDLYSEDVVDMSVTFLLPLGSQYSDR GIDGSRERSLYFLNIEYPNFQDAFENRLATFISILRLFHPGEKVEHKREALEAELQET GAIARWPPGDAFYHYRKQIFFTSTGEFLTHVLGSVVRDQQWVEGEYMAYSAQDINFAV VRSYQAQVPGDVQLTEEDKARRQGFFLYGYLPKDKKLHLPALDSQWNIEFKKTVVGKQ IHQERHLRWTGYVKPITDDERQVTGAQFVMVATRPLGGQRIRWAPSIHELAPGNYHPG RMTQIIDRVGQDRILKACKEFCDPLRTDLENFRIPLIFTKEIKAFHHVNLIAGGDPKN DDQNRTFFDWVFNPIQGRLNKDQKQIFERDLRQVWNGTKFVKGGPGCIKTSTMAWVVL LLSLIDHKVMIVAEDNGSVNQFMKTLESVRVELGSAAIQSKSQESKALIDQLSGHIHF RFEPPVQEKDALLDNDFGKGNMHRTGRPDDPDAWKNTADAMSLVMASLAHREALAQMN AEIAKRAELEGELSRHQGDLKRLQSEYARRAVRSDKLNKFPARQFIVYLIEVLRDAKR QDQLAPSDERFSLIDTYDKAQEALLRGQGNPRKLASALSIATDALYAWVARSSHVLGT THGSCVNVLVKGNFRPTVVVHEEGSRVKVPTALCAMSFENVKAHIFMGDLHQLPPFQS SKTMNEFSEMGELSILQLYEDK EPUS_08595 MTVEHKAFSDVFRERYGATVVSQYYFINTTRSIAHVQAGGNSLE NWADAKVAVILCKAWIEKGILAIKIAILCFYHGQIDVVNEYLKRYGISGVQVVSVDQF QSGDNDFTISLVTATANKSLMTLLSGDPDYFQGVSVFVKLYKRLNVAATRAKKGHVFI GSATTLDRAVQKEASGEHLRALIHDAIERRVMLIDETEDESPYVQQHLMSSDKTLREF KEETSSLENLGWMSEHREGRVQILRKAHQQKPQKVTYGGSDQATQFGTFPPNVKEATR ARQSQPGAVRWSDEQREQRESSKKKGKKRR EPUS_08596 MSEASPPQGVLPERSGPSSPSSAKDGGDAATFSSRSDPLVRPET TLESAPAETTHNEEEVGSSVRPTPTGISAPADTIHNEEEVDYLVRPDTAGPSAPADTQ FEQEDKGAPLGDPLDINKGFDILDLGHDDDNEVLDEVQTSGLEPQDIIEEDVEMEEGE DIQPAEPSRKGKEKVADITSKHEWADMSSSFSLQEGEEGHDDAHDAKRMRQEFQPMPE EDAMAIAVGRSKTDAIKRLEKGTSAAAAIGLKLKREAREEPVDESTLAVLEEGVPAYR DCPKAISVPCLFQRWGDHKEFGGVKAQHANFSYRLRIDFGRYGRPSIQLTIRRSKVLS KPLDTAARDSYYEVKFKWQPGCVTDVQVQVDYGDQDNETRTEITRWMIESMMLTPFMD DRDVLIDKGLWQHSPRSQVERLSDEDKARLVALSFNGRHGQIETNINVAALQLGVRDP PTLRLLGDLFGKEDAGLTILFLVPDYNGVNPNQTSRDAYGFMHNEFSWFKLMYDGRWP PDHPYLDDEHELNITLDMRDISTFKNRMYVVYSKDVTKRQNAQKQETGVITYWPEPHG FYTHDLDMYYHSVKAYCIPLMVNVVRSWQWERPAEGVRTKVSEAQDTRMKTFYVFVWL PKDNRLRVPADQSPWHIEFAEEHWGHQVHQPKKSRWTGYVRNLTHRELMATGASFVLA CNKPRRAQWVESADDCTEIQHYQQIRMTQIVSKESAQRVLASVREFAELSRDDLEALQ MTLAYDKEVRQEVSDPLHHGHFAQEGVEEKCVEAWHKIVKLLAPQLDEGQLALLTEGF QHIYNRSKIVLGGAGCKKTTTFALAAVIMGVSEDDHETAESVACQKYLRFVPPASEKD ALVRAQAEDESAMHVTGTARDPNYWHELDDAVGIAQAEAGLAEAMANDPSIDHDAAAA KMQQILLDWERLKADYDRMAEKPSRANEFPVRHSLPYAIQKYEHSRGALLAEAGDPKT LALDFRKATDNPTGVILDDCTVVGCTYVSSAHELMNWYFKPSVVFHEEASRAKLSTVK CALIFRYVKAHIFLGDIRQLKPFDAASHVSEFNKVGKMFILDWLIQKKHGYTWLKVDY RNHPDILQFPNREWYENLLESAPCVYEWVPQLDIWTKTFQEQYSVVVKSQYYCINVPR GISQVMEGGTSLQNFANAEVIACLCLSFVKNGMQQRANTVAVCVGLDGIILVTIDQYQ GEDNDFVILDLTATQKGALFVDGEPMYQEATSHLTSENRLCTALTRAKYGCAIVGAAQ SLERALANSEKGTGLVSCIHDAIDRGIMITDLTEDESNYVQDQIKQGAGYRDFMAETA MDRQYSWMASFRQSQLARQNRTKRHGAYGRPTGPTITYPGPDQGKQFQHFPPLVRDRA KERQAAPNVVPSTDKQETRNTRRPGSKPSKAARAAAETATEKLSSGCMRLEKR EPUS_08597 MARNPDKLTKLEKELDNALAAGIMSISPRFDEIDPLRYLDASIK EAMRIHTILNLPLEKVAPQGGIEVAGTFVPEGTSITPSTFLIHESVAVYGEDATSFSP DRWLDASDAQKQAMERSLLGFSAGRRVCLGRYIALLEMKKTIPTVLLHFRMSLVEPDR EISYGHNLIVIPDGIVVKIERRVGRTLGS EPUS_08598 MPPSSFTLDPGTVFSLAVAFSLMPAAQLLASIVLPKNVPTKYKY LFIWHAYDFLTHFIVEGSYLYHCFFSYVDLPPATTDYPHPASLNPEGIYFLGQKSRRY GALYSSAPMARLWQEYAKADHRWGGADLTVISLEILTVGLAGPCATYIAFLISQIVPK PAGRERAKLQAKMWFLATTLATAELYGGFMTFCPEWLSGNTQLAGDDPVYLWLYLVFF NVLWVFIPAWVLWEAWKEVSGTFERAGQMTDREKGK EPUS_08599 MAPGYTAEEKKWLKDNWGNEFHFLISYGLRIYNDEDREEGKLIL RAIMEAEASASSTSQAGAQAAEKPNKSIST EPUS_08600 MSYGSYPAQQGGPPPSHSPQPGYGPPTGQYNQAAHQYNQAPPQA SYPPPTAYPPQGYPPPQLGSNAPPGGGYPPPQGGYGGPPPQGQWGQPFPQTGYGQAPP PGPYGQAPPPTPPYSGSFPPPPQAYAQGGQFPPVQQGGYGMPPTPQYPPQPMVGAPAL PSPGYDPHHVVPVDMTLAANTIRAAMKGFGTDDKTLINTLAKLDPIQIAGLRQAYTTR IKRDLEKDITSETSGDYREALLAIVRGPLLHDVYCVRKAIKGIGTNEDMLSDVLVGRS NADMRAIKAAYRQTFRKDMVAEVADDLSLDTKQHFRMIMEARRNEESSPVNSQQLEHD LNSLFEATEGKVGTAKLQVCEILTNRSDGQIRAIAQQYQQRYQRPLDAAIRSKLSGHM EETLLLQLAKATDRAMSDAMQLEAAMKGMGTKDELLIQRVVRAHWNRQHLEQVKGAYR HKYHMALVDRVKGETSGHYKELMEKCLI EPUS_08601 MTREATWKPLAGTTWNYQLTGTINIASTNVDVWDIDLFETTPST IDSIHAQGKHVICYFSAGSFEEWRPDVAKFHPSDKGDAVEGWYGENWLQTRSSNVRNI MLARLDLAMQKKCDGVEPDNVDGYDNENGLYLTEDDATDYVTFLADAAHSRSMAIGLK NSAKIVTRLVSKVEYSVQEECVQYGDCDEFRPFIEQNKPVFHVEYSGGGLTKRGSWKD DLRVENHGGDYSMASDASESTLSKDNTSGAVFAEDKVAAVVNGISDSIDNAPYSVDPD DPVRQAASSTIVALPHSSTDNASTDTSAGNDRTDDGSTTQVISSRGANLAAIASSACS AGVAGFSTIVKDLDLGPWTQLCY EPUS_07337 MATIALVMLVIVFKHQINISLTGNDLFETTGYIRGHCTEPSYIV KYRAGAIPFLASVIGKVASYLSAPVMTLISFNIAYDLMQSSTEPNPTRLPSPFQTGLL ISLLTGNAWSLWDVCKYLFQWRSRRVPMTYVVKLALVTLSFVMCLSFLCQVVDAWLHR SIQPIICTSIGPSSSPSFNFGRNLSSTCQQYYENASVDNQFLAANPYTSPSERTPCNL DHSGSAYYPKNVTESYQLVLGATLSNNITVETYEGQQIAILVPSKPPINTDWRAKTVG SATNCVPITRECMPRSVEAYSQKQLFDCSNMTLMDVSNGKEFNGDLDREKFYQKLFQQ KGHETVSRYNLTNPHLFGVAAVFAGSPPNYNKTATADEALVSTIGNVPFIVACNSTIY DVIYRSVNQTITIETISPSILDVSQSINGPMMIAQQVVQPSLQMRFLYSMAAAYSEME TANTFGRWYSETSLAFSIAAFEEVPSLAERTGTTSVVTCVPKAALWTLIALTGVYVIL GIGLTMLACLAGDARSVKSQLSVAGLVAARFENNDPGVVVGTANLGGEYGDGGGSSCN HNNDTNSDHGSIKNLFEEYHRHDGREPRCMISKVGFAPVPSNRWRYVLTRQSSSK EPUS_07338 MSSDSDDALEASFIFKEPEDFYPPETPPSFAQHTLLSGQTITLR LVGHNPLWGHLLWNASRITADYLEEHADTLVKDKSVLEFGAGAGLPSLVCAINGAKQV VVTDYPDEDLIENLRHNIEHCTATLDNIVAEGYLWGNPPSNLTSHLPSSAGERSFDLL ILADLLFNHSEHRKLVLSMQKTLTRTADAKALVFFSPHRPWLFDKDMAFFDLAREMGF VVEKVLERKMDKAMMVEDRSVRRSVHDVGNEEVKKVVFGYQLGWEGLQ EPUS_07339 MCKAHVLRFSCSHGLLMSLDFCPCGPCPLLKSTGKSLPQQPRCC YNCQKKRNESSASSGSSSRSSCSSSDSGDDITGHLAALTPTLPKVVTKSPRAGDYVMR PCMQSYGSSQYQKTFSFACSHDHAPPPQYDSLPAFLPHQNHDCPCCQFEAVRARSDTD IIADAVGSWPLVREDRQKTKRPDWEWKESLGAQERYIEERRQEEKEMLYMVARKWQQD LKAMRVICDDEGGASLMG EPUS_07340 MISIYQQGLLAFLAFSPFLTSALVILPEAVSNLEVRSSYRPAQQ PISPQSPSSTITPLPLVIWHGLGDSFDADGIKEAVSIAEAINEGTYVKTVQLGKDGPS DRSATFFGNVTEQIEEVCNQLASDNILRTAPAINALGFSQGGQFLRAYVERCNFPPVR NLVTFGSQHNGISDFQGCASVFDLICQAANGLLKLGTWSDFVQSRLVPAQYFRDPENL PHYLKSSNFLADVNNERALKNKTYADNIASLNKFVMYMFADDTTVIPKQSAWFAEYNK TSEVVTPLAERQMYKEDWIGLRRLAEKGGLVFDLLEGEHMRFSDKDLKRVLREYFGPV RIDEDGSIWDDVDLGRSPQDEL EPUS_07341 MPINIHSSNSVLMEGSEVTHQQHHGERVYKCTIIYSEYDCWKQP RKPVRQGSGVWLILKDIALGLVVCSGIMFLSLVTWFFPMRDFITKNLFDPETRSIFWA WVKIDVWCLVVTLWLGKVMFNYWDVVMLVGIIIFSKSIVAGKAILSTVVFVLRLPVIP MKWLMKAILNLWRRLSNRPKASTSQPIGGSSLAPYREGTVFLVFRAVDPSNNADSLYS VWEMSDEDEELM EPUS_07342 MSTSAPSSSSSDPKLSYEISVSAQKSGYPYGKPPRNSFAELSST PSILASTCSCGCNRKNTVTDSEYGCRRQPKNVYLFKHRKAEQQEGTVWPTLKDVLFTL IMSAGIVMVIGEILEILASIYLTQQLGFFSSLEFWRRWKVCMRLSVLALWLGKLAFDA GIALGKADAQRKPGRPLGTSFLSSL EPUS_07343 MDYPRRSARLSPHASNSSQPGETSAAAFGRSSQTSSTTTTSYPS PTNDTRNEQIIPQLPQQPRYTPQLQVQPTMSQSHLHAPLPSPSAGEIDSCFARPHPQL NPYQSLHPQQQQQQTPTSQPLSDQNQRQSHHNSFSQNNNNFGQAGIMPTNFLAEAAKR AQMACLMRDLGDVSL EPUS_07344 MNPFQLSPELWRFALLLAVLIYYKTAYKLVAKFMDCVTSTSSKP QSNPQLKASNSSKLSTSPQMSSFHRRFLSPASNSTSENMTTMEPTDKLPPSKNEAARS AKRFLLSNIRDDWTYPLSEPQTSQEPTYREPLDYRIREEGSSDPEPLSDEPHDARDPM VFTDSDPYKFENPDAIARTIIQRKRKRRRLFKEELGWNDGLRTWVDRRNAWCGAVEKR PRKVKGRIPNHEENGCSVSTATNSSMPLSPVSQVSATSSSPVHSASDSEDNRDTDRPD EGQGPLLPIYPSLLPEDNIIRSSIKPTMYPAIYSKVVLQSLTPTVPVHLPDMIGALVL GWKSEGNWPPKSAVPTTSIIQEGGRRASELLKFRRRENVVAEKGRMRKGVGAVKKALG LRTSSGETNGGDLRFEDEGSRRNSNPDKSGLVDGDTPAPD EPUS_07345 MSDLPSKMKALRYSKPEEYDVVEVDLPKLRDNDVLVKVKACGVC GTDLHIHEGEFLAKFPLIPGHETVGVVAALGKGVKGFKVGERVCADNSELCGECFYCR RGELLLCENFEAHGVTLDGGFAEYCAYPGTYNHALPPPPSNVPPHCKQTDRSSYLAGR VFPIKNLSDVDATLLEPASCAAHGLDTIAPKMGSSVLMFGAGPTGLVLAQMLRQNGGC HVVIAAPEGLKIDLAKSLDAADEYVALSRSDPKPMFDKIKSDNPYGFDIVVEATGSVK ILEDAINYCRRGGKLVVYGVYANKDRVSWPPSKIFGDEITILGSFSETYKFPAAIDYL DSGKVKVHGIVNKTFKLDQWKECLEAMRNKSAIKAAITFD EPUS_07346 MKISPQAVLLFQQEVRRQLVSLDLGPPNKVVNRIVSRTRLPRIQ QRDLTIHRSPVLRQAVPASVPQHETISLDPPAETSFSSWEPFRSPAYAPTNRAPASLG HLPVSCPGCGALTQEVNADEAGFYTRSRKSVKDYIRLAKRVRAVSEHEVVRAGADQEK LLEDDSQQQDLRPRITGLDLDQGQQDRQAVVEQNVPFCDRCHNLLHQSKGVPIAHPTI ESIAETIEESPFRRNHVYHVLDAADFPLSLTPSIFNRLSLARPRSQNRRSQHDFSTKP TISFIITRSDLLAPQKEMVDSLMPYFISVLRDALGRKGQDMRLGNVHLVSAKRGWWTK EIKESIYERGGGNWMVGKFNVGKSNLFEVLFPKGHDEQAPIYSQLQKDAEQDYSHLLS APPSSLTDTPDHVLFSESSLLPPPQPSTPYPTLPLVSPLPGTTASPIRLPFGPSRTNP KGELIDLPGLPRGNLSHYVTPEHHRTLLMEHRPTVTQHVLKQGQSLLLGGGLMRITPL TTPTSNPNDETVILAYPFTPLPVHVTSTEKADMTQRQLRESGIKTLLAPEAGESIKSA GIFALDTDVTKSRSGALLRAGVPLSKLPFRVKGTDILLEGVGWVELVCQVRHRRRRGV QHEEGQAETRQNSDKEWNGGGADVGAGRRRDALSILDDPSPPPPPAPFSISSPSRPYN NPRYHGSRCQEDEFPTPQIEVFTPEGRSVGQRRTMGAWMLIHGARKPGQRKTRKSSLK ARPRRSMKGMKKRGKMEERERARVREGGGAGGGEEG EPUS_07347 MLWRDLRALQIYGANTNVGKSIVSTLLCKAFKRKSPTSGVLYLK PISTGPVEDADTRHISRFAPGVKSENLYQFQEPVSPHLAARSAPNPSNGCHDNSDIGD VSIVEKVKSWIERSARDGFRYAVLETAGGSLSPAPSGTLQADLYRPLRLPVCLVGDHR LGGIGSTISAFESLHLRGYDVDSVILFHDKVYGNYEFLRDHFRSHGIRTFALPQPPAR QKEAQDDEDLMNEYYRSVSNSTSITEIIDLVDHKHYFRVSNLSSMPALAESIIWHPFR QHGISQNILAIDSAYGDFFQGLNPKSVSSLNDSAPHQKSGIASIRQGPEEPILEPLFD GSASWWTQGLGHGSPDLALTAAHAAGRYGHVMFASAAHAPALELSSKLLSMLANPRLS RVFFSDNGSTGIEVALKMALRAASKRYGWSRDDEPIGVLGFKGSYHGDCIATMNCSEP STYNEAVNWYQPWGWWFDPPSLKMRNGVWELQVPQEMGIRTTFQFQSLEHIFDYDTRT HRGHSEIYEQFIMSTLRRLIVDEGRKFGALICEPILLGAGGMIFVDPLFQRTLLKVIR GNPALFAGASGSTHSASTSMEKSLDWSGLPIIADEVFTGLYRLGRASSSSFLSKSQNT HVVDEDIAPDISVHAKLLTGGLLPLAITTASESIFNVFLSDKKQDALLHGHSYTAHAV GCSVGAKSLAMLSELEKNGAWQGYQNGWRASTAYNPSESEKGVHFWSFWNQVSVKRLS ESNKVDGVFALGSVLAIYLKTEDGQSGYTSNAAADLQSTLFGISDGGFVVHSRVLGNV LYLMASMTSNQESLAAIEKTLMRALR EPUS_07348 MDEEKTSPLHAQLHLLLDRRKQQSRLRSLKASPEGSIDFSSNDF LSLSSSPILKAIFLDELENIPRLGSTGSRLLDGNSSYAENLERDIAKFHNGPAGLLTN SGFDANVGIFSCLPQPGDIVVYDELIHASVHDGIKTSRAGASIPFAHNSVLHLKTVLE AVVSKSSASVPFNLFIAVESVYSMDGDLAPLMEIVQLIEALFPNKNAHLIVDEAHATG LYGEKGRGRVCELGLENKVFVRLHTFGKALACNGAIILCTPVTRQYLINYARPLIYTT FMSFPALAAIKAVYTFMAQDRTEQLAKDLTSLITLLYSNLGALRDKYSASNSTKNLLR IPSECPKSPIISLLTSDPRGLAQYCQRAGFVVRAIVAPTVPEGFERVRVCLHAANTSA QAEKFVQILQTWIEQESHKSQKLSEVVGARL EPUS_07349 MKATRVLQSLARQQNAPRAYSTVHDLPRSGSTGLSQPPPPAPQV GDPSTAFQRATTASGPRNDWTKEEIAHIHQTPLMQLAFAAGTLHRRFHEPGRVQLCTL MNIKTGGCSEDCSYCAQSSRYNTGLKATKLSTVDSVLEAARIAKGNGSNRFCMGAAWR DMRGRKSGLKNIVQMVKGVREMGMEACVTLGMLNKEQALELKEAGLTAYNHNLDTSRE HYPNVITTRSYDERLETIENVRQAGIHVCSGGILGLGETPQTDHVGLIHTLATLPSHP ESFPVNRLVAIPNTPMYGSEPVKLEDLVRCIATARLVMPKTIVRLAAGRVGMPESEQM LCFMAGANAIFTGEKMLTTPCNGWDEDKAMFERWGLQPMHTQASEVPSEKVFEARSFN EMKEKGENAPVMA EPUS_07350 MAKQSRPRREDYTVAWVCALYIELAAAEEMLDEEHDDFDHDAND TNIYTLGRIGEHNVVIACLPEGQPGTNSAAAVAVQMKSAFKSIRFGLMVGIGGGVPSV EADIRLGDVVVSKPHMMHGGVVQYDSGKATPSGFERTGFLNTPPTILLSSVAKLRAKY SRGKSILPEYICKLNSLPIFAREKAGPDILYEADYNHEGGDTCEQCSRACVVDRQQRT QDILVHYGTIASGNQVMRDATERDKVSSQLGGVLCFEMEAAGLMNSFPCLVIRGICDY ADSHKNKRWQAYAAGAAAAYAKEVLSVIPTAEVARSCTVDEIIREKSALEDTLNRLPY AVEELQNAQEKDRTRQTLSTRDDKAKKFLKMLYTCRYKDHKERNRDRVPGTCEWFTNH HLFQNWKKNKRSSLLYVTADPGSGKTVLAKYLVDHILPNTSQRTTCYFFFKDDFTEQK SITNAVCAILRQIFLAKPHLLQDSILDRFDTDGDKFTQSFHDLWSTLISVAADQNAGE VVCILDALDECQESDRSQLIKAVRKLYIADSNKFSLKILLTSRPYDHIRREFRDLESH LPTIHLSGEDEVEVEKISREIDLVIQRRVGDICTKRCLEPDERTFLQDQLTLAPNRTY LWVDLTLDVIENISGFTKGNVRKAVRQIPQTVDDAYNRILDRSSDTEKARRLLHIVVA ATRPLSLDEMSVIMAIEETHGSYDDVMQEIEPEERFRGTLRDLCGLFVVIVDAKIYLL HQTAKEFLVRDDSLASLKSPSRLNSQHNPLEWKHSLQLEGSNRILAERCIWYLTSDFF KTPLRVLLDYSARNWVTHFRKAGIRSKEPIAALARMLCEPGSRQYKTWSAICKIWIPD SESCLTIASYLGLEAIVKLLLETEKVDVDSKDSELDQTPLSWAAENGHEMIVKLLLET GKVDVDSKSSKLGHTPLLCAAENGYETVVKLLLKTGKVDVDSKDCDSRTPLSRAAAYG HETVVKLLLKTGKVDVDSKDCDSRTPLSRAAAYGHETVVKLLLKTGKVDVDSKDCDSR TPLSRAAAYGHETVVKLLLKTGKVDVDSKDCDSRTPLSRAAAYGHETVVKLLLKTGKV DVDSKDFEGQTPLSLAAENGYETVVKLLLKTGKVDVDSKDCDSRTPLSRAAAYGHETV VKLLLKTGKVDVDSKDPQGRTPLSWAVGNGHKTIVKLLEKAQQSQL EPUS_07351 MRVFNPAIIFWHMHPLLLRWCILNKFDEYDDPVLFILIKHGSSS SNFTSVQTVTSSSSGAAPASSPSDTLATLTASGSSGPTTSFSQNTIMSSGISGSSGQL NTAQSTTISGTSTSSVIPGISSSTSLSSIRSFITPPPNPETLTGNVLVACGRATGSGE VSGSGTVYVGGYFPVVSSGVVSGSYGIVNGCGTLQGEGVFTGSAVYGKGCGKGSGVGE LNGSGTVYIAGIPVVTSGSVSASQPYSFEGCGTFSGSGYFETFPNTTSGDSAISSLTT TELSSSAGSPASVSASTSSTTSTSIPSTITPAPSFVTPSNALVVGEGRGTGYGLLEGE GTVYIAGGLIGISSSGTVSGGGIGRGTIHAQEGDFTGTGSVFGCGYAVGTGIIIGSGT VWVAGGLIPIVTSGSTSGVGTVSGWYLYHGRSLAGVPIEYIWHYDEHHLSHTNKPSNL GNGSYGTIVVIASEKCFPTSLPQIGAFGAFGALNHTSGVIPTLKGTSLPAFNPSLNPL NFTNPFNSTSPFGSMNSTNSTESESPQCHICDGDVSICCPPGVTCAGDGFCPKLAVLN AGYFLEGRNVTA EPUS_07352 MPLQEIGAQDDRLLQDIADRMVKSHKILLITGAGISTSCGIPDF RSKDGLYNLIPEQTPTPMSSMPSTPSRSRYSSEEYFPSSRPTTSSRVTVSPTSKLKGQ DLFDASVWKNAHTTEVFYRFIASLRQKIRDEVKETSPTHKFIRTLRDGGRLMRCYTQN IDGLERRENLSMDLGHGKGTKKRFMKKVWEAPRPEAAQNSDADGGCEVVPLHGDLEVL RCTLCLERSPWTEEMTERFLNGLAPECSVCARKSCDRQERGKRGVAVGSLRPNIVLYG EEHPSNQLLAPLVPFDLGSGPEILIIMGTSLKVHGLQKVVREFAKKIHARKDGKGKVI FVNRTKPAESVWENVIDSYVAMDCDDWVMDLKRRRQDLWWRQGELDLKTTKPTTKKRK CTTDDSPTPSKRPKIVVEVPTRRRTMTATPWKPEVMKAEPDSSLMTPPPSRGKGSAST QRSDTPPPFEPLTPPYSGPTFKRLLFNNPFRRLFSTPAQPPSSPPRNTWKPSILQSDR SGMTSPERPSVFSPIEERAERRTKRSQQVIYEDDPELEIAESQDEDGEEREGSGSVLD TPSKRKIEVLRPISDSALNLGMGREWPVEAGKRTVALAGEELEGGRTAKRRKRASVA EPUS_07353 MDTDSSYEDPAEAGEEESSADEFVKPAFPPSSTEALKVVKHSNN AHLATHRKRNGTESREERWNRLQSHYNDQYLNLLNESSLNDHDLVKDDLGSTQLGSTT WSSLEKERFFLALSRRSKADIRGIATIIGSKSELEVYEYLRLLEEEHKYRHLYSTEVD HISHADIPAAAELSIESEALLEEAAEALTVYQDRYDHAIGEQMHQGRWLVDDVQAKAY DELVDHTEGGISSDASLSTEFSIPAKGMFRLSSWLSLTEQVFMNSDPTRTENNWTSYA AEDEVPAITEGAISDLYEIAIHQLRKITQTSIFCAESRIRTTRDRAYTAKALVKAQDV AAAISILGLPDDRSQFWLGLAKRNQLNVVNDYRKKDRGRRTLLTYDQVESILGETLAP HRGRRSHTSASSSLCVSEDSDHVTESGMSEDTDDSDVLGSDRFEPSRDATTSTDEVME EMEENDDTESSTESSDEELCEDDQDRRLENLDQVYSRRQELQLYHDLGWAKLEDIEVA HVEKLRPGEEVAGMMKRKNRADLVDWRDSITRYVESWEEHGQSLEEAGFAEKREGAKR RRIGEGTGPRKDLPFRSLPR EPUS_07355 MSSGIQGQETEGYGQDIINEDVTTARHQQNDIWQLINSPSRGRT PSRRCISCVEPSNKARNWFSSPDRFLASRAGSTERESVFRASKSPHNLSPREKHSRQR DPNADPFHLTSPSRSRAAIRIRRSSPGGRQRPPHFTPSFVHGHDASPSPIDANPSPNT SRQISAGAVWNVGGPSIAQGGPRPSVHDGHGGLLASGTNSPIHIAHFLDRNDSNCDVQ QHENRLALALDIDQASRVLNSISRPPLLESAMIDAPQRLPFTWKNSSWAREEGCDPGQ KKRSKSPMRSIPTLPFRVLDAPRLRDDFYCSTLAYSHTCRTLAVGLSSRVYLWTEDLG VRFPPLVSHRPGSHVTCLSFSSEEGKKAILAVGRQSGLVSFVSPAGDGRSRLQVQKSS PVSCLAFKPTVSLRPSRFLSGLIAACEDLLVGDDVGDLHYYSIEWLDATSLPSMPEGL GQIVQLAKVAAHSQQICGLAWSPDGRYFATGGNDNGALFFEVAKVLPDEAPGQGRSPL RTQPRALDPSSLPFRPNQEPGPHLPSPPMSPDRRDVIGHISPLGRGSISPSLIPAHVT IDPTPRTPSAPVLLTSTTNQTQTPPASPTHHRPPPPQPLTNRRIISQPSLTQHFASSP PPTARPTPLNPPPGLQTHTLPHSAAVKAIAFAPWQPSLLATGGGSNDRQIHFFHTGSG ATLALINVFAQVTSLIWSKTKRELCATFGYAQPEHGIRIAVFAWPSGECVVSIPWAAN TSARNAAQAEGARVSKQYK EPUS_07356 MASHGVRRIATREDKSADARAKEAKEIKRYQELVEAVQAQVCLV SFEWFRWKLKHLCKVRDGDLTPELLQKTAEILKTNPEYYTIWNHRRRIYVKEFNSIQH EYSECRLTAEHRDSQILDIIQLDLQFLLPLLMQFPKCYWIWNHRIWLLQQATSLLPAA KARPIWEEELRLAGKMLSRDNRNFHGWGYRRMIINSLESEALNGKSMATNELDYTKKM ISTNLSNFSAWHNRTKLILRILDEKCADDDERKQMLDEELALIHKALCDPYDQSLWFY HQNLLCAFDPDQASETLAPHLTSAERLEYIFEELEFIKEMLDDVTDCKWIYQALIECR VLIAKTQHGMSKQDQEEVKAWLIELRKLDKLRNGRWVELGQKLGI EPUS_07357 MLQEDPSMANDTDTFATGNQGRLLSSPDMLVLRVPACEKICGSG WAKYPDAGPRLMTWLVPVVVLILNLQYATIGKERFLTVLHLFGDPIHSTWSLLAKVET WRQCYGGGTGRSKNEAVIIAAIQELVETLNVGHNVEQTDLVLQDVLVRPEKQLADFHN LVENTASKLADCRVNQTMRTWASIALYLVQVVAALVPKLGQAASPSGGKIGMALLLSW LLPVVLLSNAVGDFTSRKSFLRIMLAFMEQIESQSTQPLRKECRDLLSSLRKVDKRYF KSLAWSGAIYTYRPNKTHSRSTLKGYSSTLYMALISTLPVIVAFVTAFLVLYKKPTHF SCRHFFVLAVFGTWLLSPLITWMIGIHFSQQRQWQVVLAKDAVFGFPILALIIASSCG FFNSCWCWSGVFTLGIREAAVYLTDLPEFDRYNQVDYPAIIGTCLALQLAFFLLSRWI WRRGFNLLAWSEQEKEAAFLRRTPSSMVVRDLKAITSAESTVVMPD EPUS_07358 MDTAAASSPENTDPEGETFEDAPDSASMDEGKPAGSGRSSSEIP SSFSSSSTPKAFISESSLAGQTQARNALTNGSKVVAKSGQVEDVDKSPLLTAHRMSTG SINEKELEEVQNGSAKSSSVTAVIAPTQQTKASRDVPPARPPPLPSRFTGFSASLPGV PWGGSSSKTVTIPPATPPTQSRKVTGPFAWLNRAASVPKEGRSPPLQAGSTFLDRRNT SVSVSTIGSNPELMLKTLDEGNETNATQGGRPPTKTTLRDRFKSLRMREEAGITSLDD PEKSEGGAIAGLIGRGTSLGVGVASPSSVADEKESGLAGSPITSPLSPLPPSTVNATL APGTVSGISTAAQDAVTPIDWDFWQTVVNDGPQAVARTSPEELTQSIASGIPQTIRGV IWQVLAGSKNEDLEGVYQDLLRRGTDKEAKDTSQQVHLPNGHANGSVKEKEPIASSGS SVHSDRSTPATSTTVGAHSPAPSAEKNTETVAKVQAELVAERQKRVNEDAVALQKLEK MIKRDMGARTSYSKYAVAAGFQDGLFNVCKAYALFDDGVGYPQGMNFIIMPLLFTMPE EEAFCLLVRLMNKYGLRELFVQDMPGLHLHLYQFERLLEDLEPALYCHLNRRGVTPKL YATQWFLTLFAYRFPLQLVMRVFDLILSEGLEGAILKFGMAMVQRNVSTLLAMDDMAA LTNFLKEKLFDAYIDQTPSNKSILESGFFGSSGGTDTEVYRADILVQDACSVKITPEM LKRYQSEWNEKIRTERDRETEIDNYRSTIATQAAKIRSLEERAEKSDTEHVQIATDLV RIKVENQELHDSNESLRGQVEELKKVVESEAAAVEARLKDEMERVMQRNIEVQNDNRL MEESMAEMEASLVETKMKYAEITENHETLKQKWNDLRKALD EPUS_07359 MFKVSDAEDRRKILDQYKTLKQNAVKDGKPYIVTCEVGETGQDP RSKGWNIVAKTTFASKADMDYYDNECEAHKGLKVFAKPLVEDVTTVWFESIFSE EPUS_07360 MPAVPLHLPPSSRPLAVSNPLPHLIQTPSGLALLEIQGTLHTSS NQNATLPQSLDQSLEKDALQETPIGRLVFPYYNSSLLGEEDVSWMKRVYLYVGKHQRL TGEAKKLGKPVAVLRKKATQEKSDSIVDTEHEGGSEEMGEELEIVEIIKFKILFAGRP EPVDE EPUS_07361 MSLFEAPFSIDTTLARAQRSSIGSVIAARKISVVHDEVGVKRVN HVPNGIYERSLPSLHFLRWRPMYHMLAANAWMNGPCAPGYDPATGLYHVSFQWNPRRN VYGKIAWGSMVWGKASSKDLVSWTVSGTPSLQPGEWYDKEGCFSGCMYSAAADGSRGL TVFYTGASRLPLHFSLPDICQSETLNIAHSRDGGVTWVKDEANPILPETPAGLTVTGW RDPMVAPWPSLDMALGRPVGEGNLYGLLAGGIKGRGPTAFLYAIDKSNMTQWRFICDL VELGLNHNISRWSGNMGINWKCAIFATLVDSEGNVRRELVIVSAEGSAPAKQGISSIQ HPQQHTHFPRAERSQQWMCGTINIVRRADGTPVPKLQYTMGGRFDHGIAHGFHSFRDP KSSRTVVFGQITEEDLPQKLVDRQNWSGLISLPREVKIQTMKRIKAALVSSLTEITSI EAQPETGNQGTYTVRTLSIIPAKNVEALRQSTREISIPGSRNLRNPSAYDDSCVLDVQ TCRFELYSVFGVSNACERIGLSILHTQDRDLSSSTTISLLTAEETLYVSRPDLRNVDP DISTMPETAPFTLFESANGSRERLEIRAWFDESVLEVFVNERCAISTRVYPATKRCWG VRFWAEDKAGSSTLVDARAWDGLRADIRVMN EPUS_07362 MPALDGAYTRGTNQIPTDSDYPEIPELTSENPKSVIHGIPRGNC KSSSVFLGMNMQRLYRYQVTVEIFGSQRMSAIGEATMKKEAEKAAYLHIVTQLHQKGL LKLAYSTKYRTAFNDKELAQDRMVKHDVYNYAARFLSVPRFRHFKLAPELTKNGETVY KTVVEFSDRSVKGEGVDQSLPVSERIACLNFLKESQRREGAHKRKSRREETPATFRTS DIIAFWVWYRSEHPRTKLRMHGPSPTGIDGLLKAQVLMNGKPLGEAAVLSGIIGEENG ADYFASMTAAVALLNTDVDLFPRYLIAVGVQRPGRFWRPEIQVDAETPSFMRTAVSRI PEPTPLYRCRGWLSAIFDSRKR EPUS_07363 MTGKGYNNRKVEVVLNSPTPTQVDVDPIFFSHLDAPTGLLIAPA PPAHSQSKTWKSNDTKDKDQYARLSTLLHHFVPESPFVPRSYPVWVQHRASMNAMEME IMKKNIAIKQARNTVDAKVAIRPVLDGKTFDDNRSAVLAHETIWASWSVPTENHPEAL WPDRTEFQYEGDDRAKSEVGRFLPLPRKPGNETVNWKARDQLQGYRPLDHVGMFKEDG TPDIEMRQGCDDLYRGREYEEKVEKLLGKDFIAELE EPUS_07364 MVDDLNIYDEIEIEDMTFDKMLQIYHYPCPCGDRFEIGIADLRD GEEIAVCPSCSLMIKVIFDQDDLPEDEPADSTAPAIAIQA EPUS_07365 MSTQTYFRITLMRSAIGLPYKSTGVLKALGLRKRMATVFHPVTP AVAGQIMKEVHMERVPDPGFYIERRLGEER EPUS_07366 MGDVGTGDGTLVPRIADLLRTPEDLEKIPALKLEFSRKKGDIDA RLREGLGEHLESTQSGMSTLAEGQKLVGQIKDEMKSIADLCEQAQAIRQDFPQIDYLA RVHRNFEATKAMQSGLATFNDDVAEVERLLVDDEKDLENQPGLLEAHMKLTRLRDFRD EAMYQINRAKDTSLQQTLEEWFQGLEPVIDLFDVHVGQTCMNLINLVQAGNPGVIVRL AIVIAAEEKNDERVRALKDARKDHQDLADKFTSFTISPKMERGYKEKFLSCIEAYCKA QFEQTRDKFMEEPSKLEKQLKWFFNDLFVCQQGMQNLFPKKWKIYKTYVDIYHRLMHD FLISFVDSEDLRPPNMLAIVHYVEEYYKKMKKLGIPPSDLTPHVLDSREGDLVREWRN LITTTLSEWMDRMYNTDKNSFLSRADNALDQDANGHFRTKTLGDMWRMLREQTMSAGD SEREDVVEGVVSAMFTALKTRQQQWEKLVNDEVIKFRNPTPELSDGLQLLQDWLVAIA NDQISCIDDADADAGDPGAQLGFVTRFKNDFTPLVSSKFMTSVAAAELDSLRDGYVDL ATHCIHRFVSLMFLVDFRATMSDFFVAGKWYEQMAMKRICSTFEDYMGDYSAALHPTL VDILVEEMSDALVVQYLGAIRRNKGVRFRRQDAFAPKFRDDVVAAFDFFARYPDTFEV AVKPKWKPVDFTVRLLEADKASVPAVYEQFKSEFWDLQLSWVEAVLKTRDEWDRAMIS AVKAKAAAVYVERGMETIMGKVK EPUS_07367 MPATLQAHPPHPIATTTLPHPAPNPQGPTTAQRRPGGGIHVREP ANISTAEYHEIADAYIDELVAALEEKAETPGSGYDVEFSGTYVLNKQPPNRQIWISSP MSGPKRYDWVWMQGEGQDQKEGTGMDVEGDLRGGQWVYLRDGATLSDLLKKELDVQMN PSEDVGGAP EPUS_07368 MPARNQRPPRPPLTHFLCLPLLTPTSIPQLQASIAHLKSVTEGL QPVTEDASASAGAGAGAEKRPPRTGPLIPPAAFRPLGTLHLTLGVMSLKEPERLQGAL KLLEDLDLAGMLGEVSDGRSSPEDILLGESPVALGLGHSTRSSDELEEKSSSHDMTEV SPRTIGIPAAEAIEVLHTPPHDPTSRLHPFCLSVLDHFKSAGYILSEAADRELTLHAT LINTVYVKKRRDGEKKRMGKVTFDATPVLRVFNERGGDTASQGTSSGGTGGGGGGGGG GGAFTFASSIPINRVQICEMGAKKLDAENDPEGLGERYVVVAEKKILAGIE EPUS_07369 MSTPEVATSAPAEPQTTSTDVPTTNGPTAINTNVGSGESSGDAD LQTPNSAAPSSAQPHSASLYVGELDPAVTEAMLFELFSTIGQVASIRVCRDAITRRSL GYAYVNYNNTSDGEKALEELNYTVIKGRPCRIMWSQRDPALRKTGQGNVFIKNLDPAI DNKALHDTFNQFGNILSCKVAQDEFGNSRGYGFIHFETGDSASRAIQGVNGMLLCDKK VFVGHHIAKKDRQSKYEEMKANFTNLYVKNIDAEVTDDEFTQLFEKFGDVTSAAITRE PDTGKSRGFGFVNYIDHEHAAKAVEELNDKDFHGQSLYVGRAQKKHEREEELRKQYEA ARVEKASKYQGVNLYVKNLTDDVDDDKLRDLFAPYGTITSSKVMRETLDRPSSPDSDK DKDKENKKEVKTEEGEDEKKADDETEDNKAEGAAKESTDSKESKKAAQRTFGKSKGFG FVCFSNPDEATKAVTEMNQKMVNGKPLYVALAQRKDVRKNQLEQSMQARATLRQQQAA GMAGMPQGFIQNPVFYGPGQQPGYLPTNAPRGAMPFAQPGMVIPGMPAGRPGQYPAGF AQQGRGMPQGQQIPANFAVPGQMPFMQANPPMLNGMGYPQALATQSLGRGGNRGQMAG MQGIPPTMANIPGLRAGQGYAPGGPRGGLPSMQGQGGRMGPGGRGQMMGQMAAVMPQD GQSPLVRSLSAAPPDAQKQLIGEAIYPKIAAQQPELAGKITGMLLEMESEELLGLVDN DEALRAKVNEALSVYKDYMENRGEGNAGPNGEEVSGNEGQPEAVQT EPUS_07370 MSLVDVLEDERRETADTDSSSASRRGLKDSFLAVRNMLDIPSNT STPSSSRERRSSPFAAGNGDAAQSTLGNKATKQSASAEAMAAIFGGAPKDFQISSKTR GSGRHNSTVGIGAKSRSPSSRLHRSSSPGIGLLNNNRSSPMHIMTDSSDFNDIDSATE KASDSNLKPKGKGPDFVSKDNAENGRRGSADSSNDIRLEEDRPGGEGADERAIESSDD EEEVKSSEEDEDESTPNRKRGRGRKRIDKGSDVSNVDSDESEDDPYASLYGQNESTSQ AKSALAAAEEERMAQSKAKLRPSEPAVSVIGPGGERLVPKKGGVHPNTSYDYTASGMN SANSSDADISDIRRAQKLSINMSHIDTSVPNRVIRTILRGDFSTMQEDAEEGLRRSRL YLVATDLSDEAVYALEWTIGTILRDGDTLLAIYAVDEETGTGKSIDADASGSVQIGEG AKAAQDTVDAMTSQTEKMNQSAEAPSLLTPAGYLPATSTDSRPGSVDSRMLSKAEIER QRAIEDISSTCVRLLRKTKLQVRVAIEVIHCKSPKHMITEAIDGLEPTLVILGSRGRS ALKGVLLGSFSNYLVTKSSIPVMVARKKLRKHAKFKKTNIRLSNNLQRPNRLTSAKID EPUS_07371 MSSDSMLASKAGLTCASETLRLELQPLGVDVVTAMVGCINTEFC AKDIPSILPSDSFYRSIERYIEDSAAGKPSPTGMDVNVFAE EPUS_07372 MAPNSFAPEGSHPPNVRRESSQSSHGDMSNHGIPGGPGRSSFPM QASRGGRSSFQGQPYSQPMPYSPQQSFRGQPNQRSMPHMPSFQGRSQPYTNSPNMATR SPAMMNVNPATPQMPHMQMVPGMPGQQYGNQGYQGFPQVKRHFSSSNPPSTKGKTGKS RGRGKGASNFNNSKREWDRPAAHRNDPKPPVPDPAFLVPPQQLPFDLSPQSGNFEKVL TAKKQGHYVQLQPDPSLQAMYHQHWMQQNPQMQGYPPPTSPRPNYVPGPGPQFMQTQY SNQGQHPQQAQPMSRSPSQVSAAERPASSLGQPHTPAIPAVSGHSHTASRATSSPVPK ANFIVPPKKSAAIAIKNEDGVVQPVTKPPRRLPLPSPNQEADLDEEKKKAMQDAVAKK IAEDAKLQQDKEDAEKKALKEKEEAEASGKEAAQPEEKAETQAEFRGEQPAAVATEAE VTTDSDRHVPAAVEPTNNEDATEQKPAPLEVEEPEEEDDEFEKLAKQMEAEEAAREEE ERQKEAVYQAKKQAEREAQKQKEAEEEAAYYANMKQAEREAEEAEEARQRKKAEVTEG EDPNKTVEALKAEDAPSPSSQDSPAIQTPVESGAATPVSDVSMGPPKSIATNRRDRPA ALTLETSKQVEPPQPSAAMKALRSARFLDDLAGIEYPNAVASPNPALNKNAPADRKFK YNKEFLLQFQNVFKEKPSLDWDVKIRDTIGDGGDTSARPGSARTPATMSARSTSNRPS VVQGFSGMGTFNAPPRAGPANLPPGTTSAERFALSNQGMGGQRAGPMQNNPFTFGRPP GMPLVGPSMSRNSSSNALAGQIPSSPRVGGSQRGNTRVGSKRDKISSKKVEEENKNMP LTAAMEVKPIQTSSSGWKPRSIGQAAGAGPAPDGHMPPDVVQRRVKGLLNKMTPEKFE RIADQILEIAAQSKNETDGRTLRQVIQLTFEKATDEAHWATTYALFCERMLKFMSPDI KDENIKDKHGNVVTGGALFRKYLLNRCQEEFERGWKVNMPPKPEGQTEEAALLSEEYY IAAAAKRRGLGLVKFIGELYKLGMLTERIMHECIKKLLELDENGPDESEVESLVSLLN TVGLNLEQSERGPALMNVYFERIVSIMNHPDLPSRLKFKLLDVIDLRKHGWQSKNDDK GPKTLAEIHADVQRKQQEAELERLRQQASNRGGGAGGGGRLPMGRGDARNFSGGRGMP PPDYTSNNVGTDDLRRLGARTTRNSSNPNGPTLGPSNLFSSGRTNSGRRGLGPGSLLG RGEDSGTSSRTGTPPQREKDKKEEGDKSSTNAFSALAALEGESANQAASPPSAASSPP TTKLHPTLGRQRSKSPVKGNE EPUS_07373 MPVGFGFSAGDFIAALKLVGTVIDALRDSGEAGLAYRELVRELY SLETALLHVKRLDGEEIPQAEVISLRLVAAQCQSTIDDFWKKIQKYQPHLGTSHSMAP IKSGWMKIRWAVCKNDDLTKFKASLAGHTEAINILLNAIAMRASLLRHFLDRQNYTLA SMIQSSYCQCMRKLCSISDGVARSWAQGKQLLDMTDKVIRTNLEVFKIVLQIQAVVTQ IPGQIQRQQPVYLIDALGKHSPFHLEFIRSAEAFKSVLKANFRNIGRASAKIHRGEFV IQDSALKTDIDLNQEWEHCFSPGQCVDMSMIYHRTMSPENDCVCPACGSEERDGGVAE AICLQSHTCGITYSRREQAVIDRPILAVMPDRAQAVGAATNLDPQHLVSASLNLPKRK RLDNGFENVREFRRIRIYTSASTEEFSILLTTNINVTAWRKGGGNTNQNRVSGHCYVT RNEHVLGIPVVGETRMTFFRSISFNAMLRTLSPARTTNTLSYKESADVEVDLTFDTNW DCWKIGSARTSQFCHFLFRADISLH EPUS_07374 MSTISESADTMAHLPLDQNDASSHVASSEQKQVQKPKKGRSTLV EPDEDVTPSVKVQKQKQSQSSPVEPDENSTPSVEVQKPKQGGSTLVKLDENGTLVEED EAGPEWSDNSMTIDDQKRLSRPIRKTRSATMEILQPTAAAAERRKSRSQSHSRARSVY SANKKQQQVQPKQRAVRSSVVDEDEKGGHDANSILLQVIRYLYDILGRSLNLVKVPLA MLITLLILLVVLTMLSATITDLFCSIPGLSLVCWFSGPQVGASWKCYLPGSSRFFLEC RPPGAAQGTREVTRLLNVHDELNNIQETMVGHLSLPLLIKRSETVMREVSIRVELSDI PSRSVLVPLFTDFWQEARERGQDVDKFFLHIDRAHDLAMGVVARTERRLEHIQWLEEQ ERTQPFIYRVLSVLQPEPPSPFVLVREEYLGHLDDLKHHVDQLILENKVVLEWLEGIK DKLFVMGDHITKDNRQLHDSQLNLKTGFFNKVRPDTRALRKIRSALAALDEVDSVRKY GVAVFDASLVRLQRISAELGDLQGRIVAKEEAVEEAKEIASLELQISMVRKSLNRMQE HKVKTKKVKEKFREELQKQLDEIFNKVPEKIHRLATDPL EPUS_07375 MDPSIPPDQMPAGVPPPGIVPNFVDPPSNTQAIIVLNAVLIPIT TIFVVTRLWSNLHTVRRVGWDDAMIFTFTTAGLNIDGKFNQLPCHHKVALPNTFQAAK YARHIWDIPLSWINAAYLKKASIRYSLSTVTTLFAKMGILLLYLRIFTINRTYRWLIY AGMIGDFCLYAPCPFLTYVFCTPKSADWSLLNSQACKDTLTWFLAQAVLVVVLDLYIL ILPIPMVLRLNLSRRKKIGVVSVFATASIGVIASVITLVFRQRLWKSTDLLWDVAYQF IFIAIENYLAIIVSSMPAFAAFFKTFVANSIMLQSFASRLIANSPSLSVGFFKNSSVS RSKRYASRQSFSLGPYSSNKSDSISNLREPPDTTIPISAVAEEWEEDNGTYFMLSKRI AGEPLNTAWAEMSRDERERVAKQTADLLLELREPQAPLMQSLDGQPLYSAFLFPNGYG LPHGPLSSDHELWAEVINALEGVPDKACQQLRRRMPSAAPYTFTHGDLTDVNIMVENS DLTGILD EPUS_07376 MVLTTHVLTFAAFDIAYPFRRGIDISSTKDIDFRDAFSLLLGNF LIMAGVPRQILEMPFIPLAWAKVGQAIRTIEAYIQALMEKEKALYMEGSMGGRNLVGD LVRDSWDSRQTTNFPQKGHSLPVTPSRMSLSEAEIFSNVFMFMAAGHDTVTQTLTYSI LYLATYPEWQRWIAEEIQAITLETPDTDNWDYEARFPRFKRCKAIMLEILRLVPVIAA VPKYTADRDQTITAAGKTFTIHGGPSSFPT EPUS_09218 MLARLGLGFGNLCKNGVSIIDVAKRSLNEADTDNRTVSVNLVGA AAFRVSSKQDGAILGTVTLDGIDHELQKRGRPAPCQDALGDLRPRSDDLESILSHLPL YLCDQAAAFSRVAADTLPPHRPFDHKLRFDKEPEMKASHLYKMSTRELEAMREYLIKN LRKGFIRLSSSSFSLLVLFVKKKNGDLRFCIDYRSLNELTKKDRYPLSLISETLSQLA YAMIFTKLDIRHAFNRIRIDPDLILWALFRTTYGAFEPVVLPFSLCNGPAIFQRYINS VLIDYLSVFCTAYIDDILIFSKDKKEHRQHVRQVVIRLRAAGLYIDIKKCEFEVTRTT FLGYVIIDHGVEVDPSKTKVIRN EPUS_09219 MDSSWRQRSSRAVSIAAIASTRHALQKLMIWVSPELFVHLLAIG TSLGVMILSFRDVFWKDVGAAQINSGLKAFQFAARVHEIILSVSTSTMVLGVARQVML HGQGLPFGMLMASYNIASLTTFLCKEFWASLTVAVRLQKLMLGILMLSAAAFLVLAGP LSAILIIPQLGWWHESLQYEPNDIHGTAASCVIMPPHAFFTNQPEIAYFGQSCLESGN FNNSRCPTGGYNIILDNANHMMRNISLNDNTRSLTCLSPQRFLAVATPDSNSGQTVSS VLTPSGANDPTHAGPDRGQLLSSSRYVYTFAGPKKLRKPVVEVSCESSTYNTFGGLLP VDFLNGSKWSATDAEWRRVVKPTIFTENSTYFWHFEWLNFETTHQLRAPSAGALFAYT SPELIPMLAACTVEASWVPITAWVTAADNNMHDNETNLLKIYKTSSPPSSESVPFPRP GHIIMNSSYLEALNPSYGRRYANDTGFLTENNEQYYGNHTFSWMTRMMQVTALPAGWV DPPYTWSGPLVPAWSSNNSEFLNYASSLIGMVVADALARSNVPLGATLLADCADASDQ TGRVSMIRERYGFGWRVQGTEVGLAISGLLLHIVATLIYLVWICCSARARTNKVADSA GQMLLLAVQAEPNSQLHTADARAPDEAVWSTMVNLQDDKSEALQLVFNDVTERSSSMK PCRTSTNADTSDTPDTTDTRHQRYGVLKGGCDGGRHMTAET EPUS_09220 MFASGHLDSLLPVPIDGKLELVGVKLGTIRGLQQTPLGKPTTDS EMAGLAHSILSMELLKFDYVAGGTVLEAYVRTMCLEAFAENFSPPLEHIPGLDSSIVA VDQILSPKAGNQHTTDGLSRFLSKWFRKGKGMGLSPASSGAGRFLTLGPATRQKWTLG EPUS_09221 MSKVRVVDGRGAVGDVECAVTHNLEIALRYLRYAANLHTIWVDS ICIDHSNNKEKGLQINLMGEIYRLAARVIVWLGPEENKSGRAIDMLEDMGSQVDFHPG AFSITPSSQARDRRWGDMALDLPYNEEDLTCLYHLLCRAWFEGLWVRQEILLANSTAI AVCGFRDVSWCGPDVT EPUS_09222 MENTNIAIIGLGPAGLTALKTLREEGFNATAFERRDKVGGVWSY SSNTTFTTVLDETVSNTSKFVSGFSDFPFPNDSPAYFTSARAADYLESYARHFNLLQH VRFHTTVEVVVRNASNSTWDVHIINSDGHSILTFDKVVFAHGCEGIPAFPPMKNRDKF KGIVLHAQAYRDSALLKQKRILVVGNGNTACELSVTLAKSASKVYQSYRRGRIMLSRH GDDGVPLDSQFTWPDLRLKYFLDSTIPWLTWPLADRFMRKRMIAHAARSEQVWPGESR AKRLKRTEKKMREEWRLLPCASIAHVHPAVQEDYIPAIRMGDITPLHGFKDFAGENQV LLADDALVEVDAVIFCTGYEMDFSIMPELEIDGACGMPLKRAGDGTRQRSMDDTENSS DSGQRQQPRLPRLFQMIFPPRHASSIAFLSWLAPQESVWCVSELAAIAVTQIWVAETA NSKGLYEMQQRPSTYRSPALLPPASKMNAQVDVYHAWFRTAWQKERSVRSGYVHHAHS FYRFLHEAAGTGLYQNLDHVLTTRGIRLWWKDRELWTWLAKGPMNAYAWRLFNTNPKA IPGCGRKVCPEARTMVKEAYEACEEYKRQK EPUS_04698 MRPCTTSGSSNAAAVQQMLEDALRIDDDGEVNRGEGTTYHSSET LEPEEFIQPDSMLVKPDQSTPESDSLLIPYENFTGAILGSSFRFLGLDRSENHGDVYL LERLSPSDNKYEAKAYILHGIPQKLYKYRIRNLKRLAGNCSFVCSVNQQGRKFVVNRR PKTVPAYPTPPSQLGAMGRRGTPEFQKAFPKLPKPGRPADCSFCVSFDLVPSKESLAN HLEARIQTSEPTSRTKTDSLVDSIEQHLQTKEVQSLLPPGGSELLKTALRATFASIAI DFSLVQNSEATKPKVERTWRQMESRRIRQWRSRVKKRAEKRLEKGVEDGNQ EPUS_04699 MALLQNEEFATWYMRTSYLANIKDGIGERLININSSILNTPGFR AAGWTVNPADTKRTYSPPIPTSSHSEYFQGPVAPGISRITSWPEEEEEGGVVTGRRSN DTIGPAPVTKRRRRREQMEEEDSSDLSDESDDDAETAQRAAQQIKFARMPVRGRAGSS PIRSSDLKEGPEVLVTSPSRRSTDSRFRRGSMGAVEAMKARARRDTTTSSEMSSENEV LTPSVFARRRIHSRTNSASNLLKEKVTEDADAEVGASGEAHDDNSDAESVESTLSSEF GATLHSGSLLDTVEVPNLQSSSPVLPNLTPPNSSSPKRTKAEPSPVLQDFPSSRPISV VAPVSLLGSAIRARKTKPVNPIESFATLSGKGSTNPLWIKIYAPFSDNPETPYEMPLQ RTSKGGTDTTVAEAIGLGLWRYTEQRLTPGLENDKLNVNKWTMRMVEDGEVDFDFPAL SRTRPMIDFTSNNNRGARGRSRERPFDEFALVEANAQQFEENQKLTPKYTPSTTPHEE GPDAPGPPGALVQPTAPRTPPPPQRFKPVIGQPFSSALHNTSLRPADMPEPQTSYATP RMGVMKTLRIRHLDLDVVNQATSVDIASDSYIAEILDHVCKLWKLDKAAYTLKVSGTN TVAPLDRTVEALGTRTDLDLVRRRFGVGPHSMTGSPGSASPNAPLLIDIDGPKKGKKG LPMLHPLAQKQDLISSAGNFKKYNVTRKHLTAFAQASHKKTLALDADYMHIMPIDTDK TMNSTSKTTSIPFADITNTKVSSRHPKVFRVIIKRANESKRYDFEAKDAAEAAEIVDE IKKVMVPQ EPUS_04700 MEVPSTSTTPEQVQKIQTLLNGPAGKPPEGVIPSFPALSNLYEI SITILLLGMVIATLLIFIRLYIKLYLIRSKAYEDYVVVLAWWVNITTILYGVVVFLIK LSILLQYLRIFVPNRKANMPLFVAIQTVIWSNFIFYFTDFIFQVTACTPREKFWNLLI TTGHCRNTYAMYMASGIFNVLSDFAILILPIVPIWKLQLPFKRKILMIAIFSTGLWAC VTSIMRTYYTWKVLKSPDVSYNVIIMGYWTIAEITTGIVVSCLPVMPKLFHHYTSKFY HSRKPNFRLQLVQKRELLDFEDGG EPUS_04701 MPTSPTLDFALQNRTNSNTVFAYITGRALDNGFALFLLRRDGRT PYFPNSPSSTLAPLTEDCAIRLGAPGSTTTITIPRLAGARVYFSVDRPLTFLLNPGPA LVEPSVTNPSDPNIDIDWCFAEFTYNSNEIYANITYVDFVSIPISLTLETASKVTKHV SGMPANGLDTVCDRLRAQDNNDRAGWSRLIVKQRGSDRNLRALSPNTGIVTDNNLFRG YYQPYVDAVWNKYTHFTLAINTQNNIWGTLTGQVRNGVFDIGGQLFTQPSAADIFSCS TGPFAEMGSPLRGAIIARFSAAFHRSTLLNDPDRSFPDTPPPFYAERITNHYSRIVHE VNLDRRGYAFPYDDVAASGGKDESGAVNAPDPRLLTIAVGGNNAFLAPLAPPRDEL EPUS_04702 MERAVEATTANVRAATAYLFNAFQDVSTPMAIIGGFSVSLRGCT REVKGLDIAASELREVAELLRTWRGMHEQRLIIPAIVPQSEALIIFVRTGGQWDHSTA RECIVQVIITRRGHLDAPEDIIAATEMIDVPQGLRSELTPRIARIPILDLPHIFRDKL RNYSVRRRLISNVHAHAEAGDELVENGLLPGGGEGATGHFPLAEEEEQGCENREDDEA DHRCGGPGDGHADKLEAEEGHQCPAHHRDTAQPIHSPESIPNWCPGIIQMQKYAQQYK DRPCDWHCTN EPUS_04703 MGEGSRYEDGSAVEAGVGRGTIIGGNSESPHSDSPASTTKKNSA EDIPTNSKENGSSCETSTMKDPAPSAPPQGISLEASRTKLQTVTIMLSLCAALFLAAL DTTIVTTALPSICEHFNSDEGYTCIRSAYLLANAASTPSWGIFSDIWGRKAILLLGLG ILFVGSLLAATSVSIGMLIVARTVQGIGGGEIFVLVSILRE EPUS_04704 MQDPEKSVLPENRLSQSSDTEKRSSIQQDATVVITAPPGNTIAE VDTKKKKKKKNVGYGYYVKLWRYATPLDITLRICGFFAACGAGAALPLMTLVFGHLVD DFNDWGRGALSPDRLQAAVNDNALWFVYLFIGKFTLVYIHTTAFTITATRATRAIRRD YIRSLLRQDISYFDTCTPGSVATSISNNADMIHAGLSEKVGVIIQGLAMLVSAFIVAF TTGWKLTLVTATTLPAAVIAVSITIALDARLEAKILEIYAKAGGLVEEALGSIRIVTA FGAYEKLTKKYDAYLDIAKTYGVKKGPVLGTQYSSEFFMMFCAYALAFWYGIRLLLRG EIDSGGTVTTVLFSVVIGTSSITMIAPSIGEVAKASAAAKAVLDLIAQEPKIDPVGSE GLKPETISGQITFQGVSFSYPARPTLRVLEDLSLEFEARKVTAIVGASGSGKSTVVGL TERWYDPLEGRICVDGHDIKDLNVRWLRSQIGLVQQEPVLFNDTIYNNIVHGLYGTEM DDLPEIEKRKLVQSACVEANADSFIQNLPEGYDTKVGERAGFISGGQKQRIAIARSII SNPRILLLDEATSALDPKAEGVVQAALDRVSQTRTTVMIAHKLSTVQKADKIIVMNQG RVVEQGTHESLLAAEGAYSRLVKAQNLDAMDDSEDQLDSDKSKEANTGGLDLDKVQTT RSTVSVKSALKSEDVSRKLSLFKCLIIIFYEQRRVWRLLLGGLIASVAAGAVFPAQAV LFSRVVTVFQLPENQLESRGNFWSLMFFVLALGTLFSYASLGFFLTVAAFLITRFYRS EYFSAMLRQDIAFYDLEGNSSGALTSRLSTDPQCLQDLVSSNLGLILIVLVNLLGSCT LALAVGWKLSLVAIFGCLPALFFAGFMRMRLEMQNQDKNAKIYQESARFASEAVGAIR TVSSLTLETKVLDSYGERLNDSVRKAYKHTIFSMGLFGLSDSLDLVAMGLAFWYGGRL LSQGEYNATDFFLIFVAIIFGGQAAGFLFGFTLNTTKAHAAANQILHLRKQKPPINSS TGNPIPSNDTTETAIEFRNVRFSYPTRPELPVLRGLNFKIYHGQTIGIVGASGCGKTT IITLLERFYDITSGQILIHGGSLSTLDVKSYRSTVGLVSQDTTLYQGSIRENILLGMP DGEVSEETLIEACKSANIHAFISSLPEGYNTDAGSRGLALSGGQRQRIAIARALVRNP AILLLDEATSALDTQSEEVVQKALETASKGRTTVAVAHRLSTVRGADRIFVLDNGKVV EAGTHAELVKRRGRYWEMVLAQSLDREAK EPUS_04705 MPSVQEEGMPADLASHYKRSLTAHNGCFDVAEAPQWRNNNHFAN GKLKLLEASYMHLVDKRPVMNPYSATKLCGIPAEPRTQEALLGDHYFAPEKSADGCAG EPUS_04706 MASFLEELWSSVFTPGPTPTLLVATNASFACLQFLLLCLLLATY SAHFIVLSLICGSLWWSINWFVRELLSARAKEESDIQKQKETSAEPEKVPLGDSDTET EPSSGLMKPPSPRNTPASLKPKPTDAEEALRKRRSMGDSSGYISTDSEWEKVSNNSEK DR EPUS_04707 MKLVRMLMKCQNETVTIELKNGMRSPSLCPTRISHPPRTYVLCS TSPPTNPMRNEGTIIHGTITSVSPQMNTALRAVKMTVKNKTNPSAPGETISLDTINVR GSTIRYYILPDSLNLDTLLIDDQPKPKNKARKEGDAGRGGPRGRGGPRGRGRGGGGFR GGRGRGRGGF EPUS_04708 MEAIHLFRRLYSLDTLDTRLTTSSHTPLTIATEESPEKSTSERT VEQNPANLPPKVLPAKWRTPEFCLYFAVITLVLPLMFRSVMKVSRSSHPNYPKFEDLL SPGWIPGRKIDNSDAQYSSFRENIPYMAIVVLVQPLLRRVYNAVLPSPTASHDAVRST KDEATKKKDLASADDRFVQRSSFDLYFALVFLAVLHGFSALKILLILYINFNMATRIP KEYIPTTTWVFNIGILFVNEFCHGYHYASVAKAVFPLSASASTWGNFLDTYGGLVPRW EILFNITILRLISFNMDYYWSLERSRSSSPVEKKQLDPTSLSERDRVTLPAPHATYHS FRIYLSYTLYSPLYLAGPILTFNDYISQTRHPSPTLSRTRTSLYAIRFLLLLLCMELL LHYIYTVAICASSPNWHTYTPFQLSMLGYFNLQIIWLKLLIPFRFFRLWALLDGMDPP ENVVRCMSDNYSTLAFWRGWHRSFNRWIVRYIYVPLGGGSGGGGAGTRTGKGEGASKL RGVLNTLAVFTFVALWHDINLRLLMWGWLITLFVVPEILATMAFPASKWKDRPDAYRV ICGIGAVGNILMMMAANLVGFAIGIEGLKGMLRALVGTRDGLAFLATACVVLFMAVQV MFECRESERRRGIRLKF EPUS_04709 MPERVHKKFFDGGDGDENASLNSKEGLSVMHRQHLSSKKLPQSS PQSQDARKRSASEAFQRNGHERNSLPQPQRSSSGSHREPKVVSFSDNDAETNVNGSNT QTTSPSLRSNHDRRGQLRLRAQELRSVRKALPIAPHANEIREKLRNYDVMLLVGETGS GKSTQVPQFLINEKWCQAHTVSMPTVLDEPRPSSKKKSVTSTKVKVGGCIAITQPRRL AAISLASRVAAELGTPLGSSSPSSQVGYSVRFDTSVSPCTRIKFLTEGMLLQEMLRDP YLREYSAVIVDEVHERGMNVDLVLGFLKRMVTEKGSLDGRGGIGLKVVVMSATADMEG IENYFSQPSPVHENGNVNGDAVISKSVNGHHEKVTAEGHNEPDSEDSWSGLSSSSPEP EDVPQSMNSCSIATTGSKTQPQQSLTTACHIKGRQYPVKVIYTPAPVQDVLDSALHTI LHIHTHEPLPGDVLVFLTGQETVENLASLCQEAHLSLSQDPKTRSTIPKMLVLPLFAA LPQHAQQRVFEKTPPRTRKIILSTNIAETSLTVPGIRYVIDSGKCKKKQFRSRLGLDS LLVKPISKSAANQRKGRAGREAPGTCYRLYTEKDYLMLDQDNDPEILRCDLSQLVLTL KARHVDDVINFPLLTQPPREALERALLHLLQLQTLDPQTGHITPLGLSIATLPLSASL GRVLLASLEPQFNCLPEAIDIISALSVENIFLPTTTEEKKEEAETARRELYRREGDHL TLLSAVQAYASENSDRKAWCSKRFISHRAMQAVMDVRKQLRALSRTLTATPDKLAAGS GDATDLPTRLLRALLTGFLANTARLMPDGSYRTLMGNQTVAIHPSSVLFGRKCEAIVY HEFVFTQRSYARSVSAVQMDWVGEMVG EPUS_04710 MSSDSALPSPAKRPTLTERPLSGNTLSSSAKQGTQKANKYHAAG SKRLHTRTTSYSRALGKAGKINSTQHLVEDGLRHHHKISGTSIPSTSPRISALKRNSS HAALAKNSSYANLRKNKSATALARNASHTQLHRKTGLGPSAPRQRKDTSKKNRGFEIG DRSSDDEIGGDLEEEADWEDSATQSPKHTRDNSAVLSQPAPTTTNQSSIAERSPKASN GTSSLPEPSLRHNRSAPNFGARASSASPVRSPDPPANPTLLPHNPPSSRATPAVPSIF AQAPRDALPRNNSSFTHINHLDTASSQDHILDTPNTGNAFLNTPNTGKSAGGSSSADG GVSHFLSSKPTATTGNHQRRTSFGSDYDSPSSFLPHYHPQQSTSPEKVRTNGGTAPSS SMSPTTSKSKQHRSRTQQRLELQRRETMRAAAPTTPTTPLLLADAHSRRGGSSSALSL HSRSGSHGRSRAGATADPASANAARLMIKQDYEAAERQLAVVRRFRNPVVEAVGRLKE RGLLPGLEKGAASGSGKERDRRKKSGGSSRRDLGGGSRNSKSELDLKAGPGGAAPRSS FEDADTHTEASRQSSNGRATRPAHSSAASTAANPHHRRQGSHDDIGLSRSQGSSDEHL HGDGDGGGNGAGERGIGGGESGVSAEMEMMRRMWESREVYDKGDDG EPUS_04711 MSKAVRSVWRRHYGTQLSFPGQDEKKVHDWEAPACSATESPSSS SSSPEASIKHSRQLRKALKRGLAAPNQEIRNIRSSTLPAQRRNDTLSESAPKTHSDTP LQLPPLAGTWSASSLCKAPKEFAAVSTNRQFVPTTDPQAEVADLTERRMPIDERETRI STTERNLGAREARLPIREENVFEREDALLERETTLMERERAFVLRNQAEL EPUS_04712 MSVTVKHLNADSSFLLLFSADTQPSSPEANPSNPVYSILIDPWL QGDSIVTAPWFAITKHVVPSCIRHLSEIDEPDVVVVSQNKPDHCHAETLRQLPPDCKT IIAAEPGAAKAIKSWNHFDPARVLALPKFNPQKKFSYATFYVPPLSPGGHRGVVTISF IPAKNYMTGLHNAIGITYLAPTHIKSAASVPTVDLQRRAPYFHLPLSPPTSPPPVRAS LNRPVTAGSDVHKRPAFERSLTADAGGYRPCLSPGFGPLSPVTPQSQRLPYSPPAVFS SDSDSPTSTFSKYSREWNSDSNAPVDSAFQPHNSSLFDKPLPTPPLSPSNSSSDTAST IHTPRSSTGSHPLPSANPVTAGRPRPLSILYTPHGIPFKPDLMPYAKHHLVQHSALPL TILLHSFHRSTNPWYLGGNITAGTEGGVQIARGLMARCWLSAHDESKDDQGFSVKRLK TSRVSPEDVRRALWEGPEGEEMRRKGWNCDVRGLASGQEMIIGQSRDLLSGMENKRES RLMKFGPPPILTTDMTAWGT EPUS_04713 MSHDRSGEKEDGGRHSDRAQQRDVSGFQQHQSSPCPGETDQTTC LRVQQAQINISSEQNNRANQTQHTHTSEREEHRRETQEKDEMLRHCYHEISKLRASVE SYTKQKLMLQPQQALKDSDIAFRYQDLCTAIADWEEIQFGHLDSPLQNLEQIRCSQMG KELVNAYLGFSDEAKIAVTHPMTENIMLTYWIHRHLEKFVSVVKHGMRTMQPPRDELL VGMVGSELQRVLSQTPAMLGQRDEYLEGQCRTLAEVLMEFLPDDQKANFRFAGLMTIF KDAADLAHQIQLTPQSYGYDTAFWYSDPNSARVLFDDERREYKIINAAYSQPIRDNDI IEVGPNGRIGKKLCVIHPALVRRGQGERGDMVLTQATILASLDKPISRPQRANTQPKI EVKIEPREHEQAS EPUS_04714 MPSPRGLQSATGADGEILVAIDLGTTFSGVAWTHTARPGTHTVI DQWPDEHDSLDGITSAKVPTEICYDEDGIRWGFQIPASRSRY EPUS_04715 MGSDVRLVLEPETAIVHAVDQELGKPDVGDVVVVCDAGGGTVDL TSYIMDQVSPTCEISEAAPGAGNACGTALESFERVVKRKFNGSDDPRVIQVPGILDAK DAGIVRGRLLMPGGQIRTDFEPIISTITSLVQVQIDISKRKGQVKIVYLVGGFGENAW LRQSLKRVVPEGTQVISPTDAWTAVLRGALIIGLAEASSLSTKVRVVSRAARKAYGCL IGTRFRARVHQHSRKYFDSFEGHYRIYVMDWFVKKGYHLKENEHLSVEYVQEKLVTDG RFDEMEVTLYSFESNMSRPDKDHALPLYQENGAKQLVKLTADLSSIPTSMLPIKKGAD RKKYYRISFQIRVNFKPAHMTYSLWYKNTCYGTVDAEYL EPUS_04716 MPLNGVTVAAAVAPTVIETYISHYLYRKPLHQKPTAHISYHEGL RLIRAFLEFASHHTVEDVQAFTSQWVPNPRWVKVDQVVIPTETITKAADTLISQLGAN GVAKVGGCKWWQWRRNDAELRAEWIEMRGDYAQRQRRGGKGRRVMLYIHGGAYFFGSV DEHRYQMQRHARKLRARVFAPRYRLAPQYPFPCGLHDCLAAYLYLLTVQEPEEIILAG DSAGGGMIVSMLVTLRDQGLPLPAGAVLISPWADLTHSFPSVTGESGLDYIPARGFMQ KPSASWPPPNDDEIEKVGRGQSAAEDLHNPEAEAVKRFAVRHHIAVETNTTAGLPMAP VSDRDGHLADTAPGRGDHLSIELDGKLVILKDQIQLYTTNQLLSHPLVSPVLQPSLGG LPPLLILTGGGEILRDEQIYLAHKAANPGKYPLSSIHQPEHDPNNAILGRYPSTDVQL QVWDDLCHVAPTLSFTRPAKFMYRSIAQFSAWALARAQSRSIEITDDDDVSVISSGSE SDSSTVPDLDHTHAQNDVEVAHVGRAGDPLPAFKNHMIRQRVDRHGVIYNMAPESDIP ALQIPASEVGVIKPGPVRKWLAAKKEWDTRYASEKRKVQKTRIQEMKEGGFELFGDAE RPPPSALAGRRKKGGREGKKERRKKSWGMMLWSLWGSKHDESTIKREEEMIGAEEDHD AIAMVTTNGALLSEDGMSENPQHKAERPRATSTGSRPRARRKSTMKSGRSDTGHDPSK PRTRRRTVSVSDQGQTEGSVHEFRRNCELEKNDRTDIAGDGASSDGFLLSPTCSPRQK SPGPGPMTPDP EPUS_04717 MAPSAPNDRRGFEIALICALQVEADAVHAIFDKFWEDEGKMYGQ APGDHNSYTPGVIGEHNVVLAYMPEMGKVSASGVAASLRSSFVGIKLALIVGICAGVP RGAGETDDIYLGDVIISTAVVQYDFGRQYSKRFEKKDTLEDSLGRPSQKIRSIVAKLR THRYRRKLQENIRAWLRDIQQELPEAKYPGFGTDRLYEPLYLHKHRHPAKGNFCHTCS DGDDRVCEAALKMTCVELGCEEAGLVVRSQPQNAEESSGGGPRVHLGKMGSGDTVMKS AEDRDSIAKADNIIAFEMEGAGVWEHFPSIVIKGVCDYADSHKNKEWQGYAAATAAAG MKAFLKEWVPEERSAEQEAQSEPIWHVPFSVVQEFIGRGEEIKILEKKLFQPNGCPKV AVFGLGGVGKSRIALKLADNMKSQFPTSSIFWIQATNSLTFETDYFEIGKKLKIPGLT DEKANIKELVKHRLSDGYAHKWLMILDNADDEAIWGATSKLVETLQYLPLAIVQAAAF INMNDESIQTYLELLDDSEEHTIELLSEDFQDEGRYKEAQNPVASTWLISFEQIQLRC PEAAEYLSFMSCLNEKNIPQSLLPDAPSKKKMIDAIGTLTGYSFLRKQNDHHSTDPLY DMHRLVRLATRNWLRKENTLLDCTERTIQRVSELFPSGDHEYKDTWTLYMPHGQILIA SELGKDLEEWYCLIEKMGQCLMTDGKYNEAVKMYSSVVRWREKKFGCLDKTTLMAYDY LGDALCDQGNWLEAEKYLKQALKGYKEINQDPEDLGLMSVMDSVGSVYWRQGRFQEAE QMYMQVWNTREEKLGPEHRKTLDSMNNLALVYHDQGRYMEAEELQIQIKEIRERVLGI EHPSRLASIDNLALTYAAQNRWEEAEELHRRVLEIRERVLDVEHPDTLTSMSNLASTY WNQGRLEEAEELEIQVLEKRRRVLGTEHPDTLISMSNLASTYQVQGRFKEAKELMIQV LEIRKRILGIEHPDTLISMNNLAWTMKDQGRKQEAISLMTDCAQLSTTKLSADHPDTK DRTAWLNVWMSEEQPFAPTI EPUS_04718 MGIGILLLSAIPGLKLRIHHYILALLLLPGTSLQTRPSLLYQGL LVGLFINGIARWDFASILQTPAALLEDGLVGSLLPEVVTPAIVANNITFAWDTIAEGF QGISVMFSVGNTECYINGSDTHFWPPSIGLESYLPEYANAYIETIECSSATGYIRAVY PNGGYLALMDRFSAGTAKNRAGISTSRFENTRIFAQSARDAVLIQRSQAQVAPQVLSG QLYG EPUS_04719 MLSCISRLWPNTTYCGLDGENCRPFDKTQVAFRCPSGCKAVNIL NPRTIGAEEINYRSLVVGGPAGLDTANPVYRGDSFICGAAIHAGVIEDRRGGCGNLSL LGERRNFPSVERNGIQSVAFNSSFPLSFTFKQESSIHSSPSQCSDPRWRLLGVSVVFT FVLSMTTTSPALFFGTIFTGIFFHVALVSDPPDSPDFESLVSAATGRFLPAAFVGAAI YLFCVRRTLENLNAQVEKTILWLGACWLGALSNATFDLIPIQRLTPHDIKQQPGAIPA LGFIVVLLFAIALIQAWAFRIEGRLPQYLGLYAAMGIGILLLSAIPGLKLRIHHYILA LLLLPGTSLQTRPSLLYQGLLVGLFINGIARWDFASILQTPAALLEDGLVGSLLPEVV TPAIVANNITFAWDTIAEGFQGISVMVNDVERYRGFKDRGDLEFSWHRSHDTEPEYFR FAYTKYGRLGGPWVADYTKTSIWQEDGRWVQPPNGTG EPUS_04720 MNNLEWTDHKDSEYEYEYHPSETETFYVDLDLSTANGGRREPLK RTKLSQQSENEDDQESRLSTGPVDAHAQADNGAAKDDEDAQDEQGDAEGPSTIKPPAN DIQILDLHGQNPVISYQNQIYRCTWSDMIGTAMFFTKAEAGNDQEALMSTDDFYLMNT SRIKLIGQKAKLVGKPGRKRQRQADEESLNAVHADSENDEDTYVPAGKSLGEIRTSNP KMNADIRRQAAFLEKLMDVKRAKGEFDNVRTVFSQRKNTRIIQPRDIETGRIRPRGEF PSVSVEIEELNRRVVRGDATALIRLQDIYSGMEGDPQKIASSSSHSDGLRPNT EPUS_04721 MQAATACSVEVQQQYIGASTTALEGLPSALPTPSPFTFIASTFQ YLPAESAESALPEEKESDRPRIFPSDIHSYKDFDWQASSDHDHPFTSKHSTHGKLGKF TLSSLAECWHSVDRKARLWLQWWKEILGLSAAPSCHAGTRQWTEDVPNHNDDSSWQYV RPDAKIRPGAFNNDTNVLSHIPQYVMDYAPLVHLYSNEEYWPCDMGEHLYHITPKLNY TPVQSSLQHLQLDNLSALNRWEYGRNMFLTSNDNVEDRPEWLLGEKNIPTTFSQQSKR DASSQKATKAIQGGRSDAPAVLVVVNKGHGIVDAFWFFFYSFNLGNMVVLRFGNHVGD WEHTLVRFHNGKPKAVFVSEHFFGQAYTYRAMEKVGKRPVVYSATGTHAMYATPGTHA YILPWGLLHDQTDKGPLWDPALNSHMYTYNLLNDSLRASNLTPTAPTEWFHFAGHWGD KQYPLDDPRQYLFAGNYHYVSGPLGPKFKNLGRRKICQGRESEPCVVKNWLGGSRLRR VHRPFEEEELSEEERVRFATGDRNV EPUS_04722 MDTSLATRSAALQIATIIASSTDILLQTVEPSPLPSPTPTPSSS PPDGSQSTGECELLGPFAILVQAALGGLALLSLVYKRWRERPQRPLKIWAFDASKQVF GSVLLHLANLLMSMFSAGQIQASVAKYQPNPCSFYLLNLAIDTTLGIPILIVLLRLLT AGASLTPLGNPPESIRSGNYGTPPRATWWLKQSMIYFMGLLGMKVCVFIIFELFPWIV QVGDWALRWTEGNEAIQIAFVMLIFPLIMNAMQYYIIDSFIKNKAPPQDDDTPAEVGE DGALLADDEALDGQEAGKEDRKVPKDKPSDLPKNSLARERSFEQDTPEASSSGGIGNV EEEALNGKYAR EPUS_04723 MAPSAMSDGDASLQISVTEPPAPPKRDTSQQMPATEPSGEPNGD NSLHVSKPEHSAIPNGNASQHEKASISSYTEEPPQVFTNPPLAISNGDGASLLSLKTP SNISNGDGLAQKSTTERSANINGNSLPRLPSMEAPASSSGDSSPPLPLHNKLLDHVVR TPGRQPSPQPTHLGVPGASHSNNSRILHETGPGYVAPKFEGKEQQMEDVIDIVEEKGF LPTEFAVSETDWFYNSLSIDDTYFQTETAEAIANNILSLYAAKVAAYSRGDKKTEVRL DKEAADHAVYIDTSQPGISVIDGPGYERRIDEKYINVSTHDKSYRVESFRSANALLDN KDQQLRCYFVYQCHFANPNPGRDTTDIEQVGEKRFLQKATKNTKEVYQGILTNAVART GPVIEMFEIEGSREKRLVVAYRQRSAMGIFSALSDLYHYYGLTSSRKYVEQFSNGITI MSIYLKPLPTEGRYPPIEASIHQIMKEVSLLYCVPQNKFQSHFASGRLSLQESIYAHC VWIFVQQFLNRLGSEYTSLTAVLDPNNSNHVEILSRIKRRLRNETFTADYILEIINKY PDLIRTLYLTFANTHYVQVRGDMDDFLPTLSYLRIKAGPVLDESRMTEMISSAVANEH DEMVMMSFRIFNSAVLKTNFYTPTKVALSFRLNPSFLPENEYPQPLYGMFLIISSEFR GFHLRFRDIARGGIRIVKSRNKEAYSINARSQFDENYNLANTQQRKNKDIPEGGSKGV ILLDVNHQDKARVAFEKYIDSILDLLLPPTSPGIKDPIVDLHGQEEILFMGPDENTAD LVDWATEHARSRGAPWWKSFFTGKSPKLGGIPHDAYGMTTLSVREYVLGIYRKLNLDP SKVRKLQTGGPDGDLGSNEILLGNEKYTAIVDGSGVLVDPEGLDHDELLRLAKSRLMI SHFETKKLSSFGYRVLVDDSNVTLPSGETINNGTSFRNTFHLRDGRPFDLFVPCGGRP ESIDLSTVSKLIVDGKCVIPYIVEGANLFITQDAKLRLEKAGCILFKDASANKGGVTS SSLEVLASLSFDDAGFIENMCVGADGTVPEFYKAYVKQVQETIRRNAYLEFEAIWREH KETGIPRSTLSDTLSIAITKLDEELQKTSLWNDVGLRKSVFEDALPELLLKQVGLERI MERVPDNYLRAIFGSYLASRFVYEYGSAPSQFAFFDFMSKRMAKV EPUS_04724 MTSGWNLEKIKAFVLTTWHHGPQNEQPCPVCNSLQRVSTWNSVP DKGGVLFFTTTASLKASAAECTVCEVFHVALTKYPWAPERPSSRILRQNNDQNQVIVS DRLPWSYLAPFHPLSVNPSSEVNFRLLHKWIIECKSVHKSCNYRVNQTLPTRVIELLT NSHIPKLRLTNSTGMTGLYCALSHCWGRLEGLKLTNASSSSLQQSISWESLPKTFQDA LIVTRNVGVRYLWIDALCILQDDAADWAFEAANMAAVYSNAFLVIAGSSAPDGSVGCF TERKQMPCVKVRSKSGIFSLRDIRPKIFVRERLEHVDFDPNHLHTNTDLEPLLGRAWA FQERWLAARILHYASKEMIWECRSSMHCECGGCDKEEDNRVIDLQSDSIHSEFDPMRA VAKWFVIVENYTARSLRIRSDKLIALAGIARQSRNAALGRYLAGIWEVAFVRSLLWCV VRRHQDHPFVRSRNAYVAPSWSWASVDSPVQYGYVKDFRGLVDAEAVEVDCRLGSPDE HGQVTGGYAVMRARLTKLKLVNNGCESEEEDGYGGRVVPPQVSGVDGLLLNSIWLDVD LYEGRDYCSDGQPLLGALIMIDPLDAQKCSALILRPSRPHEKSSETGDCASAFVRIGV TTGARVSTFENEKQTITII EPUS_04725 MAPLPTDPSVRDLTLSSLELDSRTSPIDLPAYPSSLSLAELELL STTPPFNGLTKRTTMLNPQLLSNPLTAHTHLTARNPAPQSAKFGSGAVPPNSINMKGI QALFALIGLFFVVVGIWFFFWAKNGGFKWRKGDWEDYKSTVLRRKGPDGKTLSNATKS TKLGGGSVVASGYSDEDGTMTELSSEAPIIKEKAHKKGKNGKKAGNGIRGGGRAEKDK AQKLRQQKEERWEGGFDDDVRAYRHEKPAKIGGMNRDSDAQFYGTDYSETDPSSFGGG GQRQPAHRQSSRQSSRQSSPDQYYSHPHPPPPSHHHRRHSSQQRQSRRDFSYGNEDAF TVTSTHSSTTMPPPSVAAAARPTRYASPSKPATAPRSAASRPSTISGSYVEPLDFDNM SQGTKSYHHPIPGLSAAANAGGFRKGGRGRRDSLDDSD EPUS_04726 MSDRHKSTHNDAPTRKGSKSTRLKLNTSFLERESRPYSSGPPRE PPQQSASRPATAKRSKSKAEKPEPMVRHSPGHCQICDFHGYHKLEPSEAQKPLKEKSI PPSPFSASQQPSQQVAPTAQHPEASKSQSRPNRRQSYHEQRPTSFHDGMSYSALPLQP FSASDWNMLPTPLSAYPQTPYVQTPVLPFIDPYEQYAQSLPSQYNQQALPPLGPRPPE PRRRTSSRAEPIIQQSPIVADKPPLGRTRSHRETQRARDPSTSRQEDAKRMPPPQVIP VRRRPNIAKANTTISTPLSDQRERGIGNDGPAHARLSSRERKSDPPPSSYREPPLSSY HNSNQNRPVPPKSKSYTEAKHTSKVNSTQSGLDRPTSILGAELNEMRAEAYQKSRGTN PQPLTIDAISKIERRSDSGSQYSINTSSRGSTGGKTKTTLGSNDITLMMHGVTLGISA DSAENRSIKIEPRGNGDFNISVKQQESSGRDNKALSLLKRSGSTTSSSKQSRRSSEKE VRRSRDQSLDREPERAPHVSSWSSKASYDDSFGYDLAYG EPUS_04727 MAFPNSYPSLNPVDIYREHIATSLAPIFGVEPSAVFSKLQWTQT QDKGDLLLPVPALQIKGKKPQQLAAEAGEKFPESDLVEKPVVAGTFLQFFFKPEPLIK TVLDSILKNKKGYGTNSNLGLRDPSDPSKGRKKIIVEFSSPNIAKPFHAGHLRSTIIG GFIAKLYAAIGWDVWKMNYLGDWGKQYGLLANGYKKYGSEEELTRDPINHLFDVYVKI NKDSAVQDAPIKELKEKIKLNKEKEESVIELEKELAGLIEESEDEKARKYFKSMEDGD RDALALWEKFRDLSISKYKQTYARLNIEFDEYAGESKVAPEAIATVSKELAETGVSEE SEGAVIVDFEKHGAKKLGKAIFQRKDGTPLYLTRDIAEIRARYDKYHFDKMIYVVAAQ QDLHLAQFFKVTELSGHSEIAEKCQHINFGMVRGMSTRKGTVKFLDDILRDVADKMHD VMRKNETKYEQIEHPEQVADTLGITAVMVQDMSGKRINGYDFNLEAMTSFEGDTGPYL QYAHARLSSIERKSDVDLTKLLSAKYSLLKEQHAIDLVRLLAQWPDVVQNTVKTLEPA TVLTYLFKMTHALSSSYDILKVIGSEPELKEARMALYEAARQVLHNGMSLLGLNPVER EPUS_04728 MAQKDKTKADMPPGALSLRYVLGVYIPSTVLIVGTTFYDVKLLP WAISLAAFIGFFSISTNESLKVLKPTEFQHFKLKEKKVCSHNVAIYRFALPHPTDILG LPIGQHISLAATPRGVEKEVVRSYTPISSDDDKGFFDLMIKSYPQGNISAHMDTLQIG DTMKVKGPKGAMVYTPNMCRHLGMIAGGTGITPMLQIIRAIVKGRPRNGGEDTTQVDL IFANVNPDDILLKDDLDRLAREDEGFRVYYVLNNPPEDWTGGVGFVTADMIKDKLPAP AEDMKILICGPPPMVSAMKKATESLGYTKARPVSKLEDQVFCF EPUS_04729 MVVSHDGVEDPPPFNLTDVDRAVLASTDEEFHLQTWEDLKTIIA NNDLHLLKRKPSDLRRYLKWSRDIKASYGSIPNYIMLKRLKWTPLPTSTPQSGPQFAF NNPLPFADKRDFKILPNDWPYGMEPGIHHIVVWLKNRLETEPGRGDMTQRSRKQIEEF VQRRFVDRVAGLEGSREKVQWFKNWTALQSVPGLEHVHVLVRDVPEEVIQEWTGGEPA VQ EPUS_04730 MTTTSNTGDHAQIPAEHATSRGSNSRSSSKRFKFKSKSKTSKWH DEDNLRHASESSSRHHHHHRHHHRHKRRRLSTSPRVNPPSPESVPASSLHPDIAFRES LFDAMGDDEGAAYWESVYGQPIHTYSDAKRNEETGELEKMSDEEYIAFVRRGMWERSW EGVEAERERRRKESLGEEREREDANRKKKKEETGESRDRGIEPNDFEREVEESLRRGW ERKQKRMWREKWEAYEKAWDDLYLLARSRNTTIDATEEKNVHLRNEIVWPVESGKRKD VNTQEIERFMTKTVGSRMASGELANTESAFASTLKSERVRWHPDKVQQRFGGLNIDEE TLRGVTEVFQVIDRLYNERK EPUS_04731 MRSSLTRNVFRAIVANEPYCITTCSRKLPRWRSRALTAPTVSSP QCRSLFGLSPQLPVSAVTNSKSTSLHAERAADVLVRLVQAQRDQTRLPPMKEIARAFN TILQYRYQARTRLSRSDIYLLTEGFSYLQEHKFNEETDTDLQVLLSEADLQQGLSALA SSLGKDKFRSDAKALAFMLFSELRQRTEAPGNAGQAPTPPDPAATETYITVLSSTGGA REAWDILRNSSEGDVQKNWLEVIKGLCNEGLGQDIWKAFDEMRAHTGDLSVDAHKLLT IYFAKHASLHATKRIYEQAILGNGSPSVEAQVEMVRVCIRNKDLSWAEPILANLRAIS HDPRVWDVILVSSAAQGADANEVAALISSLAEAAAENDITGPTMANINCLVEYAFSVG NVGAVRDYKTIAKQQGLQPDSKTFLLQLDYEVRIGDLDKAASTYNVLSSEDPIEDGSD VPILDKFLQALCFSPIPNYELSTRIADSLLERDVYLDAETISGLCKLYLQREEPEEAL GLLGQRMDSYPRNDRARILQTFKEFIIDERVTDQRAFNAYELFRAIFPETPVNDRLPI MHSFFKRKRPDLACLVFGHMRQREDPAARPTPEAYGQCFEGIAKCQDVDGLQMVYNML KLDLLVEPTTRIRNGLMAAYIACGQPYTAVIDHFWKIMTSLEGPTMSSFALALRACET WIPHGATEARRIIAMIQAWDLEVTKEIYDCYIGAIAGQCEFENTIELIEEMENDIGVP PDAFTIGTFYNAIPWQYRKDEVETWAKQAYPELWDEVLTYEEEIDEEFEIRYFKIDRT IDTTDPPLYKEGEYDPQIAQASLMLLETPAS EPUS_04732 MPAGQRMEARRKEQLEADARAIEKNFTRDWKPGDVYAPHDLSAA EARKWKKKKAPTTDAFDALSMNPLDCYKNFSIMSEYMTEMGRIRHSRDTGLRPVNQRK IAKAIRRAIGVGLMPSIHRHPELLKRELMATRMTGRSG EPUS_04733 MSIKNEAIYAALPSTTRGQPTQLSVDSKGERLAYASGKSIFIRS IDNPAQASQYTQHTTPTTVARFSPSGFYCASGDSAGIVRVWDCSPNGSGLTKGEYAII SGRINDISWDGDSQRIIAVGDGKQRYGHCITADSGNTVGEISGHSAQVNSVSIRQQRP LRAATAGDDKNLIFYHGAPFKFNSIPARGTHANFVYGVAFSPDGSQLVSVGGDRKILL YDGKTGDMKGQIGNGDSAHKGSIFGVSWSKDSKKIATASADRTVKVWDVEAGKATQTI TFGEEGTVSIPDQQVGVVWAAGRSDGLIVSLSLSGDINYLNEASTGPTRIVSGHQKNI TALTVNESDTSQAPTLWTGSYEGRLCAWDSATGVAETIDGNGHTNIISGLAATSGKHP QIYSVSWDDTLRSVDTSAKTFNGKSTHLSSQPKALTCTANSMVVVAQLESVIVIRDGE EVGELALKATPTSLTACGSTVGIGASDSSLSIYAVPHDRAPKLIASLDKVSAGPLTAL SFTPDGSMIAAGDSTGKLLVYRLSGSSLELVTNRWSSAHTARITCIAWNKAGTHAVSG SLDTHIFAWSLKDPGARVKAGNAHKEGVNGIAWIGERAVSCGADGTVKVWEISGLK EPUS_04734 MSTTIETFNPAALSRADSIPTSLQVNKTVAASSSKNAKYGNGAI PQRIDFEPLYTDLKALIGSHWSTYQDALSKFVLGRLSATEFSDLTDSFLLGSPQTEHA HNALICAILYNVSREKPDHLGPAIWVTAATDKSSTAGPSKPNIASDAGEQRLKVEVMA LPARDRRRLKGIGGGGDRPEDDSSLKRKYEDYYQAGKIRVPENVPPSAGGLNKTNWDL EIRKRYTQPLFSETLEFPDAAGIFSRMIPICYEESVASGSSMPCAELVAVATETYVKD LLSSVFNRTRANGPKYDNGAAGGVSTGAYLRQLEREEHDFRNGKIQKGRDTGLLPVEN REAVARRPIGITDLKVASGVGRGLWNGMPLIGSRVAEAAYGNEFDDVVEERRRAGETA AAAAGVGAAALKNQHPHTTSEHPTTDEMDVDDDDYGWPGGGAADREALGSLLEDYLSI RA EPUS_04735 MHQPISALTLLPILLLSPTSYAQEVGPNYAHPLEDLDKDLPFSQ PVTFAHLPWERCLSVSHSMPIDIAILGFPYDTSTSYRPGARFGPRGIRAASSREKKGR SYNTVWGVDPFQDGGLKVLDCGDIPITPFDAAHAFKQMEQGYRQVLWHNTSEESRWER PRVLSLGGDHSIVLPVLRSLKEVYGPISVIHLDSHLDTWDPYRGYTGIASEQSAITHG TFFWHASREGCISKGTSIHGGLRTKLFSPKDYVIDKDFVGFELIEAHEIDDIGVKGIV EKMRRTVGDTPTYLSIDIDVLDPSIAPATGTPESGGWTTREVKRLIKGLEGINLVGAD VVEVSPPYDTVAEVTCIAAADLLVDIMALMVKDKTGPENIYVKDEL EPUS_04736 MAASSAGSSPTSDAPQIPRRNLRQATLIIPQTGRRVKRRITLHE VDGHNDETSSPEESTSDTTPLLVRTRTNSATWRRKRGTLSVGQTAKLRALEKIMGVKH FLMSDVGKGVFKCSLAYLLGSMATFVPFLRDSLGHQDGKHMVATITVYFHPARSLGSM FDALICAFAAFVYAAFISITSMSVSAFFSDTLDLMPVGHVIVLIVFCGGGLGFIGWVK QRKGDALVNVACSLASLAIITVITKEGAVQAGNFSFAKINQVLKMVVMGVVATMAVSL VIFPVSGRLNLRKNITSVTDSLADMLALIASSFLSGFEQELEETPFKNIAERHLQTYS TLAKNLKEARYEHYVVGTERQYRIEAKLVHCVQRVTQSIGGLRSAAAMQFTVSRQTVA LAKHGQNKTYSAYSTPSSGVGPFATPPARTSSGDFAGILSAIDELSDEDNANDEYLNG QLSSYSVGFRSPLEIFSLFIHHLGPSMRSLAFTLKEILDEQPFRQGVKHEVTVSPKFR TSLDRAIELYTGARREALKAVYDQKDMNRDRPVEVEADWEEVAASCGHFSFSLLEVAE QVKEYLLILDELQLEVEERPAGRTWSWLKFWRPQTKSHPGSDEPEAQHMIDDPDAAGL PVKISAERRLIDTHILSEKDKEKPTIKQRIFGRLWSALSIFRRDDTKFAIKVGVGAAI YAMPSFIVATRPIYGQWRGEWGLLSYMLVCSMTIGASNTTGYSRFLGTCLGAVCAIAA WEISNGNPFLLAFLGWCMSFWTAYIIVGKGKGPMGRFIMLTYNLSALYAYSLSVQDED DDGDEGGTHPIISEIAFHRVLAVLSGCIWGLIITRLVWPISARQKLKDGLSLLWLRMG LIWKRDPLMTITDGETPNQYMNLREEFELQRFLSTLEKLQASAKSEFELKGPFPDAQY SRILKSTGRILDAFHAMNVVILKDLTTSQGETALLKATARERAQLCSRISHLFSVLAS SMALSYPLNDALPNTEHTRDRLLARIFAYRKSEASANGTSDEDFGLLYTYALVTGQLS CEIKEILMEIEGLFGVLDEDLLKLQ EPUS_04737 MPVRNHEKRQLGAVTDVAGQVSETADNLEFHSINEKRQAAVDTS AVSGIVTNILGLAGGLAGDAVGVTVESLGSVLGVVVGGVFESVLGGALGGTLGIRDDG EFHNIDKKN EPUS_04738 MDTNTGLTLLSTLLSPTPLLLSWFTWLKPSTARPRIRHDIQYFT NLMAANHAAAMLDRTALVAPEELHRDHAFVEGGFGINPAAVGNTGAAATRRVQRERVS PEEEARRYLGWEEMSRSGEEDRERREKEEKERTTRENQRGSVARVGEEETDVEAADRA WVRSQRAAKRRCVGYDQSPSPGPGPGQGQSQQGGRGTRSVWAAPEAVPPASGKASGPS AVDIEALWGQMPTPGAKRAAQ EPUS_04739 MAASKATMRLAAARRCAQFASQPPRCARSFATSVLRAKETASQD VRPNLRHAQRPPAGKLTAPIVNPADRYAEKAADLHRYGQYVMACLPKYIQQFSVWKDE LCIYIAPSGVLPVFNFLKYHTAAEFTMVADITAVDYPTKDKRFEVVYNMLSVRHNSRI RVKTYADEAQPVPSITGLYDGANWYEREVYDLFGVFFTGHPDLRRIMTDYGFDGHPLR KDFPLTGYTEIRYDEEKKRIVVEPLELTQAFRNFEGGTSAWEQVGPGTDRKPDQVDAA ISSSNVKFTPANVRQFKLPTPKPEEKKEEQKK EPUS_04740 MSRPQDSIIDDDEESCPLCIEEFDLTDKNFKPCPCGYQICQFCF NSLKNTYEKSTCPNCRRVYDEKTIQFKIPSKEDIVHDKENKARKQAATKRKEVEKREV ETSNRRNLAGVRVKQQNLVYVIGLVPSVKDESTLLQTLRGPQYFGQYGEIDKIVVSKS KPGATSQGIGVYITYATKEAAALCIEVVDGSMNGDRVLRAQYGTTKYCSAYLRNEVCN NKSCTFLHETGEEGHGTTLQNDPSHPKAVSRPNYTSQTSSVQNTTRPMQPAAAPGPAS SQPMGRETSKDGTKDRIISVDTSALPSTASWANKDNSASTTKTGQPNQTDGSSSASPH IAHVAPSTKKEEDDAQHESAVAADVPEPSGPSTVLKPQPSQEKQESVPSSSGRTSPMS ASAQSSLFDKLVTNVNSPGFRFSFDEKAFTNDELAAIERCPTMIDPYGGAKRRLMREK EAERLKEQLEAQAKLQGTSTAAVAAPEEENIESGSLALGGEPEEGSRNFSAGSAIQRP NQSSNAGSSLNDQVSALSLNSRSLTLQQRQQLTLLSSPNVQQAPGLGQPNQQSFGLST FGDQRHGSYQNQQYDPTHGHARQSSRYSFANDSVKTNNPPRFSTQQQQQLGPTPQHFY PSGVQGPPPGLKTAGTPPISGGGMFAQGHGFTSNMNAGFGAAKDANAEMLLRGRSGTG SGHDGSKREYQLSLHNNSYRPPLSAPAPGLLNSLYGPYSGTYQDPGLVKQKKKGKKHR HANTSSSGGGVVDLADPSILQARLHQNTGGSGQGLFGGQHPDDQDFPPLAPPMKLRET LPTAKSRIHSVQGSLDSPVRSSTPRIPPGLSLPHAHPSPSFSEDVETKSKEMKPSSNV TGPVVPALPILPIGHRPATPRQKAVTPPVTSQSAEDAKDVAVPKSVKDRITAEEKVDA VLETIARTININPRSAEIQSSGAEDTSKNAPKTGGAPKHDAIGNSDKQSSSQKTTVIP PRKLDILAALAQKPQLGVSTSTINPQVLSQSMVATPITIESFPSTPAAHTIKSPDWTS AGRPRTLRLTTTTTPKAEAALSPAVTERSGTLPTAVLKQTSRQPSLSSISRSRPSTPT VSEHGTSTGISRAGSPPPSDLGGSAPERNKSKSQAKKERRAKSKTTTDIRDDEFVVST PTIAEEVGPIISRQKKKKRTQEKSSQISPDAESADTSNPEPAGATPAEKASKIGSRKS TKETSSMSSQDRPLADTKKHNVKARPGKAKGEKGNTRAASNDKNKQSTPEGSARKAYT IQDLLNDAANLPATEHALSELLNASISATSTLLQELFESKEIDINSALFNTPPLTSYK LPPQPCKGADYLDANGYTMNSPFGEIYISGSERKQLFQGRDVRHSDPNKPQNVLKRNM ITPTGAIFRHLSAEEEEKVLGLEKRMRENEEKYGVTGKGELKPLDDMDFMNLTGGLQE LLDFPPLHRISLVTSEPGTEGAEDDDADLGENGSDETEDEMAPLASGFGAAPEGPMRK PMTPTMKKAEAHMAVNLRNLDVDKLQKRIRETQVEMEGARKEMEALEKKAARKAKDVA RWREALLKEIGRGL EPUS_04741 MHHALIRTHHITSRKKIAALKAAAKKHGCFALLRSGGTPGIMYV QGEEEGVREWVGVVHGLHYKDYHLVAAPAAIKPTPAKSGPQSTPSSSAKDADNTWSFE EIDTVKEFGKHMEEKGVLEWWRKAMDFTS EPUS_04742 MFYFLNLALSLCVIVFPLQALAEDADYFSNNLFSDLAPILALFG EQVAKQFMSQSMGWADNVVFAMAPLGIITAIVGAIRVGGPAWLKAIIGRARENRAAAE VELMSSTSPDVCELWNGQTIVRMMGRHRFCK EPUS_04743 MFTLEKAIDKGLIEPEENHSFLQIFEYILSNKLQAKTNEGMSEK DEETRLKQDSDGLTSPPNILFNVRPAKSRLRLYFTAVAGVLLQFGVLVFSGFITYYPT LAFPKGDSPVIGYAYPLTASGTLLLVLGMLICSFVVEQFTNEETWKTKGGRKFDLMWL QQGQEVNDQMFDSYAIFALESRDSILTSRSRRHPEPLSIPRGTIKYRVAEILCRICRP LLQFFNKGNHNPAVSLAIFKILVISGTLISICGFVVQFIGLREMHWSATIAQLSATLI MVVLRAWVRWDLASRPHSQRVAQGHELDWLATRIAREQKGPRVDKGLWAKPDSNDPFW TNECWRWEIITGGDVEGYEIRNPQDDSTENVEGLTNEWKGLTVDGKDLAADEVVKVRQ RLGLLSHWPSKASQPAISVAIAVEAVMNNLFTGTNDNGPGSLTWSMDGLVDGKSQKIF FKLRRNNNRWTADATEIEAALSLWLYSVGDSERTEAEGRKDSKDSFQKPQENGMRDWL RLGNAALRKENIRLLGMNKPASGRDLTWYLGEKVSSISIVQENGSNENSTSDMIIIES RRVVGFRGKPATRSFNAKTVFKRRDPVLYDVGIEQDTNPSTNEEIEEETNRSTFEIEE DTNPFTNEEIEEETNPPSAKEYLAMVSDTPLEMLLAQEMFSAFMWGVAKRVSRIEGDS KVHRNDNKIAGSAEGWRNIRLENSKLLRAAEAFQKAGLGSVEDAYSTIIPPLSLQGKL PVALTVVEYARGIAQEAEAARHWELAGEIYLWLFEVGKTFATSNLFVVTATAILTEFS SLVDCMLEDLKAQQRSEETLDMLINLYVRLSEALETADNSIRDVLRLMYVIQHRDERG KHKNPGPDGQEIITALDVLAGPRFFRSNGPPPSYYTDILGWTALHYAAIASDWDTAQT FFETRASKTINPNLRTLAGWTALHLAAYKDPCTVGWRLADQRCDLEIQGRDGMRPLHV AVREGSKEMVRWLLDAGANIDARDSAGRTPLHWAAHSVNSELVALLKEKGANHVSRDE NGRIPLHLAAIARYTASLEDLADDDTIEAHDRMQSTPLLLGAMMGAEDFVKNLLQLKV NVNTRHRDGQTPLSWAARKGHEYVVKLLIGQGANVNSNDIFDRTPIIGAAANGHETVV EVLIEKGADVDPKDKYKQTPLSFAARSGHETVVEVLIEKGADVDSRDWVGQKPLSWAA RRWHETVVELLIEKGANVDSKNEFGQTPLSYAAEEGQMIIVELLIKKGAQR EPUS_04744 MLQHSILEVLDRSVEHKFLPPVKKIHDGPDVAFFLTSIAYRDIM TFIMQLNHSMIPRKSESDGVKTTQIWELGSDAITLSEPIRKLQQLIGRLNSLLDEVPP DTGPRRFGNVSFRKWYGLVEEKLPVLLQEFLSSDILSFGCQSSDAARITAADELQVYL LGSFGSPQRLDYGTGHELSFLAFLGALWKLGLFEGQGPGIAERGIVIGVFEPYLNLVR RLIKSYTLEPAGSHGVWGLDDNSFLPYIFGSAQLAPAIDEADPAPIEGSLPEAPDASS VANRSLVQRERTRNMYFSAIGFIFDVKRGPFGEHSPMLYDISGITAGWGKINKGMIKM YNAEVLSKFPVVQHFPFGSLFRWEKDPDAHPPPPASHTTHQPLREPQAPGGDASRART GYTAASSVAAASLGPGTAPPWATTPSNPPRGVVDGVTRAPWASATKPPPPPVKRNRPG PNG EPUS_04745 MGRYVPPDLEGLTTANAASGKGHSLGSRARKLKTEGILTVRFEC PFPFWCTICQPEQIIGQGVRFNAEKKKVGNYYSTPIWSFRFKHNVCGGWIEVRTDPKN TEYVVVEGGRRRDEGREKVLEGEIDVGVSEEEKARLERDGAFGAVEKKIVDKQALQGQ GKRLEELEERSRRDWNDPYERSRALRRDFRVGRRDRKEGEKRGEELQEKFSLGVEMVE EQKEDAVRAGLVEFGRTEDMRRREKGLFEQGSSSGKRESEDVRSKRKKTPRTADLMAQ KKASLAHELRGNTRAVIDPFLASQNKPDWQPMLKRKRPENQATDHERITNSLVEYDSD SPYHTFPAIICRNVGM EPUS_04746 MAGATRSKTDSTVEVEVVDILNNANTPQSQTLGKRKRRALPRRP GRPIKKAPSAEEEDVAAAEGAYITPASTGGEGVEDISKGGAGGSTTKLRKPKDCIARR QRRSTIKMSHGTDVYTTPESPETASSAVKRRVEGGEYSLQNSVFHGVASSKVPEADFA QSPSQGTRSKYQSADASSRHPKQQKAAAPVRIMRRLPPRAPAADSMDNESLDAGIDRM LPLRNNVTRSQNPLKIFFLAEKTTTTISDDVGYSSPQKVWKTGPAACHHAANKRTRKA PSKAAEQDIEEDEVVDRGGEEDEEDEEGDREGRGNKEQEMEEEGGGGDEAEEGEEDND DQGEEEEDNDDQEDEEEHEEASSDQQGDRQPRPPDQPTAGSPERQSNDTIAVTHNAQP KPPKRQSRFEKAANIHKCAEHWKIMWDAAKDIQVLEDPETTPVRELLAAMRKYREIIR NASRESLEGDEDLLSDSNGSDLGKIATVIGSLKKSSSQTGREENRLIRDIYLHVIPCA VELLRSILVVRAVNGDLNIAALEELIMILKATRRLCERLYHWKPTLHLDDETRSTTNL KIKLSLRPIEVEYKKALSDLRRAKYEAEAKIRQEEFAMKQEMLIKAQKQEILDRRREF ADWMQRHTGERQTQKVTQLRHGTRRRDISEAHEVYDLDDLDDLDVHESTRESDDDFIR GSTEEIPKATKRVWRSEEKLALLVLLQKHRGPDRYEKIQEAISDISRFIRRYGPDNFM ALGANSHIDLDLAGAADVLDDLGIMEVSDIRERAKYLKAAQAETMARDLKDGCGREKW SWLLSV EPUS_04747 MSNSPPRTTAHISLAVSASSPTFSISGANDATNPFQLIVTARIV SFGVLQSAITLQADRTPLDHGSGFANALFRGGLNYWVDVTNKTRVISLKPSVRVNYGS SSENDQRKIGFLQFVTVPASEDLVVSHEITAERMFRAHNHHASSSLGPKDVKPGDRFR LRMTNLDCVGWWHPGGLDGELKDKKFVGSFEEPNDDGRYEHFDDIEGQKPDTKTLKRH GWIFSEELDQLKVTAEEGKESVVIDFVA EPUS_04748 MFRAFITITSGAQSDPDGFLHDRNLILSMPGNPREYRSLLDGMD DKHVVQDETPDPVHITHFASILSHKVHISPVLNSLARSPLRETPFSQVREMVLTRPAG FQMVVEDVVMRNAPLAELRLLLMPSLAVHFSKLVSVAASALWLTTSINAAPTPVEKVE ALLKRAITKWEDCTDDQRKKGGQAFADAATIARWTFDNKLKDEKEYKDTDAYSHYFVG EDSETITSMLDDIQQNNDPTNPVFQFKVSCKATDDCGTGDTASLAITDALPRTDNFMP TIRLCPKFFDPNTRETKNDLDSKEFKKNPGRRDKSWCQPGQKFADFEVAGLTVLHEMT HLYFAASPIQLPIRSSSSTGLTSALSEPASPTSHSDVSNDSPSTMPVNIPTTYPDSPF HATIENDLLGDKIIYHSIGMMPAYSSCSFEEVRLADYDKGSLASQRANGVAQQAPFGS GGNAFGPQPSTAPVGTERDDPLDDASPGGTATGQVASSVSMPNKSDPLTTTNGNPFLP VKLLKYQDDETVKVFVGSTKASFNIHKNMVCKISPFFQGACNSLFKEALSGEIYLPEA DPQAFDIFMDWMYTRLINFPGHPPKKESIRETKAWWILAAKMYVLAQYLQCTTFGNAV IDLICRSLTTEHILRGPHNDVIDLVYNSTIGDCGLRKLLVAMSVWWTSSDRWKEGKDW KTFLEGLPAEYSHDLVFQLQRRNHKLDQDPFVNEETCQVFRDAELGWQAIKG EPUS_04749 MDDTIETVEQPIEPKPAPPKLKTGIIPQRTMAGSKTNRTVSSKS KSNPSTDPGTKSPSAAAARTTTGSTLNKPPTRPTAGSTLRKTTASSTNAATSHQPRAS ISIVDESTKSVTSGDDMKSKPALGAPKRLSVAGPTNTSRSAPEKKPINQPPIISERKT IGRASAASPTKTSRPPSAASRPTTKSSVSSLRAAGVVDVKKRLSTFPASPTSRHVIKQ EEEKENRLPSIPASSKGKGLVRPSLGTRQSTRSAALQQKIREYELVNEMLQAAMAAED AGDDDQKENLTTEVGQAIIHLKANLEVTEEASSAEKSTMIHQSAESMSILESQLQDSK ATIESLKQEVEELRARKVDSASSAQIGGGDAKSEAESISLLHIAEVDKLKKEYELEIS SLHQKLQELKLEQQERAEQSIKELEDAKKTALEAGDTKMSQLLEEQKSIHSKAVQALE NDLALERKAIKESADKVSLLQQEIEQHKRDLATATSHADEYRKTIKARQDEIATRERE LQGRGDVIKSLQDEIVALQQAKIDEAAGLKQSSAKRVAELEVQIATLRSAIDRSSEES ALSEERTQEELVRKEQEISKLGQVIERLQSEVQSVHESKSNELDVQLLKIRQEHDQII MALKAEHQLSIDGLAKSHNHVVEKLSDEARNNRTAHERQIQELNNQKSDIQKSLEDAM NSLKDSQAETGKQMQELELKHNEACRAANEKVLKAEKDLAESQQLLEQVKEDAERATS EKMKTLEEKTQALEDQSCKDAAAIKDARSELEAAQEQVETLKQAMMTIEKESQGKEEH HTNTLKKAIAEAEAATKSLSENSARLASAEADHVKAVKDLRSSHEAELGHVRNELTQK HDTALQELKAKHDELLASQKNLEKTQKDELEQIKAEHERGLDDSAKKIVDLQQTHLQK IEEVKRQATDEHFETLKQLEEEFSKKAAETEHSHNVALDNLQSTHEMALLVLRVQLQD NYVKSDARAKESHDAIVNDLQAQLDRLKDDLAKAQLEVKKASEPREDPELEKLRSNLQ ASNDALAAAQSEAARLANDVEDMKQQLDQDQKTITMLENAAREASKTRENTSSREAHK LREQLDGALQEAETQRASSDIANEEFRESTERLKQYKTRIDELEARLQAVNEKPDVEL KISTPNSARKRKGYKGSKNSPRLSQGSWGPEQENREVAGSPVQEEGGENFGSSIQGSM ASIREQLRQLDEVNEDMLEGHARMANMLSNVPESPIPSPSTTTTAG EPUS_04750 MPALTTSSTPWIVRTTKRKSCSSEPQPRKRKRLSSSHDRETPGT VPKTHQQTLTQIQLTPRVPSPLEYDHMETIRPRAGPKSSRQPREPRARKGVKKQRSTL TQMDFLAMDRVHDEFGFEELGMITPDGGLGGKDVEAGKENVHLDTGKKATKAKRKNVG PVEESSTTVKGKRRKTVNYEIASDQGQYDGIPRTRSRSGAHTKVLSEQQPDRNAMNFI EERIPRVTRGTAKTQVEQVQAEPPRRYPYDEKENLDTTSAPSRLVIPETPKKPANIIP SSHSPESIPFSSRKRPVLYRVESATSPLKERSTNIVSIAALGGALAMGLSPVRKSFSP KKRICVLKYGPGLFKEPSLPEAGCIVADTGDSGPSKLRQGSRIQDGDMIIPSTEDMKR HCSAPRVSGRAGEPEIPELPTISPTAKPAFIPSSQTDTDEEDEIPETSQGLRRFTSTS SANKSEESLRMLSDTGRMPRAVKATSSNESLAQPGSGLAKAAVQGRDFGSDPNIEESI LGEALSTTRQAVTDVSTADPRLPAQLLQKDSIVSTIQDSENEDDDADILFCTMSPIGA GTRTSADLARQASTSADLHPPSADTPSSPTLPPAPLHSSYPQPTTIRVPTKLPPLSSS AATSPSLPSPAPQPRYMTQQSIRPASMPRPSQVSTQAATQQSLLPMSSMPFPYTATTS SPTRYNYNAPEHVTIKDSSSIHVPLREISSQSQSQPQAEEYAMVDLGLGPDDGSLDDR DDDLDPKSSPVIAHEQQNQQLEENEQTGTAAADAHIEAAGTSVIATPNPNPETGTKDT EAELPQKKKKKKKKQQQSPARGLTLPLHEDGSITPSRPSRRRRRNDNPTSTTTTTTLK APPPHPLARLHLSESMLESLPGPPGWVPTSPSSQRSVDAERCWDDQML EPUS_04751 MASLREQIAELEDPAPKDFDPESFETYGNDESHGSNSHADGGAP NELNGREHYEEVDASRLRRPVQPALGKRYASSRITRDVLISRNENGGREGSGSGEADS GLDDPFAPMSEESEEDPFAKGTGTGSESEHALSDLSHSEGRKKMKVLSGSLPEEGDEE IDSDDAFGEGDAGKFQGFKFLGSRRMTDTTETGLGEGNDTNMSSSSGSLNDNQRVNGA ENAVSEDDSEASSQLSEYDSDEAVDTDVDSEAASELSATSPPSQKAPKPSATTGDRAA LKALLSSDIAAVASSLSAAASADAKKGQAAKAQYRTFDRLLDARIKLQKGLAAANTMA VEYDFSEHKDAIKAAEEAALSLWNTITSIRHSFAEAQSQTSSPENNKKRKRPIPVTTS TPVSAIWSTTKTLNSLTLPHHRTILNKWSAKVRATNPVARESTSRLTTTNNATQNSIT NIIDGHLATESEKLIAQSTATTTTNNSNLSAPPPSRSRTNPNPQPDPTQTANPIPSTT LTYDDSTFYQSLLRDLITSRSSLHPNMPNNPSDLLPPTTTNRLHASGSLLPVAVARIP TRNPTPPRQPTQSPAQHSHTTTAPSTNPSSATSSPRAAPSIPICPTTHPTSYHPPPPT DSMRQGPRINAARSTPKPVKGGRSDTPCTKNCRISWPRRDLRVGTGGWSEEATNEFFA SLLGGRRILREDDDDASGSEGGGDGDGDGGGEALRLFRG EPUS_04752 MAAITSASPTPLRSIPSAPENHNQIHESIARPAHATGLAFEMNP QPSSPLRAYPDPIPSDTPMPSGPEYFTTRRPQPLSLSQEDQNLANSLSHNISGHLSVL SPQLPNGQAQSFAMGSQSGLPQTPQQPTTGASIAGQHSGTDPNQDLSYGMGTDRRKRS KVSRACDECRRKKVRCDSTASDSNVVETCSNCRRLKLTCQFERAPMKRGPSKGYIKEL AERVQQVESQMGLPVGYRPSIDAGSPSDPYAEQSYSPTEVMSSSRKRTFSQFDGRNPF AQSPQSTRDKMTSLSGYSINQAPQSERASFAIAPDQQLTDVSPTADAPPIDLTKPFWA QDTETEHPRTKETQVAKISAGNVWEFDSLFSAYVDLIHNDISILPRSFETVQGHISKC SRELASAFTYVLAVACGSTPPDGHYASDSEVEDYAWTNARVLPLNRHVSENIVWLWLY TFMVVNANTDVCRVWGTKTQLSKRTMLKMAIDLGQYMLAAIQQDEVAESSDSLDSTQN IVQRTCSCISILAQLDAIGTGMEDPVGSSDWQCLSSRAELREIMSEPAAFLFALASIL SLLSNHTPPSAFSKPDSITSVKTRRVIESGVNIILSTFPPTSASSSLFQEAQLFISLL LARHTFVNDASEILDLAAKLTDILDSDPVNPHGHQPPVAKPLDIHLYTLTGFTLLELI DSEDVDLVRSSQNALAKLRHALEQASEAAHVRLQSRFRGGQSGDASHLHWADALLGVI DAKRETDQGQRLSRMGPEEAGHSNGPHQEQPKSSINGSTDTEGGIQVISAHQQYLISR LTNVAAIQNTIRANGRKMTVIDFSLLTRRGYLNVLADLNGF EPUS_04753 MQEHIRRAHPDHYIPKLPATEESFLLMVNTPPTHRPQPQPTSAP NHRGQSDTGDRDFYGADISSPPSPRIGEDAPPAAANAAVALAQLHQDQVGTEWDSEMD TFSEPQIKRERLGGSIELPSLRDQFRQDALTSHQANRPRELLPSILAHSPPGRYSSLP PIQRSAKLHRPRKSSVGQNARKAKHERTKSKEFSRRLSMEGRKAMSAEPPTAAWVQGK RWEDLIEAATSATEADDDRDLTPVPQSPNHQSTTNTEAVKRSSLPPGFRIPGQMQQQY HASPLQNALTPPPPVGLEANEPFPSVESSVESVISGQNFHISTSGLSASGSANNDTSP SQLQSHHYHHSQSSSLGSRSDSLEILSSPVITVQPGLAPVRRGCPRCGVMDGKWKRFQ LDFR EPUS_05186 MAQPALPALKKLGHDDYGVAIICPLEVEVSAVRYMLNEEHARLP NKEGDSNRYICGRMSGHNVVIGFLPEGSQGATLRLLVGIGGGVPSVQNDVRLGDVVVS MPSGGHGGVVQYDLGKETPTGFERKGFLEPPPTSWRTCVVDMKSAHRTKANQISTFLD DMIRKYPGLDEYRRLTTAKDVLFLPTNKHASGETTCQKCDHSQVVERPPRARDTPTIF YGLIASGNRIAQDAGGALCFEMEAAGLMNDFRCVVIRGISDYADSHKNDDWHAYSAAV AAGCAKELLTYMNSVVGSNSTSRNVHWTITRVTNPYFTGRADIVTEITEVVQNALQDD TGMDQCRIVVTGMGGQGKSEICLHVADRVRSSFWGVFWVDVDKVALAESGFRAIGSRL NLPAPSIDDVRQSLANIKHRWLLILDNADARDVDYQQYFPTGCTGVIVLTSRNSKCHQ YATRKSITLDGLLPHDASNLFLQAAQIPRSQHEACKQDADFVATSLLKGHPLALIQAG AYVSRGHCSIAAYPSVFHRQRKRLLSFRPEQAQSRYGDVYATFEASADVLQSSHKEAA SDALQLLPVLAMLASTPLPLSLFEVVWEVMWHVSQLLPLLEADGGAWDSFRLVEAVRL LEVYSLVVVNGAGDTMSVSMHALTHAWAKDRQEPKLQRQSWLRTGCVIAVTTEGWLSA RRSFWQERQTQLRPHLQALVSVGTSVMFDNELQLMIVRILLRCGWILNLLQIDTELFT LMRQLFIWLNLDDLTVTEKWLPLYGLYGDYLNQCTKSKAAVTVLEQVVTIREQTLAEN HPDQLESQHALAIAYKDNGQVEKAMKLL EPUS_05187 MDVTGPIRSELMILTGLMIARMWCKKYSEHEVFPVLMLSLYGSR GCIVQAHMPPESGRLQVRYSEFFDFRELTQDWLDIFVRWFLSEPLAEPLSRSEVTHSG LRIDSENLKEAEVDEPPDHDSRIAESPRLNPPEHPDTPEHPGTSEHPGTPRPPLKTIS TPLSNAPCDAEGLSLAQTVSPQ EPUS_05188 MSKHTMSRREILRGKLQDLFLSVRGSMNPYFSIAYLYDIGVDIS QFNIAQETLQGGPYFRPMSQERLSSLDKRPDMYQIPFWEDDRYPSNADAYMVQTAIND QLCRSRDDDV EPUS_05189 MAPWQGLTNNDTVDFDVTWGILEGALREIHAKNASKLSFEELYR NAYKLVLKKKGEELYNRVSGLEQELLRENVRSRVVQMVTSPLILGASGEQVGGQAHQR RADGERFLGVLKNAYKDHDICMKMITDVLMYMERTYCQDQRHPSIYAMAMALFRTQVL RSPATDQSDINVMAILESAILDMIAMERKNEIIDRPLIRACICMLEELYETFQEEESS KLYLTSFEPKFLESSRIFYHREGQDLVANADAGTFCRHARKRLREEDERCQQTLSTLT DQKIKSVVDKELIGAHIRDVINMEGTGVKHMLDNDRLEDLSNVFDLNSRVDPKKAALK DAVQKRIVSLGSEINNMSSGAVVPPPNNASEQAKKEEGEKDKNVNQQTASAIKWVDDV LTLKTKYDRIWEEAFKKDSNMEKALEYSFQEFINANQRSSEHLSLYLDEYLKKGAKGK TDLEVDANLDKGILLLQYIQDKDHFENYYKKHLSKRLLQRKSASMDIERQMISKMKMK VGNTFTQRLESMFKDMALSEDLTKQYKTHVAELGDPDTTRIDLEASILTTTMWPVDSM VRRNEDGSTKTACIYPPVVENARKRFEHFYLKKHSGRTLSWQPQLGSADIRATFTKPD GKTKRHELNVSTYAMVILLLFNDLPADQCLSYEEIQARTSIPENELCRNLQSLAVVPK TRILKKDPMSKEIKPGDKFYFNESFTSPYMKVKVGVVSNAGSKAENSDERRATKRKAD EERGTTIEAAIVRIMKQRKHLPHQQLIAEVIQQLANRFQPDVNMIKQRIESLMEREYL ERGPDPSKPSYTYLA EPUS_05190 MSAAHHLAQHPEKFQVTLVDSVNYCGGQAFAVPIDKNKHGASWF NQGVQGGSNIFHHTMTMFARQGYHADPVKLQVSFGKDEMFWNNVFPTELLAKHQKEIR RFATVLKIARWFEIFFALMPLKLMLKLFFFSDDFINFIALPMTALFLGTGNATPETPS IMLERLCTSPTYGMWYPADKQSVASNLPPMVVFPNFSDFYETWRKSLVKNGVHVRLST EMTSVVKRDKDGVVVSFIKRTPVESNHNPVSGDIDSPDNHNPANLPGEEWEEEYDELI LCVLADTANRLLSRSSTFRERKVLGSAKFSDDITITHTDTAYMRKHYENFYSPERAVT SLSMVDQSTRNTYGQTNYKPMYYIKEYPTDPSKLEMCFDCSNYQSQFPHKVPFENHVF QTIFLNKARDSHLWSIDEIDKAKIIREDWWHQLCHSFTHYIFVVPWLWLLQGRNHTRF AAAWTLVNAHEVAVISGIAAAVNLGATYPEDLERDKFALLSFRLYYLLIYGKWYSKKA KDRNGVGKEWASGLYGSWYMGPGRAEEEKTIWREEVEAGRSTENWD EPUS_05191 MKLYNVLSIGLIAAAAVNAYTFERLEKNNTVLLVVDHQVGLTQL VRDFTPTEFRNNVLAHAALGNLFSLPTILTTSAETGPNGPLPREIIEMHPRAPYIRRN GEVNAWDNPEFRAAVEATGKQQVVLAGIVTEVCTAFLALSLREAGYSVWANTDASGTF TPILAEDANRRMEQAGVHLMGMFAIAMDLMRDWRNTPGTAEVLPFLDQYLPAYGVTAR AHGGAVENGTLVPGEAELI EPUS_05192 MSNHQDLGGSTPRKDNIDDVPPQNVQTFNPDILSALREILENMK DVRKDIQEVRNQVQDVRDDIHNISNEVQDLRQDTGNAYQEARDVRHLVSEINRELADL RSGRQTSQTSQNTEGQDDCRGWESDDQNGWGEGLHEARPQAGFIDHAVPKSVDEAIAE RRGGDMFAGDSSEGLRESSGKNTGSSSHWETATDLDSCVVKEEMPDAASEFNMKDPKN IQPLDDYHLDEFRADMVQIAELATKFNKLPADAFSTMNEETKSLFVEITTVADQIRPK VAALAEFSHNSYTVVDTKEKVAEMVNTLTALPIDEPNIAVDLEGKKLGRDGPLYILII HDYKAEHTYIVDVHTLQLEAFDTCGADEEKNLRWILESAETPKLIYDVRQDSEALYHQ FDIRLDGILDVQLFKLADMSHSFESPARYRTGLFKAICYSIDMTMEVQNKWLHIKDVG KQLWNPDQGGSWDRFTEKNKCRAIIEYCLVDVVHLRTLYRRFSKGLSERWLARIKATT KESIEETWKDDYESSGAEGPWEQQY EPUS_05193 MATMQRERRKPSRMSLSRDVARLPRSSEAFRERARPSPFAGMNQ TEARLGGRVMVKPRDVPRPAVGARGAPESTENPRRAKTKDEKPLFHALKMQATLTPLA YSQRMAVKEKLALITSFEQFNLLPDVQNAVYTHALEGMTDVVPTPAQKLAIPALLSRK GEHERRKTKDGDRPQYSQFLIAAETGSGKTLAYLLPVVDAIKRQEIIEKAEEEARKAG EDKEKAENQKKNIFALDPPELSNPPHSTMARPRAIILLPSSELVTQVGGVVKLLSHTI KYRSAPISAIHTPNRIRNRLYDPKGIDIIVSTPHLISSIAEKDPNILSRVTHLVIDEA DSLLDRSFAPTTSAIIDRVAPSLKQLILCSATIPRSLDSFLDKRFPTIKRLVTPKLHS ISRRVQLGVVDIEKDPYRGNRNLACADVIWTIGKAVHDDTESTHTVKSMLVFVNEREK AVEVTQYLASKGIDAVALTRDTTEQRQAEVLSAFTSASRIEGEEKPSATDRSKRNFRD FIPFDQNSIITTLSSPNAAPSRPTRHLPNTKVLVTTDLGSRGIDTLAVRHVILYDVPH TTIDFVHRLGRMGRMGRRGRGIVLTGKHDRKDVVAEVKNAMFKGQALI EPUS_05194 MAAQSVQPLRIQKGTGVSSPSKMNRPLAELSPMGIRRNSPSFPQ SNKNNTFRGDSSPFESPPTGTKSASSPRLFWQGRDPTSPNRASIENRSPYEQEQSPVS NKRSSIENLKKASRVKNSSMFAREQKNEYDPAQVTVPERPLATGRPLNVQTQGNAFGG QGLEGIRMDNIRRQAEEQIKHSMAVPIEKISPPMSVAPLSPSKYSASPAKSSLSKKTG LNARSMGFDPENGVWSDEEDSVAERKLPEGRVLQRHAKSVTFTQAPPQINEYEMTTPD PSSVASGSREGSYESVEDEEDISFDRGSSLDREDSFDASLEDTDKTPVVLPEDWRFMS PEIARTDLVREEDDAFDGEYGSPEPSAQPGSMDSRPHQTSVNSVDSCGQARPLPPIPN VSAAAPGSPLSGTLERISSGQRSLPSPPQAAGISKSEIRRMSSSGLSLEDRLKLMLLQ DQDREKTKSENQRERKVGRVEQKENSPVRDHSQAAESGGPSEIPESTRNHGEETHISR EAILRRLSSLQDLQENRFEESTTSLSSNLPSYLNVDPDIPIPSTEDPSPVRSEEGVEN DIVIKEEPDECSDIYSVPESYDGQARAESDDMDTESQYSQASIPLHPSLTRDDGQDTP RAQSPAREPEKKRLVEKEPMSLPLLADFGTGNSFDFGFESYMTPSPPIDRTTYEVAEV PHEQHSQDISRPMTPVEQLQSLPMSCLGNEASAEPGTPDSVIHHPVTQSPSPEVVEDV ATAKAAGSELKTRPSTTPADVSIMAATRREVSGQAPPPQAPPPQEVSTGSTSEAKEDT ASEVNAPREPEKRISSLVKLDIPGRRSDESLGFGLEKEFDRVVQAQKVAFELSLSRLH HPFNGRFPYSELPVVADGSTLKNVSLLKPQGARALPGDQRAWGHHYPMDGSQFANRSP DRQRGYLMRQNTKVVVASNRVEDEVKPAETVAPTGAEPTSRKISQQTWVTEPWNGKSR RRSIRATGETGPRKKCSNASVPPLPGQASNAQDALGAVHEDELAEEEPQDFDENAERG RLFVKVVGVKDLELPLARDEKSFFALTLDNGLHCVTTSWLQLGKSAPIGQEFELVVLH ELEFQLTLQMKLEQPKPQPVQSPVKTPPSPVKKQSAFSRFFGSPKKHKEIEVSRPTSP IKPQPLSAYELVEGIVGQDGSFGRAYVALSEHERHAFGRPYTVDITCFNEWAVEEVAV GSSRSKKSVTAKHRRPPYQIGKMELQLLYIPKPKGAKDEDMPKSMNSAVRELRDAETR TSHVFEGHLSQQGGDCPYWRRRLFKLTGSKLTAYHETTHQPRATINLAKASKLIDDKS ALTKKETSTKGGGRRKSAFAEEEEGYMFVEEGFRIRFANGEVIDFYADSAAEKGEWMK VLSEVVGKPGSSSQSKPWVEMILKRQRSVIAKEGRTVRPSRPELTPQKSSRDQMQEEL AHRSAARQRAMLAEEEARKAAAAFSLSKRSSIPLLSSNPPPERGPRYSQAIRSGHART ESYQPSSGSRSQPGSPLKAKLNKESRHQKAKSMIGMQWH EPUS_05195 MADTLTLSQSGNRGPTPAQWEAQKENIRRLYRVRNMTHKELAEE MTKIFGCRITAKMCKDRTTKWGFAKSIKKREYETIIEQQAKGEQNRQIWVRGKQVKQR DIDRYLKRRKIEDSPAHRYVDSAASVPQPTPEITNSGRSLISSNVTLSDPDDHPPDSS MWSMTEDTATECAQAQRAALGNSLAIVDTTGALYATEQNEHENFLSLNSPSPQEPLAS LLTTPSWPTSPAFRLLLQEGLEESELSFADSVNRMESILNDTHTTQVMDKAQEKAINP PLAGSSLRIEEHLPQPSMPPGSEEVSDVASRWISVCSLASLQHSYGKIEIMRHALYEA NKLFDEMIRTSDRQLLSSIVVFGAILEAHGKDNSVETLLSHTLVTSSNILGPRHAITV TIAWIVDVLSKKEPKARIRVSVSKLREISYEFEKDHGKLHPYYVTSVFNLAKALDLDN YADEAEPLLREIVEICPRIFARGHPQSIIAQMNLARLISKRGQFVEARFLMDSAVSSS EERWGSSHPYTLECLRRQAIMLESLESPERIEELLKRVL EPUS_05196 MALPLDGFDTSVDPDDGHVLETSNSPFKYVANNRLNKQWIDRSL ELSYQRLTSKTINHDIFESRADFLLYAFYALHLNSIALDSDSAAKISSTLEDLARNAK DIRQNYPGVTPSPQQRDDFFRMVESAHHDLSCSGLMIAEPDIICTLFASIVFEGSKKC TILSPELCFDTSVAEWKNDLGFYLLLLGSRPKTYTPFLQCGYDLPKHNLLNLCMAQIE RAITEKERIHQSLSTRLDGGYGGGNNQPDPDGNNPDRTQDPKDGQGQVRGPQGLGGSS FSRRSGGNNANPSIDGSYSWKVLFRKSVKAYCLSTACQFGHSNQNRTSRMSFKTIQAR DYLRLVLD EPUS_05197 MALTVTKEVPADYIRPSFPSLYWPINPSPGESQFLYYQSDIWRF TLYWTLLTYAAVHLSASLWAVLMQLRSALTTKTTPWGAPNKIRQTLLWVWVIPVVYLL VAGIEGVFAGSVVGLILGAVYNAGYFKMSTWMPFLWGLLNVSVLILSSFSIQGGL EPUS_05198 MGNSAPDLKWEKLALVGCGKTSIVWLVRNTVTKEVQLWKYTHTR DCSTAGEGVVLALLQGHDNIQRLFHWDDYNRLLVLKRANGGDLHDYTLDHYGSTRQRM PEIFIWHFLRSMAAALAYCQAGWRDGDPFVVKEGWRPIIHQDVASGNILLEWHKNEAL PQLILSDFGDATFLDTMPSADYDYLLRAMRARDPTASHLKRDLQRLGSILQSMLVVHL FGGNAEKMQEDYNVDLAFDFAQKHGEPIFSRELTDLVDQLAYNELTDKSTRFTDALEF AKELIPVANAKIAELAETDTKLPGRPAAGLDDEDSGSLSFHAPLHKDIWPFIRYYEGE SIRTGGLKRKHRQGELDPQFTKYRATRIEELTTRHNQRPYFINPGQMVDSIYSWDVQG KQQFNAEQSVHQSDDKSQATTDEKEPEAHLRKSERLTSEAWLAHQAIAQKVLDFTSRK FSNDPAYAEYSRLVKAEQVALEEYRQLQQQELAALLDFSSKNASVPEPQQTATLCQDS TWQAGTVVQEESGTSANNRMEIAMTNAKDGTYTVKIEEGKVVGVLPECGKDIVVEDKK EMNVEEKKR EPUS_05199 MLPSPSLAAANSNDGRVQATTNAPKHILPVETLPEHDPGHFDFD QNILLSPPPSTVTTDHFHDETNISPTEEPLELDSISHRNIAAYNLNNQWAAQQSQEGL TPPASEPIATGLQIKTDITTTVPSLFSASLDSDSYLRQSISTSSIPRRTPSIRAALHT SAGSLSPGSALSSPQLAAMLNITPLPSPIEISKDPWRLNIRTRSRGSSLANIEAPSER RPSNLSPPSSPPKRKAYNGLHASAGTLPAHRSGADSGSDASDQTQNRSISDYVPDTMI SAKPRNVAVSGNLLNQEIVRVQSPMHRERYLAVQRGFSIPSPPLKTPNPSISNLGSFA GQGASGEPQTKKAKTQIYTAKNVANGHARRYKVIRLLGQGTFSKVFLAVRQVEDEDSN IDYTRDSTNLDGVRIRSRRLVAIKVVERGPAGGADAERVEISLRRELEVLKAINHPSL VHLKAFGTDNDRSLLVLNYCPGGDLFEVASSQLEVLVPSLVRRIFAELVSAVRYLHQK YIVHRDIKLENVLLNIPIQVHPDVEDWQTLDRAVITLTDLGLSRRIPEPPESPLLTTR CGSEDYAAPELLMGQPYDGRQTDAWALGVMLYALMEGRLPFDPLPGARGDPAKLKART PHRIARCEWAWYKYGDEDGEWDSEKGKDYEGARPIIEGLLTRNTRRKTLDEIREMPWV EKGIVIDGGLRRVEEEAL EPUS_05200 MSKPQIGISSVWYSGNPCNMHLMSLSNVVKESVAKAGLAPMQFN TIGVSDAISMGTTGMRYSLQSREIIADSVETVMQGQWYDANISLPGCDKNMPGVVMAM GRVNRPSIMVYGGTINPGCAKTQNNADIDIISAFQAYGQFLSGDITEEQRFDIIRHAC PGGGACGGMYTANTMASAIEIMGMTLPGSSSNPAESKAKQLECLAAGGAIRNLLKLDI RPSDILTRQAFENAMILVNITGGSTNAVLHLIAIADSVGVKLTIDDFQAVSDKTPFLA DLKPSGKYVMADLHNIGGTPSLIKLLLKEGVIKGDGVTVTGQTLAKNVENAPDFPSDQ TIIRPFSKPIKKTGHIQILRGSLAPGGCVGKITGKEGLKFVGKAKVYDEEDLFIQALE KNEIKKGEKTVVVIRHEGPKGGPGMPEMLKPSSAIMGAGLGNDVALITDGRFSGGSHG FLIGHIVPEAVEGGPIGLVEDGDEISINAETRELNLHVDATVLDQRKKKWAQNPPQPR VRRGTLGKYARLVQDASHGCITDADMSDIN EPUS_05201 MEHVALPSNNFHPPLVIPFICDNGALEYDHKGFLDFPKRIGVVP EDIMQGKFHGLSHLDALSFFQSWCFFGLLFEFLTIVGRVFTIEDFLQREPSAVSAGLT GRIISTKKLPVLFRELEEESRTLDIAEKVKRFDTICERLQVAAEFTYLSSSYEIDVDG RHFFSDDSINWATASSPGNLVHLSIVALGELLESITATLYKTRRSTYRGYRHRGPSTF IESRMLQAGWCPSQARALYDQDTGLTFMYYLSSMNIHALGWNHDGCGDSFRCQFDTLD LQKYQTKHSLECQPIGGNNCRFITPESTWSPRISGIVRRYQTPLIKVHEHGVDRTVQI CVKAAEINLAQPRKYIGSQLVDKCATIIGLRTGSWWLNVIETRYVCISHVWADGLGNP RENSIPACQLMRLQRMVNELYPQEERPVPFWLDTLCVPIRRRFRRMAIVNMAQIYRRA DKVLVLDSSLLQASTTASPPLELHVRLMTSRWSRRLWTLHEAILAEQLYYQFADRALT RGQLCDIWLKSLLSCRQPDSSGSCEVSDQLTPIRDETRKVGLRILFSDPVARQAFNWL FQLERFTGMRKNADAAFMRVALNALFWRTTSWPGDEAICLAGLLDLELGQRELLAKTD AADSLKILFMSLDSVPLDILFANLPRSHDLGFSWIPSTILGRGRDAFMTSLRPAYPTP EGLRFTSPGFRLRGKVEPVLNDNRVYLEYNGHYYYMVPRAKKHVFEWKYLESLDLAVI LPTPLVYPGFTFAALIAVQGTALKPQNTQHNPYLAKLVTSRPTSVPVPTGLSHSLDAS SLMSAEEKEPLLNANPTLQKYYASLESRIGYRLFLGDTRHFGYYPSSSSWPFPINGAL RAMEEQLFNALECPPGSRVLDAGCGVGHVALYMAKAGDYRIECIDVVARHVAKAKRNI SNAGMEGAISASLGDYHHLEDFYDNSFDGIYTMETLVHSTNPLGVLREFLRLLKPGGR IALNEYDHDDLGKAPKDLADTMKKVNKYAAMPANASFDRDVLKELLQEAGFEDVQLRD LSEHIVPMLWLFYLLALVPYILLKLLGIEHRFVNTMAGVESYRGRHLWRYIQVPGRKR S EPUS_05202 MVVNVPNPRSTNSQILMRKLYLVALCVIAPEIIFQAVLGQWLSS HRSVKLFCEAGHPDWNMRHGFYADMGGFHLQCPDWKSFPVDAKQLHYLVVHKYVEYPQ LQDSHIQDKNKVDGMLRLITFIQALWFIANVIGRASQGLAITVLELSTSAFVIFSVAT AICWMRKPADVQQPDYIITDKKITEILLEAGEPAAGVYYNTPLDFVSRREWWWTILWA HGLNYLRKLHIAAPPRKRPIGRFENTVFPCIGTLPYLLFVVLSLDYLGVFVAGWNFRF ATRRESVLWRAATLTALSSALGVAIFVASCFHWHSVLRRKLRSRSTSFEEAGKEQPSI TSGPAAIRKGRSRISTIAAYLKNNSVLKDPALDAPVEAILVTWFLGFFYISARAYIIV ADFWALRSLPRSAYQDVSWSAIWPHI EPUS_05203 MKYVLVSGGVISGIGKGVIASSTGLLLKTVDPYMNVDAGTMAPT EHGEVFVLDDGGEVDLDLGNYERYLNITLTRENNITTGKIYQHVIERERRGDYLGQTV QVVPHLTNAIQDWIERVAKIPVDDTNEQPDVCIIELGGTVGDIESGPFIEAMRQLRRR AGKDNFLQIHVSLVPVVSREQKSKPTQQAIKDVRSAGLTPDLIACRCQEPLSQSTISK IAMFCQVEPSQVIAVHDVSSTYHVPMLLERQGLIENLTTILRLDTYTISPSLLASGQS IWHQWKALTTSQDRFLETEKVTIALVGKYTNLHDSYLSVIKSLEHSAMACARKLNLRW VDASHLEKQTVETSPELYHKAWHELCTADGVLVPGGFGHRGTEGMVAAANWARTKPKP YLGICLGMQLAVVEYARNVCGIPGAGSIEFDAQAEKPVVIFMPEIDKKTMGGNMRLGL RPTLFQKDSEWSRLRKLYNNADHVDERHRHRYEVNPDYIEQLSGKGLNFIGKDDKGVR MEIVELKDHPWFVGVQFHPEYLSRVLKPSPPYLGLVAASCGLLDQVTDRCLNGKGLVN GEIHVNGELTNGVRNISL EPUS_05204 MAGRFVRSSKYRHVFGRPARKEQCYDNLRISKNAWDTNLVKANP EYIAVNWEASGGGAFAVIPTSERGRLPERIPLFRGHTAVVLDTDWNPFNDSLICSGSD DGKVFLWRVPEGFTLHSDVPADDVKDVAPVGKLSGHPKKVGHVLFNPAAENVLASASG DFTIKIWDIEAGSAKLSLKLGDIIQSLCWSPDGSMLVTTSRDKKLRVWDVRQQKPAQE VQGHAGAKNSRAVWMGEHDRIATTGFSRMSDRQLALWDIKAPREPIGGFEMLDSISGV CIPFWDDGTQCLYLAGKGDGNIRYYEYQNDKLEYLSEYKSADPQRGIAFLPKRGVNMH DNEVMRAYKTVNDSYIEPISFIVPRRAETFQEDIYPPTVGLKPAMSSGEWFGGKTALP PKIDMETIYEGGGMKEVPAEPKPMGDMPAPVKGPEPDKKEPEPEPQPQPQPSQEEPRL GASRAPPVSMKEQGGSMMAMADKYADQDHDKEASSDESSFEEVQKPIERAAVKTAPED LKPEEVKETTSELWKAKEETQKGPGPSILDEPSDISSSKIAALRSDTATPTTPAVGSS ISGVEALEREVKNMNSMMAQQSRQMASQGQAIADLLAEVKSLKARLD EPUS_05205 MLGMQNSEGVGGSNLRFMGGKFNNDNTGFSHGAYNSNTWAGQGF GERNPRRVNIPSINTAARDALGAYSGNDQTPGTAFDLNYTPLLPSQLLLGSPFQPGSP SAFNSPQFSSYGRFNQASHEMHQQHQLGSPNQTNTSHISSQMYQNMGTHDSFASQQLL GGAQSPNGGFASIVGPAFGSPPMHMTPGIMSGTSRTVYLGNIPPETPAEEILSHVRSG QIESVRLLPDKNCAFISFMDSSSATHFHSDAILKKLSIKGQDIKIGWGKPSPVPTSVA LAVQQSGASRNVYLGNLPEDITEEEIREDLGKFGPIDTVKLVREKAIGFVHFLSVSNA VKAVAQLPQEPKWQAPRRVYYGKDRCAYVSKTQQQNAAQYLGIAPGYAHVLNGADRDL ISNALAQQSVAAAAVATTAGGVNNLGNRTVYLGNIHPETTIEEICNVVRGGLLHHIRY IPDKHICFVTFIDPTSAASFYALSNLQGLMIHNRRLKIGWGKHSGALPPAIALAVSGG ASRNVYIGNLDETWSEERLRQDFSEYGEIELVNTLREKSCAFVNFTNIANAIKAIEAI RGREEYKRFKINFGKDRCGNPPRQTAQQQQRMQGGLESAGSPPALNGFSTNLSQSSQP SPTRSALSPAPGSTGSHSQNGMQRQQSQSVAAPTPSAILNAGSNNPLTMYLNQMSAQQ EQEMRGLNESMSALALQQQQQVALSAQQAALYSDMPNGHAGLDTMLLQQQQQPRQNSI SGAFSGSSSSNGGVSSTIGGLLAPSNVGLNAARSAHSRAVSLPAFSQEVFGAQQSVPT SSHSSRAFGGHAPQGSFGGFGTAFGTGFGTSGFGGLSLQSESHGALPGWAEEELGAK EPUS_05206 MATSSSIYIDEDVGRDDDAALGTESAPYKTLLHAMIKHAPSGGS ISYLTRKSQTGPVSENGDPAARLEWKSATKSALKKATNLYEQHVRKQAKSADLVMREK QEAEKRQQVLEEAKAVVIEEDKSLPKPVRIRLDETDPSVVRLREGDAPGTRVRVLGRV HRLRQQKDVIFLTLTDGYGQLQCVLTGDLIRTYAALTLTLETSLSIHGEMRVVPPQQR APLDRELHADFFSIIGVAAGDKEAITTRVPKDADPQTLYDNRHLVLRGDTAASVLKVR AGALRAFRQTFQEERLLEVTPPCMVQTQVEGGSTLFSFDYYGQTAYLTQSSQLYLETC LPSLGDVFCVAPSFRAEKSLTRRHLSEYTHIEGELDFITFADLLEHLEKIICRVIELI LQDPQMAALVRELNPGFKPPSRPFRRMKYADAIDWLVQHNIPNDDGQPHSFGDDIAEA AERKMTDILNVPIFLTHFPVEIKAFYMKKDDSDRRVTESVDVLMPGVGEIVGGSMRME NYDELMEAYKREGIGSEPYYWYTDQRKYGTSPHGGYGLGLERFLAWMCGRYTVRECCL YPRFTGRCTP EPUS_05207 MQFTAAIITAILAITVTALPQAPGQGAPDAAAIAALVPDFGVQA GQGDDGAGNCVTPVAPAKIPCTCPPPRGDYLSQLTAAVQAGNTFGLPAPFPTGSDTAS QTTRLQTMLSVMQNFNGAKGKGCPAISTTFKQTLDGLSRAA EPUS_05208 MRVFILTAAHVLAFASTIFAQSLISDLSFGQTSPLADNGANIPG FHVFGEGHVPRLMSDRVILTPPHPGNKRGALWADKKNTATDWKVDLDFRANGPERAGG NLQLWYVDSGKALVSLSSIYTVRKFDGLALVIDTHGGTSGSIRGFLNDGTRDHRNDPN VDALAFGHCDYAYRNLGRPSKLTLKSSPSAGLQVLVDDRPCFSSDKISLPSDYYFGIT AASSDPPDSFEVFKMSTYSSSSNSPPPAQNQYQPQQERSQPQSNDNKINNNDAANVPI TEPKDDDPTTYTTSAAQFADLHNRLQLLSHAVHNLFREISAHTTAEEARYNQILKQLP SANTMNNLDHRVQNIELMISALKKEIESGDHKGQFERLHDKLNVAHERITEHLPQTLA RVVSSSAPRVGLLVGVVVVVQVGLAAAYVIYKRRRSQVPKKYL EPUS_05209 MRGRRNSTQAAAISCLSTDFEVHRNWLAVTHSLPVKQWYYEATS EWTLDYPPFFAYFEWLLSWPAALADPAMVKIENLGYDSWATVYFQRGSVIVTEILLVF ALHQYLKSSPLSHRHASHATVLSIFLSPGFLIIDHIHFQYNGFLYGILILSIVLARKQ STMLYSGILFALLLCFKHIYLYLAPAYFVYLLRAFCLDTKSIFRPRYQNTMRLGASII GVTCVAFGPFFYWKQLGQLKSRLFPFSRGLCHAYWAPNIWAIYSFVDRVLIGIAPRIG LAVNDNALHSVTRGLVGDTYFAVLPEITKEHCFGLTLAFQLITLFKLWFQPDWETFVG AITLCGYASFLFGWHVHEKAILLVLIPFSMLALKDRRHLGAFRPLAVASYLSLFPLLY TAAEFPIKTAYSICWLILFLYVFDRLAPAAVRPRVFLLDRFSFLYITISIPLIVYCSL LHGLLFGDSYEFLPLMFTSTYCALGVLGSWLGFMVVYFTPK EPUS_05210 MALPAPAQQKPVWLRQGHHHLHYLSTSTILLHNRCATSSLYHNL PSSISYPDPPSPHGPGTRQNSRITQHIHPDLLTLSVQSDLNKPNRNDVQYLPKPSIPD DDTEVSWGGHNAPDPLLPASTKTNQIWVIPETNEVLLDYEAMDFLKQKRFICEITGHS GLNFFDAQKSEAIGSQEVDSSFPEALREPVLRRVQFSTTSRIDNLVDQVFDDFRQDFY PGETVTVVLDDGNRLNGLVREKAKFPALHGRDGSLERKAFSRYFVQLMARADEEALVD DDHIVRDRKAFTKQMLRSFIKNTVTREAWTGAPWLVKPEIAVKYFIDTTVPPHLQYGS KAAERKANAAAKKGEQEGMHHFWASRGLPELKPAIKGPKSKMSPGELANMKNEQFQEY QRALQGHPTFVLPKAAQTTFDLHQYSPTNGTPHSPLPHLVPKSFPKPPPPPPIKYPIE DLEVAPVRDGTHRPAIKYLSQDTPMGANSDPDMPILMSTVGSLLETWNTINVYCQVFM LDSFTFDDFVEAMQFSSMDVDCELFNEIHCAILKKLVNGENDQNGAIQISLPDLPSDD EEGENESNVEGSSVAPTPTPEPEKPVKRTTRSSLNKVEAKPPSRSRSATADVRIHRAA EMFGEYGWIQRLRKRDFRNGGWQLIMVGLLHQLAGRSRLQADCDEILTHLAPLDAEPT QRTAWLQYSTMDINKRVKALQIICMLTLETKAVKAFLEESSAQMTELRKTKIEHQRAR KEAMAQLKIYHEERRRLQPEKSPTPPPELVEAPDAEIEEEDTSIVDTEDEEPQSNRAL RRGNDRAVERKRKREEEEERKLKAVEAKQAKGTKDYQKVLKKVEQTQEKIRKEENAII NVDNDLREADCPRTRVLGKDRFWNRYYWFERNAMPYEGLPDASTADAGYANGRLWVQG PDDLEREGFIDVSPEENQRYFRTFQMTPAERKRAEEGPTSVFTAKQWGFYENPEQIDM LIGWLDTRGNREIKLRKELSNQREVIVRYMEKRKEYLAKPSESEQDQDLLPPPPPTTR MSTRTKPYANQDHHTSTTKYRCLRWRNEMALRELGHKHMDPPVLKTKSGRTIHNRKGT AVSVGGPNPNATPLLVEDGAGKNAIGMVTRNRTGKVLSRQGGRYNF EPUS_05211 MLSASSVASSAGTVMAEASRLSKEGQKASKGKLKKPPPFPRKNS DMNVSTKSAVSSGSSSPILPEMDDDTMAAFPSGEPRKEKIDLVICKHCKRNVMRLHAK EHISGCLKSKQEKARRKKEARDAAARAKEKAEKGGDDKDDDVSDSEIKVDSKSGASGA RKSTTKTSNGNNDESTKKSKKRKADGEEDKSEPKKKKNKKDEPKPKAAPKPKGPVDVE KQCGVVLPNGAQCARSLTCKSHSMGAKRAVPGRSLPYDMLLQAYQKKNQARQQKAAIS ANAPALYDSDPDDPSNINGAPIDSDSERDAVMTSLARNFNPAYLHSAPVYSPTNPVRG PQPLATHTIFPTRRKYQLVRMKEMLSNALSGNRGGGLFAVQDPNHGVQQQSARQTFFP GSAGGTGGGDGGGSGGGGIIGSDGFAIPSAISVPSTANSTAGDPMAEAQRRAMAATGT GGTATGTGIGMGIGQKNPSRRPTVAVAAGAD EPUS_05212 MMFKRLRSAIKKNDSSQCLEADRRHPLPAVNNPAMVVATIKCVV VGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTVMIGDEPYTLGLFDTAGQEDYDR LRPLSYPQTDVFLVCFSVTSPASFENVREKWFPEVHHHCPGVPCLIVGTQTDLRDEAA VREKLAKQKMTPVMKQDGERMAKELGAVKYVECSALTQYKLKDVFDEAIVAALEPPPK KTNKSKCVIL EPUS_05213 MAALRGPSTTRKSSRFSSPATLPRVERETVNSVNDVTRARESSK TFLDQWVEPPLRAAAPSFEDHRGLERVGVLEHFQPLGQPPTQKLMQKLKLAPPRPGRA TPVQSEEVSTPTTHVEEMSTASPAEEPLRAHSVDEGTMRAESIPPIRVHEENDADYRP AVPPALVITRPKMPPRNSVSIPPTPLPTGGYIFHGHYHPETIKQHVEAAVRRAELQSP DLALGIRKLCADSELDPALWAVLDAILNQSATKEQWRLFRRYVKEGKKEYSRHSTPTA KATSLALAASNQRYTPTQTSTPTKTAYSAPVQLPEAAPPFSQPSSQPVHIFHPQPTLP VQPPSFSSPPKHPPTQPSPVHQHRMPSPTISAPPVAQPLRSSSPEQAADKSPIVTNGE NVETRTFQEGLQNLERTSPKRSQSVSSSSSLSSAKSLDAETFAPAINEEAQINGTRAA AKSGLDQRQAAAHKPGANKSRAPKIGLFSVFPNTNKTNARKQQAGSEIDELELSRRRQ RLQQNQTFHEDYTSRFYTGESSERAVLAHSPSCRVENFSTSVQVPVTHSQSLSTSQDT LSSPADTLPSADFGLRDGISKKRSRDETEIDEEEVQTPRSSPGLLLVPPPPGAASISR SGTPRGTQMPPTKKAKKSARVMVSPNKPRNGGIVAGFARAGPGRDRDSTVGNGIDSSE EENNDFCAACGSNGRLLCCDGCPNSFHFSCLDPPMKGPPEGSWYCSRCVARQNRGPAE VNGFLGRLITRSDDINPMQFGLPAQLEEYFDGIRSGEGGEYEDVGLSRTHVSDVKMNR AGFIEEPDYKRLKDAKGNVIFCYRCRLTSNGKRDIIPCDFCPYRWHLDCLDPPLAVPP RRRHGDKPNATWRCPLHVDNDLRNLGNSEESGLGRQPRHRIPKNPIPVDVSISRGVRN NGIIDVELMKDDERIDDVEMLGTIYRLPERGIRLDFLERIRRSRYEDMITRQQYAAHV RDYSKLKRPSNAPSDMLDSTSSVPNTLISNAAAANSTLRAKTLREQQAVLSLQALAQQ DEVSEMALGNQIADLTDKLILGAGEDVVGLAEKEEREQLEVFRGLVEKRLRILKSDGK NDTKSLRSNGGGRVVVNGAGKRSRGSSPLGILKSTSTLGSKSKSRGRS EPUS_05214 MTEVADVDYTLNNPDTLTKYKTAAQISHKVLEVVSGWCVNDAKI LSLCEKGDKLLDEEVAKVYKGKKISKGIAHPTTVSPSSYVTPYTPLVSDAEEAAATIK AGEAVKIQLGAQIDGFGTIVCDTIIVPPEESDEPEISPRQADLLLATHYANELLLRLM VPPGILASGTEEEKKKAASQKPPSQSKINQMLDKVAKSYGCNIVQNTTCWLFGRNEIE GKKKIILGPTEGMKGEGSAEVGEVWGVEMGISLGSGKVKDLEKRSTLHRRTATTYGLK RPSSRQTLSEIVKKFGTFPFSLRQLDDERAGKVGVVECVRANVIREYKPAAEADGEPV ARLFTTIAITKNGITRLAAPPAPDMNTLETKNKITDEEILSILERPTARSTGSKKKKP KKKKTTTKKDEEGEESSDEE EPUS_05215 MTSPPLLSSDPPSSSAPSLPISQTEPHELDKNNGLAGSDTDHET GPGLQPTPEVKESDAILRGKESARAIVAASGISTSGDKVTDSPRPSILNGGRKRSRSG SIIASPPRSGHARPRETPVQKIELEQFVNREYQHKALVALQKYNSGLAQQKTEEVQFY EGLRQRRHHDPGSIFGYGYEGYGNPRTDSKLRDPLIYPDKRRVGHKQTRLPKFSKKGQ MIQAEQTEELVPIRLDIEWDKIKLRDTFTWNLHDRVTPVDYFAEKLVEDFNLQVENCR PLIHQVTHSVREQLSDFYPQIFIEEPPLDAHLPYSAYKNDEMRVLIKLNITIGQQTLM DQFEWELNDPLNCPEEFAQSMTRELSLAGEFTTAIAHSIREQCQLFSKSLYITGHAFD GRPIEDADLVDALLPSPVPSSFRPFQSTKDFTPYLWELSETELDKAELSISREQRRQK RSTNRRGGPALPDLKDRQRTIRSLIISSVIPGAASTLEESRIFKLSRASRRSGRVGAG QRDGLDESDESESEDSAPNSPAIPAHLLQGTARTRGMRGAASAAQAAMRASLNAFGAA ARSATPESASMHHHETRTSARRRDYREDSDDDLPPEKLIVKLKISRYRLKDLLRTMRL KERNAGSPLPGAHQRSLSSTPAHGSMPPPPSTSQIPTNQQAGGNRLTQEQYGVVDAPY PDSDAHPAPLPPPWLVQSLSQLNRSHPHSRFEGTMRRTAVDPVTNTPYPSATDLTGKT VRYKYFPRIRCLDCPGKQYNPGPGMGVEAFEVHLKNSRHKENVENRLRGGGGGVSGMG MMGRMG EPUS_05216 MRRVVVTGLGAVTPLGVGIRRTWKRLIEGDCGIEKIPSFGERFA ALSSQVAGLVPVDEKTEGAWKASDLLKQSDIARAAKYAQYGLAAAEEALTDAGWRPTK EEDLEATGVCLGSGIGNLEELFETSVAFRKGKTRKIHPHFIPRLLINLGAGHISMRYG FKGPNHTATTACTTGAHSIGDASRFIAHGDASVMVAGGAEACIHPLAIGGFCRSRSLA TAFNDKPHSASRPFDRDRAGFVIGEGAGVVILEELNHARDRGAEIYAEVVGYGTSADA HHVTAPLPDGGGALRAMKMALRHAGAKPEDVDYINAHATSTKLGDLAENKAIEALMAE SGKADSHVNVSSTKGALGHLLGAAGAVEAMFTVLAIKEAQGLAENLTNYTWVGFQGIL PPTLNLDNQTEDFKFNYVPHKAQQRKVQVALSNSFGFGGTNASLCFRALS EPUS_05217 MPGKNLCLLSLDGGGIRGLSSLHILRELMNKIEPNRRLKPCEYF DMIGGTSTGGLMAIMLGRLRMDIDECINAYMELSNRIFTKKKTPLNIKGKIQGRFDTK ALEEGIKQIVRDITGSEDTLLRDDPNAPCKVFVCATRRDATATMQFTSYKSHSDADLL ETTKIWEAARATSAAPSFFDPIKIGRFREEFGDGGTGANNPVRILWNQAQYCLNQGDP VERNLRCLVSIGTGIPSLEPFGDQLIQVAKTLQNIATETERTPKSFREEHAELAKQNR YFRFNVLRGLEKIALEDATQTAAIMAATREYVGNVEVAERMWLCGRNVKEARQKGAFN VPFVLEGIPVIDNFVPRDGDLQKLKDALLPRPGSGSQPQRKYQKQFSAILWLNGSTKN QVLQSLAGGARRLSNEVPEARSFLPSGSGADIEVVVEGLLRWLSQAGNNRWLLIFDNV DRDSSAELTDKDQDAFDVTKYFPLADHGSILITTRLSKLRGHGMGPKSHHLSQVNEQQ ALQILNNCLERPLEGLIRIVRRLGGIPLALNHAGSFLRQTGCTVPEYLDSYNKQWGEL FGHDKTSIIYKSIESAWTMSFGYVKQKDERAAKLLLLWAHLDNTNVSYQLLASSTTHT IYEHLIPDWFHACMKDILAFLNIIKILIDYSLIEATIGSRSYSMHPLLHDWCYHFGAK KQDEFALLASLTLGSAQDALQGDLTKGAIRSGKLLLPHYDRLLRQTGDRLADRLNDDA TLEAYCCSLLSCASYYVYHAQIEKAERLSVYAHNAVKKSPNSYNEWKVRLARALYRVY LCSGKLKEAEEMILQNLEGKRLSNSCDPEIFDTFHDLLRLYRRQKRWKKAEEICQQIL EVSTKDSSKLHRARWDALNHLGNLYQSTDRLPEAEVAYSRALEEEMEHGADSDVIMLT MMDLAIVHLCMNHLTLAEQMYKSVLNSRATRPNKNYILRTVAAERLACIYMVMGRYGE AETVFLRAKNGYTEWLGPSAQRTLDAARALQKINARRAESARASPRSHAIP EPUS_05218 MDSGTKTLVTFLLHQPSTKFIRLQFVDLSGILRTRIITTQHCLD MIAKGQHATVGGKSMLFSVTDKTSSIVPSVGLCELHPDWRSLRVCGYAPGHAVVMCCV YDKYFEEEPFHLCPRRKLADFVVECEVSQSKKFLVGFEVEFVLLDPSGEPPRTVDPIN AWSVTSGLRGDTLELMEECVEALQASGISVLQFHTVEPNQLQIATGPLSPLDAIDALM HANECIKHVSVKHGLRATMAPKPLREDLHTATHAHVSIHPPSGQENFLAGILNSLQTL CAFGLPSYDSYSRVTELRNAAGARVAWGTENRYVPIRAIEKARWELRYLDTTANFYLA LLASLSAGMQGLKEKMPLLWHDCQQNPSQLTESERRELGIVKQLPKSMRDTIEMLKES KSLDEVLGETLKSNYIAMKEIDESDMRSWSEDRRRELFTALF EPUS_05219 MSSTPTSGPNHETAKLNYFKWTSLFLTEKPYQILMDTPDGCPSS NFEFEAAPAQTIQDLRGRESEYSLDKNGFAVRRHLLDRLRMEDWTRETVERLYFQEVD RILREEVEDVVECVIFDWRLRSSDSVDSGEALDLSDLAQYMRPIETVHIGEFHDLSCL D EPUS_05220 MAILAINGTGASTNILPESPQRRAQRKDRNDFTFRGLLAGLLIG VLICFCNTYFGLQTGWGSGMSMPSSLIGFALFKSISKYLKAPFQPVENVLVQTVAGAV GTMSLGCGFVGFIPAMEFLLKPAEGAPIGLGVGRLIVWSLGICFFGSVFTVILRKQVI IREKLRFPSGTATALLIKVLHGKVNEPKRHEHSMVPDEDETHGLLRNDELGRRDFTTH ELSGAHEIADYSMVQEPAAPPNDLNDHLRDRYVQLLIQAFNLSAVSTLFSYFVPQIRD IPIFGTSLAKDWLWALNPSPAYLGQGAIMGLETSFHLLLGAIFGWGVLSPLARNKGWA PGSIDDWETGSKGWIMWISLAVMLGDSLTNLGWLVLRPLVRHGPEWLRSYKTHLRQGG SWHDLILPRKMYHRYTSLAQLESNSPTSDLDTDALPQDLVSNTTISILLPSSLAFCVL CTQLSFGSYISPALSTLATLLSLMATLMGVRALGETDLNPASGIAKLVQLAFALVTRK DDANAVIINSIAGTIAESGAGQGGDMMQDLKTGHLLSASPKAQFYGQVIGSIFGVVIS SIVYRLYVAVYELPSQLFQMPSAYLWIFTARLVTGKGLPPMVWQFGLWTGLISIITTI LRIYLGSHSSAKVRKLQPFVPGGIAVAVGMYTTPSFSISRAIGGFLSRWYMRRFKTGE TTVIVVSSGLILGEGIVSMVNLGLASARVPHL EPUS_05221 MTDSVPTGNTTNPPTYPYASHNPIAYSYASSHPSSYNATTTPAT TASIPPTATSHSNATRVETGKPSQTTSTYPQYAAQPQYAAQPQYAAQPQYAAQPQYAT QLQYAAPHSPHAAQNLQHGPDFQQFGTPHPQHVTQSPQHPQYVVQNLRVRYIRSRVLE DYINARLGEFGEGWSKTVHLYAEWVTGESRWLIYQFVIQTIHDCHCIRVLRRLTQVGL ILFLCVRLLEKGLLPE EPUS_05222 MASENEFESGPGTQVTFSNSLTASDQPGTSHFTGDPLLPPQAQT SSRNGDSLATSKAGSMPPPAQPFQTLRQRNDNRPPFRVVSDAHSSKTNGESSGNDSKC IQDGVYGGTADTGSTTWTESTSVPRRNLGFLQITSLMLNATIGSGIFNTPGYVLALTR SKTISMVLWAVGGVYTGLSMLVFLEFGTALPFSGGPLIYLDEVFPRPKLMATVMFSCF WILLAGTISSSRTVAQNLLSLANPDMSTSDIPDGQIRFTAVVVQTVVCLLLFFARRLC FLLNTTFAFYKIVLLLFIFIAGMKASGEREHVGFEDFDREYPGYNGVDALTAMIYIIF SYQGWDNANYITGEIRDYKKTLRNASITACATLTCLYMLATLGFCAVADYATLTNSNG QNSSALQFAESLFGTSTGMKVAITLSTFSNQIFATYTSAKVKQAIAWQRIIPFYRFFG RQDPYFDSPGGALFLHWLFTILPIACFNQRSDARAFYAGLYSYGYQIMLLFLGIGLLR LKSKMRALHPGWNLYLRNPFLLYPISLIFVAVNIVTLVVTALPRDAGMTPRFYWAVAV IGSMGFGLVYWAVLRSLQIPVLGRREEGEERVREGERLGGTENGRARRSTLGKWVGVE LSVYEGDEEVPDDMRFLMYEAGLDGSRRRVRYTISGPAEKCKTWYSKSHDWIFKYLGY E EPUS_05223 MKSVSNDDVEVYPEWTILPLVNETQLGYPTKTGGIVDEGNFASV FAFEILDEYNEFTEFPGLRRFVRKELRADTDPGRFRAEYENLLYVKRLQDDHLVKIVK AYKLGKHYNFIFPREMTNLRLYLRGQQSHSCLRSESIVGHPLWRQMLGVARGLNKVLN YEEPHATHEASMIGYHCDLKPANILVRESGTLLISDFGQAIFKKVAGTNSSNVFGVGG TEAYAPPEIDNAELKLNRKYDIWSLGCILVEVCMFVVGGSGGVGKLDCARLTEIPGRR NRDDRFFRHNSMTNSYELKPEVMHLIREVPDLVQGSLERDFLNEILLVALQMLHVEVK SRWTSMQVCINLARILDRFQSIPLAEPVLPNLSVKPPWSGVEVGRELTERLQSINYNV KGFWDSGPVRFTQVGMLLHMQVWDRKAWTENSLGQRSQLRLVLRFALRNPPSHYNSDA QFYLSPGRVGQFSTNNSADRLLLQEILLGFEVVKSMKLEAGQVEVKHRVPMLKKFQRK SSGESGGWKYDLEVDASCIQLWKESSCSNMTSMISPASSSRKQSPRVLRVGPRPRRIV VFYEHSVLVIRLAKNFRIQPPNLAGGAATSLGLVLTDRSRDPSFRVSVFKKEYKEPLP SFPLHREVFEAEEVENMVECTSLTMNFHSVDDAKSFYSAYRKLKRDWAEDLKHFESVQ KRIGPEFGYGL EPUS_05224 MYLPLSVLHLAAFISQVSAKSLYDNPEQDPLPPSQESTLEELHK KWDFEWGFTGISTFAHLKHVKCLTSPHQPFDIGIIGCPFDTAVSYRPGARFGPRAIRA ASSRQTSFRGFNHRAALNPYTTPFTIFDCGDIPVTPFDNNLALRQMSEAYRELGSRAA AINPKPKPQPQPHPSSTSSSSNAITQQPWSRPKLLTLGGDHSLSLPALRALSKLYGSP ISILHFDAHLDTWHPYKYPSSWSPPNSNPQGDLSDPASFNHGSMFHLASLEGLIHNST SVHGGLRTRLSGSDFSDYADDDQAGFLRIHSDDIDDLGINRIVEMIMQRIGTENPVYL SVDIDVLDPGLAPGTGTPEPGGWTSRELIRILRGVERLNVVGADVVEVAPMYDAGGGE ATALAAAQVVFEILTSMVKRGIGEMELGRGSGSGSGGTRGEEQGTKRDEL EPUS_05225 MHLISSLKEWWNELKVWKRVALLVSVAALIFTILALYATFTANH LAERSIELQQWSNNVSWFSYLAALEGNRISLMQFCVNNSAAVGCENVVTWSTKRAYLN TSLVPNLYPPDPLNTSAGATSEDALIVPKTLLATKAGKSFVAGSFIGSFSLISAIVFA EPUS_05226 MADFSADKPCFYFQRGECKYGDRCRLSHRPNPTSRAPYRQPCPY LRTPRGCSKGQHCKFSHETVADKNDARTQAPRSTARSRSRSEWETGFREWTFLIQRQH TPARIADIERFFKLGWNLVSKEHTETGQQIIKKLATDEGLAMVKALVDEQVAGFEVER TMMRVFKESTLPFFRTITSPNILSSLILETPLDTICGFLFGPNGRRAIKLFKATTSAL VVLARNETAEQEGLTLIAVAASLVILERIVELNQSAQVITELTSIVNDLSTSIPKTAL QAGDRSLVRIRQRLGLGTAMPMSGSRLAEHKSHRSVFNLGQDLPGSLSKLGPRHDNDH ADIFEIKILPTTEEIQSHRQEYLPLNDSTQLHLPGLAGLLDRQFRLLREDTVGQLRDA VQIEYKRLSKGPSAPPPPQRQTNGVRNIVYHKVVLLRVEFDRNKGLQVVAEFDQPAVL AKKGSKEREDWWMNSKHLQIDAFVCLVSSTGRAIFFSVCDPTPRPRPERRNSEEEQKS RVADHSRASNERPSLFTHADRATLMLSMVEDNSEDITWINSHLGKAHTVQQSLVEFPG ILLASFRPTLQALQKMSRTLDLPFSQFIAPDEQSAEDVDVPPPTYSRRPGFTFNLETL TGGEPLSLIPGQPFDDRVLREKSTLDKAQQMSTINSLRSCLALVQGPPGTGKSHLGVT LIKALLKNRDAAELGPIICVCYTNHALDQLLENLIKDGVEQVIRLGSRSKSELVQKLN LHHVSKDVMPTKFERYEKYKLYEELDTVLNEIAELMTCLRDPARWSNVKDYLEAHHED HFSRLFGRGVDEHGFQEIRGKKWNVLHSWLKGAPKRITSARPISELVKVDLMEMSGIE RSALHKYWIQRSTMELNQRLLHVLESYRHLRSSLQKYHQEIDLRCLLGAHVIGVTTTG LARQLEVLHRLRAKVVVVEEAGEVLEAHTLTALLPSVEHAILIGDHEQLRPQINNYDF QHDSDKGAKFSLDISLFERLVHPQPGHPKLPHNSLNVQRRMHPSIAELVKSTLYPKLQ DHPTVFDHPKVDGMRKRLFWLDHNHMEDAASANSAYSLSKTNAWEVEMVAALVSHLVR QGTYRNEDIAVLTPYLGQLQKLKQHLGSSFAIVVDDRDLDKLEAEGVEDDNVQGGGVV QGLVRKTTLLNALRIATVDNFQGEEAKIVIISLVRSNAERRCGFLKTSNRINVLLSRA RHGMYIIGNTQTARPVPMWNKVITMLENGGNVGQSLALCCPRHKETPIEVSKPDDFSV FSPEETRSCQKCADKSVKNMMVDYITWSTYSEIDLDKNPCIIPTCGHILTQESMDALM EMPKYYTFSEAPGAENSIIALKSSSVPFSTSELKSCPLCRLPLRNINRYGRIVRRAWI DEATKKFIVWANSRFVPLASSIEQAEEKFRAPVTERKTPKHTLRGQTQLSSAVDERSL ESIRLIGSRDEQIRVVFKIFHNDARYKDIFPLRNAIRKFLHEVDEREQPISRIHDLVR DARKHHPGSTEIATDLPSVLQVRNRLLATILLLRCDYAILLDFITQGVPMLAASPNNP PGLHLNLASNRQDCERLIQESRTRQQPSHEVEGLLYWARFVALERRSSGSPSSSRGPP AGNKTTPTDMTALVNLAAANLHRARTICTTHSSQTAGMLAEIVDVEKMLRDPTFYAPV TNSEKAAVYAAMAQSFSGTGHWYYCANGHPFTVGECGMPVETSRCPQCGAPVGGRNHV AVAGVRRAVDLEEQFGRGRGR EPUS_05227 MATAAVFGCTGAVGSHILSTLLDSDAFTSIKTISRRLPKSQSPK LEAHEEADTSKWGGMMSKLRPKPSTVFNAVGTSRAAAGGLQNQWKIDHDLCIENARAA KEAGVKTYVFISSAGTRGMLSGYMPYSKMKVGVEDAIKELDFEQAIILRPGMILGERE TPKAPFFEKLVGNLHKISPSFQDSIGQDQKIIGRAAVEAARLAQEGKAPSKYWVLEQA DVVKYGRDEWRE EPUS_05228 MLFSTLAVAALGASTTLATPLLGSLSARNKNLPYKDGSLSHLNG RDVNNAESEQLPTCRSDVLYDLLTNTTNNLDTRAFCSIYIAVSSIVGVFATTTITGSV VMTKTAAINTVTATATPSNATNSAGPCSYSNTTAVSATSGLVNTTVTAVSPPLERQDA PTPPAFLAPYDPLRVSSVCSCLVTPSTIVQTWTSFVSVAWTIVTSIQSVTATVALQTP AGVSQPPDTTVVTTDSTPPTPTGSTGSITTSTLAPDTPAGSTDVSTVNITASPTNATE ERVTVIPILDSTVGASFTMTIPDITADATATISVFPTRSTKSRSTLSIFLSHINATGT SFLPPDITATWATASVVHTNTATPGVPAPTGTNPFNSTTYCGQASVRGNGTFQARYTV RCGYSYTNLDPLRVVSRSSYAACVKECDNDSRCYAFSFKLSEVAENCYIYNLYPMPSG HSDVLFNSGAYVLGSNNGMKR EPUS_05229 MPDSNELIARIHGVKANHQLAQEFRHEVANLLGRHQTSFPGAQP VSFASRHLSELRHQDYYVCEKTDGIRYLMYCTRDGDRDIHYLIDRKNDYYYVPGLHFP KQDDKTFASFHSETIFDGELVEDTYPDGHSELKFLVFDCLVLDSDLLTTKPLDKRLAR FKEWVLKPYKELWKAFPEELQHRPFAVEDKATQFSYSLEYMFKSIIPSVKQLHGNDGL IFTCKNTPYKTGTDEHILKWKPPHENTIDFLLHITWPLQNPDPDDPDRTPHEDFLAFP LSLDLFSYTGNEVNYEHYSTLFLTPQEWEDLKSLQRPLQDCIVECYLEPATAAEPAAA SDPDLHPPENDGEHANHVSTVRSVMESIEDHVTEKDLLDAAGGIRDAWKKRQAEEERA RRKK EPUS_05230 MLYCVNLSTTSTRGTEALLKDLWTASSVLREFHGTNGSPLPSSV PSYKPAMASSTGDTGVTSPPIIQDEHPPSISLSSQQRLLLRSEQFHSRIPYLRRFPLP AIGIISLLVLINLLAWLIAGVVLHFHTALVSTTALSYTLGLRHALDADHISAIDLMTR RLIATGQRPVTVGTFFSLGHSTVVAITSIVVAGTATAVSGRFDNLGSVGGIIGSSVSA AFLILLGIMNAYILYKLVVQMRKVLNLSEGEEEQGWKVEGGGCLYRVLKGMFKLIDRP WKMYPLGVLFGMGFDTSSEIALLGISAIQAAKGTSIWLIMLFPVMFTAGMCLVDTIDG ALMLTIYILPMDDVKKNDETTVTGGDGNSRDEGATNQANARAKDPLTFLYYSIVLTAL TVIVALVVGIIQLLTLILNVAEPSGRFWDGVESAGEHYELIGGAICASFVVFGLLSVL LYKPWRRRVERNRQARRQPQQIGEDAEETEATAASGGPFDVMSEPTHHDGRRLDTRAA HAVNNPKATAQTVQHIL EPUS_05231 MSSPASSRKRGQSSRNSVSSTPARPTSTPKRSRQHTGSANPTPS PLFVQSSPSNGQRQNARAMSSNVNVSSPVRFSSDAGDGETTPRANRAAVGDSSPIRYV SSSSPPRNGNNIRSDLPSSSSGLFVRSDQTTDSSGIPRISSRRGDIHSDAFASTPARR RRIFVGEDGMPVADSGDPRSEATFSNLNPDTSEADALGGESTRVIWGTNISIQDSMSS FKNFLCNYAKKYRMWTDGASEAETNAMGPVADEKEYIRMLNEMRLLGVCGLNLDVKNL RAYPPTLKLFHQLQAYPQEIIPLMDQTIKDVMLELAVKEKQDMRREVAAARVRIPRAR DGSSLAPLPSSDLGLPAGAPSAPNTDGPDPVEDVEMRTYKVLPFGLDKCVNMRDLDPS DMDKLISVKGLVIRATAIIPDMKEAFFRCAVCNHTVFVSIDRGKIAEPTICPREACKS RDSMQIQHNRCAFADKQVIKLQETPDSVPDGQTPHSVSLCAYDELVDVCKAGDRIEVT GIFRCNPVRINPRQRTIKSLFKTYVDVLHIQKVDKRKLGIDVSTVEQELSEQVAGDVE AARKVSAEEEAKIKETAARADVYELLARSLAPSIYEMDDVKKGILLQLFGGTNKSFEK GGSPKYRGDINVLLCGDPSTSKSQLLQYVHKIAPRGVYTSGKGSSAVGLTAYVTRDPE SRQLVLESGALVLSDGGVCCIDEFDKMNDSTRSVLHEVMEQQTVSIAKAGIITTLNAR TSILASANPIGSKYNVNLPVPQNIDLPPTLLSRFDLVYLVLDRIDEVNDRRLAKHLVS MYLEDTPENASSQEIMPIEFLTSYISYARNNCHPTLTPNASSALVSAYVSMRKLGEDI RSQDRRITATTRQLESMIRLSEAHAKMRLSPTVDEDDVHEAVRLIKSAIKASATDART GLIDMGLLSEGGGSLERKRRDDLKRAVLECLDSVDTVSSGGSVRYPDLFRRVGEGASV EVEGLEFGEAIRGLEQEGKVQVLGEGARRMVRRVTGVV EPUS_05232 MHRRSPSASKPAHSIPSAEQSLITNGDAAGNSPGELSRFDPYGS LRQAPPPINTSLIPTGALMSPPESLQNSSDEEDGGQDRGRELENLAELQAAIRIIEQR KEGSPDGKEDDSKKARMTLDLIIPDSEKSGQQKRSSSESQRPPLSKEARKISHSRSST DSNIVFDASHQTQSAMIMQYSRPESDEDDEDSDETGRRSKPPMVRKKSGELVRPALRP ASAKRRPSSMPGTPTYSKAVHFDAHLEHVRHFLQVDKPLAVSAGSSPVETYESEIEFP FGSEESGRSRGPSDEWEIRLSNFPAESEERKHLPVRVERIFLSLDNKNLIGVVAVQNL AFHKRVTARFTFDYWKTTSEVTADYNNDVRRKQVNDGCDRFNFTIKLTDQANLENKTM FFCIRYDVNGQQYWDNNGSINYQVEFSKRAKNHGQNGVPGLGARPLNALPRSRPSLPM SAGSPRPRSMPHSFDDFASGFNFNQSPTAMIGDSSIKLKGPRSRAEIVPDAPTRRPKA NGQAFGNRYDFGASLNAAIQNASALLGDQSGFAKNPATEQSRPEVSESKSKRSGTAQQ QPPSIVVKQPTGGMATNTSVNGLGPAKPAALVSEKPSLQSQSYQELVDKYCFFGSAKT SPQLTRSTLGRVDGPKDDDTGSISDVSVSSGSCSPTDNTYSRYGAQELPQRSISPSLS RSSSPIPKTGSAFGERTASPVSFGYPYHQSMNQGLFDTPTATAIQS EPUS_05233 MSYSQSALEAPMLGTSETSTPSAAAAAAATTTSRPSSTASMGNS NRTSTPSTTNGLPAPQSQRQSKHTGLPIGSSSTHEHHPSHLMANGADIAATTTASSTT TANMGQFEIIDLCSDSDGEDAKVPPKKKAKLDDGIAAPQRDYGMEKSWIGGISNRTGT GTGEEVVCGVTWKRSVDMAEGEEDAKDERPDHAPSTAPGEMPPENRKGHLIHNNNPTG PAAAATSNNEIIIEIKHPNPFAVATQRVPKSSDTINPVVTRTETVQTQTGMKKRKMVG PFVLEDADDGDGDGDATMRSPGDEGYGSFCSGAVKPDSHDGGNILSSGSGSSHSPPDA MDGFARQSKVGGGMELFQRRRQLEDKCAETSRRMREEALKKRNAEMQEAQKSGLSQGF LEDSLNVRPNAESYQSDIQIGKETNSTSQGTCSAHERQSNQDEFDDDDDDFFADDTFS SENIEAQLDLAQAFANNNGNLPSPKDLTRSYRPSTLVSSTEKADRAAEADSSPSNARD PPLQDSTQHPERQLFNGGAGKTATSGIEHYSQEQAEKYWGRVNKEERRKEKEKWPEKM EMGRGHEEVVASPCTATKVIGGAPGKDEARLLAAKESLTTTKQPLRAAPNVSGVLAQL AKNTRGTNETVGELADPGEFHQGRGNARHPSPTAHARQRNHLTIDEEVWTKDGTLRER KRDIENLMSSFTEIARCWCLVFYTLSPHFQESMKSQVHELKRYAGPILRGYTFRKNSG RRITEIRKNVERRMGKEREAGRLIGNVTQREIDSKLVELMGREHFHHGQSLLNKVREE WEDHKVNRKHTGHRKRPNSQSNSNASARSKPMVVSNMPYTGMDASRTGSEESDPYEGF NLNPKKRIAWQREDERHKRMEEALARYEKVAEPDGRTENSKRVRFAEQSVWDNEYDHT QSSESEESDEDEDGTAPSFGRGEDRRPEIEPRVGNAEPVRRNTGAKGLFSQPQSVDEK VTRSSQHTPSRATARKSLPAQSGNMKFTGEQQSPEPATKREVGGKSVASFNQWGPQET MLTNVNCSDIEESPQDADEVQSETEGSYTDDGDMADLHNDVYGTQYDIVADYEDFDGY EDAHSVPLGKHIDPRAAHRQMTRVMLNTVEDALHRVRGTGRIIWDIENSEVMVHSIIL PTGGECRIRKVKSWVSAANWPGKYRARAVPVPQVYYIVHETKKTSLRPPPPNGEEIQD EELFGPEDFEDPQALVEKEQPMGFTNRESANSSALERLIVFDKRHNGAEGAEDAKDIL ETENTTDMAEYMEQIEHDKLCFERERRVWIETEDGRKGKEEIKVWVEEMFVDMHHV EPUS_05234 MSVPLAAASTSPQPGLLDLERELICSICTETLYQPLTLIDCLHT FCGSCLKEWFTFEYNKVRSSSSSSSSSRSNTYTCPTCRAPVKDARHNATVTTLLEMFL AANPERRKSEADKADIAKSYEPGENILPKVEHGGGRRSRRREVEDRAEEEAERRQLEE ARERSIRETRGTAAQLGTRLAAPASEGTSSRSRSRESREQRRRDDERREERRERRRRA EAAEAQLREREATSSTAATAPVADLTTENLAAARLSPPTTSPRHPAAVEARHRERRIV PQTSLRSLMSSSESGAGTGDSLEAEQILQQIIDDGLLEGFDLHNLSPAQEDALSERIA EAYRQRQRQRGDQSSQLSPRDATRRISGRISDRTRVRERQQEAERRHHQRSHSAHGSS TTESRRVHVSEDRRPPASRARFQDADFASTAQRRRASENERRRTSPPTTRSDRTNPED VVRNATRSATDLSDRPQASDEARANRPRHPSDTRRTSTEPEHVPGVSERRQRVAQGDN ARSSTSDLSSSKRNGPLSSEAGTIPTSATVTTSPRSRDAFAATGSPLISHGNPSQFTA VPPQTASHAAPRAKPDLPPRSAPHPSAPSISCANCSRPSIQYDLHKHCSQCSSNICLR CYRTVPSSILGCRTDSIAESAPNVSAPTSPSEKMQAHAFSSRKYLRPDDTEQFVQNKS PKPAVKNLLSSDPASRLQEGKFCDLCQSFANICYWSCDTCNKGDWGFCNACINSHHCC THPLLPLAHKSYAPKTPTLQQGPNPDSGVITLTPSTLHADVLPTTTSTSITRASSKSR PSTSNSSTPASTAKDPDYLTLTFTTHCDICSYPIPPSHTRFHCPSHPSSPTNPTNPSK FPSIGDYDICTTCYTSLIKQRKLRREDGPNGWRKCPQGHRMIVIGFEDAPEGQKRIVV NDLVGGHSLRDADIAAAGNAPSAAVKSGQWSWVEDVEGATGTVTKRDSRASRIRYSNT STSSSSGGAGTGSGNSKFPPDGGHGMRAVAGYPWFPEEGESGAGELMFPRWAEIREAE DVNGEWWAGVYAGFQGVFPGVCVRAI EPUS_05235 MSSLLKAFNQPFDVLWRTALVRLPRAVPDAVLRYTSPEGPHPFV LNLPSRGSYKIPVYVFLPSLTYDVTERADPVPVMIDFHGGGFVLGSCLEQAPFCAQMS RELGAVVLSVDYRLGPVDKFPAALEDAEDVLHAVLHEEKPGYHELRKAITQNLVESYN TKQGEEWKGESASSSEPSPMVGSVNLDTDKIALSGFSSGGNLALELALDIARNPPIVP TPWPSPFSREHPHPIPLLLFYPSLDSRLLPSQRTRPPGLPATKRFWSELSDTLAPTYL SRDEASHPRASPGLAEIIDGGLHEQARILLILPELDSLAEQSETWVKKLHENGRGQHL RVERYKGMKHGWTQMPVSWLGLAERQTRIESFEIARDFVKKVWKTGEVS EPUS_05236 MQGVNIWKNLDECAFYENTIILELLDRSQEESPTNIVKAERRSN PNNTNEVTEWFCDFLDDFALIAAGPGGASNVTAACLEREELPTRTLTVRVAKNESFKP SEIQYLEGIMTIVNKVRNGDMQIQNAQDECSEAVLRHCGARVKRYITSLKEHLDSIGM EFLTRNLMPHPVYRTSNPHRESKEDEGRLGAYCVDTHLDYMRLSYERWKDMVDLVYRI CSAEDQQSVHSLAGLTYQVRQSSSFRYLLESTVHNHSNVVAKVVERIGKISKFYRSAV TLVRVAAKHCQDIDRFRFRTLASQKRAINVLSVSNLNNLISRVPPASRGRLQTQAGNA QRLLRRWRHYVLHAEMQLLLFYETQPEIQLADNYIGISKRSCYLCATFIRFHGKFIME GVHQQLYCLWTIPSTIAFRDTMRENHFLTALSNLCENVNSKVKAISARHYSQYPFHGE SIANFSRDSLLSTVKTARTLISGIPLAPSTVQNTLDTLLRNGPAAVDLQRSSHQDNEE RSNTTADVPPSEEQTVETRPDKIPSTETKLNDDNVFEEYAARQFEKFPGMPNRVEHSL QTSTLRTENKSFRCSESMGDLQLSIDAVQPSQVSESDLSQVIVEKSSKENDGSVHKRK LSNM EPUS_05237 MALSARRHGQSPSAEIQPYHARAAVEWGQFREGCILWIRPEASV HEFDPSLTAGLQMDKWVYDHPCVVMSFHQHAVKRQMIVCVAPDGLNQNLPIAPINPIN GIQLSLRGGRKLDRLSYVKKVRFEVDVRLLALWAGGARADTLRLTKGSWKILLREITA AVVPWVPVPGTRLAPAAPRMVAAVEVEIPQIAAGGVSPGDLEAQPLLERSSRRSPGQV LGGDDTMVGESYGMVWWVWLGVIEPPLKLVLCVPTLVVLIVVVIVKVLLAPVCIALLK LFRVVCKASGGGPVLTCNNFGKLLFGALTGEDLARVVDRMKVNE EPUS_05238 MNSDAIDHHRLAIKRLHEAVERELEAFDREIIDIEREAHRVTRQ DLETEKQACNREREEHRVTRQDLETERQARSRERGAHITSQRVLEREHKQSLDQVRAA HNSAQEALEAEKQAHHATKETLRDTVQALKASRTALDREQERHNGTQQALGQQRQTTT EERKRLDQEIQAHEGILAQKRRAHEGILAQERRAHEDILAQERQSHEDILAQERQAHE GILAQERQTHEGIIAQERQRHNETRQALNNAQRALEETRQVLESEKQQLDHERREHIA SREALTRERQAVIDEIQARVSMYEALKRDLAGKLVYRKRNCTLEVVKLDRYFQPIQR EPUS_09498 MEQAFRESNALQRQRAKTAYAALRQGDQLFDAFWADFTRLALQI GKSTQDQYKNLQEKMFAELLRELSSLRPSTRRLERGAPPAPARNTPDKTVYPSPLALT AGLLQRSPSPGQATRLKLKRVSFKKDDTVTCFYCNKPGHLRPDCPDLHVNKIEEAESD FGDESSGPDEKPAESENEMP EPUS_08781 MYQTYTDDIPLELTSSMYSPLAANDAENDQPAHQEAVFLDGKTT RPIRRPLLTVSWAVGALGAASLASITFVINTVVLIWALKKFKFEHGVAKVFAGDCHQA ETINTLIHLAVNALSTTLLSGSNYCMQILCAPTRKEIDEAHAKNRWLDIGVPSMRNLE TVAARKVVIWWLLGLSSIPLHLMYNSVFFSTIAANEYDAVFAYESFIEGGPQGLYSKA QFANIEDIQAQAKTWDRLEPIACINSYATEFLSTRRNLVAVIQPKTSPDGTPLRSMSG NGSVERVISHTFRFDNQKPGTFDFYNWICDAPDGNTRYGLGASEMSSSYPCSLKLSKI RTHSDRWHSNGWDIKYCLSEPVKGECSLNFSLSIVVIVIICNFGKSLLMFLVAFGIRD TPLITIGDAVDSFLNSNDPTTDEMCLISKDAIKTKECEHYHRGLIDFNEEAFRGKKGY SLSIGGKADPIKYRPNVKRWFNAASGPRWWGCALLFYFCLVTVSILFGYGILMANDKN TRDFSFKDLIDMGIGAVHPTTLISGLRLHSIGGTTLMASVLMTNVSQPILSFFYLLSN GIFTSMLLADEWSHFAHERKALRLSKPKLGQRSTYFLQLPYRYAIPLLVLSGVLHWSV SQSIFLAQVASFDKTGKLVDPAAISTCGYSPIAILITIVLGCCLAASMTLLGLRRYKP GIPLAGSCSAAISAACHGRSDVDTTAPLQWGVTSKEGEEIGHCAFSDHDVHLPTEGAL YAGLRKRI EPUS_08782 MLIPIFKNPPSIPTLAIAQIVCFLRHTRLIIDWHNFGYSILGLK LGSSHPMVKTSKWYEHMFSQGATAHFTVTNAMARVIRDEFHLRAPIIPLHDRPANHFR PIDPQDRAASLGSVLPLSEASDPILSGKTRLLVSSTSWTPDEDFSILLEALCIYTTKA LTTHPHLPEILAIITGKGPQKAAYVSRIEQLEAEGALEMTRIRTAWLSIQDYATLLAC ADLGVSLHTSSSGVDLPMKVVDMFGAGLPVVGWDQFEAWPELVTEGVNGKGFSSVEGL VENLTELFGDDGTSLAQLKDGALQESGKRWDDEWDAVAGKLLELT EPUS_08783 MASGFTGPLISTVESSQHVHSHSRSQSHQCSSQRSARPFTLPRI PSERFDPGSINYDGRPPGHESRQKHGENGIINHVSFAANGSISAPSHQTNGRLTRLSK QEKAAAQDHQPEVTSLPHSYKLPRVEGKAQISAGGMDPNSRPRSYLRRVFFSSIVPLP YILLSYFNPRHTERFSTTTNSNLRESSISQISNDILISLMLTSCILLLLGTFEKCRGY NSPSYNAKPGLSVAGDTKKASTIGLDLWTVLRILRRAVGIAIPYIAALQLGGLAVSVL VLVSISSGLVPRNKEHFNLSHVHGWKNLLAQKTWTLAFSIFLCVTAIHYGSSSGLSAT AGVLAVIVFTFFCSPPYLVEAPHESSLTSPPNSANFTPAVPFTPWNVAEPSYHLSVKI KTSPLIATAEETSLTLLSGALTAGAAGILYLFSEFSALTVESFAILLVVAMLTMWSLV TMETSMFQEALVPLATGLAAAILGNAMTLSKFDTLWQDAILGAMAYMAVQLDATRPQA SLHTHPMSSEKHMQRRDRNISAPTKVLLQSTKRYSLLHGILADKDSRRIFYFMLLNLS FMLVQSTYGVLTGSLGLISDSIHMFFDCFALLVGLCAAVMSKWPPSVKYPYGYGKMDT LAGFGNGIFLMLISIEIIWEAIERLVEGSDVSRTMELLIVSSLGLGVNLVGIMAFEHG HAHGHGGHDHSHGGHSHSHASHAHDHSAPLALPAPSAAKSEQAHHHGGDNMYGIYLHI MADALGSVAVVISTLCVRYFGWSGFDPLASCAIAILIFASAVPLVFSSGKKLLLSLPS DVEYTLRDVLAGVSKIRGVVGYSAPKFWLDDIGKRDSEPGHEHHHHHPHENSHNHHHH HHHHHHLNEHQHAFEHSHSHHHDENNDHSHRSEHENQNQTVLGVIHIIASQHADLDDV RARVSDYLQSKHMDIVVQVERDGDIKCWCGGGQKAG EPUS_08784 MATSTTPIADSSLPSTAKTVIYDLPTRTLHLQPNAPIPTPDPTK GDHLIRVQTTALCARELDWPFLYPDAIFSDNPEKLIIPGYDLGGTVVTSPPGSHFQPG DEIYARTPASRPGNCREYTIARTGEMALKPKSTDWVETACVPLSVLTAWQALFVHGGI EAEGLVERALRGSGGSSKKRVLVTAAAGAVGVWLVQLARIAGCEVVAQIGSLENDKFI RSFGASQTINYKEVSLKQWVEMDGNNEVDIAIDLIGGKTLEECWYCVKDGGTLISIIG PPETRKPDGWEEMREGKKDVKSVFFIMEPIGSQLTEVSKLIDEGQCKPVLDSVWDLEE TEKAFERLNSGNHRGKIVVKIAQ EPUS_08785 MSSAQTMTSIGIFQSPFRNPTNDPEALGSYTSCEGPPARGQTSP VAKIRKHIASTFAPKPPTHTTDFLKPEPDLVSLDWVSEEKLLSARARSAPPTRQDGSD MRAAPSSLDSVSASETMNVKNPTAEDIRKQPKSSRKLRHFSSRATIADAGRAVFGSQV SEASMGIRPSTPTQTRPTTAGGDGGSFPTGDGQPGTKGCHCPCKCVKSGYDNTKLCKC ACSCKNRQCKSRKLPNKILRIFEGHGFCRQRGRCGYAKKGEERRDDEQD EPUS_08786 MAVLLPHRPYITPSDALRSTHLRNTPLHLPTGSTAPHPPSPIAD LLLLSLGLCPLLSLLGLVSILSSLFGPSHALAFDAIPVSPNPTLMRTTVPHMALLRTG ILEDAVLAYGTVVGRWHI EPUS_08787 MRLSKPLLFNTLLILLTAIVPALSLSFHRQSRALLCNNSPSHCT TRYSSVPPQIGSHDSPFIGPLPSQNQNIATSAQLDRGVRMLQAQTHRAPDDPNAIQLC HTDCLLEDAGRLQDWLGEIKTWLEREGNGNEVVTLLLTNGDAISMQAFGEAFKQSEIE KHCFVPGEKEAVVGDLESWPTLSDMIARGKRVVVFMDSGAEPQEGPPYILPEFPYFFE TPFGVTDPAFPSCSIDRPPNTPSADGRMYIVNHFLNVEVAPGIKIPDRLRAGRTNSRA DVAAQVERCKAVHGGRAASFVLLDFVDVGDWHRDVKGEAGSEGGFLEKAKEAACGLLG GFGWGC EPUS_08788 MASSPPAMQDQGRLLEEALGVVRMQSSHMRKCLETPGKLMDALK CGSTLVSELRTPTLGPKQYYELYMAVFDALRHLSEYLRESHPVNHLADLYELVQYAGN IIPRLYLMITVGTVYMAVEDAPVKEIMKDMMEMSRGVQHPIRGLFLRYYLSGQARDYL PTGEGDGPQGNLQDSINFVLTNFVEMNKLWVRWQHQGHSREREQRTQERRELELLVGS NLVRLSQLVDLETYRKTILSPLLEQVVACRDVLAQEYLLEVITKVFPDEYHLHTLDQI LSAIARLNPHVDMKKIVIGLMDRLSSFAQRQAEEDASATERQKAEQEATSRLFEKMRL AKETNPQSPKKVNGTEDADEVNGIKSNEQVSGSNGSVEEPTATEIDDSTPASKTSKPS ILADVKLYEIFYDQVVSLVKTRGLSIQDTMGLLTSLVNLALNIYPDKLEYVDQILSYA SQKTNEYMDSPDLHSPAAQSNMLNLLLAPIRTYYSLFTALVLPNYIPLFSSQTYSTRR AVAGEIARSILRNRVKISTSEQLEGVLSLLKVLIREGIQQPSGYPGLGARQRGGETDE TLEEQGWLARIVHLVQASDNDTQLQLLQQLRKAYDAGNERIRYTSPALITSSWSLARK YKSREHYEDNWSTQSSTLYRFMHQSLTTLYTRVSPSSAELCLRLFISCGQIADQCGFE EFAYEFFAQAFTIYEDSISDSRAQFQAVCIIAGALQSTRGFGKENYDTLITKAALHGS KLLKKPDQCRAVYLASHLWWGIEIPARGEEDAKNLYRDGKRVLECLQRALRVADACMD TSVSVELFVEILNRYVYYFDQQNETVTTKYLNGLIELIHSNLSTNQEGSPATLENPKR HFFRTLEYIKGREYEGVVTEARQ EPUS_08789 MKFNPPFTLLAIFFALHFTDALPLSGSHKERQVAPRKAPYSVVP VDGGQSDGITQPTTQIVTSDSTQTVTEPPRTIPPVTETLLSTTIVTESEAQATTITTI TTTSTPVTTQVSVKEASPSVDISVATVTTIVSTADKVTITLTPSVTPYDNGMWHTTYY KVVEASSSSETSSTKTADQTHSSIIGTDSAAFTGQPSNGTYTLVLRGKNHSTPSSTIN NGTLFTNSTTAALANKPPMPTLPKVSEVVNPTEVPTIDVAKNMVPGFGR EPUS_07592 MAFSPAPRLYFAYGSSLSTSEMKSQCPTSTFHGIAVLRNHRWFV NERGYANIIPSLAGANGTEDVVWGILYTLRPFDEELLDKHEGMPWAYSKADMDVETVS ITEDGRGTRREVVRALVYIDRERIQESYPWPEFVVKMNKGIQEAAERGLPGVWISRVV RSYIADPSTLSATEGQPEPEAGPPVHNGALPAPATRPESDHASQKQESVVEVPLVNGG SQKASSTQTNQLRGLQNSKYAHESLSNTSGASRRRAKEVATTQKNPSGPPARNNKQVE CWWWKVKGSCRFPDNQCAYAHHITGLVSEAPGSQKRGTSLEKTNPPVHLNSVPRREKN PPFKAGTGNENHEHPYGYKKDWSGQQNHGDANPWSGEQQSTADVKPSWGEQAGKTEAN PSWSDQVENLMDSEPEWLVANDRKDVKW EPUS_07593 MGMTRLLRQPFSHPALPSVSFASRTIIVTGANVGLGHAAAAHFV RLGATRVIATCRSESKCAATLAGIEEAAGRKGVVQVWELDYSSYASVQAFCARADAEL DRLDAVVLNAGVATRIYEVAEEDESSLTVNVVSTTLLAALLLPVLRRSADRFSSENNL TVISSEVHQWAKFPERDEANIFGSMSDPKTKTMAERYPASKLLQVFAIREIAARTHDS TPFVTVNMVSPGLNKTSLTRSTKGLEAAVIKIVNALLAWEPDVGARTLVHGVVAGRAS HGVLLDHCELENDALAPWIETDEGRRLQRKVWAELERKLEAIRPGCVAAMEGKEA EPUS_07594 MLRRLTGSVLRYDPEMHGHKLDRPAYCYQQIPTKNGDTLTTRML TLHPGNRQDPLRGHLDILTIGAGGSSVDYEALSYHWGSPALSAAIYMGDEEAELLITE SLKKALVGLRSQTSTRKLWVDAVCIHQKDIDERTNQVRQMHRVYQSAYNVIIWLCRPL DRSRGWDPALAFRLIHRYHELAIRQPDLDVQKLSWSGRISWLRSHGLLPPITHQGVVS DLFHCTWFERAWVVQEISCSRKATIVCDDGSGIDYDQFAIGLKFAVEKQLLAADSPSG FATIDRGYRKPGNWSSALQMYAIKSQDPSTSKGLLEYLQRFAGSQCSDPRDKLFSLYG ISELPAQAELKTYFEASGLIPDYRKDVTEVYTAAARACLTTGSLDILSQPCLRPNRHP GLPSWVADWSAFKGSESLGSLAATGKYNASKDSLASVRLPSLERCEIEAFTFGTIEDV VVDLRLRSIVVNLPYTSQPLHLTFVHGKWTSSLKDWKRKHFGDAGYHTGEGFQDVGWA CFSATRLAYESQWFRTRLQTRNYRTDDEVRDVIRRYIEVVSQANGVATYLKPRRLVKI LMMGIELIRAVRDGPYDEQIARERNILVTDKGYVGIGPFNTSKGDRVVLAKGSKVPLV LRRRTTEAAEELYELVGDAYVHGIMQGEAFDETKCSPVALA EPUS_07595 MKLHTATAFLVAVFARSTLATPIPGALQRRQITELYPDVTSQYN VGTGAIDYDIGVGLISKSPTNGGQDITTLVTFDIPAAWSSYNTCRIVFTSAATSSVSG SGRADAFLSLAPATASTASWPPGNLRDIHVGRLLAVPGAEATWEQSYVGPDIPCAELA GEVYGGELVGVYDTDYITWTPGTDGIKIVVVD EPUS_07596 MRFFIGYTLAASLAVLPHASALPYPFQRPRDGYTNIAIRGVDVL NDLGPQLSPQASIVLPTDAEFDNLTARYSEFDRPTFVAVANPGIEADVAVIVKYANNV NIPFLAVNRGHGTVRTQGRLQHGLEINIGALSEFQISDDGRSVRAQGGVWGENIIPSL WDAGYVTTTGSCGCVGLLGPGLGGGFGRYMGFYGMVSDSFISFNLVLANGTEVTVSQD ENPDLFWAMRGAGHNFGVVTSFVKEIHPRTVDSWYYVSYLFTQDKLEALTEAVNTQND LGRQPRELVTGLIIAYVPQISTTEPLIYMTFQYVGSKEQATALYAPFDALGPVSREEG NAPYPELFARTTSSSADPLCQKGFSHVQYPVGLVRYNVTTQRQIYEHFKEVTTAQPAY FNASVVLETYSVAGVKAIPSDSSAYPHREDNILVATNVQYVPDPALDEGAITFAREMR DIWQAGQPERRLNAYVNYAFGDEPLEQVYGYEPWRLERLREAKREYDPNGRFNFYNPI TY EPUS_07597 MATSHQDDTFKVVIVGGGIAGLTLANALQHAGVDYVLLEAKSEI APSLGASIGIAPNGIRILDQLSCYDAIEGLITPVETVGLHDVNGKELAPRSDMFKLAR VRMNYPVCFLDRQALLKILAEHVCDQSRICLSKRVATIEHFVDHVEVCCTDGTSYNGA VVVGADGVNSTVRREMWRAAEALESGLTSKEDRATLFAEYKCLYGISSPTAGLAIGNF EVTFAQDVSTMVISSKGGRVFWFLFGRLPKVCRAGEIPRFSRSEAEQFARENLDILIT PTGVKFGHIWKNRENCTLVPIEEGDYAHWTWGRFACVGDSIHKMTPNSGAGGNAAIES AAALANAINSLKIAACNPSLEDVSHIMTGYQEERKFRVSETIKSAHQVTRFQALKGVK ERLIAKYVLPVTVELGADSETDGWIGSTMLNYLPPPPRSLCGTMPFNPSQGRGKHESL LRRAIFALPLLAVGIWCFVVILKHLPFGLFTEILESGRISWDHDRSVSVIKTLFHIEF LDQMLRSAALAMVPSALAMDKPSALQMLTFITDTGLVYAIMLVEGARRANLFKPASLP FIFGLLAVNGIGVFFPLYYYLFYVLSPIATFAALDKRLTDRAFTLGVLPVLALSYYTP LFVAYCASSLELRYRALWMWHLFPVWTVVGQHVLAWTVMPKTIVTDRMQNPKRDLWAI RITIGSLTALSAAVWLSTLYQALRFGFSLSALFFPNDDIHTYLGGVRNMLVWDQLCFS LSSLLWMLYLFSDLKRAGMVRRSWSFVLGVLIGASVFGGTGAAVGVAWLWREEVLASR RHKDAVVKAKGS EPUS_07598 MPSPLSFFVYGIVLLVAAFLSRRRSNLKSGRIGIDPGIFGFRLW AAKQEFQASGHRLLDRGYHECKDENFWVQTPDMERLIVSPKFLPELRVIPEGKLSHSA SLVERLLGQYNGMDELIKSRQHSDVCRVQLTQKLPYFVPDMNEELRLAMPEFLKDVNT EKSTSIPTYAFAYTMVLSVASRSFVSLPTSRDPQWLKASTDWQMTIWQVAAVLRPYPA WLRPILRPFLAPKSHLDRILKSAHDVLYPLIDERLRSEDKHLDLLQFLILTSKSIDKP ALTLRLLGLMTAALHTSSMVTTQALYEMCAKTEYIADLRAEALEALRDSEFWDLARLK KLRKLDSFLKETMRLNQPDYLGFHRKVLQPIPLSNGVTLPKGLYINMAADPLARDPAN YPEPNVFRPYRWYKPEPDHKPTLEEEFTGIEPGNIAWGSGRLTCPGRWYASSMIKLII AELLIKYDIAFPEGQTKRPPNVYSPDAVRPDMEQVICLKKRV EPUS_07599 MAIVNSFQNGNTNIAHVFLEDLHVDNQGFAIHQDEQHPAVPVLT RIPPLVVCTTVHAAVARQHPLDVAAVEYEVELALDEDAVVQRHGAVHGRLDARGEVDQ AGATAVGDVDMWLVDTGFTRFVELLLGSCSGTYLVLTGEFDVDIVVHVDLIAHGGVYD VGDAVSVAQGAVVVSIIVADEDTQSFFVVARHEAARVAQTITGEFGDRSSVFARHVVV TELKFLDKRDMEKLYILYRKMFAYFYISSSGQTKKKREIVKHDISGLQLQRRPESRVS TLIHDRPRSHPRRKYPDILKDAPELESVGCPLSKGKAQITIAYGTEEVGDLNEFKDDN IIVVDGSRNPLGDAVIRKPSGLFDRTPTRLNLGEVTEGSIPSSSMVFCLAQCQVPLMS TISDEDMAGVKSITNNAKCIVWVTGGNLIEGSRPEFALISGIARALVLEQPSVRFHTY DLDNIYTNVEETADNLIKVLIQSNSAPDKEFVQRQGVVHVSRYVPDDNLNSCFRQQRG DELVELLLGDVKPAKLSFKKPGQFSSIYFNQIELPGTLDPHDVQVQVKAIGLNARDYA VFAGKVDSPNCACSPQYSGVMARVGVAVSTLKASDRVIAMAPSQLRTTQIVLAFPTAI YALHDRARMQNGESVLIHSAASAVGSAAIQISKLAGAGIFTTVSSAGKREYLIKAFGR KPSSILSSQDATFLPAIFDLTNGKGVDVTFCGGRLEMDQFLRNVTFTAFDLTNLYDTT NPAHKPNGPLF EPUS_07600 MANTTHRDNIDDNKENVLQREYPIDEESKTVQAELDYSGAHEKT DPAEIKLVRKLDTWIMPTLWIILNDLEDDLNLTGAQYQTCVSILFVGYLLGQVPSNMF LTRTKPSYYMAFCMALWAIVSALTAVSRNFTGLLLTRFFLGVTEAPYYPGALYMLSIF YTRKEIATRISILYTGNILATAFAGLIAAGIFHGMDGAGGLAGWRWLFILQGAVTFVV AIGAVFTLPDDPLSTRWLSPEERQLAHARIQRDTVGSKEATSTFAGLKEAAKDPNLWL FAFMQHMHLAANGFKNFFPTAVETLGFSQTITLVLTCPPYLIAGLTSILWSAHSGKKN ERTWHITVSKAVAIFGFVLGCATLNTGARYFAMVVFAIGTYAVNSIILGWVGNTCGQT KEKKASALSIVNTIANASFIWTPYLWPSSDAPRYTIAMASSAGFSFACAAAAWAMKFI LIKKNKTIRRTNNEAILYYAY EPUS_07601 MAVNPKKGLLMWPEYSDNDIDFFIANAASTISENRTLISRLRGT ITTYHRRAEQARSDEERDRWEGAVSATRTEIEKLSDHVKRLDGNKRAAVRELERRRSN NRWLSGGI EPUS_07602 MAFNFMLPLRITQFILGLLVLGLEAYVASWWNRFTLYRSPDRVN FLIFAGIWTALIVVPFLTLTPRFFPRAAHPFAILAIEAVTMVFWFAGFIAHAVFVANL LFCRGNVCRCAQAATVFAAFEWVLFTATTIMAIMHVMRTRNGGRSKRNPQMEVQT EPUS_07603 MGSAEDTDYVQRFETLQLHAGQEPDPNTKARAVPIYATTSFTFN DSAHGARLFGLKEFGNIYSRIMNPTVEVFEKRIAALEGGLAAVAASSGQSAQFMAISA LAHAGDNIVSTSNLYGGTYNQFKVLFPRLGIQTKFLTSEKPEDFEKAIDDNTKAVYVE SIGNPRYNVPDLEAISQAAHAKGVPLICDNTFGAGGYFCQPLRHGADILVHSATKWIG GHGTTIGGVIVDSGKFDWAKNAKRFPQFNDPAPGFHGLKFLDTFGPMAFIIRLRVEIL RDLGACMNPFAAQQLLLGVETLSLRCERHASNALKLATWLKDHKNVAWVSYPGLEDHP NHQLAKKYLPRGFGGVLSFGVKGGSAAGSQVVDGFQMISNLANVGDAKTLAIHPWSTT HEQLTEQERLDSGVTEDMIRISVGIEHIDDIIHDFEQSFAASDAMKADAKATPENATK TGSSDTAASLSGAT EPUS_07604 MSFFSSKPILFQNVEPFRKPPPKGQPYSIALPGTEKPDRSKVYR HWLCKDGLLETLDPNVRTAHDIFESTAQYSPRSRCLGKRAYDSTKQVWGPYEWEDYGT VQKRRADFGVGLVELHRIAGVTDTKYGVGLWCQNRPEWQITDLACMSQSLFSVSLYDT LGPEASEYIIRHANLYCVVTSLPHIATLLKLKPRLPSLKIIVSLDPLYAGEAPGLSKQ ALLSDMASDLGITILSIAKVEELGASLKRPYNPPGPEDIVTINYTSGTTGPPKGVILT HSAAVAAAACSVCTIKQSAEDTICSYLPLAHIYQRMSEHGAFWGGVKIGFFHGNILEL VDDLKLLRPTILTSVPRLYNRFGGAIRAQTVEAPGFKGVLSRHIVSTKTAAINDKHNP TNKHAIYDRIWGRKIGAALGLDRTNSMVSGSAPLDPSLHQFLRIVFGNDVVQGYGLTE TYAISLAQYSKDLSSGNCGGLVPAAEGCLLSVPDMEYLVTDKPYPRGELMIRSKTLFN GYYKNEEETRKAMTEDGWFKTGDICTVDEMGRFKIIDRRKNVLKLAQGEYISPERIEG VYLAACNFLAQAFVHGDSVQTFLVAIFGVQPDFFAPFASKVLGREISATDIEAVKKAA AHDKVRAAVVKELDKVGRKNKFAGYERVKNCYLFVDPFTVENELLTPTLKLKRPPTVK KFRGELDELYREALAKEKTDPKAKL EPUS_07605 MTNYPPSSDNFMENPSATSPRSFRTQFQLPRQSSRQFDAYGPIT SGGSLYNTNDSMARYDTRRMDNRFGPPMQNTQMAGGPFSYDVNNNSQTWNAAAANAFA GAPHTLAAPAMGAMAPIGSQTGRLRSSRPRVGLPGPWLEQPPMPQQFTNMEQPRLGQQ MRHDSYTEAEDELIPTAIVIKNIPFAVKKESLVQLMTEMNLPLPYAFNYHFDNGVFRG LAFANFTSADETAAVIANLNHLELQGRKLRVEYKKMLPAHERERIEQDKRWRRGQLEE QHRSMTTAQLQNQPSVSSLASHQLPGSSPSPVSQHGAKPNYDLNDQQTLNFYTELVVF KNDPNRETLVMSPSLSPEVRRTIHALAHNLNLYHTSHGTGDQRQVHIMKYPTGAKVSP PHQAFSNNIHGGDGMRSRGLNRAATTHFGEQRQQESAAAYNALRGQSSVGLLGVLEPS TGFGSSANLRAAKSFADLRSYTPSPAPSNASFPPNLQTNGARYQQVDGAPSSGTSTVT PTTSKLHEENQLSNGIGSLSLGTGLGSTGSPRRIRTMFSWDSSHQYPATAPIGSNRTI GTGFDTSSQDRLPSRQPRGPTTERGSGFRRQNGHHGRGSDELRGNPEIIVE EPUS_07606 MAGGKGKSIGGKGSGAKDSGSKSQKSHSAKAGLQFPCGRVKRFL KNNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDE ELDTLIRATIAFGGVLPHINRALLLKVEQKKKSKVDA EPUS_07607 MSERSVWGAQGIRNTSGNSSAGLGIPGYMDLSNSPQATLAGYME LLSLQSTSTEQRTSTGRLISGQPSSSSPVERRTTPGRLHSGLQQSSTYGEKTPTRTIP QPRSPPCKEGMAWHSPLSQQLPLPAREEISGQSPSSPLRDWAGTMELALSPPRQTAQI RIPRNQTARPGNSPPSRASQQSNIATCPPAPPSADAIALFLAVFEPPGTIPMSSLSRS SRRNCSIMVQYRPNVDKFSEYCCISTPKRRPKRHLQFFSTHGLPDPRDNAPGLIAMGF VARVPPSESSRLNDLMMEMRRKMDDTWDQNTWIDVYLRDLVDRMLITRQRMQDLLDFL RRALETPFTGVLPNAQHCFPGAHIPRIHVGMDD EPUS_07608 MKPQARAGSYFFTELRAGLATFFAMAYIIAVNANIVSQSGGTCV CPPDSPDLCDSDPEYMLCVQEVNRDLVTATAAISALTSFCMGLFANMPIALAPGMGLN AYFTYQVVGYHGSGPVPYQIAVTAVFVEGFVFVGLSLLGLRQWLARAIPKSIKLATGV GIGLYLSLIGLTYNAGIGAITGGTATPIDIAGCSPEFFLEDGTCPPSQRFRNPTFWIG LFCGGMFTAMLLMYRVKGAIIAGILLVSIISWPRTTPVTYFPYTPLGNSMFDFFKNVV AFHPIQRTLVVQQWNVGDYGGQFGLALITFLYVDILDCTGTLYAMARFCGAIDERTQD FEGSAVAYLVDAFGISIGSLFGSPPVTAYIESGAGISEGGKTGLTAVFTGLCFFIAIF FAPIFASIPPWATGCTLVLIGAMMCKAAADINWRYPGDAIPAFVTLAVMPFTYSIAYG LIAGIVTYIILNTLIKLIEVVSGGRIVPPDKETKDPWSYHIPGGILPPWVTRAARGKR DFWRPDEEHSGVPPLRSESSSSGDQPELGMGKGQGQGQGPLDRPRAEKIG EPUS_07609 MASTRPIFHPFRFSLGISVPLLLDLAYHEGYLVPSTIPNLYAES QWKELIKPKGIPFHSPPSLTFTRYRTENPLHAQYIYAKKSFWRYNLVWAYLGASTTVL QLYYIISSLRARRVGRAIAAASVMGLTWSAILVEGNTRKMYLDKMQEKVLKEEEAVTG EPUS_07610 MISRAPPTPPMSETHVAGIASSVLEISDLAAKVSVRLFALSRKV RDAIKPIEALSKDIASTGAILNQLGHRLKKGEDLRVGTSTLVTSVDDLVEECSNMFES IDKALDGNNTGSKAILGLQHYVHVASLEPQLVVLETNLERLKTPLALMLNVLIYAEQL KSEEKSLLLKEQQELIRVLNEERKYNERRFHKLTTESRSPPEGIGAPEAPRIEATLTQ YTLNEGVLNQERPSQETREKNSKAKGRVWIRDESPEREDRPIIDSPPLRLKTSLIDRV SSKVRGKVRIPDPVQDEPQEEEDDSSLLDMASFLKSTGPDTPRTSSGEDTSSPPAKLK KPRPKYQPRDPIARSDTSDLIDFFREGSPRVKRKASNKKLPVITLVRGTTEPITPPLT AQEDTEKKSPLPPHISSMQSRLRSQSAGLPSPLGSHPPATKVNISRPATTKWPLQAVL AWLEKNSFSTEWQDTFRVLEIEGSEFVELESGQSIRKMLTVIYPQLAKECSDSGIGWD QARERAEGQRLRKLIREMPVDIKYEDAPPTVPEKVTDRGPATAQSPSKSEMASGGRQR PVTAPAEATSPDSATPFQSPRNEFERTDIFPLPESLQKEDPEFSLETPQSSASKNNDL PRLRVEVDTSNVSDEWVRKWTVLSAEEIARGRREDDRPFLID EPUS_07611 MDQYAPPPGPPPPQVPPGWKAQFNEQYREWCVIISDSVLRQSAY ETVAMGPAQLTGASPLIRRRSHRPTTRLRWIRQPPALSHASDTKKPFDSNNPYGAGAT AEDDAALAARLQAEGQAGGAQDRTCRAWAQATTTTPRLRCRMVTVTGNRRARAPLHQA STAGIPARPRLRRRVKTTERTRAEAGNSGGYGGYPQQGAYGHQPGHGAGGMLGGLMGG GGSHGGGMMGGSHGAGMMGHAPPRKGMGAGGAAALGVGGGVVGGMMLADAMHDSDHEE RW EPUS_07612 MDQWFASRRQAVLDSLSNIISKTQTQARQYRPWNNNRVNVKSLL QASVLAAPSSDNHFGGSSASCTNTQLSCHNTTAVDTCCLNYPGGLMLQTQFWDTNPPV GPSDSWTIHGLWPDLCDGTYEANCDHARKYSNISSILTAGGGEDVLDYMQTYWKDYQG DDQNLWSHEWAKHGTCVSTLDTKCYNNYQAQQEVVDYFNITTALFKTLPTYQTLAAAG VLPSDSRTWTEEEIQAPLKKMHGTAVTLRCRGHVFNEVWYHFSVRGSVQTGKFIAAQP DGAKSSCPGTGIRYLPKSSSETSPGTTEPTHSQSSTQIPSPTSTAVPFKGKGFLQVFV KGETSPRGCLISKGKWYMSGTCAGFHVQDDVVDKPEHHHREPRMFTLISSKGPCGIID NIFQCGKKVPEQTIFSTTSNGTLSYRKHTSFYARSVPHRFEQGDITAVPEAGDKGLEV EIVWSGATSHIQFQGEL EPUS_07613 MSGTGTYRATPTRGQGRGQMPFNDTPASSIPRPKLDNTAQQSET ASSTLSASRQKQSKRDEAIRRKMEADLSKKKHASSRARHTRKAPPGTVLALKPSQALQ IKPNTTVAEAAQLMAAKREDCVLVTDDDDRIAGIFTAKDLAFRVVGAGIKASAVSISE IMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGILDITKCFYDAMEKLER AYSSSRKLYDALEGVQSELGSSQPQQIIQYVEALRQKMSGPTLESVLNGLPPTTVSVR TTVKEAAALMKENHTTAVLVQDQGSITGIFTSKDVVLRVIAPGLDPSNCSVVRVMTPH PDFAPADMSIQAALRKMHDGHYLNLPVMNETGEIVGMVDVLKLTYATLEQINTMGGES EGPAWNKFWLSMDNDSESMMSGDGSHRPTTPGHGSLMSPGASRPPMERGDSVMPNESA SHNGDDDLSEAVQPVAAAPEDMPFPFKFKAPSGRVHRLQVVASAGMEELVANVTSKLG PEVDAVGGEANVDEGKLGKSGYALSYMDNEGDTVSITTDQDLIDAIALARQGCRDKVD LFVHDPAQPPLVATVDPRPNVSKPLTPPESVLRERAEAAAAAAAAAAAESDEEVQAKR SMGTKQAVTAMNEGQLIGGVPNELLLPGAIVTLAVVIVAVFAFSRGSNK EPUS_07614 MVKKRASNGRNKKGRGHVKPIRCSNCSRCTPKDKAIKRFTIRNM VESAAIRDISDASVFQDYAVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRIR YNKDGKKVNPTQAAKRRDAVLDFEISASANWIFIVGNRIHAGAGEGEESWTRKSHQDS GKEAKAMSLERWSCWEQRIKKLVEQLKATRDAAKVDLGYETHRAISYNSTTQIYNFTN IRYAQPPLGGLRFAAPVPPVGRNPVVQNGSIGAVCPQAAPAWSPVGAAFTAAYLTGRP FNFSAVVAALQASNTSAPAPDPRTSEDCLFLDVIVPKPVFDAGQRYHNRGGRRQGAAV LVWIYGGGYVLGEKGGEYDPTGLIEASKVDGSEGIIFVAMNYRLGAFGWLSGPTLQSN GTANAGLYDQRLAIEWIRQNIHLFGGDPQRITVMGESAGGGSIMHQITAYGGTVPAPF QQAIPQSPGFPPVPSNFAQEQIFREFLDILGVATIEEARGLPSSTLIAANAAQIGLRS RYGTYTYGPVVDGSFVPGLPGELLLQGAFDKNIKVMAGHNSNEGVSFTDPRVTDDTAL ATLIRDNFPGIQDSVVDYIVNSLYPARYDGSQPYRNGLDRTILILSELIFTCNTVYLA KAYGNNTYNYQFSVPPGIHGFDVPHTFYGGFPSGPTINTTVALALQEYLTSFAQNGVP RGEGFPNFPLYGSSSNELQLNVSGIRTMMDSTNNPRCAYWQKALYY EPUS_07615 MSHGTFCFMSFDGYGWYLSSTAALLYSSWIVHNLVAWLKIKPFL TKTASNLFVGTLCLTIPPILLQIVNNFLFFNNINDLYVRVRPFEVLMRDPWWIFACIL FFYVIKTRYKVSIRELINGHPRFAIMLVAMLFSMIFTIVDVLSSVIPNLTAVDGINPY WKIALVFKALTDVIILDDFKTCLEKLRSKLVDSQFIMMPKPPPVPPKDSKPKERAGAT TLTACATAKSSPTLVSGQGQSPKDSLGTTESRTEDTTSTNPGAQVRAQRSTSVRKTLG HIGTLLSPLPNTSQMQTQHATSLTPSPLPSEKSPHSGGSHTIHVKMDLGLSRFVSSVV GRRPPSVQMESPLDPTWPRRSTGSGLRRGLSVLSSGALSSMTRSSTNRSSTVPSRPDK TPTWSDGMLTPAPAPVPKGV EPUS_07616 MTDYLSDPSSEHPPSSSLQSKRGSQIYQQHTAILQKLETLKEIV EKSKGRDERHNIFERSQFYGVLLGLLPDILLLIEDGWSQIRPIPQNAMFRSTFEGIRD LRLRWALWQEDAFQLETEAGSWASTVEFKRSTLCEVVLHKLSDIRHGLKAVTSELSLN CCRLQFVLPLHFLAVQDSTVKVDTYLPPDSSSIAKYVGDMSPAITDLFDILPVLKEYD SASSDSELATFRAHLLSIKQSILQDSAKVERKSVQQQSIPLRDKDDFPVREHNDRRSC EPPLVFQKPLEESMLEDLEACLRILDNIHGLRMDSDEESSVAIAGQRSVATAEDGQTH RDVERLYEHYTFTPRENWRVASRVKIPESQEESRAVVAEGNQNTSVVEIMKNMSPVCR AQIDRLLDEKNLAESRARINRLSDEKNLAERSKFYLWYCVFINPPAPRDMYVDVERIQ QYMHLEVIIARDILPEPISPESSKPFLGKISDISAPLKPKENSRGRHVPRRYERPLAE ITRNPQGNKALIEEMKDGWLRPLERLKEDYEADKFHGRTRDSSLHHWKLQEICRDIEP LFEEMRDRSLHHLEGFEENCSVRRFEEIIRDNESLFGEMSDRLLRHLKTFEENCKAGE FFPKETKDRYLHVPRFREIYEIHRVLFPSMCYHLLYSLKGFIHTSKDDDYLFQPLIDS SLRRLAKRVEETLPSNKPPIEERKNISEPPQSKETSIESAIFQKVINVTNQGLPMRTT KTTHYRAHNNPFFFDDNDVVISYAKDEI EPUS_07617 MVTGFEVFGAVSGALGTLNLVRQLLESVYTVGKDWAESGRKLLE VHDGFQIFIVRLETWSERTWSIDAEFNDDVGKAFWGDSGWRSISIQLAHIDKTAEEFL VVFKKAADPAIIEKLLPQHGNLLARAEQARSEEEHDRTGLSTRRGDSVRKLRELGDRL TKCLSPVSKVNLIISRAPVLLDMLAALDARFLLLERDANAFYESQHPTVPRSVPQQQR LSTAEGTMLLRNIKATKAASEALYRACGNLKNEVCTIDHRRVEGEGLPKLEMNLLAAE PRFHSNDDWKGFAMRYHLVLTSPEMENELEVLVEGPLHAPQLQAEIADDFAEACRHIR VGRQCYFEADLEINSRSATMFRLSSPLDPLRPWETKSIHLSGLLGAIEDMTSFQASGQ FPYTERLNLAFKVAECGLLLIGTSWLSSIDSRNVQRLSDPSWKTKRRFIFAVKATENE HLANVEPHLFRIGKLLAEIAMGLPVRHIATFDGPQGPELDLVISVKIEETKEMRAMPA VEVEQRVRQAMGLSYSKAVAFCLQQSSDAQKENWGRLLEFGTWKEKEEAYMKLLANYH KLVYLP EPUS_07618 MLQGLSSLISRLILPVSSNNLYPHSSRLQQTYCDPTSKKASWRP KQVQYSPLESHTQLPPDPPLPPPNPNSANVTPSSSTAPTSFRRPNSNRERLKHLLFSP SLPSQGPKGTSTQQKTVKPDGADKPGEGKGTLKEAAMKNVTMLGDPVSLKAETSEHSP KPEEDGATERLRSKI EPUS_07619 MPFKFRHLCDLLQSLDDNRIKKVTYPRSKNSDIPIIVSWFNKHD RQIPRCGKEAVAFLSCLFPERRADRVYNVQEKRLEKIIGRSLGLGRTRVIHLSWWRTA NGADFAQTVQKVMSEAEFDRPRPQHEVTLEEIDNLFDRIAAMYGGSAPGLQERILDPT SADEALQPLFPRLQSYEAKWLVRMFLKRYTPVELPERLVMRQFHRLLPDVLRLQNCLT AAINCLNDPAVSHISPRSSLSEEQEHAVKVEVARHLKPQVGIMVQQQSYDKARSIQHC CDMATRRRLSLERKYDGEYCQIHIDVSKGKKVIKIFSKSGKDSTDDRVRLHGAIENAL KLGKRDCPVKKQCILEGELLIWHEGTKRIQPFEKIRKHVTRNGRFLGNDQDSPRDLQE RVMIMFYDLLLYDDIVCLNESLEQRRSRLRTLVRRVPGQAELGYREKINFASSDAKSL LRLAFARCISKGWEGFVLKGCDDPYFSLTGSPRCIKLKKDYITGLGDMADLAVVGGRR IARDEQALNIGKLSWTVFYLACLENREEMRRFSARPKFRIVGVVSAAKKTLSPDRTRL FNRLGQFERIPYASVRVEMEVIMDQKLDPPTELFKNPFVVEVVGGGFEKPANVSFHSL RFPRVTKVHEDRSCHDVMSFDELQELAEKAQAMATNEDSQEDCEWIDRLTRADPRSNF VTENSAGTTTERNTSIATINSHTPNAGRSCISPLLVRIDTQEMTEEEHRERALTSGSE HSSVLLPAVADNGTKRKRVTIEQSPTANMSTKRLKLSPQHSSFRSKYNDCIHEPDLPA RGLQLRRPFSEVQNRSAAPGIPSSDSQPSPELGAGPSPYIVSRLLPHPPNKVRSHSSL AAIVQDTITKGPAPSAMFRKIPGDPPLPTPPISSAEKGRTGKAEAVSVAEPKRTCTTL PDDTGANQNLSMTLPHAMPSNEERQPSSIPIMLQGFSSIVYLPPAVATKLPRDQHELI SQLANAKASFTFSAGVVLDSIERSADTSYVVIVDVANAEDTGRGLDAFHQSISRLLKN GQGTGEGRLIFLDWKVLQHWGSKELSTTTLESYFGGCLAWESGFDAAGERTWEVNVIW DWAEVLDIIDGI EPUS_07620 MSSCVAYAGTEAFSDFRSQALAASIGAADVKAQWFHYVCLSREP KSTESEVLERLLNYGVPEETFRSPGVASREQVFYVVPRLGTISPWSSKATSIAVVCGL GNLVKRIERVMKVSVFLTPDQVLDEKTALDFLHDRMTQSISETEPDTNAMFQPSTPAP LIEYAWSDAEAATQVLKDANRDLGLALDASEIQYLAEAYSKLGRAPTDVELFMFAQVN SEHCRHKQFNASWIIDGQKMPNSLFGMIRNTHKVTPNGTVSAYSDNAAVLQGKEASFW APDNLTGQWAQSQELVHYLAKVETHNHPTAVSPYPGAATGSGGEIRDEGAVGRGSKPK AGLTGFSVSDLLIPGNVQPWELDIGKPNHIANSRSIILEAPIGAAAFNNEFGRPCTTG YFRTLLTEIDIGGGTKEIRGYHKPIMIAGGVGTVRPQHAIKRPDVVQPGSHLIVLGGP AMLIGLGGGAASSLASGEASVDLDFASVQRGNAEVQRRAQEVINTCTAMDERNPIQFI HDVGAGGLSNALPELVHDAGLGAKFELREIENADKGMSPMQIWCCEAQERYVVAVGKD GLNKFRSIAHRERCGYSVVGKATGSMHTEKRLILTDRNSRDHPTAIDLPMSILFGKPP RMTKEAVSRRLTLPSFDNSLSAYLPKLSGTDLLSEAVNRVLKLPAVGSKSFLITIGDR TVGGLTARDQMVGPWQVPVADLSVTATSLTQGIKTGEAMAMGEKPTLALISPAASARM AVAESLMNTAAADLPERLHGVKLSANWMSASSHPGEGAAIYEAVEAIGMKLCPRLQIS IPVGKDSMSMKMKWKNEKTKETKEVTAPLSLIISAFAPVENIKKTWTPQLRRFEEVGE SILFFVDLGVGRRALGGSALAQVFKQVGDVCPDIHDVDLLKDFFDATQQLQETGIVLA YHDRSDGGLLTTLAEMMFAGRCGANIMLDAICPSSQTPDIISTLFNEELGAIFQVRKH DENVFKSCFSTCGPPPNLLYKIGIVPESSAKQDLVIYHGAKLIYRATRSELQQTWSFT SHQMQRLRDNPTCANAEYANMTNDADPGLSYRLTFSPNENILSWKSTFLSPFQNRPRV AILREQGINGQAEMAYAFHIAGFAAIDVHMTDIIENRVSLSTFVGLAACGGFSYGDVL GAGRGWAMSVLQHPGTKAEFKNFFERGDTFTLGVCNGCQFLSYLKEIIPGTKDWPTFT TNESEQYEARFCMVKISDPPASRNNPTVFLHGMHNSCIPIAVAHGEGRATFRNSNPNA AKELVKNNMVPLRYVGNDDFKPTQRYPFNPNGSPEGIAGVRSQDGRVLALMPHPERTI MSGIASWIPDGKAEEWGTEGPWARIFQSARRWVG EPUS_07621 MAPATPNTALADQLVASLLPNGTSASRLRKHNDAFSRRLKSHNY ARTNQFEVARKFEGLQEKLQILNNIARRCPSQKSDLLLRLSDEPTDKTRIEWLADISS GSAVVPSLTWAEIEAVDPVDRSDDIWTIACYSDLSSDDDAVEVVPTSDGKVPQSEEQE VCLQANFEPLDPDSGSKALARLREDQFWRNVSTDSYQLTELQVIREILFMLQGLPTAL FWRVGNRYEIDQRFHLNNCSRETFLDILSDFGDTANRLDLLRSYANKPQSQHVMQTFR SALEDTLQHVDGRLSALEHKILVDENDAAATMIQLFAAVGKIVEVCSPLAQLIADIGP ESIDVVHYLEIVFDRVCRSQTSGDEVGFGSLSTVFLQCFDSYFRPLREWTDQGTVGDR QTVMFVTTSGLSQDPSQLWQTWYQLADDSAPNRCPNFLQSVKAQIFRVGKSVVFLQRL NSPHDRFGDSPVLTPPESVPTSANSLVPFAEILATSVQDYVESRLHVVTRTLRGHLGN NCGLWKTLDALHSVYFASNGYVTDLVDTKIFTAIDRCDKNWNDRFLLRDLFQTIFKYV QCVEIERLSIRSTFKSSGQMSHRRQSMKLLKDIQVQYKLHWSVANVLTLPSLASYQRV STFLTQIRRAKYILERRSFFQVRAGRPNTTLQAQNLNLMLHHNLLLFVNTLYSHFTTL VVEVANSKLQQDLADAADIDAMIDTHDRYCTDLEEACLTYKKLKPIHDEIVSVLDLCI RFSDLNNPASGGRRRTSSDARSYASAMSHQQRRQLRDGVENNSSDEIDSDDDDNDDDD GEGYSTFIIPEDSTLVHQLRKVRGDFENHLSFVVAGLKSMGRVSAQEEGRYWNILAAR LDWRKSSR EPUS_09369 MRLLQVGRYNELVLTKDVTTPPGPYAILSHTWGADEEEVTFDDM ENKTGAGKTGYVKLWFCANQARKDRLEYFWVDTCCINKQSSAELSEAINSMYNWYHGA KRCYVYLNDVVGTTWKKSISKSRWFKRGWTLQELLAPRRVIFYDRLWRRLGSKSALAG RIASITRIPATALTSRNLTEYSVAQKMSWSARRVTTRAEDGAYCLLGLFGVNMPLLYG EGQRAFFRLQEEIVKYNDDHSIFAWSMKEIKFSGLLAPSPVYFSRCHCVTSGESLDGR RPFAMTNRGLSISLKITPWAADTYLAYIDCTDRIMDQEPAAMGIFIRRLSQEDQYTRI NIHQDGLWRYSRKASQSQDGPAEERLLYIRQNLSTDIERPYLNKNRYGFRLTRGWLPP STRMHARNPSRDRHSAILHPGQWGAVLVLEFSLTSSSLRHAALGFDFDLYPVCLLRDS FAGDEEPDFVRHAPNEWSHLFMHSMDREEIFEGSKVYRRANHRGIWILAGHRSRGLDA CLYAGLLDMTGSILTLKRDTSKVRPHWELHIDNLDGPFRRRVLEGRRNNCSGCIHSPS FKQEHTCGGDIAV EPUS_09370 MALRFNRTDDTLFADMVDRLALTATNPLSDVQPSLLNLTSNCWA LYDLLQLSQNPDHVDESTRFQTLSNDFGTALPLKLATTSLSSTYEYLITIQKLPSFPS VLITNLDYCNSTDQLRAPVRELDFTRNCRETALFWWHFVFGTDSLGEDAVATLFRNSL PPPFATMSNFTIQKLGLDAIIFRGDNDTERFRPPFQAMFERAAKACANDACISLDYKG NADIAGIGAIVAYGSIAAVSTYLALTTVYYHSTRKRSFGNAILEQITVEISLIFSDGI AWFGLMATLAGMIYMSGKAQSYYEYTLAALVSFLFANAGLLSLSIYIYHPEKLGNTVS VNIRTIPLLAMICLGLGFLGTALEENNKEEGRSAIVSNKIFNTTAGVNTFKDFIDTPC YADGFWPLEYLHGPRLMQLVIFIIASILAFLEMVDACARGRLWRASFNFIMPFGLRTI PMVALAGLWYDFATIVKVRARASASFGPSYEENTAGYGQIIASGLALQAVFNYLVKVI AYKLHIYSSSGAAQSPASEEIAETDEELQTLTDAEPVTDSADSHNRPV EPUS_09327 MVGHSYKLELPSSYRISLVLHADRLRKADNNPLSGQIPTPPPAV EVDRDLEWEVERILSSRISRGRLEYKIQWQGWDPDDTWYPAGNLRNAPLALQAYYNAY P EPUS_09328 MPFLPVDEYHVGIVCALPIERAAVRAILDEEHGTFLDKDAQDHN TYFTGRVHNYNVVIASLPAGVDGTTAAASVATNMVRTFKGLRFGLMVGIGGGIPNLDK GYDIRLGDVVVSQPTDMTGGVVQYDKGKSLDGGKFQRKGCLNAPPLALLTALGALKAD HESEDSKMHTYLTEIFERKPKLRTNSYTFPGRGKDHLYQAECRHPVGNATCDRREGAC EVHRPSRENTEPQVHYGIIASGNQVVKDAVVRDLLRDDCGVLRGCTLSLVSLWSGVPI VPPEVGLGTRTVVIAAIDPTFHATVQEALHLLDASSFGDY EPUS_09338 MTTITAVALTAVIGYIGAEVAEECLLERLLWPQRFNNDMNVWIF LQQSFFGTIGGPLHKAALKTLDNLRAKGVYTGPCRGHMLGTMFFSELKIMHFQRCKQE RMLIPEKSRNGFWIRVLHNVDTDKHVSFKVPKQDSESNQPSNTHRSLQPVYHLKLGIP ADDQEPGHKDVCVKEDCVTWAVISNAILSEFVSILASLVAGLKLKNLLFCLYILLPLL LRLLLIVFAVRRGGLMSKQDLLKVAENENTKLSKAEAFEVADPNYKFMVIEGPQPVIV QFFRHYGHPMRDRNSLWAGDRVREVACIIVVCCLFLYFPVGLLLAQWSDEDAQHLWLG YQLYAIFAMHIVRIFGWQDLGRTEKRIARLLSNGTTIWFKTKSGCAVSASLVLYAVNK NADGKRKVQELIDQCKKQLAEGTQLPEGEQLAAGEQLAAGEQMAAGEQLVEEEQPSEE EQLSN EPUS_09339 MSLQPSVGTSRILEEVVAPEWDENLILIEDNDGPHGTKGAADNK VKQAKTKLNIKWQAQPSNSPDLNPIETIWRIIKQRLKNRGVIFQTEALKAAIQEEWDK ITIEEINNAISTMPDRVTCLQERFGKAIPY EPUS_09340 MSPYDLDPSITQGPEPRKLSHIESGPPGSDFDRQVHDPPRPLPL ANAPLGLNWVPRRGYSTQSTTREGCEDLDEYKLLEAEVEQRSRKALVGWKLTSHSIEQ LTTLPEKIDHPGAERYIGSELKGYPKYLWDSSTGTTVLATNDMYNEGYVAVSYTWGRW RLGSDNWYWVKGTPWEVPSLTDWNPDFPDLKCHLNMAQLTDILRRMPNVRYFWIDVLC IPQKKSSPEMANVKAIEIAKQGAIFKNARSVLVYLWSIDDGAQFAATMKELSAMMRWY WQIMVSQDFQATTKHNGRKRCDDSSGPRLREDPWFSSLWTLQEMILAPASVWIARDGS HCRLNNNEVLTTHTVADKFHDFPVREAYYDSLGCMSLDPSRDYVQSNIPPSENKSREV MRRWLKWAFQTASITTCVVESRGGMVLATLERKYSQRREMAVLAALKVGNDKGYDKQS ETVNGLAIDLWNTLIQAEGGRLFDVSHTSSSLLTNMLPSPSRHVSQFRGIRATCDGWE LRGNGELKVARGSKINQPSREGGTEYRFHNRKTFGGSPEGTVRKHIWESSGKKIEVRH VRFILIGNDGSINPFNESKFAGALGVILVTTSNDIHSMDCLWYKAGMYYSTDYETELL DHDIIVGA EPUS_09265 MGVQKNQNTGALTAEHYHRHRQQLAQKGTIKKHHAISTKNNIKG IKNGGKSKFLSIDDITFVGELICSRFCELMNEDPLAFLQHCSKEDIMTFWKWILDQYK VRKKSTLHEYWRVWRMLYRRCVGRSLHAKIAADINDYIDEDLRQSYNLDLTTREKPVM NVDDVFLILHHHWVMDTATFPDGRQRLQVPFLILTSAYTATRPGVLVYVPKNEKKDKG YCISENDEDEEEEEEEEDTIDCEWDNEQAKTLCYGDVTLFLLPNPDGIQDLLAMEIDV HHTKGHQRKPKRKIFVFSEADNLIFDPVLLMIVLALVDNAFESNIRSVRDIFRTRVRP PRRSLQLR EPUS_09266 MDIKRLSALDPTVIESFFSEFEQLRSQYGVEIENIWNMDETGFQ MGQTTSNFVAYDASIGRPVAPQPDNTQWVTIIECISYHRALKPYLIFCGKAPELHMFP AIDELPDIIWAFSLKGWTDNELGIDWLRRIFIPQRPIGKHSILILDGHDSHSTGLFQY LCLQNDIHPLYLPAHASHKLQPLDLGPFSPLKAAYGQLVQRFAPTGLATLNRRVFTKL YIEARQTTFTERNIRAGWHRTGIWPLNKQKLLNDPEIRNFGRTTPEYQPPATSDGLYS TPKQSDNLRALIRQIEAKTTPQTRRAVRKLGHSAIQEHTGAQLLRTQLRELRQLALKQ ELTKRSKRIQKETKQRSWNLEQVRAALAPKKVHFVRKEGGEKRILRTVTLE EPUS_09267 MPPIKEVEEVEKVNKVKEIKEFNASGALLSGEEPLTDSAPSSKS SKELPTSNSASESTPAPVSNPVPVLDPTPTSNLAFPAESTPSASKPVANFVIQVRKDS TIPPHSISQVALRLHYSGKADLTLTSTYPGVPNRVISATQKAIMYANQDSTPRTLRRS TILGTASLIRASSFATLDSAPASVSALAPACVPASATAPTSASAAAFAQDSAASSKSD TELPAPSPATLSNPASPSLESNAELPISNAESVLNPMPASNSAPASNSVPASNSVPAS NFVPASNSVPASNSVPASNSVPASNSAPSSIPNTELPAPSKHATPSNSALLNLPLTLI HISKH EPUS_06316 MLLAKNADVNAQDGVYGNALHAASSKGHEKVVKMLLAKNADVNA QGGEYGNALHAASWQGQEKVVEMLLAKNADVNAQDEEYGNALYAASWQGQEKVVEMLL AKNADVNAQDEEYGNALYAASWQGQEKVVEMLLAKNADVNAQDEEYGNALYAASWQGQ EKVVEMLLAKNADVNAQDEEYGNALYVASLQGHEKVVEMLLAKNADVNA EPUS_06317 MVQFDNHEYLCEEEKRLKQDRDRTKYWKKWGPYVAERQWATVRE DYSEDGDAWSHFSHDHARSRAFRWGEDGIAGVSDTHGLQNIAFAFWNEKDDFLKERLF GLSNPQGNHGESIKEAHFHLDNTPTHSYMKYLYKLPQRKFPYEELIKENAKRGREERE YNIVDTDAFKDDRYFDIFIEMAKEADDEEELLFRVTAYNRGQEPAPLHIIPHMWFRNT WSWGHEHPSKKPAIKIVGPMTAQSKHPKLGNRFFQLSPSPGTGQDAENIPPRLIFTEN DTNFQALYGQKNPQPYVKDAFHRWIVNEEKGAINPRCHGTKCAAWYAFDQGDGVPPGE CAVVRFRLSKRFDGYLNEELLDDIMEQRIAEADEFFWRISPLPMADDLRNIQRQALSG MMWTKQHYHFIWDQWANGDPNMPPPPPGRKAVRNANWKHMFLDDILSMPDSWEYPFFA AWDTAFHCIPLAMIDPDFAKKQLDLLTREWYMHPNGQLPAYEWNFGDVNPPVHAWSVF RTFKIERKMYGRQDLDFLERVFQKLLLNFTWWVNRKDYDGKNVFEGGFLGLDNIGLFN RSEPLPTGGVLEQADSTGWMAFYCLSMLNIALELAKHRRIYEDIASKFFEHFILISDA MTFRAGGAEKSLWNEEDGFYYDAISWGGPWTQQLPVRSLVGLIPLYAVLTLEPELVNK FPSFKKRMNWFIENRHDVAERNIASMRKRGKDERLLLSLVSKDRLEKILKRMLDETEF LSDHGIRSLSRHHEEHPYSMEVNGQQFKVGYVPGDSDSGLFGGNSNWRGPIWLCVNFL LVESLLRFYMFYGQSLQIECPTGSGDYMHLGHVAEELQHRLQHLFARGDDGRRAVNDG NDMLDFDPHWKDYLWFYEFFDGDSGRGLGATHQCGWTGLIAKMIHDTGINCRLPQTPR TPSVAAAHYFDDTFSRGNLRRRKSSVPTSPNGTARLRRSSTSRSIGNQSLYSPSDPRL SPRRRDSTAISDGDAFGNEEQLAKERREEERRRSEAESHMEKYIDEQLSRIRTDESVA VYEDEFEAQLD EPUS_06318 MTIETLFRQLAPAGELQNLNRAVPIWSGNSQLTPIARRITIEII HIHSNSARYAASSPCQMMSSRLARPALAATTRQAPRCATRSYAAAASSIDPKPPVPLF GVDGTYASALYTAAAKSSSLDSIAKSLTSLHQVLKSDAKLSPILHAPTLTDADKSAII AELEKHTGGGDKQGTMKNFLQTLAENNRLGLLEGVCEKFAELMSAYRGEMELVITSAQ KLEDRVVRRLEAAIAKSEYSHGKKIKVVTKVNPEILGGLVVEIGDRTIDYSVSAKIAK LNKLLTDTV EPUS_06319 MASFITTMFVPLQSPPPAQPLPPHCTLKQSTSSITINSYAPLDP FEPAHDSPSPAARKLREDLNVDYSSPTSNARSRNQFKPARANKGTTSYQLRQFAEATL GSGSLRKAVKLPEGEDLNEWLAVNVVDFYNQINLLYGSITEFCSPQSCPEMKATDEFE YLWQDAENFKRPTKMPAPEYIEHLMSWVQGNIDNEQTFPSRIGVPFPKSFSQTIRQIF KRLYRVYAHIYCHHYPVIIHLGLEPHLNTSFKHYVLFIDEHGLEKGAGKDFWGPLGDL VESMLRSD EPUS_06320 MDSRRSKKSRSIANEPKSAKATPASARPPLADRTHSDPAVARRR TSQTQSYGQGQGQGQREADVRVSDVRISDVIDRDSKTSIRDDPFFRPYQSPHSTCLAA ESRLAHGIVAGNEDPSKNANLPQVNVVVLGSRRVGKSTFIQRALDLRESPPRVWSSKK MSLDGVIYIVRLFELMINDITITDSTKITWPKRLSEESPPTIDGILVLYDVTNEESVI EIPHVLSISDALDSSGGSVVLVSCKEDHPPQLRRVNPNFNERIKSRFSKVETCSTSAN VPESQKRCISIILRSILRCRRGAAITSSLTNSYGKAAISPNNNLPSKGQHPLRRVQAH FPMTSTLPTTDFNDTLKPFGQKLDEPTTFHKLTVDDSASQSPPPEVLHSQPQTFVADL NRIPDDSDSASGNQDSDNPSSPDPPHKTGVLRPDTANSQTHNTFLDMEEEESQDDSMN GHSPPSVTVETPEASEPARDETGSSFDELIDRLLSLPMSKQDGKFVPVFLCLYRKFAS PGQVFTAITNRFSRVEHSNDAQLTRVAEQLRYLQVLALWTGDYPGDFAQPNIKKEATS FVSRLERSRLFAYAAKEISNHLELASEDDDADWGRFDSLDALPDANASFHSQSEATSP SIRTGQSSTEELLKSSRQSSLEGSYEDLTRKSGVPSVGSSVVISSNMSSLSLLALLPR DETPQEAQSLISIPRTRLSKLQWHQFVEIPDEDIAKELTRIDWIFYSSIRPRDLVRHV ILASDASKTTKSLDNVNRMIDHFNHLFCFVSGMILLRDKPKHRARMLEKWMTVAWKVR QLNNYNTLGAIIAGTNGTAVHRLTLTWELVPEQIRKDYMRLTILMGTMRSHGAYRMAW DNSFSERIPFVPVYRKDLVAAETGNRTFVGPQGDRINWKKFEIMGEVIISMQRSQDKP YKVRKNEEVLRLVLETQISQGDEDLAEELYERSLQVEPTGTGDTSRKKFEWLRR EPUS_06321 MPYRLRRIEYPDSMQSSSLSRGRPQASSKSAGHFDPFDLARRLE AHQVQCKEAYRLHQQKVKQREAAKCLHPEAGEIDMRKPDGSASQAWERTVKKSNAIAK TTSMTGQDSVKADVELLRQSNQSHNARLPRSSSLTSARTASASGASVARERTSLGSAY VTHNISKQILHSPAVGRPHYPLQTTKSGERSQIKPQNFEIHSSNTSLACRRKTRAMDV PNNATRKSDGGLENGIYVPRYAASGLVMTTVQGVTDRNKLSRQFSQGDPFQRGTNHKA MRAIPVNASPVQSKPKVKHCTRQCNSAMLADSSPSTVVDGNTQRCDQELGTGETTKPR LEPVVEEDVLLSRDECREREQRQRRSLRAKISSLMISSQRKPVENASLSEEPLVKPTI SRRKSMLIMFR EPUS_06322 MRTRAVQISVSCMQCSKYGEVQQRSPKFTAADRIAACSLQCSKD HKDKCGVPRNALIDAAATETLYQESSDSEPVVGRAQQSVIDSITNAAEVQELFVRYPK LRTQLRGIYEASLKHDESEQHSCYQRESNTHHSRTRGYYKDDKQHWTPEKGIQAGLKK LHMCLRASDADFSGLKEFCKVITRFQPAGSQLHADEGKSPYMKPTVHKNSAYQKGLGS PSA EPUS_06323 MASKTVPTTIRSVFLTSRIRLAASARRSTTPFDRLCHRAAIPTI TSSQNNHRPLLYRHQKQQRNTLGKASEARRTIFIQTQTTPNADALKFLPNHPVLPPSF PSTFVEYTSPRSTLAPPHPSPLAARLLSVDGVSSIFYGADFITVTKAADSNWAHIKPE VFSLITEAVTSGEQMVNIVEKNTAENGQDAGGDTLSASDEDSEVVSMIKELLETRIRP AIQEDGGDIEYRGFEDGMVMLKLRGACRTCDSSTVTLKNGIENMLMHYIEEVKGVNQV LDQEEEIALKEFAKFEEKLRQQKGPDAVASTVGKGSLDRAEV EPUS_06324 MSTVQSRAPQTSKVAPASLGAFGSHKTALLGRTGIRRSTPDSEA LASSDDETEHSRQVPSSTSVSQPAKPARRTSWLNEIPSASSRKAPIQLNSTYSPNSSL PATPSGDLSGWTQPSGSPKMSGTAGWGPAASTSFPWSSTGIWNENRKDPPARLQEVLS SPTATDAPGVPLLASDSHSPLKSRAPLKDNAIPFSIPLHPTPKTYRSQSYSVGQLEPE SLNPALSRSSGNVPRGRGGGQAAILQHRGSRPSVLGDLGHDSGPLGRVREVDDDDENE NNSDNSQNFSVSQARTIEQLTRENALLRQAASGQYEKKFRDRTGSSISAVSASSSFSN SVAPSRTNRIFNNGPEGGDLAIEDQEDVGGRPENASRSAFRRLSEQLYNSLDRQQQAA AQVDNRNAESAKRTQWQTSLGFGSIPEIPQSRRHSFADVPTRQGSISSAGKSPLQFPM DLPRTKPTERDEGYGTFGEDAQESQGEHRECTQLSPRTLQEQDLELEHLRNRQFAASY FSGPDLGRVPQAGSSLHHAYTSPNTYGRAHHLDHQTQPLFIVTFKCCRSDVFYIQEGT GLQVKPGELVIVEADRGTDLGTVAHADIGWEEARQLKEYYAEEHYKWLMLFSRQSQTG GPNAVNPNGLGVNGAPGSAVGGMGPPGVHGPQDQQSGELKPKLIKRLAQNHEIQTLRD KEGNEAKAKRVCQQKVVEHRLNMEILDAEFQMDWKKLTFYYFADAYINFNSLVTDLFK IYKTRIWMSAINPASFVTPTSSLNVPPPFSALSVHGGRSSPTGRRRHPAHSLTQSSAG EYADPTDHTRGSLAASQGTNLRNAYPSPYQGLSLASGIQQQSHDMAGMSHAMYSHLGS SDAFTPFTGHQYAMLDPNAPTFSTSQRAFSRPQQGERSPGQDWTGNFRSLTLGGA EPUS_06325 MVQSRSKRSRNQNEEGEGQDETMLRITDANGQESDDANEQDDEE FSTQSISELETDITTFIRNTGKNDGWPAAFQKHVRDREEELVEKVRAGHAERSAHVQE PPIRATFVNLLDREQAEQSFQKTFLPFLAGCLARVGVKPHDPQLPFPIDDLSVEDQPL FAKSATLIHNSQNLLKEYSELAGLRVDTSSRDKLLSTLDREERQIDAAIKAGRRVAQG EIRALLGIAHDETTENSEQGCRVLKAGVEGAQAKARVAGGVVDDRKMERWGVVAAESV RAFGKMSKVAGAE EPUS_06326 MDFRDRINVLLRTFPGLSLPKTVSFALPAGTTTTDLLEHLTTII PPSISAQLILTTTSNRTVRPSPNLVTSLISPTNNNNNNNNNHGTLLPLQLHAPLPGGK GGFGAQLRAAGGRMSSRKRKNALASDPNAGVGSNRNLDGRRLRSITEAKNLAEYLATK PEMERREKEERRRRWEAVVEGAERREEEMRKGKRGKGLSEEWLEEREDAGERTREAVR KAMAGGNWKDNVAGMAASQVAVDGGAGASAIKILEKEAADGDVDAIWVLRNRVKKPAP KEKEETRYVGFDEDDEFMSSSGDEQYVPTIEGKGKEKVSS EPUS_06327 MLQVLIPSHRRPPEQPRPRHCTRFPEERQELATMLIPKADRKKI HEYLFREGVLVAQKDYNLPKHRELDTKNLYVIKACQSLTSRGYLKTQFSWQWYYYTLT PEGLDYLREWLHLPAEIVPATHIKQQRSHAPPRGMMGGDDRERRPGGGRGGPRGDREG GYRRRDAGEGKEGGAPGEFAPTFRGGFGRGRGNPAPPS EPUS_06328 MGVGRAGEAGVVEASWDTGVKMAAHFELHIEQGPHLVGAGEKIG VVEGVQAYRWYEVEFHGRDCHTGTTSFPHRADALLAAAEAIVDIRALAEELGNGALAS VGIIEAKPGSVNTVPGFVSMSLDLRCPDEKILDELEKRVMESLRSAAAHSGAGRRPVQ TSIKETFRSGAVRFQREAVDCVEAAARNVLESAGASQGGKTLMRRMSSGAGHDSVFAS KRCPTAMIFVPCKDGVSHHPEEWCEKEDCAMGASVLIQALLRYDRTRG EPUS_06329 MPPWPPRQHAHTSTTFNGTTPNASSSRINTNRPLPPLPPTAVEL DLPTHYPSRRAEPEATSARHGRSFSHPFPSFFGGGSKRSQKRNLKSKVNIDSTDDDEP FDDGRSKNSAIVPSRNSSVNASSEPMTGKCMACDSAVKWPRDLKVFRCTICLTVNDLE PYQETNSQPLETNQTNTHAQFAVPRKPVPLSLERTRAIIEHSLRVYLSARSEGATTQT EDGLEAGSRPILEPRSQSSDNQSRPRLNRRNPSGSGMSPKGLTLEGSKLMNSTEPARV EAPTSPSSHLAVPAGNNTNVEVSDLSDQDGNSKPRSVRDFIFRPVEDYVASCFAGCAT LNKSFLTVRPEFQRAASEGQSTRTEPNKSHLSPPGGDSVLSEVEVDAKTLLLGDIAEN SSWWTGDRPSRGYSTQNLARERSPDSRRGAVSQKTPHINWTEVSSWYRSVLNAGVSWQ DSWNGLQARSSSRAAAAAAAGAADVDAKAATSLAAEIENDLLESRNHLQRVLLKATEN LLKRPRRPLRHAEDCRFLFIILANPLLLASDPSSAARGSNVHSMARRAGPGQHSGIIK RVLGLMAHLPNEVHQCFVAWFSRLPEGQFERIVDLVGGFVTYRLSRPAQKREVVSPTD GLVPSFSDSGTQHASQLHAALGGRRSASSKPTNSSGKPQLANYGDDWQTRAAARVMAL LFAANGGHSLRKREALPDELRSPSVGLTAKHKAHAHGQLIPISSFYNTMLDYADLAAD FENWESNRGRFSFCQYPFFLSIYAKIHLMEMEARRQMEIKAREAFFDSILSNRAVSQF LVLKVRRDCLVEDSLRRISEVVGSGQGDIKKGLRIDFIGEEGVDAGGLRKEWFLLLIR EVFDEAHGLFVYDEDSRFCYFNPHCFESSEQYFLVGVVTGLAIYNSTILDIAFPPFVF KKLLASTPVTTNVTPSTPRLAHGSSLEDLAELHPRLARGLSQLLEYTGDVQQTFCRDF VVEEDRYGESVQVPLLPGGEKVPVTNSNCREYVELYIHYLLDISVSRQYEPFKRGFFT VCGGNALSLFRPEEIELLIRGSDEPLDIHSLRAVAVYDGWPQGRTPDQEPQAQWFWEA FESANPANQRKLLAFITGSDRIPAMGATNLVIKIQLLETTKRSGIIDTERFPTARTCF NTLVLHPYRSKAQLEKKLWMAVSESEGFGLK EPUS_06330 MGALKYVEELQKKKQSDVLRFLLRVRCWELRQLNVIHRASRPSR PDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGATYGKPTNQGVNQLKYQRSLKSTA EERVGRRCANLRVLNSYWINQDSTYKYYEIILVDPQHKAIRRDPRINWIVNPVHKHRE SRGLTATGKKSRGLGKGHRYNKTTAGRRKTWKRLNTLSLWRYR EPUS_06331 MPKLPKILSLTLQLLFYCTWVAAQSSYWLANIQRQGVVAFGNDS SYPVFRNVKESYGGVPGAVGDGVTDDTAAIQNAIAYGFRCGGGDSAAGRYCESSTTFP ALVYVPPGTYKVSRPIAMWYNTQMVGDAVSLPVLKATSAYNGIGLLDADPYIPNGYGN TWFQNQVNFYRQVRNFVIDLTDAANGAAGIHWQVAQATSLQNIKFVMKPKTVAGNKQQ GIFMENGSGGFMTDLVFIGGDRQMFLGNQQFTTRNLTFIDGNIAIYMNFNWLWTFHGV TITGASIGIDMSSGGFDQQAVGSIVLLDSSISVSGTGIVTPYVPDFSSPQTAGTLVVE NVQFVGTAPAIANTGGRVILAGGQTVASFAQGNAWTTAGEAITPGTEFNETTCTYSNT TDSTYTAQEVTIQQQLAPIPRPSNLVSSSGAYFARSKPQYEQYDASSFLRAKTYAAGD GLTDDTVAVQNFLNAAAQAGQIAYFDHGAYIISNTIQVPINLKITGELFPIVMATGPN FGDQNNPRPLFRVGNPGESGTVEISELVFETKGPTPGAILVEWNIKATSPGAAGMWDS HWRIGGTAGTELQSDRCAKNPSVTTSQATMEACSGAFLMLHVTEQADFYGENIWGWTS DHELDLKDHGQINIYTGRGFLIESKNGPVWLWGTSAEHNVLYDYQISHASNVFMGLIQ HETAYFQGNPTALSPYTIQSAYTDPTFEECTQFNCPRTWGLRVVDSTNVFTYGAGLYH FFENWDSSCLNTETCQERMIDIMNSTDVYLWAVSTKGSSYLISYEGNAIVPQASNKNA FCETIVLFEQATT EPUS_06332 MIRSRAFAVAARQCLRRASQQPRWVPGCSQVPCRSYAVAKQDQV AKFKGKTGSDGKYTVTLIEGDGIGPEISQSVKDIYSAANVPIKWEPVDVTPRLKDGKT VIPDEAIQSVRKNYVALKGPLATPIGKGHVSLNLTLRRTFNLFANVRPCKSIAGYKTP YDNVNLVLVRENTEGEYSGIEHVVVDGVVQSIKLITREASERVLRFAFQYAEGIGKPK VRVVHKATIMKMSDGLFLSTARDISKEFPNVQFDTELLDNACLKITTDPAPYSDKVLV MPNLYGDILSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGRGLANPTALLL SSIMMLQHMGLNHYADKIQNATFATLAEGKMLTGDLGGRAKTHEYADAIIRKLKV EPUS_06333 MADFERSIVTHYNLSTPYPVEWPAELDESDEEAAPANHPSARKS KSRYSALERSASDRRSVIPGSQKTGDGRANLVQKDEQDPLGGTDSVVAVLKQRGLPVD EDARLRNKFLLSSTTFSPGLFLSQTHSNASTQSLLQGLDYLTRSIDQKSASLKVLVES NFERFVRAKATIDNVYTEMKNQGTETETGLTSPPHSRHVSRSSGHFRNFSSGSAAGMS QPSKPPPSKNALRKESEFGVQGIKTPLLEVSQKAEDVWGPALGGRQREERLKSIVDAV QQEREIYELGANLSKSIKQKDYEAIVERYNSARNYSNDARAVAEKAVNGRQALTDDQI HKILVTGRMWTNVETQVKALKRDIWRRLSNVQSVLPVAGPGQTEEHMELIGVLLELGV DDNPIWVWLLSRYDYLKTKITGMSERSKVEIEILRRRLAASEATPPPATASFLRQAVR DNPSAIDTEQVIEMWETNLAFLTKLLSLSSGLLGEVMEFWDSTQSFIDGQKQSTLPTG FEGQSRKHHRLSEAGVKDLQNGAIDLVNLIRDAVFSLFADAPIEDISLLLSPFPPNTP STPLSAALSPGESRFGRIDPQTLPPPSPKRGEPWEDFAFWPPHSNSLSAVHYLSKLLN LVGTAASEMTSLSPIKAGSHSYEKIKAMVGAARERCVRAVCDAWNKDAESCRNLEDWT RSPENRDQTKMPTLFVGFEKTILLGLQKILYFSETQTKAGTGEVITPPPAKLLQMVKT QFVTSVYKALSGMVENAEKAVRVDEDDWIVVTPDMISANAENISSLPIASDTIDASSR NVRMLLTLSNLKALRIDLVPQLVNLFESSFSVKLTDESKTIRDVLGQIDARLFQSYTL PTILTLTKTIKDGINAPDWAPVSSPTQVRPYVYTTMLTLVMVHTEVSTTVSTPSATTT TPSSGVGSPPALLSEILSYLLEAVSTALLEAFSNRKPEKYTLPALMQATLDTEFIAQT MSQYATSKASDTQSEIYQVLDKRTSNDARAKLQQELGEMRITLKKLRDGSRGSFGCFK RQRQERGRPERKATE EPUS_06334 MAPRKSAQADIDPPPTFSTPAPNHDEIVPEEVQKSLSRWTDEQE IALLKAIVRWKPVGQSLYFRLASTTDMRDDPGVHKHFRMIAIHNYMISQGVVSSGDQH TTIPGLWTKLGSLYNLPVLDEREDSLLNSSSEENGSSGELYRPRFPDHDSAQKFDGRL NLKGSKTPALDSRRESTIADTDEAGSSPAPGRRGARATRRGGRVSKLQQEIGSSHRTS KAASMTEDEPMEDAEQEEGEDGEGSETVDGDDAEDSTKTRSSARARGTPKGRGGRGRG GTRSRGRRK EPUS_06335 MAEGSTSMSNEEPIILDGLLEIPDLLSDQKSIWQPFQQASNFFA VYTDFDGKEEERLPKLRSEFFTLDCYDEPLPELDSLVESRSASEGEESASTSGVIEHD EILEDVWSLQDVVSGTRDNKLVNWDNFLQPQTQKPRSAYLSEAGLAVFNAVLADEALK TNTKLPNLIARHEDFLRSLFELGLGRDSMLYRYDQLLATFVPVTEDFEISGMSFQVQQ DVLQDVLQMGNCMRRLKAFVTEPKVRPLSIALSSAVSTIVYAVESAIQTSKSKLQSIL QVKDLFSRPMYLIHSLQHLIEVAATTNCARDAIIQLMYRAEQEVSQHVWQGKVLHEIL RVLATPWISALEAEVGLRKGMGGAEVQALLMSESTKAQDHGPLNNQETPVAVVEELVI NSRRCLNILQAQQADHSILDSSKISSSHLSWYMSWAAIARLQTQADEYEHVLRKAILR YNQGKPPKGYQQRHSEIEDALPEEDDRLILTNLDVPNVLDRDLGRRSVPTESTLFELT TTALAADIGSSDPFDQSEFCPSLPHSLSLSLTPLLSAQSRLLSFSTLHLLFKTHSLYA HLSVQYRFQLLSDGLFASRLSRALFDSDQAGGEGRRIMEGTTGLRLQARDTWPPASSE LRLVLMGILSESYHLTDASGVDSDDLPGNLSFAIRDLSTDELEKCKDLSSIETLDFLR LQYQAPPVLDSIITQSSLRKYDRIFKYMLRLLRVQAAAQSLLRDIAGRTARSGSKNQK FRIEAQHFVSTLAAYSSNDAIGVEWSRFQDLLKNIEAAIDRDDYEGTIAIAGCLSRLE RLHGEVLDRIIEALFLGRRQAQVRDVIDGIFGLILRFAAVSRRNDDGTKMEETKHVYR EFKRHVGRLIRCLRNQGFASTAKTHISEGKSPQGGGEPPFEHFLLKLDMFGYYT EPUS_06336 MAYNDEKVMRDGSPISHDGDSTDQHLSAGQYLATRLTTLKPPLA KAPNPIRLLRMLNLQQWLFFFVAFIAWTWDAFDFFTVSLTVEQLAEEFGKTNRDITWG ITLVLMLRSVGAIIFGIASDRYGRKWPFIVNNILFIVLELATGFTQNYDQFLAVRALF GIAMGGLYGNAAATALEDCPQEARGIISGMLQQGYAFGYLLATAFARGLVDTTSHGWR PLFWFGACPPVLIIIFRYFLPETVSFRERVAARKAQGSIAGTFVKEGKVALRRHWILL IYLVLLMAGFNFMSHGSQDLYPTMLTNQFNFSHNAVTVTQVVANLGAITGGTVIGYCS QIFGRRFTIIVMCILGGALLYPYSFTTSHSVTAAAFFEQFCVQGAWGVIPIHLMELSP GSFRTFVVGTSYQLGNLISSASSTIEATIGERFPLPPKFQRGHEIRRYDYGKVICIFM ACVYVYVIVLTFLGPERRGRSMDVEHDSDMAEVRGGHLDGGSVARHGQRQHQRHEDAG EVSSMDEEQAVETQQQKEAV EPUS_06337 MATPPGSYYSEVDAEPLERYYVGGYHPTHLGDTFRSGRYKILHK LGWGGYATVWLARDSKLKRNVAIKILVSESSPEDHELRMLKLLSDGPLDHPGRKNTMQ MLEHFDHVGPKGRHRCLVLELLGPNISLEAESYDSDRLPGRLAWEVTKQTTQALDYIH KSGIAHGGNIVFANTGLSHQSDVHILSSMDQTETSDVHASPGYPLNSHLPRSLVAPTS LPVSTKESGSWEVKVVDFGQAFMHGDQREIRCPLVFRAPEVILTSQWDSRIDIWSLGC TIFEIIVGYPPFDNMMPTREGLVREWIAMFGQLPEGWSDRAADHKENADSVIEPVTLR KWLFDTYFENDKKADFSGTDMERLADLLASMLTYLPCARPQAAELLEHAWFQTNSVVQ RYCTEI EPUS_06338 MDDSVATPSSLKQEGAESISQTQPTINPSIPEDTATTNDLVPST EASEFPPPLPAQDEAIQPIVPAVSANPLDAPPSPPPQDEPEGEPEDAEMGGIEEDTKL EGESPEKDARESQDALAPVASEGQPAQSKSSLEATARSHLVAQTHSIILPSYSTWFDM HQIHNLEKKSLPEFFNHRNRSKTPAVYKDYRDFMINTYRLNPVEYLTVTACRRNLAGD VCAIMRVHAFLEQWGLINYQVDPQTRPSNIGPPFTGHFRITADTPRGLQPFQPGPNAF QTPGKPHSSTERAASATPASKADLHLEIRRNIFDDKGKAITPADEKDKQADGEGATTN GAGLGDSAVKAMDEAAKEPKKTFNCYSCGIDCTHLRFHYAKADPTSTNQQEVKYDLCP NCFVQGRMPSTHRGSDFVKLEEPEYTTIPDKDAPWTDSETLLLLEGLENFDDDWTSIA NHVGTRTREECVMKFLQLEIQDQYLDDEPSQTSLRGLNGRQPITQLENPIMTAVAFMA QMADPTVVAAAAGRSVEAMRKDLRETLEKGMGGMSLDKDKRNFKPSNGDVKHEDSMDV DATNTSLQAAPISETNTNGNTASNPLPTTTTPAATASTIGLSLSAARSSALASHTERE MTRLVSAAVNLTLQKFELKLRQFSEMEQIVQAERRDLEKARQQLFLDRLSFRKRVRDV EEAFRGAREKGATEEGWRAVMEAVGLAGDTGGAKRFAFEASAAEEVQPLSVEGGAADY KTLEL EPUS_06339 MIPYLKNGSGLISRARKYFNDTREPFAITLTGGKLYFIVRELAS SFGVSNSALYEFSRQPLGEKDDVVSRKTGIKNPRLKSLSQLNNDFWKQQLLPGERYSE LQERFLGYIETSLIQADTSNKSATCEAANHEHTSLMEWTQSVLIDAGVRAFFGDKLLE LEPDLPKHFLKFNNEQWKLWHKWPKTTQMRAAKSQCIKTMQQYLALPKHERPGAAYMV EIMETTQRALGIPEDDIAIVLFMLLWTTNTNTYKLLFWALAHLLRDPGLLATIREETA PAIKPGKGVSIPYLTDSCPWLSALLNEVLRIYGDASSTRLVTAPTSIGGKALPVGSCV VVPAQQLHFNRSIYGPDVNEFAPERFIRSKNLTHSSSYRPFGGGISYCPGRLLARQEV SIFIALALARFDVEIVGERKFPVADKGKPTAGVMSPKPGEDLLLRLSPR EPUS_06340 MSSLKPLTLYSHHIGPNPWKVAFILEELNLPYDTKIVQFPNMKK PPFNTEVTLNGRVPAIIDPNNNNLTLWESGAIVQYLIDTYDKDHKISYPSSSPENFLC YQWLHFQMSGQGPYYGQAIWFARYHPEKVPSAVERYVKEMERVIGVLDTHLQKARTEF LVGNKCTYADLVFVPWAVLVPSIANDSPIDVEGKYPHYDQWLKRVCEREAVKKALADK ARMSETK EPUS_06341 MAIYYEPDEESIENSSQDSGMRATRQGNGTAEDYKPGSAGANRH TIKQFPQPKHEGYRFEVNSRAQLIESIKRGESPTWVPNPALEALYARYNPSDHEDQCQ RSPQRDRTSLDLASKDVQAAERQGMTSPCRDLDPAEIERPRSALHSGDFFEKRSSDSI SYPLSGAPTRSTSPPRDCVVSSPSTSWYAPAVPAFSQRSTEYDGESWSRPLISRTRAP SLGSSLSSSFVFRPPTSPLVHAASNTDLDFPTSFSTAYQPSSPERSNRRRTLPPDSFY SFQQASDSSAAVTNFSRPFASVRRQSSLPYQAHQPRRSMTSFPTGHSVSSPQTPGLPR SRRPSFSSDHSPLQHASMVGSFEESILRGRMSTAPSKPLDFVAQIGVLGRGECKPNLR CPAHVTVPFPAVFYNYPSIGKRSFSDDSPSPYVGTIDLEHSLKPVEAKKARRRPASPA PSVDALMKDVTAPENTHIGLALERKKREKHRKRSASPKSPPGGCYRVPQQGQLQIVIK NPNKTAVKLFLVPYSLDSMEQGTKTFVRQRSFSAGPILEKPLTDKPQAILPDPLQHKS ILRYLIHLKFCCPSKGRYYLYDNVRVVFANRVPDGKESLRNEVQLPEPRYSCYRPGRE SSMGSAGAKLVADKASRRRSSGLVLTSAGFDAMDGFTFTGLATTFPVDGIAPAPSTFI PLNLLPRSKTQTALSEVDEERPQNDAAAGFQTRKPTSRAITAVLAAETEPRERTTSPT PGFVLSTTTRASQVPWATVDFGTTDICSNTVSRTVSPTPAECGEGLLSRELRSMDVRK SSTEQA EPUS_06342 MALKRPHTDSEMRDEEAPRKKRKGFSVGPANLPDGTYRRKTQKI KNDLIQKAKIKKAYAKVKAQEQSGSNDIYRHQEPETSSAQPASLDLHPDRQAMLDRPA PSVNAPRHSKDDTDDVSERRRRARPKRSSYSKETQMAEQRQLEAEARRMVREEKDRER RAMLKARRPDRDGRYRLGRQSKILLHKVKRLVEEGKVDR EPUS_06343 MVPQSKLLPPGRSVKQLLSELTHLYLHHRTKISRTVYLTLFLAL IHRIHNAISEQKAATRRQAEIRSRPSTGDVDSGRKKRVEINREFFRSLFRLLKIVIPG VKSKELRLLVSHSVFLVLRTMLSLYVAELDGKVVSSLVKGKGREFLLGLVWWMMVAVP ATFTNSMLQYHQCKLSLQYRTRLTNHVHQKYLSHMTFYTLSALDDRIKNADQLITVDI SRFSNSLAELYSNLAKPILDMVIYNYSLSKSVGGEGLFMMSLLVQISANVMRALTPPF GKYVADEARLEGEFRFQHTRLIDYSEEIALYHGQEAEKDTLDKGYFTLIKHVNRILRR RFYHGFMEDFVIKYFWGALGLVLCSVPVFFKVPGQALKNMGERTESFVTNRRMLLSSS DAFGRVMFSYKEITELAGYTSRVSALLDVMDDVTAGHFEKKLVSSASTEENAAVLTGR GEIIESESIEFTEVPIVSPNGDVLVKKLTFSIQPGDHLLIVGPNGCGKSSLFRILGGL WPVYGGLVKKPSFEDIFYIPQRPYLSRGTLRDQIIYPDSLYEFRAKKAAENDLLQILK ILEIESIVDRQGGWDAVEEWRDVFSGGLQQRIAMARLFYHKPKYAILDECTSSVTLEV EKKMYDTAKSLGTTLMTVSHRRSLWKYHSRILQFDGQGGYIFTHLNAERRLELEDEKE ELDMQLRAVPEIERRVAELTSS EPUS_06344 MSSSPPSLPSSFDPAVELHTEPNLPDPHLAESDSNPEDLEALHI QEEELKIAKSSAGVVIQHRSWELLGTLCSSDVYNPETPSKPTTTRRSLPADEQRLTLP APMPFSSSPGLYPQSSPPLAAGQKRKLFQSWEPEEPRKRKTLGGFLLDEGDEGSVTVA DPRKEEAATLNTETVSVSPAVTVSNQDLGKVAIRSRSPSLPPLPESDTWNQLTHTVPI RTSSGKLLNVTLRPKSKQLSYEQTIAQRSTTAPGRAKKSYYGIDIHRLMNEHKSRADT ETACQEVDQRDLPYASIERPVGATSHHKQGEYQMWTEKYRARKFTDLIGDERTHRSVL RWLKSWDPIVFPRSTKSIAKRKHPFGVSDPRILDENVQHRKILLLTGPPGLGKTTLAH VCAKHAGYETLEINASDERSRDVVKGRIRDAVGTETVKGIDVVKGDKKTRKAGRPVCV VVDEVDGVVAGVSGGGGEGGFMKALLDLIQLDQRNTQSAGDTSYGPKKSKKGDKFRLL RPVILICNDLYVPALRPLRTSSCAEIIHVRNTPVEKAVNRLTSIFEREGISSDGDAIR RLCEASWGTAGRKQGQNNSGGVGEGDIRGVLVQCEWVAHKLRSTSNHAKTPRLTKSWI EENILNSDSQSSCGRSRGGTREILERVFIEGAGLPNLPTKSSAEDAKVYAQSNTAPIG ISELRKRAAVASIREMVDTLGDHDRLTTDCFTIYPTESYQDDPYLTKPIAAYDWLHFH DMLSSRVFNGQEWELDPYLSQSVCAFHHLFAAVNNERQRGWNADHSASIDKDAEDQSH PFSGPRADFAAYEAEKANRAIITEFQSSFSAPLLRLYRSTDAIAAELIPNVTRMLAPE VKPVIVGGSGGQSSVASVRKESEKTCVKIASRVMSGLNVAFERVRIEVEGGGAHSHAG FALRMEPPLDSLARFESTKTTSSGGPPAPVRYAVRQVLDQEHRRDIQRIRSENRFARA TGQNRLDAPHTGDDDDNKENATDTSVKKNVTATAGKRDFFGRIINEARPGSAGRGMES AASKVVAKAEERVWVSFHEGFSNAVRKPITLREFLDSF EPUS_06345 MGADNLSVRLTGRSGRAETLNPNPLHGNHPTAVPALVPVGTPLT EYLKAGQMMPIPFQAVRPSMRPPVPVDLNAQLRSRSDIISVPSPASSRSSSLPTRRSP HISPRKRAEAEPDAVPSSPSGLLSATPSVPQTFHTPLKRSLSAFRASVEPSIQLRHPA QAHGWSSTSPPDSGVRPIKIGIESEFYLEARNTANSRDTLEEFIDVLTANHNRQLPAH SQMRRTLRPYEYSGNYYGKWCFVKEPTIGTVHEPWGIELVSPIFWACPGSTWRKEVEA TWEYLERQYSITKSTSCATHIHVSFQPPGIFTLEDIRRIALAILHFETAFEVLIPPDR RRNPYAKSNWLVGQHLGLKNKSRPESMAAINDATDMIQLIHLMQPVYDRGYAWNFNNL FDSKRTIEFRKPPGSTTANQALAWAELALSFVQAAVRYGTLEKLQKVPSTVRGLYWFM EQAIVPGMNEPGRLERLFDGTAPDVALEPQGIRLDLSYREERQWDEASKRKTAADERR VIMHAKTVQDPYW EPUS_06346 MGHYSEDYQLVRRLGFVYEPRSAFRMATTNIANITAKTFAELLS GPMIDIYVGASKRHWSLHRNILTHHSSFFEEGDVHVDSKVERSSIELLDEDPTAFELL VKWLYQGKIDDVSTLPVDQKWNHADACQKLYTLCHKLQMTQLKNLAIDQFRKGCNEAG LVPGPEEMRPIYEKTPPSSPFRKLVSRIAARQIMDPDGNKDAVTYRDCFESNADFAID VINAIKDGAGPSLFDDPTEGNSCKYHEHENGETCHKTVKFKKGS EPUS_06347 MESSGYRGHCQRPILFMDIDNCLYPRNKRVHDIMQDLIDKFFIK HLSLSAGDALMLHQKYYKEYGLAIEGLYRHHKIQPLEFNREVDDALPLDEILEPDPEL RRLLERFDKDKVKMWLFTNAHITHGKRVIRLLGVEDCFEGITYCDYTAEELLCKPRPE MFEKAEREAGAPAADQCYFVGR EPUS_06348 MSITAGMQAPVNGLPRRPTEVNEALEYEKILSIYHQVIAGNHPR LKPSGPVNAQPRASLAQCSSQAPSTAPAPLVSASALPTPLAGLRSSNIVKELPPKPAS LSGPSLSSQPILSKPPASELDPIFLTKSDDLVKAEIQLQRQRVERTLREQVEQKRVDA RHKPSFAEAKPDFDVADVLTKALTRVKPVVFDSPHGVNENDSASDSFDENSFYSSKAP DSNPCDGNDSQKSSVSKHQVQPAESDELDADGLVDRRSDEMQQVDLTDSPYRVIPRPD FPAAPNLLYRHDLGRERDEVPPLPRRALDEDEDEPEYSPPEPTQAAHLKDGRTANSGE PYPERARRVSGRHRNQYQNARRYGSPMDTDVRIVRSHITSPIAPQPSRVSPLAVAKGP PISQNRRHRQEFGQQRHVGEVDSERISPDMTISTVQPRKKRKVQDGRRGGRRRAIGSP DAIIKDEPVSPPPFHDVPPLGAARNRPSGGRPIYIDAEPPPDIRYVPERQHEPPTPQV VYDIESQAPHSAPRVLSRAGLKDVPGVNQDLRRVVSVHNISQREYADSSYQTSTRLPQ IPSYAGGEGAGRPHEGRPVEAQPRLYERPQIVEEAPLLSPIYRNAEPTQRLALQPMGP PPQRRIVVDEYGQRFYETVQPTQASVVPPSARRVDVESYNEMAATRNSMTRAASVLAE PYRETRYVQQEMPPPQMVYRRVNEAPRPATSDLRYVTGLPRQSDIRYITREPIEATPI PRSGSVQMYDYPVRQSAYLDDSVAPREPLVRVSSVRPAARAYEEPREMFERVQSVRPE TREMDVFPEERAPPRREVMQLEGPRYEVRRTAEGERYYRIDDAGRMMLDGAVEARPTY APRY EPUS_06349 MHKITNFTGQARHGWDRMTPAAFGMSRPHTDVSTSQQLRRPPGP PTGTSQTPVDATVNLAFNVPFASNLPGPEVDDVLHSSPGALQRWTYPPGTAEGTPVHK LPVHANNIETLRKLCRQISDQSGGRLEASVTSSELKASQSLQRRPHGQVTNVCISGDG DLVNKMRAKILNETPISLRSAIVDINPNLITDGVSNNIRTNVLSHIDRIASYTGTDMF LLNPKGTDPEGAGLSYTGGAETGLDQRYRVNIFGDMESVEHAKTRVLMMIDQILKHKI DVIKLELTMHTLVCGRTRKNIKMIESATKTAIYFPPPFPRVFNYVPQGATRRSEDEVF ITGETQERIQQAKQKLRDLVMGVKIYVKDVMVNCNKIDNILLDRLDKVRKVMETNGSY VLFPQLGSQRGLVRVQGTEILHVERTVREIMALAGQFYSASWWVMVPNNMQGGVPRAP SVADIRVMLSDICINSGADLSFDKFTFNINGSDDAVKAAMMVIYQIPFVNRNDYQIRV KIELANEHKEFVSGKKNGKINKIMGQSTTQISCNVQIIFDGFNEYNFYIDVCGTKYEA TKNGLDLVEQEMPASIAFHVPDQYHKRIIGIGGQHIQRIMKKYSVFVKFSNAMDRGGT SKEDDDIKVDNVICRTPARNAQSLDLVKQEIMDMVEKVDAEFVSETVVINRLYHRELI ARMHEIEELEKKWNCKIDFPNTEQASDVITISGPEYQVPQALDAFLGMVPEKHEIAFQ NSEELSNFFASPEFHDDVRQKLKDQYEVDAHVNATHPFASTPSASVEILAPPAEGHLV LTYTRNNAGGLKDAIDFLISRLVAYGLDATTVKGAIPRPKSDSFEESLPFFDSKLLQN APSIHSTDSPTRSTFGDTDNVSLSDRASLFERLRKPGSISSFSSFISRKNQNNSTGSF FKHASSNASKASLVSQESRDSGYRNPWNDSGVNLPEEDVHVGGWPPRFDTKFPFTSTP GDTTPKHDPRASFDSGRPSTSNSTSGYPVPIGPPHR EPUS_06350 MSQPGSADGIFTRWLAILLSCFGQAQRSGSVAVTSGVEREMKVA HNQPHLVPPMKLVFYDEMPKSPGPSPCSVNRMSHWVSRGKELASKASIRASLIIKKQL SMPDISAPLPVTTADRMSPCRQQFRPLELSIYLPGNRLSDLPGFEHVAFTDLGEIQVP PKALIRTRSENMLPPFSQPQTTRPTISMVGERQLDYWQQQQQQQQGSSPLVSQPPPSA FEPLNSHPICWSSLPGLPPQSEAAIELLEEEEPSSVPLQTADNTTLDFPPLYAQEQEQ NRPATTTLPPPSIRIPYKKPLRPTPSHDTSSSSNRTRISHWLSHSSTTTKAPKPSQFY QCAITPPLPQREYQHQHQHSHTPSHNCRSPSFTSSSSSSLGSPIDSDSESIVSMTSST TAPTTVLDSRSWSRSRSATLRTLDSGMGKKNLRVGAMGEGAGKEEGDPVPDIPDMSVY AKIGRGEVREAGRAPASSQGVGCGVAF EPUS_06351 MQQTPNVDDANEAQAIADAFRDFVRVHQVLLNILIGKAGLFQTV PFIGAPIAAVLRQVENIVDTIAFGLIDRVQSQATELTNQAQSLSMTLKTTIDSYDGMN MRKRAISFKS EPUS_06352 MPKGASGGGTGDKLTKITIILAGVASLIATVISLLSIWLQTKNY RKPLLQRYVVRILLMVPIYAASSWGSIKSLKAAFWLDPIRDIYEAFTIYTFLQLLVNF IGGERSLIVMMHGRQPVSHPWPLSLYCSKVDISDPHTFLAIKRGILQYAWLKPILSFV TIIMKATDTYQEGYLGLTSGYLWTGILYNISVSVSLYSLAMFWVCMSEDLKPFRPVPK FLCIKLIIFASYWQGFFLSILQFLGAIPNDVPGYTPDNLAAAIQDALICFEMPIFAVS HWYAFSWHDYADVTISAARMPVKYALRDAFGVRDLIEDSKETFQGKKYDYRAFDSGDN VLAHEESESRVARMMDGMRYERGGKAKYWIPKPHQANIRTPLLSDAGASNGAPRTRSD SKAREYRATSPNAEIAIDPEDERLYANARALEFGDWKYPVVTVNQPSKERWMTQDPNL LTTSTNRHLFQPTKDHEKRRRREIRRDIRRGKRSGSSTSSEPGSGIAAKLIGSSGRPG SSGSGKSQLVDLVVEDIDAEGAERVRARKEGGPAWNRDEQKHFVRTYSDEDLGEDIRE GFDPSSVKASAKPPEFAVGEDPDEDAEAERSTSQAPLGEEHNPWDSPDRRS EPUS_06353 MAGVASLTAQSLDPGRTFNWIYLVELLVCGILTLFFLFYFNRLF ATLVSYGLRAYTWHKYRVYVDIQALQISLLGGRIFFKGIRYHGENETILIQNGYLTWN YWLRSVRQADCARFVESGRQRSAGSSEESGSPSNPCATESSVKESGPFQAVQDLPSRI SVSISGFEWFVYNRSPAYDTIATSEAPNTKQHAAQPNRNDLRKSSSPATSKNFSLKSV DMGNHQPNASPQGCNLETRSAHPTSATNTMDWSTLLLLTLFPILIECKKGAIVIGNEH TRAILMTSFEELRGHIDAAKSGLHDIYRQIFEFDVTHPVVQMKPNPDYRQPQQAAAQN IIRNATPVHVNRSWWEIDWRFRRRKRKVMHGMRTLMPYFRRSVESFRPSSVDEQKHVG TGNWPNEIPGERRWLGLSRYLDEEERDDHEGWSNVEYARFSQVVDCPSVHLSLYWDVP GVVEQDPASAGRIETDHDTDLGKPPAYGLDLILRGGKINYGPWADRARAEIQNIFFPS SYRDAVPADPVSVGSSRQSTIFSVHIHIENETSLRLPTRENSKDWQWKGRAGALRGAS GMKQQSDKKHTRKKKDEKNLLGPDVRPFGWFAVSVLPNSTIAYNMGMIARKQGYHNDL ELDLKGTKMTTSVNHAQLWSCGPQKISCDLSNPLGWNTFHEWAFDVQSHNMDLFLLRD HIFLLADLVNDFTSGPTGEFLTFVPFMYRIKLSFTNVKLYLNANDSNIIDNPCDLEEN TFLMLHGKTLQVVVDIPLVDYAPSDHRINFQAWAQDARLDLATPAWNTAHTFASDMPT ANLEGFSMDGCYNYFSSTSPSLTDSLFLNLRGLSPRIFLHGSLIKYCIKLKDNYFGDD VHFRTLEEYQELLAKQKCVMPEPLYFRNKKENDLDVILNVAFERVCALLPANVYSRKE NLRLEFLFVEADVRFTNYYMDVEAMFSPVKAAWESVKDEEAGIDNHVSKPQAFVDGIR VYGHRLFGLAPSEPTYVCNWDFDVGKVTGECVTDFVHILLRALKSFSFTLEDDENALP QSHIPKIHDVTFLSARIGNINLCFFQGETAILFRADGIEITFNDWAGKRFSDRLQLEI PNIQVAAVDKKGATRHRDEPTSVVPTHALFRLSILLRMVGKNRDFERERQLQQHHIQF HDQRTHRTRWLLHEPVARVQTPEQRKSTRPNPPAMTVPHMPAPLSEGDCFSSSARGTE IRSHGKTSNPRLRHKSAFFSKASSLGSSSLQSRRDYSKVTNSRVAQPSYSQRSSSAPR PRDQDGGDTVTVAFNAAASEEHVWADREVAPNWKSTTTYSSPWTAPYFPLVNIAPETG HLPLKPFNTKLATKTSKRGGDGLEVFEDLDDDHRHRHSLLDIDLGADARGFASPKFFT AVATLVKALQPVHPTDLLDGLQIDTVTQLQKLANTGTGFAQTTDYCIRVPFAHLRILD ADCPWQATKNEADRDQYDLQVVRLKMTARSSTKEKRPRNNSEVENCVYLHVVLQRSLL EVHDQSADVLNDKAAARVSIEDLVLWIASDGRTVAKFQQRDLQITSWGSRIEYLASLI HRTVELSAFVVGQFTSVDLAARIPSLVYYLTEVAGGSTDPVFLTRPSYVLRTADHHLR LNDSWKIISRLRQMLHSLGSHQQSALLQKCTSPDISLPPNAKALVLATFDKWRSWDLA DVSKSLIMELIWGKFTPKENSMPQNGGLTLEFTLDKVSLILDPGPKENQIAIHGIGTA ISTAEESRYDMKHEPSDVFRPRIVTVQIYCSSFGIHLNWELVELIGETMELFNSEHRE RKGSTDEITNKEQLPVPVKQLHFVVGLDAGVVTFDSININLAMAASGVRGSMLHQVDA KAFKTTNLLLMSRSASASLRDDEAVLLDWLLYNTTVSLCRTVPLSPSSDLAVPRATAK CERLDFEIKEDINNSLKVANRVIRDEVNILNGMAARLNARSQSLQTKEHSNAGPQQHI YIALLLTHYTLSVAILPSLTYVISGNGARTSAVPRTSSRMIINFDLEDNSHAFKLQNT DTASQVAMLKIPPINSKVILCQSTKSTNIMIDTTLNEVDLDARSVRSCVDIINKPEVV RSLVNAKKSVQHASAQLDTIFSSQTGMDAETSSHSTTLLYKAKVTLVGLKVHVSAPAL KSQDYRSDLDFVLGLTTFRSWNEDVQQGVVHERPQFDVAFRRISLNVYRRRSGVAIKS GNLDFSGQVTGRTSTDGEKNQIQLYHAASVGLNANLSAETASLIVDIAAHLQERIKSF TLADEVKRLKPLRRLTTAGLSDPPMIKVSADDGKEEEQAGSSSLFDSVYSLDLNAISI AWRLDAKIPKSTPRQAEDLVFSIRKVNLNTKREGSATLTITDLQLQMVPKSLEAHERS LNSALMPDVVFKVAYLSTKKGRRFAFQAAGKALDLRLTSDFIVPATALRASLAAASAE LREGSALWASQPVAFKKESTSLFGRKYLASLLIDADFAGAVVNIQARKPDEERKSVFG ILKGDKRSRAGRYDQAVQGDGATLRAPGVAMKVEYSDNGRDDPSLNAEIKVAASSNVL YPSVVPLITEASSSIKEIVGSPDDSAEKPIIREIQKPPSSPTLASSKPTNLMGRCKLD LSIWIRKQEFSLSCQPIARVAATAKFSDSFIAVNTVQSPEQGRFFALSANFSDLHASV QHVYSRESTASFEVDSIVLSMMNSKHVSNITGVSAILNVSPMKASVNAKQLQDFLLFR EIWYPAELRQTASSPTAASAAPENQAIVVQRYQQVAAAGAFPWNAVVAVQELTVQVDL GQSLGKSIFTVSQLWASSKKASDFEQNLCLGFEKIGVECTGRMSGFVELQNFRVRTSI RWPQMQTALEQIPLVQASLGLDQLRVKAAFDYQPFAIAEISKFEFLMYNIRPRQSGEK DRLLGNLEGEKVQVFCTTGSASQALALYQAVVRLVQEKEAAYETSLKELDRYLRRKSV FPSSTWTAASTEPGEEEKDVLQGPFSLHTKVVVTLRAVNVGAFPTTFFDNQIFKVEAK DAQARFAVSAKEGKTHSGLGLTLGQLRVALSNVNRSNTQALGEVSVSDVVSRATGSRG GTILKVPKVVATMQTWQGASSNSIEYIFKSTFEGKVDVGWNYSRISFIRGMWSTHLRA LASRLGKPLPQSAVQITGGPQPDDKGEGKNQEKITAGQAAEPDASNHHRHFIRGGKGS GGCLFEDTGIIIAETSL EPUS_06354 MVNFTVDEIRQLMDRPANIRNMSVIAHVDHGKSTLTDSLVQRAG IISASKAGEARFTDTRQDEQDRCITIKSTAISLYAHLPDEEDLKDIPQKVDGNEFLIN LIDSPGHVDFSSEVTAALRVTDGALVVVDCVEGVCVQTETVLRQALGERIKPVVIINK VDRALLELQVEKEDLYQSFSRTIESVNVIIATYFDKALGDVQVYPYKGTVAFGSGLHG WAFTVRQFAVKYAKKFGVDKIKMMERLWGDNYFNPATKKWTKTGNHEGKELERAFNQF ILDPIFKIFNAVKSSKKEEINTLVGKLNIKLTGEEKELEGKPLLKIIMRKFLPAADAL LEMMVLHLPSPVTAQKYRAETLYEGPSDDEACIGIRDCDPKAPLMLYVSKMVPTSDKG RFYAFGRVFSGTVRSGLKVRIQGPNYTPGKKEDLFIKAIQRTILMMGRFIEPIEDVPA GNILGLVGVDQFLLKSGTLTTSDTAHNLKVMKFSVSPVVQRSVEVKNANDLPKLVEGL KRLSKSDPCVLTSINESGEHIVAGAGELHLEICLKDLEEDHAGVPLRVSDPVVSYRES VGAMSSMTALSKSPNKHNRLYVTAQPMEEELSKDIESGKIGPRDDFKARARILADEHG WDVTDARKIWCFGPDTTGANLLVDQTKAVQYLNEIKDSVVSGFQWATREGPIAEEPMR SVRFNIMDVTLHADAIHRGGGQIIPTARRVLYAATLLADPGILEPVFLVEIQVPEQAM GGIYGVLTRRRGHVFSEEQRPGTPLFNVKAYLPVNESFGFAADLRSNTGGQAFPQSVF DHWQILPGGSPLDVNTKPGQVVQTMRKRKGIKEIVPGYENFFADVVARKVASVRTIDD TTIHEHHERTGGANKGINIAPKHATASKVDSNSFAEKWVMMHLSTEQAGEVKAWMVKR LEDISDADPEILSDYIWAILQSDTSDEEIRKSAIENLEDFLKEHTVKFVDEIFDRYVP KPLPPTVSSAKSSVTSFNKQLAGGFPIQSYESGSFGNQKQADKFNTGRKRSYHDANSY GQDLHYGNGNGVSTKASRARRAPRDTRASGHTMSSQKYPSPAMSTSFPLLHAPAPPTP PPGFPAFDANNPMAALAIMQTMFPQMTGMLPPSPNGEQGFLGNKVRCHDYDTKGYCTL GSSCPFEHGNDPIIAPREDEYDPTRANFSMNGTKSRTPRASSRVQRTRETLGGLQHFD VKRNNRAAFSDFRLPPDKTFTTIVVEQIPEEYFEDVTVRDFFSQYGKVLEVSMKPYKR LAIVKYETHGAAKRAWESPKVVFDNRFVKVYWYRPELDNTKVMLDDVTTAKNADPTIT TEAVDEEAFKELQNQKQRAYEERMRTKKAMEDARQDLIRRREDMAKEREALLRKLAIA EGHEPGQSNTNRSKPLWDGMVVDSPDPKIKALRDQLARMQDEARSLGIDPDAPSNAAP YSPSTAKRGGYGGPIRGGFAARAAYRGYGAPRGNYRGNGFVRGRDPSVKKLDNRPKSI AMSGVDFDTVKEENLRSYLTLMGPFEDIELDPQRTDSRIVVFAERWQAEQVMHGKSDI PGVGKVELSWVANTAYGSSAQATSAQEDVVLGDAGDNTRAFAEEAHQPRDDDLDVAGA DDDDWGNIS EPUS_06355 MATQSYAQHPQDSGNHSRVQKFSPLEHSLHREKSTSPTSSHGAP HDHTEASDLEVTPPTSSSGLSSQETPNFLGQNCSLPASEGAGVNTLPAATSKSTTQSP NSAENVFGSIAAPKRTSSGQIKISNNAGGDGAGNNHGSSSRHSPTPSLPSNGSSVTEL SRELRTRLSYAMVKVKNGWEANNLEEIEGHTSQHASPRTPSATTTAACSAQTVRSPQP AITPILRRQGSSSVSCESIVTAGGSSQWDNGAQGIASQWHRQPISFLVLDEDHITPIS LPMGIAQRTASQNAAMEADAVETLLFMASPGNPSHHPSTSLGIMSSTRTSPPTSSQTS PFGSGFPTPDLLASPQRRVAFMDPTRTAQRTIGITCRSDEIDRMIDEMEDVSDNGGAE YISNTAYSTSARR EPUS_06356 MATDSLPSSMVSSQSSKSLQGRTALITGASSGLGRAIALAYAEQ GAQIVCADINPNPPPNTPILSKTLAATDLQTPTVDLVNQLHPLESNGGQNGSERAVYV HCDTTRAAHNEAAVRKCVDVFGRLDIMVCNAGIAVEAQPDRILRLHEQPEEWFDRTFE VNAKGVWLGCKSAVTQMLAQDPLGGSEGDRGWIINMCSVLGLVGMAGTSCYSGSKGAV LQMVPFIPPFPIPFPSFSRRVKRGLELMTRRWMFQTKSIALEYAKDRIHVNCISPGFA ETAMLEPMKRRDGDQEGAKTTAMLAAIHPWGQLGRPEDIARAAVFLAGDGASWVTGHC LAVDGGYTAQ EPUS_06357 MADLLCRGWRPILRVRSERVRWYTSVASFPPAVPTWSHPPSSTQ ISDDEINRLATRPRRPVTLADLVKHGRPPLSPDALLSSANYTLALLPPRLAHRIQCLR NLPFIVVSNPHISQIYNNYLHSISTLLPYHKRHITTLQEEEQFTETMADLVHTHNNTI PILARGFLECRKYITPSEVTKFLEQHLRARIGTRLIAEQHIALHMASQPGSYEEKGVS PYIGVIDTALRPAEIIRNCDAFVCEICELKYGVRPSLILNGEVDAEFAHVPVHLEYIV TELLKNAYRATVESGNERKPVEITIAAAPNAPHTKLEALKNLLVSQDTSHDFSQEGLT EYCNTEGDAIPLNSAAQSITIRIRDQGGGIAPDILPNIWAFNFTTFSNNQTSNTDNDN IDALNAISGSGGGNSSSIAGLGYGLPLGRAYAEYFGGGIAVESLYGFGTDVYLSLQGV GKIS EPUS_09217 MDLLEFVVSFIRIVCAGILTPGTCSRNHHMYLQSALIQLVFLLL LTVEDSCIKIVELWQTLLAPRFGSEHATFPLQASHKITPMNNPILNEQRVAILKALAE EDASAPSASLLESQFQESNGNVEKALSALEQSRPADAQSISHARFLNSLVDADSIRKI ALHFSKNALQSLVQKTQQPQKPSTFKSAAQPEEKSLEIGEEVGPNVTQLRRNLFAAEP TAVVHRMILDNETSLPNETNQHICDILDENPSFNIRSEPVSEILASSKVFKSLADEQK PAVESDFKTLQRVQTMAPVPDAIPTMLNNNLTTSLQISSIPEDSFVAGFSSTFGDPVV ARAYTTIRGSGLRVIDGISDKETVDDRITTFKNVVNTAKTQVDLERLFGSIDYCECSD CNSVYSPASYFVELMEFLRHSNLRTGNPRIGSSKLEGTQLEQLLLRRPDLQCIQLTCE NTNTLIPYIDLALEVMESYIVYAPTIKAYNVNGETSAELLAEPHHTNAEAYVVLREAV YPLSLPYHLPIDTLRVLLSFLKTSRAELLHLFQPNFVADSGSAQSNEESSRAYDAEQF DHPGGQQGGCGQGCQCSSCSRSRSKYEQQIRLHKSWEYFGYETKAELLDDSPKPKKGL TWVKAQFLPRTGIVYTDLVSLVSTAYVNPNMPKGKALATMLKIKFSYRFLSYLVDKKR HDKRRRYATLIKFLSFAQPLIPLIEWAKVRLDPCADSNDDSGGCGCHGHAAKHDDRCC CCCNGHDEWSNWVYTWFERIGCITVLESGEGPQPPKTGRLWAEPQRFLA EPUS_09104 MTSSTSSLTKVSIILSKPEDWDEWMLIIYTMARNGDVKDLIDPN LAAEPPQLIEPRRPTAADVKSGAAAITGLDPGEQKLYGVLREDYKYDMMKYRDRRSAL SSIQDFILTRVDRQHLLVLEGKESVYQMLTALEKRLALTDKACEIDVI EPUS_09105 MFWVLAAVPFFLFLFSGSALSQSTPNRSIEWFPCTLDIEGTPST CGTLAVPLDYTEQGSERLLNLSLIKVNATKEPFKGSVMFNPGGPGYPVRSILAGRSGE FFLNGTGGNFDLISFDPRCYDSSVDLQNILLLTPLSSLSSDTAVGTAWAYKRTLAETC YENRKDIGDLIGTAFVARDMMQIVDALGEDGMLRYWGFSYGSVLGATVAAMFPDRMDK VVLDGVLNLHQYYAGRDVEQLIAADESFNGFIEACVSSPETCILAREGITAQTLSTEI NQLLETIKYRPLGLGSNNTNDVVEYDLTEGLIINGLRTPSTSWPALAGYFDAILKRNL TAYHEFRDTLLGGSISAAFAVDANPGIRCSDVSLRTDNLTGLLPLIEEFQNTSRFGGG ILAVAQPITCAQWRFQAKERYEGDFQVQTKNPILLVGSPFDHITPLASAQNHCSIGQP SLCTYKAIREYFINGTLPDTGTQCDPDVTDFGKSSSTLSKRAAQDSEDAVLLNAALSV SQDLLQRFRLF EPUS_09106 MDSSKSIAEVHDEAVALHARIGQRHQSTPLNVNQKAKWDELELL SRQSQEYDSAWRVQGIQGLSDALRNLALTEKQKRGSGSAPPVWTEILRDTLDRNPRTK LYLAAMHPSLVEALIRGDITKRLYESDSQFEAVLHQYAELDDLPGTYINVLCRRKHML GGRTGPDGSSKSQWPGYSMSVPELKVVLQECGATVGDDGAKRGATTKRRFVDKPRSVQ IITEWIDAKNFAQLPPKLFDQNFQTLAGLNIFDLSWDVDRQKRQAFSAAIDAAVALPK LKQNVEALEAEVQAKAKDMMTKVSGLVVADRARRNVLGSIQKWRNSRPAVPRQEVLRQ NDKGNTASFVSDEGEVSYMDLTASTTPASCGRRRSNAEDEEDDDDDEGAQPRKRARAK DSSPEL EPUS_09107 MDAFNNDFKNMLDTFTTELNATMESLRRLQGTSETMQSAPPALK SSHLSQVMEEFKEAEASMKRQVNDLAANVCSGLQSVENASKKMEDEKPQSLEQRCKQD VESAKQETVRCQATHEKTVEDQSERVHDLEYPLAVAAVEAEEAKAASAKLQTDLATKV DELQYARHEAEMAKSDAAELRVQVNDARAMVDQVRQDEAGKSASRIVDLENQVESLHS AAAEAEKVKSDAAKLQAEVDASPANFEQAQRDGAANAASKIAHLERQLASAKREHADA SKCSADRIKLLQDGVQRFAFELEPLQREGMGPFAEQVQTLQEVSQSLASEAEKVAPML AQVENFTSSLEDLRAARVAYQQETAKAAKFAADAEELQRNFKARSDASANHQKEVADE LQQRQNKLEEAERAFAAQQSVEGIITFQMDHTAKRLEGRFDATERAIHLLHPAVMGAK GATAVVDKEIGDLRVETSESFRILFDRFGSVQDSVEKSFACVDDTQQQLLVVKANTEE SNTDLRRLIRQLETSGKVTVQSSRKRSSVEDIEAGPSKRPQLVQIESSALVPANITAD ESTLVEHTHGELLDESVAARPAAREAAEANLAPDTQRELSAEDGDIAGIRSMIEFPAA WTNERSSDCWSALVEKTGSVPELLKLLERAASGNQRAKPGPATCLMEIGNKSGFVTVG DGLRRGNCPVHSRPDSIGFCLYIERAPGTGRVTEVAVGTTSWLVRERPE EPUS_09108 MASFGEKTTTYVDDDAKTYEMETMVEATVAEKHRGTITDRHDMK VLGRVQELRRNFGFMAIVGFGSTLICTWEFVLAGSVTSSLTNGGTAGLFWGYILVVIA YFLVYASLAEMASMAPTSGGQYHWVSEFAPRSCQRYLSYLTGWLCFLGWQAGVCGTGF LVGTIMQGLITLCVPTYQAQAWHGTMIVIGVVCFTVIFNTFLARKLPFVEGMLLMLHI VGLFAIMIPLLVLAPRNNAKAAFTEFTNNGGWPTKGVSFMVGLNAIVVTLNGFDSTVH MCEETTNAAITLPRTLMFSTALNAAMGFAIVITIIFTWGDMEQIRQTPTLYPFIEVFY NSTRSLAGTVVMTVIIILTLLASTIAVTATASRQIWSFARDNGVPFSDVISRVSPGWN VPVNAVIISIVVSILLSLINLGSTTALSAMFTISTGSGLTSYIIAIGSLVMKRLSGEP LPLRPWSLGPYGLAINLASLCFLVVFWFWGFFPPVTPVEPKTMNWGCLIYGFVVIFAT IYYILHGKKVYTPPVDKLRRYLQQEYEGRGPQEEEDVKKDIKKDILLLHILLHILLHI KLNTKRKKGEKRLSLDETRYLPLGFGSRGAIRVGRLLKESEVEELNERQKAVVEELNS LQSVVEHAESPLVVDNETSNVIDSIVALCDEAPGMFERVESAYKGQNRDLDMSIGEGL DEIRRLKPRLDQFEAAIQVQHNAIEGLLSENDTLAEAYAKVMDLTANLAVAQKAAARA AQEHGNVAAEVVALPDRHAETRAELAAKLSDTQRAKMLAEPEAVTLTARLSDAQKTQE VADDARFDAESKVAALTLGAIQGSTISSLKQLVEQAEKERDGFAQRLSESDKLKQQYC GVEIPELKRKLMLVEVQNLMLREQLLESQAEVSKRDRRISELEDEASTPWKAAIAESV SLHEALKEQRQKASDNAVLERQLEALQRQKGEELGQAAARVEAATRENEELGNRVSRI TADCDSLREVNDQLRTDLGRLQATKRKSDAQLDSQLTSMMPNRKRRRVASGEEFVEDL DDLEKLMENLRRFLYGSVLFPMHRAWAAGEPDLLGAMESIQALQAIDLERKAVDWLKA LSGLAKSSLQGRPCREQMFWLFAQSHRKDGQVSLDDVYTLVAGMTDVGTVQVVLGLLQ IFIQKMVESLTSVWEPSSNVSAVMILLRCIELFYRHLAGKKTMLHRVRSAFEQMRPVI DRLRSQSVLVAGLADWLDDELTLEKES EPUS_09109 MARQKKTTVMMVFGCRLPLIAITVLRLKYLTAGLRSSDPTLDDL PTVLATQVELGWSLISATVPCINPFIRAVSTRYGAMDSETMMDGNHMYDTRKPKGAHS SYGLRSIFSRKNALNFKQSEKPVTSVHSGRRESAAQEIIIHAEGKDYDRDARSPQIYR GDHSSNTARVFSEGRVDADSVDEESNDSTKMIIKKEVQWFVESDKNTVSTVNTGGASL EMADQTTKASTEL EPUS_09110 MSFNIAEEFAYQQAYIADDKGPTVIGCAIFFIAFPTIVVALRFL ARYLKKLPLNLDDYFTLSALVAVILLCVLNILSANHGVGRHAIAVNAATVYIVLKLGF FSSIAYAWVHFFVKWSILLLYRRIFGMQKRWFRFTFWTIGIYVTSWTLSLFILEVTQC RPLNYLWLQYSPAGIQGLVKGRCTLSVDVPEQAFCALNSLADFALLIIPAVAMGKLKM NLNRKIGFIAIFLIGTLACAAGLVRFAMAFNGIGNSTDITWQMSTFYNWTAIEAGVGL LCACFPVIAPLFRLNISQKQYPIAEQQLESSQSHYDTRSGWLDVKNTGHETRYSEDSE ATLHEKLPASEYPPSYGLDTIVRTEILPFPPPTRIRDSYQERRELLHPGLGDPRVVNE VYRGVAPENMGLDRDGKPLPMTGIKVKTNVEFVRSSTVRSRGDIDRCELLTPENDIWG LTSAK EPUS_09111 MVKSYLKFDHSKTFGQIYSIASNALWVADQSSTNTTNSSSAGKA IVGANEDVLCWDIKKGEVLGRWSESNNSAQVTSVCQSQIDADVFAVGYDDGRIRIWDS RTGTVIVTFNGHKSAVTHLRFDDSGVRLASGSRDTNIILWDLIAEVGLFRLKGHKDQI TSLNFLQKPLDLEDRTANGATNGRDDGLGFLISTSKDALVKLWDLSTQFCIETHIAQS NGECWSLGLSPDHSGCITAGNDGELRVWSIDSTRLKSASSDPSDNVLRDRGSFYRHGK DRTTGVLFHPQRDLVAFHGSEKAVELWRIRSEGEISKTLARKKKRRRERVTTDDNGNA HMEVDDKPDEVSTALVTEVFVPYVIVRTGGKVRSMDWAGGKAGKSVSILLGTTTNQLE VYSVVHMEMKSKREEQPEYSRTFSVDMPGHRTDIRCLSLSSDDRMIASASHGSLKIWN IRTQSCIRTLDCGYALCAAFLPGDKVVVIGTRDGTLEIFDIASSTLLETMKAHDRDIW SLQVHPDGKSLVTGSADKSAKFWEFRVVQEEVLGTTRKIAKLTLVHTRTLKLTEDILA IRFSPDARLLAVSTLDSTVKVFFIDTLKLFLTLYGHKLPVLAMDISYDSKLIVTSSAD KNLRIWGLDFGDCHKALFAHQDSIMSVAFVPNNNEGNGHHFFSASKDKTIKYYDGDKF EQIQRLSGHHGEIWAMAIAHSGEFLVSASHDKSIRVWQQTDEQIFLEEEREKELEELY ENTLLTSLEQDDQEAAGEDQVVAAGKQTVETLMAGEKIAEALELGMEDLELMREHQRA KSFNSKAAIPSRHPVFLANNDIPASAYVLKIFQRIPSASLQDALLVLSFSQLPALFTF LSLWASEGRNVSLTCRILFFVLNTHQRQLVSSRLMRPLLEDVRRNLQVILQAQKAEMG FNLAGLKIAGRRVAQIGENEYVDYDMTNASTTTEVKKRTFVNVA EPUS_09424 MAENLLSNGFEAKKLKTSQRRRAPPQSLVLKKIVSNSLHSTSAA PKCGICLETLPINPHLCDACQIWNDATHVFQCMRDPDDFVTRYSFSLGNILSRVSCIL CQLIANSILERFRKPDQSNFNALLQTKITIYGPYCLGEGSLKVLDPLFFEKMGEYRIE EDDLRLDNIHEGNNAPALQRTDEAVLAVLYIVATTPDGHDLQGQTFKFDASTAGAREV NHRQQLFQVDPGIKVSEPAGIPGRRPPAIGLQLKLHYRHSMANLFRVERWEKSHFDSK LIKIWTSTCETYHGPRCKVANTTNLPSQFRLVDTYRHCVIEPPRFLPPRFVALSYTWS SLSDSPDIQLEQNNLERLMQAGSLEEENVPALISDAINLCREIGEQYIWIDKFCIIQD DSISKHAQIQAMDQIYATAAFTIVIALQNPLTAELPGVRDRPRRSSLWNDTRMFSDKC RAISGNFQRTITDSVWNTRGWTYQEHMLSLRCIYITDFQMYFNCPTTSEQEELGSISD WPKSKSGDMQSYCDAVQQYTARILTYESDILNAFAGVGKMIAGIQETKLVYGLPEKHF SQALFWQSLAFAQRRESTPQIPSWSWAAWTGRVKYIWDGHLDDLKVGTLVRFYFQDPN RGLRKLDMEEVWFHKPMCLETLKDLPSVNEPRSELKFLPEPAVTDKVWRDSPHSPWKM LFYPLDPIVVKTASKYPGCLVFTTTVATLSLRKATWPAFFNGSSSVIFEICDRHGDKV GEMVKMDQSWVDEHLDLAKEHEFVVLSAAILRDYLRYSLQKFGLGIPEIKTGQDRSPW CLRVMLITPGSLDGVARRLALGFVQTHCWKQCQPTWRTIALV EPUS_00241 MIAWRRLRALAQALLLVSLVQALEINYCSPDNTGSSFQPVEDSY QSNGACQDTCKSSYAFAVIQGQNCWCSNYAPGYSVNTLNCQDSCPGYPTEWCGSSSSG LYAYFQLTLSPSGTSARSSARPSSTRGSSVSGPLTTKSPSSFSFSGPSSPPVVSSEAG PRPATSSVLTVITTQTTAPAGSEQPTVTVTEKAPSPSPSSSERVVSTEVVSTTVVNTS ISTPTATPIPTTLVTSSSTFSPSPTPLPTEAPSLVPSVITVTQNGTTITSTASVAPST DPALGQSSPPPSGGNPGRMAGIAIGAAVSLAAILGLAFWLYLRRRGQNLSPPRTPESD RADGVKGLPTRHASQMSQAGLIDKNPRIITTGLPIGSNSNSAGTSLSPSHRYSAGTDL RLNPNAIYTCEESQHSSVSLQDNRDYSRQLQVRNPDS EPUS_00242 MAGRRFAPSEVSDRYETRRDYARSEIGRRDERIWEEELEYRSRP PPPRGRERTTVVKEEVRVKERSPPDFLRENYGRTTSGPVVLRAREREDFEFVPRPRRR SPSPELERKIEREEIIVRKEPEVRREPPPPPRDYEREEIIVRREDRTAERARPPPPRE VERDELIVRREERGGNPRYSAVYERNDVIDRRPPPRDDYEREEIIIRRDRDDSRDAPR YRGRDDPYPLPRAVSHERERSRMGRGRSGSDTDEIIIRRDEREGRNGREREREEIIIR RHDSRSPSPASSRGVSVRPPPEPPIINAPAIHQEVITHHRHVDHGYELALAPIAPPAR FRPPSPPTPPQEEERIEIRRRGEKNGRAYDEDIIIDRNQSRSAPPTRDRQDDDLDRAA LYATPAPSRRPAPPERWRDPRDSRDIQEEAEYYNQRALDRTYPGEGYHGSTADWAIVD VPPGTRRVRMDGAGGGAQEITWQRYNGVRRSKFMPDGSDEGYGSEVGRPAPAPAPAPA AAAAGGEIGRRYMGVKDPREGMWTEITKDLVVKEAIVEAGYEFEETDDFFYVIAYLKY EDVARLVGLSEDIRHARRKRMKEIDWERRALPPPEAPRRPLAIEDAPSRPAPGPWDRE DERVVEREWYRPRAPPPRW EPUS_00243 MPPSGRHGPQGLYPNFQHMQQSQYQQNQQPHQHHQAQHNAMQLP AAGLGGHPGFMSGMSLPGSNMNNGGFDGARLADGGGTGLASHSAQMSFARGGQMPPPQ GRVLDGNDGKAGQANSRIRNVWKSNLASEMKALRQLVDKYPYIAMDTEFPGIVARPIG QFTTKADYHYQTLRCNVDLLKMIQLGVTLFADDGSLPAPTDPANPQPNYLTNFIPSPY SWQFNFSFSLASDMYAQESTTMLEKAGIDFSAHEKNGIDPKDFGALLYSSGLVLEEDV NWISFHSGYDFGYLMKIMLQKPLPEDEEEFHKLLTIFFPSLYDIKFLLKHAARNQTVN NNQQLTAEAGTILQKLQTKSGLQDIADELGINRVGIAHQAGSDSLLTGQVYFKMKEKI FGGDIDHEKYSGQVWGLNGQMSAATNRDFATPNMNGATFYNANGTPSTPQTNNVGLAQ QNHTPGPQANHGGMGHLTPGGVGGFGQFQYAKA EPUS_00244 MVLDRLKQLAQQVTNSVSPPHPFDPLSSLEIETATSLVRGEHGS LFYNAVTLDEPKKADMLAWLADPDHTPRPHRIADVVAIGRGSKVFDGLVDLDERKIIQ WKETPGVQPLITMEDLQVVEHICRQDPKVIEQCGIIGIPSQDMDKVYCDPWTIGYDER FGSGIRLQQALMYYRPHVDDNQYGFPLDFCPIFDADKQEIIHIDVPKERRPVNQAPAN NYHPTAIKNSGGYRTDLKPINITQPEGVSFKVNGRIIEWQNWSVHVGFNYREGIVLNN ITYFDKTENKVRPIFYRLSLAEMVVPYGNPEHPHHRKHAFDLGEYGGGYMTNSLALGC DCKGSIHYIDANLVNRAGAATIIKNAICIHEEDAGILFKHTDFRDDSVVVTRARKLII SHVFTAANYEYCVYWIFHQDGTVQLEIKLTGILNTYPMNPGEDTKGWGTQVYPGVNAH NHQHLFCLRVDPNIDGPNNTVFQSDAAQGPGDVGSVENKYGNAFYAKRKRYTNPVESM SDYDGQSTRTWEICNEDKLNPYSHKPVSYKLVSREVPKLLPKEGGLVWKRAGFSRHAV HVTKYNDNQIHPAGRHVPQTSGDPARGLPEWIAANPNENIAKADIVLWHTFGIVHFPS PEDFPVMPAEPMTLLLRPRNFFTSNPALDVPPSFAKTPSEVLAGKRGYDSNKDKASVL V EPUS_00245 MAMVMAVVMDDDDIDATRVNVNDESDVQGKYRISVSNDKGILRR RHRSVSGCEIPTPTPPTPPQLMGEVGYYQLIINVSHIEENSVHLSMFIAS EPUS_00246 MVSLERFLNAGREIAWALKDHDHHTFGHASSYGGVDTTTTPKPQ PRCDGRNGMFHDPSTTARPATVPGSDVSVPDSTLNLFQSMALADVFRDPPTTARPAII PGSDVSVPDSTLNLFQARALASLSAIPPPR EPUS_00247 MSPPTPGVYFDDKELIDSLMPKNTYFDTLLSDASSKKRRRSSTD DEEDQTMPNKKRQQQQHDNEDVSARTKRHRSSDEVEQDGLHANKKKRKDYHENVPLLA GTKHGRSSIGDEQELLLPSAKRYKYTHEHELVGSAELASKTAVGITAEDDGNDDLAED ATTLASEEGRGISSEGKRDNPDMEIIECASTTAAPGSAQTRNPSKVNSRIVKNEIRNI SDVGLEVRIREEWRPAITHPDYVSRLPSASIDPANYEHKRASGKDANDFTAYLESQRT WGPERADRPDILFVYKIPRSGWNSSNPSLLTYNDNGLRRVVIDVINNRPLKAFDNLPV TISKKVEGWLLETWERQNRNIDVEDFIQRMRFNADDNVWKSKKFSNALTQRKERFRNR GRCICWKKAQHDREWDRKLEAEMKNNATWIKANTTRHLDDLTVKEDRALAAATFIYGG HMRRAAGRELQGDAKIEKEENMRALLDQDESDDDGQEAGTDLESSLSKVANAQAVVNC DRCDVEASSLSELDTNEDLVQTQVNTAPEEVPQPQDLTSGLRVTGSEAQTQASATNTQ PHCSPPRKTPALSDADMDFFDSLGLDMLPYEENYVLPPYSRKVMGVSRQDSHHIGANP VELCTENGRTRSIRASTGQRSKGKPQATMYRSDVTKDSRYPPASTTYGSSESAVSAEE LPDFALLVDCQSDSSEDVQSLVDMDLGSLLGIHPRIVDFNIADYYPNDPESLDQE EPUS_00248 MPASKRKRGGQKATSKRAAKNTAKPETPADPEPDLQRTQPSTYT VESLPEENAAADPAAESAPSPRILAPLTQLKSSTPNADLTSSPSIPKSPTPSSPDAKT ASQGPGSAAPDHPILPPSSPSTYQPHSASPSAPQPPTSNPSSANLLRTKISTLQSQTS TLQTQLSSTYAQLAAKTTPAPESDPAAKAEAILKRHIRLLHEYNEIRDVGMGLMGLIA DARGVRLGEVMDGFGVGADGD EPUS_00249 MRYSLLESFSPPTLYPKEDLPSVEMNNAVGADLPAGTRAVEENI EEDQLRAAERAGMTEIARAESGKEQGHTSSSSSSQSVSEDDHVKKLDSKIVKIRDALE GDAAFAHLPEHERAILKRQLDTPDVKVSFITLFRYASMWDIIIFYVAALCSIAAGAAL PLMTVVFGNLSGVFQQIFLGTMSREEFDSTLVEYVLYFVYLAIGEFFTTYIGTVGSIY VGEHVTAKLREEYLKAILRQNIAFFDKLGAGEVTTRITADTNLVQDAISEKISLTIAG VSTFVTAFVIGFIQSWKLTLICASTVVAITLTMGIGSKFLIAWGRKSLASYALGGSVA EEVLGSIRNATAFGTQDKLALKYDKHLIEAQKWGWRVKGALGVMIGFMFLFLYWNYGL AFWQGSRFLVSGEVDLAAILIIVLAIIIGAFSLGNIAPNGQAFTSGISAGAKIYSTID RRSPLDPSSETGEILDSVEGSVELRDVKLIYPSRPEVVVMSNVNLLIPGGKTTALVGA SGSGKSTIVSLVERFYDPVGGGVYLDGVNIENLNLRWLRQQISLVQQEPVLFSTTIRD NIGHGLIGSRFENESREQQAERILNAAKQANAHDFITNLPEGYETNVGERGFLLSGGQ KQRIAIARAIVSDPKILLLDEATSALDTKSEGVVQAALDAASQGRTTIVVAHRLSTIK TADNIVVMSEGRIIEQGTHDDLLERESAYYNLVNAQKIGDRNQQDAEDDEKAALIEEE LTRIHTSRKASVAPEDEKLALGRTNTSKSISSQVLEKRGSDKGKHYSLLELIRFIASF NRKEWYYMGLGLFFSIISGGGQPTQGVFFAKSIVALTQPLEFRDRLRSEINFWALMYL MLGLVNLLAMVILGVSFAFCSERLIRRARDVAFRAMLRQDISFFDKEENSSGKLSAFL STEATHLAAISGATLGTILTCITTLVSACAISLAIGWKLSLVCISTIPVLLGCGFFRF WMLARFQGRSKRAYESSASYACENTNAIRTVASLTREQDVYNHYHSQLALQGKKSLIS VTKSSLLYASSQSLVLLCTALGFWYGGTLIGSGEYSLFQFFICFSEIIFGAQSAGTIF SFAGDMSKAKNAANELRTLVDLKPSIDHWSTDGEQVTHVDGTIEFRDVHFRYPTRAEV PVLRGLNITVRPGQYVALVGASGCGKSTTIALMERFYDPLAGGVYVDGREISSLNLTQ YRSFLALVSQEPTLYQGTIKDNILLGANRDDTPDEQIIQACKDANIYDFIMSLPDGFE TEVGSKAALLSGGQKQRLAIARALLRDPRILLLDEATSALDSESEKVVQAALDAAARG RTTIAVAHRLSTIQKADVIYVIDRGVVVESGTHAELMALRGRYRELVQLQSLEKKH EPUS_00250 MSSVQPLFVLQVPPSSGTTASGNGSIVCVEPAPQVYVLSFSSPP DNRLTPAFNATFLLALDIIEIRFSKGVVVSTSSITKFYSNGLDYEKAVKDPTFFRNSL YPLWWRLLTYPMPTIALINGHAFAGGLMTAMMHDYRIMNPHKGFLCLNELDFGAPLTS PMASIFRVKLPAMSTLRHMVLESRRYPALEALKEGIIDGIGGPEETLAFIEEMKLVQK GQSKSYGRIKEELYREVVKDLEVSDGMAKMEAAKDLERSRRELDARHRVGKWENDTGR ERSKI EPUS_00251 MPETAWEKTKKYSGTAYSKALKPGFDKAYQVVDKLGAPINRLSN KLGAEAFWPTSLDKESDKAARILRSFCKDGFYASVEEDQARKVAATGEKLEGPQGKQR VLKKIPEKVIREAKGLAIFTTMRTGLWISGAGGSGVLIARNQESGEWSPPSGIMLHTA GLGFLVGVDIYDCVVVINTYKALEAFTKVRCTLGGEVSAVAGPVGLGGLLETELHKRQ APVWTYLKSRGFYAGIQVDGTIVIERTDENEIFYGERIPVADILAGKARNPPRTKYRM LLETVRAAQGDKVDDSELPAPGLAPGDMQIETETSNFGIPDAEDPDPYGVKALQAEGL EIREAGTKSRPSAEVFEFKPATTSPIYSSFRKSVDVRERANSWRGSMTSIGSVDRGTQ TDEYDSRSISHSPVKRNSQPPSPIRKETEHVQDSSDDDDLVNGSDASVQEPAHIETAV PVVARARMVTVPKRLPPSLPPRNPNRTSPLSKSENGVDGFENVSLSGSEQSDITLEDR KSDTESKVSIDGAQVSPVDETHHAGVNRGSGVAGEEEFHSVPPSPAKEHLQGIPGAF EPUS_00252 MAYGQLGHSSSLLRAVPIIAFVLLPTCAAHDHDADGIPEGAAVS PEPIAAGQDSILWTHILLQGLAFGLIFPAGMVLGIIRSRWHVPVQTLGTIISIVAWFL GHRHKGRKFAPNIHASFASSLMLMLAVQVAIGVYLKLHLTKGVHSKIRRYVVNVHGII GKLMPVVSWVQMLFGGITALGFCRDDHLGQCVAHFIMGSAFIGYGVIMTTMLLVGQVW LRRSGKSQEFFDSTLIAAWGTVNTFTEHRWGTAWVKNDIQHTSMGIVWWCAGLVGIWL SRSRGGRPKRNLIPGIVLLLTGWGMSAHPQSLKLSTMVHTAFGYTLMAAGATRMIEIA FVLRDSRGLVGEPNSFQHLPPFLLCASGFLFMGATEEQMKLLSDAHVTHVSYILILYS FAFLLYLFVNVLLHIYAVYAWPDSDSNGRRESRMLSRSRSRSASAAGGLGRSGTSKRQ FSTPDLTDGMNGGLSNGKIRMPRHRQTDSQQIRDAETFELEGLISDVEDDEDTMSPKG IDTDVERA EPUS_00253 MLSRGASDASTRLRRAKSSASVNGRRHAPFVRDTIDPEIARQHA MTAANIAMDRANERASAEARRSAELSRNQSNASRTATGATPRSSNVRFCGGVPLRSQR STLQSQAPSTASSVYMPHSNSHSKTSKAGNGPPLNEFGVVDGYGSTPSSYRRLRKTKS MLTPRKCGPGVKELSSQSPGTPRTVKSASSDHNNGLRLGLKRSMSFLKLSSGNLSKTF KRSHSTSEQNDAAVERARDQFMQDVEQQELRKKSSFLSTPRVRQQKAFRKTVRSNRTS ECGDGVNPGPREMKQESKIRSFSASLRDRVRRVLGGSSSKDNKIPTQQLDASRAHFRD YLDGTDTNASQEENTADTSQSAPRRSLYVPSPQHDGAMGDLEAISASLRSMHSNDSLH SNGRSRVTSWTNSTMTNSMPARSTPVERKRLSIIQEHGGPHQPSSSIGRHMDEVSATH LQLSARNGNEQATGAIDSQRVYSALMRRIDQEQEEQHQAKTPKPKPEHDIRQSYTSSA QSFQTAPTIRQVASEESIHAAPLGEQHRQFSLHAPSWHEIESKTPQQIALENETLHKR MSRIVVPEPQSSFFPFSSEDKPQTPSPFKLALAARRERQTSSEEESGSVVITRPSVGD NGFETSSESQYSRTTSGQLLMPTMNRSDPELEDEPTGMATIIPTRVNRCPHPTTSNAS MDRMNNQMTSLNRRDSKSSNSHYREGAQMDGDDTAVGGRLGSSAVLGRRASTRTVKEN EIPTHERRPSLMGDRFPLLELKEVPRNSISQARRSSSVTRLASSAAADVKRASLAAIG VNDENTRLQSADTTKGLHPLRAKYSQVSFKTAEEPLSTPGRAQPLYQQQYRDRHLGRP QSSITIRSQYGRITETSGDGDENAEMHGPRPTSRLSRPSEDHCYNEGVDGCIRDASTG ADGATVSRAPSRYLAIRNEYHADTALPRIGGSASKRRDHKLGFGVPVSGSRRMVSNFL RSRRRNNNAAAAARRSDPNEVEEDDGGQAFV EPUS_00254 MSSRHPARYDEQTRRTGEARESRTTRDPREAREPRDSREPREVR EAREIIAPRERADIIPPRDGREIRETRDTRIDPRAEPRMDPSRIDSRIDPRADPRVDP RADPRLLSRGDPRADLRGDPRVDARVDFRPDPREIRDSRDIRDPRGESIIDTRDPRYA LSRENRDLRDPRDVYGRDEMDIDPPPSGRLTTYFLPGEGISREVIQADICRYLGADAT CLPARNREGASGYMIRAYRMLTTKMIEDLMADSKRWDEELRRSRTRGIKPAYEDTKIH EHRQITGPSQNPPGYPGPQSRVREREDYDMDDYPRPNPSSQRTLYQGTMPQDYPQNYP VTTSGFQSPSMTAASEYMITRGPAEGYNQVPRSEYDYPGATAGRGATLQSTNQVQFAS QQGLQGPPAYQDPRTGQMVYPPTPAGRGLDQFARHTGPADNRRR EPUS_00255 MEHTRASGFPCYDDGDVVVIVAPLKTYQLHSGVLRRYSHHFAEV LREEHAAKLSPKAVKDGVTTRYCLQLVKTQFGAIGNFQPLPVDKFGHSHSAGFSLTGI ENGRVPDERIQYWDWLFGIFYGKAPSFDDENLATVLSGCMGLVDVAETVQAVDSVREH VDLALLRQGQVLWNSVASNPVAWAELGRRVHSPTIFSEGIVHIVGKWNDMTEGTKKDL HPDIRTVCDKKYRELEVAKEAIELRILGHYPPFLCRDATTKPGRATYSNDIYMWMAIC FYRQWFAQAISDGRNRVSRDGGYEFYHQIGHAGQAYLNHETFLNFHKYFPMSSKACNV LEANMGILKNEVKHFVKDILESTVNVDNVTYPPLRWLTCVKVNKEDYPWHVEEPDNSF PTDQFTMTGQSPENDGDNDDDDAVMCGRGGAY EPUS_00256 MSSAVPKTFWSSPIRYLRWCAHEKPAIFYSIIIGAMGPVSLVVI PPLRRMAGDQDPPRIPLTYPSECFDLSVLGRWSSNLGFIEKGLEMRRKSESLNVLTIM DFGYDSSKNPKEDTGRI EPUS_00257 MRNLLPFLLVAILGLVQALSSTGNRLLVVLEEQSAKASFSQFWA DLEARGYKISFESPKNERLSLFKLGERSYDHLLLTPPKSKGYGPALMPKILLDFINGG GNILLALSANSPTPAAISSLLLELDIHLSPDRSSIVVDHFNHDISTAAEKHDVLLVPR PEALRPDVKNYFGGDGVVAVPRAVGQTLGNDSPLLAPILTAPETAYSYNPKEESENIE DPFATGGQLALITAMQARNSARFTVLGSLEILENQWFEASVKTLDGKSKKTVNREFAK QLSAWTFKETGLLKVGNVDHYEISGLSRKGGNASQVGYLNPAIYRIKNEVAFSIEISE YELDHYIPFTVPPGDALQLEFTMLSPYQRLPLLPTTQTPNSTIFSTSFTVPDQHGIFT FKVNYKRPFLSYLEEKRTVTVRHFAHDEWPRSWAITGGWPWIAGLWSVVTAFLAFVAL WLYSEPPKDGSSIKFLGGK EPUS_00258 MKHVGMNLSTSVIFHVLLCAFAVAQFPPTPENVTTIKSRFDEGI TISYKEVCAMTLFPWHSRRVPSNRLSVQPRICETTPGVRSYAGYVTLPPGTVNEINQN FSISTFFWFFESRKDPSNAPLTIWMNGGPGSSSMIGLLQENGPCFINADSNSTMLNPW SWNTEVNMLYVDQPVQTGFSYDTLNNGTYDATTQQITLSDFTDGIPEQNNTFYTGTFP SIDKYSTTNSTQNSARAIWYFAQEWFQEFPAYRPNDSRISIWTESYGGRYGPSFTAYF QQQNEKIANKTITTEGEMYYIRLDTLGIINGCIDLLTQAPSYPEMAYNNTYGIQVINE TVYRQALDAWSRPQGCRDLILTCRALARDGDPMMYGNNETVNLACREADEFCSNQVEG PFFYGDRGYYDITHVDPDPFPPPYYEGFLQQPQVQSALGTPLNWSQSIDSVYYAFSST GDYPRSDVLGYLEDIAYILESGIKVALVYGDSDYACNLIGGEEVSLAINYTDSEHFRA AGYTPIQTNSSYVGGQVRQYDAGHEAPSYQPETSYEIFMRALTNRDIATGTISTADNE TYSTTGTSSTWQIKNEAPEPPAPTCYVRALLASCTEDQIAAVVNGTALVQNWIVIDDN TAALSANTASNGSSSGDLPGSPGGSSTGISSGGAAQPTSATSTGDGFELEDLKQQKFT IEAEPSETIAQVKEKISAEKGWDVAQQRLIYSGKVLLDTNTVESYNIEEKGFLVCMVS KPKPAPAASKTATPSTPSASTSTPAPPAAPQPNPASTATSNPPATPSPAGAGAAAATA PPSASPASASFTDPSALLVGQQGTEVIAQMEAMGFARADIDRAMRAAYFNPDRAVDYL LNGIPDNVQAEQRQAAAARANPEGGAGGEGQGAGTSAQTTSSPVATGGTAGTTTTDEP VNLFEAAAQAGGRGGATGGAGAGAGAGARAAAGAGLAGAPANLDFLRNSPQFQQLRQL VQQQPAMLEPVLQQVADGNPQLAQMIGQNQAQFLQLLSEDIGGEGITGMGDTGPNTVP ISVTEEERDAIDRLCRLGFPQDQVVQAYFACDKNEELAANYLFEQPEEDDA EPUS_00259 MWRRSYLLLLLVRIYFAISPSYLHPDENFQGPEVIADELFLYPS NRTWEWTSNYPIRSVFPLWPVYGIPMTLLKWVWAQDDQQNLSPKAIYYLLRVVMFILS FVLEDWAIYELVHAPRHRRQAVILVASSYVTWTYQSHTFSNSIETLLVTWSLVLIERI VREKNSSSMLTCSILSLIIVFGIFNRITFPAFILIPGLQLLPHFLARPFSFLVTVASA VVFTCLAIGTDTAFFRLRDLNTSSTTFFRTLVTNPVITPWNNIRYNTQTSNLALHGLH PRYQHFLVNLPQLLGPALILLLTSLPALSLLNLRLRFSNPCLLSAITGTAFLSIFPHQ EPRFLLPCVPLLLTCIHLPTSSRGRKWFWSSWLVFNILLGILMGVYHQGGVIPAQLQV PDLLRSSISSPPPTNTTAMIPATIFWWKTYPPPTYLLGNTAPFNISTVPLMGLSQPDM LEQVTAALPFPCSSPPPPPPLTTRDAIQNNQPVFLTAPLSSPLFPPSSASTPNASFLA HARLPSNNKAHSSAEQEEEKDQGRGEGEDDNNTPLELALMWTYRQHINLDDMDIASDV WGTLRRVVGGRGIGVWRVERRCPPGSGVESIILA EPUS_00260 MPPPDHSFTIKGRGPSAAPTTNISTQAAEGDLTSSTPELQRELD LEAEPDCLSLLPRLFAAVWERIPSCRDSRPYQLLETAILKQGRLLFDTTMSNQVQLMS SDNVPVLVERDVIERSVLLKNMIDDLGEAATSEPIPIQNINEAVLRKVIEWCTYHKGD PPATNDDDNDVRKKTTDIDEWDQKFMQVDQEMLFEIILAANYLDIKALLDVGCKTVAN MIKGKSPEEIRKTFNIQNDFTPEEEDQIRRENEWAEE EPUS_00261 MAMGADRAIHVEVPDDHPPEPLGVAKLLKAVAEKEESNLVMVGK QAIDDDSGQTGQMLAGLLGWPQATQASKVEIEGETVTVTKEVDGGVDTVRARLPMVIT TDLRLNEPRYASLPNIMKAKKKKIEKKTLEDYGVDARRRLKTVKVEEPPPRKGGGKVQ DVDGMISKLKELGAL EPUS_00262 MQSIPILLKVIRGQHPRGVVEFSQSRVILYSFTFITSLLSTLFF IATTTHHANTVANNPGYRNISFDPDPHNYLAISVVPLLWSAVWSFIVLRSLLNAINHS SSISSSISSSISLSATPTTSAPTDSEALTNSAAEAAKSGNPNPAKPPRHQSRQGCCCT CSPWLLPTTGPHPVLILCIEIFLWSGLVIIVTLFGILPSHIAAETIFLDFSHDCPAYL DSPPRTPRRMDFYCLDTYKQVVGLEVVALVGMGTGTELIVILPPSAGDAAAAGQKSEA IHYMLDVRW EPUS_00263 MAEQGTDSVDIPGHVERTNDRDYEVEERRYRTMESAANRLQKEA KGYLDSLRAMTASQMRIAETIDAFYGDAGTKDGVSRSYKQAVEDLDAETIKALDGPYR TTVLEPISRFCAYFPDINECIKKRNHKLLDYDSMRSKVKRLVDKPDKDVTKLPRAEKE AEMAKQAYEQLNEQLFTELPQLIDLRVPYLDPSFEALVKIQLRFCAEAYSRMAQVQQY LDADTRDQYARGDLDNRVEQVLQEIRDLTIAGTFNWGTAFKFML EPUS_00264 MNPQSPAVSPAPSTTSTANQSDRNGDQITLPVEATANLSLNGKA VPPKRPTMTARISRMFSQAPKAKDQDDPRSRSPDQNVDTPGTVKAPPKLATPTQQPSY DQKESKDAKDRKFSMLSTSKDKVNDITGNNPANNQYKRFEMNEDGTHTHYLKSVKRQE KLSDMLSSMLGGGKRKEADGGDNHQQLSLMSSWVDQLKLERESLASDKKGGPNAAAGL VEKYGKCQEIVGRGAFGIVRISHKPDPKDARAELLYAVKEFRKRPQETPKKYQKRLTS EFCISSSLRHPNIIHTLDLLQDAKGDYCEVMEYCAGGDLYTLVLAAGTLQVPEADCFF KQLMRGVEYMHEMGVAHRDLKPENLLLTTHGSLKITDFGNGECFRMAWEKEAHMTAGL CGSAPYIAPEEYGGGEFDPRAVDVWACGVIYMAMRTGRHLWRVARKEEDEFYQRYLEG RRDEDGYAPIETLHRARCRNVIYSILDPNPGRRITASQVLKSEWGREIKAERKSDNGR KDECLPGPF EPUS_00265 MKYTDQSSASLPTTSSSKLAQHAQPALTIVPPTPSKLRFQPNQT ASTASPPHPISASFFSPIDPYLPSRQSTLHLTPQYVFPSHLNYLPRNLEIPYAFNHHI LSFYWSRADALADVKYNIWARPPCLNCVIACGGDEDGDLARRCNLGVKMDESFGKART CGRCLRNGEGGRCVEMIEVRRDGSVSAQNGDLSTKELGGWEVEGKEEVVRAWASLRDG GPLFAGRQNWALPRRTELTHRPSEVTSDSESSTPEGQKIADDKHFEATRATIEHMFDR IPVLEIYIAEQKRFWHDRICAESVHLYDKELTQNGVQAAVEIEKEWEKAKSLVILEVE DLLDHVLRGRAECVDETEILAWVEKVSADTREWLDRLVPRIWDRLATHMSER EPUS_00266 MASASSTVTTTSTPQSQSQSQPPLVPTILSSCHSSNTSTPTPTP TQTASKPACSTCPTHLLNSLKRDGYIHIPSLLDPVQIFSLRKAATHIVTLARSGKWPY IRTVPKQFPPWSSTPPPDSDGGIWGIQHLLHPDMPGRKEFAKLYFGNAIIGVVKELLG LNLYDPRSEDKIVMELLNLLVSPSGNRDFELRWHRDDIRWEVDAEEEKRQLDEKSPGG RQAHAQYNIALFDDESLIVVPASHRRVRTEEERNADPYAPELKDMKVVKMRAGDAVFY DSNILHRGVYKGVDLEKGEVGRMTLHGSVGLYDHSEERAKNVLQHGLRDWVDREDAPF NELQGKCRARAEGMRMRLVEMGNGEDLGYSLEG EPUS_00267 MAIQQSSFIGGIAVGVFGTLFILLCYYLMMRFVRRPKVDRTRDL EMGRAAAAQLQAQQMYPAPVHPAHFRP EPUS_00268 MSFKWSWLVVTLVVIFALVVGGFAVWFVAWLVRHLTTQLRQRHG SPERSIQDPEGFDSPAREWVHPYIQEMRDNPYVYYHPDLYRPPSPAPSSPRPFNIGTP SNWPPPLPPGDGNKTRRPTSGSSDYARSWVTVSNHSFTSQLTLETGASRDSLALETPI SHVLLGMNTESPSRRASETIPCDHSRRGSWPLQTSLLRAQTGRNSSPEDTTASVRWPF LGKVRSDLFSASSLLSSESGDSPLWDDWTDKRRSKAASELEPKTPGFAGEKNRQQEGA YRINFDLHRQTP EPUS_00269 MSFILSPFRSAACSRVCASSSIGTRAFSTARPSQLARLTLVGRL GTDPEITTTSSGNEVIKYVVGTSYGPRENRQTSWFRVASFAEKQSPIREKIMGLSKGT LVYLEGDATMRSYEDSEGRKQSQLSLVQTKVEILKRPSPVASEAAGQAE EPUS_00270 MEQVTSQDSSAPRSLASILLPTLAGLSVVLCLPPLVTHLESRNV AAAVLVFWITLVNLFNFINPLIWPTDELDTRWSGAGLCDVEVKLNLASSNAIPGALIC IFRQLAVILDIDNTTLVPSRGQRRRRLAFEVIFCIILPMYMVVAHFIVQPFRYYLFAI SGCVPSFNSSWLGILLMFIPPLLLCAVAAGYCGLVVVRLLKYRQQFSTILASSHSKLN KTRFLRLFILSTTLIVIFLPVAIYVFTRNLGYPRTTFSWSSVHGPEWSKLVVRVPTQG AVNFDRWLNVGIGYMTFLFFGFGSDATTMYRSWLLKLGLGRLFPKLDLGHLNSSNPGR SARTSSATKIGSISSRVKLIFHRKQSTKTISTLHTRTDSAVTCVAHPSHVDTSQSNEQ IVDGRLRLE EPUS_00271 MEKPSIVTVTSPAPDGGHRSPSPSRPRHRPLARSATFADGNMLS NRRRSSMFSDNLSETRKSLRSSTDDLLLPRVNGSGQLDHVNEPSHWHSIPLGLALLPA VGGLLFQDGSAVVTDITLLALAAIFLNWSVRLPWDWYRSAQATKSEERVDFSTFETIA EEKSDEEDHDHASASQQDKPTPKSSQESNWDQQYQRRFSIEAAQKELRIHELLALLSC FLFPAIGAWLLHAIRGQLSRPSEGLVSNYNLTVFLLASEIRPVSHLVKMIQARTLFLQ RVSGGSPTDDEQRHDPGQVIDLVRRVEELEAHIADTVETSSKSVAVATDVCAAKTAAQ AVSETRKYLQPDLDALNRAVRRYEKRTTISSLQTEARLQDLECQLKDVVVLAAAAQRN AEQQPRNYMLILLNWICALVVVPAQYAWAVLRLPIRAAEWAVSCVRRFFGFSQAKKLR QTRQGNQVKSKERSWKAGS EPUS_00272 MSASEPDESLKIDNLRLPSTTNTITTRTMKDTKLRRSCPSGSPP HDNNVSREIVMSEDDKNAGTSMQQESPNEHSLSRIPQAKTVSDQSTNTQAPLSVSVQR YGRVRGRHGLKWVKQNYSVAEQLKREQLERDQGDQGECSKPTLKDGAKLETLALTTSS FPNSDKWSLENLPEILFYLKLPKSEYEGQPIELLEEDGKVVRVGKDEKPLRAFPILPR HISVEVEGWLVEAWRRLDPRITYADILDRQTECPERMIRKLDRNALSNRCTRECRKVL GSWTSYDKRDIPHRTDVEAIEKLSYQNILLNTILDVCPGRQDRLKRVRMVRKTGDDWG KLYAEPVEFDATDLHEKTFPLDHFILKSEVPLDGLHSMDDAMLASWELSLILQERARL HKQYSWATLPDRCKPVSWYDRTGGGKRVQNDTFDGGCPVCTWVPGRDQLLHKEWIDEV RSACSKPASRKPASRKPASRKPASRKPAAKKGASSGSSKRRKLDNGTGQNTSIDPAKD VDKECECCKETDAFIESGSACSKYPSDKFRRGEIKSYFRDPDHIGEAQVDDVVRSTEV HSRVDDHKRDSMTMHSAEHFGDFETGGEGSAEDLEIHMWAPIDTQPDKSQTSVSELSV SARSESGNIDTAPLQLTTTQIDLNGVGSHFIPGDAEYSSEHAQDQMVISNDGNMGPAY YQQSRAATGLLPFEGFVTNEPQDGDSEVQLWRGDVSTLQVQDMGPSVGASDYAFDEYA SWAVPIDHQAPSFDVATGSNLVWTPTPQEYPPLGDDNNTLEQEIYGIGNQSQSSPANE YLWSQASPPANQSNSAFSPPTDTIPGLTNSIRTASDVSEPEITGSDSFAMEFTEITEM EKQANFSGLW EPUS_00273 MHSLPYANYPPFISREQEEYVLSTIKDWSIQHGLTVRPSSSFVP GEVDKSGVLATTAPVTLFPSPFPESCFRQAHFLQTTYNELYAAISNDEVWIEEVMKGL IEVDDFIKNLWKVHQSVKEEGYVQQLSLGLFRSDYMLHAPSSHELPSLRQVEFNTISS SFGGLSALVTSLHTHLLTFTDPSAHLAYPNHPLFEAREAGSANSNSQALPSDATINVP PVNDAVQVLQTGLSAAHEAYASSKSEPKLPLCILFLVQDHERNIFDQLALSSTLKFPV FRLLTSQILSHTSIDPDNHLRPLVYTPPSSPATRFEVTTIYLRALYAPAEYTSAESWS ARLHLERSAAIKCPGVLSQLAGCKKVQQVLTSISPDHVRRFLPNASQSTLSSLRSTFA PQYDLNPNSEGLAIALDESRAANHVLKPQREGGGNNIYRTAIPGFLKSLPSKEHYRSY ILMELIHPPAEAKNTVLRSDGTVISGNVISELGVFGACLWRQGERTGDGATRSGFEIL HNEGGGYLMRTKGKDSDEGGVAAGFSCLDSVLLY EPUS_00274 MSMNAPVTPADDPWDWSVDQVVKEFCRDAEDRPAWCSSTDRLPD PTILEQALRENDVTGEIILIVITKDVLRDDLGIKSMGQRHAVGKGIDYLRLRSQKFQT SPANPTVAPPFTPVQSWLQASGPPYDPVPNIGGSVQSVVPPGQTFGSPRLEAHVKTLE SLHSHSRNIRQPCQLVTVSPLPKETSDNENCRNATVGTEPFKIVDQPITASPQGKSGV KVPRRIAPTFVRHLDEKSGHSAQLYGEDVGFTNQNGLDGEESDEREFEFISTDVAGGE QISASRRVKKYLASLSKAILSSPHPSKSLLIQDNNDATDKNSQESLRDQKEHDEFQYL LAKYPPQPDTEDALALYGDSGDEGYFDAETWHEMERDAAEDRENHYSKGALSLQEVNA TIDEVIEEFKLLWRETKLAKVQAKGFRLWKNAQRDGRVQNEIATAQYWIQHLQQSIVK ISQAIARESWPNVRALRQQCHSLEESIFQQQEYQYNITILTRTTAPPRPTRNSVRREN TRPLDLADEDIFLESESDVSMSNFLDDGASQDTSTEPQRVPIEETFPIHASEDSDDVL SPIAKRHRNKPVAAHVDPRKNPFSQSSPSGKASSAAEDSDLDLPALLPQSKYEKVGHE KDIPIDLVSSSEAPTPTKYGASRGSNVHSDDNGIGQSLSPRRLKLHGPRPPSLDDIEG INQMAWEDVENKSDRRRALAKAVYSLKAKTAILVQDFVQGHEDEALREVVHQGFIAIL DKVTQVEGVARSEWGTARITALLFASYSLARKVVDESPLDRNRMLKAKSLVDKGYVLF FPALKELLNNYLKAQSVRAGKRKFSDAASRDVSTAASDTESASREPTSARKRRRRIKE SQMAMSSQLAGQQRVQEQEQRRAIMAQKYQNIPRNKMDPASHAIGVIEPIVYLDPKIG AKIKAHQISGIQFMWRELTTDKNQQGCLLAHTMGLGKTMQVISLLVTIAQAVKSPNAG IREQVPRDLQDMRALILCPPSLIDNWYEEILMWRPEQTVLGGLYKITQKTRKQDRIQD IAHWASTAGILIISYEMFRNMIVSKVGKANTDERPFNDADHAAVQEHLLNHPNIIIAD EAHKMKNTEAGISTVTSRFRSTRRIALTGSPLANNLDEYFAMIDWIAPGYLGTKEQFR SKYANPIAEGLWNDSEQSDRRTSLRRLHVLKKNLEPKVSRADITAIADDLPPKAEFFI TVPLTKLQIKAYNQYITTLLGSDAATASGNARLWDWLAILSLLCNHPSTFLNKIDERQ YGPESKAQKLKARPDASTDDDMEGSGLPTDVELTNTDLSAKAVELQHKIFQGMEDSDR LEDPSLSYRSQIVCDIVEFSIAAGDKVLLFSHSIPTLNYLESTISALGHSTCRLDGST KVTLRQEAVKNFNKETATYTVFFISTKAGGLGLNLQGANRVILFDYGFNPIWEEQAVG RAYRLGQQKTVFVYRLRAGGTFEELIYNKAVFKTQLAARVVDKKNPMRSASKKVTDYL FHVKDVRQEDLTSYYGKDPAVLDRVLRTSNYIRDITLTETFQKEEDESLTPEEAKQAD EELKKEQEMRRDPREYGEKLAEQQANLPNTRANAPCSTAAHPSPYGHGITHRPPVSVR PQHQQSALPSVTPYEQISYVSRPEAISGPQQAEGAMWDHRSPPHLHDQVQNVLGAVPW RHVTQTSYPSPTAATRPKEVKKFPGSPSQLGRQVDGTVDSMDEEMDAMQLSNAAVSGS EESDADIAQCKAQ EPUS_00275 MSPNRNPTRTPNLQSSITPDSYLHIPPSPQAPPASGNIQSGTGT VNHLIFFIPGNPGLISYYHTFLSLLSDPAASPSTAECVVVGLSLGGFWVFTGAGTGAR LGDGCGGVKRNGGGGLDFGDLGGGEGCSVYESRLILRPRGLRGWLRDCKGRGRGRGSR IGGCEMMVMAADGKSFSSVTPEKLTSTASIGDGKEGAGHFDIKAAILLTPTIVDIASS SSGKVLAPLLNSIPGLPLLVSLVAGFLAWVLPPGWLKTVVRTVMGRDTPDEAVMSTVS FLASRNGVRQSLEMARDEMKEIGEDRWEAEVWGIVDAEREYVKNKEGVMREPAKLVFY FADKDHWVADQTREAIIETRGDTGSPGRVKMVVAKAGELEHGWCIRHNGFVAKRVNGW VEEIMDES EPUS_00276 MSARRHSRRQASVEYPRHPPLSAASNLQAPNQVPQISDYESDTA YAYLSDQPPSTQLPVPSRTIDELNLSVLQRYNPSIKSIPCVAPYAVIYTFSPLPEPAW IKSGVEGSLFICQLEPGELGEDRFTAIVLNRRGLENFEAQLREDETGGVELTDDYIIV TSNASGQPTASGIWVFSEGPGSSTAITRDLVAQFVKEKAVEAGQSRKVAEEARKQRST TLYPLYQQDNGFGAPMGRQISLQEMLGQQRKDDDEWSIKMHSPDGSQPPSLPLRASRQ AQQGPQPVTQQQQHPQALSQNHLQDLFMKAGLSG EPUS_00277 MIDYCSIELLWGTSTVLKTTKVNLSDHLTLIPVHLGVGSLCIIQ QDAEDFAEQSCQIGNIYTSAASVISADSGQGVEAGFLKETFYALTTIRIPTWKRQAHS TDCVFAKPIPNLVKDGINDDQRDEHTSFHSDPTFNRAWCFQEQRMATRIVHFTTNEMY WACASQLTCECERLAWTDYRNDPQLLQRYSNALEPTSGGTMSPPSAVEFWWSFVETFS QRRLTRLTDRLPAISALAKFMHRHFSNLQSTSCERSKVHNIVNSWESRVKRVSKHRLV SVTDRPVPAIPGLAKVTHSEELGECHAGLWERQLAIALLWRTYDLVDPEDYPWKPLEY GPT EPUS_00278 MSSHHSRDASPQPPMHSTPGAFPSSPNSEQNPEAISAPQSLSLA VKARRSEYVKKKTIRVKVGTWNVAALSGTELDIGAWFIEGKGIKGLDENLSELSAKEQ TDTSAGEENIESVEDQELRRTKKKSTIPKDDVPAVAAGEDIDLYVLGLQEIVDINSAS EALRPFADPFPGKKWKAALKEALPQGYKLIVEQQMSGLYLLVYASAELAPCISSVSST SVGTGLLGYLRNKGAVCARLILGETTRLVFVNCHLAAGADKAALDRRNWDVGQILSRV RFNPITDGAEVVEEYGDAIGDEDFAFWFGDLNYRLDDIPPEDVRRLLLLHTRNEYDLN NKSKRKIDSELGYISADQDSSSISAENASQHSKGSKDSSEDEGDGLPLSPGSDPASLH TTLRSLLVHDQLHDQQKKHKAFHEGWREGEINFLPTYKYDVGSVGMFDSGDKKRGPSW CDRILYRSRQDRIEAERKWKEEDEAKKRDAEMKQRGLDEPDEDVIFDSDPGTPGFPGT PGYAPGDDYNEDEDAATESELGPGRHGPDEAISLEHYGSHQRVLSSDHKPLDAVFTIT YEAVVPELKAKVHQDVARELDKQENEGRPGVTVVVDNQPQALNYKADDSAEPTENNGV NFGAIRYGVPKSAGLTVANTGGVVATFLFVDRPIGDGQKDSITPPWLHLEVDGNSDNK NSNRNALQEYTLQPGETRYVHLSAEVRKFKLVRKLNEGKIRLDDILVLRVKDGRDHFI PLVARWMQSSFCRTLEELVLLPEGGIRQMQRNHQESAADLHNLDDAQESIERHKVSAP RELFALTETVQDLTQRAVAEWDMTHGDGASQPPWKQEPFGNGWPFEPQSWTLVSSQER SHLLAAAAEALDTGAPLTSHFGEEISTLHRLEVLAETLVTFLHSLQDGIITTELWSQL DLHMNTASKSISTDTDPQSWILEILSSAPVHNVAFTFIMFMLAKIANEIAPLSSSQPT VISADSIQSPRVSSASTHFTTTTTNTSSSELQQQLAPSSPTSPTTTRSQISILSSFRR TKPATNTSEEPTSSSKEEAAKKRRQAIEHAYADIFAEAMIRRPAGMKDRKVTKDKMRR VLLPFLSGLV EPUS_00279 MTAKKKHGPNMVSPVGSVGAATTHNQGPTDYVEIQQNEVAVLQS IYMEDFQEAQVKAAAWSKSPDIAFRLRLKAHADPEITTTLFVQLPTTYPKTLPLLRLE HYNCGNTKVLERVKEVLESKPKELLGNEMIYEIASLVQDILEDAATVKAEKEGLPSLQ AERVLQRNNLEQELKQQEEGRKRQEEEAVAEEDSYLVEQISREINKREIKTRAAEKNH ATRRGSRIATEESATSSPMISTLAFPRTMKMTDKGGNLMTFSSVTCLPPLLSSPTKRL SLAMPQAGNSFNLPIIIVKHIYLHEDPSESISFRRKMTEVEELLKEMARVRHPNVVDL LGFKVERHLNDSDGGVASAAEWEVSILTEYTQRGSLSDVLEASGNVEARFIRSYTKQI LDALEFFDQHGYVHPTISLNNILFFPSITGKPTIKISDGYGLAMKDMILKSRARANFT SSELPQWTAPELNDKVPRRSNKTCIWELGRVILEMVHGKRVVEDYTSPQNYLKENEEY HNDTFKAFLVDIFQLDVKRRSKAFELRSFQMMQEEGAKPLFRSGTSIESATARRSEEV STLALVPSRFKHDFEDDLSVLGKGGYGKVFKAKHRLDGRFYAVKEVVSDSPEELREIL RETILLSRLNHPYVVRYFSAWMETEGSTSLGVRPSNRSSNSSDGSDAKGPSVSPDIQD DILDFSIPHDMMSTSGFKDIVFGFDSDEVEEAGNTESSNTSDSKTISSSADDSESQGR HGKRMSQQTQGPAAVDPVRTKLYIQMEFCENRTLRHLINDSSLSSVDEGWRIFRQVLD GLSHVHKVGIIHRDLKPENIFMDNDNNPRIGDFGLATSGTFASVMRLSDTAQIADSDT RNIGTTFYVAPELSSNSKGSKVDMYSLGIIFFEICHPRMLGQERVLELNKIRQKAYVL PPMFQEPEYSVQGDIIKMLLTHRPSERPSAAELFRSGKIPEPVEDEKLRKFVAGMAES GSSEYHKLVSSLFAQKPNRVQEFAWHRQIRTPPAVDQLLMANMVRERLASIFRRHGAV EVKRKLLFPRSEHYKTAASFLDTNGKPLQLAYDLTLPNALALSHAHAPFEKIFTFGNV YRESLLGGEPKEIGEIDFDIISYTTSDLALKEAEAIKVLDEIIQGCPPLQSSMLCFHL NHSDLLNLVLDFCKIKSDQEIGVKEALSRLNTANWTLETIRNELQASTNISSASLEDL MRFNIRGELDTVRRKIEAIFGNSDYLDRLGSIFTRIETVVTYLHRFQIKTKIFIHPLS SYNEKFYRGSILFQCLLEGKRKSLLAVGGRYDALIAEHALPTSVEKPRAVGFHLPWDE LNSLMAPDQKRTSKKFLKAEASENSSSWRPSRCDVLVTSFDPSILRTTGIELVQQLWA NGISAELSRDFSSMEELMISYRGDHHGWVVLVRHDSNSVGERALKIRNIAKKEDTEVN VNEVAAWLVAEIRERDYREGQIVATVPRLRRGTSHGETLSDSREPDVRILATQHKGKK QNRHAIVDAAASRTRELTDSFLADAPIAAIETSDEILESIRDTRLNDPDSWRTMIQGA PIQERKYLQQVFDLLCQMAETGKKGAFVFNHRTRGCIYYDFGVHR EPUS_00280 MSSTQTLLGQNGVFGAPKICVNFPFRFSKLARLRAQLLEPTPGA GSGGGSGFDVSKSGDARIALVGFPSVGKSTFLSKITKTKSEVAAYSFTTLTAIPGVLE YGGAEIQILDLPGIIEGASEGKGRGRQVISAAKTSDLILMVLDATKKAEQRALLEAEL EAVGIRLNRDPPNIYLKQKKAGGMKITFQAPPKNLDEKMVYNILRDYKMLNCEVLVRD ENATVDDFIDVIMKDHRKYIRCLYVYNKIDSVSLDFLDQLAREPNTVVMSCELDLGIQ DVVERCWQELRLMRIYTKRQGVEPDFSEALIVRNNSSIEDVCDQIHRTLKETFKYALV WGASAKHIPQRVGLGHMVADEDVVSIVSAWKA EPUS_00281 MAEVETSTQPISLSTIDASTSPSKEPSQALTTQDDDAITEPAQK KTKLIRRKRRPARPQVDPSTITSEPPPQTGTIFNIWYNKWSGGDREDAYLSKTAAKNR CNISKDSGYTRADKAPGSFFCLFFARGICPKGHECQYLHRLPTLFDVYNPNVDCFGRD KFSDYRDDMGGVGSFMRQNRTLYCGRIHVTDDIEEIVARHFAEWGQVDRIRVLTSRGV AFITYTNEANSQFAKEAMANQALDHNEILNVRWATVDPNPLAQKREAARIEEQAAEAV RRALPADFVAELEGRETPDMKRRRLVESGFGLDGYEAPDEVWHARSKELEEAKKQEQI GGVEEKMMIESAPIMESLRQEPGESENGNGIFSSSTIAKLKVYTANGTPSTAATSRGP SGPLVGYGSEEDSD EPUS_00282 MVAETKLYDALGIQPSASQEEIKKAYRKGALKYHPDKNKDKPEA GEKFKEVSQAYEVLSDPEKRKVYDQYGLEYLLRGGPSAPPGAEAGGMPEGFGGMPGFG GFGGMPGGGRSFHFSTGPGGGGGFKFDDPSNIFAQFAKSSGGGGGGGGGGGGMGEDDD IFNLFSGMGGGRFGGGGRSSGGPQMRPQRPRTPEVTTVERPLPVTLEELFYGAHKRMK IKRKTYDEASGKRKVEDKILEMDIKPGLKAGSKIKFKGVGDQEEGGQQDLVFIVTEKE HPTLKREGDDLKTTIELTLKEALTGWSKTVTTIEGRQLSVSGGGPTQPGHRERFPSLG MPTKKPGERGEFIVEVKVRFPTSLTQAQKAQLKEILP EPUS_00283 MAARHHKALNKWVARLIPGILLGLIGYSAYVITKVIAIDYLITP SPGLPIQRRPGSAAGILLFYYLLLIPLLICYTRLIQTIITNPGYVPRGAEWYQQRKEE AAGARRKHRRGYQSQRRSLPHDTEKPPQQPQPWRVDTQDLESGGSNHSSLTTHKKHAN HFSHSHNNSTDIPFWQRDIFVCNPDGRPPFCSSCLTHKPDRTHHCSEVDRCVLKMDHF CPWVGGIVSETSFKYFIQFNVYAAFFCIMVLTTISVFIAERRRIDPGFLNVHYFLLLG ISALFTLFSLGMAGSSIQLSLINSTTVENLNRRSKVWFLAVLIPRNEDGTLPSLPPPQ SSKPGVGGFRPTPRFQTITYPRPPEEEQFLIHQARSQQGHSRNLPPADPSSTINTRPS TTPPTLPSQQPRTFAILSTQPGENPFDIGPWENIRQVMGYSLSEWLFPIRQSPCGEHR GRVSAFKINKELVRRLTEEAGFMGVRRERDSRSRSGGESGMGSGSGDGSGSAAEDFGS RRKKRRRRRSRQASRRDEGEEGVR EPUS_00284 MATYRSRGVSPPPRRFGEQRIPAAPVFSTAFDTRFSQQPRNTID TLASSRPGAERVVDAQPISRRTYPASGHSAVQSKTEYAVRPRNNPRVGEDDRTPLRVL VPPTSPTRSRPLVDNPPHGRSRSPVTKHYYTTDQADRYIVPAISSPRQHRHQGRATPT DSSHLIPDGRERRERHGYRVAGSRVYPKSGALVRYEDENDYSYTTPREQFDRDYPPAE RRPRRNSYVRQDRPTSTSDFDDWKVISQSRRETGPPPAVRQFDKLADGDLRHSTSLSG NYSDTERDLDKPRRRHSLRAPVSLHQNRRELLLNPQDERAAREVSHYPPKLYDDEPSY SSDRENYRPSSHYERHSQRAKAYGESRDRLGTSHGATAAGLGGLAAAGLASAIVKKPH DKDDDSDRGETRDIKNRYAHERDHRPEDFRKDRERIAERHRDRAAELRGEVAPREHRK EKASKERSDSDTIDDVHADGQRHSRKHRHHRRHSRPQELDSDSASDDGQGVKVPSEVQ RERVGRDSAQEDSDSRREPRRSHPHRHVNHDGEEYNRSHGASEDGEAEEDRAARLQLV EPLAEKEPEHKPKGILKPARQVPFPEDPNPTREGVAPLKDAGKKGIPPGARWTKINRM LVNPAALEAAHERYEERDDYVIVLRVLSKEEIQKYADKTKEIREARELEWLERKERRR QKGGMRGSSDDSSDEERRLPLAIEAAPPTANPDPRYQQGFPLNTNSRPEPDPAAMAGI STRTQ EPUS_00285 MAARKSGTSNKMGGGKKENTVPTPATVAKDQVKHHSKSQYTGAP PPRNIQQPRISTTIPPSRQPTFADPNSASLHGAFNSPHLSGGGQPHQQSPYGGASQDP SYYASHPSPHSTASASGHYPTAGSEQMAATAHMQRPYPPIYHTPQSNSPASVASPQTH DQHGRSMYGQPPPQLTQPIQPMYAYPQYSPMSQVHTSQYAPHSGQQPHHPMPHHSMMQ HPSSNATIPQQQPMGQPGGMTSSSPRLRVDPMQHQQQPQQQIQHHQQQQQQQPQQPPQ QPPQQATPRMMQQHTPTQPSSIAANNVNLGQTAPPAINPSAAPGPIPATTPLVVRQDG NGVQWIAFEYSRDRVKSEYTIRCDVESVNVDSLSAEFKNENCVYPRACCGKENYKGNR LNYETECNAVGWALAELNTCLRGKRGLIQRAVDSWRNSNQDPRLRSRRVRRQAKVQHR NKTAQQAGQMAGPSPTGVAGVTAGMGTTGIRAPTSAMGSMGAPQLQHHHHARPEMSAS GQQDSSAEVDYSSASGSASAPRQQSAQSHPISTPTDLRTGQVFAGYPAYPPTSAGGSS MAPSSYNPMDALGRPGGPGTMISAPTSGVRETPNALGDFLPESRKRKIEEVDSQQTGE RLRLGMQFNHEGGGGGSSISPTSYRTYADFPRSSYPSQMPAPATAGQLCHKDPLDEEN PSTLVRTVLEERQLEHTMDDHTSFSLGDRAEGKKNSRSYACRSTRNRMRQLRHDPDQP QFIGVIEQT EPUS_00286 MVHNYQRSFSFEEAPPSSTEQAPLTHGHAHNHSNSSNHSNHEPY HDSPSRASPVSTSSQFFRPLPNDSHTTPPSPPTQHYHPSSHPDSNFDQARRARNTSSD SSRHRLSSSSQSTPYHNYSHPQPSAPPPPPPPPPHRRKPVGQSPAGRFSIQDQGYAQA SRPLSSFNTSMDSLGQGQPDRSMDRVDGHMANLRQGDGETQPFPALEASSTRRSYGPA DFNHPSTPSDPAYLAQRPAEAPRHLRQQGSYSSSTIPIAAGAATPGSITPIIPPSDGS GQSFPLADYQSRHIPTTQSPYYDSPYQNSSLNFAPQVSDADINPQNIADDGDDGFIPD PKRKSILGMRSTSSNEAGTSAAAGGAAAGGIIGALGGLAGRKQKAQTPGVGYDPVVQP GNLGNMDAEGHRGAEKSEWLSRQTTGNNKMRWVVGLAIGLVIVLAIVGGIVGGVLGSR STGKSSGETESPSANSINNANDDTASNGDLGKGSAEIKDLMNNRDLHKVFPAIDYTPW GTQYPLCIEFPPSQNNVTRDMAVLSQLTNTVRLYGTDCNQTEMVLHSIDRLGLSSMKV WLGVWIETNTTTNDRQLEQMYKILEDVSDRSIFKGVIVGNEALYRAGVDKAASQENLI EILNQVRSNFTALSYDLPVATSDLGDNWDSSLVQASDVVMSNIHPFFAGVPVEEAAGW TWNFWQMKNTPLTAGTDKQQIISETGWPSGGGNDCGTLTTECATPTSGSVAGIDEMNT FMEDFVCQAMDNGTDYFWFEAFDEPWKIVYNTPGQEWEDKWGLMYSDRQLKPGLKIPD CGGRTVS EPUS_00287 MPPSAASQEKRNIVIVGGGIIGSTTAYFLTRHPFYNPSLHSIHL IEAASVASGASGKAGGLLALWAYPSSIVPLSFRLHEELAKEHGGEKRWGYRGVSCGQL DIKGRRLGDATAGGKGNPPAVNPVHDEAKERISLKKRSNDSYQAHQAAGVPEDLDWLV DSNIQSYDSMGTPENTAQVHPYQFTTSMAQLAQEKGAEIILGSVKSINYKDNDNAVES ITYMAKNTNTPTTVPTTDIILTLGPWTQSLLPHAPISALRAHSITIRPSRPCSAYAIF TSITLPPQFRPGAKNKRPQHVSPEIYARPNNELYACGEGDTLVPLPPTSAEVAVDESR CQDIADYCSAISDELRDGEILTRQACYLPQVESRAGSGPLMGKTSIKGLFLAAGHTCW GIQNGPGTGKLMSEFVFDGEAGSARVEGAGLDPRNYGL EPUS_00288 MEDDYRRLQPVKLDIIGTETGRERVGISGYVAFNRDGWFLAPSI ANWRNNLTACSQRHNLYFIAHRESVAVFQPTFPSHKLGRQPQINIVPALAKANARGIL DASRPHSINHLIVGELGSEEILFLSTDSGNVAAYYTGSIQEGIEKEPYRFSQEGRSDL VGIRPFFTHWVYESAWGLSIHKHARMLAVSSNKPYFEPAIGEDAAITVFAFALTSSDA SSSPLSSDAITPPKEDESDWRFWVPEATNPTKLPDRSVNWKTRLEAHPCNIPSISFVN SDDDRGGNYLLSTDIQGITKCWHIWQRNVLSTWDFSAVGSSSNPYLNSQQTLWDSPQI PSLTLRLTFLSSGWTVLALDPSSFQVVDTQEEFCGAAAEYDNSSGCFNITPSLHRVPG HDRSFAAGSAVSPAPSDDSISDSDLIGILDDDDDAASSGYYDEEVEEDIFQDIIAGGI SFHIEASNSMGPGSMDPDSQSNTDEYSHGDDDGSTETETESEDGMVSGNLMRIDSQLS QVNPDEAEKVKMHHSGMLPAFPPPVYKPKSPMIPTLHLSASHLRLFDANHPEEASIYC SEPLSFQWPMYHATRPDIDRLNLMQYIPELGIVVIGTQIGRVAVCTLTKKGTKGSFCL RVDWILPFESQEKSRERPQTHLIGIAVGPVQGHHISRPSSVCGGDDDDGDDMKDSWLR DRIDEDGVSTTFDPEVIRIRRDSSSSTSTIDDLQNSINNHIRPSRSETRQTAAAAKAT KRRRSPPLDWRSVKQAWPSRSETTEANPIKDESWRGIDYSRRHRLMLTYYDQTVMTYE LSRGRPFVGDPVLARPNWRNREGYV EPUS_00289 MDLLTTVRKEGSRGGRGDFKWSDVQSSSHRENYLGHSLMAPVGR WQKGRDLNWYAKGNADEEAGETVAQKAERERKEEIKRIKEAEQDALARALGYDVPPRN PNLETLGDAKEVNKILKEAVEDEDAETSKGVGYGKFANNHGERNERIQGDDVATVDGG QRLREDRIANVTDQAGTDRKRATGDARDQGQRNEHADDTQEPGTGQVVEMMIERIDNR GGIGVVHLTTITAADQEMNITSIDDEGFTRIW EPUS_00290 MAGYGWEEYDIRNGGRQTIHDAGNTIDLTIDFVKTSGNSTESWA ARIRGEPRADVSGDLTTTLVFYAGMDGLGSLEFANEHDDLGQEGTVTLHGKTADLGDF KIDITRGPDTNVAPKHYHPSWDLKPLDRTMASSMQVPSNALWQAKSIMFTNMKSEVDN YIEEYGQENPPPAWQMFTVANDIKEGNFHMVQKTFLGSFEFDVIFTAVDAPTLTSAEI STAIVQTSKLFQTKFTDIFAPKSPFTDPKYTNFSQAMLSNLVGGIGYFYGDSLVDRSY APEYEEENEGFWEETADARARAQVSREPPTELFTSIPSRPFFPRGFLWDEGFHLIPIA DWDMDLTLEIVQSWFNLMDEDGWIAREQILGPEARSKVPQEFQVQYPHYANPPTLFMI LDVFVDKLNAEKYDTAHATALKDHIRKFYPLLKRQYFWFRKTQWGNIKSYDREAFSTK EAYRWRGRTPRHILTSGLDDYPRAQPPHPGELHTDLISWMGMMSRSLRRVAESLGETE DASDFKSYENAIVRNIDELHWSASSSIFCDATIDDYEESIHVCHKGYISIFPFMTGLL RADSPRLKAILDLISEPSELWSEYGIRSLSKKDEYYGTDENYWRSPIWINMNYLIVKN LLDIAQISGPHQEQARQIYVKLRRNLVENVYREWEKTGFAWEQYNPETGQGQRTQHFT GWTSLVVNIMVMPDLGKTAKGHDEL EPUS_00291 MSRLGFSEIQKPSQIARDSLELASLASSEPEERRTSESSSSLGI TSSRKLSLENDDPLDGANLADGARRMPHNRSYSISSAFDFTPALFPLSATQGGYAPLG APSSVSLERHPGSLESGNLEKHKTLTYLNGLSLIVGLIIGAGIFSSPGLVSSHAGSPG GSLVVWTVAGILAWTGAASYAELGGAIPLSGGAQIYLAKIFGELMGFLFTWCAVMVLK PGGSAIIAIIFGEYLVRAFFGAEVETINPWINKGAAIGCIIAVTLVNSISTKMATRIS DTFMFLKFVALLAVTVLGIVVAATGLSFQNHPNEDWKSHGWFSGTSTDITDWAVAIYA GLWAFDGWDNTNYVTGEFKNPARDLPKVIHTAMPLVLLSYLLANVAYFFVLPKAVIDH SNTVAVVFGSQIFGPIGSLVLALIVSASCFGALNATTFTSGRLVYVAGREGYLPAVFG KIGIGNPEVPNLGRLRKRSWLVKTVARVFGDEGNLGLTPINAMVLNGVLCIGYIVVGE FETLITFSGVAGYTFYFLTVLGLIILRINQPNLERPYRTWITTPIIFCCVSLFLVSRA VIARPFQTIVVLVFIVTGIPVYFWRISKRDGPRKETSSSMRFWRRWGRSNNDS EPUS_00292 MTICGRLHLPKLCPERQNVTDINTRQSPSSGWLTSMTPRAASSQ VSSISRCVSKGSLCKPPSSHCLRRAQGYSSAPPQPERKLQQSSQPSGLKSWQRYAITM VIGGVVYGLYEGYSNKQARDAFIPYKLVKKDPVSTSASIFVLQPQARSPDLDTYKEAW QRGIWNFHFKQPQLQIVRAYTPLPPQDAPSVEGVSQLRFLIRNDAYGEMSSYLHRLPI GSQIELRGPNIEYELSPDVKQIVFFAGGTGIAPALQVAHALFSQKEHSKDKKLHILWA NRKRDDCVGGHSDHSPAEPLVKKSTTSSNSPTLNASTKTAASNDQGLVVKELEQLKEK HPGQVTVEYFVNEENTWIDRDAVFRALGRFDDNDFSGRSSSSQEQRQILISGPSGFIS YLAGPKEWRNGREQQGGVSKILAHAISMNPHNVKVWKI EPUS_00293 MEGHSDSALPAVGRQLIVKADEVDQDGTAIQSPSKQLPLSALDQ RDGVASGANRSVQTKSTRPVLKRDGSAPPPPSQPPPPAPPSQHQDPDTPADSLSLPQL KQLVSQFPKVEKRAYAFQYADAQSFAEEIEAWFQYSEQDGTMILSAKDTFEQKWRSFS ESHKELADEDSTWIDVSDDVRKNILLSVLSSLSHYDPLIRIESLEVLFYILGGAWATT AGLERQDESEDRSSDEDVDNRSNSVQLEWMHRGADLLLECSGLQSLQDCMNTVFDDEN GEGLTSTETAEEHGGSPLELYNNSRIRERTLLLSCWYFFVESARHRDASGVGKSMRNV MLQLRPRFLVYCVELLARRRWEDISSISMMTRLLLLLWKSVLLLFGDTQNVLERAKDV LQTKPDPLPADASRPTLTASPLDYHLFRQEITSKYPAYNPPLPLVPFEAEHKSMLPPL PHHPSRANTVTGSVANFGQPDGNVSGSILHQPVHIATPAPSPPPSPVGPGGKAGKKQN FQTNQNFPFLYPPLDDSSNNIGGKGTTEFQDLMVGKKWEGSDIPASIIEAGQLFASRM RMTRAMRQLWKEREQFLKDDRGWEGKTPTVEDGEAGTNDKNDWKEPVNAALGEGDNEA ALHDLESRLEAVEDFFHFALPNLQSLIIVMMKTMLFNVQNIAIQNGSINDNGQSNGLP RTKSNLNVSQANNSPNPPAAPQASELSMEELNETRLREVCHKAMSGCLFLLLKWFKIS HVLKFEYLTQLLLDSNYIPLVLKYFAHQNLEDLVAIRYDRDDLGFFHICHLNSDHPPL SPTSPAANSSPTSSPDEAMPPPILRHRRSPTNGSSHDPSQAVASPGKIDETPSDTDSN RLPPRPSVDELGNPIAPIPQTPITTFSFRQFQTSIHLLRILQKITRHKAHRILLLVQY KSSQILRRILRVPDPLLRLYVLKLFKSQVPYCGRKWRQGNMKVITAIYLHCRPELRDE WLAGRNYPDVVKDGAAEGRGGIEEGERDFFQRELDQMGWGMAALGVGNGACGAGGENG EAVAFGEEAPAPNGNGSANANANGGVGQNGEQWEQGGPLQLEGW EPUS_00294 MDQGGASKTYQRRNPTLLLFYTLAYTRVEMYGKGYGMPSSHSQF VAYFSVSLSLFLLMRYVPSPSTTHSPATFTERLLLSCVACLCAAAVAVSRLYLNYHTP KQVMVGCAAGALSAVAWFLVTTYLRRYGWVEWALDTQLAEMLRIRDLVVTEDLVDAGW GRWQERKRDKRRRNRDCLEKSQ EPUS_00295 MDHLPTPAEPIYRPVEVPYLCDQFSVNDAGFWQFPDSQGWDSAL LECGLSSGDIISLIRAKKGALTTPPNHFAALLQAWWYFGLLREVLGRDIEVCDFLKRS GPLNSRVITTENLETHLMRLVTQLQGLPPNEAYKRLRNAMDCVDYVHKSVERLAGCLG EHCLFEESNARMRRPISGMRRSADGRISVSDSALDTILNIAETAHDDNRGLSDVFRDS QSQFWARNGREILPEDLELSIGMLGHTLSHAIQMIYRRLGLQAQGPINVSGWYIPDLV RKRLLSSGFCPRVVYRLSMTMKLVGAYLSSLLHFPPEVPSSVSHVDCTMSRCHADYMV DGSYIRSHAETGCSCEVMPEFETSKHVQKILQQGEIPVLRVKKAPNSQEFKIEVLSSR NTSYVAISHVWSDGLGNPDANELFKCQWLRLHHLVDNLVRESKLPTSSTFWIDTICVP VGRGRDTSLRKELRDVAIERMRDTYRQARMVLILDSSLWPLTSDMTDLEFAFRFSYSK WMRRLWTMHEGAVAETIFARIKDGYVPMEILKHKLLQFICDDESILNGRILRSLCVTE SITSWSSCMQISFESPQHLFRFVWNESRNRGTKYEEDRYVVIASLLGLRGQQMYAFQR PEDRLKYLFENIAELPSMILFTQGPRIQEPGLRWAPASMDTSMIQFNGDLKPAIRNTA GLMVEFEGVRLLSHPDWGKRFRRSRGWTEKVNSVTADDSGTFAWVVEVEEYNKLNVLY CASFTIHGSEAPIVSESDSLAVLLQPSTVKATDRL EPUS_00296 MEDDPGAADDERIIELSSIAAIFPEIVLDRNSPYQASLDISVSP AAPLKICFQHLTETSLLNLPTPPTSTEPDTEDLDAALKDGPRQKNQGTAAVHELVHLP SLNLKICLPEGYPAETAPVFELSSCPAWIPETTLQRLTRDGVRLWEELGRDQVIFTYI DHLQQAAEAGFDLAKDLGREFTLSGELEIALLDYDLKSKREVFEKETFDCGICLEPKK GAVCHRLLLCSHVFCVECLQECYKKCIAEGDVDNVKCLDPGCGKDTNPLPPNEQAHQG RTAARRRRRQDPTLSPSELLQIPLAEEVVQRYVHLKRKKKLESDKNTVYCPRQWCQGA ARSKKYPKPIDPMHDAADVTSDSDEDPQDTSNKGSKESKTQEIPMSERLCICEDCSYA FCSVCKKGWHGELTSYSLCNPRSEKELTEEEKATAEYLALHSTPCPTCSAPCQKTMGC NHMICFKCRTHFCYLCSSYLMESNPYQHFNDKHNQCYMRLWELEDGDDENAQVVAWDI PPDGLAFDSDSDDDDLSDDDDDERDINWFERNPLRRDDDDAAHFSDEEEIGQEPVQRG RERLAAPADFPIKVRLHRHQLHHALAAEHANRGGQPLPQPRNRNPNQNQARGRGRGRG GGRAPPPPRAAAPEPARERRFPIHPAPPSENESDSSDTEDEVPIRFPRGAAPAPAPGQ GNDGQQQPAMLRHMGIDRFLALAEQDQEDEWDSDELEEEVLDVVEERRRRAANRRIWG EPUS_00297 MATTAASDIDLDTYTHLPLQIDPATKTLSLHPSATASSSPDTSL QAALSTVNQLHGVLKTLDTPNSIPPHPLPTNLPTQKRTAQITKLREAASTAFRKSQFA ESIRLYTFAIDMAASRPSWEPVALIRDELSGLYRDRAQAHMGVRDWVEGCKDCEASVE CKRPQGEKGKVWWRGGKCLLEMARYAEAAQWLERGLDCEPGLQADDGREMRALLAEAR RKADQFTASS EPUS_00298 MDAPHRTTGTLESKEFDIAEGESSSSSPPLSGGPSISHVEAAWR PHAEHDPSIDDGFAEEQCEEDYKHQSNLWWSRMRHHVRDPAAEFLGTFTMIVFGDGSV AQVVLSQNPNLPLSAQNKGEYQSISWGWGLGVMLGIYVAGCSGGHLNPAITFVNCVFR GFPWRKLPAYAFAQVAGCFCGAAVIYGNYKSAIDQYEGGTNIRTVPGYSDTATAGVFC TYPQPFLTTTGMFFSEFIASAMLVLVIFALKDDANLGAGNLVPLGLFFLVFGLGATLG WETGYAINLARDFGPRLFTYFVGYGHEVWSAGNYYFWIPMVAPFLGCTFGGFLYDTLI YTGHSPINTPWMGWKRIIRPGRKKIRKPSKRQSDTNNQVGMVSQKV EPUS_00299 MLLVVLTLVGLAVSGCFGIIGLDIRRSDSVDFIQSDRHRLGERQ VSQTLDNQRNLYFANVTLGTPPQGLRMHLDTGSSDFWCNSPQSSLCMARSNRCNVSGT YDSTASSSYKFVNNKFNISYVDGSAALGDYVTDTISIGGQSISDFQFGVGTRSSSTEG VLGIGYAINEVQVRRGHGSPYHNLPQRMVDEGFIKSNAYSLWLNDLGANTGQILFGGV NTDKYHGSLQTVPILTLAGQYSAFLISLDSISVDGVNVAPSVFPAQVLLDSGSTLMYL PDNIVESIYNQVHAATDSRAGSVIAYVPCALANEEKTIDFTFSGIRISVPFNEVVLPS KWPNGADLHFNDGTVACIFGVAPSGGSYSVLGDTFLRSAYVVYDLDNNQISLAQTNFN STTDNIKEIEVGPTGVPDATLVSHSDAIAKGIGSEDTKLAVVATPTDTMVSGASTGAA MPAGLCLIGFMLMGMGFLA EPUS_00300 MPSREEVAYFGAGPAPLPTPVIEAGGKAFVNYNNTGLGLAEISH RSPTANAVLAEAKSALTTLYDIPEGYEILFMQAGGSGEFSAVVHNLVSVWVERRRRKA EKALGNDEDKLLERLRKEVTEELKVDYLVTGSWSLKASQEAARLIGTKYVNVALDARK ANNGKFGRIPEEATWSLTPTKREGGTGSAFVYLCDNETGVEFPAFPKCLEPQGADNEE DERIVVADMSSNFLSRKVDVSKYSVIFGGAQKNVGVTGITIVIIKKSLLPPQTATPPP ALLHKLEIGGLPGTIVLDYATIAKNNSLYNTLPIFNLWIASQVMVNLVNTFGERKVSG QEEIANKKAELLYTTLESHPQVYQVVPDKSVRSRMNICFRVLGGDAEKEKEFLAGAET LNLTGLKGHRSVGGIRASNYNAVPLDNVEKLVRYLEDYATK EPUS_00301 MTLEHDVQNKSEKNLTKYRQIEEFAKQQGVDFYPAGRGIAHQIM VEEGYAWPGTLAVVSDSHNTIEEGDGVKENDRMITAEQALEKIIGQLDNMVEVAEQTL GSKVSKNTTELHPGFPEKVAGEIVFCDADNINTDGIHHGKYTYQDNVPVDTMAKLCIE NYDPKFSGLAKEVVAGSFGNIFLRNSINNALMGLEVPKLVHRLWETSSDKAAPARNEE IFEPKQNRQSLDSPPPAPTNTRKRSSGM EPUS_00302 MAPVAVEPVVPAVLNGKVGDPAARVDSFDASSTNAQELSSSMKR NGVVYVRNLISKDDMDTVVQEMRPHLDDDTPWDGVCFPPQTKRLCGIVSKSETFRKKV VMNPLWLALSDETLSITSSAWFGDIKAESVSRPVLGGTVCFRVCPGATAQGLHRDSMA HHLKNPRITADKYTFEREVSISMFLAGTKTTKENGATRFCPGSHLEANEEKPNEENAL YAEMEPGDALIMFSSVYHAGSANMSQDEERLVFATFMNRGILRTGENQYLTIPTEQAA KWSDEELTVCGYAQSQPFLGFVEFMDPMAAIRGDKGGKRYDITDQKVIT EPUS_00303 MAGIGEATAIIALAETGFSLARAINTYISDVSDAKDDILSLSSD IDSTFRNLRDLAHFIERNETTKVWSDDGAANARKCVRDSELVIVKMRKLLKKATASDT SPEVERDEIDVTKFQKAKWPILKPELECDDESYRLSNRISFSPTLLTTRKLLPPQLTD NELWMTFHVLKELGMPGQNPHPQSKEQYGGFYDQRGYSGRSLSDDEDVEDALNEVIYS NIEDLKMDFEDWAREKEEKQKKAEEETKRIRDKAVEDWKIEKMVEVERQRREVEENRQ KLKLELSRHELRLAPLQIEETLNNVYPLPQTGNELALMPLGNQRQPSDADAVSVQISS SRKSRSWSVWSRSSRVGRRKNTHTNGRHRVEIPELLRDPLGAGGVAELKALYLQRVFY SQGPNIEAFNVEIPPQWLLHALVAKEEKYLQDPKVNSIWKELAQIPEDYRYAINGHAA SAQQSSRYNESWVLIYVECLSLEKSSSRISRRAPKEVTGIYIVLKKESGSMQAAEDND YDSPPISRRLRQRSPEYHSSDSEEAFYTTIPRRASSVIRTEHESLSKSRSDSYRRDHS HIAKRASGARSRSRYDDRDNDGGEEIRRHSSRTRSGSRRKDSTPSFMINADYPMEYSD MPRQMSERQSAYPAPYPDMPADNVYPRYPTPPLTSHRPRSGSYDNQRARFSDRPPSII NPTPEPPSRRSTYDSWGRPSRRSTVDSMDSMDSRSGPNNGYHHYHHHHPRHYSYPSPP PRPTRYDHGGGGKQVLSIYDRLSPPRTHLSNIQEVINEDLPRGDGDSDTATHSTFCSS SDSEFSYRLRALSEAEKGKVVIGETAKTDEEISREILARLTSGTTATATEDAEGKPER GGQGQEEGSSVPDVDTLRSPSAMGAFVVELSEEPAAQDQSAGADAETGGVATDSNKQS R EPUS_00304 MGLHLPWASTVGAQTLTINSADALPSPGAWAEARDIWAPDVVEL TVGSFVMYYNAPPKGDPVLHYVGVATSEYVSGPYKAVDNFRLSRQTRWRHRCQWLPRP SKGGDCGNSIEPIVSTPVLLQQVGLDGVTPIGDPMPVLDRDDSDGPLVEAPSIYQSAE GIYFLFFSSGCYTSPSYDIKYATAADIAGPYTKASKPLLKSGDGPNLNGVGGASVSAD GKVMIFHANLSPRGRPLYRGIFTAKPRVDGRTVTI EPUS_00305 MPDQGAQQPRGFLEPPEGMTFYNVPPLAGRKRQRDEFDVDETFL AGRKRQRDEDDFGGDEYGTTNQTDSAVNNTQTQHINQEDNLGNVSGIAKSVATHQDTS AVEETAVKVNVTITKNEVRNGDTGLEVKDNGKWYPAIYHHELVRTVLSKDRLYGPAGS QQYTHPRKDGKLDGDEYDRTSYLPAQKYWGGDRAHRPTILFEFKKPDNAYPPTNPPNF TVSIDGVQRTIIDVINNKPLRDFAHLPTTISTKVEGWLMEAWFRYDPSLRFEDLIQRM PFKPKHNVYKSRAVINRLVRRRELFRDKGRCLSWMKSTWTKKWDLYLISEMNSNPNIA NPNSTRHLEDLPAEGTKSMSRIISETKEFLAKGNHRALKGERREEKDREVERTLASNP AKKIKAALDQVSNGVVTVVQAPKKSRRSSSRRKQAVYNTTTAQPQQNVDPDPMVDRAG SMSNPNVESAGHIGMTRHLNVDEEDVHLAPGYPGHSVRAGELPAMEYPAVSEMMGNIA YPQPQFNAPNQPRQMQNAQGMQLPHQRYMNPADQQGTVGISGITERTQGRDGHNDYGV DIPGIPERTQGQDEQNGYGNDLAGFFDTMPNLLSATTDSFEESQRAPFQPPNPAYGGG LLVDEFQPQFHPAQPYGQNSRDGGGLMPSQPYRFEQPFGYVYDGGDLRSQSPYEGLQP DNDANEGDFMCGPSTYENDGQLPGSSMLEAQSSLMPQQQQSGSTYVDGNHHAWMEINP NGYALENNAYYVGISPDPGAPNASMQQMMTTNEIGQQITYPVQRNIVTPELGRTFDED LVNSSRKSRKLK EPUS_00306 MAPNPKDAKVTSVEPMPPDEARWIRLNKITYTDPIGRSRSWESA ERQTRPTTSQTDGVGVVAILHHPTGPALLLQKQFRPPLNTVTVEVPSGLIDPNETPSQ CALRELKEETGYIATIPEPTPPADNNNTAESFVMHNDPGFCNTNTQMVTVQVDMADPR NRAENLMPELEENEFIECFSLPLESLWEDLRRLEREEGVAIDARVGTLAMGMEIAKRF GLGRESKGDEEVRN EPUS_00307 MPASSATEPMALSMTARMADLSTGPTRQIPSAAQRYYAQPRIGN LGGSVTPSPTHKTKAELELGDALAKGRPLLEAEDEKVNEGNGESVPKKASRKRKRRRP MSLSPSRHSPRLIKQRTAALQRMLREHLAGSVPPTTLKRAKMPRRRSVDSISDTLPAL SEDPASYNSNTEDCRFHLPSTAEERLAIRAALTPTLFRLKKKGWDADVVWSPDASYME AHQRCLQEYYKFELARKPDLSPFDLPAVLTLLPWTGKISGFRSSPNWPQGW EPUS_00308 MDKSSTPAKPRPKREMQDEVSDADDAGTSNPTPGGRNNHAQKRR VRRAPASTEFDIQDRAGQGLSVSIGGAWRPAIWHHRLVIGLDREKYGVHLYDTEPPRR GDDQPTEDITTFLAGQKSWAFGSKEGRPSILFQLYPKIDQYPDRNKVVPWLEWEIDGE TKIVLDVVSRSPLRDFKNIPVQLASNVEGGRLEAMGREDSRITSSDFLQHMIPLLNEN GEPKRDEKGVILSDRPSRHVLDYVRTQFRNQCRCLSWRTLRYGSVFDRQLLKDITKQD KDANTTRNLPDLSHSEISAIRSSARNAELDKGIGARLAAKDESQLDSARNSPKQSGTK VYEEDGTADLPEYSDVDDLPDVLLDQTSGNNTPAQRRGNRASRKMSKLFDVAGTSSQT LVGDTVQTGFEVIDPALLTPAASQITTITTTTTEHAEPSTKNTGQNTPQLPVTTLNIP ATSSPPTADTTKAVSAPRGSASPSLVTSAPSTDTQDPSDPSADSEKAVQSTAATKSTA VTKTRPVLSLNTATTEEQLGNDLPSPKRRCTTNEGGDPDSDSIQVTNNSKLRTQSPEL SLQHQNIASPPYPTNVQELLEQFGATSRPGGAASPLLNSGAVNTTISSTQEKAQPVNQ SSESPQPNSPDEALPAIPTSATPIRSRSVSPAPAMPSSYQPIQPRPAPPSPMLHHNQM QMLSAEQARFAATSDRVSSLELSKARLFNAWLKDQADTSDGAALYGPRFNISLSSLGS NNSARTDAEMRTFMSSYNIPGNWSASSIDLRQNEELRQAVHQAICEAAHWDARNYVEN SNLSRVDLSTDGGFFLARAFWASITPNDCRFWNFTSTEQLNRSLHAALWRYRQLTGRA LAAPGHPAFLAVETSYHDAWCEIYRQIFLPYFLRFKDPVEAACWAPQLGMLAKWEGGA ESWARSPTHVQDGFACRFDVEGRGALDPRRDATMKRPSRP EPUS_00309 MFSSLLDLSHGAVEALQRIPWPVTVAILLNTLIGILGLAWFFLF IIAPVPRPAHPSEKKFKTVNADGEVTRSAPLPCWLDNINQGRRKGQKDGQCSLESIPI EPAELFMSVVVPAFNEEDRLVGMLEEAVNYLEHEYGLLSDKADAAAKHNGAPIRRRAS GQTEINAHSPETHNPEAARGWEILLIDDGSTDSTIETAVHFARTHQLPLRPRRNSGPW SHRSDSAVNIPPGSIRLVSLKKNRGKGGAVTHGMRHARGAYVVFADADGKRKTRRKAE PWPGGQALQTAQLPHAFLPPAPQMDDAAPHRRHPRDTQCGFKLFTRSSLPYIIPYMHC EGWIFDVEMLMLAEFAGIPVAEGAGRLEGGQGQQAECAVGQLGHGLGSGLAEDLLGGR HI EPUS_00310 MGRGGAGSPSPGGEVLGMRATCSVSSIGDRTELIGPLPPAQHAS AEGRGVGDERDNDDDEKEEEGYAFFSFIDINCTVRAREGEFLVGSAGEKGSGWLGFSV SFDGCAIERPRVEGEWRALMEALFEEVEAGNEDGHDEVKGSQESSEAQRKRAGGGRVE TMRDGKASVMAKL EPUS_00311 MDTDGMHWLGRYGTKYHRWQQLHNSSAETWYRPIGLVEGLFDRD GMHGEGTADVNTLLGAQLSTDMEPEVLRRKILLAWTVLRFQHVLLSARALCREDFLPR GAGSPKDRFFVVERPGRVDEMVEEASRTMDFVQDHYHEVDVDDFYTHVMNTARVFDNS KNLARLFILPLKSVSDGRLLFQAISVVAHEIADALTIYRWHAHFLSLLNTPISVLEKQ AARLCFASSSDLRSRLPPAQEDLYPPITGNRARQRWFWAISRILRHVRRPPPPSFPNP LCRKTRLKSAERLPRHYPALLDYNKTPPVKTYSVTAPRSPPAQPHESSPSAAQPTYPS ARAPSPLWRSS EPUS_00312 MVEKKCVEIDQQQAATTNKLTNEQWQALIALHRTLLHEHHDFFL ASQHPSSSPALKRLATKYAMPARMWRHGIHSFLELLRHRLPDSLDHMLSFVYLAYSMM ALLMESVPSFEETWIECLGDLARYRMAIEEADLRDREVWAGVARMWYDKAADKSPNVG RIQHHLAVLARPNIVQQLFYYSKALVSVIPFPNARESVMLLFNPFLATGEIASQRYPV VEAAFVKAAAIQFTRGSIEMYNGLVEQFLFALDRHIGRVTTKFRVQGPELASTICATL FDFGNVDSYLWKAFSSYDAQLQATLENQKASTGQTIISAEQEANLKEEQLQAYFRSVN DRTEHPKAVHSSRDSTTKDATFSGAQDAVAHACHLFDSSIEVVFQRVGDRNVVPFAHV VLAFLKSLAHVPDAMLYVEGQVPWKSIVTFLNTIGRSGALSSRYEGSAFPQPLSGTGR QLPEDFIMRGLVWAKHYYPPRFFEGEVVDEDERTLELPSHAAPRAERCLWLGIQLASL GRWIRYDSQTQQFAVTEFVRGLESYRTYTFDTRSPTMSVEREDTFMIDVKS EPUS_00313 MVSGAIIPRPEAVSSESAPVNPGHRVTIVGEVRSNPRPEPTQYD AEAIVRKPVHIKRLGLTTVYEPENKSAIVADVVFVHGLGGHPYETWRYKEKAVNPNGL ADGDQADGLNQRLAALSPKVEDMYLRILDNLSPKFREEGSLIFWFVCFARRPLKVKEL TVAVETMLQVRMEQRRRLNRISCEDFSNRIKSRSGGLLDFTDEQGNYPHQARSVNLTR ETVKTYLMDSGWLRSRMYRIGALVISRGAKVGAPQLSWCIREDSWEMFELHFTYFPPG LFCVPTGLEGNDSNVGPLWLLCVAARKGAYMSSAHIPDFGRKLDLLLEPGEDINGICG PAPTLLHATLGFTWSSRTVDEVLIRTLVDRRADVNAPALDWQTSPCRVKKR EPUS_00314 MSGLRFLDLIKPFTPLLPEVAAPESKVPFNQKMMWTGLTLLIFL VMSQMPLYGIVSSDTSDPIYWLRMMLASNRGTLMELGTTPIISSGMVFQLLAGTHLID VNLDLKSDRELYQTAQKLFAIILSFGQACVFVLTGLYGQPSDLGAGVCLLLIVQLVLA GLVVILLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGPEFEGAVIAL FHLLLTWPDKQRALYEAFYRQNLPNIMNLLATVTVFAAVIYLQGFRVEIPVKSSRQRG MRGSYPVRLFYTSNMPIMLQSALSSNIFLISQMLYSRFSDNLLVKLLGVWEPKEGSAQ LYAASGIAYYMSPPQNFTDALLDPIHTAVYIAFIVVACAVFSKTWIEVSGSAPRDVAK QLKDQGLVMAGHREQSMYKELKRVIPTAAAFGGACIGALSVASDLLGALGSGTGILLA VTIIYGYFEIAAKEGDMGSIKGMIAG EPUS_00315 MSRSMSAVSKASSFVRMLRGQRRAYSAPLDHSIPESKQKYVPTS GTYPKGFKVSGTHVGVKPSNTKFPDLAFIVSEKPCSAAAVFTTNKFQAAPVQISRSML EARKGEGVRGVIINSGCANAVTGKKGEEDALAMGEKASSCFPDDPDDGLGHRSIVMST GVIGQNLPINKILDRIHQASSNLDSSHSAWLTTARAICTTDTFPKLLSTTFTLPGSAH RDVVYSLAGMTKGAGMIHPNMATLLAILCTDAPISPPALSSLLKTATNKSFNCISIDG DTSTNDTLAILANGAAALPSTPGPQPPDSAPAIPNDPRDPVYIAMSRVLTDFSQRLAQ LVVRDGEGATKFVEVHVHHAPSVADARAIASTIARSPLVKTALYGKDANWGPGRRRGG SGEDERLVRAGGEERE EPUS_00316 MKRKQRESDNINGASVTPKKQRTVDIDGISDNGGSSTPTANGTF KTPTKLSTETRGKAQSASAKKADRSAKRKSASALLENESEDELGTALAQEILEDDEEG LDGDGGGLEGIRDDLAELAASALQETPSKRGRGRPKGSKNRRSPTPEGDLPPEERYFF QNRSGPPEVSNNTLASLKLLNHDEYFALMRGYKDPHNAERAYLRKLHARSFPQWRFEW HEGFNICLYGWGSKRQLVSQFAEWIYPKYDPAPSVVVVNGYTPKVNIRSILTMLVTTA MGKHAPARISGQPAEMLDLLLSYLTNHPPGTPLMVLINSIDSPLLRRHSIQALLARLA AHPRINLLGTADTPSFPLLWDSNLLDQFAFVFHDCTTYAPYIAELNVVDDVHELLGKK GRRIGGGEGIGFVLRSLTENSRKLYVLLLTEILSALAEGLPDLHGGVDGDADDGGKRS AHAQEPDEEVSVEYKALYQKASENFICSSEMNFRTLLKEFYDHEMIVSKKEGTGTEVL GVPLGREEMETLLEELVMAGN EPUS_00317 MLLRPFLLRRTLHTTTRLSSTTTTARLPLPFSIRTYPVLLTSAA LGFSLPFFAPRSSALLDTAFSTSSAPYTYSRDAKTPLAKDSRSLNPAAVKQISLGSIL GLGAGVLVSAFSRTLTLLLGVGIVIVQYAARSGYNIIPVERMQRYVKGINLRRAILDN AAFKLSFGLTFALAAFGEF EPUS_00318 MAVILPTAVVLAYYHTPPSWMIDAYHAALPPVQPKPPHHPILGH LLIARDFVNTFPPDAHAHVYADYIRRKYNLGKFFYLDFWPLNDQFLYIADPDIANQIT IGSSLRKSPMVPEYMNVLLGNQNMVCLEGAAWKRVRSMFNPGFAGGHLMTLVPYIVDA AVVFGDVLREKARASEVFEMEEIATWLTMDVIGKVTLDVDLNSQRSEHRIVTTFRKHV AMMPVTTPADPFIGLIAKYFNAPWLWYNGRKLDRYIGEALDQRYAKRSADQKSRTSED SKKKIRSVIDLALDTYEQEIQDSSKNHGSSTGEGMDPAFRSHAVDQIKTLIFAGHDTT SSTIAWAFYLLHQHPDVHAKLVEELDHVLGPKTSNPADKIRADSYLINRLPYLTAVVK ETLRLFPPASTVRYGDPTVTITDPDHEPSQTYPTANFHIWVVAHAIHRNETYFPNPTA FIPERHLDDNHPSSTPFPHAKQHKDALRPFEKGPRNCIGQELAMIEVRVILALTVREF DFEAVYPELRDPREVIEGHRCYQVLKGSAKPKGGLPGRVTVR EPUS_00319 MSGRNPYATNNGYSNSNAPGRYGNLYAQDNNSSTSSVNGYSTRE RRPGGYGGLGLEANEESPQPPVSRTRYGFEQGAGYRRRPAQDSRDRDYSDSSRSRDRA APSTNGVPAATAYSSRRGQGSMEDVLKYIQSEWPFMGGEECIPVQVALQLSDPSTLGL ATREPEFQRAHFDLQKALKSIVNEHHQDFNSSIGTYHKIQASIQNSQSRVRYLKTALG EAKGGLLTTKPELKGLATSSQAFDDSLQLFTQIESIQAVPEQLEGRITEKRFLTAVDV LQEALRLVRKSEFDGIGAMTDLRTYFTNQEQSLTDILIEELHDHLYLKSPYCHDRWKS RTIEGEEKDSLSTLPTSAVNPWDKPVYQFLASLNTSTPMIEDASRNPEADTFYYIHMI IETLNKLGHLDTAVNRIEQRLPVELFKVVEKTNNEIDARYPGEARGLADREKKRIATP TDTHYGRGAVLSDFLWTVFAKFEAIAEGHRVVHDVVWGIVGREKLPKAARYTGGFKEL WKLYQSEIRSLLHDYLATDGDTTLHSGFSTTDSVDVFGRKRDKNKRMFRLAEMDPMSP DIQGEQEDLDEILKSSVPGLISKTRAKAGMTSDAPRTVQESTAAGHKLLIEPSAFNVT LMLPPSLSFLQRLKEIVPSNADIPISTLTSFLDDFLVNVFHPQLEETVSELCTKCIID LEAFTEDPQWSKHSPRPIFKGTVAFMSLIRSFSSMLDSIPQDQIFTQLIITQLVMYFD KCFGWYKVLTSRLSGPGQNTPSTKAAAAFAREGEVHEITTKLLDDSGDSTARSSLVDH EIQALLSATRANPLSAYDIISDPKSVASLSLLYNSMQWLSASLARLRHVEAASSMHPS SSSKVRRWTLVASLRPTHGRSKSNGGNSTPAYLPMTTESVIPFDKTLQEFRELAKVAL LTLHIDVRCGVIHQMTRSLRGPNAPPIDQAPEPPPRDSAALAKTDSGLYHWILQQPPS AASALILELNNHLISFDTNASAYLGSKERKFITRGLGRLIDRILVADADRIEVMNAYG AQRMGLDILVLQQNLRNIAITASSTPGSDIISVDRDAGEDADVLLQKSAQFYDLFLQG AAKVVDYARAAKSRGDPVGYSYDELKVLVELCYSEGLRSAEREENLKAKKGLQDSLLG LGEVMWDS EPUS_00320 MERVNTTKRLAHLRDLMKEHKLDIYIVPSEDSHQSEYIAPCDGR REYISGFSGSAGCAVITLDKAALATDGRYFNQASKQLDDNWTLLKQGLEDVPTWQEWT TEQAEGGKIVGVDPTVITAPDARKLSENLKKKAGSSLIGERENLVDHVWGADRPPRPK EKVMVQPEKYSGNKFQSKLEEVRKELDKKKAAGIIISMLDEVAWLFNLRGSDIPYNPV FFSYAAVTLTTARIYVDSNKLTDEVKDHLGTDVEIRPYDSLLADLTAMSESAPIVEDA NATASAPILKKKYLISTRASWALSLGLGGEEQVDEIRSPVGDAKAIKNATELQGMRSC HIRDGAALSEYFAWLEETLVQKAETLDEVQAADKLEAIRSKREHFVGLSFDTISSTGP NAAVIHYKPERGNCSTIDPKAIYLCDSGAQYLDGTTDTTRTLHFGNPTGMEVKAYTLV LKGVIALDRAIFPKGTTGFALDSFARQHLWREGLDYRHGTGHGVGSFLNVHEGPIGIG TRSQYSEVQLSAGNVLSDEPGYYEDGNFGIRIENMIMARETSTKHNFGDKPWLSFEHV TMVPMCRKLIDPALLTSEEKTWLNDYHREVWEKISEFFKDDQRTTDWLQRETKEI EPUS_00321 MEQLIQELVDSRSLSNPKSSQRIDEIQKEIQRLQREPSGWHLGL ELLKSNNSQFRFYGALTLTVKIRTDWTSLETEKGLQDRVLTELITNYVIYSNQLEQRF VLRKVCSTLAASYLYPNSCWQFPVRHVLMSLCAGQIVPPEHIGDFQQVWTQIQYISAP QLRSLLWLGSALVEELTRQDLKATERVELVERVVKNAPDVWYLVYLTLQCLHMRNGQS DAEALVAPNYLSAIPELEVLELCKEALETASLWMSFYSSTRTTNDSISDVIDAAMVLA MRCFLIPELADSAITSLEGILLYAPDYLKNAGQSTLHTLLTSEQAEVYCAQLLQGSFE AEAIRFVAFISNIFDLHNLCLPESFQHPTVRIVLALLQNLLYTPGTAVVVDQVCHTVL DAFNHIVDCWSDWVGSNAADRSLKPLVCEACMQYAVKIRYPPLDTEDASHLWDSDERT RFQDFRQDVEDLLLASYACVGPDLVQNLAAPLQSSDVSSGWEDYEARLFCLGALSDAI QHNPDKLERNIQDVLTSQKWNVLLNNASTIPDLARKGAIQFISRNTFILQRAKEHLLP CINFLFASLRLPGSITSASRAIFTLCHQQRILLVEALPQFINSLAGLADVPSEDRHRL FGAVAAIVQATPTENEKVVSLVRVFGLISQYSKATFDDSNEEALVSAIDLLQTLAAVG KGLRAPPDESINLDAQPSIEEQRFWIEGDGKQVQHDIKNVIDQLLGNFPDEPRLIEAT CDILKSGYTEPHPSPFKFDARYSATFLAHHIDLDASYNTLIIDTASSFLASHISHPES IRQEFLHVSSAITECQQAILDRYAETKIYEDHDFTYSSLDYFSRMLPRYGYYFSEKYL SEAWRTLFEFALLALENPDTIPRRSSAHFWAATFDHSPSLDHDASVNLGECIQYYGPR FIATWLRLVGGDAARSELDILCEPMKKLVAKQGLLGTGLLREAVPRDSGGHERVKRFV EQVLGLRGRRKTVEVVKEFWVTSRGAAFAYAA EPUS_00322 MAVHSQKSSNSNGVATTPDFTVKAGLAQMLKGGVIMDVVNAEQA RVAEESGACAVMALERVPADIRRDGGVARMSDPSMIKEIQAAVTIPVMAKARIGHFVE CQILQAIGVDYIDESEVLTPADDTYHVDKSPFSVPFVCGCRNLGEALRRISEGAAMIR TKGEAGTGDVVEAVKHMRTLTGEISRAKSAYEHGGDGALRAMAREIGCDLALLKQTVE LGKLPVVNFAAGGIATPADAALMMQLGCDGVFVGSGIFKSGDAAKRAKAIVRATTHYQ DPQVLAEVSQGLGEAMVGINCGSMAPSEKMAGRGW EPUS_00323 MQLVVNGSPLGLLISASDNYIAKATQAIKDSMIGSPAERTIRTI EYLNANNAILSKTNAQLVATARTRQQVKKGKQTLGKARLLDKEAADAKRAEIEAKEAA DIAHRVAMDQKKKEQMLKKAQQEAEKAEKAVQRAIAKDMREINVEMARMARVNPKLFT EPUS_00324 MLNLPQKLSKQPSPAERERRRLKALSAMPTEMRKSAAWLNKTEK TTSADGTRTSQALSSLPPQLRASAFFQKPALTQDIDIKCASAVDTLDSILDASAHAPV SAFTDHPFAGHLGGEIYGKRNVHDKGATLETKKNRFFDSLLLAQISERVSHIKIGYRT KLYKQYIKSSLLCDRYW EPUS_00325 MAVQTQGVVAMEKMNIVTGPIGLPDLPGDVFTLIIEHLEAWDVV RCQMVSSAWSQAFSAPELLRILMKKYPHAQEAPQLISRTKLAASDEDSDLLWRTTFNQ IACRYFHLTHGRPRTIEKHKTAAPELSLPHLFPVSCWDYHESQPGRRLHHLNAVEPRG RQEMGPGEQTYLFRHAFWSYEDGLLVFIPAKPFAFDYHPAAMPARSPPETVAIMMLDL KSQYGVEIPFDMKDRVVRNLRLKHHALIIEWAEKEYYHALNDSENVHRHFVTCYDIKP QLTFTSDTACRWSIAFRSEWKLHFLGLPLNAQDRFYSTHTKDHYAVYLWQPNRSMYTG DEEQPIECLLVWDIAQPRQYMPSLDPGRKDQPEDVRNGPHIVCRYDYRLLQHYGIRQQ SSPSLIKFSLNSEQQTIAIYENVRISGQGYFDPAERLWCARTTTILFRGEGPHLQREW EINLPPYRGNCSMETSDVLEPEPWFLGIMDVVDEKAYVRFSLAESAFTSKDVQNSACL RIQALGIMATLDEATTKQIAHAGQEFASDLPLAMASTSSAYAVYFNEGPGYLFVANLG KGRSGKAMLVRSTSYSMTTQFCNGGSLHDLIFYTLFRDSTPIAEIFIWKMFTQLLEVL EGLHLERLTTHLDLVPQNVFLHWPDEDQAEQAKQTKREHHLPDFYLGDFGAAEKANDT FIQQDLRLLHSLLIAVCLGSSRELRRCMSLLPEPYSYLGGDEKILGSTPPTNAVRASV MPIARKKMAELEGKQQQPDYRFTKPSMKSEVKVAKRKSEFAGLGIDWPFFYARVDEKT SDIIEVENAPKFSFPEHAGKGYVRR EPUS_00326 MPLILSDGHSESSNTTRSRRRIAASLFSAYSLAEISNYSLFSLP IFIQEIDNKTWYVQVGRGAVPEKSAAVVVPKSTSLLLSIKGQTGSHFEIEVLPTDTVD YLKERVWEKRLGWPPDQQVLYYTERGRAFRLRDGITMAGHGVHGYGVPKRKPQQTMCQ LSITYHASCIHVTIKPLTTCHPLCPEPVWTEGPRRDLCAECQKRREQEIGRKRKEKLA EKMAAAGVGDEVDGDVWEEIEL EPUS_00327 MTTQKTVLVLEGPKDWDDWYEIVRRTARVQGIFHLVDISAAIAP RPPTRPEKPTYKDINPIAESYAALNDAEKDHYKVLQTEYRTDLARYDQDRIAVRDIIY HIQDTTARGAFRPISAAWIPRMRFSKR EPUS_00328 MDPLSISASIAGLLCAGAKIIEVLTQISQLTGAPPLCKAALTEV CDTAATLRLMQNFINSDLSVPTEGRQHVALEHLTVSLAGCVSTKDELETVCDDLGLVY SKSGITGVFDHLRWIRQEEKIKALIQRLQNHKSSLNLILTIVQCSSSTHLQDSVNKLS NLVEKALVSNSTIAMRLARGAGDSSSVPTVKDGSESDELCWLIDGSVWK EPUS_00329 MASDRGAPKISIPSEADLKQLTEDERLMQAAQVAKAADSAQCMV DSLKSKAALLTDPKERERVLSEMYDQEIEAKGLSKKARILKSGTFQGAAREAQGSARQ QELDWGRWLCDFGADDGSGGFGGSRGWGDSWAVD EPUS_00330 MAQLNALGGLWPEIVKATGYLDNRTPKRQLEWRTSYESLLKQKP NLAHLRTYGCRAYPLNKHIPRLQKLQLRAYIGYLVGYESTNIYRIWIPSLEKVVRTRD VTFNEELFYDPAELDIGHLLRESTNQVIEILEIPSMAAAEPNSRADTEEEEERERGAR SAGGENDSPSASTPNSTAAPTPCDSSASGTLSNCASTPSENRSDFDSRNILPEGSKRS RMSSRRQNYAAALAQTTELTPFYAAFAAGHEKSGKIDGLHRDTLPTELRSWKQMTKHK FATEFKLAANREIQELARRETFKWVNKEAVTAMPLPLLWVFKYKFDTDGYLIKFKARL CVRGDLQSTEQDTYAATLAAPAAFDLDIRQYDAVNAFVNSKLNERIFCIPPEGYKRSQ QSWLLLRALYGLKQSPLL EPUS_00331 MSSVDIKQNKSVLWAYKKDLLGFTSHRKKREAKIRKEVKRGIRE ANTEDPFELFVTLHNIRYVYYKETDKILGNTYGMCILQDFEAVTPNLLARTIETVEGG GLVLLLLKGMKSLKQLYTLSMDVHSRYRTEAHNDVVSRFNERFILSLGTCESCLVVDD ELNVLPVSGGKNVKPLPPPATGDEEVSETKKELKEIKDNLADTQPVGSLITLARTIDQ AKALLTFVDAISEKTLRSTVTLTAARGRGKSAALGVAIAAAIAHGYSNIFITSPSPEN LKTLFEFVFKGFDALNYMDHVDYTILQSTNPEFNKAIVRVNVHRQHRQTIQYIQPQDA HVLGQAELLVIDEAAAIPLPMVKKLMGPYLVFMASTINGYEGTGRSLSLKLIQQLREQ SRGGGKSSEDTDIADRSNGKPTKNGDKVFSGGRSLREITLSEPIRYAQGDAVEKWLNR LLCLDATLPKSRMNTQGCPHPSQCQLLHVNRDTLFSFHPVSEKFLQQMMALYVASHYK NSPNDLQLMSDAPAHQLFVLVPPIDDEATRLPEPLCVIQVALEGRISRQSVLNSLSRG QRAGGDLIPWVVSQQFQDSEFAGLSGSRIVRIATNPDYLNMGYGSRALQLLTDFYEGK FTNLSENDSSTIQDIESMPRVTDAEFESTSLLEDTINIRDIHTMPPLFSKLSECRPDL LDYLGVSFGLTSPLHKFWKRAHFAPVYLRQTPNDLTGEHTCVMLRPLLNNDADDVTWL PAYASDFHKRFLTLLSYQFRTFPAIQSLSISESATKVTSSPKSSSATSTSPLTVSDLE AAFSPFDLARLDSYANNMLDYHVILDLLPQIAMFYFTGRLRTSVNLSGVQQAILLAIG LQRKVLEDVEHELGVLASQLLAMFVKVVRKVSNCFRALKEDAVAETLPAKSAGDVEAG DVVDGMDMDQVPHHGADTNANTHVLNSEGKEKKTPASRFQPIAQSLSDELAEGGKEVD REMKEKQRALIDALPLDQYEIPNTGPYPTTNTNGNSNSKSNTDKLWEDAERQIRQAAA GGGSTTVSVKLTKAATKRRLDGRDKHEEEKEQKKKKKSGKDGKERRERKEHRSKR EPUS_00332 MMLEPKYTGSPAILAQRRSTSSSKSSNILRVIDTKRELLRLYSS SDDEDSKISIKPASHPLKDLMHVDAHPESIKNGSVREDIGEGRGFSEEFAHDKEDSNT IINAEPGRQERPPEDSPRILTAHEQQARSTKSLLAYNRDHGNPEYIDLAEPKVLNAYG ERLEAMRARNAEENYHDRIPPEHAPPHMQMMVLLYQWAAWAVEISDQKRRADMINWVN GRFLAFMMSGGRVPGYNLKELLELAGVDPKELYSYNGESSQQDSIANMSSSQLAATIS KHQLVEETMDESEKETSSAGLDQNNVVSHPSLVRQLLGTESEPSIEGGSNNDAEKHGE SNEIMDETVHSDKSVPFEHLHGPWPAEAPDMVSEPPSGNTTLGKRDAISDISDDDQGV KRQKHEIANASPLANTASPSSSDDNLRERYLQTYFKPKINVVLQNHRKSRYVKGMPDW STIAKRLKGVSVNTISALRHDYHEGWKTWDGSSFTELANTFQNSAVSANIKAEPLATA AVTPNAPVASEPPVKPSFSPKAKAKARPKARKTEDYDPEDGEYTAAKTSVKTRNQYAK SLNLPKSRRGRPPKS EPUS_00333 MPTVKNSLTVLAFLSFVNADAIYTKKSPVLQVDSKSYDSLIAGS NHTSIVEFYAPWCGHCQNLKPTYEEAAKSLAGLAKVAAVNCDEDSNKLLCSQMGVQGF PTLKIIKPGSKPGRPIVEDYQGPRNVKGIVGAVVDKIPNHVKRLQEKDLDGWLSTSNE TTKAILFTEKGTTSAILRAIAIDFLGKINIAQIRNKETAAVEMFGISTFPTLVLLPGG AKDSLVYDGEMKKEPIVTFLSQIVPPNPDPAPESTKASSSRRPKATRPSSSSSSAFSE ASASHKSAEASEAAASGSTIVLEDFDASESPMPIVPPSETPITVPDSTPPLRSLVTLA DLILSCLDPKIGTCVMALLPSQVDADATPPEPVAQALKSLALIAEKHVKRKAKLFPFY AVPAENEATEKLRDKLGLKPVTELEIIAMNTKRGWWRRYSGENTDVVSMESFIDAIKL GDGKKESLDEGVILQPAEKEADHDEL EPUS_00334 MGKATSVLRRARFRLHTSRRTMDPPATHSTIVIPAHNSQRTVQC ISRLLHFQRSSIIIPTRILSPHKQDLVIIPSANPQFSQAGPYRHPSANPQFSQAGPCH HPVPSCHYDHRGQRYEPQTFPPYHAAQAYFVNDQYGGAEYPRQQPFTDNHHVQQPPTI RMGFDDSSNPNTRARPHATTDLRPQAQSFIPRSSRIRKTKTKASGQVVDLQDERNNDN SRCDDGKQDGLSGQPSLSLPRKPEKVVPWKRRRDNTPTKTSPQAKRHSKMPIPATCVS SQTNEAQQSVTPSATPQSVPSGSRSNLLTHDSLSARAKNHDSGNLGLEQDLTKSFSTF RTSLTGSESRLQNHGSLPAPVKNRDSGSSGMEQDLSKPSATPHAPSGGSRSNLLARGS QSAG EPUS_00335 MAYNKAFNPDALPAHAEPEEAAQAISRLQERRRSSQTSAMNSHP TPPKNGTGPIVAGRGRISPNHQQQPFRPPHAAPDEADLFPLFRAANVSGSGCLSEKEL SSALVNADYTAFDPYTIKCLLRMFSSTATKSHITYEEFVNLWRFLAAWRELFERFDED HSGRISLQEFGKALLAFGYRLSQPFTAVLYNSYNDRGGTGRGREGMSFDLFVQACISL KRMTDVFKGYDDDRDGYVTLSFEEFLTEILRLRE EPUS_00336 MLYTKKPLVKDKRLPGYITGYVRRFWQQGGTGSEQVWGAAYHIV PSKVEEVKDYLDIREINGYSVQYTTFHAAHPVSKQIRCLVYIGMPDNPQFLGALHPQD VAERINQSVGPSGENREYLLQLEESLKDLSTESGDVHISDLARRVRSMEPRKGRPYGS QDLNPHKISSTEEQEEIEKAS EPUS_00337 MAKLFIGGLAWHTTDETLREGFAPFGTVEEAVVVIDRQSQRSRG FGFVRYAEQSEAEEAISKMNNVEFDGRTIRVDHADPSNRAPRVGQAPNAGRYGNQYEG SMGGRGWSSQNEGGPSSQGGRGWGGQNDGGFGGQGNFGGASYGGGRGYGGGVGGGYGQ QPGAYGSGYNPQSQGGGYSQQGQGGGYNQQAQGGAYGQPPQGNNPYGQQGGYDPQSRS EPUS_00338 MSLARSSFQSRELIQRWLLDIPRGVEDSTDQNHEQDGNAQREQR REISTATAKARFEGRTPSRGNNSNTPHCSALYRTSRSTKDNRKSSAWREDDESSGRNQ THIGNSKRRRSTSVHRQDNEKRKRRKAVKECPSISRNTSVRPSSPASSLGSSEALPLR RDTLERRGIRVEQYERRPRHKTKANKYDLKMRAKSREARDIAGGDRKSNRRRRRKSGL TLNSDFKAPNVVQERLTLKANSGPGMFHRGKASSPVHIRGMPDLCFTEMNFLSKRRDH QEPNKRDPRQARSSKSKEKEKSRAEQISEYFQRSQAAKPCIGTTAQTMVPEQNMTQDS PAVSVLSTSDHQDLDLDRRQWTPASHGLSRHTAQQMDRDAAVTQGCRISDIEEPQTEH HRRHSSPITNRDQLKSSSSYYSWSATPSRQCRSYQEATTNSPKVLAQTSHPDGFRVTT KQQHAVYSRPVKSPHDQIVREPVHESPMSQLSLDEYTKSMLLGSKQHLWGKFPTNYRA AELYTLTDLKGLSRLGKLEEPGKDGHGLQGEDEQREWFLSNNGFRFDTELSNTPTAHM PTTQKRSSMMVGNASSNDQHLSPHIKLPIAGSMTNGHSAGQIFDGDMLVNRFSMPGLS FAPSGSRNRPTSEQSYGDPSFENIHAKIPARTIRSVTATQPGPHEYRWTNTYGRLPQD APAKDTAQQIIRDIEQEELLISQAKNHKSGAVEDVIDIALDGFSDHTSQKDLREFHDL RDHDPFPLQQDSEYSEPNIHRLPPGPAMAQPVPSPHQSCLDPGRMNADRSQDQRLAKN VRFATDQQSGCLSLSVNDESGLAEQQRQQEGENALENFWRPNILY EPUS_00339 MPSLSRFFKGRDLSSKKSAKPLVNGNTAPITPQWSDAWIRTRVD PEEVVELLSGCTRELKSRALDLPFILLPFRPTSDPSAARAFVRNYFFPPADREVLKGE SLTRELRLIEPMVLASVMKWCWSRLPGGVVTWETYELFRIGEKDSALARDAFATFIPM SVDCEARRQIVFDFFDLMAALAAHGKSNGLGGRKLSRYAGWWAFEHYDTGKGFNAGYR GWASAADAASHLFFAYLRSLYPDPAKGPKGIIGLPTSLQQLVQATEYPPEAPTLMFNE ATKVVMVVNSVSPTPFALLRRAKNFEYRDDDSALQQFSNYDDPVQALTEECRRVLKYI SSTNHSTISTSKASTSLRDASWSRFEDVGFGAAVDESDGDDDGDGSMLGPKGEPDSLR RRALSQNWDMGRPTTPSWADFLSTGFDENGDKTASSILLPPDKVLPPIHTTPRGQSSQ SHRRNMNPEADLEPGELARIDKIALDDSFWWVWISSLAVEEPTSRKAVFGRCALIETV IRGGKWMIMEEQVKGAAPEPAPGTYIAKKKGFLGFTTKRGRLTRISTPKQRAPLSDPY MDADSIAPINRTNITPDQHAKIQAAAVKLYRRNQEQDRLANSPRRGRHEDDPDKTDSV LTLQPMLMKEATQAMQWASQYDKKDFMAQYLGKDQASRGSTPDLPAAPAAPAAPAANG SIANHSAVSFAEPPKRDELPTPPERIALPSSPTLTSKYIPLAVLPAPTPPKHVSLPKT PSPPPAQDSHLPLLPSPPPSTIVNQKPVPAPSTEVTETTTAASGEPAATSLPQPPRPV AIDRQTPQPQAAIPRKALPSSPEMKAGKRRPAGAGIKGMFGGRRVKEASFTPPVSPPI ENGPAIAAARAALAVKSKPQPNGHSVHQAITQAHNETPSPAHGRSQRPLTPPSEPACE APDTPPASDRVPSVTAVPHSDDAPKMPYAAEGENLSRAATHEREQADPVRSTFDQGPL IEQPAFIPPESPVRSEQITPATEEPFQQIPGAFVSQAEKDEADEANEAKDLTRQISPV QDRWAQIRKNAAERAAKQIEEQSRQSELKTDEGAISGEETIESRVARIKARVAELTGN MEASRR EPUS_00340 MVKDDETVIEEFNELVNMTADELEAWLKEEQSESSGWSKSDGSG ETIGHESGRKIIEILKKNPEKDPSKYDEDDIAHMRRVAAYCKRHLAQEEKAKQDTNSK SYKSLKNWGHDAQKT EPUS_00341 MSVQNGNHLGLPVPAAAHLLGSLENGSNLPPGQSPSHESRSDQT NLSPAPDAVMAETSGQSGLTSAPASPPSKSATPDDLEFAAAAPYGTRSRQRTGGSRPN YAEDKDVEMDGEMNGIHIKAIPARKNGSSAESYPAENLSDSTTRRGLSAVNGVPKPAS ANAQVPRDSLPGMSSFAANPAPITASKKRKQPGSSTTMTATTSHSISARPKGSAETSA RFQPETNMMTFDHYGGYLDANRQLKADDGTAISINDHAYFVCEPPGEPYYLARIMQFL HVRSDPKAPVESVRVNWYYRPKDIQRKVQDTRVVFASMHSDTCPLTALRGKCQIHHLS EIPNLDEYRVKKDSFWFDKLFDRYIHRYYEVVPTNKVINVPQHVKKVLDERWKFVLVE IGRGKELTSAVKACKKCAGYAANHDSVDCAVCRNTYHMACVRPVLTKKPARGFAWACA ACSRAQERKLEARHTPIIGEAAAEGDEEVPEEDEEDAIARLQVTRASSAAVEERGHPP ATAEQIAQAVLWPYRYLGVHSKPEDALDYDDRIYPRASSRLGPRHQANVTVWHGRPVE LVKSADIRKKYVKPPSHKKDASKPSKETLALLEADGENRLRRPKWVMDEPPGYVARGE DYPVEVKGKKDREYTAQVIFKMPNESKFTSRGDDDYPDSMGTLSAPEKLVDDYMERVK PIARQYELSEWSTNFLTKAVEKLYEKNYDIEAALSAMKSLHIRNDLKEPDLSKEEIKR FEEGVMKYGSELHNVSRHVGPGVKHSRIVRFYYMWKKTDRGRQIWGNYQGRKSKKESK KLDEVKHKDGNAIKLLDDVADDHDDSAFDTAKAGRKKRGFICKFCSTKTSRQWRRAPA TAPGTLVPGDSSSKSSKDKGTWLALALCGRCAYLWRRYAIQFESIEEVSKKIAAAGGR ASKRRIDEELMRAIVEAQQESGDTISSSTAAVAASAGVEVPPTIIHIPEPTKKKAKIE KDTPASTPEAVVEKKKVAPEKPPEPAPLKPEPPRVKILPCAVCGLIELPGDDYLSCRD CRLAVHKSCYGIHRDRNPKKWCCDMCSNDRNTMVSTTYECVLCPVKYTPHELMEPPKI SHKKKTDREREKERKEKEMVEEAVRLYRQEQEAAGRPANPREALKRTAWNNWMHVICA LWTPEIKFGQYELLEPAEGVGFIAPEKYDPICKFCKSSGQYPVVSCHLSTCNAQFHVG CAHQAGAIFGFDVTPVKSSRRDVVTTIKLGEEVGSATAAIWCSHHAVQTIQHSMVEPT DEGISALQLFARNFKHVDESITGTVRRAAQFVSHNTSTTAHAPLLTARRTSAVNGYKS DQPAPNEKVITRSIRGSPADSLPKSADEGVTGASDTAVANTDNATSDRSKECRTCGAT TSPKWWLVRRPQHSHTSDKDVNGVQQSTNGVSAPEATYQQLSLGNKPSTAKQTTTFES SSSSSSRNGIIKAEPAASFELDGIMEVDEPEERLYQCHKCHLTRRSPPPAPPSPDAAV RIPKAVQPPSPSAVSQQPIFHQSSDYPTPLNQMHSHPPPHPETSWPRPVETPYRPWPS EPVVTHMRNGPPSSHPSPGRANGLHHVLPPYAHPPPSMTGHSSQHPPLPPPPPRSHPP RHHASSRSSYPPPHYSPPSYPRPTNAHLYSTSPPPPPPPPPSRPDPHVRSPPSSMTMP PRSFQGYPRPPPERESNQGHSYTHSHAHSRSRGHSLDGYGYAPPHGRLATPPPPPREV NMDRDHRPRRDAERDRDRERERERERERDRERERESHRDIERGHDHDRERYEMERDKG HERERDRDRDRDRDRERYERERERQRPPPGASASPSLKNLLS EPUS_00342 MADASHQQTINNYVPETSIDLLRKHTFHTTSLAGIKRPHWQGSV TAAHGNQSGDVQLVNVNDHPSLPAPRLQASSIITVPLPQEPSKAAQQSEYSQRRHLAS TPGLSQNPLLSLRHSRYGLPEQLVSNLESMGVRSIYPWQSSCLLGKGLLSGETSLIYT APTGGGKSLVADVVLLKRIIEDPSKKAILVLPYVALVQERMKWLRKLTEGVTKNLDTS NAHGTAANSKMIWKKPHNHVRVAGFFGGSTARTTWVDIDIAVCTIEKANALVNAAIEE GRIDELRVVVLDELHMIDEENRGYIMELMITKLLVLRQNIQLVGMSATLSNPKLLADW LGAKFYVSRYRPIPIEEYLVYENSIYVTANAKDFFRTASQLTSANATEPSPTPCRTIC ASLHRDLANPVLNAVVALALETAISGYGVLVFCSSRQGSQNLAVLIAEAMPTGTSSLD VLDRRMDLLAALQALPGGFEPALSQTLLQGVAFHHAGLTSEEREIVTDAYDQGALKVM VATCSLAAGINLPARRVIINGGRMGRELVGPAMLRQMRGRAGRKGKDEVGESYLCCQK TDLEAVAQLLEAELPGVQSCMSSEKRGIKRALLEIIVTRLANSRHTVEDYVRKSLLFQ TADRTRVWSMVDAAIEDLCRTSLIQTTSFDSFEPTTLGQAIVASSLTPEDGIFVHNEM RRALESFVMDGEMHIFYLFTPVQTTTIGDISWPVFRDQMGALDESGLRALRCIGVSPS LVNSLVNSGTTLKENTPDEICRARTYRRAFAAFQLRDLCNEIPIHKISSRYSVPRGFV QTLAQTCHGFAAGMIKFCQRMGWGMLAAVLEHMVDRLRAGARADLLEMAQVTHVKSRM ARILWENGFKSVRALAEAEAKDLVPVMMLAQSRKLRMQGEARDKLMLALKQKAEVIVG SANRLWGRQQLVEIEDEC EPUS_00343 MNQDSTTAWHQPLQDDPMLVAGDDDFSNFLQLGIDFPGFDETQT GHNGFDTPMGDLGMEQLAMGSSVEDLRGHTVPTGTSLSDPGRDDLIHQYAKISDGPGS IFHAPAIQHQHGHQLHHHQQHQHHRKHDVQSSTYEPRIMVPPTPQSSEMQGAAARYYH YVDADGLSDLDPYQRHRNDQMTFTPLVSPAVTPIDPNLRFPDSTTPGEYFSPLSSPAI EAQNGHPRRKIRLQITTPKRGSVTSPVDQSVETPSVPNSARVASRKDSRKLSMSGRAS GRNVRQSPLIKPHGRRKQTSLNISPAGLAELAEHSQISNAYAAEASPNPRARSTNSDG SGQSSISPEPLSEALMPPPSLPRSAGKSPNIVGKNQSPTTANEPVTPATLMRLPNKDS SPPMPNQGSGRIFVPNNELMEDIMLPESATTTLPPLTIDTTRSVADDEVTPTLSAKTP KLSANSTPRTSVATTQVRSPSDVTHKRTESRAGNSMKARQAGAPSHVSPAIRPKISPS INPLVPHSNPGTPAISAETSALYLASKSNYQNILEGTHLPGVSYPEALAENLSSKRTS HKLAEQGRRNRINVALKEMESLLPPTPATKGKRDRSGSIDGDSGDKAAAAGNSKASTV ELAIAYIRSLQAELSETKAKLADQEKKLEDREKKLAEVNSSGDNASVSPQ EPUS_00344 MSAETFEFQAEISQLLSLIINTVYSNKEIFLRELISNCSDALDK IRYESLSDPSKLDSGKDLRIDIIPDKANKTLTIRDTGIGMTKADLVNNLGTIARSGTK QFMEALTAGADISMIGQFGVGFYSAYLVADRVTVVSKHNDDEQYIWESSAGGTFTLVQ DTEGEQLGRGTKIILHLKDEQSDYLNESKIKEVVKKHSEFISYPIYLHVLKETEKEVE DEEAEDAEEDEEKKPKVEEVDEDEEDKKKDKKTKKVKESKIEEEELNKTKPIWTRNPS DITSEEYASFYKSLSNDWEDHLAVKHFSVEGQLEFRAILFVPKRAPFDLFETKKTKNN IKLYVRRVFITDDATDLIPEWLSFVKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIIK KTLELFNEIAEDREQFDKFYTAFSKNIKLGIHEDAQNRPALAKLLRFNSTKAIEETTS LQDYVTRMKEHQKQIYYITGESLKAVQKSPFLDALKQKDFEVLFLVDPIDEYAMTQLK EFDGKKLVDITKDFELEESDEEKKERENEEKEFETLAKSLKNVLGDKVEKVVVSHKLI GSPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSPIIKELKKKVE SDGENDRTVKSITQLLYETSLLVSGFTIEEPAGFAERIHKLVSLGLNVDEEAETTEEK AEEEAPPATEAAGESAMEEVD EPUS_00345 MFSIPGFGLILLASIVRAQVDNGCGTISPASTIMSPTTISSCTL FFTPSMPAQEGPTSTVWATMMTTQFNVVNCNYCQISNVANNPMPTGPFTTKITSDYLL ITQIACIPNTSGFTRRHALPVATPEPTALYIRGAKPQTLTPMVKKSVPIPKLAERLRR QASPQATGDALILTGEASRLIEQLFTVIYSIGVINSATDLRQTCLQLQSANAVLDLDR VRLNATQAASIVCAASLPGADLVSFNQTLIAKAAEGIFSVQIAANFTGTVETNRLCDQ LDLRFLPGLGVDANAVKSFVCNANNGTFTTTGTDNATSTTTLATNNSTLTGSDVLCAP TIAPSAGTTIPFPFSNFTAGALTMAGTITVTGPAGTAVTAPWGTGFPASGRGLFSTGN LTTATGCIGFDTLVTATGDLPAGTGNVENSTTADVTRNLLTPIGTAETGCTFNGTGNL AAGTITVAPVTGVSVTGDASAGYAMSTVDPESSLAGYGQEPSERLPRGPNSTPRYYPK YF EPUS_00346 MAPKIAIIFYSMYGHIKTLAEAEQRGVEAAGGKADIYQVAETLP QEVLSKMHAPPKSDYPIITPAILKSYDAFIMGIPTRYGNFPAQFKAFWDQTGGLWQSG GLYGKYASMFISTSSMGGGQESTAIAAMSTLAHHGIIYVPLGYSKAFGLMSDLSEARG GSPWGAGTFAGADGSRQPSAKELELAEIQGKGFYNVSPETADEYQAAGVELEEAGEKW RAGDAAKSMRFFMRAIEMYDQGLQRYPKSLDLAYNKARVQYEIVTHPMLVGQLPAPLM SVLEETLASHRYALGLDQDNADTLFNTAQVLTSIGEEMAKDDSVSDVSAVRYLEEALE LLQRCLALQGLRYTEFQEQAAEVLQCSEEAHNEAMPTDEAPETKATPDAGPEQEQWAS IVEPVTKDTLLDTALAQLATLTTLCGILGSSAQAPSVPSLAWIEEYSSTLLNVQLPTL TEATDRSVEAGLARATFVSAMLEAGYRKGSVDVQTYRRERDAAFSALSSPTTSEFLMA NVASLLAFNNALAETESLSTADSDLLSLRWNSLATTISNLATASKLPDIEPDSLPKTH LLRGDASLYQYQLSKPPLSYPPALKNAAALLKNAEVFYRNASRLTHDGQERDKSRAQE AIVMILEGNVQGGREQLKTTAATRGDEWLRDHIDEVVADGLLTDDDIKVIGLNN EPUS_00347 MALSRQKYADLPDIDEDAPEIYETPELTDDASTIQTATQRTTSP APSDEFDIGRHDPDAGVDRQRLDRGEARRRFEQSRVDARDANFSDSLNGGRGSYIIST RRSRRRRDGAFQEGDESDSEDESLGRKLARLKRETEEVKSELQRREKEKGEGAKEEDD EGVEDGVEALSQMLNGLGSTFRGAQRSNGAAGSKKLASDLVEKTSQSGLREPAPPEPS PQPQPSTLASLATISDRLTAVEGSLGLSTTTPTSCAPILPTLASLTSQITTLSTTLSP SSITSPNATTPPNLNLETLSTRVQDLISQTDQLTLRRRAATQAATELRQARLKAARRC HALEQETLSTLLAYEDQTSKINALYATLPRIQELSPLLPLVLERLRSLQAIHVGAAGV KGDLDAVERQQEEVGRSIEEWKGAVERVEEGLKEGEEIMRRNKEVVEGLVAALEGRLK VLEAGGRGD EPUS_00348 MATATKKIKESLVGTNEEAQLSQEVRANFMKHAKRDEDGELYMD SEDFINAIAPPEEDYHKIKREQYSLLFHVADRRRTGKVRLSDWATFEHLLVKPDAEYE IAFRLFDVDGTGSINQETFQKLYNQNKGQDSIPFDFNSEWASLYIGGKKKRHDMTYPQ FAQMMRGLQGERIRQAFHLFDKDGDGYIEPDDFQRIILETARHKLSDHLLENLPTLCN ISAGTKISYANVRAFQNIIREMDLVDLIIRNATLKSGDGKITRTDFLNEAARVTRFSL YTPMEADILFHFAGLDNPSGRLGIEDFAKVIDASWQTSTGALDEVAEKAISTSRMLMH NLLESAHHFGLGSIAGAFGAFVVYPIDLVKTRMQNQRTSRVGEKLYNNSIDCARKVIR NEGFRGLYSGVLPQLVGVAPEKAIKLTVNDLVRNRFMNKETGAILYRYELLAGGSAGA CQVVFTNPLEIVKIRLQVQGELTKKADAAVPKRSALWIVKNLGLVGLYKGASACLLRD VPFSAIYFPAYAHLKRDVFGESPQKRLGVLQLLTAGAIAGMPAAYLTTPCDVIKTRLQ VEARKGEAIYNGLVDCAKKVYKDEGFKAFFKGGPARVLRSSPQFGFTLAAYEVLGKLL PMPGSGEAEEKKKLTGWQPVLLILPAVILLHLYVAPYTKVEESFNIQAAHDILTHGIP RFNVSEEFKRRYDHMTFPGAVPRTFIGALVLAGLSKPVLWVNEAFNRQLLVRAVLGGL NAVSLLHYAHSARKAFGRSTAVWYILFQASQFHLIYYASRPLPNMFALGLTSLALSLL LPEPMPVQASLQRIRLAIFLFTVTAIIFRSEIALLLFCHAAYMVIKQIARFGHLAHAI GLLRSSVIPGGIAGTLVGLCLTVPVDTYFWQSPNYLWPELSAFLSNIFPPDDSLGASA WGTQSWHWYFTSALPRLLIRPFTYFTLWLIAISRKATSESALDLLLPNLAYVAIYSFL PHKETRFIFPVIPPLTLAAALSSSYIWTRRHRMLLYYLLSVFLVLSTCLSALIAHAIL LPLSALSYPGAHALNALHQNAASNNSFGATGDNTNSTVLIHLDNLSTQTGITRFLQHA PSLTRQGPQWYYDKSDNATDLLDPFWWTQFDYAIMESPQLAIGSWDVVTTTHGLGPIR ILQRGEKREQEGLVTGWEKLDVWTDGLDMVALNMFGPAGLQFSMTARQLLREGYGTHW LLGREWSWTRGWWVDVGWVPKLYVLKQRESLAKEEVEQLSASDAAVS EPUS_00349 MPGQVYMPLVAAAPHEWQISYDHMQHNMMPLQDVMNPEPPTSHH PSQPSPYLPHHPQQQPPHHPQQQHQRPALQHQPPSRHRPEPPPTPTFQQGQSGPWSTH DDDVLVQARTMNMAWGAIHEKHFPTKTANACRKRYERLMLRRRGNEWDEDRTARLAVA YKEMREEMWSPLAKRLGERWEHVEKTCMEKGLRNMLTLASSQTRGNSNRQTNTSPGYE NDQYPRDRDRAEREDSGIAFAPESSSRRSSHSNGGAVSSWQQHVLPPPRRQ EPUS_00350 MSKFLELEILFTAEKFSQINGDLEYDLNVVLYRLGGAFYKGWSH VRYRSKEEVKFADIFDSVLIPEAHLSCAFPKHYTRAPDPLPPNCYVKEAAMLRYDPTK PTALGDAILKEATIYEKLMRHPHPNISKYHGCQVKNGRITGLCFDRYHESLMSRVNPG SHGKRWFDATQRPLKDMHSCMEGIRSGLEHLHSLGLVHNDLNPSNVMFPAADDETPII IDFASCTPIGGSLENVGKTVEWSDEKVLTSLPSNDNDALEEITEWLRNGKNFKFEMSC EPUS_00351 MLASSTRSIKPKLSLSISTAAQSSSRPSLSLKSPMTATHALPRT PVSPSPCSPTARNTRLNQRGYSTLQQPSFAYANTSSQRSILKKASTSSSPSSAASSGS RRLQFREEPTVYAITPIEAGEDYYGAYTKMSREERRWTPRR EPUS_00352 MSARYSRPASREYSYTRRVQRPPSPPMDDPSSARFSLPSISTLI EAADEGTGRERLQIDPRLQKVVVDPQLLAQSQERSPIVSNPTSPLPPTPPLRPRTGSD TCHHQSPATNPSHSPASATSGSERRAPASAIKDVEAHSRRQMDYPPVPQDRPVRVLQG PLSPYTASSYGSPSNGSVSSYQSSPIDGSNNHGSAYQRALPSNFPPMSSYDEPAGQYI SHATPAWQHHHHFPHSASTPYPPNQDRYICGTCNKAFSRPSSLKIHTYSHTGEKPWKC QVPGCGKTFSVRSNMKRHEKGCHGDGGYPNGSLGRESPESAS EPUS_00353 MTRPRMFHLQTCSGILVLLISFLYFSSLSSAASTEKKYITATDA NGDRIYLEDNRRPALYTQNFGDCQGDSLINVTRFDAAYYRDNMTILFHLAGNTALTNE SLMLYIGVYAYGEPRFDLTFNPCNANIYSLCPLNASVPIEANGIIPISQADVSGIPPL ALTIPDFEGQAILRIFANSTQSQIGCYSAVVTNGATFSHPVAVGTVLGIFALIAVISS IATTVYGDGVPETRKHYAHSLSVFVVFAVFHHIFFTGALSVNWPSVLVAFWSNYAWSA GMIFSSSMQNSINQFLGENRGNISMVGAAASGVANDNLGGGYQISQIYKRATAIPFQT FEGIGQMLRPRSFEHTLARRELLNSTSGFSWYGRPVRPGLPLPGNFSGFAGTVAAEDI PASNAFMTAFLWFLVLLLCVAAGVFAAKCVVEGLDRLRMLKTPRLAYFRANWLNFLFA SLQRTLLISFFMFMFLTMFQFTLGGSARVLAIAAIVFVLFFVGMAGATAYAVYYRLRS GKYASEADRLNVQRKKTLGIIPWFGVMRESTLKEKDKKKVFTRSLPWWRIRFVSDTPD RPSIHEDEDYARKFGWLASRFRQSKWWFFALWLLYEFIRACFYGGAAGHALTQVFGLL VVEFIAFVAIIFLKPFEGRRLNLLMVYVLGFSKVATVALSSAFDTRFNLQRIPTTIIG IVIIVIQGILTILLLVAIVLGAISSYMSLTRNRTEFKPKKWAGLRTRYFAHIEQTSSD QPAPPSQPPSPLPMPTEPTEPYFKVGSVRRQPKIEDDDNDVDLEDVNESKTSLSQGIM TSRHQSCAHSIRSQTSVSNLPYGARRHRASWSSRDLQQDLYNEERIYPVLHSRMSDAS IRDTTARSRAHSLMDRTPSRTATPPFHTRTNTPEVLGEKQRNRRSVSSTGFGKIQESK VDARNKTSAKQEEAGL EPUS_00354 MTLMATSSASPRVPMPGVWVPAVTFFNPTTDEIDLEAQAKYYQY LSRTGLTGLVVLGTNAETLLLTREERAALLRTARSAVGSFPIIAGVSGHSTKQVLEYI SDAYEAGANYVLVLPAAYFGKQTTPSVIMRFYDIVAVQSPLPIVIYNFPAVCNGVDLD SETIIALAEEHSNIVGAKLTCASVGKLTRIAAHLTPQRFSVFGGQSDFLVGGLSVGSA GCIAAFANIFPKTLTRIYDLYTSGQTTKALELQRIAAKAEGSCKAGVAVTKYGVAIFS AKAAGISDAEELLQPRSPYEAPSEAAKQAIREALMTLNAVEVNL EPUS_00355 MPLKLLTAEPGDIPRIVQLEDEAFADSPLTPILFPGGKSQDSQD TYVENLLQQWQDNSASRTIKVIDTDLDGEIIAFARWYIFIGDDVRFIKTDPNERHNMP GSNEAACNEFFGGLLKIRARILGRNPHCFLSTLCTDPQHQRRGAGTMLIQWGCDIAQK HGVPSFLEASPAGLPVYQKSGFEEVDRFVFNLEKYGGEGSRVNVQMIKYPETASKIVQ NDEKLQA EPUS_00356 MLYEALQFVIHLTSLITIAQSFLSSDRFCIILSSRLASNRSSVY GIPIQTVYQRFCGKNYTLHNRERWNLFHYLGGNGPWIEKSNARFGTYEMEGKPSKGCI VDQLSRHAERYPTKNAGARHLALLSRLESYTLNGSLSFLNNGDWTYFTPPTYPSFENL TTTSPYAGTLSAFTLGTKLRTRYPHLLPSQSSTEPQDTPINFWSASTPRDIETALYFA DGLFGRDWSGSGTSPNSTVLPTARLHIIPEHLSQGGNTLTPGRSCPNYITDEIQGRDK GYSKLAEWQAIFSKPIAERLSAENPGLIDPSALASSTGFTPVEIYSMMEMCGFEILAR GSDSDSELRPSSSFSSSSSSEFSSPWCGLFTRSEWEDFAYARDLLHFYRAGPGNQFSG VMGSLWLDAVSRLLVQDSKATKGGGGSGEIYASFVHDGDIVPVLAALGVFDEDDNEDG AGGEEQVMPTDRVKRERNWRTGDLVPMAGRIIIERLNCRTPHGWRYRDVRLWINDGWV GWVVDGRTAEEKRRLKRERKVYIPQMEVGRFRDMVKGMKEKFGRFGEACGLPGGMEES IKFLHQ EPUS_00357 MTLKPARLRFFGRIWRSARRKATSRSKNVSTLKMNSSSTTTSCN SSNEAISCTAPMPPSTPFLDIPPELYMMVFDHLDYDCLLKLGATSKHFHSIVSREKVV AALYRREDAIPDPSRIRNEKIGCFQCYRLRSAYFDFDERGIQPKYLADGEQAGRRRCL ICLMPSSPLVKEKRDKRSAETPDPGQPDKQP EPUS_00358 MNDTTTPTTAIPISNPNAPTQNDALNSTQDNTSLFSSSLISAEV SSRLPEGYSIRPLRRSDYNGGFLPTLKVLTTVGDISEEAFTTRYDFMATRNDTYYILV VCDENNTVVGTGAVVVERKFIHNLGMVGHIEDIAVAKNQQGKKLGLRIIQALDYVAEK VGCYKSILDCSEANEGFYVKCGFKRAGLEMAHYYEQSPSTS EPUS_00359 MGTLFAHQSMPHQKRAPVGYFEYSLNHPFSCSESQRKDAIGSAT SATIDTFSDSSSQLSWKPTACQSSTQTTPESIRSYGNPSQAGIACLGVLQETVPSVDV PSWREGVEKESQAPGFILPPSQRQNCRRTGLSSRGADARHISPPPTLVRQPERRQCFV SSLVIFAAGLIAAVWPLSAPVLHDTSYAHNVLPLQDFITETLRRSKTSYSTLQVAMYY LVLLKAHLPKCDFTQEQSCVAADRRAMQCGRRMFLSALMLASKFLQDRNYSTRAWGKI TGLPTSEINTNELKFLEAVNWKLHVSKEKFERWSHAVIALSSPPRPGISPTPQPSLVE TVGWSAVLERLTPDSLDDFSQFRNGSAILKNPCYPTDLNGMLTPPTSPPESTTSDQEE PSDGAEVKDDAFHIAASNGVTSTYPILPPAPCQQNLPTPRSTPRLSKSLSSSRAARGT ARCPESSSALAMLKLCARPANRTLCPPPTQRPCPRADAASRPSISRRSSISSSTSDSS SPESVRSDLSGFPGRSRSSSISSVASSVLASFQSANTLDLVSNDAQLPCHLQQHINPS KVDCSSTLRPEEYSAADALLRFHEFRQREAKQEEASKPVSDSMQSDLTQFSNHQLTEC TSYQEKEKKKKKKRTHSKTNISDLLNIPEDDLQSLVRKELMTSGGEVEPLVTCDDDAA NNTLGRQCKRNRSIKGQSSAVDHTRMLMKEKNKEKRTFSSKCRISHSENRQALAGFAG RT EPUS_00360 MGSSAKKKREKKKDFQKPKLKVGKARPKAANHTETSFRSKAIVL NQQSLHIEAPSTVCQFGHHLSLLSSRADAQRRDSLAYLTSFVTSRPVDSSLPQPLSTV LPKIFPLILDGSNGVRQQTLRLLRALPRSEMSDHVAEILPYIRAGMTHLAADIRLFSV EVLAWSTDIASDEVVSCAGGWVKTLNCFLTLLGWHNQDSAKWSTNRVSFGKAGSEGKA QSRNLQVLADFLKAGFSTGSDLSETDDNLESRFPFPRWHPEQHGLPTRSNAYGYLNLF GPPKDDDTDMLEDREDRIRVFKERFASSMEAGLASARKDAGEVGRATGLVTKALKDTE AG EPUS_00361 MATSTQTLSLSEQVSNTLQSLTSRPGVESTLILSRKNGSIIKVA GALEDEPQHPKNGIASSGTLSPASATAEGVAATSIEDGDTGDEAMDTVAETGRTRAER LASDIFLFVSTASFLASSLESTATPKVHDAGYQNGTSYGHLHKGKTTAIAEQEVDVNP LQLLRMRSKKHEIVIFPDPNFLCCVVQSMERLAR EPUS_00363 MNEDRYVQAYFEDVSILSAPCVPPILMIPNLTRATTGGSGIPSR AQGPKTGYPLLTYRAQIHMNKRSRNPAAKEHSDTKSTPVAQEHIPIIGYNAREVESAM KSLVEPQPLMYKPAEKPHPRRRSGSTPWESKPNLMANGKDFWVELRKQFTALQRSGGT REGGRRLSMLKSLRLPTWNLPSEHLYHHSSLGRRRLLTLAIESSCDDTSVAVLEKHHH PSQRGGNGIASLHFHEHITADNNGKKGIDPIRALDSHARSLALLVNKSLHALPTASIE PTEHSVRSISLKNGTLKRVPDFITVTRGPGMRSNLSTGLNTAKGLAVAWQIPLLAVHH MQAHALTPRLVHALSPQSTSSSIRPQFPFLSLLISGGHTMLIHSKGLIDHKTLATSQD IAIGEALDKIGRLLLPDTLQAAIKDIAYAKHLSTYAFPTPTAYADYQPPSTRGAECIR TSNQYGWHIPTPYADTRHLRFSFSGIASEVHRLFHLRTNTSHPDQQLASAELLAFARS ALTGSVNHLASRTVLALEKLRKEEQLKRSAPIRTLVVSGGVAANSYLRHVLRRFLDVR GYGDVELCFPPVELCTDNAAMIAWCGMEMWAEGWRSELSVRAVRRWSMDSEEVGADGD GDHGEEGVTEGKGGILGVEGWYNVKQDRIEGMDVGSK EPUS_00364 MAETVNPVEITPQPPSNTAPSAPTPSQPTQISRISSPTPHFSAS SNLPPQQPQQSQQPLPQSPVPTMPPSVQPQSQSQPQPQPQTPNNNQSTTTAAIGSSYP PRAAPGAPGRNYLNTHLAPYLRTGMTKILDAKPQYPLRWLGEYLISQSLIHEGATDEK GVVERFIYDEHGAAVKRLRPESTIAAVGGSDQIMGDGAVGGVAVETGEAGREDTEMGG S EPUS_00365 MSSSTSTKFWLGVAKVLRIKVHERDPYYNSESMTRGESTYSVDT ADTYVEGEPTTLEWFKETLPSGSNLLRYAHNLFPFTHWITRYNLQWLIGDLVAGITVG FVVVPQGMAYARLAALPVQFGLYSSFMGVLIYWFFATSKDITIGPVAVMSTLVGKIVL RAEETNPDIPGHVVASALSVVAGAIIVFIGLARCGWIVEFISLTAISAFMTGSAINIA VGQVPNLMGIRGFDTRASTYRVVINTLKHLPDTKLDAALGLTALFLLYAYRFTCTSLA QRKPDRAKTFFFISTLRTAFVILLYTLISWLANRHHRDNPRFGIVLTVPRGFQNAAVP TLNTDIVRLFISELPASVIVLLIEHIAISKSFGRINNYTIDPSQELVAIGVTNLLGPF LGAYPATGSFSRTAIKSKAGVRTPFAGVITALLVLLAIYALPAVFFYIPNAALSAVII HAVGDLITPPNTVYQFWRVSPFEVVIFFAGVFVTIFTNIENGVYVTICLSVAVLLFRV VKANGRFLGKVQIHSVVGDHVSDAGRSPTQGQEHGTLQKTGAGSLDADTGFRNIFLPI NHNDGSNPHIDIVSPYPGIFIYRFSEGFNYPNANHYLDHMVSTIFEQTRRTNPDSYPR LGDRPWNNPGPRRGRHDPDRSHLPTLKAIILDFSSVNNVDVTSIQNLIDVRNQLDRYA APDAVQWHVACINNRWTKRALASAGFGYPTLPAEQYHRWKPIFSVAEIGGSSSAAAAA EHQENRNVYRRQSSLDVEKSGRTTSANGHPTDQIHRSGETSSSSSDDDKGLDLQRELS KSKAYTQVEVKKLAVVHGLNRPLFHIDLTSALQSAIANVEGSLTGVVRKGVGTD EPUS_00366 MQSPCSNLRLTGLVSLFVCILAFGINAYTKQASRHEEQVSPYSS LSVLVGAPTSPAQTRSEAISQRNTPLPRQHTPPLFSHGGVFQRMTRSTIQSACPSPEA VARAAPPYSSENKHGRFHRHKRTQIPKPDNNDTDNDDDNDPPLTPSQPTHKPPSTLQT SSSTTTTTPSLPDNKPFKLPSPRTSTSTSTSTSANMRASQPSKRSPGHTDPYSAFYGR RDKRISRPALIILTLGSMMLLGLLLYLGWMVVWRDFGLDVLWEELRMRSADC EPUS_00367 MDNDQDNQGDLSQYPDPEQSGLSFPWLDQYQPVAAESLSSRPRP LVQEGFIPEEDEDAPTARYGLRGHRRSGILLPEEGLLEPSAVLEDSDEDPEFVNSDVE SDPDENISDEADDMAIQAGEEVDGVYSGTRSHTRGRGGRRVYGTPNRRGQRGQRGPGG QRGTGRGRGRPPGRGRGATKSRGRGGKGLRRGPRPPLEPSKEFATLQKEAIDVFIDQH DYDKALNIIQQAISINPEVYAAHALMSEIYFAKGDNERGVAALFSGAHAAPRDANVWH QVADACLLKTSLDRERALRQAAYCFARIIDIDHNDLDSRFQRAAVNRELGLLTSAMRE FERILFVMPHNPSVLHQIAEICLELGEFDKAKQLYQDCISFHEAQGLDGENAFSWSDI NVYVELFSMEGEYDKAIANLKILSRWLLGRAAETYWNDITGDDREWDPADEPRRVTVS QYVPGMHPSQSYGFGLPLELRVKLGIYRLKMGKDHRSEALNHFEWLEPEDFEAGAKVF EYSDLFREAGEALRDAKEYEEALRFLQPLRMSNAFSDTDFWLAIAASSYVCGKLGQAR ECYELAKASDEFCAEARTQLAKIYKDLGRRAEALRNAQEALEIGRRAIIRPQRRRYER REAREAREAAERELKEGHRLAIPSLQTTTARLKPIEVKDAQGRYRLSFVNTYPGRDSR LEERAAKRRKMQQMSTEEAETYRTSNVQSLFSKLQELTPAMRSGDLVARNTWLEYADD LIHDFRSNKVFYPAERHMRFEGYDMDSKRRAFRKQWAKGEDDSFEAASAELHDDGTPL PSIEASIPIEYRGILFDTWLDIFLEQALTLAKLGDDFRGHSYETITAVLDCTIWYHQP ASMLKTYVCYFTCALALNDGETLCNVIARWFMKEYQFVTDAYRLFATLNMLYNGPIEK GGKDIQIKNAPFRQGACQKFLFRSVKAVDLYLPREYNQDGLDGPVPQFVRDEQRDNPE AGKAQLTSKNHETGETVLPQEIDIVLLCLYASIMYTSNSFPNALHYFYRAYALDPKNP MLLLNMTLCYIHQSFKRQNENRHLYIMQGLAFYQEYADARLEKAESHGQQAIREAEIE IEFNRARIWNMLNLTNLAVEGYRKAIDASRKNSKQPNARVSSEDAGLAMEAAYALQTA YALSGDMQMAQRITEKWLVV EPUS_00368 MASNIIGNRNSTPEASSKSSLRPPSSRTIGGNHQLRSSADMSAF AQSSLGGRSIRPASEVLYNQQSQHQNGQEDATNKLGEQWIQDIEQYETMLEEMAAATL DQDFKDELSAIEQWFRVLSEAERTAATYALIQQTTQMQQRFFAQVLNQMSRSHPISGV LSPSTFDPMSNRLSDAMSKLNVDTSRNSMGRPPPSPGNKRNSGLDSSTINAMFPDAAA AIAQKKAEYAQQAANAPSNRNSAAFGDRTSLVTPTISAPAESAKEHLPNHLTSSSWRS ADPQPPIVRPKSSSGQQPPMGQFSQPPPSAGLRSPRPPPSASSNIQNTTLNAPENMHN ELPALSPYNLGNSSWASMSNTPMVANFSTQQQGIQNDMIANATAMKLAALSTVTNRIA LDDVRKYRRARSNEPQPQIQQAMGGSNSNTGIPGANMVVVNDQGQILNAQQLAALQQQ QLAAHSGRRSRPNSPGLAMQGAGMGQLNFPTGQNNGFLAAYDGTSPMMSNNMGGMMGH YGTGSHEGYLSDHSEMARGRSPRGRRGSSKPPEDPTDPNLLQDIPTWLRSLRLHKYTD NLKDLKWDELVLLDEKGLEARGVNAQGARNKMLKVFEQVRDAKASGKLLAIPPLAMAL RAKSPSLHNSMLGTKIRHPPSTNYDSEDFPTPPPKRVKRHQSEQSDVKLSDNETSSQS LRSPSGRPETEIPDSEDEDGDEEGGVPLPGSQTDLETSLPPVRADEKAIAEYEAYKAG EAADLSLQGRLENRSWTRGRSSIYVDAFNLALETVLDEEAHLFDEAERILFAQWRGLS YESQYLYVRLFLRKTSAWHRINRLGYHSDITDLEAAVADLQSERELPATTTQNQTNPG ELEPPEGTILSERFSFAECSEVYINTLDEASSLLLLDELKTIAKEAKVRGRNKKELLT ALRTTSGKQSGLAFRGLQRSDTEESTLSNGLASDDDTGDGAETPSHRTNRDAHYTRKI LDHTGKCVRLSLAPLKLFERVHLVFYRSTEWTEKSLTTIILAKISRRNFPQYIVSRST TIFSTRALLLEFEASLRVQFKVDNILEFNGTPGLAGLERVKAILEEVYPRWKVLLGEE QAKEDRIYECGEGAYLRRFSPAWVYTRIVHKGLQPLARFKEHKREHEILTELLDQRLF HAARRGAWYQRKALLEEHYMHDLTDDRGDRNEEGQKKHWKRIALRTCEEGLQDRECHL IYHYDLQKRIKKLEKSLKIPLREQHDFGHASLVKPFERTVTGIRIEREPSPPKNGTRR NSSGSATAASSKKGGTKTIWLDEHEGFGECSVEAMCLSHYRSLGWKGYHSEGGIVRTL FAFLFYDVLFTYAPNVFQTEYQMCPLDLFSDAFYTTRISEINTRLADISNGGAEAIFN RVWAEHYNRKTCVVGLSWEYEYEDLGEIIACFGGERLATVCKVMAQEYQQRGGGIPDL FLWRVDGGNGGEVMFSEVKSENDRLSDTQRLWIHVLTGAGIRVELCHAAAREVRASG EPUS_00369 MAEIGLVAGVPSRELLHRMRKHKEPGIQADLQGILNHGDNHMHD IQPPPPTPKPADKPVVIAGRRGKLELEIQEATEKLSLFQKRRDEAARTKDHTLKSDLE YYAIPNMEARIEKLKQELNPWVDDYQQKRDDEKRTATASASANTEAEKGQISHNAELQ TDSESSSEEDEPDESEADMDIYD EPUS_00370 MSTRTQDYMGPYHTSPTSQRQKLSNGATPTSSQSRRQDQANLSL QTDYDDHLSYPPAAPEVPRALPISYKQPYEREIIDQQPTRSFSQRAKGQMLAQEAIGD EKYWDDRGAQPLQLEIPEDARKNTTRDDVPVAPQNGLNISDGGRNVRRGSSQRQPDTP SQDSPHSTSRELRDHQRPVRHEADTAPLKLDLKSPTAKIGTMKGPRKLSESQQREWAH DRSPLQTLEVKLNDISKEEKRARVEEAEMLLREAKAGRGGRRSSKEVPATAKRNVSTR EPPLEPKSVQKASLDHNTQSTQRGTGQPRTRVEVQETTKGFPSSEDRQGLDIEKHLVA RPPVGNEVTPFLDESRSWQQASQDEGRIHAAHTVYEATSLSKPRQDSLQQPIIVDQVH PAHDPRLIHATERNSNEPDLQHDPRSNQLQNNVRRAASTRQDPGAKSIPSNITRAISS QQHQPQPLFADDQDLSGPGPIRESNSSHKAALAEANAAVGATGPSAAASMTRDNSRKL QKAPTANLSRGSYPEEKRPDWLFSGLKQGSTSADPPRSSTNTSVEDTKLEFYYKGMPK QADVPRVNSQRAPESAMLGVKEPAFNEQNSTTSRPRRTSVSFKVPFDKARPVNEWKQA GTARLTLADLALDVPSGGDQAWWETNGSSGRRRSKGTSGNVQSSGVLSQQKQPRCVEF KPPLYLKCGPLLRYTGMRKEREEPQQSGQTNNPTGREMWRGSVMIVTNDSQSSYEEVP TLRIFSQPRDLLPPPPDQVQGDELAPEYVDPIAGLTKVSRRGQTLYVKPVDYLEEGKD LSRIEDDDGLFETTPSPLDNSAQISATANKRTRGRDGEALGKFKEVKGCRLYADIERD VTFWRFNIEVELSDQQAHIAYRINHGPPVGFWVPAKGQSMNIMFHSCNGFSLTVDTDK FGGPDPLWRDVLNTHQTRPFHVMIGGGDQLYNDGVMVDTNLFAAWTQLRNPHEKHHAP FTDAMRQELESFYLNRYAMWFSQGLFGLANCQIPMINIYDDHDIIDGFGSYPDDFQRA PVFMGVGNIAFKYYMLFQHQSVPEETQADEPSWLLGAKPGPYIQQLSRSVFLNMGKSI AFLGLDCRTERERYSVMTDDTYDLILDRCRREIEEGETKHLLVLLGVPIAYPSLVWLE NLLTSRALAPVKALGRARIFKGGLLNKFDGGVEVLDDLDDHWTASGHKVERFHLIRDL QELAAEKSIRITILGGNVHLAAVGQFYSNPKLKIPKDRDHRYIPNVISSAIVNTPRPE MVADTLNKRNRVHIFDQYTMEDMIPMFTHDVDGKKRNNKRLLPRRNWCSIREYHPGST PPSTPPESPTPSEQMSESEDYQPQTRRRFSFSREDANPRQLLRRLSQRAAPPSSYRDD MNISSPMTPRRASHDGNFSNPRQPQTAPPTRTSFSMNNNSPLPPRTAPPNQTSFNSTA SYNHRASIDALPSAPQPRPGILRRPTNLSEKASKKGGPPPLVTDSQGNLIEDYNDHID LEGGLDITLNCEVNQGDPAGITTPYRLLVPTLIYDGSSDRQKLDAPVGNGGKGAGVKR RPTLLNRLGFGRTGNRSVADRQGEGNWGQASFSETESERLDGDERYSSGEEDDQYYEK EKRSSGGGVLKRWFSGRRGRTSQPQDRYSPEYEEAPPPQQPPLPPLRGGQLQTRDEFV GRELTSTSPQPQQQQANHSSSKRDSYEIPPFEPFPSQARGKAARVMGVDQGPMPVPYG SAPPQYPSRRQEHLPPPPPPQEQQKRQPGVSTNSSANAGVGASTSMSTSINQSQPLPP PTRTSTLPLSPQEAPRTRDSNSRFYANHDQEAAPVVRGYNGVEAYPGRKISKATKGGK LRKGKW EPUS_00371 MLLSTWATLLFSVAASSAIATRRNFESHDYFALHLDALVSPHAV AQSIGAHLEGPIGQLPDHYTFSAPKERGSAVENALYELRKRRRKRGIQSGSGILWYEK QRLRPRLAKRIPPPPAPRQGPPPPGRPQPDPIAVEALDDIARTLEIQDPIFKDQWHIF NTIQLGHDLNVTGVWLQGITGNGSITSVIDDGLDMYSHDLKDNYFAAGSYDYNDPGPE PRPRLFDDKHGTRCAGEIAAVRNNACGVGMAYDGKVSGIRILSKVISDEDEAAAINYG YQDNQIYSCSWGPPDDGRTMDAPSTLIQRAMVNGVQHGRGGLGSVFVFAAGNGAAAGD NCNFDGYTNSIYSITVGAIDREGNHPYYSEPCSAQLVVTYSSGGSDAIHTTDVGTNKC YIGHGGTSAAGPLVAGTVALALGLRPDLSWRDLQYLCIDAAIPVHLDDGDWQDTKNGK KFSHVYGYGKIDAWRLIEAAKTFESVKPQAWYNSPWLSVQRDIPQGDRGLASSFDVTQ EMLTKANLERLEHVTVTMNVNHTRRGDLSVEMRSPHGLISHLSTSRRNDAAQAGYVDW TFMSVVHWGESGAGTWTVIVKDTELNEEKGRFIDWRLNLWGECIDEAKQTLHPYPDEH DDDHETTTGSVATTSVAVGTPPTDVPAIPTDHIDRPVNAKPTPSSSNGTPGLSSTSAS AADSSPTASSAPSDSLLPSFFPTFGVSKRTQIWIYGAVALIILFCIGLGAYFFIQRRK RIRNNPRDDYEFEILDTQEDNGGVDGHASGKKAKRRAGELYDAFAGESDDELFSSDGE EETYRDIPDSERGRGEKRAGRMESSGDTGHGP EPUS_00372 MAQKLSPYESVYLPDIGSKDLPTPTSTNDSISTKKARNVTIFQV HELEHGPSGRSIFRPWLRNLKTCWIWFIADSWALECISIVVASLALGIIGIGLAVYRE RPAVDWRSSITSNSLLSVLATILRIFLSLPIASCLGQLKWIWYGQRQRTLRRFQIFDA ASRGPWGALMLLWSIGFSHLASIACFITVFALANDAFIQQTIVYQPRPVNSNTTIPFS QSHNEFGRISRSDVGISQSLESAVLEGAFATKPTLLSDIIQAQCSTGDCTYPEFASLA ARSRCVDVSALINETCATSPAGANNCTGSISLPNGLNLPITGNDYITVSTAAGLNINN FDQYQKSLANVSMLIYDTEVTDIEDGDTKYTIGRLYAYDCAISPSVNTYQSRVRLGQV TENITNSYMLNTASETAPWEVTIPQGSLQPNTNLTFSISHRASQALSQYLTKQLNGTG NSDAFSTDLVQALFLNGARHVPRTMEHIATAITNNMRLTSGQMATGTATSLESYIHVR WKWLLLPMCLILLMAIVLGLTMWQTHVLGLPNWRGNALATMAHGLQGYGGTAVEKRGQ SFLGVRGDEKISELEGWAGRMGVRLRWWGWGRKGGVVDYGLVPAV EPUS_00373 MDDHGDFDSVSWRNESESHVSGPTSAEPSPEATLPTRISNGKRR LSSQHQHHDPQAGEHAEPIDLGGIGDGFLECTVGSPLKENDGTKDAYVSYLITTTTDF KSFQCPEFSIRRRFTDFVFLRQTLYREYPACAVPPLPEKNNMAYVRGDRFSIDFMQRR AWSLHRFIKRLTLHPVLRRAPIFILFLETSDWNAQMRLRPSRANTTGEAPGSPGAPSG FFDNVADTMLNAFSKVHKPDKRFLEVYERTSKLDEDLTHVEKIVARVARREGDLEVDY NDLATNTKKLVALESGIEMPLHTFAACVEETSKGFKALKDHTDQNYLGSLRDMQSYIT SIQSLLKTRDQKQVDFEALTEYLQKAAAERDHLASSNAYSSSASNLNPATFIRHKMED MRGVDHETAKQDRKRKLELKIQELTREVESAKQTSEMFDEQVVREVGDFERIKGAEFR DTLGTFATNNADFYRRVIDTWERFMADMDAEGPAQPKDKGKAES EPUS_00374 MAAPPKVTIDDLSGKFVMNRNLSDPIDPILTLQGLSWFLRKAIS FATVTLSVHQYRKPASEEPKQPIHIDITQRATGGISTTQENRVLDWSERDHEDRIFGK VKGKSRMFEGFGGGENGFKMEGKGGEVDERFLKGDVDKDGKTESEKAAGTEAEGAEGD KTTQQEGEGTTSTATAATTSGWLDENSARHVQSWVVNVDETAAGGWTAEQVWGFEMFD QKRYYTRRVVVRKGEDKVERARLVYDYKGEVDMEAEKAEDGKKAAAEELEVEY EPUS_00375 MASRTDAHRVAVRRLHATSQQLQAATSTAATSAQEYPTTHEQIS RPVDTQNFIDNEFIPSKATTWIDLHDPATNNLVTRVPQSTTEELQVAVKSAEKAFPAW RATSIMAKQQIMFKFTGLIREHWDRLAASITLEQGKTFADAKGDVLRGLQVAETACGI TTQLTGEVLEVAKDMETRSYREPLGVVAAICPFNFPAMIPLWSIPLATITGNCLILKP SERDPGAAMILAELCKKAGFPDGVVNVVHGGAKTVDFIIDDPAIKAISFVGSNRAGEY IFSRGSAQGKRVQANLGAKNHAAVLPDCNKNHALNAIAGAAFGAAGQRCMALSTLVMV GETKDWLPGLAERAKALKVDGGFEEGADLGPVISPQSKQRIESLIASAEEEGATILLD GRGYKPEKYPNGNWIAPTIITNVQPHMKCYTEEIFGPVLIALNVDTISAAIDLINSNP YGNGAAVFTRSGSTASKFQKDLNVGQLGINVPIPVPLPMFSFTGNKKSVAGGGANNFY GKPGLNFYTQWKTVTSLWREEDALTVEKEAVMPTHS EPUS_00376 MLCSLCEGLHWEEILSSFEAVGHHVTYSDLASAASHGCDFCTVA QAAVLDTYSQDLSLPVEDVAQLHLEKDRLEYQRYGEDRTGFTIEPGHIWLDTRYAPCE GGVIGIMYGRGTSRIIGRRTSQKVELDLGLSWVRECIEKHPDCKHEDESLLPSRVIDV GSYCGDETLRLRQCSGQKAPYVTLSHCWGPSNPARTTSACYNNYLKSIPLAGLPRTYR DAVAVTRFLGIRYLWIDSLCIIQDSTGDWEKECVKMSKTYENSTVTIAGPDAPHCHAG FLDRQPKPAFPEFTFDYQSPTSKGTGSIDMFYRGYKRGETKLGPRPESNSVLSSRAWA LQERLLSRRVLYFGSQLMYWECLTNVRYENLHFPFIDNFWSRGEVEKVSFKRRQSKAL WLRYWYNIVTTYSGTNLTFSNDKLPALSGVASKIHNLLGYHYFAGLWKEDFPRGLAWY CSSYRRAHLSPAEPIEFLAPSWSWASQDGEVTQAASSTTSPFGSADLSILDVNVDLKG PDPFGQIHSAQLKVYGKVRTGIIRKLRNLMAGGEQGLFLCYGCLDLLVLGEYFPDRPG LANTLAKFDYIGAEEDGKMPQQTILFLLLGKSLQGWMAMAIEPMPEKLNKYRRIGLAK SKPHHFRDDRWEHWFDEGESMTVEMI EPUS_00377 MAASLARLAGRQSAKRLCLRPSVSSSLRPRFTRCIATSQKQMAE VAVGKTTITPTDQSFTQPIDQTDPQKTNHVPDTDPGDDYQSRKIRHYTVNFGPQHPAA HGVLRLILELNGEEIVRADPHVGLLHRGTEKLIEYRTYLQALPYFDRLDYVSMMTNEQ CYSLAVEKLLNIEIPMRAKWIRTLFGEITRILNHLMSVLSHAMDVGALTPFLWGFEER EKLMEFYERVSGARLHAAYVRPGGVAQDLPLGLLDDIHQWATQFGDRIDETEELLTDN RIWIGRTKGIGVVSAPDALNYAFSGVMLRGSGVPWDIRKSQPYDAYDQVEFDVPVGVN GDCYDRYLCRMEEFRQSLRIIHQCLNKITPGPVKVEDYKIAPPPRAAMKENMEALIHH FLLFSKGYTVPPGETYSAIEAPKGEMGVYIVSDGSERPYRCKIRAPGFAHLGSMDQIS RGHLLADAVAIIGTMDLVFGEVDR EPUS_00378 MSLQPSVGTSRILEEVVAPEWDENLILIEDNDGPHGTKGAADNK VKQAKTKLNIKWQAQPSNSPDLNPIETIWRIIKQRLKNRGVIFQTEALKAAIQEEWDK ITIEEINNAISTMPDRTVGINAETVTNITSTEFPGHYPGEDHSWSLSKYKKNLKIKFH KNLPYDASFSIIGIDASLANAIRRILIAEVPTLAIEQVFVTNNTSVLADEVLAHRLGL IPLRGSVSGLDATDVFLKPDEENGIVGSQPADYNTIIMHLHVECTYNESADPNEKDPK KRFHNSDVYARDLVFAPVGRQVERFKDDPIVPMNPDILIAKLRPGQIIDMELHCIKGL GMDHAKFSPVATATYRLLPKINILKPILGLEAGKFQKCFPEGVIGIERVTAKEAGTEG SGYEGHEGKEKAVVRNSFADTVSRECLRHDEFKGKVKLGRVQDHFIFSVESTGQYPST NLVLKSLKVLNLKARHLKRALDMLEGG EPUS_00379 MDSPQAVNGIESRARWKYLDSILSTKSPYADPDYIPGEDVITFL ESSRVLVIGAGGLGCEILKNLALSGFKDIHVIDMDTIDVSNLNRQFLFRESDVGKSKA EVAAAFVESRVPGVKITPYNGKIQDKDEDYYMQFKLVICGLDSIEARRWINSTLVSMV DMEQPESLKPLIDGGTEGFKGQARVILPTVSSCIECQLSMHAPRAAVPLCTLASIPRQ PQHCIEWAHIIAWDEQRKGDVLDTDDPEHITWLYKTALERAKQFNIPGVTYSMTQGVV KNIIPAIASTNAIIAAACCNEAFKIATSCAPYLENYMLYTGDSDASGLYTYTFAAEKK DDCPVCGNLAQTIRIDPEMTLGDFIASLAERAEAQLKKPSLRTASTSLYYQAPKQLEE QTRPNLEKKMRDLLADGEEVAVSDQALAIDFRYKLVFER EPUS_00380 MDDLLKPVKTVRNVKSNIEKFETLSLREQQSTNDLTSTRKILSE VSNGSDAPLNVLRESAEEEGSDVADDHVTKDLIPSEDGQSPERDSLQRIDGSISSPEH AFEILKQQPTEESFAAVIQYLEDGIHKKHHFSLHVPSASSAQILNVLVANVVPDRWPI LKSNTASKVDKTIRKSLLLCMSSVAGIGAMIARIQSLITSPQISKAGSSQHIVFRDTV SFFGSIAFHKNLVRDLLERTQSSVGRPGQEQALWTEATSLLAGSKILSVFLEASTMSE LKGEIPSWLQDPKEYCGWLGVNIASAATSLTPVTEDAWKMLANLLKRALSLGHKDTLV VELYMQLLLGEKALWTPLRLLCSYLPRLNQKTLLELMLQDMSQKFLSTSRDKDDDPKL VINGRDAVGGVASIISGFISDNEYLEAQLIDCLTNTASAHTLETRRAMILVLSSKEGK LASKSGKLQALLEKSMKIFSDKLHIKHDSILQQEALAQVVLVTAGYLHRMKSHYMSEL SKSSSYLHAVSNRLAASLPQARFLGMVVGMAISRLVDQPDKRMNFDVEEMESQEAEWW FSLVSVEDVMGTVEVLRNSSTNTRRATAQLVPQKRNSAQTHQRKRRAQAETSKIISIE EVDNDDSESEDDDLIPYQKPDEDAEDSDEDPTLINRSKPKAPVYVHDLVKSLNVVDKP EVVEMALKTAPSLIRRKAHFGSELSENIIDLASALINLQDGMSRLELQELRLQSLIAC LEISPCLNAPQSSPPSGFQAARSPATKTKTLHLPLNPFHRSHPQNNSHPISPPSINPN PTPNPNPSLNPNSHPCTSQPSPTQSNTPRSAPCDPSRSRRRHRAQHPQDPHLLLAHGR ARKNPSPHPRAQAPDPQRRSQTPRPGHLPAPLLPPQHPPLRRARRQRQDQHALRAPDP EAVPADADGRADDAGPGRGRAASCSQSGNSASGDGAAALCMDAAVLPAMLTLLLVLLD VGIEAGGGVEEGLVRDHGDEMAELVRWVAQLGDGEAARVPEAGGKKIQDKDKHDGRDM DGMPWSVVAAGIQVKWFEVARKFQARMMGLVGGLGGDGF EPUS_00381 MALFYGTDTYSRFPSMSSSSSRYPAQWLPFVKDIKTLYFSHLYK RCASQCERMLQDEADTLHPLHESCLHFYIAICNESLGLAAHRFSSKKLSYLQRAKDAF TASSVSLPLPYGLDNTGNSEAILSISQSSQVYHFGPIDDERSDVFRSAKLDVFSSSCA SSDMKQSLHERCDSGYDSESESRSACSDNVPDFKRYSARQPLRDVISNEKSHFKSSSH AQDTTNINLHDGKLMPKPLFIKKRTSDLNQSSSASDQLPPTSTEPPYLSQCTTNISPP SNSDQINLIPTTRPASSSPATTPTPPLENQQPSTYNTNLQTFHTLLLNHLTAINHTIA TTTHVQNEHTLNKTKRLASFWSFKPILPSAQGGANDDEDDDEEEEDVKAREKKERIEL LRRDGWRVNKEKFGWKGEEHYEALRGVALGELS EPUS_00382 MKYLQEGGYKKDLKEEDLKEEDLKEEDLKEEVKKDLKEENSKEE DSKKEDINREKDILLLNILPLALLLLNIFLLNILLISSSLFAL EPUS_00383 MAASDVYKISRAHNDTILQPHIIRRFESSARVRSCSLEALSLST SAKERLPFWDKNEMLFTLGHILIKPGYPRSTEKLALSSERDVNGQCGLTTSILTAARL HNETHEGAGFHYAPAVNGCLNFDFGDSQEAKSKVGFVHHVIGPLEEAYQILLMSRHSA DDQRAEYLPLVWECSYWSRLGLHFKLFTGCLN EPUS_00384 MAKEAHVTSQSWSRRLQCEVDKDANYQNTYVIHPPSPPSRLPSS SAGSLLLVFAGFAGYWVIGGINTTAWTIGSSLLALGLSVGQAMGMVVCAAVIIAFLAV GAGWMGSHQHLGFTVVSRSSWGMRGAFWPVLNRVVVGCIWMGIQMFWGGQAVRIVLGA LIGPKFVNMANTLPLSANVVTADLVCFFIFVIILAPTLWIRPEKLQLPFRVAFIMITS VMLGMLIWSLSTANGAGELISQPSTAQGSTLRWNSIFGLQSFLSAYVSGCLGQSGKLF PHHDFGLSHGFQDWTRYARTPNAALFGQAVTAPITICVTALCGILIASASTLIYGKVF WNPFLLLLHAQQSMTAASRAGTFFAGLGLLASQMALCIVLNSVPAGMDMTTVCPQYIN IRRGAYIVMIVGIAMCPWNYVNQATTFITVISGWGIFLAPMAGILLADYFLVHRRELH LDDLYIGNHSSAYWYTAGFNWRAPVAWAMGVWPMLPGFARQVRGVSAYNGWDNIFRIN FFVGLGIAFAVHAVLHAVFPAPGGRGSSPFGERRHGVLADRTNLEGDSS EPUS_00385 MAEIPPEVAAYQLSHLHEDESQELGAFYIVCIVAAFVCMVSKIT SRRVARVGLKADDFAFLIGATIAEGTFIVLMIYGRRKIPATLAQKDRLTRRQLSELVW LNYAYNILNVLCYPIIKISILLLYYRIFGSSRRFRLIIWVCVAFLTAIGISTTLVAIF ACIPVRGFYDSSVPSRCIDAVSFYWAQAVLNIITDAIILVLPLPVVWKLQTTLRRKIA ISLLFILGGLTFALSIVRVVHYLQYDTNDPSYSFIGSGYSAPGEVTMAIVCAAAPTWR PLSRRLTEMARSHWSSLRSSGPDYDVEAKGKSSSHKSSQSGPSEHDHNHMELPPVPPI PQGTQHSVYDARLIGANQSHAEAYRDPLASEGLSEESYGISTGQHRGIQVQREVTVED DRNRS EPUS_00386 MAVLAASEADHLRTKARKDLLNLLEGVRGRKNLVISKSLTGPIN LFVNFSTLKEYGVDKLFVLENGNTDSSQRNIAYLVHGEEPSQVQATAEQVKKLLKNGS VEHEISIFWVPRRTLVSNKILEDEGVLGDVNVSEFPMYFLPLEDDVLSLELEDAFMEL YLKGNPTSIFLASKALMLIQQRHGFFPRILGKGDNARRLANLLVRGRKELDVEDGSSY GGGARATLPSATLDSLIIMDRDVDFATLFLTQLTYEGLIEETVGIQQNQAEVDSSVTG TASQNSTQPPQGSASTNTSPPSTTRQNLKTKIKLDSSDSLYSQLRFSNFAIVGSLLNK VARRLESDYEVRHSARTTTELGDFVRKLPVYQQEHQSLRIHTNLAEEIVKQTRSEIFN RVLEVQQNIAAGTDATYQHDTVEELIARDVPLPTILRLLCLESCISGGIRPRDLENFK RQMLQAYGYQNLLTVDALEKMELLQPRSSANALLLPIPGTGNTGTNAAANNNTPGTKT NYNYLRKVLRLIMDEVKEQDPKDVAYVYSGYAPLSIRIIQCILQKSYIQSLNRSPFPL TPTSTGWQGFEDVLKSAKGPTFTITQKAIDERLVKARDSLRGGGGWKTIYIMFLGGIT FTEIAALKFVGRQLEGEKKRLMICTTGIVSGNKVMEGVMEKRGFGVGT EPUS_00387 MKKFGLGKKSEGDEDSGRRALFGSRSKNKSPAPPTNNPYAQPTA PPDPYTQAKMNAGIIPPAQKSGGPRPPPGAHGGLPSGPSVRKGYGGGTLPNTSQGDYA DDKKFRTPSGGYGTGGGYGDEKFGNAGGYGQDKFGSAAYNGGEPAAASSRYGAGGYGG LGRTDSGDTTSTDANRDALFGDARERVQQRGPNGYGEPPPYGSDVGPAGGQDRSYGAY GDRQLTAEEEEEEDIAATKQEIRFLKQQDVSSTRNALQVAAQAEETGRNTLARLGAQG ERLHNTDRNLDLAGNHQRIAEEKAKELKIANRSMFAFHADNPFTKGGRERRDQEILDK HRTEREQREATRQAAFESGQRMNQNFKGIGAAGAAGPKSKPSLAERAKYQFEADSEDE MMEDEIENNLDEISQVTGRLNLLAKAQGQEIEQQNRLLEGLGKKSDQVDDQLAMNRKR LDRIR EPUS_00388 MVIDNQSRHYGNIGYPDNMYSSQLHTSPQFTDPWGAHSTAQSHA PAYATSMPKQEVSRTMPMSFSQNPVSAPAMVSGSSYTNAGFGGSELLSLSQDIQRPTY PSDQAYQASPQSNNSFSQSSYPTLNYAQSLQQQQQQQQDVRKMSDPNEHSGRTEPPSF GELDASRGMLALSHQNLTDLTPRNLYEARHSRNSEGYGFPSSHSLSSSISAPSHRDSY HYYSASVASADSGTEYSSAASDAGYDSMPSSRTLPRPSQLMGANLGPPAPQSMMSQFS SKMSSNTQKKHKCKVCDKRFTRPSSLQTHMYSHTGEKPFACSVEGCGRHFSVVSNLRR HKKVHKGETVSSHSDDED EPUS_00389 MSKQLREYPKVTSFDLRNRLHRPKRVKMLARDFIEDSLYNPNYG YFSKHATIFNPGEPFDFPTIKDDAEFNRRVSLSYAEYEDKLDEEDPDETRQLWHTPTE LFRPYYGEAIARYLITNYRLTLFPYHDLIIYEMGAGNGTLMQNVLDYIRDTDPEVYQR TRFRIIEISSSLAKLQLRNLRKSPTSSEHLDHVEIINKSIFDWSDYIHSPCFFLALEV FDNFAHDSLRYNPISEEPLQGNVLIDEEGEFFEYYEKMLDPVAARYLRIREAASRSRF TTPVSDSRLLRRLKLALPFAPNLTRPEWIPTRMMQFFDILRDYFPAHRLIVADFDILE KTTPGINAPVVQTRYQRRNVPVTTPYVHQGYFDIFFPTDFRMMEDIYRAITGKLTRVL SHKDFLERWAHVEDTQTKSGENPMLSWYKNARVMTTV EPUS_00390 MVEQRFSTAIAFAAGALVALAICEVARFRTFSSNNPHQLPSRRL GLEEDDDQKGIESTIGNTPLFVIKSLTEATGCTILAKAEFLNGAGGSPKDRVALSIIQ KAESAGLLVPHSGDTIYEGTVGSTGISLATLCRARGYLAHICMPSDQAIEKSDMLLKL GAIVDRVRPAPIVDQAHFVNQARNLAAEHTADPKKRGRGFFADQFETEANWQAHYEAT GPEIFRQSGKNLDAFVAGAGTGGTISGVAMFLKAQLPDIRIVLADPTGSGLFNKIKHG VMFHPDEKEGTRRRHQVDTIIEGIGLTRSTANFEAGRELIDDAVKVTDAQALAMARWL VEKDGIFVGSSSAVNCVATVQTALKLGPGHRLATVLCDSGARHLSKFWNQAGNVAGTT STRLQDVLQLKIDRELSG EPUS_00391 MYCQKCRTPLKLDGSLEDLNPAAFDLLVGSTGKSLPDNSTSTRL TYPQERKDLYDKVTSTPASPVTKRSIPAPRHGDAKSDGRDPVSKGNPDMSFIEITESQ IVPPQQLDSTERTPPANNGQPNAHSEDSKSSHQDGSLSHDMEVSQRLFSILSARSDID HPICTECTSLLLSSMTARLNASTKERDAYIGFLRSLQSASSTIPTAEDVAAAEKSLKD TLDKEKVVFEELKNLEAQKQELENEIAELEEQSQALELEEQNFWAERNAFDDEMHELT ATLNSLQQKHQHDQLQLQALQRTNVYNDTFCIGHDGYFGTINGLRLGRLPNQNVEWSE INAAWGQTVLLLTTVAERLGYTFQGYRLRPMGSTSRIEKVEWPQQSPDGSAQGSHHAG QMSTNVTPKITQLDLFSSGDMPLGRVFFHRRFDGGMVAFLDCLAQLGAYIERLPNPPS SGNSSPRTPTRISRVLPYPIHGDKIGEGNNAVSIKLGAGFQQDESWTKACKYALTCCK FLLAHVSNMGNSSRSSE EPUS_00392 MEFHRSTLINPLNCLPAEISLKIFFYSATSEPQALINLLLVNHQ FYEFCKAHEETLVRGVCYHTGNRLDWSLVLKDNPTFGALLNLKRETTVFKSIEMELRR RDSDKTILTHGIFGKIRNSTIDGHPLYPGFLLLHRIANLREQIEKKILIHNLPLEFWA MSTVFHEYIQQFISLATNEVLATHTYGDHGNSIDGYLWSEIRSFLVELSLLCPRAARD FLLRPETSRHFTDPAETQRWARAFAAKYTNITEANTIIEYHFQRASNRSSERVEPERP ISECLRLIPDARLDNATIDFARQGFCQRHVAEDVLQFSGLISAAEKENAANSQKLHKN PSTTFRNPSQHRFSSSKPSYLAFLGRLFPQKGALTCSAPPRWRADSLEFAGKIEDSHI SFPTMRKSSPVSERHDVVYNRRNRRASSGLGSANSTPSRQEIDHSFLRIVSDIERIQT GVSAAFQWLLDTGGFSWSV EPUS_00393 MFRNPRENVTSSPSSSVLPSLGRPPTPLHDTSIIRNSSPYFGPT ATPTPHLAGMKAHLVAHSSTLRTPASNKKPNFATSSSRTRSQPNQTILNFFARSDRAK VVSSDGGDDQELFFQDAVTHDSNGTENDRSNSPSLVREPDHLLAAKGDGTRYNEDSSS VKRRRIGSPETTRTDSNFQPLQNVDQPKKACSINDTEVSSDALTKSPPKRKHSRLQRG PFIEESESEEEQEEVSAVDDWDKRNNYPNVYTEGSIPYSENVTDGYQGGQRTPDKQPA IPAVDRNISQHLPSNHLDQLDGAVSPDVNRPSLTRESTSIMPMDEFEDMEDFDDEFFE EGEEFMERRYLEEQALFEEELDEDSKSESAEDGPSTPEQLAEQDIACENAATCPICNQ SMKGTTEAEASAHVNGCLDGTPTPLPASTTKPKQHDSAGDRPVAIVGAKRYQRAAIAR PGQENPFSLSKDGSSGSAFSKLMSGHAEDAAWAAAAANEVQSRGKPAYQRTCPFYKIM PGLYICVDAFRYGKVEGQSAYFLSHFHSDHYIGLTSSWCHGPIYCSKVTANLVRQQLK VDRKWVVDLEFEKKVEIPGTQGVFVTMIPANHCPGSSLYLFEKVVRKGPNPKTNRILH CGDFRACPAHVNHPLLRPDVLDSISGKTKQQMIDTCYLDTTYLTPKYAFPSQEDVINS CAEMCVSLSKEIPDTNDNWERAKLERAGSGMAKFLEKGNDEDNSVVKREDNYVFKEEG DHSDMKPSGRGRLLVVIGTYSIGKERICLGIAKALNCKIYAPPAKQRICSALEDPELC SRLTTNPLEAQIHMQMLMEIRAETLLDYIQGYKSHFSRVVGFRPTGWNYRPPSSRFTE NPAVSTVLHSEGWKSRFTMKDLIPQRGSTRESNCFGVPYSEHSSFRELTMFCCALRIG RVIPTVNVGSAKSREKMKMWIEKWEAEKKKSGLFRVEEGATIW EPUS_00394 MSKGAEHLKDEVDRDPKPRTRLLILVQGSKKQVILAGGIAGLVS RFCIAPLDVVKIRLQLQTHSLFDPLAHKGTNGPIYKGTLSTLKAILRQEGITGLWKGN IPAELLYVCYGGLQFTTYRSVTQLQSGLPRRLPPSVESFISGASAGAVATAATYPLDL LRTRFAAQGNEKVYTSLLASIRDIARHEGPRGFFQGLGASVGQIVPYMGLFFASYESL RLLLGSLELPLGSGDATAGILASTLSKTGVFPLDLARKRLQVQGPTRTRYIHRNIPEY RGVFHTLQTVMEKEGFRGMYRGLTVSLVKAAPASAVTMWTYERALQFLMRKEIMDENK DKSYTSNMTSSESILCRGLPSKITSTILRPTLWLTAMHVLACLL EPUS_00395 MAPYPNPMIPMMHRPSRPSPLAQVANISSAPTPSAPSSSPSQIS LALTALTFLQCASSQKGFRNVCKGMDPFTSIHSIHLFVNWLASLPIRQTLPSDLLLVA WAIQGLPAGLRSFLEWSLALDNPPEERLNLEYLTWHLEMWRSVFSSTIVVEYAGKRGR SQETPIEDWFANGPAGNRDWARREAQGYWDRFSGKKGIRTNALRVLLLRVLRVCTLVV PRRLSPLARPTEVWCEAGNIGTTTTYPVVPRRLSPLVSLTVRRWKSNIKIITWNEVKP TTLLRRPPSCAIDKDHCIASTTKDIANANKRYNRASSPTASAEDVTPRAAIRTATTSA TTNGIHDLHPHLNNQANQSFIKPLINATKTNTEDEDADEDDETEASKHHITHDPPLNR AA EPUS_00396 MAQSVPRTPTQSAAQYSQQAEKLSLATSNDDPSETSSTSTAVEA KFITPLDPVIVLADGNRLPAVPPAEAAKLNKLKNDMEGYNHSRRRLSSQSTESRIGAE DAVPGCTVKSESEWLDESSLNEPSARLAAAVPPSRTHPLFPPLPLYGPPSMLRNLQCK ALRFSSCILSLAFLGVIVLGSAFTSIPLMFQHIGLRLTFRNPDARRPFHQEEVRRKSA RRQAEREWTRQRKRRRSQSEEGSKELGQLQDDFQPTEGGPDRLMCDVGYYARRVGLDV EEFKVQTEDGFIITLWHVFNPTEYVPCSADARGHKSPNVFVDEERKAHSYQRKQYTDG SRRYPVLLVHGLLQSAGAYCTNDDDSLAFYLCKAGYDVWLGNNRCGFEPKHTTLDYYD PRMWAWNIRQMGVMDLPALISRVLLETDFEKLGLICHSQGTTQTFVALAKEQRPDLGN KISVFCALAPAVYAGPLIGKMYFKFMRVISPAMFRIFFGIHAFIPFMMTMHRLLPGTL YGAMGYRVFSFLFNWTDARWERDLRDRMFQFAPVYVSAESMRWWLGRECFARHKCILA TREEGNAEDAEDEEAEQQREEMEEAAGRGGQEEKERMAWYNDQAPPFALWIAGSDDLV DGRRLLRRFQRGREPYVRLVHAKVIEEYEHLDVLWAMDSIEKVGREVRDVIWKTASEE ARKICRIPRGFEEEEGMELVSS EPUS_00397 MSTPIHEQAEPKMHNNTRRSSEEERTAVGDEAANGDGLTKKVTS ESAEYFEQGRSAASKTRERNNRLGDDLALLQAERIASHAVERQSGETEHNMHRSRTRG NEPVDEFDAATNPVHERTQLYKPPEKPSNKFAQFFKYIHHSIFIVRYFMYIVPLVALI LVPLLLGALAFPNAHVGGVQLVWFSIWLEIVWLTLWAGRIVAKCIPWPLGLISSLFTN NSKKWRDLGKQLEVPATLFFWWLGIEISFLPTMKNHSIDGNKETRDWQRTMNKIIISV FVGFILNFIEKILIQLIAISFHLRTYADRIDINKFQIGSLTKLYQYSKQKIVVDDSEF EGEERKSGPASGARTPAIYAEKATRAAKEAFSKVGDVAGTVAEDFTGKTVVKSSHPHQ VILQLLNTTGGSQVLARRLYRTFGREGFDTVFPGDLKCAFETEEEAEAAFSMFDKDMN GDISMEELEAVCVEIGRERKSITASLKDLDSVVSKLDDVFVFIVVVITLLVFLSLIST SAAGVLTSAGSTLLALSWLFAATAQEFLQSVIFVFVKHPFDVGDRVSIYGNTGATLQG DDYFVKEIALLYTEFKKMEGHIVQAPNSYLNTLFILNMRRSGGLAEALPIVIRFGTTL EQIDALRQSLLDFVKAEKREYQGNILTELREVKEAYSLTLNVVFFYKSNWQNELLRLQ RRNKFICALMVAMQDIGIEGPRRNIPGAKVDLPYYVQYPGGDSSSFASNTESGLGPSA TSTSDHQAPFVAPAKMNSLHSKPSISRTASGTDSRPRGESVSAMAKRVDFSLGMQDVS SGDLMSGDLFDDRSRSRLPPAARNAGADRIDEEEESDYAQTTGRKTSHESHRHMHSSV VRRSTSASSNTTHHNRFFDRLGRVGTRQFESNRTAEPDLMEQGRLDPRSSTSQNQAVL EDTQTTSGGLSRSQTEDFELRRMR EPUS_00398 MSPLRILMQFNQSTATATSSLRGSAVDIFYKLYVSKLRQLPSEY YDAFVSIQRGLFNTRDRKEHTRKRKTVSHTFSAKSIGQFEQYIHGNLELFEKKWNGIC ETQADPQTGYASIDALNWFNYLAFDIIGDLAFGAPFGMLEKGRDVAEVQKSPNSEITS APAIVVLNRRGEVSGTLGCFPQLKPYARYLPDPFFSKGIEAVENLAGIAVARVEARLR TPDTGRVDLLARLMEGQDEKGEKLGRQELTAEALTQLIAGSDTTSNTSCALLYWTLTT PGVLKNLQKELDAAIEPGVPSYDQVRELKYMNNVIQETLRIHSTSSLGLPRLTPMAAP GQPSPPPVEILGHKFPPGTSLSVPSYTIHHSKSVWGDDADEFVPDRWDTITKRQKNSF IPFSYGPRACIGRNVAEMELACIVGTVFRNFEFQMQQDGPLETREGFLRKPLGLKVGI RRRENAKV EPUS_00399 MASAGTTAGLESLSNASQDAKPKLYNNLLDQITTSKTSTDQLTA DLVALSDSILSGSLSIVATRPLLTNFIQSLSKLSPDTVVTVGSHVLSAFQSQSTTFEE QEGLLREALCTAYEAQEDYTSAAKALQGIHLDTSQRQITDEAKVQMWIKIVRLYLEDD DTVSAEQALNKIKNLPSSNQTLASNSDLKLHYQLSQARILDARRKFLDASAEYLNVSL STDVAEDDRLQALSAAIKTAILAPAGPQRSKTLGKLYKDERASETEEYGILEKMFLDR LLSAEEVDTFAASLLPHQLAQTADGSTVLAKAVIEHNLLAASRLYENISTMALGKILG LEDGREDTAAEKAEDYAARMMEQGRLKGKIDQIDGVISFEIQDGVQAGSSERELRMWD YGVQGLVEDVERCAAGISEAFPELTAERMVH EPUS_00400 MAMPDDDWCRPDVLERDTNYFSTADIISTVEFDHTGNYLATGDK GGRVVLFERNESQKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQNASHY LLSTNDKTIKLWKVFEKSLKVVAENNLSSDLTPAGPGGGGGPRPSHVPFRDASSLKLP RMTHHDTVVAAVPRRTYSNAHAYHINSISVNSDGETFISSDDLRINLWNLNIQDQSFN IVDIKPANMEELTEVITAAEFHPQSCNWFMYASSKGTIKLADMRESALCDQHAKQFEQ EEDPSSRSFFSEIISSISDVRFSHDGRYILSRDYLTVKIWDVNMERQPVKTIPIHEHL RPRLCDTYENDSIFDKFEVVFSGDGGNVMTGSYNNNFMIYPTDPDKETEVVLQADKSA FKAKKVGVPTPINSTSPTSTTGKKGGSRAGSPAAGATGQGGRMRKETDADAIDFNKKI LHMSWHPFEDSIAIAATNNLFVFSAL EPUS_00401 MSLDQFIQSTQHGSEVSIWQYSAPAKGKQVATTSDGHHPQHQVA DGDISAVWDSLLNNEPSTAFSVTGYVDRQSESGVHRTAEKNTTDGIDGVDGVDVVKLL QDPNACLWMDIPNEPGPPYAISADDMRIAKEIVRHVDNALASEPYYKSAISAARRGES LINFSSFFDDIENYQEEVWGYLQPVIEEARRETITCSSEEEGPATRRLRMILAHIERS G EPUS_00402 MLTPFILAVLFASISFAEIYSIPSYDYVIVGRGTAGLALANRLS SNFSVAVIEAGGYYHVIENGTAQVPGLDILVAGMSPEDVIDTDWKFISLKQEPMGVNF IMLVESALVAGASIDKTGMIGTSESYEQWADSVGDESYAFDEFLPTPNASARYNESAY DSEGGPVQITYPDYASPFSNWLGGSMAEVGIPLTDDFHSGVLDGAKFCEVTINPKTKL RDSSQTSTGHDLACRGNLWNGKTGRPDGGGG EPUS_00403 MHLPLIASLILCASVTVIANEPVTPYSNPVTPDIAAAALTTPGA TASMTEIPASAVTATPSPSSTAEDVTTTTVTLVSLSESPSSSSSYTTSTLISSLQATA TTMTTLSSDSTTTSTSSLTLTTSLIRTTTIATHANQTFTSSAPTLTLTSTTARFQNVT TSVVLSTKTANPPPKSTITSIVTANPSPQTTTARGGASTTTGQPSLATSNAATSFKGG MSVVGLWTVLVGVLGLTGGYVMF EPUS_00404 MDSQQSRKRKSAAVEAEHDTSAAATPTGSPARKRMKISQSQKQA LIDNLQLEITERARHLRAHYALQASDLRSRIERRINRIPLSLRKANMGELLAKYADTT IAKQMPQAGDSTKALPAVPAAENHRPLPAIPRDLASSAAKPANSNGPTPVKAPRKRSS EIAILSDKENEPTVEADELSNPKNPKRTKTAAGTKPRAASRTKKTNAGNVLSPKSHNS RTLPRSPIKDMQPPPQSPSKPSYLARPTSPLKPASPLKTAASAATSAISASLHGMVEH ARRGAGNAAAGLRRTASKEKAATAAVAASAHDKGKMAPPPRRAAAAAQQQQQQQQPER PLSQVSNHSAASNWTATSSATTVITKKTVGKGKTTLSATSTRKATGPMNTKSAAAKGA AAKAAGAPAKKVVVAEPAAGRRVLRKRN EPUS_00405 MNPYETFGSPTEDAPTSRFGRDEEGYGRSNDNYRRRSPLNDRRR NGPRNRSRSPIAIDRYQPGDRDRSARDDYYNDSRAGAPRDREDRRRAPSPTAANIDRY VPGQDGGKPLVRNNPLNNPLTMEMQVGFNYFAEWWRVENQIKEEKERAKHGGRRPSDR VKGEREAREDREKERAQIQAAYDQYKIDLQIRMARSFVQQHKGEEWFKERYVPEIRDP IRQRLMEFRKGPYEQWEKDIENGLFDEFTLEGIYKNDSDGAGGVIEKEEGEATGVGEV LGVLDLVPARGGDLRDDSAQQPALLIKTLAPNVSREKVEDFCKEHLGEGEGGFRWLSL SDPNPTKKCHRIGWIMLHPGGEEDEVMQEAERGDGREEEGEEEEEDTSKVLANGNAGK TPAQRALELVNEKVIADPVRGDFTCHVGVHNPPTAPRKKALWDLFSAPERVERDLELA RRLTTKLEAEFSPNAAEGGLARIDQRVEYLQDKGFLQPPAAPPAKAKKNAFVDNDDEI KFEDEVEEGEEDEGAYDEEVDDEELIIAKKKLDLIVEYLRRVFNFCFFCVFESDSVHE LVRKCPGGHLRRPRAGLNSTAKAAAKASALGQPFPVKKKDNAENGDDNVQTAEERRPP KFQNKTDQQLLRAFNWVRTFEDKILQLLEPEYVDLKKLGGKPVEEALEEELAKFVKQE DESKFRCKVPECTKLFKAEHFWRKHVEKRHEEWFQAVKADLQLVNAYVLDPSHIAPSR SDANSNGHFPVPNNHMPAGTPRGFNLQNMMNFGQNGMNGMPGFAGGVGAGGGNPFMGA GAGMGAGGAGYMGNGAMTAGMDSMGGMHNPGVMRKGGNRFNSRSGPYDRRVWGLCQRP NEFAAAAGGGVAGSMGGGPPGMLMRMPSGGGAGMGFLAQGGGGGGGKWGATVPAAACP EKRSRAAASRATRISMPNRARAGRARALLARGMRETGGWRMGWEAGGVVTCFSFSFPF FPFS EPUS_00406 MSYIPSLTLPDAIFKTPAASILLPVGAGLLVGYYGRGTTQKTYM ELKQPPYRPPPQVFGPVWTILYGLMGYGAYRAWNVGLSSLDPLKVEDTRVGATLYTIQ LGLNLLWMPLFFYMKRPVEATADIFALTGTVGYLTYVWSKVDPIASYCLMPYVAWLGF ASYLTVGVSHLNGWNLADKEKPRAQKEGDTKFVNEDPKAR EPUS_00407 MALPSPFLSPPSKPPNPESAKPEEQEEEDDYLSMTFTDTTPLSS SSTTTARKKESLTQSLKRKQRESELRAHPKSRRELAQEEARKREEALATSTLDPQSKG FKMMAKLGYKAGNALGRPGEANGDSGSGLLEPVGVEMREGRAGIGADAEKKRKVREEM EARVEGEKRVKVEEGEFRERRRLEREERRREGLIRGAQAVAQRLEEGEEEEGTGEDGG LGKTARDVGSKKRTTKPLGKINVLWRGAVKQRELAERDKRMRYDLHQSLSRLPTYEDP DEDQEDRVALGKKDTEEVDRDLDGEDDELNEFEALDSVEKLQKLVQYLRERWHYCFWC KYRYGDSGMDGCPGTTEDDHD EPUS_00408 MANIVDTTATAAPAPHSTAPLPSISDKDRLASLIAQATAKYAIK DYLPAAEYYSQATELQAELNGEMAVENADLLYSYGKCLYFVAVKNSDVLGGEAAGAKL GSAKPVQEKKGKKITNGHPATAKSGALSPTDGEQRQAEEVVAALVEEKAGDEAADEKE ADESGVEKPLFQFTGDENWDDSDEDEDGDGATGDDGAGAAEEEEDDDDFANAFEVLDL ARVLFQSRLQQLQDQASAAADKARSIASSQDPPEERTVKERIADIHDLQAEIALEGER FSNAVVDLKGALALKEELYPRESPVLAECHYKLSLALEFSSVTQKRDKDGNPEGEAKV DQEARDEAATQMHKAIESCKLRVVKEEQDLAALEGEEMAPKREKLKLDIEDVKEMIGD MQLRLVELKKPPVSINDPKGTGTLDGSTPLNGILGQILGESKDEQKKRLEQASLSAKD LTGLVKRKKAKSPAADEKSIPSKTTTDGRATNSGKRKADDFVDETEEMGLGKKARVDE AAADA EPUS_00409 MGDRDSVETPRAPAPAPLFKTANTAQDPIPSSSPAFATPAYPIA SRPAREAVRPPPPAFKKPTTPAVLPILLPPAILRPLAFRTITKKHNLTITSSSLQLLA TFIGRHCGSGWREEGLAERVLDEVAKAWKRNGGGVILADTPDKKLVDTLRQLELCMSG GRLDAGRLSRSNSGLGGNVLDSRAALLSREDSQISLGLSGLEVEDDLPMNISGDEKPS TKQDARPYLKVISAFTQPRLTYSTTKKSLEIVTTPPSLFPPPSHKTAFFRNRYNLIHQ RLMRNESFQTPSFSTTAKSKPSLQRSSSTITTVQQAYKITPIANLLGRSGSSHLLLGL LAIAPTTGELALSDLTGSITLDLSLARPVPEDGAWFGPGMIVLIEGMYEEDGSNAGGG VGGMIGGRFFGSSIGGPPAERRDVTLGTSGSSNHGGPSILSAGAGFGWVDFLGVGSER AQGKAMRRIQQKTLGSRGSDDDDESRKRTKIAVLGECQLDSPRTLEAIRKILASYAAA DSVEDSPLSIVFMGNFVSKAVLAGAESGAGSIEYKEHFNALASVLSEFPSTLSSTTLI FVPGDNDPWASAFSAGAAAPLPRDKVPDIFTLRVRRAVNTANLEAGKVNGTGEAIWTS NPARISIFGPVEDIVLFRDDMAGRLRRSAITFEKPDEDGNDEDVQMDSDTVEPAATTA PSGSRHNHQHGREEMHLDPSIHEAESHLPTDPHQNPQQHQSKPSPSDASARKLIKTLL DQSHLSPFPLSSRPVLWDYASALQLCPLPTALVLADSEVAPFAITYEGCHVMNPARVV DELGFEGRRGGVARWVEYDVRKRRGEVKNVRF EPUS_00410 MIAGNDLSPYATGPMPVAQVDQSTGYFLNVDDIRREEYPMLQGI TYLDHTGTTLYARSLVTAISHDLTTNLFGNPHSGSSSSQLSSARIDNTRIEVLRFLNA DPGLFDVVFVANATAAIKLVADAFGDHVEGFFYGYHVDSHTSLVGVRELARLGSECFS NDGVERWLTASAKLPHSQRLRALFGYPGQSNLSGYRPPRGWCSRIYELRKFHGQQIFS LYDAAARLPTASLDLSNPLDAPDFTALSFYKIFGLPDLGALIVRKECAGVFQDRKFFG GGTVDMIISLDYQWHASKQSSLHGRLEDGTVPFHSIAALGLALKTHSRLFGSMDNISR HTSFLAQHVYNRLSSLRHATGAAVCHIYGRSASDEGDSYGPMIAFNLRDAEGNWIGKT EVEKLASIKDIQFRTGGLCNPGGIASHLDLSASELKANFAAGQKCGDDNDVLNDKPTG VIRVSFGAMSNLTDADKLIDFIEEFFVRGCHIPLLPPLTPPATPGTSSFRVQNLSIFP IKSCAAFNIPPGIAWQVRDEGLAWDREWCLIHQGTGVVLNQKKLPKMAMIRPSIDLDR RVLRVQHNIGTSMHMILEISLDSETDNEILVQTCNASSIQSAIVCGGRQKVQILTPRK IADFFTAALEVPCTLARHQKPTAADQIACSLSELVTQSMPGYFPDPKPMSLPKTTFLA NESPILLISQSSVDNLNLNIQASDPDAKPVPTDSFRGNIVIAQEPSQRASPYVEDQWT SLEIGEDPSNQFRVMGPCHRCQMVCVDQQSAKRGQEPFTTLAKTRRRDGKVWFGIHLD PATGTENRRIGGRRFVKIGDVVTAR EPUS_00411 MPRIDRRWRNLLILTLLIYLLYNYFPSPPKWENNRSANRPAPAH EQSEKPNFLYHSHFRSDPDVQFEDQLDQALLKIESRALPDGKAFAKNIWQTGPKDADE RDHDCKQWKEHNQGWEYTYFTDIEAPDFVESLASIPSLVTVYKNYPLPILRADLLRYL LLWYYGGFYADIDIHPVLPITSCTPMNPLFNERQHRISLVVGIEIDEPYASPTMKKQW HWSRTYGFIQYSLYAPRRFSPFLRKAIVRVIAHSIRHNLASAGIFHGPRYSEEDILEV TGPGMFTDAVLDVLSESLPPNHELITRSMKADENIGELGRISGEGRVTWAPFHHLREP LWIDEYESESPDAQDGARNMGGLLVLPVNVWGNGQRHSGAEMFDSKAACLNHRFGRTW KKGWWEYLFG EPUS_00412 MLGGLLFGFWRICEIVTLIPVLGMLAYFVDGFNDQRQLTPNFIL VLFIVSVLACAWAIVTLFRLSSTRRSAHFVAFIDLAFVGALIAGVYELRGIANASCSD FSASTGEIYVNLGPFGYYGRQSSEGAQLSANVNRSCAMLKASWAFGIMNIIFFFITSI LALLLRRHERERESREKVVRRSHHGSRRGHSTSSHRRHSSSSRRYYV EPUS_00413 MATTTEVDNVPVAAAHSFRPYARSLSHELRTPMHGVIGMLDVMH ATVQESIELQQNPKIRNIFLALKNNIEVVQDSSRRAVEAADNIVHAYELNMQIPDTPV DDGDSPATFLSSAAAFESRPHIMIQGGNIAINPRKRQKHSPVSWSFGSTTKRRDSRFS PRREVSPRSGMHVSKPVTPCSMQHTTQPPSSPECSRSAPGSYFPPTCSSVAAEPSATP NLRQSRIRDLVPIVIYEALRVGGRPDSSIGHPTPLGERIEVRTRSSNGHASFQIIEWS VQTDVPEMLMVDERDLSKLVSCVVLNAIKFTENGTISIVVSLSQSLRSVRINVADSGA GIPKEFVPQLFKPFSQEDASLTRTRDGLGLGLLVAKGIARKLGGDLKLVRTETSGPGK GSEFEIKVPVGGHDLESIPGSPFDRTPTPSGSGAQLPRSDRSYAATSYPRPALATPQL PSPELTDHVRFPISSPSSSKASPSPVRRMPSTASKTSLSERNAIDRRLALKYPLTFLV ADDNKINRKLLINMLSKLGYEDVYEAFDGREAVRLVKEIAASTEERHSQNNFLETQRA FKLVDIVLMDLWMPEMDGYEATEKIFECSPASIPPPTVIAVSADVTEKAISRATAVGM EGFMTKPYKLVDLQRLIEEICNRV EPUS_00414 MSYNLPTNGPSFSSAPPSDQDSYWRASNRPSYANSQTPSSNIGA RLHDFFSEGQRLPMYKDKPHYQPRRRRSLWRRKRVFGLVSFVLLGYFWWVGLLPVGRV SRSNARKGANDGWSWLHPGKVGDLRVWDERRDKVTEAFKISWDGYEKYAWGMDEYQPI SKKGRNMVEGGMGWIIVDALDTMIMMNLTSRVKHAREWIATSLNYNAHHEVNTFETTI RMLGGLLSAHYLSTTFPDLAPLQEDDVNQPGEDLYIEKATDLADRILGAFESKSGIPY ASFNLNTSLGVPSHTDAGASSTAEAATLQLEFKYLAKLTGETHYWEKVEKVVEVISNN HMPDGLLPIFIYADTGSFRGENIRLGSRGDSYYEYLIKQYLQTSEGEPIYLEMWNESL EGIKKHLLTYSKRASLTVLAERQNGLSSPMTPKMDHLVCFLPGTIALGVTGGSSVSEA KARLGSAWTKKHDEDLELAKELMKTCWGMYKVMETGLAPEIAYFELDDPPRKWVPLDQ DPPESASLHESHDAQWRKDYDIHPNDVHNLQRPETVESLFYMYRITGDTMYREWGWEM FQSFVKHTQVYDDDGVPYAFTSLDSAKHVPARQRDNMESFWLAETLKYMFLLFSPESD FPLDEIVINTEGHIFPRFQMGKIFKTGWKPGAAREAHEVNSEETRVIRVENVDGPVGL KGGHG EPUS_00415 MERYIPDTLPFTNRRLPIRVILSYIFDYAIIVILAVLYAAIDRI VKPFNQHFSLTNYTIQYPYAEKERIPIPLALVVSVLIPMFIIAIYTLVIDGIFSHRRK QTGAGWSSIYRVKDRLWELNCGILGLLLSEGAAFVITGSLKNLCGKPRPDLIARCQPF EGAVDPPVFGLSNSTICTQTDKAIMADGFRSFPSGHSSSAFAGLFYLSLYLAAKLHVL DNRGEVWRTFIVLIPTLAASCIAMSRIMDARHHPFDVLFGSAMGILVAWAAYRQYFPS VSETWKKGRAYPIRTWGTEMKGPPAMNGNELVQKDTDMEPYRTRIAPSLSTTNTPGLA PGISQEQEQSQSGNVFRDQISRSQRQRITDHHDVGGPADGNPYLTTADGTRYTSPRLQ RGDGEWSASATSSEDEMDRRGGRDRGRIGGGGDGAAGEGFEMQPPSYAGRRDTDPEVQ IRHAPPLPSLRLGGGDLAEQDTGYKSQRQNQQTDPADPIPSPTHPGQRVLA EPUS_00416 MYVGWAARRAATNAIRGPQSALTDFLASHNISAHQIHQEHQRRL REAQQQAAQETGEADENKENESDPGDEAVEERKKRKRKEEKAIMKIKQSKEFKRRKFE EKIKKRQKGSGDDDDSDYDDDSIARNMLYKKAQPMPGQFENCEICEKRFTVTAYSKTG PEGGLLCTKCSKELGKEEKKKQQPKKRGPLKGKRRQTESDRMMGDVKPGAKSLVEICV RKVVANIVDIEEFGDLPQTLLDRLSQVLSQKRALDPRTLEFFLQADSSRIAIYDAAKL ETDDFEKIFSFMPELEDVNLRNAGQMKDEVLLYMLDHNPKIKHLQLGAANLITNHGWL QLFQKQGPKLESFKTCDLDAALDDSAIEALVQHCPNLQRLKLKKCWQTTERSIQCLTS LPSLHHLSLSICPDTSAETLSTLITTIGTPLETLSLESFHNADDTTLTAIHRTCTELS KLRFTNNAICTDAGFASLFSNWPNPPLPTIDLSDNRDIDNQNPDGPAERPIGLASMGF QALMTHSGSRLEKLNVKACRHISHEALLRVFDHEKHTYPMLRDMDLSFVAPVDEVVTT AIFRCCPKLEKLAIFGCNQVRGREVRIPAGVAVIGMMDAQESVVVEGGWERGDV EPUS_00417 MAPRKATARGREHTKPQVQSATSKLQTSVSSSTLSRSRSSRLQE IPDSDGLDGSSGISSPRIEVIVPPLSKRPEIPNHSSTKSRPTSSVEDSFDELLTDYET PATSVVVTPMYDSLTSQSNRSSVDRRFKINPKLVALRTSSHAQVASRFSSVKRQARQH DATKFDEAADARLAQRLQAQEYEDESPAKQSNRRRKRTSPVLDSDDSAEELSITADME SSDDEIPLATRKRKVARQSSSRKAKRQIISRPEPAMNIEDSDLSDVNSDQLANLESDF SSQNSELDDDGVDDDDMLNTALGVPNPGSSGTVPSRVRNRVRDRGRRGVRASSWDPLD PRGNRARRERRKLEKAHPEVKTMWETLKTVQPIKPVAGEQPAGISRKLKSFQLEGLNW MTRQEKSQWKGGLLGDEMGMGKTIQAVSLIMSDFPAKEPTLVVVPPVALMQWQXXXXX XXXXXXXXXXYHNTNPKVKHMKVKELRAYNVIMVSYSGLESMYRKENKGWNRNDGIVK EDSVLHAIKFHRLILDEAHSIKQRTTSVARACFALKAEYKWCLSGTPVQNRIGEFFSL LRFLDVVPFACYFCKSCKCKELHWSQNENKMCTHCNHSGFNHVSVFNQEILNPITQNE NPQLRRDALDKLRLITDRIMLRRIKRDQTASMELPPKEVIIHNEFFGEIERDFSQSVM GTSQRKFDTYVSRGVMLNNYANIFGLIMQMRQVANHPDLILKKHAEEGQNVLVCSICD EPAEEAIRSRCHHDFCRQCAKNYVRSFDGVDGDADCPRCHIPLAIDFDQPDIEQEEDH VKKSSIINRIKMEDWTSSTKIEMLIYDLYKLRSKKTTHKSIVFSQFTSMLQLVEWRLR RAGFNTVMLDGSMSPVQRQRSIDYFMNNVDVEVFLVSLKAGGVALNLTEASRVFIVDP WWNPAAEWQSADRCHRIGQRRPCVITRLCIEDSVESRMVLLQEKKANMINGTINNDQV AMDKLTPEDMQFLFRGS EPUS_00418 MATPSAAGSDYLLSRDYVDCTRWDCDEHPRYAAAADAASSLRRL NLQHYLWTQMFGYLLNPMVDTSSDTLKVADIGTGTGVWLTDLSRHLATSAQLDGFDVD TSQAPPREWLPPNVNIRTWDIFTDVPDELVEQYDVVHVKLLVFVVKGDPIPILRNLIR MLKPGGWLQWAEPDVPSMRISKTQSSKADDALQRLFNLTAAQDPRLVPKWPSQLPGLF QGQGLAQVEAHRVDANPHQEFAMHQCNLLIYDMIAQRGASSAQAKEISSLVPEAAHET KGGAMFAFSRLTVVGRKAQD EPUS_00419 MATSLPTIVLVHGAWHTPPNYQSYIDALEARGFTVHCPRLPSCN GASPPTASLSDDVVCVRDVVRPLVEAGEHVLMLLHSYGGAVGTDAVEGLTFPARKASG QPGGVIHLLYMCAYILLPGSTIFGVVKEAGMDHLWPQFVDNAPDGSTFPKDPVQLFFG DVDKETVDKASSHLVRSPMSAFTSETKGSAWRSVPVTYIFTQEDYSVPRVYQDLMVDR IRTEGVELRTEDYKTSHSIFITKQQEMVQAVVRAAEDERNLR EPUS_00420 MAQVRPLEVPVVASRAVPLITVSTVLQTLASITVLLRFLSKRAN RSIGLDDWVALVALVFSFGLYITGILICTVGFAGFHMDILLPNQIEKFLLFVYVDNIF YALTLPTIKFSILLMYSRIFRVKPFQYVAAAVGLIVAGWMIGVVFAQIFTCTPVEGAW KITVARHCIDQIKFYYGNAIANLLTDVIILCLPLPLIWRLNMSTRKKRALSGVFLLGG FVCISSLLRIVSLRDIDNNDITYTLVTTGVWTSTETPLAIVCACLPTLPAYFKSWHQK MSLNRSKGTEDASSRRSLRTQQPQQWTEDHEELGGNITPLSNLSLGKKERTEAGDMDT NQIRVTSQFTVENRNHS EPUS_00421 MRFLCLHGLGTNTDVLKAQTASLRYRLGDDEHEYDFVDGSLPWP PAPGIQEVFGKHVDCYSYFDGEGRSASSILDAVTDLADYVTSNGPYDGVIGFSQGAVL AATLIIAVESNLTIRTADTAVGPLGRSPPFQCAIFLCGGLPFDVSALQEGTVVQLTPQ PDKKALMHLPVVNCWAHNDMDYPGMGPPLSQLCSAADNLEVVHSAGHGVPSEGPDLER LLVAVTAILNRVSKGE EPUS_00422 MTIGHFQNSASHAVNDVGERTSQTPKREINGSKHDAEHTMAEPI AVVGLSLKFPQEATSADSFWEMLYEGRCAMTEFPQDRFNIEAFYDCDSPGPGTLPLRG GHFLKEDLGAFDATFFSVTPAEAAAMDPQQRIMLETSYRALENAGLTIDKCSGSKTSV YTATFTDDYKSILLQDPEQLPQYAATGLSGSMLANRISWFFDFRGPSMNLDSACSSSL SALHIACQDLQNGTSRMALVGGCNLIFHPNFMLIMSNMSFLSPDSRCWSFDHRANGYA RGDGFGVVILKKLSDALKDGDTIRAVIRATGLNQDGRTTGGITQPSGAAQQSLIQDTF TKAKLEMGPVRFFEAHGTGTAIGDPTEAKAIGNSFRSFRSEEEPLFVGAVKSNIGHLE GGSGIAGLIKTILTLERGLILPNTGLEKINPMIDTRHLRICFPTQPMQWPDPGLRRAC INSFGFGGSNAVVIVDDALHYLEQHRLDGHHRTLDIRLKPPTAFPKLNHKNAEGKARG VTGALESIRQVPERHVRAVNGDLSSIQLASQSQYPPIPRVLIWSAADEAGIKNMLGTY SQFLKQRGPSALDSSFDDMVYTLAAKRTLHTWRSYVVATSARDLESLERSHSKPIRAS SEPGTIAFVFTGQGAQYARMGNGLLAFAFFRDRFARLEQILYDLGCGWSLQELLFDSA TTVNDPEYSQAFSTALQIAIVDLLRTFGVVPAAVVGHSSGEIAAAYCAGAISDKTAMR LAFHRGRLAARLSRLHQTSQAMLAVALSEDDIQPFLTRLKDHVTTIKVQIGCVNSPKS ITLTGDRNQLELIDSWLKDSQHLAHKLPVDVAYHSTFMDAIKADYSESLRDLGRKPCK DTSVPMISSVTGTIIPPSVLCDSEYWVNNLISQVKFAPAISLLCVQSGKAARKHLGAK SQSLSGIKELMEIGPHSTLQRPLQEILAETGTEHRISYFSALHRRKDAAKSILEAIGR LWARGHPIDMLKANGLGDKQRAVMTDLPEYQFNHSQKHWFEDRTSAAFRFRSHARHEL LGSLIASSNSFEARWRNFISSEKLQWLQDHQISGECLLPGAAMLAMAIEGVKQLSACG TKVAEFDLRDVYFLNALQVPDSSNGVETQVSLSTTKNREAGPAPWYKFRVFSFSSEWV EHCHGKIRVECERQTGNEDPEKVSSTPTSAFHQELSCVIEACNEEASTEQLYNVIRDN GVNYGPTFRTLDRVRFSKTGAAVADIRAFPSSSRDRSKTLDVEAYTIHPSALDGLMQL VFPALNRGGTQDLPTMVPSYIRKLLVYTNNSLFSSMPHLLATTRSSFEGYRGTESTVI AVLPGSNELFSVMEGYQTTFVSYSGALPHLDAIERPLLSHMVWRPDISLLTNEQIAQL CEQARPKDDSSVHFYRRLSLLIRYYITETLEALRQFPVEAITPHITQYIEWMGQQLRH FHNEEPSNPQTEWLKIYESVEYRTGLEEAIETANGIGKFYVTIGRNVLHLILGATDPQ DLVSGGELVNAYFDDQFASQHTLKPFEVFLSNLAYKNPSMKVLEIGANGGNATLTCAN ILSAHGHPQWLRYDYTDISSDLLVKAQEKLVGFGHRIHFQILDITSGPAAQGFEEFSY DLVVAVHVLHMTSDLTQALRNIRRLLKTGGKLVLFGITVQHHVRTNFAFGLLPGWWSA TNPDSAGSFSPYRLEDDLSKSLTHNGFTGIDFSIRDFEDPECHETSILVTTASGQTDP EALKFPEMAIVIGANSVVAQLNFAKRLKEQLETVPNCRAMIYSFAEAVSNRAISGSFC VFLVEYNDSFLTTLGRDDFDLLKGVLSLTKDIVWVVRDTHKPRRPEFHLVDGLSRVLR SENAKLRFSRLTINDDYQTGLDGPTAVSTVIKHALQSSLDDMEPEYEERDGVLYINRV IQSQRMNKLIGANVASHQERTLQLDHHVPLKATLKRPGMISSIVYEEVTDKDELLESD EVVIEVRAAGITSRDHQIASGQLNDKRIFSECAGVIKKAGLESGFAPGDKVFVSCPGA CRTLLKCRASCAAYFPPSMSFCDAAALPTSTLISFHALVNVATLLEGEVVLIHHAAGA VGQAAIQIARHIGAKVIATTSTEEKTEMLRAAYNLPLHNILSSKNPHLVQSILQVTAY RGVDVVLNFEPGDDFDSSLEALAPFGRLIDLGLATDAVSAKVTRRAASKCITYTALDL CEMQRQRPLLLQKLFKQTSSLIQSGVIQPAKPLKVFQADDLEHALQSFQNAQNMGKVV IDLSPKQSVTALVANKPSYHFDPNVTYVIAGGFGGLGRSVSRWMVDRGARNLIILSRS GARSDSAKKLVGEVAALGARVEAPVCDITQAESLKKVLAECTQTLPPIRGCIQCTMVL RDAIFDNMSYDDFATGTRPKVVGSWNLHSMLPNGMDFFVLLSSIGGILGATSQANYSA GNTYKDALARYRTAMGEKSVSIDLGMMVSEGVVAETEGMLDSLRRMGYFMEITHAEFL ALLDYYCAPALPLLPPSESQIIVGIEHPAAMEAKGLETPHWMQRPLFRHFRLIGGGGG NVAGSDSQKQTTDFATVLKQCVSVEAAGEQVTDWLVTKLSQILGTPAGEMDVQKPVHA NGVNSLVAVELRNWFDKKIGADVAVFDILGNMSMVNLRIKVGLGTN EPUS_00423 MEEKYQGKASPSSDSPPPAAYEGDSRPAKRSFKTRMWDSFQRDP NATVTKPGAVGADGKVFDVEGAAAATAASPLSRRLKGRHLQMIAIGGSIGTGLFVGSG RALATGGPASLLIAFGLIGCMLYCTVHALGELAVLFPVAGSFSAYSTRFLDPAWGFAM GWNYAMQWLIVLPLEIVAASITLDYWNDGVNNAVWITIFLHLIVAINFFGVKGYGEAE FVFSIVKVIAVTGYIILGIILVCGGGPNGTYVGGAKWADPGAFNNGFKGLCSVFVTAA FAFAGTELVGLAAAETANPRKSLPTAIKQVFWRILLFYIVSLTLVGLLVRYDNDRLLS GSSSADARASPFVISIEEAGISGLPSVMNVVIMIAVLSVGNSSVYGSTRTLAALAEQR QAPRFLAYIDRKGRPLFAIIIASAIGYLSYIGASDRQGDAFTWMLALSGLSSIFTWGS ICLAHVRFRQAWKHQGHSLDELAFRSQPGFWGSVLGFAFNILVLIAQFWTGFAPIGYS DMTSAELVQNFFQAYLAAPIVLLFYLPYKIYYKTPFLTVDMMDLKTGRRDLDLGHLLA EERAERASWPVWKKAYKFFC EPUS_00424 MPIKIPKSFVRRKSSGNALEEVPNAPAPSFRVFERRPGGNKSWD GSNDLKQQTSQVRMYEATENDFDEELFPDKKDDSSNRGSGGTNNSASTAPYGSAASSA RLSSSSTIPSSTDISNDSHTNSIVSTQRPFQDIPVPPTPRSRGWSIRNAGRTFSFASK QKIEVPVEPLPLHRPSLPVTQTPTFTPGRRERAMTASTASTATPPKLLDGELAFGDSG LEDFENIFDGIGNQTSRDSISPHHSAKMDLPPLPYGADSAVSQQISPLPSSLDIDRAR VEASSPYSFGSQDSRDGLMDSPIAAQFQNHPNPSSPVRRKALPPLQGMPNRSPLSSPL READTNTGSLSGGTSLVKSPSPAADESERLLASSISRRDSSFQQIAHFGAARKLEPAN PNGMKEDNDDNDELLFSKSSSNRADISRTAPRKAAPPSSFRQPNAGTVDSSLIDSFRV AARFEADAPAQEKPAKKVMTPAQFERYRQQQEQTRRKNNLSQKDDSGDENDNYEDDDE LERDRQAAQQRRKQEAHLSVYRQTMMKVTGESSAGPNSNAAQSGRLTSMSTPELSRMS RLTAVTGVSSHSGKSSGTDEEDEDVPLGILAAHGFPNKNKPPSRLQTSLSNSSLPGST PLSAPPASVSGESRGGLKGNLPVFARNLPQDPYYGASLVNAPNREQLSMGGGFGGAPA GQVHPSGLVGVIAGEERARAMRRGSPNPQAMQDSFMPAQHPGMMGRSQTMGAVPPVSG YPGPGMPGMMGPQALSPGDQAQIQMAQHMSQMMQMQMQWMQQMTQIQHNGQMGQMPQP PQHGMPAMPNLMGMSGEMGNGIPRPFSMPLPDSPRQNGRTMSLTPGTTNQWNRNSSYS PSVAGVQGGYAPSIAPSERNTIGMASRYRPVSIIAESIKAPNDKRLSTFTSGTLQPWS HQNTERGRLSPSNTNTTVRSPSASGLGRKPLTPDEEDDDQGWAEMKKKRDNKKGIWKM KKSEKSGG EPUS_00425 MAIDLDSDREALIDREGTASNHAHESVFTYPKRSILYKRIPRFI GTVTFLSLVVVTLKFYADAGNISDRRKTGFNVIITILSLFLGLNFFEVFKDMARIYRW RVLTKISFNLREFVLILDGENLMNLLRLMRESRTRPFIVFACLAWIALNLLAQGSIAL INLTYDVDGGNDATGTIFRPGMVLVPKLDCYYNYEDLCPIFEEAPQVLAHSFGEAITS QPSCNYTSTASILDYTRECYYFRRHDRQEYAFRYSEHNPNDKARAYPYLTDRIITASP GGCRELNITKTSRVDDPDGRGAVTRFELSNSPYSSNITVNNAHLAHDATTYIYQGFKI PQHATIFDCGDRCMVIYALRQRGGVRKEPDRVFECTITISSVTNVSDPVFLEHHVPDD IARLAAASIALQGRYQNPFRDETKRWWQSQLYPWASKWETDGLSAQEIGGLISEFAIG SLATMAHLNPTTTMPGTLPILASRVRVTWWGIISLAVCIASTHALLVLVMLWVSKAVI VPDDGSLVIDMLLKSAAGRLGASRSLGGGERIALAIHGGREGESKETIELAVIPNKGA HSSREESSQATRPREADPSRRVAYRIVRDEAGIFDCEIDESLSGRDSHGGQESA EPUS_00426 MSRAKWQKPPNIEQPLISQVDEDSIRKDDHDPRSSDLLSLGKPQ QKKRLDYSTALGVLACWLCLSAAIVTVSPYTRVPWILGLQRQFQVIGFLIGVMNQLFL GLTPKVFLLIEARFGPSYLQNFEAILRRSYLGSGTSILWRGVLFGITLLHLGLGVAYK EFTDGRSEYPFKISNDSFYGLTGPTNFKEGLLFGLGLTSMANSTLPFYSATVSDPPMP SFPHAYGFNTLLLSETSSAKLDSPFPEYVEQIQTNLTAEDSYILTAEVFATVTSYNDS IASHRNDDKFWDYYTNMMGNTSNLYDSKDANFGAKIQWQDMLVRRGALALLVNDLGIR NTSWMFAAFISAFPKPEVSWNISRRRETYLAVGAEFRQNAMLFHTRRERCKGSWRITY NSIELISGACLQAPTSEKIQKMFTNATLAIPTWYMPSLIEYLGGFGMHRNESRWLVPS FSTVIAGVFWSRVTRMQGRYGRVGNPQAVLQSDVYYHCNDEGIKVKQTMSTSPWLFVT LIVLPFLTLLLLLASVAMFHTPLGEGFGLITVLAGVCKDTLRLVNGASLSGELKRRLR VKFIVHKDGGHPRVEYVLGDEGPNDKL EPUS_00427 MILKDNCSSFCLFWLLLPPIPLAQASNRIHDQSPLSQDPISEVI SASPLLSFHRSLCQTESISNNENAVGNYLFSYLKKHHFHVLRQEVPQPADSTDKKKRF NVFAWPKADINASTPILDLVDEEKDDVLGDFVPKVILTSHIDTVPPFIPYSTSIPPSS LPGEEEEEAFNRSAILLSGRGTVDAKACVAAQTHAVLDLLSSPLAPNLQQQQPPPSFP ANTEHEIPTLPTSLPVALLFVVGEETTGSGMKTFSSSALHRSLSHPPNPPDRPRRKAY STLIFGEPTQSRLPSGHKGILVFRILAHGKAAHSGYPWLGRSANSLIIPVLAAMDGLG DVSEEAGGLPRSAKYGASTVNVGLIRGGVAANVVPERAEASVAVRLAGGYPPIDLDAD VDGFGESETVNYGTDVPNLDLGSRSGQVKRYLYGPGSILVAHGDHEGLTVGELEGAVA GYRRLILAALER EPUS_00428 MRHSDESVAIKYLKSQKTGAGTFRRLLSVSNALIFVWLCVIWWG ERLVFYNSLAACRWEQWEQWPPDAVPHHVVLIADPQLVDPHTYPGRPWPLSSLTIYYT DLYLRRSYANLQQFLQPDTLFFLGDLFDGGREWGTWHSSSPDQQFKRYGHTYWMKEYT RFQRIFIDGWLNDGVKPSAEPRGRKIIASLPGNHDLGFASGIQGPVKERFEAYFGNGN RIDIIGNHSFISVDTVSLSAMDQVDPHTGSSGAGDGSSSTAANRDIWASTEEFLSGAR AERSRAVRRELRNLAGLHEQSYREGGLSGFAPNITDLSQANKPLNTMADLVIGNAEKS FPSILLTHVPLYRAPDTDCGPQRERGRAILVQGGYQYQNVLTPLISKDIISKLGVEDV TQIYSGDDHDYCEIEHGEFTGRIKEITVKSISWAMGVRKPGFLAVSLYNPVDVHPTAT VDEMASGVERDTVQNQLCLLPDQLAIFIRYGAMLGLTLLILAIRTIMLQGSTSQHGES EKPLLPMSTEDLPSYDNGTLSRHAVASTSSSSSPEHIIPGGGTISAHRTPRAGSPRLG GYGNLPLGSRSSSPLKAKLDGAFDPARGTNVISVHDEVEDWGMPRSKRRTVGQQRRRG IIVEFGHSLARVALPVLVFYIWLIRRG EPUS_00429 MGGKELLDSVTTTFISFLQLSQTTQTAVTSLSFLAQSQFSYSSL YVLFLASQEAHPPRSVFLPPAMTVFTIWGARVPVSSQYWAVIPACSRYRTVRCIRHKS TIAFRLFANSIPGSSPPPSVQLKYLRRSSSSTSTTQETSASTAPLSFHLAASASGKGR RFQADRNTHEFNADIHDALGLQRGETRAQRKANRPDSGQDAFFIAKLEPNSTAFGIAD GVGGWATSGVDPAEFSHGFCHYMAKTTVEWTHGRLTPRMLMEIGYQNIIDDPSVPAGG STACIGIARPDGGLQVANLGDSGFLQLRLGAVHHYSNPQTHAFNTPYQLSIIPPKIIA QSALFGGTPLSDLPEKAEISSSQLRHGDVLILATDGVWDNLNPQDVLNIVSLQMRSHG AWVETDNHGLGVSDQIAQLTRPGGSGEKKKRSQTIQSALAAAVAGEAKIASMNERRDG PFAKEVQKYYPEEGYHGGKVDDICVIALLAVKHAASRDSR EPUS_00430 MIHPSRQAYVEESQDTDMGVDLANIPLDREYDMPSATAGIAPER ASAILSQFDRKRRAAQIAVPTDDGRVRTRLRELGEPITLFGEGPGDRRDRLRELLTVQ AEEAEGGLDVDTPMGDSGTGAEGQGEQEEEFYTEGIAELLEARRNIARYSLPRAKARI SRQREEATIPLRTHIKHRKHIKERLAGFDLFGTQIAGERPVSITRFSPSGDIIAAGNW SGGIKLLDVPSLDEKSTLRGHTGIVGGISWFPGSTLPTSTVSESAVNIASGAGGQGGA SDIHLWSLTQDTPLSTLTGHTDRVCRIEFHPSGQYLASASFDTTWRLWDVETTSELLL QEGHSRQVFTVAFNTDGSLLASGGFDSIGRIWDLRTGRTVMILDGHIREIYSLDWGSD GHRVLSGSGDGWVKCWDIRAVKCTGGIGAHNGNVSDLRWYKGGDLPLQPNPETQNNAT LPISNDISSSKKQDPSPPTKAGTFFVSSGFDKNVNIFSADEWALAKTLSGHSGNVLSV DVSRDARWIASSGHDRTVKLWGREEEEAL EPUS_00431 MALDKREVAERGSTLQKALQTSVPAANIVSLLKELQKGVRPTEE LLRSTQIGKTVNRCKHHRAPEVAKLASEIVSKWRHQVQEQKLANGGNSGSGTPNARAN GTASPAPSKPAPAPKAESTVPPDKRTWRTDKISRDDLTSDAARNNCIGLMYDGLCQNS THPSKQILESAKAIEQAALDLPGAKGDSTAGAYRDKIRSLYQNLRNKSNPELKIRVLS GEISPARLVVMTHEELKSKQQIANDAAIAKENMNNAMVPQEQKSVSTSLQCGKCGQKK VSYSQAQTRSADEPMTTFCECMACGNRWKFS EPUS_00432 MPAPRVIVVGGGLSGLSAAHTIYLNGGNVLLLDKNNFFGGNSTK ATSGINGALTRTQVDAKIGDSVKQFYEDTLKSARDKARPDLIKVLTYQSASAVEWLQD VFNLDLTLVSRLGGHSFPRTHRGHDAKFPGMAITYALMQRLEELVESDPDRVQVIKKA KVTSLNKEGNTVTGCTYEFGGESHTVEGIVVLATGGYAADFGETSLLKKWRPDTFHLS STNGTHATGDGHKMLMAIGANDIDMDKVQVHPTGLVDPKDPDSKWKFLAAEALRGEGG LLLNSKGQRFSDELGHRDYVSGEMWKEKEAKRWPIRLVLNSKASNVLDFHTRHYSGRG LMKKMSGAELAKEIGCGEDALSKTFNEYNEIADGKRKDPFGKKYFHNLPFDINDTFHV ALMEPVLHFTMGGIEINDKAEVLNGEHKPFEGLYACGELAGGVHGANRLGGSSLLGCV VYGRVAGNSASQYLFQKVLTGGVSSAQHRLGQISLHIDPSTPGKISVEWGKGAVADST PSVGDEKTTSQAQKSAAPVMNGKNEDPGKQKDTNDISNFKVPEKEFSLDEVAQHNKKD DLWIAVKGVVLDVTNWLDEHPGGPQALFSHMGKDASEEFAMLHDDEVIPKYAAGIVIG RVQGQEVCLEY EPUS_00433 MESSGQKKLLLVNGPNLNLLGTRERHIYESTTLPQITSALSDQA QVDSIELKDFQSNHEVVIIERIHLALEAKLSGIIINPGAFTHTSVAIRDALVGVQIPF IEIHSSNVHAREPFRHHSYLSDRAEAVICGLGTNSYVAALD EPUS_00434 MAYKMAIIPHLDELTPEGEAIGAINTMFLKANPDGSRRFCGTNT DYVGVRKGFSANVPDLAIYRGRPGLVIGGGGTSRTAIYAFQHFLECPPIYMVNRDKSE VDAVNWNAEHN EPUS_00435 MDSDDANDSAQGKRNGLNSKKARTRVSRACDRCRTKKDKCDGRR PSCSVCIAIGHACSYDPSTKKRGLPEGYVRGLEKLWALCLGKINGLEEVVVDLLRHQD EVESLWNHETAGEELHASWKGSSVLRELEALLSKLEATANESAGKRKREREESTSEGI LETTFHRTLEYAVQPLGISTTSRAQVSIIDGRSDGPTPSESVVSFPARTPALLDLYFS HTHCWFPILERHHILRTSYSCSSGQRRPESISSADLAILWAVLAYADQQYVHISSEIR PSGEVGPAGMLIAAMKWIPPLESGIFEIGHVQALLLVALNSIGSHWNKAWIIVGHAVR IAMDINVVQVHGRGKHVLFGCFILDTLVSARLERRPHMRREDIEPLGHLEEDGLEEWD PWTNSMGSVPPQGNARGPAFTISCFNRLCDVCAILSDILCSTSSGMERRSFCQQQSEN LDSLFKKLPFIDQSTSSSLPHGPPHSTYLALTYFAAQLAVNFHARSTVEQRPSDAFAR NACEILVLLTQHAQSVGLVVLPPFLEYTLRLSVDGATLARSDFSDTAGLPTFTAWVHK MDEHVHALKTLWPVFNSLSDTLADGDRQGERSLTIPTTHATFRRNEMGLTPTSMSAPI DKLVTPRAASSIIAQPNLNFWEGTSSSAVTSTRDQLPASFDMMMTEMKAATPTPSNRS MPAGLAMPQTPQTSPSFQGDDVDAIFHDLAHLDTTEWTNSREQGLREFGFADDSTFQA FCNDPDRLVAFDPSLLDDNATNLWPPPGFFPNHFAETDPHVEASQILQSLSANDQYPT LPESVGW EPUS_00436 MEIGSILNRQSGLDRDLLQRRFPVVSAHSALGTPLPRVEKSSSR EQKSRRHSRPSLLEYSRDPRSRLALKGRMLGSGDNAATAGRPLRTFSPNASLVLVGIR GCGKRSLGFIAATALGRRFVTEDHFFQELTGLSRQDYLRHHGNQEFHKRDIEVSRRML NENRTKCVIECGLGSLTTRVQQHLKEYSMTNPVVYLVRDMAVIHQLLKLEDRAARLLE NGDPTHRNCSNFEFYNLEDDTKHEKAAGAVLDRRSPTYAFKLKDVKEDFTYFVRFITG ASTYKSSYDSPFSLLEMPVDLRLFTHAVYLRFSELEEGLVDLGQLESAGDAIELCVDQ WTTETLKSMSRRVAEIRRQMGVAIIISVDRQVITSAETCTKVLEHGFRLGVEFVNVDL ASNDSFITRLVASKGFTRLIGSSVELGHQSPRWDDETWFARFERAEMLGCDIVRLLKE ATTREDNEILRRFTEAIRSRNGMSPALIAYNVGALGRTSQLFNPILTAVTHPAIQRKV HGSSDVPTITSRDAVQALFQSFVLDPLHFYILGANVSYSLSPAMHNAAYRYLGMNHDY QTRVMSSLVELDTLSQDPHFGGASIVQPWKVILVDQLASKSRHAEAIGAVNTLLPLRA QADGTIFSLQNQASQRNRAGRVAAWYGDNTDWIGIMVCLNRNISPRNVIRPLKTTGLV IGAGGMARAAIYAMLRLGCRKIIVHNRTVGNAHIVAQHFNSWVSSANSGQPGEQVVSV LPSRHDPWPANFAPPTLIVSCVPTHSINNQPPANFEMPEQWLQSSSGGVVMEMAYNPL ITPLLRQIGRFRNRTGRPWVIVDGLEVLPEQAIAQFELMTGRKAPRGVMRKEVLHRIR DGDREIGPS EPUS_00437 MVYSKDTELYRRDVKSRGREFTAEEREKLVKPYLPPVPELLAPS KVSSRHSGVRKVKRRRQKPIRSFLKSKLHVLLYFAVHLVFGIYLRLRQTYHAVIDRVL ALLYYHHRTPELIQKDVKNLSRLPEHLSVVLTLKGEEDGGLESLMEDVAELSAWCASI GIPLLSIYERSGILKSNMRSLHSLVFQRLSSYFGASQTPSFRLHAPSYPSYPPSATTT PALLPTTSTETTSPPTQSQRRRNIEVLLLSSTDGRDTLVDLTRTLAEMSQSHKLHPRD ITQDLIDAELSATTSISLSPPYQLASQTQTPTTPKNQDTITTMSKEPDLLIIFGPYVK LDGYPPWQVRLTEIFCVGESGGGNSGRSGGRVEYQGFLRGLWMFAAAEMRFGR EPUS_00438 MVARSQPSLRRSSRRGDQAPSAAPVTDTQPPSTVTLSHRTKRSR LSSLSEAESLRKKQKTEHFGYPSEYKFPLRNRPYNEPSRSLPLKNGTKPVSSGHERET SALCIAEQKHPEQQHTSQSNDKRTLRSQDGGSRSKSELSLYFTNYDQMLSLEHAEPDL LAAGTHITLINDLSIPSEESSSPLASTILKTEDPDPFGSQKPLHNAQRVELNSALPTH SPRRLIKDTLSEDIFYRAHRKAERHEKQLRNIEKERAQHEKVQLDRLLEELRGPDWLK VMGISGVTESEKKLYEPKRVFFIREVAGLIEKFRHWKEEEKRRKIEREQALLAEEEEE DEHFGSQDDKDGPNGSGHQPSSPTLPAPPDTNDVDAAAARQLHQEAKSASSSSSTKPR MNKPPNGSSNPYPSHPGLPTHLEQSSSASLTAKTHRRNMAVTGHRHGRGTTAFGEPVP DLQEREFKLPATILTKDMLSWRAYDRMITSFDEAIWWADFRVSFIQACLAFTSLAYLQ KIPRTHEGLREFIKIGYLTGTSAPSLPPSAAAAAAETPPIHEISSTLRTQAEDGMGWD IYPTILHRQT EPUS_00439 MLSVYTIVALYASSIGLSTAQSIDPNLVSEATREQWCLAQTTQC PLICTQYPGESAATAANECNPETLTYDCVCAVNGLSPNVSEYSQTIPYFICTENNNNC VNACNGNSPCQSDCRENRPCGAQNPTRVNETTVTVSATSSSGGAAASTASDGAVYTGF EGSAATTAASSDNGNAGSSAAAVLLDISQLYGLGVRTYRGHKTRRELQGLGLDASTRW NELIKDVQYQNLTTPTVPSSPSTNNSSGPHNGPKDRQQPPAARKNWQRIGTIIRRAGR VDLSPSQSTSSLDDRTSTSDNDGNQLPAEERGKKRQRCAEARNARHKSAKMMDLQYFL EMVDQKHRYGSSLRKYHEYWKSQPTPQNFFYWLDHGDGKEVELPECDRVRLEKEQVRY LSREERFNYLVMVDEQGLLRWAKNGEKVWTESTLYKDSMKGIVPINDQGPTFHYNVHS EGRESASTSDSDEEDQPDRDDADKKYLNEDYHNAKGVAKLRKVGPAVVFNHLIRGRTK QGHKWIFVADTSFRLYVGIKQSGAFQHSSFLHGARISAAGLIKIKDGQLRSLAPLSGH YRPSAANFRSFIHNLQDEGADMSRVSISKSFGVLIGTESYTRTKRKMKDIETSLKHQK DKIINPEKVKERYEHEKDKSQSAETEREYLEEHGLDEGKLQRQEKDQNLGSKIATKLG VRHKNNNRQKLEGGSPE EPUS_00440 MAPSPAAGKGAKGAPETPTKAGKNPASSTTPTLGKAGDQAKDTA SSAQKKTPGRPPKLGAPKSSTPKAGTKDSPKLPPRPKESDQPTEDVKAGEESEADTRK VGDNDPEETPGKITQQAGAESSAAGDTDLSEAAPDPVDDDADDEDTVAGAKDTVDDTA DDAADDEGEETDTGDAQDVPSGAPGGATSAANGVADQAEKAKDTADDGADDVADGEPA EAATDVANGAKDTAEDAADTAEDAADTAEDTAEDTTEGAADKPKDTAKGAADTAEDTA EDAADKPEDAVDTAEDTAEDVADTAEDTAKDAADTAEDTAEDAADTAEDTAKDAADTA EDTAEGAADTAEDTAKDAADTAEDTAKDAADTAEDTAKDAADTAEDTAKDAADTAEDT AKDAADTAEDTAKDAADTAEDTAKDAADTAEDTAKDAADTAEDTAKDAADTAEDTAKD AADTAEDTAKDAADTAEDTAEGAADTAEDEAEGAVDTAEDEADGAQDTTEKTADGAQE TAEGATDEVPEGEEAVDGVKDAADDAADEAEEDLPEVPLSILRGLEVGEGGVILGPDG EPLGQLDEGDPEDLIGQTIGEDGEILDEDGDVIGRCSILPEKAKELAQKAKDEAEAAE APDQADAAAEEAEETGEAGEAGEAGEAADQAKTEIPDIGILEGLKVGEGGEILDSEGK AVGKITEGDPEDFLGQEVNADGEVLDEDGDVIGRAEVLPQEVKDAGEEPKPDFSIVEG RKLNKKGTILDDEGEVLAKLVDGDPKECAGKVPNEKGEILNDKGEVIGKLEIVQGDAA EELKPDLSIVEGRKLNKKGTILDDEGEVLAKLVDGDPKECAGKVPNEKGEILNDKGEV IGKLEIVQGDAAEELKPDLSIVEGRKLNKKGTILDDEGEVLAKLVDGDPKECAGKIPN EKGEILNDKGEVIGKVEIVQGEAADDAMKELHPELVEAAADEAADGTAEDAAEDAAED AADEAAGETADEAADEAADEAADGVPSITILEGLKVNKKGEVLDEEGEPIGRLIQGEI TDVAGKRINDKGEIVDKDGNVIGKVELLEKAEQAVDEAQDAADQAKEAAGETAEHGPD FSLVEGLKVNKKGEVINEDGDVIARLAEGYDLASVAGKKLNDKGEVVDSEGNVIGKVE MIPQEGEEPAEDEDTGPELPPLSILDGLKCNKYGKIVDSNGNPVGELIEGDAKRLARM GATCDAEGQFWDGKGKVIGKARTLPQEDDEEEAPFAGLEGLIVVKDGWVEDVNENRVG KIVEGDPKKLVGRQVDEDGDVIDKRGNVVGHAERYEEPEEEEAPPPDLSILNGLTLNK QGNVIGPDGVPIARLVEGNVKELAGKKVDGEGQIWNDAGKVIGRVELIPDNEREAKPE GPFAGLQDVEVVEDGLVQDRDGHVVGRVVEGDPKKLIGRAVDEDGDIIDKYGNVIGHC EPYEIPEEEVVEEDLSSLAGKVVNKQGNVVDEHGTIFGRIKEGDPKALAGRKVDGKGQ IWSDDGKVIGYAELIPGGARQKPDGPFSGFETKVVVADGLVHDGAGEIIGRVKEGDAK ALMGRSVDDDGDILDNSGNVIGKAERWEPEKKERRINPMSGHKVNKEGEVRDENGNLL GKLTEGDLRTVVGFEVDDNGYVVDNDGNRVGACTLIENLQEDEGPSEEELAAVRAEEE NREIARKMCGILQQTLEKMEPILKQITEAVEKADRTPKEELDEEELVNQVKPLLEEGG RILQECNGALRGLDPDGSIAATAKARAAQGDATQEEHRLAELLKDLTTNVVKTIDNAR KRIADMPHAKKKLNPLWGLLTEPLFQIIAAVGLLLSGVLGLVGKLLNGLGLGGLVNGI LGGLGIDKLLGGLGLGSIAESLGLGGGKKKKK EPUS_00441 MATLSFDRSSHLRFSAATASGSSDWHAANAPKMSSTKQTQGWIV APLTTPTAMDKKSNSKAAAVTIAPVEVSRPQRCRVRRGNGDADGLTSIRAEGGVREVE VAQRMGRMARRADRFQR EPUS_00442 MAEVVDGVAPTAISATPSYSVAHQIVELDVDFKGRRVSGKTEIT LHPQSKDLKTITLSLRQCRIIRLTVNSKPVSRMQYIDPYTKTKLAGNTTAHQHHLLAL KLANALKTPPDGEVAFDVPKSVHIDEVNLNVISSNQSSLLNHTNGDAPGSSLVDSAQA LTDTSIARYTPLIISISFSIDDVRDGIHFVAHGTGAGRFPHAYTPRTNAPGSACCIFP CVDAVNARCTWEIKIGCPRTVGDALRGSVSHEAIHAGSGIEQSSLQPRSAHEEREMIV VCSGEHISRKEDHEDSSKVVTSFSCSVPLSAQQIAFAIGPFEEVKLSDFRVPEDDVGS NAVEVLGYCLPGRLEELRNTCLPTVRAIDFVTRKYVACPFATYRICFVDDLENDTAIA GAFTLCSNRLLYPADIIDVAEDVTRKLVHAIASQWAGINVVPQEPTDSWIVIGVAHYI TEAYMRDLCGNNEYRFRMKQQADRVCEMDHNRPSLFDLGTMLQVDPAELEFMSLKASL VLFILDRRIAKVVGLPKMPAIISKILLRARKGELPGNALSTELFQRTCEKFYHSKIDD FLNQWVRGGGCPHFRAFQKFNKKKLVVEMMIRQVQGEHTNRDLEPDTFMRDVREDFHN IWAAPLQNVFTGPITIRVHEADGTPYEHVVEIREAQMRFEIPYNTKYKRLKRSRRQRE KNFNAAAANADPSEAENDALLYCLGDVLQSEEEIKKWRISGWTPEDEEKMSSESYEWI RVDADFEWICKLELNMAGYMYASQLQQDRDVVAQLDAVQAISRFPASPLISSIFLRTV MDKRYFHRLRTSAAMAMVRQATPDTDYIGFWHLRKAFEELFCFSDTTSTVARPNDFSN IAAYQLQCGLILAISKVRDAKGIALPEAIEFLLDKLKFNNNDTNPFSDCFYISMLMQS LCEAICTHGKKDRHLDEMDLDVLDRRDRDRQLEKDSLEVIDRYRRMDEWAGSYQNLYS RTALDCQRRLSDSRIGRASTMHFLQYTRPGNYDMLRLTAFENLILPKILQSPAVLRYT TFCMSSDPSPWIRRSLRRFFGKLLAVVAIGDHLKSSQADITEDLVIENDADVGNQQAQ AARKRAVDVAIAALKEEIGDNDDLKTSLWTAVSCDTLSLEELQAMLYFCRMLYDPVDS LKVTIKYPRYWKLEHIGKGKLKFIRTNHVRTKPFIKWVPKALSPLTTKYDLLPPKSSG PKLLLKNPVPPTLLQPSPPQAPAASVERVLFMTPLFANRRKPKRVGREAEAPTQEEAE DSGPVVRRPGLSNVPKHKSKLRVSFDPGQAAAEDDPNEESSGQPKTIKQTNLVKRAAG SDLLKRSWSPSRHLGQAVSRNIHEDDRPTYSKDYLNELRNSTPSTPRDISSHTSEDDE SSKTVDILSKFGTIHEDANVSAIPTESEIREKKERRARLAKEQDFISLEGDGDGRYMQ LSTRNELKETRLVRDDEDLAEGFDDYVEDAGRVVLGKKAKAAQHKKERESMRDMIADA ENISDDDDSDAAQNLAYETAQTRNAMDGLGLGRERDDSQTYAPPIITPIPRLSSVLER LRATISNLEYTRARLSKQMADLQQEKAEIAVREVEIQRLVSEAGEKYQQLRSESNADG MGNVPASTQAKAERGLESMGNT EPUS_00443 MSPSIITPTLLHTLRTWPHLPSNTWYFITSVTLSFLNRPDEIPK VFQHAIEKAPSSTEKSSKQDEHLIIARRMREALVKAAAIGGLPKTINALLALKNATPA HLIDEPLGFSPTSRPLEIYDVPASQILQRGQTFFDRVYGKVSRRVMGQMDRSGTEDLG LTARLMYGYILSNTSVLTAAETSFVLIAGLIPQDVNPQLKGHLKGAINNGATFEEVRA VRNIVIRVCEAAGMRALGEHSLDGWGWHGEVAKL EPUS_00444 MKLDLAEPLNRLVGSKYLSAKSSGSLVFSPTELTIIYSRSGIPV ISSSTTTSMLLDLIPVQFQLRYCPALSKKPGASKPESGASQPKSKPDPFENPKGLLVT RLPDQSHGTHNLVLNKYPVIPRHSILSTIEFKKQTDFLEDQDLEVTYSCLQLWEAEST DEVMSRLFAFFNSGEHSGASQNRRHLQILPVRDMMGPESQKPEWKPLIDLLMTEPLPD QPSILRNPLLPFCHYAMRIPPSPGAGILNQIYQCLYDRASSSLQSWNEECSPEQTALN SYAGEASISYNLAMTTQAMAICPRRSETAVVPTANGEGSVAVNGTILAGTLMVKEKSE WDAIRQGQVVIDEVLAEIGIPQSSYSGRPSSTRL EPUS_00445 MSIKLPPTHNATSASSPSTVPELATDVDHQLDGLHRSKPVYKCD VFSHSVVAGTSFLSQIRLPQSFTGSSVLWVSRETVPSSSQQSFYCCHTNHRSHIPVRK RPDCPTAWACCQPTPLMAMLHLSQLPGCRQQGELEKREQSAHPLSSTEWPDSSLVSHN YYQQQAFTLPEPHPLYSEEQFDHEFPSVQGQTLTHGFDSWLASPTHGDGHQVDLQHLE REATAQGLNNTVAFPSIFTAGSEPYKFPPTPLCSIRAEDARLADEVLGGHGPDMHNAP SIGLNDSSSLLGEPLLQNPDLTLPPTSQYILPEPTWSDEEFAKLFQPDPFVPVLDSFH HSCANQPLFDNCSEHPKPVPMHNTTRPNVQNQQSLWSDSILNQLNYTNTPQSLSSDAF HQTNSLTDTNLMVSNNNCDTTLSSSSESAASTTRSILFDGLSPDIDSTAVGRRGGSKT HHRATIKDRELIRWKKQGLSYKEIKARGGFNEAESTLRGRYRTLTKPKHLRVRKPEWQ QKDVQILLEAVDRHLQHYTDGHGMGERYCTEAQRKAAKVPWKQVAEYMEKRGCYRYGN ATVKKKYLQVMDNALNL EPUS_00446 MGLDFSDFGKWYRDEVGDDDGFEHQTFKAREYAGERTLFDINGK TERFSDSTGANWYYAEETMTVEDLAAVPDNVICYDKHGRLSFNGRPITYVSPKTTPLN LSKPLPPTPGFDNTKVILEDVEMPHDNGWKSQNVNRVKGVAFDYMFADANETEAITIP DDEDDDSLLPDDMVDDEDDAVPEVVGPSTPQVGRLNHQPRAYVVNQALELTGLDMSAV QPDAFLLNAIPTATTPVIENHILALSSKTAASNSVNEPLDPVKAQVTNVFFNGSVEES PSPYHIPLLDGDTGFGDIREDAEDFPELWKHIDDEIAREEAAAAKASQPEIKSDNDIF GLASRAQARKAKQAAAITNATVVQESPVVIDLTGDEDGSETSSLCKKRRARSSFATKS THEEGNNEDDVQYLYTKKARIASGKDDDEVFVDLRPSTYSLLTQPEDADVVVAEPVPD DHILPRICGEAKGFSGQFQGMRTFEQAERAKKLNAPKVSTYFGQASHLNNLILHKDLH TCPHFETTEYTPATAPADSDIGRCISYASTKTEEAANIKRSPSAEFLRREAHHECTMA RLQGTAVGKYRYFEGHMTIEEYHECRMCICWELSRPTAAEQYFAAEQYLAAEQYLAAE QYLAAERTLPLSSTLPLI EPUS_00447 MPYPFALPTTSHLTFQTHLTSLTHPSLPATVTTARNSLRAALKT HKRLLSASQSANLPHILTILSEYTPYLLALDAGLSGKPVSGEDIDITLLKEVEVEWRP TLLASPLPGCEPPRVKGKGLDYEIYNTLHTLSAVHALLARSSLLRLYVPSSPTHTALT PEARTASIQNATKHLLTAHSIHTHLLHLTHTSADGPPSFPKEAVDIHFSTQSCLAELS LAEATLLFVLKDDAYPALLQQSRDKNDREWMVKAPDIPRVRAHLFARLSLGAAEHAGR AAAAGRGGCAEGEGNRGLAKDLVAYCEDLRRVSRAKACRFLGVDAEASGKTGEGIAWL RAGMTELGMDIQKDGGEKMSFGKLKSSWVEKREDRKMEKGKTAEWGADAGKTEEGRVL EWLERKWTKQNDTMNVQIVPDHAALVASMMPSGREAFGSNLSAWTPKLLGEDVLARMR APIDVDEDLREEQSSGDEVDSDAGEDRKARRAPAGTSLGMRGECEGNAYY EPUS_00448 MTLPPGKRQRRLILSDDDEPVVKTRRAPPRLASVPTKTLPTRKS TQSNATTKKPKVNNPPKDTPKSSPEKGTRGDLKVKKQSKSLHTFFGRATEEQRWARKD KTPPSVLEDGENGDDIEDDSLDEAFAEVANAEADENKVLDRRKAAELTLRTGALKSFG NGVPSSQKFAKPSTPAAKENKAFPAQDNDVSSRPWAERFAPVSLDELAVHKKKVTDVQ NWLSAVLQGRDRRRLLVLKGPAGSGKTTAMSLLSKAMGFGISEWRSPTVADSGLNSFS AQFDDFLNRAGAFGTLNFSGDKSQLDQSATPTELEKRVLLVEEFPSTMTLFSSALASF RNILLQYLAAAVPPRSAAFEQSKSNLTSHPPLVLIISESLLTSATASADSFTAHRLLG PDLCNHPYATILEFNPIAPTLVTKALELAIRKEARVSKRRRVPGPAVLKRLSELGDVR SAVNGLEFLCVRGDTDSDWGGTTATKIKKSNKSSSGLTSMEKDSLELVTQREATLGIF HAVGKVVWNKREEHPIADPTSEPSPKPPDHLSAFDRPKVSQVDIDELLNEIGTDIQTF TAALHENYILSSNGSQFTESAANCTDFLSDSDLISPSSRQLTRSCRSNLGAGGSLTQA AGGVDMLRQNEISFQIAVRGLLFSLPSPVSRASHPNGRKGDSFKMFYPASLKIWKPSE EIYDLISLEMGRMLTPAGTGSPGSANHGGSRGEGVESWRSRSFSSFLPAKHDHDIGAA QEEGAHGDSSPPRVWATRDEVLLERLPYMARLKSENTREIKKITQLHGIQLNGEDEAD EHSTEAAEVDLSAADETTDRAVSASPRKGGSGSWRGVDAKRQDLMMRSTRVGVSVENE EARLEKLYISEDDIEDE EPUS_00449 MLTPQQARRLTRLLHLLHVPSKSATNRFDSSGVPNSLSIAPPTF TPHISSTQNCTHSKNAMQHPAYSDDDLERPLRAGGDGADVLENGAEGDAEGEGEDAH EPUS_00450 MPNLRSKTRAQEAYDLIVQRKEHQEAIDKGEKMFREAWIRGLEE DLRHGKGNRRTRIELETAKEWLARHIRMSDARTRRFEEQLNPRRANLQHRYDGEAALL YCARTPQGQPAYLPNELCVKIAGINNRPATWDKPLEMPLGMGFRVPDQSPEDNQALST LLPVILTAGGEVYSSHKSCPYHLLPYTLCKEDIYREHSEAPEDSCEGDNILDLCIWVG QNCIRILRFSDGTPGRKLYMHVFGVTSSEMEQGTGAESGDVIRIPISSVPHIHSRSPY GEGTELDAYPTRTDSGSAAEPIRGSGIRKAWLRDLWSKEAAVLVEPKAFRDHLANERT FLAWLRTSLALSMIGIFTTQLFILQAGHLPHMNLSFFVLGVPLGSLCQAAALINIIIG AYRFWRLQRGMVKGQACAGGWELFLIGGLVTLIILAFFILVLMSDSDQHTP EPUS_00451 MSQPHKDKDHWSAQSYSAAASFVPKLTQKVLQYLDPQPTDRVLD LGCGDAKFTGNYLHSVAEVYGVDASASLIASANQDYGSSKARFTVLDCRYLEQDIEAV SGVWDKVVSNAALHWILRDSSTRVNTLRACFSALKTGGAFVFEMGGAGNVAEVHTALM SALLHQGLSIHQAQEASPWFFPSDVWMRKTLEDIGFIVEVLEVEYRPTKLTTGDEGGL RGWVKLMGASMLGVLEDASKREAALEQVCEVLSTIVTRIEDGSEWLGYVRLRGVARKP EPUS_00452 MTGISQAAGENESLIPGTPQNDEDGESYTSLKRSLSRITNYYEE PHSQRPPVPALTDTETAKGAMELHINLPQLPMATEIALAALQYLPTPVIVLTSLKTII LANEAMGRLLGLDNQDNRGTEEPPNNDNTVTEMLKGQTLSQIGVDMIQDGVPVWVSWE KFLDNLSNGLDNGAFEGEQSSLAAIVSGETTPVAISAGQRQNSHSTTIPDGAPTRDKT LVHDTVVDVVVSSQHDKVLGPSGHNRHHKPRSPTCQTPAKMIISIWHIEREKFFSLSF TSTSIAQKKSHVQSHIVSRPSLPKTNSHLNSGSARSSTPASSRSSSTHSSVVTSPSDS NPNATPFPPLGAPAKCSKSGAFTEFQKITRMKDAMLSAMEIPVLAMWKDESVVFPNPA ARRMLAVVADPTTEASYDFISRFKAYAPDFSRELRQDELPLTLLCRNQKGFSNWKIGM IEPRTGKRRNYDVSGKPVFDQNTGDFFAGLVAFKDVTEYTEKLASQNEQNEQQFQLIC DSMPQMLWTTRPDGYHDYFSARWFDYTGLPRNACFGTGWKLPFHPDDMTETVRRWHHS LATGDEYHTEYRCRRHDGEWRWMLGRALPLRASKSGKILKWFGSCTDIQEIVDAREAS RKMKEQLVNVLKHSEMTMWCLNQDREITFFEGKMLGNDKIPPLPNFHANAMGRNVYDV FKGMEFLPKYKESIERILNGQSSFEASEFESSGRWYKSRLVPQKGKKSAAGKMDEACV DGLVGISMDCTELKKKEQENVRLLANEAAAKEASRLKSNFLANMSHEIRTPIAGIIGM SELMLDTALDEEQGDFAVNIQRSANSLLTVINDILDFSKVESGRLDIEEVQFSLSVVI DDVCKMLSFAAERKGLRFISDIRLGEAEDFVLLGDPGRVRQILTNLLTNSIKFTSEGW VKMSVRLLKETFESVTVEFTVEDTGIGIEEEVKKRLFSPFSQADSSTARRFGGTGLGL TISKNLVELMHGTISLQSKLDHGTIATFSVPFNKPQFQGTATPLVDIGPLPDRFQSEH SLSCNNSSRGSIAMPGRGTPPPQSPAIGEIPNGDAGIHNKAPDNVSPPQAALTEAQKR DFHILVVEDNAINQQIALKTIKNLGFSVSAVWNGKEALDYLLKAAETAQSPNPANSLT PGTTPANSTPLPNVILMDVQMPILDGYRATHTLRYHAPFKNIQAIQRIPIVAMTASAI QGDREKCKRAGMDDYISKPTPRNKLEKIILKWAEGAASMIQKTQNNNSLDVASCGIGH SSDCPGSDYPSLAEQEKSSYRPALSMSPTHSHGRCAQHHQRPHLNPTMSEEKIRSRRT AGDPPSSLSEADRGLRRADAEEQAANLRDDKLLAATEMGPYSPLDGGAGTGVISGGLG SCSPLLVGSASAGLPFSSGSPLGGAGGGGGENYSDQRTVTNAGKGMALTEENVERLNA DKTDADNYEEGGLLRGEEPRLTTHNAATSTKAIGGDDGDDDEEEEEEELEMDMDLARN SERRRNPLFSPPIIEDEVEMNGGLTDRAASGPRQQQQGRFTVKTREGRLSAQDRRASD WSQSTAKPGRRDGSE EPUS_08934 MSAADFQALGVDISKIPALAPPPGVIPNFVNPVSRAYQAQIAVG ICFPIMAVFVLLRVYCKLFVTQNWGFDDWTTMLAVAVACAFQGLGLSVIGHPLGPHQW NVPLSAFDDNFQKRSLSGSLAYHCTATLVKSAILLLYFRIFKPSKAARLLIYGGIGTI VAFYLITFITNLSLCVPRASDVGGWTSATSQARCALPNQRLALGSGIFSFISDVYVLS IPIFLVSALQLPLRRKIGVAAIFLTGTIACACSLTSLIYRVRFNGQKDFAWTAVPVYT WSFAEVNIGIATGCMPTVAPLFRSERRKGFRIPGLSYFRSRFSSNRSRQPLVHDPSYR PPYPEHPGMGALKNGDSHTELGSARSVAQDWP EPUS_08935 MAPSISTVESLLDSQLQSFYFLLAVAVMTRVGTGMACWIEKQSK FNGKEPPTVPYWIPVLGSLLTFLVNTDSFIMSSVKSFGPSTPFQVQLAGMKISIVSGF KNTEAILKASSRMTTTPGAIFALTRLLGTPKYIIPFYLADDSGIGIDPRPGSRVEPQN RVIYHQQRNAHRFLSGSSLKEMNRRYLDTLQRNLLDLKIPDTWIELPDLYSFIQREVF RASVEAMCGSYILSLNPTFIEDFWTWDANVPTLIRAYPRWLAPTAYRARDKMLGHVKR WHAFAKENSDWTKFGPNDPEWDPFWGSKLVKARQTSCLETKVMDADTIASEDLGLIFA GNTNAVPAIFWLFFHILEDQKLQERVEQIIRSDDILIPSEAEMLKLYDSPLLQSIYAE TLRLRVGIAITRTPEQEDFNLGAWRLRKGQLISLMSRTAALDKSVWSTGNPENSHALD SFWAERFLRYPDDPKSGPLREATGANTTTESEKSTSGSNEPRYTTGGLSGAWIPYGGG KRMCPGRHFAKQEILATLIVMCVLYDIELVSHDLKDLPKANLKYYPLGGLPPDRKLPV RIRRRTLGHK EPUS_08936 MFAQLSGVIFPGTLRAQQTLQLVSFYYSAIGLVVAWASWRIWTF TVRPALYPDEPKQLPYAIPFIGHVVGFMKDHNRVFDCGREYFGNTREPFSLTIMGETI YVVSSPRDIQTIYKKPKAISFDPFIQQVLANHGATPATIDKMFGSSLSASGRKNLMDQ SHDDWKFQLQPGEQLTILQTKFLNNIESYLQWDNLPPKAILSSSESQATVSLWALCGE TLLRAATRGFFGDRIFEIAPGILDAIYAFDKDNWKLNYQYPYFAAKEMYDAKKKGVEC IQAYFRTSERDKEETSWIMRTLHTNFRSLDIPEWECASLVWMIFWVSNSNAFKLCFWY IAHLVHDTTLLRAVKEETNPAFTDDVADMDYLLEKCPLLESIYEEILRSLSEAIGART TVSDSVVGDKVMKAGTKVLMPYRQLHLDADVFGSDARTFNATRFMENKQLSKSTNFRP FGGGSTICPGRFIVRREAYLFVAVLLQRYEIALDGSQNLPRVNERVPTGGIRTPRMED DLQIRLTRIR EPUS_08937 MASSHLAHGVHPVSLDVENLTITFMRPRPRLSIWAPKGTSEQQL SSHRILDDLNIRIPHCSLTAILGASGSGKTSLLNAMAGRFRGNGIESSGQILYNKSLK PCRFRMAYLVQTDGLLPSLTVRETLWYAAGLRAAYVKSSQEHMELVDSVIQELNLEGC ADTVIGDDRRGCSGGEKRRVSLGVQLLANPSVLFCDEATTGLDATNAYGLTQALKRLT SKGRTIVGTFHQPRSEIWSLFDRVVILAQGSLIYSGPMDECVGYFTRLGHPLPSLVNP AEFVIDLAAIDSRTHQLHRATNARVETLKGAWKLDRVADTSKVWEASITSNDIKILPQ EDTVSRFVREVKFQTARTFKTTLRDRMGLLGIAIEVLVISLITGWIFINLDESLSGIR SREGGLYAAPGFQGYIILVFEVFRLSDEIKLFDREREDGVVGVWSFLLSRRLAKLFLE DLPVPLIFSVIYYFMAGFRREAAQFFVFFVIMVLGQYLAVTFAMLCVCISREVATSSL LANTNYTLQTMCSGFIIQSNQIPMYVRWLKYVAYAWFTNGALYTNEFLAHTDNPYGRF YDCPYPGGAENSQCRPYTGLFIMDSLGFPTESWLWRPILILVGFTLAFNFGAAVALHL VRPGVSGLIREPLSKDESKVINTASNAASNAATKSSRPIGITLQDFNLSIYKRRTPFT KPSRIPILKNVNSTFDAGVLNVILGPSGSGKTSLLNTMAQRLPDGLMQYHVAGGRMVL GGSIASKELITSLTSYVCQDDNALLPSLTVRETLRFAALLRLPTWMSIDDKIQRSEDV LRKLGLTECADTRIGNAIIKGISGGEKRRCTIAVQILTDPKILFLDEPTSGLDAYTAT SIIEVLEELAKEGRTIVLTIHQASFKLFEHFSTILLLARGGHQIYGGNRKDILPYLSK LGYECPSATNPADYVIDTVADRCDADGEIRLQRLIAQWTNREAAQDSIPGQSAAAMTP AELGSLKRSMAPLYISLPLILKRSMINLQRDIQAVLARTTQVIAFSVIITLFFAPLKT DYNSIQSRMGLIQEVIGVYFIGMLQNLAIYPTEMMVFNRECEDLVYSPEAFLLEYTIL EIPFEISISLLFALLAGLATGFARSVQVFFVVAYNCFCIVNCGESVAIIFNTLFEHTA FAVNVTSALLSIALLLAGIVSIHLSPFLDWMNYLSPGKWAVGNLAPYHLRGLRLDCDE NQRLDNGVCPLETGEDVLRLYGLDYDPELYLLALGVCMIVYRLVAYLVLKLKKAEFLK G EPUS_03522 MPANNANAKAIVQTVFTLTPHRVTSPRRPPPAAHICRNLHNSSP QRATPLSVGVVGPPPNPPLPATPQYGERIERRRKQAEMLRQAKEFRSSQDQKGRPNPL KKRFWKDMATKSSSTPAPCAPPTRPSSPSRSRNRTSPTPSP EPUS_03523 MSQRPSHKRSKSALALSLLRGERAAKGDSGSESGSPITRTSSNS LLVPTANSGSSNPTSAVDGGTLSPISEPTESTASLETAEKVAPSGMQDHKTSIEDSVR TFRVFGILRNGDTAAISKALKEASSNKIPGTTILHLAIQCAEPHVVDYVLSNGGASDV NSRDKEGNTPLHLAAQLGRVPIVKQLLQQANVDESVVNHQGRMPIDVARTPEIFQDLQ LARSLYVDSVVRQVHDFISHNDHRKLENLLVDPRVENVLDVNALELVTDPETMASGGT LLHEAARKKDISLIQVLLMHGADPFRRDRKGKLPQDVTKDDRTRAILKKSPAAAVAQR GIQEKAILGGIPGQKSHAGTPVESGKDSREMKGYLKKWTNYTGGYKLRWFVLEDGVLS YYKHQDDAGSACRGAINMRIAKLHMDPQDKTRFEIHGKSSVKYHLKANHVVEAKRWFW SLNNAIQYTKDEARADEKRKTKDAESLRQAKAEVSDRLRPDSEALSMASARPTTLAAP SLLTVGGPAGSRVSGAASFRTESAFGDDAGSNYGSYEPSLTAGDVGRVATNAERAADD FDEEQEDDDDRSSNHVQPVNKDAFNITAQSAKFQLDLLARVSDALSAERAKNPSMPIS DPTVSQVISTYEAAVSSLDGLVVSLLKISRDRDAYWQYRLDKEADTRKMWEESMARIA QEHEELQKMVGESEEKRKRTKRALREALEGQSAAASQPASQPASRSGTLDRVQFAVAL EDVPLNRDGRASVHRKSISSREGARRKSVIAQYTNISDSESGDDEEFFDAIDAGEVEI VAAPLSPPLPQAPPSDSASDEREVKRKQIVSSFAGYEDPVRTKLKMDADNRPRISLWG ILKSMIGKDMTKMTLPVSFNEPTSLLQRIAEDMEYADLLDIAADRTDSAERMVYVAAF AASEYASTIGRVAKPFNPLLGETYEYVRPDKGYRFLIEQVSHHPPIGAAHAESANWDY FGESAVRSKFYGKTFDINHLGTWFLRLRPAHGGAPELYTWKKVTASVVGIITGNPTVD NYGPMEVKNWTTGEVCMLDFKQRGWKASSAYHVTGKVMDQGGNTKWSIGGRWNDKIYA RPTPGFEDQDISRSVPVHGHGTDAAAAGNGKPTRGRPGSPST EPUS_03524 MSTSSSQSRGIKGRISSLQNERKPSLEYSRPRQKHVKLADAYTF ALRVAYLSYLLQPRARRTRQVAVPKPQVHRSSTSFNDLMKDFSLVRDSKSTRFPNGFV PILEKRLTGVLMGKERRKEYEDALVKRTFAAFLNAFTDPAFKKRMEKDRRVEDLVLIF FSNATKELQKGKAPGDDSVKMMVDRHVALFVRLIGLVMKDQDWTRDRPELTARLSTLE SKLLAHDQDLTQDQANGALSTVEEVVPLSYEVRDMPLVRVVARIFGFPESMVQSDITK NKPFWTESAALQDLKTYQTHLNLGTGRTLNRGDFDTDQAYELWKKSESPDISQLVLAI VQSNPELAKSAPGGGLPRFNAQPNGMPSSDSSYSEASRRLSLKLEDSSSYVIDQSIDM SSLNIGTEGTDWPDDVENIYTFTPSDPRAVYRFILAQALSFDVKDTSLEASEATSTTP AIKLLSKQSTELLNEIALRWRIPHSSRLVMFLDVVRQRYIEQQIDLDTLDTAFIFVKE PPTENKRSSVVMTSVLYEREKWTVTDSVLMQQLLHALEENLLRELYEAIMMSYDAKIS PVLGKILYVLDTHIRADPSFVRVPDQEAQFRTTVADGLVNKASEVYGSYVEKEIPQEQ EAWEFYHVIQLGKSVIKLAEKIQKRFKKNPEICGVEPLAILLECLLPSYAEDARDIIG RILEGAAEKEQEIPIQDGFDLYKELSEVRRIHSNALPDVPFPFHVESLLADFVWRWIQ MSSEQINGWVENAVKQDRFTVRTEGAKAIPTEDERHSISVIDIFRSFNQILDQIFQLN WDDDLGYAKFMTALSKAIGDGLARYCDLLDQMFSREMDRLTPEQEAAAGQTRQEKWMQ LAKDTWNNKERVEPFQFYATSFVKLNDIAFAIRQWDKLEREINVDACMEVIQRHNPPA TQKQRKTTNFVFTIKIVEAEDLKACDINGLSDPYVVLTDEYQKRLSKTRVIMHSLNPR WDDTVDIVTRSPLNIIATIWDWDNFGDHDYVGRTSIKLDPAHFSDFMPREYWLDLDTQ GRLLLRVSMEGERDDIQFYFGRTFRTLKRTERDMTRKITDKLSAYINHCLSRRALRSL LSRGISISSVSSYFKGNRQSTALASSGPTEADISNAVKPLFTYFDDNFAIMNLTLTSE AMIMVMTRLWKEVLVTIESILVPPLSDKPSQQRPLTQQELDVVFKWLQLLFDFFHAVD EETGEANGVPTNVLKSPKYHEIQTLNFFYFDTTENLIRTSERMASATAARQAANRNRL SAPPSLGMSFGSGPAGLMGMPSTRRAKSIMLSRNLGTMRKAKEEKWKEAQADPNDDMI LRILRMRPEAANYLRDRSRQKERLAAAAAADLIVRQSLLAGSGGRMAGTLGRR EPUS_03525 MSDNDEVEVQNPTVGNLDVLPKEVTAEIGSVKLFNKWSYEDVEI RDISLTDYIQIRAPVYISHSAGRYAAKRFRKAQCPIIERLTNSLMMNGRNNGKKLMAI RIVAHAFEIIHIMTDQNPIQIAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVN QAIALLTIGAREAAFRNVKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR EPUS_03526 MDHVTAPGTIDKPPTSSTDPRQQAHPDAHTGTTKDITAHDTVPT SADGIEGTRPGATDGPKNPDPSSSSGQDGTGTEYHSHMKGTAAPGSHSELFGLTPEDG QVHPPPKAAPGSGPGMGVIGTSGHRGSMNTSSSAQTGLEGGESGKPSLMDKLNPMKDS DGDGKKGIGN EPUS_03527 MEFVQNEGQSLPSPAPSSSLTAATTSASSLPTPRHYPLKPGSQK EIAFINYVDSKILHINRRYAKKFSSGRDEIDEEARGYDDFENVVEDLEHVLDAIWVSG TPTLQIPYLLSLAGLIAEFLPAFPFNEGPTMHLVDKMDQAFAILLSQRKDSMLPFASD ASNQLVSVTDRVRIRSVIESTRVIAVEASTKDRASADTQDASEGFTESEYDEPTSADS NVQGRNSLNMSISRMYERSLSILGDSLG EPUS_03528 MSPALAPATCTRRHRQKYPANPQACPMHQWKSHLNCLRKNPLSA GHFDGYIIVNGSWSLERTCAVIVPDGMCKEISSSRALRQSKSTKGALNGVYSISTWLT LMWTILALLCTADIVSIARAAATDDFTVAAMPPALTARMESDGVLSKGSTTIQGLRRL ADSSEKTTPTTAVSYTFSLEVTSSAALGSRSMLQPQPDTSYILSSMSLYSSSLETASS TPPTPETYPSSRDSKTSPAAVLELDVDTSPASTVTQPAVESRFEQVTGLPVIHKTVTV TVTVSPCTNRTVFNITALYQSATFDTSVLNTHDRSSPTTGITYTSLSSSRMILSPHPF SGTLAPASSSNLTFMPPSTTTLTVTMSTDPSRFSKLHLTSLNGYSMAPVLGTTLSTQL PIAITRPSYSNLIPEAEEGPSYPDSLTSTDHESAQTNVIPVKKLAPHSPSSILVHASE NPSSSNATAPKEQALTGTTPTSTAEDVQRRSLPGLFGAPPANPAPTLNSPIQNVIVTV KNTAAATGAWLSGAMWRFFEETGNRRGLAEADLRVGARA EPUS_03529 MSGLTTASEISGIVFGGMSGVVIVGSAIVFCCKCRSYTQMLARI AEGRPHTADLEYAEEGLTRHPELQSPVRAIARGLEVANHQDAAQRVRALQANARRHAA DSGRRTNDAASQTRSGNNILPDRVRPSRDSSSPGPSRPSSDNESPAQARASRDNSPPG PSRPFPANNSLEPSRPSRDGNSASPTRPSHDTNTSSQPRPPSSKKGQGRPTKASGGDL VTGQTVLDALAQTQLVRLERAKPGGAVRQETSPKDKRRVKGVGLRAPKEENLPVVVSI IKDLLEIETRDLATGLR EPUS_03530 MSHARVEELSDSDPEIEDLSSISFPASSSSIIAPADIPQPSTSG RPLRPSQNAAQNNNSNSMPSLLRPTGPNAKSATKESIKSYSTLYPVYFDASRTRAQGR RVSSKLSVHNPLARDIAEACHFISTRMAGGQIQIAFEPDKLHPKDWANPGRVRVLMRN KETRKLVSPAVKNKSHLYILVAQYLQTHPTTKESPLKLQIQGVPAPEKLEPPAVPRGW KINEILPLHSPAMSGGGVSDNFFKDMMADMQKGGGGGVPQLPPGMAGMLGGAGGGPGG SRPASSGEGSKKKDKKKGK EPUS_03531 MATKRKAAQMGAATDEDPADPSDELVFTGLGGCNEVGRSCHIVQ YKGKTVMLDAGMYSGRDGIAALPYFDEFDLSTIDVLLISHFHLDHAAALPYVLAKTNF KGRVFMTHPTKAIYKWLIQDSVRVSNTSSTSDQRTSLYTEQDHISTLPQIETIDFYTT HTVSSIRITPYPAGHVLGAAMFLINIAGLNIFFTGDYSREQDRHLIAAEVPKNVGKID VMITESTFGISNAPPRAERETALLKSVSGILSRGGKVLMPVFALGRAQELLLILEDYW QRHPDLQKIPIYYTGNTARKCMVIYQTYINAMNDNIKRIFRERMAEAEASGNAKGVSS GPWDFRFVRSLRNLDKFEDVGGCVMLASPGMLQSGMSRVLLERWAPDQRNGVVMTGYN VEGTMARMILQEPDSIPAVMSGSAGGAGQNMGRRTRDGDDNQVMIPRRCSVEEFSFAA HVTGVENVGFVEEIGAPHVILVHGEKAQMTRLRSKLLSLNNTKSTDVKVYSPQNAEEV RIPFRKDKTAKVVGRLAQINPPKTTDPEEAQILNGVLVQNGFKLNLMAPEDLREYAGL TTTTIMCKQHITLSAAGIDLIRWALESTFGAIDELGATTETKLDTKGDQFVSNGHSSG TAGGDDYDPEHADEEIPPSPSRKFLVMGCVSVTWSGRSKELELEWEGNTMNDGIADAV MAVLLTVESSPAAVKYSSSRNGHHHHNHQVNGQKERKPRNVHAELGPEERFSRLCMIL EEQFGQGIVPIETPKLNIGATKTEKIIDSVKEEAADTDGENEDGDEDAEAEAEAEKAE AEERARLAALGIPVPGLEIKVDKHVAKLWLETLEVECANNVLRDRVRAVVERAVETVA PLWTVRGLAQR EPUS_03532 MPSRQPPPYQPPSNAFSPSYGSPSPSFLPNMSSQLSQTQFKRQT QYSGGTQSPVNSPHFASVSLPRANSPPNGAGVNGFYSAPAAPGSMGPPSRPADKATDI RTLEDPLAGTGVNLDEEERNLTSSTYYSQQQGANTSFGSQGTTFGPGSGAGSFERPGS SGYQNGNEENAEDMQRRGQAEADFSAGRWAQHPLWDAFLQGDSLGKRLDKWSYENGIK SPKDGLFYATKGQTRPQTTRVTGHDGASLIIDRGQTIISTESGDVLGDIMKLVSLATR DRITGLLDHSARLACERRDHSAGRVPTDWKAVAITPPAPSIVSQSAVNAAAPTSLKRT FSQMDEEQPASTAHQIVSVFQKVSNANRASEQARLAKRAKRGAGKPADIGAANGAATP SGAQTPVAAPAEPPKRLTKKDKKAAETKLSGAQQQKSANETARMAMGLGSSNKFKKYS WLQNGGAASPAASPGPTPNRSNAGLSSTASTLGSVRTGPSAGRGKQFGEWDENDDRAV QARDVLLVLETDGKAIKALSKGYNTPEKPEKR EPUS_03533 MSNRAQKQPDWKEYYKNGVPKEIIVIDDDSPPPPTPASQPLNQR GSKRAPPNVQATAGRKRKVDEGYDARYNDSPAFSTHPAKFDEHSSGTSVSTDRTTSLH TTAPTSLGSYGSSGASNSYEDVNVGQKRKRAPPKETRAQTKRKQQEAASDAFADYVPP PKPPIKAAEVHVPVIPAYGSKHQKIDDDDGHYIVTPGADFTDRYTIDKLLGQGTFGKV VEAWDKKKQTKCAVKIIRSVQKYRDASRIELRVLSTLSLNDKTNRNKCIHLRDSFDYR NHICIVTDLLGQSVFDFLKGNSFVPFPSTQIQSFARQLFTSVAFLHDLNLIHTDLKPE NILLVNGAYQTFTYNRNIPSSSHITSRTARQRRVLLDSEIRLIDFGSATFDDEYHSTV VSTRHYRAPEIILQLGWSFPCDIWSIGCIIVEFFTGDALFQTHDNLEHLAMMEAVCNA KIDAKMIKQVQQATRGSSSSPMKYFNRNKLDYPQQDTTRASRKYVKAMKRLYEFIPNN SPFNKQLLDLLRKIFVYDPKQRITAKQALKHPWFKETMIDDGTEALRIRDQREEDARL AEARARADAQHQADMRQQTEGRQAKRMREA EPUS_03534 MSASLPGSRELPASQYDLSTYWGRVKQSAGIADPRTLLVSSSGL ENAKRLVSSYKKGEIKTMTPEIWQAKKIVDSTLHPARLPPLPHVLLRHLEPHRHRRHA DPQHGHNRHPPLADSEPIPLSRDQQRQRQQINPTVHLADDQILSPRRLSLLLRRTRSQ RPGAAAQTPQPEYTNHTKPPRALRRRSQRRRLNALVPRLKRLSPSTRTILSRLVPFAA VASAGVLNVFLMRAEEIRQGIDVYRVQKPEQPPPPPNPSTEDPNPNPNPSKQVVVAEA QPQSLGKSRTAALHAVSQTAISRVLSGELST EPUS_03535 MNRDRSRKSRRPPKARPLTPAKTPTSDAYEFASPSSLTFESSFH DPRVTWDTANPCSSSPQAFTTPKSEVDGDTTDHKSDLTANIDAELASHIHHLSPTPYL TLPPVEPSRQLSSSPGPGSSKRTCLEASEVEETPETLQTITKHPVSSRSTSDTERVER NTKHGSTRPMADPRNRRLSAANVSRMGPPETPGRRVAASPQLFPSLQFSPDLFQAPMS GPAPAPSLPQNRLFWDPSSHPEDLSYQDPFVPPHSDLVGPFTPSPATSHGFQTTNSVS SAHQYDLPSSSQNTQILAASLSPSIDDSGYPAPFTASPRVPAPAPDDPSMFLSSPARR FGPTAQPSGTYSSGNRPELQAYHHQVQESRREEELRRTKKTMTKKPTVTRGSKNVPNR PTSPPSSSRLGMKRSSTHSGVGDNYPRHRHQSQVSFADSFSVVDDNNRHPRGGRSSPL KQSSMNAYEKLDRPQSRSRTSLSFTIDKDGRAKTVVTRVSDQPQCRMDMDEEPSGSDT DSVDAADFDIARSQNNSFAFYEQEEQHRPVDRLRREPNSHSKSSSYSSTIGSSASAPL SSRTSSTFGGARSHSKMPGDPYSTGVLNAQARRSFTSVHARAHNDINTIPDEEVEERF DAQHALRAMLNDRTRSISSHIAQLPPPPLNTFQGFHSSPPVPNNNYGIYNASPTTITD PDLATPSTDRGSHASSGSTRCICNSSSPDCHLMIQCESCSKWLHATCVGIESQQQVPL VYVCDYCRQTPMRGGRIREPSRAAAMAPASPLAGKKGKFGR EPUS_03536 MSASPNALFTNRTPTAPPEPNSPPDRRDCKNTGQACADTPSSKS KTFVSKLWAGFKQPKTVLAKHLNVNKRLRKLMSLRRKESEIAPKEPQSAQDVTNTASP PSEPNPFPPLVQETAVEILNPPGRPLATCTLTSSSLSLSSQPGASANTSRATVTRQPQ SIINVVEGQFRADVRHSTSFSEATTLQNSDVVNDSSSHRQSTGRPLTPTDSARGSIND PSNVAAPPASSQPLRMSMQGLHINTQHLNVPVSDDEDGQSTARGRNSSATSEGDFFGV GGHFDESPAQ EPUS_03537 MHLPSVLLSVLSATATLACDSCYGPTDYDIHTRHVRRQQPEALN ATSGPRGPLEWGQINFLHTTDTHGWMEGHLKEQNYGADWGDYVSFTRRMKQRAGSLGV DLLLVDSGDLHDGSGLADTTSPNGVISNRIFEEVDYDVLAIGNHELYVTDVAYLHFTQ FARRYGDRYVTSNVQIRNNATGEFEYMGVPHRYFTTQQGLRIMAFSVLYDFTGNTNLT RVIRAADLVKQPTFLNAVNTTDPVDLFLVVGHNPARANVSSSTFGLIQSTIRKMRPNT PIQLFGGHNHVRDFAVYDSSSTALGSGRYCETLGWVSMSGINSTTFRGNMRPRGVPNP SRRAVRNATVSGSAATPSATNSSSSFSSSLVYSRRYLDWNRLTFAYHAVGSQDSTFDY GSGRRVTNDITAARRELNLTALYGCAPQTYCQSCRPFGTNGSVYSLISIALAATVVNE SRADIPRLIIINTGSIRFDLVQGPFTYDDSFIVSPFVNRFQFLPNVPYSIARQVLDVL NAGPYQKRKRDPTSSNLGFLKSFNGDDGCADPTLYGASSKLPSHHEHNLRSRSITRRQ APPPLDELPPGYVTTDDFGSDGDDTPHSPIPRYPIPNDVQANASFPTDGSDPESVDLI FLDFIATRFVLPAVNSVGGNYTASDIQLYMPADFTTQDYLPEYARRFWSQGPSCPVGA GVS EPUS_03538 MSSPTQKPRPTLIKPPPPLPDASPIETLLQLHALTDISPTVYTN AYPLWHPPGARGIFGGAAIAQSLSAAQATIPPHFIVHSMHCYFVLAGDSEIPVIYEVE RVRDGKSFVTRTVQARQRGRCIFTTTISFMREGSGGELKLEHQSGMPTDVEMPPEGRG NARQGTGNASIGGDDGSTPFESVRCRVSRARDGRPEEKRTRQWIRARGRISDGPVGIE AGGDTLDQGQGVVRASKGDNHQAHLSALAYMSDSYFIGTIARVHNLIRSSTLKNFHGS DKEREELQAHMEKIASEDDDENAAFDANRDREGTSQKQIGMMVSLDHSIYFHNPRKFR ADEWMFSEMNSPWAGEGRGLVLQRIWSKDGFLIATCTQEGLARLKQEKESKL EPUS_03539 MASLIFAASYLTYNKIKSKREEKKEKKRKAYADRYHELEKEHTF SAGKQLQRQRTGDNSREGTLPNSSIQPEELRLRRSSESVPSDEEKTDGPTAWVNDVVR RRSGEVVQDSPRAGHEDAKRQGLI EPUS_03540 MLGLSSRLTLLKGKRIFIKDIIHYLVPPGGKPASIAPSLQRIKR GVGTTGETSPTTNGRKEPNGQALWPWPTEAEPGNPTVVPTEMLARFHFTFLIRDPHSS IPSYYRCTVPPLDKVTGFHEFYPSEAGYDEVRRVFDYLRKSGLIRPSGGTTDDSIKHE LNGLSTAAGKYHVNVSETDGVEICVVDADDLLDDPASIIQAYCKSVGIQYEPGMLWWG SEEHQAYAKEAFEKWKGFHEDAIDSQELKPRTEERKVKSEPEWDAEWKDKYGAKAAKT IRETVDKNMDDFLYMKQYALKA EPUS_03541 MFGSSKDSLSTASNVGTPLHPFYPLGVDIVGYLANKWSVATLLG IFLGGLLVILGLTWASVSWFSPRLRKPDKLVFLWFILSGTIHFFFEGYFALNHTRMGA AQDLFGQLWKEYALSDSRYLTSDPFVLCMESITALCWGPLSFCTAYMITVNHPLRYPL QALVSLGQIYGDILYYATSMFDHYHKNLTYCRPEAYYFWFYFFFMNFIWIVIPGVKDR IRQWQEQGAKVVSTPVTDDVLDVGDENAGAGAPCSASPGAREVTRGADTTPRRKTGRW VDPDNKEWIREVRRSSSTPRKRVISDEHWKKKKQQQKEKPSPRSGGSTPRQETRQEKR SSEVLKHSSSRIEREERRQRRKHPRSSTESGTVRDAVAETKLDNTSTSPRPHLGNEES AFQSDPDSGVDDSLPRRLQDRRPSTHPTKERRKSLRSPSRTEEKPVQRSQYAAMLDKP PTTAQQFLGVPTGSIRSGKDGIGNDDKEMLARTEPVQPTSQRLPSIEAWLEEQPDPFI EGDPGPVLIPAPLKTRSSKPKIEVSPKAVQDPNKIWNYVESASHKPDRVTSLGRRRRK KSRRSPDAAGPRSPDSTDAVRVSEETLRVSSRSQDKDQDPKEAVSNGLKRRGARALRS RTGSSPVKQTTPDYEPGQESVSTTIIEAEVAPSRQNPSRQIQPRHTRPCPPTGMHRLS TIASVETFRSQAEAEDGANMQPRDSNGLQRRLTTHEDLMSVLSLPRAGGSIKSARSVR TAKSRMSMATLGEVFEELEVDEAKYMRELKTLVDGVIPVLLQCVLSKSDSAAAAGLFS SSGSARDDLNFTKPIVDMGVALERLKTLHKRIPTSNLNSLFSWAQSAHKVYIEYLKAW RLGFQDVIVNLAPPNEDTNAEIDEGMARDENGDVVNSDGKKVDVAYLLKRPLVRIKKL AKVFARLKVLKPSSKSSTVAEQYDDLIKTARMRSHEEQSRLEDEAAANVDATKARDVR TLAVLSGIKINKSRRVKARDCFSLTFYHSSGQRLDCQIELFLREDQPGVTEGGDLLIC EVENNGIWLLFPPLESGSISARHGDNHGEIVIMVRGLASYGQELHELLLLHTSDIETA TEWVNMLATQPVPPKLNRTSSFTNGRNKDILPLSQARTIDEKRLPLIPQYATAKEVEI PIGESVVGSDEDIRPRTAPSVYQDDQVFQIQSENVPYKHSLLQTPLNKEAKALPMIPN LACEDEQVISPHAPSSDTSSPGLRRAKVARKRPTRLGETVSSTPLVPSSPILPSQLAS STGARKISRTDDASREWMTLPYAQRSASPDREENVTPDISQCGPDAKSNPQRPEYHRA ISSTPSKELPTVHRLRPSSPASIPLTQSIQEKWSAISSAEKKSKQEKSQPKASILENK IDSRPSDPGMCSANDIPTPPPHRLQSQAPVVPQFTEAGTIPHSYNSAPRPGSAKAVPP LTSQQPSSHKGRHDDRRSSSPLKHEYAPSTTSGSDESDDESFTSSGSETSTDGILERG DRPTPLVPIQAAEFRRPVRVPPPASLPSLPAGSLAPSNSASQAPYRTVPRVSLQSNAT TFKTVATVSSWSNKGFWENLHPDECSVVVSPGLIEAYEMSAAHSGGETKNDGGTIADG SSCEETDDQGIRPLVAFELTPLIPLRRGTALDISIRSESTTRSKVKTSTNVMFRSRNA EECEALYAMINHARINNPTWEALKRARSREMPDATFNTGPGSARHSRFGSRSGSWFGL GGLGRRSSYRASSAPVSRPPSIGGDSETSVGSMSSAFSALRRFSGGATGGKGMFNLNR SSVIRKNRRFGTSASASLYSSSSGTTGTGGSGSGANSPAASQLGLVSPTADQTTAAAA ATAPIGTINNLKIRLYLRESGAKWRHMGAARLSVLPAPIINNSIADGSAAQTDNDSSP PGTTAGSRPPSTLIVGQPGRSRGPRLPSSNHTPHRVHGNGNEKRIVITGKTKGELLLD ATLHESCFERVARTGIAVSVWEEHEEVAKSGGVVGGKGRTYMIQMMGEAEAAWLFGMV GRLRY EPUS_03542 MLSFGKIILAVTAVLATLVSASPEAQDDALAPINAGVQAIETEV PETQVYQGTDPGPVPVPKTTASPTPSPRFTAPVNIVEDI EPUS_03543 MAHRHNRRRTRRPRTQQVSPTHKASSSHHSLDQFIISQPGIIST ACAPPSSITVPNPLTVDPTIRHRSSIPAKLCPNRYTAWLEQDRIRKEQAAKFEAQQIQ LFGGEPGDDVGLCFRMLEYFGGLDYIS EPUS_03544 MASDDSGAVQVVWERAVCIFNTSLTRDPRKRIHTSNSSTGLKAC SLSDLHRSTKLAHERCYDKRIPGATNFKKVLHAVNKYALVVDVLIQHQPQVTAVVWGL IRFLIQVSVAELELGALLAEALQAIFTSIGRFEVEARLFFDEPRVLSSIAALFSQLIN YLVRANFHFAKRNPVRSVRAAFSPKLSQIWVSIERDTLDLDREIRTALGTRVVRSTQI SEAEFLEQKTFREECGRALRRIETFNPEEEFTELKRMLLDLSSCLQSRGRAQDYQEGI SAAVRWLEQGTPRVDAIPPREPGTCSGKSVLSSFLAERASISSDIVLTYSFHGSLDQR SAQVAPFIVSLLLQLCRNDAVVSNPRFQYTLQRITSLVRRSNHSLDCSLMVLHSILED VFEWLPVFVLIVDGLDDCAEQDDSFEPSKYIHKLGTSLNSQVIVLSRASLDLEAVFTN VARISMDCAEIEHDIERYLQQRIDRTPRLHMLKAEILAKFFKDGGRMFLWAKLMLDGL QQCLGTIRVLRERLLRTPVRLFDLYEQQMELNGSKLCSEAKIKRDELLHLLMGLREPL PVQDISAALALDTRTNLNDVQDELIQPATEIERLCRPLVTVIGDVAQFVHMSVKKFLL ERKMMWGDSDLFLARKSLSKLSQAQYADWRYAASLLRKNLLVGSVIINSLERSSEESV FYNYACLHWHTHVTALSDPPEDILEKLKSFLTGTEFVTWAEVLFQLKRGAGLGPLIQV RVVLSTWYQRFPRLIEHCVPLDRFFVVPYERLSNELNEVSEDKILPYLPLERLGGYFN VGGQSGADWQKAYDYKRAVADGFEDLLGPRNPLTLRARTSMLQEFFWQKRFPQAERGL CEVATIQQEVSGKEVVDYWITLQLLGLAQFSLTNFEDARRTLEETEEGIGKLVGSSDI LFLMTRLYKGYLLERQAELEQASQAYEDIRKRWSPVMDTSNPFSLMLQTAMGSVARKR KQFDKAQELLLEAWAARQHVFTNKINLYVDSAIQLALTYREAGCQKDANEVLDDIEGS EVFDTDFERYCQFIHLRALIELDAGLYERARLALETLLNTERDQNNRELLWVRLDLAD ILREHGQHDEALMVFAGLIEPIPPHEEPRQDSSTEGQDPSTPSSLGDEPEPIERLRTA EEALRLVRAAQPQAAKRLLVHHGLRWVRLEDFWILQGGPITDTA EPUS_03545 MCPSGCGPLYKPGKDLRDTTCPCGFGYFDRDGFFVAGDRVRGGQ AVSREAYENHPPSCPVHADPRNCEADAPASFGSAEQGHGFRDYFMPETGVDLEVLRIY VSRDGGFASARPTTFHGKSGYLIHAKQEPTVEFIANIKADSAHILKLRRKYGEKMPHW APAETRLEGRQSSGQSAISPKGRQISSERRESTPATQSTPFVPQYQTPYAWSGQPPPL QTGYYPSPGMPYPASPLQYPYPAPPSQYANSPEPRSLPEQQTPLADRFGRISISQASD IDPYSSSTRSPLEQSSRSIPTAIDQQTRATQTREPLSQSVSGNEHQEIRTATEEQPAQ RESVYQPAVESRRPLTSSTSYSSSSPPRNVPRTQDMRTEQTRDERPRQAQRPARTYTE PKSQRTTVTSSTRRTHTNARGPPSSRSARREQAPDNQSPPDEDGEEPPRRRPDRDPQR EPUS_03546 MGSYASAPPMHPGTTLEGRVALITGAGRGLGAGLALELASRGAS VVLNYARSAKAAQGVVAEIESQGGSAVAIQADISKVSEVTKLFDAAIAHFGRLDIVIN NAGMESFANEEDVTEEMFDEVFGLNTRAQFFVAQHGLKHLSRMLLASSTIITPPIFLK RPSSPPPTNQNPGGGRIIFMSSVAATMSGVANHALYAGSKAAVEGFTRSFSADAGPKG ITVNAIAPGGIKTDMFSANAWHYSPGADPSTSMEQIEKGIASLCPLGRCAVPADVARV VAFLGSADSEWINGESFPALFS EPUS_03547 MATRRANAARSRPESFQSSARFHLSEFSQSDANRTMPGNDIDLD VFNLMNRGCSFGMSQFSGDASRRSHPNLNYTGQYVSGVPANEPEPELLRNGFSDSPPD VSASITPIEQDYPRLDELLHLPEHWDQAAYDHLLSMGGESDLSTAFDMANILDFMGPQ QMANAFMEAPPKILPHPYRSAQPQQTSPRSEPSSICPEGSMDLDSSAESVSGSGVSDG LLASQESWPFFSCNRVPKSGCFPPATAAIYVEGLIQVLTAHDWPVSHDPQHRNAAMTA NELLQQEEMLDPLVGHSNDSLNAAARAILHKACTTHRFEQGSVDRVANLLNGKGDQVA IQLPPPDAIARFVESYISHHKAYYPCAADLTRSTVPMLQSNVQASRLLMLLTIAQGAS FISIPSARYLASGLIEACRLFLFESIEKDILLSRDPTVLHSALLFTTAAAWSGDNWHM DIAMGQRGMYIAMMSHARMFNAQERHPTADEVHIHPTTAWEEWRTAEEKRRIAYSWVV VDQELSLFSDTTPLLNVVDLQVPMPGPEHLWAARSAEEWSSRRRELSDNKSLEGLSLR DLFTTFVEGDLSGTDTRLSPLQLRLLLHPLQTLVCQLRQFLSCLPESGRQSLGTSISK VAIKARLEEISTLLRQWYGFTERHIRREGRTCWTTRANLIMYHLISLNTRTSLDSIER FARGEVQCASTRQASQWLQTHCVDDAEQINFHCGQILRLVKSIPDNMRPPWWSGAVYR AALIAWATSMASIGARLSIDGIPEMDKPFAIDALDPEDVSITQYLRYKEGVPMLSRSD GTLVGMHVPNHVLQHCISILDGDLSMRATEGIMRKLQSLLDRRKHSPFEAKC EPUS_03548 MEVYIFGDQTADCRSFLAKAVGRKENVLLNVFLEKAAFAIRDEI SRRAYIQSDIPNFSTVQELAERYYKSESPDTAIESSLVCLAQLIHFIGCFEEQPRTYI PSSFSRPDAKIVGLCTGLIAASAVASADSLTALVPLAVEAVRVAFRTGAHVGRVAQQV ECEPGRKSWSTIVAADVKAVEAALSEFHEENGICTSNRLWISAASATAVTVSGPPSIK QRLLESSDFFQKHTKVNATVYAPYHASHLHSRADIERIIRPQTRVIFSAARVLFPVCS SVSGEPIETENPIELLEACLSEILLEPIHWDLVLKHCGSTTASDVKVHAIGPTNLSSS MVSALKASNAHVTLEDQSAWLSTSTTGTKRKGDADIAIIGMAGRFPDAADHELFWQLL EQARDVHRPVPADRYPVDSHTDPTGKTRNTSHTPYGNFIENPGLFDARFFNMSPREAA QTDPMQRLMLVTAYEAMEMGGMVIGRTPSTKRDRIGTFYGQTSDDWREINAAQDVDTY FISGGVRAFGPGRLNYFFKFSGPSFSVDTACSSSFAALNVACNSLRAGECDTAFSGGA NVLTNPDIFAGLSRGHFLSKTGSCKTFDNSADGYCRGDGVATIILKRMDDAIADRDPI LGVIKGFGTNHSADAVSITHPCAKDQAFLFSKVLAEAHVDAHDVNYVEMHGTGTQAGD GIEMESVTSVFAPRHRRRRPDQPLYLGAVKSNIGHGEAVSGVTALIKVLQMLRKSAIP PHTGIKTELNKTFPTDLKERNVNIALKLTPLIRPPGGKRTIFINNFSAAGGNTAILLT DGPEVPAPSADPRSQHIVTVSAKSLAAYKKTIQKLLNFVEANPSVHLPSLSYTTTARR LHFTYRAAFSVADTTQLISSLKTLQNGTHNPISMNAPQVAFAYTGQGSQYTAMGKTLY ETSKQFRVDLEEFNEIAIRLGLPTFLPLIDGSIDVKELPPTTVQLGMTCVQMALTRLW SAWGVKPSVVIGHSLGEYAALQAAGVLSVSDTIYLVGRRAALLEEKCTVGTHAMLAIR APVAALHDVVVSSRGKIEIACINGISDTVLSGTVTDIDNVAETLTAAGQKSTKLRLPF AFHSSQVEAILPAFEKLASAVTFHAPNIPVISPLISDVVTEPGTFDAAYLGRHCRKTV DFVGGLSAAMSQQFINNGSIWLEVGAHPICASMIKATLGAATLPSLRRDEDPWKIISN SVVGLYAAGIPIEFNAYHSGFDSLTVLDLPTYGFDDKVYWLQYEGDWTLTKNHAPTPV AQKAITEAPKPKAYTTSVQTILSEKVEGNKAEVVAESDLAEPQLFQVVSGHLINGAGL CPSSLYADMALTIADYAYKLLKPGSKVDMNVGSMECPTPLRLKNITNPESQVVQIETN VDLTLGKAEFKISSNGGKILHGRCQVMFEDAATWTAQWQRTAFLLQDRLRMLKSKMEE DEADKVGRRMAYKLFANTVDYSDKFQGMNDVIFDGPEREATSHIKFRAGPQDGTFMQS PFFIDSVAHLSGFIVNAAAESKNNVYISHGWESMRFAEAFDHTKEYNAYVKMQPAGGK ILAGDVYIFNAEKKIIGVVGGLKFQCIPRSLLNTFLPPPDGSAPARARPQPKAPVKKP TAIEIPKMTKKASKPAKNSKPTKAVASGVLGKAFDIIIAELNVEASELADAIQWADLG VDSLMALTISGRFREELDIELSSTLFTDFTSVGELRAHFSSTLGGESVPSSSSSTADE SDYSDSDDANESGITTPDSADFDLKELKSSNAPAPAPAVAGTESEDDDLIATIRSTIA DEMDIDIEEITETTDLSTLGMDSLMSLQVLGSLREKADIELESTILADNPSLGHLRKA LGLHKPAVAPPLASKSEVQQPALVRADLSEVTVTKKMPPATSVLLQGNVKTATRNLFL FPDGSGSATSYAGIPKVDSSNLAIFGLNCPFMKDPTSYTCGIEGVSKLFLEEVLRRQP TGPYTLGGWSAGGVVAYEVTRQLDDMNKANPAGKYYVDQLILIDSPCPVALEPLPARL HHFFDEIGLLSTGTGKAPGWLLPHFEYSIKALTAYKPEARSKNGFKSPPVFFIWATEG VCGKPGDPRPPQQDDDPKSMKFLLDNRTDFGPNGWEKLLDVEGGKARIVKIEGNHFTM MRQPVVSSILSRV EPUS_03549 MPPNKLRALPTEHVHQLAHLLQTQPHERGLQYGERVTNTIARLP SELKHRSRLSNLLSMNVPSPAVESRLCLLHKPLSTSLIHDIFTLLSLEVGHHCNSMTQ HNTLLSTHQNAAIQQLRELHSLWLPEQTYRQTFLASPNPRWSHQKSGCEGCILTRIGS DLQIIASLRALLLSRRQTVKKRKSPPTLLKFVDGWVVGLCGKGERAREIMQGSEMEGE ELKIVRKRIWSEKRDKKTRTKGKGVDVESVEGIEGAKENGKGEDELGQEAYDSDFEQE IINHYAALRSTLRAPALQPSPAQTPSLTTDNSANTLSSRIDIETPPSDCLTRPQPSSV YSIRQSCQTSSYEPPRRGKAWQGQPTASKQANEYRDLLSSPAGEPILPRSKSVKEDKS RQAEDHRSPLNVHPEVTLPRSKSVREDKSRPVKEYRTPLEPTPKAPLPIPKWVKNEST QWETRDYHTAMTPPPIPKEPVPANKSAKKAEGKRQTTWSQFSSSPEYDLKFAARRARK ASAQSSRLRVRLAIAKIRRKAREIEFTALNKNLLELTRWRRKRRAARAQAIKKGWLPF LSRSARFGKP EPUS_03550 MYHLAKSLYLHYTSKEEYSILLLGLDNAGKTTLLNQVKALYKTA DFSSSTSSATNEPSPTAGNTVPTVGQNVATIDLPDMYLKFWDIGGQMTLRRLWQSYYK SAHAVVFVVDSTDIGPDSDVARLPGFIDHGRRKSSIGVSADSVPQTPMTSHTPTAPGF PGFGLGGANANFGRLDECRQVLETVLQHEDMVGIPILVLANKQDRDDCVEVVRIKEGF IRPIFEGEKGGMVRDSRVLPVSALRGSGVKEAVEWVRSRVVWNKEGRAPVMR EPUS_03551 MLLERNPDSEKSGYTANSYLAVLHKQMPRLYEPGRKFMQDNARI YIAKKIINWLQEEGIELMEWPAYSPDLNPIEHLWAQLKQWINDHHPELINIGKSEEDY QRLFRAIYKGWDAIGEEAVANLIKSMDSRVNAVIAAKGWLSARRAAQAPPPKINVSFG TRISRSGHVKPSTHHPVSVVFIAFATPRSDVQLLRHCLGVEVVVRHVMIGISETTATY QTDVYGSARAHSYLEDAISVPLLPFECRYSSLGDLTSSEGEACRRVTLYEPKVAE EPUS_03552 MPPKRKGRKFGPKSEAAPHVTNPVVQKTSKRSTKASTRRRSTRA GLRSEASTDYTQPLDNPDSVFRRRRKFQKAQEQEQLPDQPKNQSGDSVGGIVQSVETT LLEVNRRLEYEIAYEHSDEVPSPGQPETDPEQLSYRKWGNLPPFDEEVLAVIEAIIAD PGMANTFERTGPQHDKFPEGLEHRNVFLEASTISDPYKEHVHQLESKEFVSETAEERA SSEQLWRSDQAKCIDGSNEALFQRTVMMTMIARHRLVHDHDRDTDIRNCLDYSVEESW TCPPMPTRAYRRGMPFLTQPKPDLAWREMPTATRRLACFEKDGMVGRSRVFHFFTIEA KKASTSTGNMVGQLQSLNNASQALHNMFEFFRDAGEYHEKAFFDKVRFFSAVASTEGL IIRIHRATREPSDGSGIGLIMKDRPEYPLRFEYQEFARIQGNEFERITVLELPEKILL RYGADELHPLLKGAAAAIMERLGKHPEELALRDDVGQPHQYKTCYFDGRKSRASMGLP SRPVSEANVSVDMLQNGATTPTQATHSTQGQPLVPAQPSNGTGKRSREVLWVVRLLGA LAREYNEVTPADICGTATILHVTNKANRNEYTVRCTRTTVAMQPHSISLQRTRMVIVY NDAGTGSQRQHATFFFFSLLVGWRGPFNTSGNGDEFASSVFSRKDG EPUS_03553 MLSESSPQAQSLPPPSGYMIKILPPPGPSISTLYHSRSPATPQI FSDAMTIRLQVFCTEQGCSAANELDEDDQRSWHWVTYDTFSDKPIACTRLVPPPHAPH PNGCENPEEKPYVKLTRLAVLPEARGRGLAKVLCEEAVGWAARNQEEIGGGWDGLVLV HAQVGVEKVWTRLGFKTDERLGRWDEEGIAHLGMWRELDLTAQKIG EPUS_03554 MSSLPIPSAWSERGYVPPEQVEVKDDEDEKGEAAYMRCFHESSK IGRVPNASYFVNSPTARAYVEKRDKELFPVREASGRPIPNPDMPSWLNKKEPFKNHVP PVSESAITSSNAQPLSPSKTMTIPSSEDVPQPSQQIAPSVPNPIDQPHIPWSIEPRTG ASGPPRMIR EPUS_03555 MALNHPTQTYTHPNNQLPLFGAHQISPADSATNSPNNASPTSPR SHTPLQYHVPGQVRQLRPMKSPLYVPAALRPTERPNKNPPTTPPKSLHGSLESLDDGF ADDTRSAPLDLVVANDWFADEELGEVTGEPTREHWKADQQSPSCDSPQCRSNFNLFTR RHHCRHCGHIFCSDHSAFIIPLNQEARFHPDGIPSRACDTCHRHYQKWDTARSVRRRN SADGTSQDDGSSRMDFPTAGKSGLVSQGRSAGGGGGNSSEQTVGSVPKDWAWSTF EPUS_03556 MARSLPISTGTVIIGNGPAALILSYILHGNIPHYIPSPPHPDTL LHKKLVESSDLLHLDIRRLTQHFEASPFSYSTQALPVNVLLDTLIRPNGDTCDLEEAT NIVWEYQPEQAAPHIVIGNTTSPGGQWVDNPVQASWDIGTLSYAGMLSLPGYPFAEHY RRTTGKELPPYTRPSRRQVTDYFRHYPHQVRIDDAVYCGETVSGITRTADGFHIKSHD ISCKHLVLASGVFSELIPAPPLLQPLLRLPGPSAQPSSPDIPLLVVGSGFSAADVISS SPPGQKIIHIYRWAPSTSPSPLRACHQQAYPEYAGLYRRMKQAAKITSNPLGLESSRP KVQRASTSAFDSSRDWSSKYEGFPNTTITDVKVEGETALITLQAADGGPTLQHRISRL EYVVGRRGSLRYLSRELRREVFGSNSNSSRDSADPEPAEQMLSGQTLRDKVLEDIEVA PDVFAIGSLTGDSLIRFAHGSCTYAAGKIMAPSRRHGKEENVEQPQMANGKEENIEQP QMANGVGKIDHEDAGSRLSRVVSGAKNATAVPATKIMSGLDGHHRPCINANNLGSLGE SPR EPUS_03557 MEQPTASMLAALETPLASLPADEVFTPEQWEILIGILDTFVPSI TNSQSEKESKLCVGGAEYAEATLSIQDLIPSSADPFLIPIYLSESASSIQAFRPSLQR LFCRSVPAEQLKGLRFILSSLSTRAGSLLLTGSTTLFHLQPPTAREQILISWSKSYLP ILRSLFRSFAFIAKTTWISLSPTLPEVIGFPAVPRHGKRGEDYSYEFLDFSSPDSPSS IETDVIIVGSGCGAGVCAKNLAEAGISVLVAEKGYYFPSTHYPMKSNDANANLMEKTA VLAGSCFGGGGTVNWSASLQPQHYVRQEWADQGLPFFTSGEFQKCLDKVCGEMGVATK GIQHNFANRSLLEGSRKLGYHAKEVPQNTGGKEHYCGYCTYGCAGATKNGPAVCFFPA AAKAGAKFIQGFDVRQVHFHESDGKKIAVGVNGLWTQKGGEKKLKITVKAKKVVICAG TLNSPLLLMRSGLKNPNIGRNLHLHPATIVCARFEETVNPWEGMNTNLLTLFSPPSFP LSLSMKKISDLTKTRLFSFPGSILTSACTSFEDLDSAGHGCKIECQTAVPTYILPFYP WQQPPSSSSNISPALAFKTRCASFHHSIAYVVFARDRDTGSVYPDPTDGRIRIKYTTS RFDTNNLVEGVIAAAKIAFVMGAEEVSAMHPDLPIFVRTPQQNRPTASENGIAATPAA AAAAGESIDEGINNPAFQDWLALIRRTGISTPDPCLVGSAHQMGTCRMSADAKNGVVD PRGKVWGVDEGLYVADASVFPSASGVNPMVTNMGIAEWISRGIIREGKKGSGQGVGER EPUS_03558 MDFASLMSAQISKTKPSASSDSKTKYLKRSQLEAEREAAYAADQ ARIKAERQERAAKKRKLEEEEAEKARVREEKKRRLAEESKARREEEEARQERLRRKRL GLPELPDKDQTEKEGTPLAEDEVDIPEADLLLKLRALNEPAILFGESHKSRLRRYRNL TSRALTPTFSNGPVPTTLLPVPEADMLIPTEIPPAKTPERTLLFRQLSSYFTLLLTEW SRDLSHRDLATKESHQGRLASNNLTQTITNLTPLFRKFESQDLPDSVLAPICAIVRDA QQRRYVAANDGYLRLSIGKAAWPIGVTMVGIHERSAREKLHESDKQAHIMSDEVTRKY LQAIKRCLSYAQTRWPPEDVGQLMG EPUS_03559 MPPFAFSNSTPPTYTPQRLDQSVQFLRPRHQVHHHSSYHTNPYP HHHYSTTIAQLPPSPQALGIFNNYIAHQSESLVLKERVLSLSGDSFSIKTLDGREILQ VKGEAFSLSGRKMVMDMQGNHIFTIRKEHFSFPKAYYAEDAQGKRFFELEGKFSLGSS KSVARFINSFTNQQEELMMKGNFFDTHADITNVKTGQPVARIDRKMLNAKELFTGQQT YVVTVAQGVDMALIAAMCICLDEKKNDNKY EPUS_03560 MADKLYIDETPNEVKNAKGLHLITQSTPNGQKVQILLEELALVY GTEWTTTVINIGTNEQKKEWFLRLNPNGRIPVIIDNTQSPPFPVMETSAELLYLLKFA DKDDTFGFKDELERNQCLQWLFFWHGSGAPYLGQFNHFLQYAPEKIEYATNRYRNETL RVFGVLEIHLSGKYTGEPREYLAGNGKGKYSVADIGTWPWVKGWERIGFTKDDMSQFP HLLKWVDRIAERPAVKTGCGEKYNLK EPUS_03561 MFSSLNPVPSFPRYRGPLSVGTSEYEILISDISSTSKVPDPTIS TIKFRTFYPTQSTEDEDFSARWLPEPQKEWVQAYCDFLNAPPKIASFFSHIPIPLRYT TIPANRDASFLSKGPSARWPVLIFSHGLGGSCNAYSHLLGSLASCGVVCIAPEHRDQS APVSLIRQVDGSTKVVRYKKMSHDPSPEVLSQRNEQLRVRLWELELLYTALSSLNNGE NLKNLADTSAPSMSGKLDLGPSKVAWAGHSFGAATVVQFVKSVFWHQTVPETASERRS RSMFQSLYTPAENSPLKEQITPQSPVVLLDLWTMPLRGDETLWLWEKPLPCYTGDEQP KNNVLAIMSEQFYNWTSLLERMKAVLSKNPAEQERSRGSSGTKSHGPRLFYSPNTAHL SQSDFGVLFPWATKKWLRAEEPERTLLLNTRAILQLLRENQISVEGIKMEENAEGDEL TLNDELILAEHGNIQGWVPVPIG EPUS_03562 MARPRRTSISSETSIPEGTQVGCFADLPNSATTLMLTWSARQEL GSMYDYLAKVILLGPSGAGKSCLLHRFLKSEWRTYSSQTIGVEFASKIIKVGPSTRRK RIKLQLWDTAGTERFRSVSRSYYRGAAGAILVYDVASSASFEALPTFLMDARALASPN LTVLLAGNKQDLATITTTTTTTTMDGSIRANANGHAIQPETPSSVSSKQSFFPLDAGG GAGSLRSPSGTSVPSTMGSKQTATTAPFGREVSSDTASRWASKSNIPVSVEVSALSGE NVDELFSRLARMILTKIELGEIDPDDPQSGIQYGDVGIWNGGDMGSIKSAEDDTTRRR GAKKTRRRSGTNTWIGGMREWEDVFRISGASSRRRGACC EPUS_03563 MGSTTTETSTEKAEPVPDSSVSLPNSSSKNKEEDAQADTCSCST RAQNLSFVLEGVNKVRFEDRPIPEIKNPHDVLVQVKYTGICGSDVHYWSHGSIGPYAL TSPMVLGHESSGTIIKIGPSVRTLEPGDSVAMEPGVPCRHCIRCKEGKYNLCFDMAFA ATPPYDGTLAKYYVLPEDFCYKLPLGMSMEEGALIEPLAVAVHVTKQASLRHGDSVVV FGAGPVGLLCCAVARQFGASKIIAVDIQKPRLEFARQFAATATYESQRVSAQENAANL IRENDLGVGADVAIDASGAEPSVQAGIHVLRTGGTYVQGGMGKDDITFPIMAACTKEL TLKGSFRYSSGDYKLAVQLVSEGKVDAKRLISRKVRFEEAEQAFVDVKAGRGIKVLIG GSGD EPUS_03564 MPQKLTIAVSQSHTLSSLAETLSALESTTQKAAHSGVDVLLFPE AYLGGYPRSCSFGSVVGSRQDVGREQYLRYFKEAVDLGDTPAGAGDDWVARKLPAGKG TDGGRQFRGDGTREHLEQIASKTGVFLAVGVVERAGGSLYCAAVYVEPRRGIIGKRRK VMPTGSERLVWAQGSPATLKAVTTEIKGVKLTIAAAICWENFMPLLRHSLYSQNVNLY LAPTADARDTWLALVRTIACEGRTFVLSANQCITWDDLPEWVDDRQEHKDSQDNQSMV NGTADTTITKELPAEKWACRGGSCIVGPMGQVLQGPLWETNDGSILSADVDFEDCDRG RLDFDAAGSYSRNDSFRLQVEGLDLSPPP EPUS_03565 MATTSSMFMYSLTIQPPTAITQAILGQFAGTKEQQIVTASGSKL TIHRPEPSQGRITPIYSQDVFGIIRTLAAFRLAGSSKDYIIIGSDSGRITIIEYVHAQ NRFNRIHLETFGKSGIRRVIPGQYLAVDPKGRACLISSVEKNKLVYVLNRNAQAELTI SSPLEAHKPQTLVFALVALDAGYENPVFATLEVDYTDADQDPSGQAFEELEKLLVYYE LDLGLNHVVRKWAEPVDRSATMLFQVPGGSDGPSGVLVCSDDNVTYRHSNQEAFRVPI PRRRGILENPDRKRRIVAGAMHRTRGAFFFLLQSEDGDLFKVTIDMVEDENGQATGEV QRLKIKYFDTVPVATSLFILKSGYLFVGSENGNHQLYQFEKLGDDDDETEFDSDNFPA DPTEAYSPAFFHPRPVENIRLSQNIDSMNPLLECKIANLTDEDAPQIYAICGAGPRSS FRTLKHGLPVSEIVESELPSRPSAVWTTKLTQNDRFDAYIILSFENATLVLSIGETVE EVTDTGFLSSAPTLAVQQLGEDALLQVHPRGIRHIRADGKVNEWPAPQHRSIVAATTN SSQVAIALSSGEIVYFETDTDGSLNEYDEKREMTGTVTCLSLGDVPAGRVRSSFLAVG CDDSTVRILSLDPDSTLENKSVQALTSPPSALSIMAMADSTSGGSTLYLHIGLYSGVY LRTVLDEITGELTDTRTRFLGLKPAKLFRVSIKGQAAVLALSSRPWLGYSDVQTNGFM LTPLNYVGLEWGWMFSSEQCLEGMVGIEGQNLRIFTIEDLTNNLLQESIALQNTPRHF VKHPDQPLFYVIEADNNVLSSSTKNKLINDSTAVNGNAIVLPPEDFGYPRGTGHWASA ISIIDPITAKSVISRLELDDNEAAVSVTIAPFASQDEESFLIVGTAKDLTVNPRTYTA GFIHVYRLHEEGKELEFIHKTKVEQPPQALLAFQGRLLVGVGPDLRIYDLGMRQMLRK CQTTATPHMIVGLQTQGSRIIVSDVQESVTYVVYKVQENRLIPFADDMIARWTTCTTM VDYESVAGGDKFGNLWLVRCPPKASEEADEDGSGAHLLHERQYLAGAPTRLSLMCHFF PQDIPTSVQKTSLVAGGRDVIFWSGFQGTLGMLVPFVSREDVDFFQTLEMQLASQNPP LAGRDHLIYRSYYVPVKGVIDGDLCETFFLLANDKKQMIAGELDRSVREVERKISDMR TRVAY EPUS_03566 MTQQPAASTAEDTQPDSVTINIKSTNAKHTLSVPLSITTLELKN KLSTPEYAGVPASSQRLIYSGRVLKDGDTLESYKVKDGNTVHLVKSAPSNQRQNPESQ SSRTATTDSTTGSNVPRPTGVPSNIAAGTGNNPLAGLTGARYAGFAQLPGAGLFGPDG GMGPPPSQEQMIEMLSNPAFSQMMNEALQNPAMIDMMIQQNPQLREMGPAGRQFLQSE QFRRMVTDPQSLRAMGQLQAAMGMRPFGGADGGGQEAFPAPGITTTTPAENREAGSES QDNNRDNGSTNPPATNNRGATSNPFAALFGMPPTTTNQQTTDTSSSTSQAQNPFAALL GMPPANLNQQQQQPPGQQGNDSSNNPPANPFLNIQNNPLFQNPDLMNQFVQAMGGGGA SGLGNLFGGNPGATNPLANLGSLFGGPGMGGPAAPPDNRPPEERYATQLRQLNEMGFF DFNRNIQALQRSGGDVNGALEFLFSQP EPUS_03567 MAYSSLSVGLVCLICLLAFAESAYAFGAGNIGSTSKIEGQNWRH GDLEDILLTILMARAAGGKKFSKLDVKRVYFGNWLRDYSQAVDVGTVKYVSAEAIRIL LWVLGFMSFGYGTKEFEVTTARLGCYRPEEHIDNPKNYADNEDARQYDRRLRGPVNER RELSIDEQTGMKNYIANERAGIDTSAGLVRKLFGRSIQLGRQYARSKNKDDLYEALRL LGTGCHCLEDFSAHSNYVELALIELGERNVFPHVGRRTQVQLRELRQPVYPLCTGTFG GVDFLHSVMGEFDDKATQSEIQELENTMNQAQNNQSDTSMLQDLLDKVPDGIFGGQNE SQKADDLKQNAQAHQMQNTRISPREPEEWLSYINDIQEQIYPILEWHDEVMKSITEAI EKIPILPDLIEQIQEQINIFVFSLLAPFVVPIINQVKNELNTGSSEIIESSAAQQHIV FRDDSSSDPTHSMLSKDHFSNVLNEPAGKVAGQVLKWVVPQLMACWDDERIDVDRTLT RIINGTLHHPALRDYGQDGAADGRRLMFGVVQTWWEEKSEREKDGLREQLSRSGVESG RNHKPGVVDHGHGCNKPLGMPNLNTAQSSSAIGGPAASAVMGGLSGALASGTGGGQKY GSGGNNELGKIAGEAVGGGVVGSIVGGLAGGLLGGAFGEGEKKSKKTESYGRDGSHNE TYSEYGQSGNTYGQAQFSRTDAPGGRQEEYTRYEQKPAASGGYGSQRFTETARYDDSG NQTYHHEERSSGAEYGSETRRYGSGGYPGKSSHKKDKSDDDDSDDEYKKQKKREKKEK KEKKKHGHKKHSDDDDDDSEDSNKKKYRDEYTSSGNPYGGQRRSSNEHRGQRYGSNEY GGERTSGYGNTRESDYAGARRDDGESGYGRRTGGGGDNEYGSSTYGRESRHTGGRRDE DEYGGSHQTGFGHGVRTSERESGYSRGGREDDEYSSNRQAGGYGDSSTYGREATSGYG SGGNTYGRETSGGYGSNTYGRGASDSYGGADAHTGGYRGNDDDNQGYGRSRPAYGGDE TEQMPGGFGNDDEDQSYGRSGNRGYGRSSGGAGYGGDDREQMPGGFGGGDYDDEGERR RRYEY EPUS_03568 MAEPAQNIPQFKLVLVGDGGTGKTTFVKRHLSGEFEKKYIATLG VEVHPLGFTTNLGQINFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVP NWHRDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKP FLWLARKLVGNQSLEFVAAPALAPAEVTLDPALLAQYQKEMTEAAAMPLPDEDDPDL EPUS_03569 MATAFLPPIFPSPFGRAFSRKPYLFSDASHCGSNVVESSHYVDQ DSPPQKSRAGSVPINSISSGHPPDSDENAKHRFYPESPAGSPAKRSYSLNAAQCRPCE SNTSCTRPVDEAFGHTEAFPVFDPGVSLDHGLQDMQCRSEAHRLVHQPSNCALNDSAE PSPSMGDTTRNAAESSVTSPRSEEPQVTSTSPMILNKSFGKTAGNFRQWASTFRRKRD TCRDIDKHRVIKTASRTNTPSTLQVSPPQHRWHQKRTSNASSRFVATIKTASVSNDST SLFPRSHRYSRVSDTRANRSSDPRSSVESQRPSSISSPDDGALRRSIKRRQVLQELLS SEESYVADLKALHNLFSTLLASIPTLTSQTRYSIQRNVTDMLHLHEQIIDELHQVALR ATLREGNLVSPLLRPITRSHTKWQGLYATSFPALTPKRSHTGRSPVDAVYTRRGSSNY SADPAEVAEVARAYKNQMARFFVYEEYSAKYDIMIQEVANSHKMVPEWPSYESGMEAL ANSIASLNQRSNAGKKGLTAHDLLIKPIQRICKYPLFFLDLYRHTPVVDCPSTHAEVD GALANFREMVREVNMVTDDPKVRERIQRRWLLQDRLKLSHDALKAAQFRMLGHVLLCG VLHVAYQTSQRVEGGYMLCVMFKDYLLVAAPAAGHAKFDIVATIYLSDAKVVSTEDGK GLQCHTALFSWKVLFESDSHLFELMFSACSAAEQQQWLAGVERGRSLLALEGPATELR VPNLFTTTSLDLKALAPIFGQGGPLARRLSIQRAATVAPKVATCQVIIRNTHKPQDGQ ELRYSTTGAVNRSQSLLTTHRVTILAPKRSERIRLEQSLADVWTRDTLPFPGMSLTRG GNIIRASAGSLARKFSLASIHSPFTKRSTSLTLVGSRFSHDTSVEVKHEIEQQQQQQQ QHQTQPQHQDESLSHKTVLEDHDELGDGKNTAEQAVPRSSLLQPRRRSLTGIEKLHRR SGTKNYRRQVSKAGTEPVPDMSSPEVELVEEKLRTRRKRWSNPLGVLKNLSTEGMRSL LYSSR EPUS_03570 MKFTLALLPFILGMAVAAPAPEARADVEARQTTTVSLTFYGADV DARYSIAAPTDGSEFTINHPEISVSRIYNAGGATCYIYGSEGSYTFVPVGEHPVGPPQ PQWEPVHQSARPSSNHSDETDKPYCSTPRSFITDISVPSGPLRTNPFGYTVEMHESFG VVPYLYDENGSDDPDTVEDLDLVATYTPSNLKEIQTALQKDRDDLPGKSHFRTVASMI AENALNPKEPKTTHLFNTFLNPTSRKGRYGKVGKETWIIPEGMLPSLQRRLEPDLSIG IAHEKLGMRVWSAKHLPGHIKNRQMICVNGVVEYKTEEGSIARARVQNLAAATLACDA WLADENFLTNGKGAECVVGKAFVGSICFDGNVIEASVHWLAPSVTSSTRKYDTFSMRV ATGHPFSLYLSEFRACYQKFANFLDWIRDVQQVRLQDILKLPPSEPENLSLPQPDDGL SEDEEDTSGQEDKDLKDQQMKREDVQKEDSRVKDKKSENQKKRGKKGKDEPQGRTRSH KSRIGDDAVSKHQADGIRADTPTKSPQIAARIFQQDSETQKKKKGVERKRQPRRGSGQ GTAQADSDAPGRKRMRRG EPUS_03571 MATSAQKPILISGAGIASLLLAQSLLRSSIPFLIFERDSSISFR AQGYRLRLSSEGLDAIESVLGPEGFQRFWNQCGKTGGGGFATIDATTGSVMTESATDS EREKIKGEGAKSPAEILASREGKTVGISRGDMRKIFMSGCEPFVRWAHRVTGYELTSS GVCAVFADGSKSDEGEMLVGGDGIYSGVAKQLSQGRLKTYDTGARGIHGQAPTTAFRG LGEGVWRLTDDSNPTGRVFVITNVRPGDMDDPNLQFGWTMGAQPGVIRPPNDDYSIIG TPAAEIAKALSANWHPRLKPLFDEMEESEAAFWKITCSTPTGVPEWRNEARVTVIGDA VHSMTPAGGIGANTAVRDSALLGELLANAGGYQSDVTAAYESKMRIYASEAVKTSYGL AEAQFGISITEDSKTVGF EPUS_03572 MAENDPATAAPLQVDDDVDSAIGDESDASDTTSLRSSIFNYHYE NGRRYHAYHAGSYWGPNDEQAIEHLNIGHHVYNLLLGGRLYLAPISEQPQRVLDVGTG TGAWATDFADQHPTAVVIGTDLSPIQPTWVPQNLSFEISDCCEEWSYQKDSFDFIHIR GLYGSVANWSAFYAEVYKHVKPGGWVEQVEQGVVPKSFDGSTDGTIFEEWGKVSLEAG DAFGKTLRIVDESAHYMKEAGFEEVTEERFAMPVGRWPKDRRLKEIGLFNRLQWEEGI EGWTMMLLTQVLKAIKQQVIDLSSNPEHLKMLPHSTTIWNELLRPELHPNGRVPDPGS LFEESQALLFGGADTIGMTLMHGTFHILKDVEVYQKLKAELQQAWPVLEDAPSQATLE TMPYLSAVVRESLRMSPGVASPLPRVVPASGTELNKGFIPGGTVVEMSSHFIHRNGDI FESPNDFKPERWLGQAGRDLEKWLVTFSRGPRSCLGSNLAWAELYLTFAHVYRKFDIE IDPSSPKELVWRDCFLPEYLGPHLKAKMRPVKE EPUS_03573 MAGDGALAGIFTYVGWAFLPSLATSLLQGLFYSLTTRAGSPRPQ QGQPLYAYHRRRIHIFVLSLYLLYTLVQTLYDIRIAGDFYTALGVNPTSPDREIKAKF RRLAARFHPDKVRTSNDGTPSTDAEAAFVHLKLAHDTLLDPAKRFAYDRFGPGIVRVQ RPGLKTIRDYVYAGLRSLAPEYAKGALMLVVLNYFWLPKWGQFWRYLAISGLAFLELY FLTHDWTAPESAFYVTTLAHWVIPGVLPPHLLPFQILALARRMSISLNIFISQLAPHA ARSSVEQDQQLQAQILHLNQTAGRLDAEASGVLGLGLAPFKGEKEHIEALKKGMKEGM VTSAIRSTPEVREAVAKVLARREKEIRGSARVDGIDKVN EPUS_03574 MELGRSPHLETERKSSHKTKKRKHADSEQKTSSKKRKKQLDSNL HHVLDTPSKIPKSKTKSQSFNDAVQSPPSSPPVRATLPDPDHDISITDAPPITKGSLT PTSSPFHSTTLSLYLPIPAIALSPNTALPAMLTTHMTPLLLSYYPPLRGIVLSISNPI LSSQKPLPHRPPAPPPSPNADEPSQTVLAHCADADGLSYAWLTVHDNVCSEGFVGLAV EMGGAGGGEAVGNKGEMRRKMKKIGVKRDGDGEEEEEEEEEEEGMVDTSQETLVNAVA DGDQGQEEDGDGDGEGEIGYFQRGDGTRVHGSIRFRVVDCEIVPGHDRESWSLQIEGT LLSVDKEESVLQEERQKVLRRQGKAVMSGGLGSGPGSDRNGHVLSKS EPUS_03575 MAEFRLKDISSLDLKNGDKIEAEVEGIEGGKVLLVKLNDKVQAM NANCTHYGAPLKNGILTPEGRLTCPWHGACFNVMNGEIENAPAPDPLTKFDVLVKDGG VYIKGEESSIKSGRRQPNVECSAQGQDKVVIVGGGSGTFGVVQQLRVSGYKGSITLIS HEPNLPIDRTKLSKALIPDPNKILLRSKEWYSKASIEVISDTVTSIDFSNKSVSTRSG SQIPYTKLILATGGTPRVLPLPGFKELKNVFTLRTINDVKDILAAVGDKKGKKVAIVG SSFIGMEVGNCLSKENTVTIIGMEKAPLERVMGEEVGKIFQKQLEKNGVQFYMGASVE SARPSENDKSVVGAVTLKDGASIEADLVILGVGVAPATEYLKDNDRIRLEKDGSLRVD ENLQVEGLEDVFAIGDIATYPYHGPGGDGKPTRIEHWNVAQNHGRSVGHTIAKPTADK PKHFIPVFWSALGSQLRYCGATHNGWDSLVLKGEPENGKFAAYYAKGDTVVAVATMMM DPIMSKCAELMGRGKMPGRAELERGVNPLEVDLVG EPUS_03576 MRLRLTIQRHALPITNILWTARTQDPTSTSAHASATISQLLEDV NDIFQLESDDWGLEDYTVEVEGYECLHFQPIDSVLKEDDKVTIRALQTNDLRIRRLGG RHQITSDGRHLFDGVAFGRPYLRKTARPAFPIPPRKRRKLDLGHGQYIEDDSTSQGLL SGNHMVVDSAADFSRGEFDASINDDRMWQVAARQPTDKSGEDTYGNFETDESDSDFKS FSEEEGDEADLELSEELKALLDDDPSEQGKDEGKKYSPNPDKHQEANSFHATRQHKKR KRDSEYTSECASEDGRMGSMSGSKSPGAPSPTISSDKTTRNAASTPANSLNAGYDVDC AEQSKAQLDGLDDIYESSSSSDTGSSNSTSSESDSEASSSDFSSMASQTISSSSGSAS EPESDSAAAIESESGSDSDSKSDSTSKDEGEITGASLAGMKVRQDLTDKAMSTLPAVS MSMHSAPGKGSTITRKNNQRQKKKKRLNILKAKGLLAPNAGFKELEAFDQNTSASPVT DNLAQVHDPENTFEARKLDLLERLMPRGVDSVAESDASGHISGEVPCDLTSGNGPASA QTLAPNSEDRHEVRSIRRAKLDLDSSRRMLFGSLGFKAPKTPADEQLLREKLARQGRA VTAGRAKHIITEENNASETYAKPVPERTASETWRDKIILLAVECEGKGQRLTTPPFPF VQRWQQGQQKNQPQGQPQEYHACNVEEQQSASATVDEPESGGFYVEGDTALDGVKLNG AIQNQLIVEAEELSKREEAKKSTAPDMPVVTEYDVLEELKAEDAVAGAVIAFKQLDMS KKTLWQPEISAYRTAKIQEVLEDGTLKILLAERDRTSLSLESDDHTGERVFSKFEMPI EEEEDEDGPDDGIREVLYGDLIEPKLVEGVMASSSVGQSTQIARSSTSGTKSDEEVSQ VKGSANSIKSSVQEPSAQVVVSTPRQEEISKLIQEAGFHSSLDTELLQPTPLPPGIAG HADESSPASPQMSEKQYGNQPMNLDGAPSEADTADLDSPLFQGSDSSFIQQENDFYDS SINLEHKEHDSGFQGDQVDKDMGTPNSVAYPKISQLVIDESRTLPLNGKPDSARTHSA SPIEIKRLDPDSEVLDKDDDSTFETEGSHFDSLKSIIPPSDDIAPKFDAASPAGSQIT NPCLSELEGSASSEDDLPSLSEITSTARSGRISPPASEKAAPSERKASTSPKSPSSVD ERTSGTQYHTQTETSSIFQPSQIPPGSQFVDLTLSSDPISAEHSDEDYPFMQRSSVRI KQSSSQVEPRKSFSSSAKTGNRRLIRGRTGRPRP EPUS_03577 MNSCMITHATRAEEDAARAEWFAGREDRRKKRQEEAAAVERRRE EVIELIKGEEAREGVAKARPS EPUS_03578 MAPRSIRQTFLLGENNRSSKQMDSNITMAAFGGISDESISDAGQ SHTTQVDLEDQRVSRRFSKQRMPSDSSEDHTSTAFKINRRTISDAILGLSDGLTVPFA LSAGLSSLGTTKIVILGGLAELVAGAISMGLGGFLGARSELESYNVTLRNTKLQIEKY PAQTSALVYDIFHAYDLSPSTITSMEKKLQSSPEQLLAFLMDFHHKESFPTLGRAYKT AATLAISYFLGGLIPLIPYFIVARTEVMTALWWSIGVMGITLLVFGYSKTCIVVGWSG WKNVLAGTKGGLQMLLVGAAAAGAAIGLVRAIEQGGIYL EPUS_03579 MVINGSIQTISNKAEYGYSSNRPASFFTSPTTLLTQAKSILTVV NPFAVESRPLRRRAGQRNDDLIDISMRQMPTKTALPGRGRWTELLISTLKTFLEIFWN PFLVPLCFVLALWYLISSFRASLTETVDAAQSAGTAIGHCFSFTWQYAGAWMQSIWNT ASPPVYQLLSIGTDVTISATNETTVAICSHYFAWLILTNLGLDCPFSVPYQPLDGSVG STLNNTTLGLAQIANTAVELLPYGHQLTWSELWLRDISFTILESDMINKIELAEFYAD YTNYIAATGQELSAFASLTDSHLSFQKYNLKFLQVQIESDNERSWWLRLFFPKEAYIR SEYLEFIQAADDDLLLLLKEGNLCIELIRRCQETNDRVQQILQRNRHAISKQVDKRGI LLRRLGRNEDLTRKTITIDNMEEYHGPVLDLLGSILDKVAQVRAELSTLSTALRRGHV GATSPQLMVQMQIISASMKRLQDARDYVREGRQKAEARHEQQFKGKMLLYRPLYQPQS HADKSGTPASYVSVLENLATGLGPTEASTVVEVHRRTD EPUS_03580 MSVTPSGEMESLNFLFGDVDSAAIFARLRSPSGKPPCILEVSYD DVLWYFRSGYVSSMQLKELCDQDQGPIVPTLLALSYASQIYATLPDATVTVSTLDHCL LRTSWARHASQLNDIPLGKPSMTGEPDRSFAIAVIAFFEGSHNIKSKELRNVIALSAG DSIYVAMRLICDPFENPHTYEMKRILGNIGKAGLVMLIPPRDPLVRELDPGRWRFADN PEFDGKPEDCFTQTSLHLSFTSYHIPVLSNEFRGDQDNPVSMLESVISVRDSGLWVAD INILDALEKDAPVYRLDPPKRCEHAEDLAPDPPIKTIGCWDDVLDSPDGNFLIKAHSN WLARLAVTAVLPNHSRTKQRRITICPSSVCWRCVQQNFPHNAYVF EPUS_03581 MCIFTDYLYACNHRFAKHSFCTHPCANSEDFHGHGLSKINPMSS SQNCPDKQTKEIVFRFACPKCCKKHSKDPDTAPIFINDELWQLSEVRSRGEQLCTIDS WVESSSYDESWGKRSVSPKGRSGSGARTLKNVARNVLGRVKKTLLRDREPDDGFTEKE IEELFVEDPRREGRRPLQASMGSASLGTKVIKGDR EPUS_03582 MQQPPINRQDLLAARKLAESNATTNYFLGATRRPWMTNAVTIPS LPAERGVLRSPRGRGKGTAATNTVSGPAVPKSVQDGRQARTTATPQSIASNTASSLAE SLSAPRATTEITRKRSLDVADLDVNTGRCSGSHPGGQPSTASTVPSAEAARTSHPLRV PDSPVLDKNESQLVINSALYRLNSFQAEIVRLGMIETHRFSLLREACVKNDLFYLCIH QMFCIVSLNPRWPSMNGLNEDQSAGLGLLSLILLHNKDVSVEVLRFFASLPAPIETLI KGQIVYKDIMGMVAVFLQRFATGWDMLREKCFKRKFPPFVEELIHVFEVHSPVLQKVL FNSIHRQLGGANNAKLCRQGLILFDTNQSQYRAQRMRDASADPRVQTVILDELRLLGE RYKELWKEANESTGQGPLGRDVVTFERSRPPPLYIAPNEYASGTLPTRHTLPSLPQTV EQQQQRGHMQWVSAPNHLTRAHSTNDLRGNSAFASGPSSATRIQQIISPRIPPNFVLQ SSPSGADNFPTRVAGNAGQGPVPPQRRGRPPLSSRPVVPHIPTSVAQHSHVGRRRHLD SRSPPIHWSTRHHSFIPAAGYEPIQTSVPDPSRLALHQAYLKSPIAEKINGIGQVQPE VRLYQYLESFAVAPKAIDPESSSLCWEFCTTVSDMSKKAVDMTASDGQVKRQVSDGCL LYRLRSVEVAQSFHAIEASEWSVKDMSWPPGCFVTINDVEIELRRKIHHGKDLPVDLT PHIREGANNIMIGLLRSQEGTKAKRYAMAVEIVEVGDETRINSAPTMLAADDSLRSIT QALKAPYGTTAGDDDEVQVVDSHLSIDLIDPFMATIFDIPARGNACTHRECFDIQTFF QTRKSRVKDGPTSPDEWKCPICKQDARPQSLIVDCFLKAVRDSLVTSGAAANARAILI GTDGSWNIKKDDDDTVETKEDPNATMADSLATSKDTVPGCVQRTGNLVIAIDDD EPUS_03583 MSGWSSAMRISAPSVRASLPAETEVFRPNTLNPSLSSSGSEPSA KVKAISEQSKNTSSSEVSAKVVHLSNQAAPFSSEAKLPSSPSSVSSSPMPSIPRSISQ AMGQSKSGQDSPVMNETLSVINEHITDLSTPRHSVVAHDSASEYSSNFDNPVSHVAGP ETDEEEEAEEEGMLSPADVKKWTPQETAHYLRQIGIDSKHCDIFEEQEISGDVLLEMD QEFIHMKEYNFGVMGRRLKTWHRIRQFQEEVKGTKARGVQSASYTSAAPGTADDLESR IGSSGTKLPRIPSLMENSETVSRLTQHTTSYGDNHREHIPAPLQTQSHSVSRSRTNIP AGSAASPWRASTQESPSRPSAASIRDMSHKRRHSSMDVGTSPTFETKGQTGSISSKFP SHKKQPSVDRNWSMTSTVPTSHGHPDMTSRSNPDLSKSTADKSDSSAPKQQPSADLDR GYFSGPESDPHKVRKFLRKRDGTASASHSRQSSYVDQQKRDLAAKKRHSRIGSADSIR DFLPAVTSPAAQAYHSKSFKNRFRSASARTVTGASSSSILSPTVTNLEGGQSPGIPTP SPRAGSDASGTSSPLPPTSGKSSVKQKRFGLRAISDAVTGHERALVSSPTSIPSSFKD SPLHSPSRTGSTTPSATSRSLDIDNVDASSKGTDAPGITGSSRTPALGKSKTKKDTSA YTKGLEKKSPAEQRIDCDYSGWMKKKSSSLMTTWKPRLFFLRGRRLSYYYSEDDTQER GVIDISSHKVLRADQDPITNLHATITGSASSAADQSPPANSSDAGSSTARGRTASAGA PFIFKLIPPKAGLSRAVQFTKPTIHYFQVDSVHDGRKWMAALMKATIEYDPSFGLETT NKQKTVSLAKARARKERPPALKGDEAAEKVPIGPKSDETGLNIRGLSFDDLGKKVVRS NGTGGEKHKKMNSTEANSSTSRTRAASEATSYQNLDP EPUS_03584 MSLRTLTRFLVTILFPIASFCTIYLYLYPIFHNGCAFPVTTRSG AGAAAAQHWPFSSLVQDDEKQKQPPRHHLRAPFRLLVLADPQLEGDSSLPDPEDGFLR RLARHGERVFAPNQKKSHRKQVIKTALKGLFFRDIPEVLQGWRKRLDLFGNDYYLAHI FRTLHWWTDPTHVTVLGDLLGSQWVTDEEFEARAWRYWNRVFAGGRRVEDELIDTAQG SPDSHNLLGMKVTIDHGWKDRVINIAGNHDIGYAGDISKARIARFERHFGRADWDIRF NLPGSEEQNIPTINPSLHLIVLNDLILDTPGFDPDIQSASYNHLNSIITQRSQPVEDK SSFTLLLTHIPLYKPEGNCIDAPFFDFHHSDDSAGAYRSGGLKEQNHLSEHVSRSGIL EGIYGMSANPNAAGNGKGRKGLILTGHDHEGCDVWHYLPESATDPTTSGHRSDEEVKF GGWESIPWRNSNISASHTGIREITLRSMMGEFGGNAGLLSLWFDFETEEWQYEVRMCR LGIQHFWWGVHVLDCITISMALLWLVASHLCASPTSVEGDKVAPERAVDGQVVTGKRE EPUS_03585 MLGNSSTSWRYMWIFPLGLQLTANAIIAAIIKNTSGFYADFAVW ELVLFFAARPRLSWIVLGAFSVISSGSSSRTNGRFFPWWSSFMSHLIAEFILQLIALY IMGRTAHFAAGRGYYLVHTDLHRSLPPGAHMMYSGALYYLIVGSFSWLLAIGLIIVAA GRFDIGKPKVGTAYVMLAITICLTSVWLASWIFWLSKEEEGETGPDTEVTKEDQDKIN SFSRLHNRERVVEEELQGKQKDKEDLEEVSTELELADEDELVRYKVGDSFMSVPLSEA QEMLSLATKQFDDEVSRLEESLHELRDEMKGLKAQLYARFGKSINLD EPUS_03586 MRSLSKRNDWHNRYERDTCSPIPTSEDIDDSWRLVKSVANPDGG FNGGIDLVVNKHDGLLAVRKRLRPRPRCTGHDHMRWRREMLVLRKLDHHNIPKYYDGF FTPERGSLYMQPCRLGSVSDFVDSHRKKYLSLEMQEFFLWYILHEVAEAVLYMQTGFK CLADARRSHRDKVKGWVSLVHGDIRPDQIFLNNTESDPTTRVMLGDFGFAQFIKPWHS CEVHDGPGGKSSSKAPEFPSQISTATDIFGLGAVAQLYIAPCEKVKAGLNQGWLSQLH VSKELDSLVCRLVAVQPADRPTIREALQETEWGLKVREDRGLGLSLMAGPLFKCLYAF PRTALSN EPUS_03587 MTDYTGQHPKGPDPSTLDGTTQGRKSLSPTTSQLPSLKIRLQKA LRQFPDFPSPGILFEDILPIFQDPALHETFIHALELHVIEIYGQDDKPDVIAGLEARG FLFGPSLALRLGAGFVPIRKRGKLPGPTETAAFEKEYGADHFEIQSDAIKKGQKVLVV DDIIATGGSAAAAGQLVQKLGGRLLGFVMILELDFLHGRDKLPAPCYTLLSSQSASVA SEPS EPUS_03588 MITAHLCQIPVERIHPHHQCQLRDCVSLEVVNGSILTWLYQQLK VIRNGRRVLLETLLAWPVCIAGEKDYAAAIRLKLADESQHQCYVAEICQREALLIFIF SGFRAAQHIPVIGAASNAGQRWEPAVHDLSGYGAGELVNG EPUS_03589 MVFLLPFKNYDIESFPNVHVPLSEAPPRHPSVVAMNEKRRASKS GSIGSGDPDKRAVHGGSNSGFTLEDLREEIDLDIAASGHDSSYDRKSKVINKAIQDIG MGSYQWRLFVLCGFGWLADNLWLQGVALTLTSLSHEFGVSATHVRYTTLSLFSGLCCG AVFWGTASDIIGRRLAFNMTLLITGVFGLAVGGARSWIAACGLYAALGAGVGGNLPVD GALFLEFLPFSSGNLLTMLSVFWPIGQLIGSFFAWGFIPNYSCAEDLPSCRTAGPGEE CCTMSSNMGWRYLNLTMGAFTMVMFICRFFFFHLFESPKFLLSRGRQREAVAVVHAIA YQNGKKTWLDEEILNAIGGRPDEVTDLKLSTGEIIKRQLSKFSTQRIAPLFGSKKLGI NTALLWFCWATIGMGYPLFNAFLPQYLTNVDPDAPPAPTSVVYRNYAITSVVGVPGSI IACYTVDIPYIGRKGTIAISTLLTGIFLFIFTTSTDSDFQLAFTCLEAFFQNIMYGVL YAYTPEVFPAPNRGTGSGIASFLNRIAGLCAPIVAINAGGADPKAPIYASGGLILAAF VAMCLFPIETRGKQAL EPUS_03590 MATDLTAEVLSALATAEAPIFSQDAFPSHPPEVIKATLDRLGSR EMVEYEKLDTEEVHLTPEGEGIAENGSHEARVFEAVRSALEGLKIKDLPTIVGAEAAK VGQGTAFKLKWIEKDKDVLKAAKDSITDTVRDQLQTIRSTKSYADTKVIVELRKRKLI SLHKVSTYKISRGPKYSNQFVKEETDLTADMLASGAWKTVKLKPYNFKAKGAPTPSGA LHPLNKVRHEFRQIFFEMGFEEMPTNRYVETGFWNFDALFVPQQHPARDLQDTFYISD PPRADPPREDPPSSSSTDTTLPSTSNPTDSKTPSRSLDYRKYWDDVRAVHQDGKYGSI GYRYPWSEDESLKLVLRTHTTAISTWMLHKLAQDPRPARYFSIDRVFRNETVDQTHLA EFHQVEGVIADFGLTLGGLIGFMEVFFKKMGIVNLRFKPAYNPYTEPSLEIFSWHEGL KKWVEIGNSGMFRPEMLLPMGLLEDLRVYGWGLSLERPTMIKYGVNNIRALLGHKVDL NFIESNPAVRLEKA EPUS_03591 MGPVSQVKSLAAKVEKDTDSQEARDITPPPTYPVEDASAQQLTA SLRKLDLSPENTSTTTIEPSNDECIAHLKFLTALTILREDVSESDELFGIRDAQAEIF RTEKERAMTKIREKRWAVYVARAVDRFESWFNLLPTSAVGSGQGGSLRMKDVVNGKQF EALVDAGHEKLIWKAEFMPPLDVLMVLHSFMLNPRDFLEDCIRQNKMMLWHAGFPWNE VNACIDAETFLYTPKAESIATFEAATSRAWENVHDPPAKQILCPSCGSTLNCFWTDNY MGATIERAFELGRGLADKCFHVDCRPCSLTITHETLRLLKLRRDIESLQKLDLPLPGT ILSVKGTLKAATSVAPKKDDVLFPNRLILAGLGTELNSITYPPRLNSQKVTAVRTAIE KALKSRQLVSEAKNSGFASRKLEFDQKVSIRRMMSRYWENSSPFALDLVGAVLRQGVF IDKMKSIDWIHSPALESTITRLLKKYAVFFQIMAENPGKVAVPTLDIDLAWHTHQLNP PAYYAYSLNVMGDNFIDHDDKIDENKLSAAFEWTSKRYQKLTGGQIYSECTCWYCEAV RETHNNQSIFSSAETSTAKSRALQLHDRDDVSGDPRRSPHISAHNAVREQSLVGAKIA AKQQMKLDAAYMRAVNKARGAGKKPPTKHDYALTYVWGYPMYMPTYAPYTADPCITGT MYSSNPSCINTSVGASGNCVAGACGGSVSFGGCGSASACGGGTSAGGCGGSSGGSSGG SSGGSSGGGGGGCGGGGGGGG EPUS_03592 MGCGSSKLKGDEITDLSSPPLPPNPSKSERSSASSSAPQPINTT TTTTDSHLPSNQNPTKNGFQSTFTHTLPPQKEHKQPPKKQSLSQRWKERKGVPEPKDE NGRGLYSGKTTDELVKIGGSQVVDGRVYAAGSAA EPUS_03593 MRFDKLVVAAAVAASGVSALPTANPVAEADAVSAPVGALTARNL ALHSRTDYSKGKGSKGSKKSKSSKGSKSSKGSKSSKSGDYGHGYYKRIRSASPASKAL KRSVWKYFKVRSAAAEPTGYDTGSKKSGSSGKSKKSGKSKNSGKSGKSKKSGKSGKSK KSGSGKSGHGYYTRRHVAVREPEPNANEVVRRWLGLAPRDAEATDYGHYPSKSVTGKS SKSGSKKSGKSGKSGKSKKSKGSKKSGKSGKSSGGHYVRRRLAVRNAAAVATDYGHPA PYHPEPSHPYSGSEKSGKSGSKKSGKSGNSGKSKGSKKSGKSGKSGKSGKSSGGNYVR RHLAVRNAAAVATDYGHPAPSYPPPSHPYSGSEKSGKSGKSGSKKSGKSGKSKKSGKS GKSGKSGKSGKGGHYVLRHLA EPUS_03594 MEKHVDFELRQVQDPREQKAQPSRRQPSRFITVDSVLQYASEIP SMQQRPPPRPRALRTGSGRPVDPRLTGRSAPPHMPPRSTKLSEKLVLLPETVEEADEK GDFGEDNEAAPLKDGEEERRPGREKAKSYAERLPKSRRTEKDLARVTAYCTAQAYKMK STAAFIRDRHGARTKLYDDCLYTAYHLPLLPGTDGYRVQCSPPLKSAGGIAVLDEAIE RSEQGGYHGAYFAEDEEQHSVRNAESPHDSAEPTPIPEQNNFGNHQSNDDRRGSDPSA AATKPIPIAEMFVFSYGVVVFWNFSEKQEKDVLADLTFSSILDPKTNIAAPLALATSP LNEQDFETEEFHFEYNNEISRPRVYNDMITLRSGDHMIKLAISHAIAQSTKLSFFEET MATQMEEAKDVPKRLALTGELGMKREDIVKILGGLFKSRVDVNLSSNVLDVPNLIWES EPTLHPLYSAVREYLEIKPRIQVLNERCRVFLDLAEILSEYISDNKMDRLTWIIIVLI LLSILVTCSEVVLRFGILTARSRRKGGLGGEDMGMGMGMGPQELCAQLCKGLGVMS EPUS_03595 MGVEESVYLAKLAEQAERYEEMVENMKVVASADQELTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNEAQVTLIKEYRQAIEAELAKICEDILEV LDKHLIASAQTGESKVFYHKMKGDYHRYLAEFALGDKRKASADKSLEAYKNATEVAQT DLAPTHPIRLGLALNFSVFYYEILNSPDQACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAEPQGESGPSAGESKEAEGAAPVPEVEKTAE EPUS_03596 MLRQNIIKVARANTTLYKRSFSMSTVRAAEGDVGATRSGGTASA DAFNKREQAEESRFIRQKEMESLRKLKEKLQSQRKYLDELDGHIKQLENEQGGGEQH EPUS_03597 MADDPPKFNFGGTPSTSSSSTSGLFGTSKPSGSTLFGSTTGQGA GSNLFGNTDTSKSSGSGFSFAGFGAVSTSENKPSNGATANNKAAGGFEGFGAGSTTPS FGGIGGSQTPPKPFFGTGSSSAPRQSQGLFGNVSTGGATSSAPTGSTTPAPSGFSFNP TTTPAGPPPTNIFGGSTGGNNLFGSKDKDKDKSAANPFQPAGISGSVASTQAPAPGGN IFGGDTAKQPLPPFSLSKPGETSQGTSTATTTNAEPKKSLFASLDQKTSNTTSLTTPS SNPQKPLFSGLGQNPSKIPSSSTLTTSSAAPSLFFQQPTTSGSTDTSKVFQFPSTKPV ASETAASGGEPSRPVFGGLPTQTPSTSAPSFGNILNKTPLTSSSTPGANVFSIGTTLP TTSGSQTPTSTTSATATTSAPFSSPFKVPDKPASATTTTTTATSQSATTSTTAPASTS TAGGPTGSLGASVLGASTTGPTPPAQSRLRNKTMDEILTRWASDLTKYTKEFQSHAET VAQWDQLLVENMSKISKLYISAVTAEKQTASVEMQLSAVENQQNELESWLSKYEGEVD EMLAKNGAGQGMELGGPDQEREKTYKLAEKLNERLEGMGRDLATMIEEINAANAGLSR TTKADEPVSSYRSSPYVPIVMESYADRWNVIFTLQITQIVRILNSHLSQLQAIDQGTV ALQAKVNAAQKASQGLGFPGMNGSNGAGGSATEDFYRSYMGRR EPUS_03598 MATRPARSNTLEPPTKSVELIDSGAHDLDMSGDDEHFSDALEGH QRPNSRPPSPLNSRPTSPIPRTRVERVDDEPRHGETPGSPAYDMRRQDAVPDELEIVP EGRLSKRSSSSSLQPSLPPGGPPVPLTVVEKVDPASPSHGEVPGTAAYEQRQADAAPD VVLKASDSYTTPQPFPPSQSAKATSPCPIPETIITRADSVPGLDETSRTGTNGVQNHG QSGEGSPTLEGSPQRVHSRRKASLNYKEDSAFEDGFGDDFDEFEEGAQPGEDDDFGDF DDGIEGLSASEEVSEHLLPAQDGPGPAFPILDFGSLSSLPDIIAATQVYLDAMFPSTT KEKLEALPKPDPVPPESPIFPTERSRALWEQLITPPPLQPPNWTKSRIRRLFLVSLGV PVDLDEILPPSKQKKLVLPDMHLEPPTRKSESDKTLGSVSRLKAKAANDSNASIDSNQ SSSRSRRRARGPLPPPDLDLGAVKRLCATTDEKLDGLTVDELKDHIQDLESKTEKTQE LLEYWLKRRDGAVKEKEAFEGVIENLVRHARRVRK EPUS_03599 MAPSDEQKKRPSTNGAPEGYPVEPSYTGSVPESALIPDNLRTSS KPEERGRPTHRNSDRNRSTSGLSAPLRESQGAGQVRGFSNSHTCTGCPQPRNSDEVGG AQTTVSDPERQSPSSTSANRSSTDDNNDKRDQGFMLEDFMIDMFLTQQRARLENTPVY RVVSWLPEYSAYGLQLYHDPAGRAPLWIMRNWAEIRLYSDAVADERSEESD EPUS_03600 MFEGLEKVSSLITRYAIFENLYLFEPQTSTKEELRRMLIGLYAL ILRYLLQAKLHYSRRTGERMLRSSFETAEMAVGKYFTRISEEEKRVEDIAVLVDAQYQ RDIASNVAKITTKSDRTTESLHHLRAEQQSRFEAVEKEISAFNRKQTRTTAGSGKSKL ITTIIREMLSENEHNGAAAPVAYFYCARNAAEPLRAEPDEVVRALLKQLVHSEGRKQI SSSLEDAFLTRQREADEDGCDPALLSIDECTPLILNWLRDNPVTIIIDALDECSPVRR HELLTALDTIVSQAENVVKVFVSSRDDIDIVLRLANSPNISIRANDNAKDIITYTNTE VSRAIQERRLLLGNVSDELRHKIIDALVDGARGMFRWVALSIQHLCENLRMILEDDVK EAIGRLPESLSGLYRIVYEEEILRSEPRGRAVAIRALQWLMCALQPLNTRKSLEAVSS DSAGQATHASKNDLIALLRNLIVVDEESDVFRFTHLSVREYLETRDEYSTEKCHAVAA ARCASLSLSNTRKGKTSEQPAEEDFARYSIVFWPLHYQHGKPDAELQARMRNLFFQDD GIADTFRLWVNDFKSVLAEDENLLARLIPCEGGEELLELLALSPTPLFHFCCIFGQLP ILESLKSDGFSDWDRVCHQGMTGLDVAICCEQQDTACLLLQYGVRAGFRPLQQRSPFV AAARLGQSEVVKLMLLDEDVNVNAQSQWVERTPLGAAAEHGHWEVVQLLLARGDLNLN DRDRYGATALYYAAANGHTRIVQGLLQRENVDFVSKNSQLPPSTALLEAAKGNDFVVV QLLLQRSHTPNLQSSDGKTLLHWTVSGKIAKEGITPLLCAIQLESIEIVKLLLAHPAI DVNTSSPEGRSPLCQMIHDSACFGLAGMDWFHIGSSLVQRLELQVNKQDFRGRAPLHY AVISDQAAFVELLLTRGDIDPNVRDDYDATPLWEAAYQGNSEASEFLVDDPRVDVNAE DKRDAEKQTCLHAAAGGPSPEVLQLFLDRNDDVNITTSRGQIPLHVATEKCRQGSVEL LVTRKDVDVNKRDTLGRSSLWIASHLGKKSIVEALTRRDELDLNAPDVEGNITLHIAA FWGNKDVSEFLSKQDRIDLELVNCRGETSLWILVSRNWVHLLENFLTQGGLKILNTPD HLCQTPLHVAVLRGKLDTIKLLLRQPVIELKQKNNLILSPLGSAVRILAQNTENKSMA DVVKVLLLTLAGQIDVGIHHVMGILVDGDLHRQTARFVKLYGREENKARRVRETESGW LRIWLDLTDIDPQARNHCDQTPLQTAAANGLAQIVSLLLLCEDDDIASTTIAAHPQTP FCLAARAGHQDVVRLLLRRSTLRPGMECK EPUS_03601 MPEYLAHAPLLLTLLMGNNNNNEQAKAQAQNPPTAGTVVANNQL AEVDLLDDSIEASHNNNDKKVAIPGTVGIHEQVVEASYLHDDNNYKNVAIPGTVGVHE RVVEASYLHDEATEVGVLRLHSEGKHPQGLTQAQRETFDSKGYLVLPDVLTVDQATAL LNESRDIMKRIAEGGKGIIRHDVSDPGAECPSPIGRVIATFEPGDDTASDPFKRRVGR LGCAVHKMPTFRALTHAALNHSIADSLGYKDARITQSQLIAKLAGVGSQIVPHQDGCV SFTNPPSALTFWYALEDTTIENGCLCVAAGSHLTTPLRQRCVKGENGLPKFVDVAQPL WARASHNASSSSNTEQTEYKYQALEVKKGTLVLFHGNLMHKSGANKSDKNRIAYTFSM VEGNVECPADTFVKPVDGEFERL EPUS_08766 MDFITKLPPSQDQTTKVKYDSILVITDRLTKYAYFIPYLESSTD RLTKYAYFIPYLESSTAEDMAHTFLQYVYANHGMPSEIISDRDKLFTSKFWKSLMDQI GTKHKLSTAYHPQTDGQTERINQTLEQYLRCYVNYRQNNWVTLLPLAQFAYNSSTAAT GMSPFYANYGYEPVGTRPARNVHEIAQKASMTVEMMKELHQELSRDIEFIATRSAIYH NSKRMGGPTFKEGDAVYLLRKNIKTKRPSSKLDYTKLGPYPIKKVLGKANTKEHARPT EIQLDDETQDQEYEVEKVLDKQDIDGKPYYLIKWSGYTDSENTWEPETNLSPETLANY RRRNPDQAKKTSEQPTPARRGCRPRQHWIATLERALPAPRNEPPERSSRCADPNSPLQ QWSATPPQWRENEQPPDESALTLTSGTHPPNSFRHKEHPSLYSANTQDSQPLERPGID AHEEPEEPVAAFASRIQASETHPLNSSCHMEHPSLYSANTFNKVS EPUS_08767 MCGLQTAKRNHDKESVYALPLISELHDRIRGAEWFTTLDLRGAY NLVRMKEGEEWKTAFRTRYGHYEYLVMPFGLTNAPATFQALVNDVLREFLDIFAVAYL DDILIYSKTEEEHKSHVKQVLRALKKAHLLVKLEKCEFHKKKVKFLGYILTTSGIQMD ESKVKAVQDWPQPKNVKEIQSFLGFANFYRRFIEGYSKITAPLTSMTKKDQPFHWGIE AESSFQELKRRFTSAPILATFDPERQIVLETDASDYAIGMCISQPDDEGRLRPVAFYS RKMIPAELNYEIHDKELLAIVTAFDEWRVYLEGSKYPVKVYTDHKNLLYFTTTKELNR RQVRWSETLSRYNFEISYRKGNDNAKADALSRRVDHSKDTKAPPGSILRLNPDGSMRY NDSVLAATFTISDQDQERQLIRAYQKDRLAREIKKKPENYPAFEIQDSGLILYKGLVY VANSAKDTILRRYHEEMPAGHQGIDKTLERISRLYYFPQMNKSVRE EPUS_08768 MPMDLDATKKGKRPGPPKKRWDGKSKWRVSGEQWTERRKKGLCL MCGKPGHYAKECKKNQELGATEGIPEEESHKPQRKNWADKKSMGKKGDPKETSQGQLR RSTPETSHNLLSWTACYDDNCVVHSSDKDGAGWYPRKPRSHQSLNALFTYNDDEPEYE ADNDDEEPGPEAMKSYVEVLEIKKPDYVRLLTNLWTRAECFDQDCGLAAEHEHVAYDP DAIPKEQGKAFTIEFCKKKECPDHDKSEFHAHQGSDRRDLQDSPYWQPGPSLHVPLPA QVGCAAPGLSPVVLTFAEQDQSCPYHEEYEGHTHFRNYTKEERRKFEHSPSGKHQGGA NSLPRDTWMPDHGNAYP EPUS_08769 MEASLAWYSNAKICTGKLGDHALDIHALAAIGHRLKEHADRLFT EETEAKVDFLDLGNNAEDFWPSCIGSVQRLREHLGLFPCPSRHGHRCRFLFLHAAHSR DRLKISQEMLMLSFSHLQVVPEFIDFLFPFGFQENAEDFYFSGFRHKTRLHERLPNKA PTARSVVGDYQICWNLKSVEPSDSEEWSIRHCAVHHSFHMKQGHLDKSDFKSMDRAFE ASLEIHLMFCGWSAENWRWYINYLEDKFQQMTRRAFSAPVRVPIIPATDTEQFSPKPR TNTGGTERSKFSMFSWTQSQSMEKSSPTAVKKQQLPTPQTFMSQGTAVSQPLPPDDDD DDDDDDDKELEVPLASTDIKIDDENRDFSFGKLRKVHQIAEKVNEAILVLKQNISVLA QLRQYYRSLPRRKGFPQDLVDSCKSAIDGFEFTLEGLESDMNSQILRLETLLRLLEDR KTLLRNVLEYQNTQANKYSTKSIFTMTEDMNDIARKTKIETVSMKVITLVTLFFLPGT FTSVGHHFLVTLMSTSIFQPNASDNSKSEGPNPYAHLNPLQIYLILSLPLTVVTLLFW AGFHFWEMRQEQRKKRRHKATGWQV EPUS_08770 MIKSYAQTDETIQTFHQWRRSSDAHLSGFGGPTFETRKSFIPRS HLEEYFNGPRLEKLLDVVLDASKRPEIAPNLVRLHYSRCFATLLCIGAGSMISHFYQY ESLRDEKLPFRTRPDEFPTTSPDKFKEFQDTQWQFCALKLEYGMDGRFKPEVIVPIIH KEKIGEGGSAIIYKIVVDQNYHSLRPPGHAIPVFSDAPVYVLTSLTEMEQDWRQRCKN TFVLKTYREAEAENNYKAERNAHMKLRWNGKPSPHIIACYGGFVHGNSYNLILEYADG GTLESFMRKTKPPSTIEDILLFWDRLSDITQGIMCIHQTSRNDSSPSQRLNGWHQDVK PTNILVFGRNGTSPYECEFKIADLDLAHFKPSDSSSNEPSDLDAFGTRAYGAPETFRS HKDIESLSLQVTQSVDIWSLGCIFSEVSVWLHDGWRRVVEYRRQRSEEVERKGGGSGE YIFHHNGSLLESVRNIHLNMMSKNDPIHKVTRQILDHIVDMMLAEEARPQAKLVFEQT KGHIKRIRDKFEVPEPKPLRSIEDDSVEIYEAEHRTRNATQVHPIHVKSRSKSYPGTR PGTRLTPSQPLPPDDDVSVSSQSSRSVASQGHHSGSNEQSSKKYRNDATEHPQSVGEG SHAVLDSRFSSSKAANAHDNSVQQGQKHQKEPERPTLSVSEGLAWKNRKKNGENPVLP GSENLTSLDRRNHIFLVDNSETMGPHRRDVDRVISLLAYMLKNSDEDGLDIYFAHSWP KINTKKSSRISSGIFQAPFKGISDMRLRLHQILQEHPNKFEKQFSPRKNFFGYQPGPQ PQRPLSFYILTDAKWQPTDVGSLIKDQVEEMIAKKCPKEQLAIQFIRFGDDEASIAKL DELDSGLGLKARGMDIVDHTYRDGNVWKMLLGAINDCSCSRAGLLNTRDKNQKDGSIA TKSGVPDTGLKEAAAAIIYTAPRLPREVRELGIIRALLIKRFGKEFTLKENIEAGAAG LVPKHVVDKLQVKPPGQKLVEVYLREVARTYGVKWPRSREVGEEMGKTEEREMGVLAT KIAIKEEEELKKNR EPUS_08771 MLCDANPSHAIAIRDPNPLSDAPFAMGFIRQTWTLTVKNLLIVF VRRWFSTILRALVLPVAFMFFISYVRNFFLPPSTYGFGSPRPIRNLTTDVFGPSTAGR NRIAFINNGYVGGQIESLISRLSTPLIEAGVDVQVLSQDEELTRVCRSSLRGTSSCYA AASFESSPTEGDGGVWNYTVRADSSLGFTIFVDRDDNAQQIHSLPFLHAIDAGIASLS GMDFPETMNEYPFTSQTRQERDDDIQVLYMGALIDFLAVTFFVGICGITYHLAGHMAS ERELGMSQLIDAMTANRHHLQTQATRLFSTHLAFDIIYLPGWIVMGLIVSIYVFPRTS SAIIIPFHVLTGISLSGYSILGGSLFRKAQLSGITITITSIVFAVIAQVAGPSTSATA AVLSFIFPPMNYTLFIIYMARWERQLQPTNLTEGAPESPWQLTGSIFFACLAVQIVVF PVLGALVERSLYGTASRARKITFDSPHKLDAIKLVDFSKRYKPSWWYRNVVSLFGEQQ RTVNAVNGLSLTALRGQVVTLLGANGSGKSTTLDAVSGLNTVTSGSILVDGTGGLGLC PQKNVLWDELTVYEHVAIFNGLKAPTKPDSKAQIRQLVKACDLENKFDKKSKTLSGGQ RRKLQLAMTFTGGSRVCCVDEVSSGLDPLSRRKIWDILLAERGVRTFLFTTHALDEAD VLSDHIVILSKGNLKAQGSAVELKHRYGGGYRVHVRDVSKVASSPEFDPLPRKVLPDE TIFRLADSAGAARFIDKLEKQGVQDYQMNGPTIEDAFLGLAEEGKQDLLEPEDPVLGA QAPAEVSKGGPPISTSSSDAQNEKAPLYLLRGKGSSLAWQTWTLFRKRARIFSRNYFP YFCAVVIPIATAGLVTFFLTGFEGLTCRLGELANNPRVATLPRLEYFWGIMIPAGSSG RFTRELLPVQYRPYADRLRRVDTFNKFQVFVRDNFRDVVPGGVYLGDNLDDTPLLAYR ANGGLYFSALAKTVFDSFLMNTTIVTQFSTFALPIASSTGDTLQLTLYFGLAMSVYPG FFALYPTVERLNNVRALHYSNGVRAGPLWLAYILFDVMFVLVVSAVTIAIFVAVFDLW YAPSYMFVTFFLYGLSSTLLAYVVSLFARSQLAAFAFTAGGQAIFLLIHFIILPERLL SDLNVVQFTYGLITPSGNLLRVLLLSLNQSQLLCRDQSLVGYPGDITVYGGPILYLTL QSMAFFTFLVWYDSGYKPELLTRAKIYVSDEEREAERRDEEVFTEVERTENSSDGLRV LHLTKAFGSNTVVEDVTFGVKHGEVFSLLGPNGAGKSTAISLIRGDIRPSSNKGDVLV EGISISRHRAAARGYLGVCPQFDAMDHMTVLEHLRFYANACGVPDVEHNAAQVIAAVG LEPFKNRMAGKLSGGNKRKLSLGIAIMGNPSVLLLDEPSSGMDAIAKRVMWKMLNAVK SGRSLVITTHSMEEADALADRAGILAKKMLALGTSDYLRRRYGDGYHIHLVHRQGPHA MREEMEALRHWVLTKIPRAVADDTMLHGQFKFRLPNTYRIHESQEKQSSNSMAWLLAL LEDHKDELNIEYYSVTQTTLEQVFLSIVGKHRIEEEENLRATQGVTKFDRVAAELKNF VRR EPUS_08772 MDAHKMLSVKTAQASIGEKQGYSSTYNGARTDGTRNTQTRVRRL FSFAQIFFFALSYMSSWEAMSTNIGLIFWNGGPRALVWGFFVVIPGVLCQVASLAEMS SVQPIAGAQYHWTHYLAPPRYRRFITWIQGWITWFSWISLLAGVVNIAANIILTLAAA QYPTYITQGWHVVLTMAALLLVQGLLNTHIFWIIPWVEFAAGLLHIILWIVFASVLIT LAPRHSANFVFFNKSNLSGWNDDYISFNLGVILVTWGFVGFDCAVHMSEEVRRARHAV PRAMFWSICINSVLAFAMTLILLFCLGDVAAFMDSSYPLLAICIGATGSVVGGSAMVG AILITVIAVSLGSVASASRLTWAWSRDGALPAYFSVIDSRHRVPVRSVWLPIFIVILL SLLNLASYTAFSVIIALSTLGLYQSYILAISCMLYARLKGRLEAGGWSLGHWGVPINI YAMIYSLYISIFLVFPNYMPIDSSNMNYALPINAAVFLFAVISWFAWARKNWKGLNKE VVDAVVADSNRNTKD EPUS_08773 MSILSAKVPEVIFHDVAAWDATISELRKQCDDPFKPDDSDYIVC GRRIPTASNGAQAFPDAYYRLKEAALRGDLALAKTIYEDEWLPHTATGQFTNKDLYNV FREALKARHTPVVAFLLSQNGPFRQHHPEIAVDHGMESLLRVFNNRPLCEWFLSHGAD PIVQCSYGWTPLTTAIENASRDVIDLLFEHGGSIEHGQLTHAAVRRTLPDCLEVIQML LDKGCDINTIQYHNHKLSYEHWNNFMALGIPLHTAAENGRVDIVKFLLDHGADPKLRD TKGFLPTDNAKNNNHPEISTFSSLTASRG EPUS_08774 MESQNNVASHDVCAELSSPAREQSGHSLNSHYHHLRGNSNSNSN SGDCETIITNPLLCRFLSYLNAGRVACPQGDHTYHTFTLQPRAYTKTLESLRESDSEV WGYVLNKLRHDLIEDEFLIIKMPSSTHDITVDQIGDLIKSQLAIMVETTSNQDTKKLI QDIKAGLARSVWLDDSKNKREPDQQFLVKGSYYPGVIIEFAYSQSFQKLRRKAYDFIV GSTGSVQLVIGLETGDKKSKSFKISVWRPEFYRSENRDAVRMKTITERDIIRGSDGTL KPGCLRFHLRDFDEDLAIKYPYAELTKEITLDYDVLARYLICAEQFDVPRRSPRRDII KAPYE EPUS_08775 MSIMKLSLLMFTVVALANPIAEPIPVDGEAVARTVDHLASRAIG DACTVSGKGSLNGLANERIVALLLVCLGFVRTLPIMFNAVNSNHVLPHIRPGVLAEIQ IKAAKEAGL EPUS_08776 MRRAITILFVGNAVALEHQIGGIDSYSKTTTAAFPVQIEMVQSI HDNAPPWDARAVDEEEILLSKNHLVVASPTPLVDGESGYYLKRTAETTPMSTNSAYII EIRSDDEAGEAMLFPRQVESSASGLLRTASGLIGDEATSTINAPGNSTATPEASTDST ASTSQSPFSAMPTTSLPPIQSSVETPIATRTSPAPTSASPSSQQASTSASQSEEAPAG STPASLSSNSETAVMSSVVESSVSSPGDQSDSPAAPSTIAIRASTTLPPSQITQPVIP LPGTTLTPNSAGQFVADNQTLVPGGSAISISGTAVSLDPSATELVVNGTSAISVIPTT VYQTPQPPTIPLAGTILTLNPASEYVAGTQTLIPGGPVITISNTAISLDLSATEIVVG NTSTVNLVPTTVYQTPQPPAIPLAGTTLTLSSVSEYVVGTQTLIPGGPVITILGTAVS LDPSATAVVVSGISTNRGASGFITRGPSGVPTRNATVTATATTIIEPYTGVASKNNID GVGWWIFAILVVVNMFMN EPUS_08777 MDWVSRSYVLGFFVYIGFFSWLPTSRVGAQINLAPSQNWDGNDG PWSTFEVQIGTPPQVARVLISNLDSSLWVPVPEGCNERDPADCPEQRGGLFSYNDSST WEGRGFYELPSQARSFLDYSANSLVGFDNITLGGMGSGGPSIGTSVVTGMGEKDFFLG HLGLHHQPLNISNFTDQHRSPLTSLFEDQKIPSLTWSYTAGAYYRDDKTYGSLILGGY DATRSNVHHNLTVKMPVDTTRDLRLAITAISSHGKTLLDAEIYAFIDSSIPHIWLPID VCTRFEEAFGLNYDSTTQLYRVNDTLHDQLLRSNASVVISVASSLRAEEPNGSVIDIT LPYGAFNTTATYPLLSSDTNSTSRYFPLRRASNSSQYTLGRTFLQEAYLHVDYGRSIF TISQTLFPSDPAEPGNVIAVYPGTNSTDISEGADQGDGETQPSPKPNNGMIAGITIGA VLSIGGVIAPGYFYRRYRHRRASRALQDSHGSQYSGSQRSGSQQGAVENKSEELTAEL PGDVGKEDDWQVTNRHLLDGREIRQVRLYRGRARPITTTSRSPDLYRGGRTPTSERIS RSTLKSESDGVNRENREIFELPGQTVQELP EPUS_08778 MYLSTPLLTSLALGLLTAASALPTADAAPNPVELAPRACTTIPP SNINILQRGDPNNPHNGLIFNIVRTGSPPRNEYISVVTFSNIPAGATGCMLSVQFPPL QYPN EPUS_08779 MPAPTFLRRPARSQSPNGTAYLLEPHRHPGVFVARGGKEDLLVT KNLTPGESVYGEKRISVDLPATPGLNGNADPVPNTKTEYRVWNPFRSKLAAGILGGLD VIYIRPGAKVLYLGAASGTSVSHVADIVGPTGTVYAVEFSHRSGRDLITMATHRTNVI PIIEDARHPLRYRMLVGMVDVIFADVAQPDQARIVGLNAHLFLKVGGGVLVSIKANCI DSTAKPEVVFAREVTKLREERIKPKEQLTLEPFERDHCIVAGIYTRSE EPUS_08780 MHETALSKSKRAQKAWQRKSSQVFELTTLGFSFARKSSQALNFD GYFVGAALQQHGHDDNNNTAVTLQRSIPYTLENLQERTYTSYGIRRNSAGSWWWRSRP GSRRVWRNTSRRQRGEQRLVAIKRYTSGANSLKVALVVIEAALAIGVDEGEDEVHPEV GALQEAEGAAQDLASKEEPKL EPUS_09335 MPRKLNHNAYTVGWICPLEVEQTAALEMLDEEHQRLPQAAADHN VYSLGSISGHNVVIAGLPVAGNNPTATVVTQMRMTFPNIRFGLLVGIGGGVPAKTDTG MIRLGDVVVSKPDGIHSGAVQYDHGKARVGQFERTGALAPPPTVLLNAAQDLAAKRAR LRKDPVVKNIKRIDTTIPTLRKYKYPGTVQDRLFNASYTHRQQGRPCVECGCDLSQLV KYEMEEEEEVDDDKEWVIVHRGTIASGELVVKNGELRDRLANIDSLLCFETEAAGALA DFPCMVIRGISDYCDSHKNDQWHGYAAAAAAAYARQLFFHMPIDQVNRDPPASESLRL WNTPDPVPHFYGRTVLLAQIVSYFDDIASGARRLPLILTGLGGVGKSQAALEYARLNA DEYQLCFQIDATSDQTILESLTSITAMFEVGGKQPDTDVPSERQLVPYFKLQMECCKS RWLLVIDNYDSPALVRLSSYIPRSSLGDIIITSRRTDASALGCTIPVEPMEDDESDEL LLNLAGFTALNTTDRERVYARVISEYVGQLPLGIELAAAYVKQIGHGGLSMYARLVEQ QDETVLYESLRNGPAGQYLSDYQLGVFDTWRRSFRMISEQNPNAAKFLQLCSFFDRSQ LNSQLFRDATSRKYHWTQAGNLAMLEPGKAGVPRWLLASCTRFGEWNEAQFVRLLIEL ENFSFLKREFPTMKSTNGNNPTPDAEGTMSEQTGQAQDNEEEGKGDEIYGLWIHPLVY QWAKESLEPAAKAKAALQAVWVYLHSIDDDAQETNKELLRFEIFADMKRPRFQLLQNS HPYHQDLVNAVVLNDVRNLKETLDGPKAMKNIFRDGQFQTGGGGMVDSFLDLIVILQG FRIFLERVYCKEMDPEGVYWTLPKADFQDTYAILIAFQERKLHSNEYIKASDIFTLAQ HYLAGQSEYAQAVILCASVVNDALFWDRLVAVAPTVDRLIQKLEAPRRDRELSILTIA ACAQLSISYAFAVGRSHNNNINPLADPMNLLDRERHQAIRVISSVGETALRSLEMIKA YQDAFEGKIRVRELTISKSVQWQLQMSYAFACLREGRPDEAVPIFEASISNAKTLHGQ KVALALDSEVHLAKKAQLGIASKQMAFYSRYTKAAGLDDPHDDRNKWLEFIDYAAERD PSIVENWQKSLQSPRDMVNKWSRIELQRRPHVGPRSAPTNAMPGGFIEDDLQLTPGFS SQGLEMEPRLTHFNWPTEPIETEIAALLTELGHEYSQFKSNVPKFATATLADSDVQET TDEIPAQPGPSAELVTSPNTTLEDKRIEFTSILYPPQPPETDPRNQVFVKTLTGKTLT ITIDPSATVEMLKDKIYDKEGIPVDKQRIIFAGKQLEDGRTLSDYNVQRMNTLHLVMR LRGAGMCRHRCPTRRCVPIVSEGSREHLFGRLELVEARLHADEAHGREPYLKHIKNGG RRRREPDIVVMKSKPPFVGGARFSLSLFSQDCQIGLLNELTPHSKDGGHTIKRASILI LF EPUS_05444 MTGVCQPPIPPGDLLLHAGDLSVWGTFSEIQAQLTWLSQQQHRY KVVVAGNHDLLLDPEFIERHPERWEQIQQTTCSTGEADDSKTAKDLDWGGLIYLRNSH VPLKFPDNRDITIYGSPLTPQYGISAFQYRPSEDFWSGRIPTNTDIVLTHGPPWGHLD GLKKSGCAFLVREVARVRPQLVVYGHIHIGYGMEESVYDRVGKAYEAVMGQLGGRGTL VGMAWGIVWEWFLGKIFHRAGKKTIFVNAAVVEGWEEHVVKNAAVVVQILVNLITRCS AGYFGEGIDH EPUS_05445 MPHSLYLKTVYPPIRNVDEYRQDLARYRAKWEDGEVDMERDEML LDIGESLVEHFDFLETKLNIKVPTHLLMELCNKREYDQLAKQNDTLERENETLKKENK ASKEESQAQKLEIAALKERAGEEGRRKGNRGAAWL EPUS_05446 MSFGFAIGDFVALGRLAWGLYKQCKGASAEFAEVCHEVLSIHTA LRELEDEAQNEDSILNRAGKGRQKELNNILQNCTEVLGQLEMLVTRYRSLGTSQRKVW DRIKFGDEGIQVIRNKLVLHTSTLTLFLTSLGTGSLGRIEKKLDDIAAEIRAGHHELS VITAVNDELGPSQSEAWTFLFRELAEDFSVQEVEAFKGEIQAYIKQLVDRGALEEHGS SPFSTKNAEDLLTPRLSPSPSPVVESSGASPAPIDWRNRWWPPKPTATSDSDSEGTDR VVRPPPNRLQPDKRATSTQTRRSSTWKRTEDRELEIAKSTLNSSTVPSGLTDNILLRP IEDRGDESRRWGESCKIGIEIGNDCCRVAAYDFVREEAVSLSDDFGCTEIPTCIAFTR DKILVGHAARNQATSNLENTFLGFTCLLEELNTDWSSKTRLDYQKFQCSSLRQRGQDL VVYVPCRRRHYTLVELTANLLSYCRQLAEARCSSAALHVCITTSSTWGISTFRTLAEA AEVSGIVSPAIVSYGIAFGLNYATRKQIWTRQDELSSMIVNMDSRGCSMFQIVVVSDA EGRPQISLMGCRMLQYGFSIDEVTASSLIPEALPSKHYIKKIGMQMQDARGNFLRGGE RFFDFPRLGDVEDFVPVPPRVDMCSIDENYLKNLLDHLQYCWYAARPVDSCILTGELA LLESVQSTFNQHLFSNLDPACILGVPSPDDPFNASKGAAELDLHTVDVDEFLPYCLEL QTLSQDHQTHFLVLADYIKRGQHRASWSNLMHLVPQSTKQGGLYLKVVETDRKSKALT RGVLFEAAIKIAMVSSRYSLAVSVDVDFNIGFKLQCEDGVQDDGVLAEVHCSNSRIVD LRRGNFVVVTERIRKEWLMKPLGEEIAPSPTFKADGKNIKPPGRDRGHKRDVKSKRDS RTETNKSRQPKPTAMWKIMGLV EPUS_05447 MKTAKAKRMTTGKKSELNCNFTSESSQAYDFDGPVMYWMKKLDD YRQKNKTMIFGISWEYLDFMVRMFLATHLASSKVIGDCRNGLPGDQLKLIPTSPNHPS RKFRVIQLWDKGTRSTAARATTSDKTVLTPFITRDLRTSNRRRWPSRRASPLKVDLVE EARNVYELYLKTLEEVRQYRAQRPVWECGEDDGLDPLPGETMEEYCARVRPRLHQKW EPUS_05448 MVGGQWIRINKEDMPYYFHARCLKNPDQVNGPESGPVRFRKRLE RARQRKGSPLKHEYKPEANMFWRFVEVDGQMKWIEKDWSLEPPLRIGGFSDSGDSDDD YLEIKQTAKGSASRTEHRAVKTLAPEEASAQSRDAARQEIPQQVDVPWKQVPLGGETL SFDDQAERKVSFGSTTITEIPEDFVTIVDKEKAQEIFAAAIDDGEESEDEIYIFDKPE RQDHDPEWNEQPVDGRGLATTAEVPKATKPRRQTTIKFAPVTIVKESPANHLPTLEYF PDFCTASKPIFRPDRQRQTDHAVTLATFSESVKAFKPFTDADSEGLNEGASMYAIRRR SPLQEEVCYGEDCEESGVDEVEQAPSCPMRCGSDGMERPSRRRMLRSCEY EPUS_05449 MCTKSSVDDGVKQLRPKPTTTANWGGGGFKSPLDWQVHGEIASC PKIPSHIVTGSTITLSITQPKQDPPSSLLLCVFHSLTVPPSRVTTRHNRRGANADVML QVYLVDEIVEILIVFEEYFTPRASQGLQHLADEKLASGGDEERVVVRNLDRGGHVGCR LRDGGDTR EPUS_05450 MAMPVAQTSPPSTNTAYGDLLEKQFANNVLHQIKQDPSNRDLII QSAYRGVARATDQDNGRLEPGQIVADNRDRSHISLEGNEVNSNETDQNENSDGPGSAS EPLTMAISPDVGVDELGIKREAFFLCMLRLKISTRNQNKLKIVSFTRTKAVEITNLFF INVMGAPLLTHLNALLGDPHHFDPDYVDEGPSTLAANLSEDKTVPARFRKLYRSFSVA PFIGKKKTSIVVKMRETMRSLQLLKDCKELCQILEEEHPDHGEMRSFLKNRGFRASRG ICYQSLVIEYLTKALEITKISLDNTLRVARAIATLVKQFGPGIVAALPPLATRKLAIW GCQRMDVVCKCLKEHAACFQPICDLLEEHVYRPIQDGRRPSMQPISLEGDGSLLEKIR EAVIVHSVTGQKKIPDTDASYDDMMDLEVEEVGI EPUS_05451 MCQQFLCAHCHYTAFDRCTFARRLNNRQMHPATCPSFEQELHER RLCSTCKAVDEATFRLYTWIETQGGDRLPTEGGEAEEGSSPLRPGGQHTEQEQQQQRQ SSTTQLPATASSQSGTQSAMKDNPAEIEPEATNLDYLDLNKVRPLSDSTIASSSTLPT TIGSSAVPSKRHSCGRPQDQPPSLVKPDINAPFQPVKARRMSNPSGAYNTVPPGFIPA PLSNENAPILQANTTLVEGQPAQRNLNFRPPIISSTTDGPTEADDSNQASLNIGALKR TISTLTFDDLTPRTRTAFPASEATEVPPAYARPRSEVGLPPLLPGQTGDSSEMEEDAV GHEGAEGQADSSREASDRGSNRSGERPLSSQEYLSRARLELMGDRP EPUS_05452 MDDSFQYLPLDPKQREIRLVTLEPGAWSHSIRCTIEARSFDGKP MYDALSYVWGDAKNRRPIQLNDQLFEVTENLWVALRRLRNHAIRRVLWIDAICINQTD NNEKSQQVAMMGEIYSNCQNTIIWLGEDEDVDVSETESKSTTASRACKMLEMLGADKH FYELPCFITAEGGRAEIKEEYSDHFEAFRKFVDVPWWRRIWVIQEMVLPKHVRFLYAS EEFSYSTLRSVVQGLQVHGTTCCKQHRYTLRAIAFDPILTFQEQVEPMVYTRETWTHQ TPITLFRLRRLFSAFQATEKRDLFYALLGLVTSWGANNPLYPNYGVSLKEAIMQAVFK CISEQGGLEFLQGERFYRGEIDMPSWIPDAHFSAVPPQWAIVEQRRLKIYSGFSASAF LRQDTSQLSLTANGALLCQALLVDKIVKVGSICDALGHFEEAPDVLRQWMEMIGVEFR DWPEQPPAEGSTQDVFWKTILNDSTELDTTESPFYRRPNDKDYVDLRALWEFFLGPFG RMIVSSLSFSEESHDKLLSKAPATIYHLFVCLQQRRIFVTERGWIGLASRQASPNDTV HILLGSPAPFILRPSNEASEVDKQAKTLPSYTVIGNGYLHNVMFGEAFKGDGEKDVRT VALH EPUS_05453 MLGHLLLACSLASQSSTAILVAVQAPLSLIYPPWVANGNTNSVN AVATAVQSDALSAYNAAAALLATQHLTGQDLGGLTPGPGVYKFSSSAQFSGTHTLNAG GIPNAQFIFQVGSTIATSSESTVNLIHSAQACSVFFLVGNLATLGAGIMFKCVSLAST AINVTISASNVGWLIALNHAVTLGTNTSTGCSTTLLSSSSASASTTGMPTTSSTGMMN AVTSSNAVVSSSTVSASPFTEPASQNLTGITTVRYCHESNIYQ EPUS_05454 MKPILYSINALLVAIVSCAATPTDAAERLEARKKSFDCKDKLVV NAFSKYSSLASSLCSSYVPATTTTEVVVSTSTPTPPTQITTTVPLTGTITETVTVTTP GSPITYYYNAPFPSNTKTILPTPPSPAKRSVTPEAVDTDRGFPPWVSKYASEEISSAC SCFGITPSTRTATSTSVTVVPTPTITATVSETVSTTTVTVTTTVEGPRPTVSTCFDYQ SYPINKDYSWLAPADLNTWSVQTLFSLSLIECCNRCYTTQNCISYRYFPVRSFFIYGC DLYTLPGNGALGNPSPIPKLLGYNERCPLGVYEGKRQLNPGPNMPQLAIGPCLDANYL N EPUS_05455 MAVVNPLSAASLFSLKDWVVLVTGGGTGMGLMLTQTLATNGCKV YITGRRVEVLETTARIHGSPGKLGPQGGSIVPIVMDVTSKESIKGVVDHITKTEGYLN LLVNNAGVWTTRPSVDPEAGPEAFGKAMFDESIDAWQKAFLTNATSLYFVTAAFLPLL AKSVSSPTGKMGSVINNTSISGFLRMSQNSQLAYNASKAAAVHITRQLAYDLSHKNIK VRVNGIAPGWFPSEMTTGGSDDNNESTPQEDAPFQQWMEHIGARVPPGRMGNVKDLAT SLLTLATNEYMWGTIFIIDGGIAQSMAGNM EPUS_05456 MSDSYDSDHPPTFLSLPSEVRCMIYKEVFAGATIVLPAANVPRR SRRLNGKLIALLQVCRVCHLEATPILYSNAVMKVAGPLAAGTLERGLRNEHFHRIRTI VASTSALDGKQMGRQLKEFRALRKMTFQLGSYPTLSGNADGQAIQLVVSAEMSKLRVS QMYLWIEWLVRSGISVHVAFKIWHWTKPRTSVHYIDYNINDDVTVISADDPFE EPUS_05457 MSEVENHFTVFIRLPFNRGGFVDPPPVAWNAAKEKELWEIISRQ SKSNELNWKELAERFDVTQPFLLQKAAWLYERQLSQVRAQMRKVGSRQSSTPSPAPGS MSGSAFGGQAMKRDGSGGSQVPSRLSTQARDSPSLRGEVSVPGTPNKVKAPQTLKMGS AATASHSRSGSITEQRMPLPISRHASKDAPTRPMDLRSRATTVQQEPLTRSPKELQLS SPSSSEQSENETASKPTVSNRLRPSSARNRPQPTRTHQSSPSDEGGDGEDEDEDDTSP FLPFAAASSASTHHPTQDQSATLRGGFGTPPRAVMPTTTRRGTMERITSTSVRPAVLV PQQQMTSSASSNSSGPGSSAPAVRPSQLSAPRHTNPPSHSATPLSPRRAAELAAAGLS PLRRPGSGREGSDGSPSMGSSFSDLDDASVTQSALEEALLSNMQHGGSGGVASRMSTI SQALRSRVFDQGGR EPUS_05458 MPPSAAIAQSPSNDRDGLSPSPEAPAPSNLTIADLLHDEDDDIE YEPSPEQSYMTDVEGEEDQDEEDDENEYVDAQDNLSSVEIQFSISNASIDNAEEEETE TEQTTTTPRQRNDAVRVTSAQLLEILRTSAPFRQLLTRGVFNGRAAHTGVDDDEDDDG EAGHGRFTSRRRRRPKSCESKYPSIPSEEGRELMRSGIYGSRDHFADVRRKRKKNVSE RLMWRRLGDDARGSYRRANRLISQEMVPATTVADKIIHYDSRAYSGQFSDDGNFFFSC TQNFKVRMYDTSNPYEWKYYKTVDYPFGQWTITDATLSPDNRWLAYSSIRHMVCLAPT DPSDPSDPTLLDFTNFAPSAGGSSRRATSHWGRAGFGIWSLRFSGDGREIVAGTSDHT VVVYDLETRQSVLKLDNHDDDVNAVCFGDTSSPHILYSGSDDQTLRVWDRRSMADGRE AGVFVGHTEGITYVDSKGDGRYVLSNGKDQMMKLWDLRKMMSPNKFKDVNLRRYGTNF DYRFNSYDPMDYIPNPHDCSVVTFRGHSVLKTLIRCHFSPAGSTNSRYVYSGSEDGIV WIWNMDGTVKGKVDVGKATLGTRPRHPDVVSYGYEFNRHGNGWKTCVRDASWHPYAPV LAATSWNGWGMANGTVSLHSWNDGNEDDEGDPPLSTNYNARLEIKDDYNEHTRKTRGP RGGLRSRVVPPVRYGQDGGAAGNGRVGDDDGAAAGW EPUS_05459 MASEEDNFDIDIYGEGETEANEGDYKQEDTDINLDGPNDERQHN DDSIKLEDPSTATSTVNGDVQYNDSTTATLQSTYSQQNTPTPHQGIKRKESSDDRPID PGATLALMISDLHWWTTEDDVRGWANQVECEDELKDVTFSEHKVNGKSKGQAFVEFTS LQAASAMKHQIESLVGSQAGSRKYAVTYSNPHQNPFKTYPKDGPARGKDDRPQRTPSG GSYNSPGPPTNNYNNTGGGYRGGRGGGGYNRGGMNNNMGGGFNNNRNFSNPMGGFNPA MGGAFQNNMGGMNNFGGFNNRGGGMMNNRGGMGGMRGGRGANMAGGMPMMGGMGGMGM GMGMGGAMNPMMAGMGMQGPGGFQGGNPQFNPAFFNQGQAGGPGDASWNPHGAKRTRQ E EPUS_05460 METHSNFTFVETGIPHSRRGTSRGSAARSLIRSHAMQQVWEQRR LQRAQSQRDSNFEIVFENEQMKGAKKSKTRPSQQSCGIQPASKSTKSAKKVNKQTLPP SNISEAAKSSIAGSCKENGRRGRSMNIKDGALQTLGDGSSGAEQVTQQAHAGSGNSPS PWNLSPPSAIGMCLMDPFNTCAVSLGTKESRYMTHYFQALSWRFTLPKQTWLRYAIHD PGLLHGVLAIAAAHYSFTSTHGLSDDALFHHWKTINHVQKCLDDPALRFSDGVIGSIG RMVICHLIFGDRLQFITHLTALQRSAEARGGLESLGMVGQLKYIISSCVAGAATIWWE DVPPQLKYPYGFLAYPAVDDVPDVEPYDPEWGSAFRELNHTGFLSDTLLDICEAIVAL NSVISSRRSWNEAQQRIFGDQCNKMSLRLVYMKENESTSRYQMTTQDHMRECVRLAVH FYVSAFQRDVPLLSNLSIQTLHRLEESLYLTDLENSWGGGQLGEVLLWVMIITASGCV RRQERECAGAQLRKTALQLGVTEWLELKRICRRFLFLDSAVERKTWPLWKDYGPRSED DTSPTLSQASILPNDEQAIIVP EPUS_05461 MADTTGQPESANAPSKNALKKAQKEKEKAEKKAAAKKAQEEKQT VVVEEDIAKDNYGVLPAGYSSAVTELPQLTEDYATKEVTVIARIHNSRSQSAKLAFLM LREDGETIQAVLAAGMKEVSKQMVKWAVGVSMNSVVRVTGLVQKPDPPVASASISTLE IHVQKIYLESETTILPMQVKDAERPPPESTEEGQVDAEGAPLVTLKTRLDYRVIDLQT ACNQAILDISSGVKTLFSEYLLKRNFKWVDSPKLLGAATEGGGGVFEVSNYFGKKAYL AQSPQFYKQMLIAGDCKRVFEIAPVFRAENSNTHRHMTEFTGLDFEMVFNQHYHEVLT FAEDMIVFIITELQERYKKQIAIVQKTYPKAGGFRIKDGKAKRFTYLEGVKMLKDAGV DTSEQDRFENDLTTAMEKKLGQLVREKYDTDFYVLDEFPMSVRPFYTKQHPTNKDLSN SYDFFMRGEEIMSGAQRINDARELEDAMRAKGVEPSQEGFADYVNAFKQGCRRHAGGG LGLNRIVQFFLGLDNVRQATPFPRDPQRLRP EPUS_05462 MARKRPNSSSSESEDLPLSKRARPFPIDDSDLDYEPTSTETPKV NSTYGQKGAFPGLDDGDDDELFYGPANDGIEYLRMVRSEAKGVPHILVGSNQTAQMDG ANGYNDEENGDGGYYEDGAFIAAPQPTSNLPAAKSIPPDAQEAYYFSLASRFRDVRIL LHQTPPLSAIESLTSDHPISLPPDSAKAQQQWRFLLQHRAPQMAQLACMEMEAVLEVV KLLKGLLASTVRTRSQEKVQRLGAWIWGVLGRCNEAGELGSEEISELRELGKRAVGLL VGIRDRSGKAYGHDEEEEDQDMPALGEETNKGDSVDLQIEPQVQVNDTGRDAHEKGPS SSTVSEAKELELAKAALHEQLSLGDQPQVNEQAATGRVDGENGDVGMEMSVEKQVRVM LDMVITIVGEVYGQRDLLEFRDIWDDEQGDISR EPUS_05463 MHHLIHPLSLLLAILPVRAYEVAQCYWAKGQTLPQITGLPNSYI PCGEIEDGVQPCCRVGHNCLEAGACYAPDAGMVYTAGCTDRDYASSSCPSKCGISAFW VGHSYCNGTSNNWSCCENQYGNTRNQQFFPYNNRQQCWCPEENAMIGFNAPEKIPDVA YLDLEQPGSISYFPGHTPSAALGGSSTTDSPASTTSDTDSSTTFTTSTARTSESSSSS SSNTNTNSPASNTASPSNGSSASHSAAPDSTMSPSTSAPLDPSSDPGLPTGTKIGIGV GAGAGAVILASLLYLLLSFLRKRRKSPPPPIEDQIRPKSIGSSYAGMMSEAQNPQSPN SDAKSPAWSGHRSELPADEPAVAASPTIGSTTSSSMPSHPLVVAEVEATPPRQSVQSG SQMARGSGTSQPGYGADGIKRYIPYNPARGRSVQDIAELPG EPUS_05464 MHKDESLPPAIKTSPRESIDLTILLEHKTPAPQSKRQKLNPSDE FNDTVVSKSQRPAIPKTTLFGSNQLPPKAATAEATPFMRSNDDGSRAREPHQAPSHTS VHKSSTLNNEYIMTHVDVQFVSPNGSVARTKPLKACDSLTRLLSHGKLAFGRLSTSDM ILIIRIPGLENSFTIVDGETDEFNDFMQLLSDVAWCNLAPENRETLRIEVSEMNNEP EPUS_05465 MHSSDDALTVGNSPDTKPHKIITRQTSQHTLTSTSSTKNATGIS LSTGSSVSELPTFQSEHPASVTESQTSAQSMQTSVVTPSANRTAMITATRTSQALDIK TSEKIPEPVATELDKWFRKHKNGIHPGAVTCVCAQYNNPIWVPSSGRRPVFEDRTGQK LQAQLYSLKKAGWIRNGRYMVLVVWNDAQSPVIIKGVLGGPGSKSKKQPPPGRSLCRI WKGKVPGTTPAGFLKDSFVFMVRHDELHARAENTLEEAPLSGPNTYTASSSLHSAPPS PALLSRDQARKSKPDDLTVVRQDPREALRHLPSGLRVMLGDYYDKNGTHHAPVCACDE KRLSFAIDVYSSIVLQDSFGSKVPMDRFPTGFEGYVFLVAKGETIIRYSLSDTTSRNY VAWYGDELFEPIDLFDYQTTEPQRMNWQGIWILAGRSCRLTTLIQMQ EPUS_05466 MAETNTKPLGSANLKPLCGFTFNKDPTKGVKSKASKTSSALLAD VDFERKNTPQPRLPPNHKIQKRPITHPPIAAPYAGPDVQKVVYVSKHTPIMAAVKRVR KLLDQAEKRNLQRKGVLGTGKRTGNIAAAAQTKSRIKQEEVLVKGSGRAIEQAAKVGE WFMRHAEDGGWLVDVRAGSVKVIDDIVEDSSSKVEKVQTVCDEDENTSQFETLRNPAE KDHVHAPKENGDHKLGNGDNPSEGDEHASHVVETAPKQKAPRHKKRKRSMYDADDVPE ARTRFIHAVEIAVSLKG EPUS_05467 MSTHLSPTAFNAGQVSPSLSMILSRQGTPTKTIRTSDLKESSYN FSNGDDNVNHAQSWQTTVWLSNPETYDCCMRRRENGDEVDINQLFARLREVGLDQNQR SSGTMSQKTTCTSAEGIPSSLYLSSFNFFSGSTLPVGESSSKPLRAVAGITLDMDFDG KLD EPUS_05468 MENSLEEAISPTAITSPISRLNASSEADADGTSPSSRPSENKLS STSTSSTQGSETALVLACPDCPDKPTFKHRHQYNRHRKSHDRPAKCKIGECRQSFAWK RDLERHIASKHPQATRHAKFFCPYPSCDRARDGTRGGFPRNDTLTRHLRTHEKRG EPUS_05469 MRWKPTTFSSASEHQRAPLYLAPHYSVRSLRLAANYAKKVSSIV IFNLNIASTIFCKDECQNMEELSAVHENLDSIACSDVRITSRSRSRSPSRSNSTKHRK TQKIHNRLDREAHPLIGRFISSLNAKEAINPQGRYCTFILQPGAYEETMKSLRQSDSE VWGYIEDKLRHDLIDDKILVIVMPTTKIHECTKNKTSDSIKSQLTVIAGKTLNEGTKQ LIRDIQPASAATIELYGTKSFLQPDAQFYIRGLYFPGVVIEIAHTQDCKKLRRKARKF VTGSMGEVHLVIGLEIGGKLFKISAWCPEIYQIENQDAIRMKTLIDGDIIRDSDGTLK PGFFRFYLEDFGTDLATKYPNADMTEEIVLSYNVLAEYLIDAEECDIPPPPDMGKGKY IVMEDSCSSAGEELNSEDERRFFEFERRSAARQEALGYTY EPUS_05470 MFCVAKPDDLQSGKALGFKTVSDLEGGFAGGINGALGIVHNALN FAIVAVQAVGNLGGAATKFAGTRTLPTPVPDDNVQPHASNSQQTNPSPHGQASDIGID PAIAGADQIQGQLFELYDLVHRYL EPUS_05471 MAASQDELDMEAFQRLSDTYQPDVQGPLVRDKLPIQTLINEFNN ADPAYVTKTVALASSYSQYRPIKGDGQCGWRAVVFGYFECLSSQDVVKIASEQARLRS FNETIVAVGHEATMVECFIDETMKLFDSLRQTIQSGGESDQLLLDVFNDGNRSNSIVF HFKVCDPLLGKKVVVVSNGQQLLTSTTMKMNPDRYQEYLVEQPLYEYCASNVDAYERE IEQISLQALTDGVIAPANMNVIVMYLDRSKGNEVTQHQLVGKAGPDWPAITLLYRPGH YDMIYKSAPIRAHRVEISTGQDSFLPTSGFSTRLSDLRVLSHFNENQSMMHQYHGDNY PTSSGSMDMSFARFFPPPIAQATMAEQQAASSAALASSTASYDASYMHSGVSAEAFGT TTNQYGVSAPEDGYGAFNGAWSTPPPCYHPPMLLPPQYYAPPAATSPISPPSPGPSPR KQELQIRMSQPCYEMGQHHHEILPLETGPFKNSPFNRGHFLNPDFQPLMWNADDDYV EPUS_05472 MSDDDDFMQESDPEQYDFEYEDDDEEESGDVDIENKYYNAKQIK ADSPEDAIDEFLGVPALEDEKGDWGFKGLKQAIKLEFKLGRYDSAVEHYKELLTYVKS AVTRNYSEKSINNMLDFIEKSSDDRKAYKCMEEFYSLTLNSFQSTNNERLWLKTNVKL AKLWLDKKEYDQLSRKVRELHKACQKEDGTDDPAKGTYSLEVYALEIQMYADTRNNKR LKALYQRALTVRSAVPHPKIMGIIRECGGKMHMSEENWKDAQSDFFESFKNYDEAGSM QRIQVLKYLVLTTMLMKSDINPFDSQETKPYKNDPRISGMTNLVDAYQRDDIHQYEKI LKENQDVLADPFIAENIDEVSRNMRTKAVLKLIAPYSRFTLAFIAKQIKISIAEVQDI LGVLIVERKLKAKINQESGTVAVQSTSNLDHLQRVRDWNKALESLWSTVLIEGEGFKN EDPHGFGSGIGPSFDGGQDSFWGNGMSMRRKGPLRGRGQKMHG EPUS_05473 MGGDLNLKKSWHPQLLTNQKKVWEEEKRALEERKRTDQMMKERQ EERAIQELQQLQEAAGGRKRTARVDWMYSGPASGQAGTTEEMEGYLLGKRRIDGLLKG TEHQKLEKSSNEEAFMAVQHAYTARDTASKIRDDPMLAIKRQEQAAYEAMMNDPVKRR LVLKAAGAEDMTVSERDRKRQKHHHHHHRNSEERHLRRRSRQYDEDKERHRSKRHHRR RSYSSSVSRSPEPSPRRSRRSPSPFRNRRSYSPDLRKRSRSPYRGKRHEKEVRKMKSW HSSGPTSRPRSRSPHYQRQRSPPAEDHRASKLAAMQQAASELDRDRERRLAAIAAKDK EEAEKDDVLRARNSKVGGRADFVNGLNRRVIGEMDLGERMGRGKSGMEREQEAY EPUS_05474 MAEPAHNPPLNYEASNTRTHPQASSSLPPEVVTCLENARFLHLA TITNPTPTSPALPHVSLMNYTFLPGGSSSHPTSPLPPHPTIIMTTNHRSLKTQNLLHN PHVSLLVHDWVSHRPPTAGGPGGGRSGSPPAAATRSSLASLLLNMNTSALSSISTTIT GEAVFLEKGSPEEKWCKEAHLANNTFGDQAREEAGLFGGQPPSAPGALEGGGSAGSSS CYIEGEDVRVVIVRVREGRIADWKGGVKDWVVLDEDELQKRSSESTGDRSDDGTRGRT PQDQVTLVNGVAG EPUS_05475 MLVRRLVPTSSVSSSSLLLRSFTSSSTVREPPRSPSLGDVTPDG ADTFNARQKEFRENLQAAKKAKEQADSQSLATPEFPSTAHKTAPTANPGGNASSTSVL DAAAQLDPAALGSLSTHRILGEARKAEQDAASSKKKGGAFSNLIYGTVEGQQMDKEME RSFSQVLARGKYVHSIVFHEVKPDKVDEYVELVGGWYPRMASMPENKVNLVGSWRSEV GDCDTFVHIWEYQRYTGYHESLHNISRHPEFPAFDKRLKTLITAKKVSLMQEFSFWPT TPPRRLGGLFELRSYTLHPGNLLEWETHWRRGLKARREVMEGVGAWFCQIGDLNTVHH LWQFADLEERKIRREQSWGIEGWGDTVHKTVPLIQTMKSKILIPMEWSPVG EPUS_05476 MQPTSPPPASQPQDDVMREQGSSQDGDSPMNLSSMEAESPSGGR LGHVLSNGRVVCREYFKEFCFQYEALMASPGGHRHGVSEGGKIQDDDYSLDTCSESGS DSATGTSKGSQDDGWDEIETVPCYSDAVAGIVESEAEQTPGGRQAHKFGYSENHTCCS DSVFEFNDSYWDMSRDHSMEAEIVSAYDLPDVPRTAGEISEVPQIPFKPVEQVGVLAE IDEDDFARAVYANRKGFEQERRRWDVKMRPEATRRVHIEYTNGRAMKRNAKNARKTAR SPSHERERLGKLTLRVKREAERSMRSIKEKGKEVWGGGTW EPUS_05477 MTSPRMNIEAEVSNSSSSDSSREGFSHGKGHEELHSAVTTPSST PNISSAKFLPAAVTAEIAPSLAFCQPKPPPGLYRESSSRIPSDSRALHAYPIAESTKH QPLDTLYNMMRRRQNQPNHISPSLVAPQIPSLTSDSSGLLTHIQDLENKLAILQAKVM QTTEEPSGTNIDQQHLPNHRVSEGELVGTNSSDPSQINPWIVEIKRYKKLNYRFGSAE LYDDSESIEAIRAKESAARGGGYILNVYREYDWEGNALNSKLEICSTPLLEVIRDVIA YYPGPEFDLLRWEETAGDTVTFSEPYMMLFTHRTELNDCLQRPDIPQETKSHIGLLLQ FLREDMPRTSAKLDEIKAGTCKKIAFHDLWLLYPPNTPVYISANGQDRQMVVYSRNVP EKNMKGQWGVLSLYCWSAKYEGEHLNRDFYPWVIQPYHGEKALDHLELIPMQYLPNQD AVRSRLIARGNRYFQLNRGPVLQDYQGDHFPRVFKDEPIRVIVDQDTYWRKHGIEAPR NDDPSEEYGFPKGEDLLEDSEGHPLQRALVCCYPKVGIFSMRDKEWASVRVDDLHPVK FREKAFKRLVIKDEYKKLIIAMVQANMMEDPGFTDIVGGKGRGLTVLLHGPPGTGKTL TAECIAEKQQRPLYSVSCGDLGTEPFELEKRLKEIFSYAVAWKAILLMDEADIFLQER DVHNVSRNALVSIFLRELEYFDGILFLTTNRPGDIDEAFQSRIHVSIGLKALDTVERR KVWSIFIREMDLSDKDKAALMTHVTDKFDNDRLNGRQIRNAMRIAIALANVKKEKLTP DHLDRVIKIGREFSDYMEDLNKMSQEDYAVALGRRGPTA EPUS_05478 MESSSGEVEASVTPRIQIEHKPNDDAKAVKNTKRISFGNVFDRK LRSKTSLYSPPLLTPSENETDFPDKRSKLGRARTQSEDLGPRVKEEWAEQICDQGSLK QSTVYLQNSVSDYEKEASQQQWTDLFEINHDVGELEKLAESSRRRKSKRAGHDVGMDG LTRITTVALEYSKMLDVVMNQSPEYAGLAWGAIRMLLVAHTNHSKLKQAVENYIIQFG QEFGVVNQLMKSHPTAKMVEAVSEAYAAFAKFLAKAVQFYKESKLTSAVKAFGFRWET RFQPYVTQAEAAFKHIREIAQAGHFALTVQMEHTIKSIDAGNEQLRVSMRQDTVDLRR QLKLELRNEVQASFESFQKNWISRFEQIMVQSTRGRAARSGAQQSESSPASETLVAGG YAEAMREPIQHGVATATFLAEQVTKAKRLQRFRDRAFPRLQDTDNDTVKLNARLKHIT IYDIHSIIGLFRNDTVRDQLQASCSSLLWIKTFRRSGLADWGSAFSARLVQNAPKTED KAILYHFCGNHPSSRPVSTPMVFIQSLIMQLLQQYHKKFIRKAFPFTLEHFQDAQEDM EELWELFHSCCKEAEPRCVWLIIDNLDNLQKGPEYDALLQGLLHLTEEESRVFKVFVT VRTSGTPKSILDAIDADPTPSRIATVTVPKSQYSRVVAALLSKQKRPARLPDQSSEPS TPPKADIQDLLESSEEDLLSEKEPDKLASPPPISPRSKPAEASLSNDASDLDLSDTSL DFVKADPFASSSESSSLSGGPAGDDESDDDDSHDEDSSFAAAAAAAAPWPQKTWISSR LSSSDESDPGENPRAKQRKRHRGRSRNKVEPNAGAPGRQGSGSESS EPUS_05479 MSYDQDEREYFYASRPGYSSTRRNSYDGHYDQERRQPLPHLPMQ DYKHEEYRDKPRKSCLKLDQAPVSHSRALQSPLPSPASTYSRRSSRASFSDYDEIQPY NPHQRALTFPQNKTKDDDFLDALAEIDKRRKMRNKTLLYAGLACVTTVAASNNIYQAT KAHMGRRQEMQQGTMDAEAAKKARTKGLKMDLLSLGVGAICINNAVKGWGKYEGLKKE EKKTDAELKKKRRAKREEEEYFESLR EPUS_05480 MVYIRQRNLEKLHEYKYSGVDHSLVSKYILKPFYTNVAIKCFPM SMAPNLITLTGFSFVVVNFLTLLWYNPTLDTDCPPWVYASWALGLFLYQTFDAVDGTQ ARRTHQSGPLGELFDHGVDACNTSLEVLLFAGAMNLGQSWITVLILFGALFTFYIQTW DEYHTHTLTLGIISGPVEGVLTLCIVFFITYLQGGASFWQQSAMKTIGLEKNALIPDL LYDMAWKEWFMVYGGMVLVFNTLESAINVMKVRKERGQDPARALLGLIPAGVTWVLVT AYLALQPTILHHHLVPFIFFVGLINAYSVGQMIVAHLAKARYPYQNVLIAPLAWGVID SIGPRLGLWPSALGDGVYQVAFVFLCLGLGLGVYGSFVYDVITTICDYLDIWCLTIKH PYVEGQSDTAEVKKSK EPUS_05481 MHQPPWMCNNKDCAFRNRLLADAQRTTRHVCQPVPIPVFLNPFP PPNPITVLPPPPLAPAPPVPFYFPPPTVPQPAPPALFPMPGPPVPMLPPAPMPPGPYM LPLPPAPAAPATGQPATPAPPQPEQKQPPPASPEAQKSPPIGSVIHEKSVHKFEPKKG PISKHHKEALSDFEERTRRQEYRDLSESSKQQPAKKAEESKPRSILKQPQSANPTVNQ EVHVNVYNGGQQTKAEAKNNASNSESVKSVPAKDAGSVREEAIKRAHSLAQSIAKATL PAATMNDVPAPPSQAGSNAPSQTPSHNSAEPAAQAAPMSGALPPPSHTGSHAPSNAPS HASSKPPSHASKPDTGRPAPSSASSTIKPPSHRSSSTRRSHHRAPVALASATANALVS PLSIESWRKTVKRPVPA EPUS_05482 MSCVEISGWMSPRLLLITIAIFSLVGYRVWKRQVQRQEEDQYAA RMGCKAPRKWAAKWPQGLDMLLQVAKHARSQTILQFFLEVVESSGTTHEQQLLGLRGI NTVEPRNIEEILSNQFEDFGLGLRPKHFAPLMGSGIFTQDGAAWKHSRALLRPQFASN RYQNFEEMKKCVESLISNIPADGIVDLQPLFFRLTFDTTTFLLFGETLSSLQSSEIAG QESEFAKAFNLGQEYLSHRGRLGEFYWLANTPEFRRACKTSHHFVDQAIQRALDAADA PQSENSILEVKKQYVFINALIQETRDKKELRDQCLNVLLAGRDTTACCLTWTLRLLAR HPQVLGKLRTEIESITGLGEEATPPTRELLRKMRYLDLILKEVLRLYPSVPVNSRAAL KATTLPVGGGPDGQSPILIRKGEAVGYCVYAMHRRTDVFGKDALEFRPERWEDGELLR DVGYGYLPFNGGPRICLGQDFALLEAGYTVARLVQRFPFITVPVGVPPVELGKEKQIL TLVVASGDGCMVQMRRGN EPUS_05483 MADPVSFLVGGVSIAEVSFRVINYLKAVKMAAETIDDDIEGLIN EVEGLMVVHGQLEQEFLRNVNNDALGEEEKMLWFNTGQTLKNGQKLTQKLEVSVRHIY GEKRMVSGKRDALIKQHRKRTKDGIISGLRDQIGTCHGGLQMWLSCISMRSTRENRED QKSQLEQLGTLVRGLESQLEHMQDTALPPYESIAGSAVYTDFTLVSLSVLNQIRTSIN IFGNSVTHTTSMTNKHFDTPKPVDQFYTGRTDQAEQLNNWLLTKDYERRDMSPEKTHA KQKRFVIYGVGGSGKTQFCCKFAEDNRDYFWGIFWVDGSSRQRLKQTFSQNVSIIGGV GANENAALNWLSNLNEPWLLIIDNADDPDLKLEEYFPRGNRGHILITTRDPLNKSYGT VGNGFFEFQGLKNDDASCLLLRAAGQVKPWDSTISNIATTIAKTLGYLALAITQAGRT IRQGYCKLHEYLDFYERQWKKTRQGRQAVKVRDTADDLSVFATFELNRRAIEARDTEA SRDALQLLNTFAFLHNQNINFDIMKKAVTNSKVESMQHEENKKKEAQIRAASPPPDWP TWWKKTMSAILAFIYKNRSPHVLPSVIRDGRESKEFDPDRLRAALRQLAQFSLVTHSE KIDSYSIHPLVHKWARERPDMSIAEQGVWSEAAAVLLSSCILIPPLGNTREEEHMRTY LLPHVDHVRQCQASIEQRMRDKRMARMKPWPIFEGGFNGEKALMYAKFSLVYAQNGHW EEAKRLQLAVKDFTMQVLGLEHANTRRIMLVLSDTLFHLGQSDDAAALQKQVLDACTT HMGADHHDTLVAKCKLGESRFLQGRHSDAKKLQEEAVTALTERHGLYHEDTLNAIDDL GRTVLMFYTDESIKRARELHLTAVDGMKKVHGHDHSRTLKACENLCAAAVQSGDQNHL EDAHEMMIQVFETRKENLGREHAYTLLAMVNLALVKSGLGNLRGAEELILLALPIAER NLGSDHMGCLWGRYHLGKILVRQQRWEEAERYLVDVTERQRNLLQGRGQYHPDRVGSL VELAAAYNALGKFEECNRAVDEALDVLEKISTKEVITPNWDKDWILLEDNDNAHGTRG KAENKVKKAKARLGIQWEANCPESPDLNPIESIWRLLKQRLKNRGLITDPEELRRAIE EEWDKITLEEINKAIATMPKRVAAVNERNGLPIPF EPUS_05484 MKFSVTTLALLGGTLPIVFSSPSLQNNAPSSQYCSRHPRHQKCE TSSSPVISSTEVATIATSTAAVTTTPTTSSTPAPTPPANCSAGSLQITDLIWVNVSSN LDCPLAVDPEGLCFTGKPVQPAGYGPPDYVFFTVRSTFTNRSSSCIYQNPGSVPAGGE PGRAGITKCSTGDQSFIFSFSAGASNGMGGSQTAELSVTDLLTNCNMLSRKLRPRLCQ TCRDDLLALFENGFASSSTYNVYRQRSAWSALPRPLYRGKGIRPFSSKHASSNSNDAP KPPSDAASTDAEVVSQIYHAQLEAITQQRRMPKVGDLNELGLEYTTERLDEIVHHQWE AENAAVFESPEVTAKATQGTRQVMERYEQDLEALGKGIDESFRDSSETPAILQDEGIA EFPTQGEGEFLTDLPNPVQALRNSDASVAEVVRAARQMHGEYLPEGVLSENESKTYYR LYGEPLERVPEGKEIYLDEERALHELLNQEGETVAYDRKGSESDVNEEDSPPNSDRNQ LNLTKSYNQRALEVAEQIGGEFRPYEDNAATEEEAVERGHPLTLAGRFSTSPRTIYLP QESFVQPIQKMLSEYSNNHVREMCERVFGGPGLPNSPLTPRIGRTLPQTSIPLDASQH GMGPMEANAYVSAIWPSTYAAITSVLVETRKRLGSQWLRDLLTKEGGASVLDAGGGGV GILAWRDIIAAEWEALHSSDASPPPTPLGKSTVLAGADSLRYRAASLLGNTTFLPRLP DYVHLRDRATLSDDRPAAQRKQFDVIIAPHTLWSLGEEWQRKHQVQNLWSLLNPDSGV LILIEKGVPRGFEVIAGARELLLSRYIATADSPNYETKLDSSDEDRLVQKGKGVIIAP CTNHAPCPMYPVPGVSRGRKDYCSFQQRYIRPPFLQRILGAKDRNSDDVDFSYIAVQK GRDLQDTSITNGPVTQGQDATDSAFEGYEDATDPTETAQVNALTLPRLILPPLKRPGH VTVDLCTPAGKIDRWIISKSFSKTAYRDARKASWGDLWALGAKTRVPRNLQLGGEKSK RGKSQKERLMNKARSMVEEMKEEKRADRAMEAELERSIDAESQAILEAEDDDFDADAA EILKEIEQEREQKKVEARSQRAKLRQRRAPSANPLQEEDAPKPQPFHQSATNHMSNDS GTNSSGEDASSFETPESLSPSDLAALADYAAESRADQLNSQSLSRLSGHSAKIRMRRG ENKFEEPEKEKWRAGIERRKAEGRAEKKGRNGRRSFRDRGTSR EPUS_05485 MPTPIPSNLSPLLKLPAPGSLTLITSVLDATSNWLLLRYIYAAL RPENQDGHATTAARVAVGANEETTHDKNKDLKVILISFLRPFELWREMGKKIGLDLPS LLSASNPRLIYIDAFTHLSYPPSTPTPPLPHQPHANIHTLAPLTTLATLESRLLSLIP THLPLQNYVLVLDSPDLLLAASPPTANIAPLTLSSLLLTLRSRSCIHSTVLTLSADGP LLHNGANAAATPLELSHREFVSGMAHQAERVVQLRGLDTGAARDVSGVLRFSNGGGAA AAGLEETEGGEAGVKEEGEWLYQVKGDGSVRVWTRGE EPUS_05486 MAISNEGSPKLVRGRMTYGSTGRRRHSSKARSSISGASWDQSPL PLSLRGTSFNTTTRIPTAAKVRDHQKVRLNRPHLPPGSSRVILAFNQSSEQVRPFDAF VNVPSPFAKQKEVAKDQGYPSTPELDIEPPFTTTSNGFKTLPSFHYTSTPPSPPPEHT FVNHTDFTPDTTMYSNRRAEGYLDAKTRAINLQHTKPEWTTWDFLDLYLSNLPPGIKT VDIWRNFKREGDVDLIDIFVTRGGQKDTKARLRPPPRRDFFTSSSKYTLNFEGGRTWP IQVQLDRDQRKTFTTTSPVNENVTYPDTTELGAESIDFGVLVAPDTMLVKRTRHSTPR GAVSMVLNLGRREISIRFPSVYADKTREYKFTIPIDQVFDLSQFQEPDDTKTSFILTL RTPPHFYKKLSGGISATHDDAAFTWREDDAWLRQTNVFSSEQEVALLKACSVTLKNHP EGVVNIGRWTTYRITVDDKSVDKEKYRVFRAALRDYNVPIARVGRFLLAQRGEPAWDL LDASLTEHDPTTITSAAQVAFEHSLHSLLNPKMNEIYLPFPVRYQLDVCISNGWINEH NITREFLETLSKTDHERSKHVLECVALNNLRIFDPMDVFQLRVRRLPSMRSRIPENCV LIRSVIITPSTMILTTPYIEMTNRVIRRYKEHADRFLRVRFEDDQFRGYARIGATSQK TMDEVLSKVFRTLTRGIVIGDRHYEFLAFGNSQLREHGAYFFASLPSGPTASHIRAWM GRFHHERIVAKHAARIGQCFSTTRAIRTAGFPPVRQSDLIDDIERNGFNFTDGVGKIS RFYAETIAKELGVRGTPPSVYQFRMAGCKGVLAIAPELGATNVKIRKSQFKFETTYSG MEIIRWSEYWVATLNRQLILVLSSLGVPDDIFLLIQDKEIQLMERAMVNDTAAMEALT GHVDPNRMTLTIASLVQAGFRRTNEPFVTSLLALWRAWSIKYLKEKAKLPVREGACIL GCTDETGTLRGHFDADQVLEEEAPESRIARLPEVFVQITSPDSGYRRVIEGLCVIARN PSLHPGDMRVVKAIDVPALHHLVDVLVLPQTGDRDISSMCSGGDLDGDDYVVIWDERL LPKIWNAEPMDYTPPPPTPLGRDVTQTDITKFFVKYMKNDFLPKIALSHLAWADFLDE GIRDGKCLELARLHSKAVDYPKSGQPAQMPKALNAKRWPHFMEKKGRNTYKSHKILGQ LYDAVDRVAFLPNYDAPFDVRILDASEPSDDIMQDARELKHEYDTSLQRIMAQHDIKT EFEVWSTFVLDHSKASKDYKFHEEIGQLSNSLKEQYYNAVIDKAGGKSWSNLVPWAVA MYRVTKEELEAARLQGKGATMPFISFPWLLRSTLTEIAKGVDSLATKKQDCGGRDLLD NIREPTFNSETQMYDDPYQPGANESEELVLSDPLKEESLVAKEQAPSNDLFVTDSNQD SDIASTAQTDAHHIDNEGFPPGSYVPGLGIPPQPTSEQFHWIEEIINRPRSSDVSPKS ILNSFSACGKPASRDDAVGKHEEKFAHHSRTDPPLSLIQHNSMMPPSLRVSDASSPLN TQESVNESTPVRELSKIFKGIKKMVKSNQQNSSSETDARVNIEPNDGKENRDRSASFS ISSSTSDDLAGLQVQPGPPVASDLDQSEEYVSKDRSYGSGLDVRNGDRKQPSSSIEGT VLRPGTISEERKHPAQFTWSGLLGKGSLDRKRGEGDGSQEKCRVSPGENKRLVDNLFT KRKNQVGDHPQDVLGSSNSLIEEDAEAHGMETIVQEMVFADPSATGGGKRHTAAKFGL RATDAGNRSLLDDDDSNDAVGGESTRDEAVFMNPLASSGGDPGMSGTPYHGDKRASSY SLLDDGLHRAQDEDDDDDDDDETILMDPLASGGSENDADRLLKLGGL EPUS_05487 MENISSLIREVDNLLTGTTEDALKSFGCFLQNENIGQGELAIWA LAKPCQIVPLAKLVIDLNQEPSIFRRLAVLPTFVNTVLEMDPSWIEDRPDRTDTGAAS NLSQRHRFHCAALVAHYTLNKEALPISTQQLVQDAIVSAAESPTEANLRCLSDVLSAL PGNILDFFTEKSLSRLSTRCNDICSGSTKREEFSRIMLAQDLLAQVAVAFQTPQSPSK SSLETPPGVKFSEKCRKRVFKLFSGSNALSALKLIVLYLSVFCSDDPGSSPLLPLEAM ILAQRIIAPISVPVRRQWVEEYPLLIEKFLSRLNREALGLNIRLEGVMFCFLLFGADS EMSLALKKVASAVLVAVCDPKSSYDSAVATGNDKAIAEILVRLCSSKASPSRTLPGKS AIVTRMLSFIVEQCQPWCSNAVNTALKLYQAKHVLNSLHSASNRSGILPCIVDFIQLG YVPDWWGSEVTFPLSFRDSEVVNCIGEEVCSDSLERLRLELATSFSSLLLTAAWHSGR SLQQPETCQRLLNRLKESSSGTSDTLCSYSGDQTMGLEYNVALIEAQTECEALRARLQ NETAQRIGLEEQLAQTKRLLKEEKDASSALESYLETAERECADMGDRLRQSREDYTEL LADADRKVQAVETKYVVEQVNYRAELLGREKELEIELEEVEFDLEHKEEELQLEKQKR ENVEAEMKAALARADGQIEELRLAVAEKDKALGEAESLRMRLVALLGGRVD EPUS_05488 MASYEVVEQNDPEITPAATSPRSNPASSASPKTSVASSAHGRGS GDDAGDDACANSTFLALKTFASPSFHGPVLDPTASSTSTIQSYSHHEQRWRLWRKSIG EWLLTATLCGSIAGVLHSYSVHETLTNPQRRTFNAIITGLSVGLGLNLIASLKSYAKM MRWRLLASSYRPLDQFDLIMGCHQHINVLQLFLKARRPKFPFILTFTQLMCLVWLAIC VGATLLVAVLGLTYSLEQSNDYLGVTTGNISVVELSYIGNSNTSVSTYYSELGAAYAY GIQGQDYWVEPVIGDMGLGFGRSIEADPAYPGYSEYWFGDQNSRNRDENGMSDRYVNS TAACNAYPVINDYKTEGNVVYLEGGQNQTAFVYEYVPGGMTYISQTNSTCGDRCTNIN AFQPMLIANNQADSIGVAQFFKCYNIISEVHNVPLEHAEVYGLPDLQARMLAGTVGWQ SGEFINDTRQYSLFFPNTPQAPKEAASEDYVALLISHFSTKAIAAMDHRGPRRSVSSS SVPTFAVYLKVTWKYTVPLLSIIPGMHFLTLAAVIIWANKAIIKDEAYISIAKLYRSL VEELGPHGCLMRGEQIIDRLGNPMVGYGFQELHDSGVGSSGGMKHVDIFRKEDNVAVQ RSFSEGHHDGRGWDSKPRREVLKVKLD EPUS_05489 MSRPSSSFPPLHQIRHLEIARLEDLSLLIQSFPVIDNHAHNLLH EDHAYGSSDFPFESITSEAQGTALAEHVHSSLSHIRAMKQLAQLYGCSESIQNIKAAR YEWVRRDYLGLIKSCLEGTYAIMMDDGLNKEVVYPYKWHRQLVPRVSRIVRVEAIAAE VLEQLVLVAGLLKPGTDADWDRDTTEAFFIRFNGEFRNQIRALSNNANVRGFKSVVCY RSGLNVSLESRKALRPQQSLTESGLLAAFQEFLQQAVRNSNYKIEKKAFNDYLVVAVC DVLEQRARTEGETTPFQFHTGLGDSDIDLVTANPAYMQPLIEAFPNVDFVLLHSAYPY TREAGYLASTYQNAWLDIGEVFPMLSRDGQESVLKQALELTPTSKILWSTDGHFYPET YWLANRQFRDVLEKTLHAGVIAGDYTVPQAINMAVDIMFWNSNSLYKLDEEERCSHLM IACGRGSPEASMRTLVNRSSDAESLNALLHSTDPIPSRQNSDPPRPAPAVKSPAPSTK SECSNPQPDTKLPDTQLLDTFIADNLSVKYVWLHLLDYTGTQRQRMVPVAQFRKQLTN GTYIGVTKGLMRLLQNELMADGGSATGQFLVKPDLSTLTLNKGLDSPSATVHTWWLED GLGTHFGGCPRWALKRQVDLLESEYSISMLMGCEIEIVFLRPQLNNLTNAYTDFLPLH TLHSWSNMTYQQLDILPMIEEIVETLAEIDIHLPQFHSEAAPGQWEFPLPATNPLQAM DMLYKARDVIRNVAWKHGLKATLYPRLYDYSCGSACHTHFSISGPHDSVRRYSDSFLA GVLEHLPSVMALTLPLKESYDRVKAGIWAGGEYVAWGSQNREVPLRKCGDGHWELKTV DGIGNMYFGMAALLASGLDGLRQGKQLRLGDCTEDPSKISEAQRTQLGINRKLPKGLN ESLRELEGDEILVESLGREFVQDYIAVKRKEMEMLDAMGEEKRRLWLIERY EPUS_05490 MGGHQGKGLIGQRASLLLLSAILSVSTAILPQASADDTSVSHEQ TRNQKDDVDTTSSTASHVRTMKSKSRLPVWAYNPPPAGLDHNLHQDIFAQVLRLEHTP PPVNDPSELANEISNDDEDDYDDDDDNDDDDQHNPHMTDPNHQPVPTKWLMLGYSRVV DIPTSPTSVTMGSAGQGHCWSSSPPAALLDSAVKTTGAPCDTLRTSQTDWMQKPSAQV LGDLIIFLTFVIITQSVIHLWRGYCRVRAAIAGRGELTLEGDEKQFRACNEDIESRSE SRLLN EPUS_05491 MDGISPLITCPTRSTASDDLAAKRLFNKTQRTEEHRNGTPLMSN AKSQVDDSVFEVPTSNSPYSDVAKYTHSHTLSLLQKRRHTSRNSSGAASPIPHPRSNF RLNSVLSDDRDRSTSVQRQSALIMAAAKNLAFVFDIDGVLVNGDHAIAKAHHALHILN GDNSLGIRIPYILLTNGGGMVEKDRCTQLNEILHLGMPISPGQLIQSHTPMQALKEYY STVLIIGGEGERCKKIAQHYGFTDIVVPHDILAWDPTISPFKKLTPAELAAANPRDFS RININAILVLSSSRDYATDLQLIVDLLCSSHGRLGTVSSDPVTERIPIYFSHGDLIFP NGHLQPRMSQGAFRIGLEGMYKALTGVELERIIYGKPERAAYVYAEEVLARWMESLYG EGSKLPENVYMVGDNPASDICGGNMHGWNTCLVRTGVFRGEKGKNDEDHPASFGVFDD VLEAVIAVIRKELGNDLKFEWNPRAQNHIFEQDKDHIRQ EPUS_05492 MSGMEIAGLVLASIPLVVTALEHYSDGMSTFERWWRYKREVNRV VRLLVAEQALFQGTCEKLLNAVVTPTELERLIELPGGAQWKDSNLDESLRRHLGRSYD SYMSCVEDMDAALKDLEARLELDVNGKPTWVDDSSFKSQMKRIQIIFSRGVYQEALKR LEKNNSILAGLTAQNLELEPIRRRRQMPITKLKYYQQHLVNLYNAIRPCWICGCPTQH YTLLRLDARLYEPATQDKETMPKATVSKDELYFSVVFSTDDENTPVTTRWSWQETCIR PILQGTEQCNMSKREPKAKVSGARHQQPNAEQKNLEAVPSATRKGVKFADEASEPSVT CTSVLTPNAVPAIQLPPRIYNLCEALQAYQAAGISTSNDNYIGYLQCQKQNDLEVRAT RRIIVKDARHRQDVASLKQLVPKRDNFAFLVLSRAERLFLAVTVASAVLQLHHTPWLR ERWNLDDILVHVNNADDLGRRIYLSKAFPEPLDPRITKENENHPGMGRVNIVTEWATA KRMMSKVVAEAGNRYGDAVRRCIYCEFDSRDTNLNNERFREAVHQGVVAPLGEVFSDF NQPYEIVGRAF EPUS_05493 MRKPHEHDIPTDDDSESIPQAIGSYLSDAPELDLITIDIAQIVD CLYRISISLRAHSSVNRYAKAAAFDTSSFLPFDTEYVREKFPEAKNQLCSRMGKAISK RRQYLKYREHHAAKLAQGLPEHKDINIALSETTASTFIDPLATPEITRREAESADISN IADTMSETTYTTILGDSERLRLPRMPKEASIGQAFECPLCRKIVQFETTRTTHSWMKH VFSDLQPYICTFEECDKEEETFESRHRWFAHELRFHRRLWTCYGHCDQKFSSGTSFRE HVRKSRHIAENQLPALAEMCEGPIDVASKTRCPLCLAEVTGIKKLEKHIGRHMEDVAL FALPTSSFDDDSPARESVNAEPLSKDKPEGSPAVRTSRYPYLAKAKMSYRADPSHPRE ISFVKSEILAIATNRTQSSWWEAKRENGEIGMVRSSYLDIEEMEDKAVAGRMIRDAET DRDYPYLAKAIYSYDANPDKADEISFTKGEIMAVSDVSGRWRKVRKGNGETGIAPSHY LIPF EPUS_05494 MVHLTETLLAAAIAAILSSAALAAGPNLLERAGCHADNCLRQLR GTQSALIKTAYCSSLLNIPVVTATAESPVVVSVTATSTATSTLDVQMPFYPSYVTKRD ATEPTGFEKRTITVSETPTTTPTSAFTTPPPQQTLPSFASMCTSSSTTTLRVESACSC LSLTASTITVTPTVTSTVSLTTTVTICPTHFPLLPLSPIAIRSQPNNVFDRSHPGKDA AQCCGACYASDNCAYYGMRNNKDCFIWSSEGRYLPEGKCVSGLTILIEHAYLEGDKFG MGPCAKFQ EPUS_05495 MTAPSSPSTPSPTLTSHAAVSPVPPPVPVETHPNRGARKLPGLG RPPSGSDLPASDDVYKTYSPPRLRPLPDGKSPGLSSLNGTLHSSQAASAAAAALRPAV ASLRPPPAIPTSARLEQDKKRRSASRKRRQPGGWKKLLWIRQPYPDNYTDAETFLDHL QRNPKLRPYDFWPLVADSTVIVQHVCSVAIFVCCFAGIYQDRISPVSVVGLASLATVF GWSLWDFWMGQEEAELAAEAAAAVAADDLARKVCVEGPEDCSTTSSEGSFNHATVTGN GHIAWKPNDHGLGLSLSTSDLTMQSHDQKPSKASMHSHSVSANSLQSVSPITPLHESA SDAFPPLEPSPSRQSQAPAFSGTTLSPSLSPRVQSRLKTVKSALLIYFTLLGLSPILK SLTRSTSSDSIWALATWLLIINIFFFDYGGLYVPPKPCLSQVAGQKLAANGSVINDSA LMSSPGSSGSAPPFPSSLSTNAALMASTVLASRLPSITHVFSLTVTARNGERFRVIGS FWLTSTSYGSFDPGTAAPFASCPDNGTEGVVAAVQSSYHASETYRIVNPRKRALLLMS WHQLILYHRDDIARILTYKTGKLPAESQSEIGYGTGFTWRFSAEAERIQSSVFAPSAP NRCTVVIQQSKGLAVALIPWNFLIAMVLRKAGAVPYSRMYHDRETVPRDAFDMLDPSP FSGKAGFEHGVCNVPTTSVENTLSLGEALVKHPLVKKVTFTGSSTRAGNFVATHDAAR PNKVTMELGGIVTSLCLTIPIPN EPUS_05496 MSINASTAALVGSLPVSFLEQLSGIPPPPGQMSNFVDPPNLIAT TAVVVAISVFLMIIAVSLRVYSKQTSGRAFSWEDYTCFLAVVGCFTYSGVTVYGTIHN GFGVHEWNVRVSTLVSDIFLQMTLFNAASYPLIVLMAKLSILILYLRLFERNKGTKIL TWIGILACSIFYTFGMLFALISCSPWPGETRLVGLASERCVRVIIYGYVTTAFNVLSD FYLVIIPIPAIIKLNMTTEKKIRVSAIFMLGILACACAIVNSYFRVILTQTLDLTYAT APVLLISIVEINVGIMVGCMPVIQPAIINRVINFFNIAFIRSLLSRLSFSSKASSREV VEGSTQVPKASDKPHLETRILHGVDGNGNFIRNTAGDGMNTQRSWFRRAMLGTWTTRR NNSNYNDIASGIGSTRATNSHHFPTSDDNIVVMTSISTDARSSQEHDPHRQYLQHAER VRNLV EPUS_05497 MNAKQAFVQDAPKTYTDKNAASSQEQEAKVRTHLTDLNNVPLIC GTSYVKWHLPSSSSAEHRGHTSKALIRDHKAVYDYHKVLPVRLTIDKHFMLHECDVHF EVLQEYSEGGRGGRVLLGSVRINLAEYVDDSSVRGRGRKSEDGVGGDGGGEIVRRYLM QESKINSTLKIGIKMSQLEGETNFTAPTLKTARVFGGIAGVMSTEVGDGGVDDAGGHM PSITSKTRETSELQDMYRRTLAASWSCGSDELPPDKLIEDLFAGGDGGTMKPPPPVVK SRFSSPSSNQGSPRQDDENGGDSANVSESDSKRTVRNQFLTPDMARRKPEYGKTDGSN GGWKSSRSVSNAAGASPTLSTLSGISGRESIEQQMHLGNKDRRRKGQRSTREVSEFDI REDLRSWKIEAR EPUS_05393 MKEPSQLGQLCCKILVLNSVKAGPQDFFKLCTMFVSDDSFRLIL SNFKKRLTQKEQDDFQFATLDDVRLEMARIQREQATRKEMMNMPRIQSFLEAMEQFGK VIEVFLNTSEFVAFIWGPMKFVLQVASTWAESFDILLGAYEQIGNQIPLLQQFQAVFD KSPHMRRVLEMIYFDILEFHKRAIRFFSGPVWRQVFRSAWKDFKTRFQHILDGLRQHS ELLESQANLLHIQQYRADRLAMLDRLDNMEEAQRQENYVTIMNWVFGANTKSDHDFAC AARSEYPESGRWILRNSKLQNWKEADTPVSSILWLNGIPGAGKTVLASVIIESCLHAT TSTTSFFYCKDGDSDKNCFLSILKGLLSQLIFHCRHLIPFCYDKYLASGELTLVSTSL AKQLLELFCQEIHKQCIIIDGLDECKESERKSILQFFTSVIDRYDLKEPGRIRVLFIS QVENDIEKALSAAEVIALEPSDNENDIRSFVLKETKEIQRKHDLDNHQVDYIVDSTCR RAKGMFLFVKLVMSNLYAQPTRQHLQEEIKVTRFPQGLEQAYQRILSRIAHNSTPAEW TIARKLLGWMICAVRPLKWHEIQGAISIDPISQTVNFDDRRLRIHIRDICGSLIVLRP GDRIELVHSTAKFFIANTGYVRTPIVQCDLTALCIQYLTFECFDDDISQEKLVEFAIG GYFAFQDYAVANWAHHFLAMIEAEQSHSAAESDIEETMEELGNALNDFTVKFQEEFVQ EEIVHTSGKACKAFQQRNFHASLQLVWNHVDQHQNKGFEARNNVSLEILGKAFARNRK LLEELTFSTNCSSNRQKNLESFYGDKRYKCPKLTCFYFHEGFQDAKCRDLHKNRHDRP FQCTFPNCTMVEFGFKSSKEVDKHMKAYHPDENDEAVTFTAANTVRASTPFACSVCEK RFTRGFALRNHIRSHNAERPFACSLGCGKAFTRANDCKRHEKTVHARR EPUS_05394 MTSLKRILNHGNDPADDYWQRAILECHQDNKFSDEPVLADQSWD LGSLLLNADSTLDDLDLDLSQSEPASNAFLSAGYDIEAWAAQYGPSDEGLSVTLEIGA HSVTSEHSLNGPSRELCYGMIYRTAVKLVGDMSQINTKLHADNISKNARNYIFEIQSS SDRVYLSFPDGAEFALLDTRTAKILNGLLSLPSIHLEALVDSTSLYDVIRKATKANDA SLRININVYGSKESYKEVGHHLSAGRIYLQDPDQRSPGSTYENPHVLTFPGLEAQHVD LSPKGIGESVLQGDDTLQFQETVSNVYATLKRSSHLTRMIGDTRLRTPLLQHQEEALS YLMQRECGPVSPEFSLWRRVESDGHVSYRHAITNAISPVMPSETGGGVLADEMGMGKS LSILSLVTKTLENAHHWASNGTAALSNEEWARKPISRATLVVVSSALLLNSWQSEIDT HLDGTIKTIKYHGHKRERIPTIIGDSDIVLTTYHTLAVDLAAKKSPMHEIVWFRVVLD EAHTIRRRSTTFYQSVSKLHANSRWCLTGTPIQNRLEDIGTLFTFIRVAPFDSMATFR RFIVIPFEQGGNGRTSACERLRLLMDSLCLRRTKDLIHLPDQQDSIRVIEFTREEREQ YEQTKKIMIRAIRQNADEAHKKSLFGMFQAQLQLRILCNHGTFQRPFSWASKRDLLDE KEAALCSVGQNGEIKCSSCRQSMPILGTNRVYRTYAERCAHVLCLECLDEKAQENGDD EGRLPSQCPLCDTASVAGIEFQAGGSFAAQEGRQDNYFRFGGHSSKMAALIFDVQQDL LRTKRQVVRNLIAKHLNQHLISFKRIDGECPLPTRQKILDDFARTRETPVLIMTTGTG AFGLNLTVANRVFIVEPQWNPSVENQAIARALRLGQGQSVLVTRYVMQGTVEQEMRSQ QDRKLKIAEAGWESQC EPUS_05395 MYLLIDSSREQISHSRKYGKGKVLRSASVYGQAGRMSLLRTSYL GLFLASLPTRRTSPPSTSSSSFMTSMAEQNTVLYYRLILDHLTEMFSVERNLSRFAGP EDIDYIVVSDGEEILGIGDQGVGGVLIAVAKLVLMTACAGIHPNRTLPVVLDTGTDNQ NLLTDELYLGLKRPRVRGREYDEFVGRFVQGARKRYPNAYIHFEDFGLENARRILDRY RPHIACFNDDIQGTGCVTLAAIMAALHVSGVKLTDMRMLVFGSGSAGMGIADQVRDAV ATESDKTKEEAAKQIWCVDKPGLLLDSQGDGLTTAQKPFARKASEWGESKDTKNLQAI IREVKPHVLIGTSTRSKSFTKEVVQEMASHVDRPIIFPLSNPTRLHEADPKDIYEWTD GKALIATGSPFPPVKHKGKEYEVAECNNSTCFPGIGLGAVLSRSRLLSDKMLVAAVKA LAAQSPALKDPNRGLLPDVTEVREISVKIAMAVIKTAVDEGHAQREDIPKDDGELEEW VRVQMWEAKYRELVPAKK EPUS_05396 MQNGSLEGSSQPHNSTVELDQALPQFTKPEDVGQEHEAGPPAKK RKLADTTATSKTLPRPISPPWKRIAVDGPTSFVEGGRRKSSRTNTIPLELQPQSATRR TRAAAHKVDEGRSTLARHSYGGSSNHTKHEKGAERRTMAAVRPAQSGPGRPSGLKEPT RSTSARRNDASKIESSPVQGTKSTVTQHFRDANGDTSTEQQHSTQQLLSPERGQRQPT SLISQLHEPLISFNRKIGPQSRDEDDQLNGPGAAEKSPKSRLQKIKFTVRMPSIVVQT PANIPLPKRYTTFRDFLEQDELEPKTGDGVLTPRQVVREAQVRKKVEVAQRLGGQFDK ELQQALPERQKEPNRQHFHHDHLLAHALHFRRLLHNEHLKHKSNAKRIAHEAAKIVRA NIPKSAEEVEQEQIDLEAAKYRQVVKDISRFWALVEVEVNKIRQAEIDALDQARKLRE FNEQVEKHTQMLAPKQNADLLGISDDESDQSGDSTDDTVESSNEEDEDNMSSSDSSSE ADGNANDADASLTAEQLREKYASLPKALEPSVGSDTAAHINAEPVQASVRIAKPMILE EVDNALLDSDSESIGTNDGEDSDTENSDRSDTAEETEEEDEEPATLRSLFGPTDLSEL ASPAPILSVEQYERHVIGDDNQPLEREDAARSMPEVTSQIVQVEPLTSVAKVDVMSTP AIEFPTDEHNSIGPSTPVSPHTSVTKASDGDSFTSSDARADSQRPVTPLKSNIPRIKT PVPSLLRGVLREYQHEGLDWLANLYSNHRNGILADEMGLGKTIQSIALLAHLATEHHI WGPHLIIVPSSVILNWEMEFKKFCPGFKVLTYYGSQAERQQKRRGWMDNDLWHVVVTS YQLVVQDHKNFKRRNWHYMILDEAHNIKNFRSQRWQTMLTFKTRARLLLTGTPLQNNL TELWSLLFFLRPTDMAEDEDEAFAGLSDFSDWFRKPVDQILDQGRDTMDDEARDQVTK LHKVIRPYLLRRLKADVEKQMPAKYEHVELCRLSKRQRQLYDGFMSMAKTRETLASGN YLSIINCLMQLRKVCNHPDLFETRPVTTSFAMSKPAVAEFEVNDFLFRRKLLSGWDQK ANLDFLRLAPISNENKSAVEVLESSRIMAFSQLEALRLVQQQRLGLVKQYPHTSISGA LASLESIGRHDRMAELGHSLYQEYFHHRAKPLYGTGLISRLSIETTSTALSPCSDEKS FPRFWELSTPSARLDLVQSVQHRATVMQPLIRRFGCITPAVVATDLASRFLSEEGTMA VREAPELWIQDPFHEARTRLSIAFPDKRLLQYDCGKLQRLDKLLRELQAGGHRALIFT QMTKVLDILEQFLNIHGHRYLRLDGSTKIEQRQILTERFNNDTQFLAFILSSRSGGLG INLTGADTVIFYDLDWNPAMDKQCQDRCHRIGQTRDVHIYRFVSEHTIESNILRKSNQ KRMLDDVVIQEGDFTTDYFNKLSVRDMLGDDIGGIDQDASAALDKVLGSAKGGLGAVL ETAEDQEDVVAAKLAEREDRHADDGDFDEKVVSHETSATPRTPGAQTPREDTLVNGTG NIVARVPHLNRAVRDLDEWVLESMVRWKNEELGEIKAGTLATATRDRRSKKRKAGEHR VKRAR EPUS_05397 MFSRRLLAGAAGIPKTLAPLRCTPPAIHFSSIRALRAEAESPDP EMNGGYVNPTNHPYPLKRQFRDPYHPWWDKQERRDFGEPVHEDNDILGIFSLEEYTHM SPARALFLWGCFISAVLGLSYAVKQTYPDRPSAPKEYPDGLEAELGGRHALRAWKDSD RL EPUS_05398 MAKTSAAHHPSRQSAMRISNAGDQTRQRRSQHRLPPKRSVSSLS AKQLERKRANDREAQRLIRQRTKDRIDGLEQEISELRSENERLRRCLKPRSPREADVL HSRHNFEPGNTSWNRSKVMNVSRGRLAPFHETVQSAQETSQETCSPVAPDSFTTSCAT APLDMNSSLYYWGASAACASSDGSVDGVHTQFERALPPPVYPSFVPSYQVQQSSPVTL HCVLPSGQIHVVPPERQVDDVINRKVCNQASWSL EPUS_05399 MKESVSKFADSEVGPKVRDMDEAESMDPSVVESLFEQGLMGIEI PEEYGGAGMNFTAAIVGIEELARVDPSVSVLCDVHNTLVNTAILKWGSAAMKKKYLPK LATETVGSFCLSEPASGSDAFALKTRATKTDSGSYRISGSKMWITNAMESGIFIVFAN LDPSKGYKGISAFIVEKADRGFSIAKKEKKLGIRASSTCVVNFDDVEVPAENLLGKEG EGYKYAIGLLNEGRIGIAAQMTGLALGAWENAAKYVWNDRKQFGQLVGSFQGMQHQLA QAYTEICAARAMVFNAARKKEAGEDFVMDAAMAKLFSSQVAGRVSGQAIEWMGGMGFV RDGIAEKMWRDSKIGAIYEGTSNIQLTTVAKLLQKEYTT EPUS_05400 MTTIHHVSGFLISLSLPSSLPTVSPFRNTVIAGWIMAASFLRPS TFGPKDEDYLKSRFSNYGRPVQLAALWLLDVQELRKINELIIHGSDKTVMSFKESQTS AVGMVAVALVKSAIVSQLSSTTLQIPALSNINWTASAFIIAALLFSILATMLACLQRQ ILGALNQPKGVRMWLSNGSANCLHSKNGGRSSSRGRSGELGGLQTSIASLLLLRLPNA FLLSGVMCFLIGFGLFLGFAWRQDLDNTPSRDSNRAVMIMYIVVGCVTFFATGGLVKW KMSEVDQVEKVVGKDIEEGDDVEEAESSSGQDEDNESEGEGEALLGKKKNDGTCSQKE VSHHIRIAEALEDAARAHRHLAKLMRNDNQENEDS EPUS_05401 MSDQLLFSALWADAVAEYEKQTERKINKDHAFREFSSLDDLEKA VEGEDKRFSTFRSGHRRMYSALAKCMSPMQPILNIVQKGVGNTPYAPAAAVLGAASYL LQACESVTKAYDGIEELFERMHDSTVRLQEYKYSSIESSLQAKITDILAYFLDIIGKA EACVKRKRFKQWARTVFLQDDGISFSVDRLRRYTESELGLVIALTYGRVKDLQTTMSD TQSDVKIVKAGVDDVLASQRRDRQRAFSEAEEKCLADSLRTKTTDDIAREHAANVEKL TQGTGLWIRDDVMFHAWEQEQAPILWVFGKPGVGKTMLAARTIETLQNRYPQHSDIPS LTSVSYLYFKDDNPALQDCTQMWKAAALQITKANDRFKKHVVAMINKKHQDTFASARQ IWRHLFLDFFSEDTSSRSPTSLAFLVVDGLDEAPETERVRFLSCLAELVDRGTTNRKC RIQIAVFARPDVRADPGFEKVGFRTQERIIEVTPDKNTSDIEAFIRQRLGEVSVLRVL RRRRANKEYQTLARQIYNSVQSRSQGMFLWARLVFEQIRESPSPEAIRESLQGVPEGL DNMLYHVFKRLEVEEQMHRSYLTDLLSWVLCAYRPLRISELFVLLLISASQHCYMIEE HLKARYSSLFDVTAPITGIEYDEVEAGTHADDSASEPDDFDFLDKPDGSDNDEEIEGD MDSSGGEANEAQQSKDGILSTNTEDRQDVFDIPVHWDQTTVTFSHARIRDYLTTEGDP STRRWHDSSIVPKDLNATRLSVVIACLQILRTDIADKYSVYSLKTYAKINWIKHLVEI DFNMIPRAAAVQLARILSMFFQDGRVLLKACEDVWNDFIETWFCTDRYSSLVRKIITE QLHDLDEHEHSWASSVTRSARALFQPLIAACARKWLTKTGWNDAAYLEKSERAVFVMY AYSLLTDEGTNSGSMDTFNVNNGMWKIPLDAIESIANVETLPKSEHYYAGIAWIMMEA DEEDYTERAIKYFKKALELKPGGWVAMEGLARCYGENLHEYETAIRWMEDAIYHLPRT EDFEGIEFYLQTRIPDWKLQLGNNQESVEIAKAAYEGSRQFRYGNNTASDVSTLRSIK HYIEALYRTERYPQFLELLYELDRRETWKQGSSLWAVFLRAQYEEYYNVQVFSKVGKI TQTEQNDALQHFMKVSIKNAIKLNADSISEDQSVWLAVQAAEWLYNHAPQPEESIELW EKIVTLVDQSNEVVQQSQAWYRTRAAKFLSMMFFDAAKVAFNSGLNTSAHIKKLEELA SHKQGSKRYYRASYPALILGLWLHEYAKAEEEDWRACIRPSIKQALYLLSDEDPWNDQ AAYAQLGAALLLAGDILNASIALGITMKPLEDQRSMQQQRNDICNSQQGAFSEGELVP TTKELMNDPDEAYIEKAAKLQVEDSNTANSTPEHSEDGSQEGRGRGIAVEKHVTQDEG GNEDWEAASSSSSEDDDRNDEVNTDSESINPKYAGFDCMFTCDGPCESPQTSYAELHF CRICDDVCFCEKCIELVRNDKMPFRYCASDHTHVRVFPMVDEAKHLAIALIERRFEVQ QGWLEGLRKIWDG EPUS_05402 MENKTLQGFVLEVYAYLALVSNIIPYGIVQDRTLPLDPFITSLK GLKECETFGTFFGFCHSLFELIPSISVLAAKRLGEEELGMRSEETVSMYDGLLSRLEE WRSPPLDREMAEWKSAHIATGKLYRHALFAYLKAAMCGSVVDNPKVIYQIQQHIDEAW PLLSEVHDSPYGTIMLWPLLILGSCIVREDQRHSLRYKLQSTAQWRMSQVIQALRLLN LLWDSNNRHAFGPCNITAPKFASHPVHHFFDSSSLPDPQFYSHGVSVHPGSRLVFTSG QLGQRKDGSFPETYKDEVHLAFDNLIAVLKAGGADIRDVTKLTWYCVDWNFEEAHNLL EPLMEFLTDSYGLRSRPITTLVPVPKLAIPGARFEVEAVAATEGFTQSWSDGAGRIDH YVAPIKADVVDVVGGGFSGLQAAYDVQKAGFQCMLLEAKSRIGGRSHTIQLASGKGLV ELGATWINKTTQPKAYALTQKFGLDCVPQYGIGDSIWQQTDGVIWRVARGEVPKPPNS GESDMHSLLEALEVEQSRVDIINTGSYPTPSDMSVEEWIQSKGFSKFTQDFMRHSVAA LVGREAREVGLHYILDYIKSGGGISSLASEGEHGTSAIATGLARSMKLGSIMLNSPVT RIEQHGSSSTVYTSNGLTVQCSKVILAIPTNVYDNIHFMPPLTPAKRAIVGRTKPGIY AKCILTYRTPWWKQKDIDLVGSFFSVNGPINFSWETSDDEKSNYSLALFVHGDSAARW HKLSNLGREDAIENHLAELVGPKHADLARDVVEINYKEWTKEPWIGGAPTSIMGPGDL SKYGEALREPLGCLHFGGGETAREWKGYLEGALRAGSRAAEEVIESLKEMPSSKLKL EPUS_05403 MTSILGISFYIYQTVFVVLSIACGSCAQRRLPASNISLADQIYD FSSPHRCRLLAHESIILKNGDHYLLILEGGFGKFKLQLDNQDVEVQDLNGYTVAFDLS ESFVTPELEPVWQIKKNATGPRPPITNFFGMFSDREQNSIYIQGGHFYHATGWNSSYF VPKESIPPWELWRFDIRTREWANITVEVQNHELANRTFGGAAASVSALNKSYYIGGIN DETTGSATPMDTYQPTSQMLVYDHSAGRLDRVEYYAPPATEGYWHGNLIHIPVGPQKG FLLSLMSQKQTLGRQYADPEAERSVLGKPVSFESVQIYDLEAEQWYEQETLWFKSDDP VPRTRFCAALFHDEIDYTWDLWIHGGQKLGNQEEGVSDIYVLSMPSFTWTKLTTTLAD QNFIKSHTCHAVGGQLLLVGGYPPGAMVAANASCDPEIVKVLQITEDGPVWTPKFSTN TTYRAPITVREMANGRRSPIDGWASPNMENVFGPPSPPTTPPRPDTGRKIGSIVGGVI GGLIFLTIIGLVVIYVRRLRRRRIGVQSPIEEVSQHMLYTTDNTPELENTRQGMKRHV LVQQVDLENRRDGRRMPLPERGEGHDHSREGIEISGPVQQLETGSMQNEVTQSTAPAE VDMIVRLPSAAAEPLPPVKDFTTDRQPGHPPSQTGPSQ EPUS_05404 MTQDVILIIASTTGSGDVPSNAAKFVREYSSAKALEKAPRFSVF ANGDSTYGDTYNAAAKQIQEVMSSMGCRPLLGHCFAGDTAVKNPDWESFNQWLNNIDH LFLGNLHKVDLPTSLENVEDKTTALREMPFATLVKKYRPHPNGMVCVTFDIGDREYHE MDHLKFLAPNPRAEVRRALKSLGLQENAPFNWHSEDAFGFLCRFVDLSRPFKTLGWYP GFGDLNGEAKCELRNLAVCDLLDRVEFPWTDKLVEEACKDMGSVQPRLYSVASCQRKS SNDLDHIQEESSGNLVDVLVKVNDMGKFSNIWLLQAPIGAKARFGQASPDTWRLVRAQ RPNAPLIAIATGSGMGPVRSLLQSRMSDLEWTSGLGGTPRANDEAKTFTSKQTSRRSS VGSSIAVRAMPKLVNHHAHLGVSNTSGSIHLFAGFKTKDSILIDHLIRPAAKAGIFGT LELVQSNPDKIRVQDRLTANREKLAELFNDPGCIVFVCANEDAANAAEKKLDEIVGSS VREMLGERYIEEVFRSHH EPUS_05405 MGILHGETGHQAPLAAPNGHAKPPPCLAGMNIYAKAQEEYGLIK KVHRTLAPTGCTPDFCQAGRMTRIHEPRVGRDRPLEEIQQEAVEFLRECRDQDIIESN ELLDQRIKTALVQIMETSEEKIVTDKQGNQFQGIAGGAWEQTYEELEYGLRAAWRNSR RCIMRSEHMHLALCDLRRVTTSREMVHTILDGMVKAFNRGRILPTCFVFPPRLPGKRG PMVWNTQVLQFAGYRQADDSVLGDPANVELTEAFIRFGWKPPRKRTRWDILPLIAMAE GDRPYMAELPPELRRTVSISHPRFEREFREMDLCWVMAPALSRQGFDIGGNQYTGSPF IGWVMDAEIGVRDLADTFRYNVLPEVAQRRRLSDEPDTPFDSLQEYLTLVALSRAQAE LNFAVYYSFLCAHVMMIDTMTSSIKYQNYDLDRQAVVGYRLPADPYWLAPPQGSIVPI WHQGGLPNYQPKPMICRHVQDPVKAWERELEQKRREDAETPS EPUS_05406 MADDHVQYLRDEEVQDFLNDLDIDKDGFVTYFELESKLDQVHSE IAPTPAPHNLHHQDSDQKERHAFLRSVLGTEADKIPWENFAEIVKTWKVPSLIQDKEV EDEASQYLKSLALGRKLRARWEVHGPVWVFMTLVVSLQLGFGIWQLVKYLTGMQYRQA FGWGLVLAKTSAGALYPTLFFLVISMSRWLATFLRRFYLLSRFINWDLSQSFHIKMSI CALCLATVHAIGHLTGTFLYGSRPAQQPKVAIILGLEAVPRPYRTYIASLPGWSGLTS LILFYIIAALSMPQVRKWSYEIFQLGHLLMFPLLGLLCAHGTTQLVQFTMLGYWLSIP IILVLVERITRILLGFHRIPARVEVLDEDTVRVTITIPKHRLWNYVAGQYVLLQVPQI SFFQWHPFTISTCTDREMQLHIKTDGDWASKLKNLTKENGSELKWAGIDGPYGAPAQR FYDFDQSIIMGAGIGVTPFSGILHDLRVSSDEGWARRTSSISSTSRRASTSSSSITAH PAHRAPTSDDQAQQSALDRIDPSSEKPTTPPSKYRRIDFHWTVRDRNYLLWFSDLLNQ ISRPPPGQTHNPNLDIRIQTHVTQKRKNISTHIFRLLLEKHRTESHPASPITGLLNPT NFGRPNLAYIMDRHYEDMLELLKRRRGKGREDGAAAAAAGDAGGGDDEEFKVGVFFCG APAIGHELADRCRLLTARGREDRSLIEYHFMTEVFV EPUS_05407 MSTKIPGTTIGGLVLVSLGLSITLYKTTLEAYSVFTTATSLGQD VSFLHAELLIQQERLKRWGDGLGLSGETNEPDERLNSETSLFQAVVAALASIRLILTD LDDLTTLYGVDVQDETTAQRPLLEELAATKLMDSEALLRNYDRRLEDAKGLQKRLSIM KKLHWVIRDKEKFEGLIQKLTKFNDGLYSLLSPLDAQLLAEALAAQLLRTTNLERLMA LKAAAQRSHVDIASLAELRYRASGLSQQAFKSPNMEKRHSCVSLTTRPTTIFQRRSTG TYTDEQDAEMQGIATLIEWKVFESGLPGEMAAAVERNTNDLAYFLQAQSRSPGLRSLS CIGFIKMPVETAEHVRYGLVYKAPEPRAPVASPTSLYDLLPQSEDEHISHEFDLGDKF RVAQILAQSVYELHVSNWLHKGICSDNVLFWPRKGPKVTPTSAYLSGYEFTRPGRLRD NTQPAGDVASSVYAHPLYREGRVKYHRLFDIYSLGVTLLEIGLWARAGEAVTKETSVY AVQDQLIESCESELGPAMGAEYRTAVKLCLRGDFQVEGLHITDRPEPSWDNFDPREIA RLEAADDQVNADLTTEFYMHVVKPLKKLYA EPUS_05408 MSSEVEQHGFRRKRRRFARRADRVLQENTDDQERSDSANDLGNF PHVDFVRLISLIIEHEIPILFPGSMRPRNTFAMLGAGGSFLVFREERTMDQLVLPPGQ IRSLDDSIVLKRTRILDPLNSSSREEQNPRYASLLAELQILLHSSIMEHPNFIDLQGL TWDYETDDQDGSISVWPVLGLQQALYSMDTLSDELSDAPLSQKLQYCYDIAKALSFLH DRGVVHCDVKAENVLICVTSASGDIAKLSDFGSAIMDVTADTNLPKGVAGTRPWNAPE QSHSLQGLGIFKVDVFSYGMLLWRFLCRSSILQAIQSPHQYRREALIDEIESLKRSDE LSSTAVKELQVQSTERGLEVIAGLLQEMLALKPTKRCGMTQPVTMLEPLLHPPPESPT LVSREPPTTSNWESKSTGLPSQHRETSSSPASFPDSRIFPVPPEPFYNEFDRTWEMFE DESPIVKQQLITSLKFVANQISEDASMAAEAAYQLSVCYVNGFGVAPDLCAGCRWSVQ AAHLGSSKAKADIWRLTRVQHSALREVDSRLQSSTIFHWLGEATRMGSIQAAKDLKPL DPESFTVAMSAWKHRFALIRVEDEMRIPSHAKVLELGRSLTAREINTTALNDRGHHLI HLAASLEFLDILRKLVEDGANINLQNAKGETALLCACRAGNAQAAWYLLGHGASVEAS TSGETPLHWLIALPESEVDRLACALIAQGADLEAQHTETEINNLVFDIFPLGTPLDWA VHAGALAVVEVLIKHGADPFNECSQYSSLVRAVSKHDFVVVEKLLESRHATLERVASM DTMEQTILFHAICCNSLYDRILAHGSGHMLAAKKTIELLLSSGCEPDSVDGDGTTALH TAAGYCDVDFLDMLCKQIGWDKFVNTACGEHDRTPLLQAIASQRIENVRYLVKIGANT YRKTKGHTLLHICAGAGDEDFSIQVLHAIRLDGRVDLNERTTNDNLTAYEIAVLQCHL KIADLLVEHGADVAFLADRQPPLFSNIIADPTWDSFRSLHYYLEKGVYPFVLNPSTGI TALHIAASAMDLLGDPNTGDAKLEMLLKVFNDVEKVNARTRAPSEQDAPQGGQTPLHF AAKFGVYFAARRLLNAGADWNIRDKGGMTPADLALQQLSLLNQSQINTPREWVSLSNL RSTHTLLKQAMGEEKASPMSPELEARDVVVGEYTRSRFSRLGFKTE EPUS_05409 MLTIGRSSWYEELSTLNLFYLTSEDLVATPAKYRSVEFSVVDHF TTKSQTTRIPPPTPRKTAVDLSTGHTSGGFRFYPRNYVARVEQIPCRMNPKEQDNVVT YPSNSIESGQRSPSFEREEPDSGIFLHPESRPITQEQLVHEVKGIYAGLVMVKNKCLE IDQQQATTTTKLGAEQWQALVALHRTLLHEHHDFFLASQHPSSSPALGRLATKYSMPT RMWRHGIHSFLELLRYRLLDLGDHMTYSMMAFLTESVPGFEETWIECLDDLTRYRMAI EEADIRDRKLWAAVAKMWYDKADDRSLHVGRIQHHFAVLARPSIVNFFNTTTTQESPD RQTEVFPRSRACPGPLLPKDCLLRDLTAASFHSSAKNHSQMWPPVGVVLSQPHDAAAE KDCLGEQVQNITPRPAHGYPKRGNWTGCVTDSRNSSTLTFPLRMISPAILNLGLVYLA LSRIPVAFAEQVFEPSQGSNRSSWIGALLLSFIIGVAGRMTKGDLGPCLASSIWLGLA SAAVWTSLDGLMRCAAVAGVMIPLLTTALHRAENRRQSLNFRRKESDDMMEKAGIDSG DEDDSDEDYDSSVLLDWTAEPRGRDGSTAAVASLVAEEMSRSQTYEDRDSSPESFNGT YGFER EPUS_05410 MAPRRIHRQATNRGQLSGRALDPYRERITKLYKIEKRPLNEVMR IIGQESKMDVSSMEKMFKDRLRLWNVKRNLSRTDVAAALQLIRQAERNGRSGNLYIRD EPVDRERIETYLRRAKESMDSILAASDLGDHIPSHVQLRPLDSDITMPSSTLLTQPGS SPMTSTSMYNGSHITTIPSTSRSSTEPAESNIATAESSQKHGEANGCENTTWGDMTSG SPSANRNQGRIATQSNLDQPEAFLHLGGFTDLAYPTDTVQQFSRHLIDHGELPVALPD LLDLSWRMSAPPSPDEPSSGCSTISLGLDESSLCPRRNALEEATGMDNHERHQAKDEP REELRFESAGFQIEPRNYTANFLAFSITGCLRLNEGMDDAAESAMRVATTIFAEMIAI RHESCLTSLSLLTALLEAHGKREVMTCLLDKFKAAALSMKHLPEKDSVVLTIRFMSDI MSGIKIESLSNPEDLKNVLNDFERYWGPGSPSTLVCLCHLGWRLAGEKDAVRLTEGWD VLSRARSTAERILDPCDPQTIMSLTMLARVLHNLDRYPEALEVMRTAMNRIIIRFPDY HPYRLSGLRRFSLFMQKLGAYDAEPILREVAAKRLRVLGPDSLLTQASMKELKKLLIK KGRLDDAGNAWRDTSEVASRLFRGVSIACSF EPUS_05411 MPHGCTERIWRQQIYVQLYWNFNRDTDLIHLKLFTPAVQVLADE QELDWRGILSRYTVKESIHLGVRNFGLGRWIDIPRIDIDTVKRWLQICDREHRRETSY YRCIPMKTRDSPNFSSLRFIDLELNCIVQWTSKALPIYLALSYVWGSSDEGSHLKLNQ ETSSWLLTPSSLAKFDNRIPRTIGDAMVLTKRLGRRYLWVDALCIQQDNLFDKNAQIP LMAHIYSQADCTIVAGAGANAWAGLPGVGTPRTGRQYGAHVKGFDLITTRQHFRNGMQ NSVWETRGWTLQEKVCSRRMLIFTERQVFFQCKSSLLYEDTNLESEAMNFGGPASAHE SAANLFASPASAYISTVNDLCKRSFGLENDILHAFKGIEMWLYDFSLKEREKGESRFH DLVEYFHWGFPESIFDAVVSWSFPVHNPSWRRDHQSFPGWCWAGWKLQPTLNFTCPFP GPMVNVKFAWFVFDENSRLRRLRTLPERIGARQVPSEEHKQAQDEVSLRHIPTIPSHI PQSHLLFFWATESLLTVDQHGRKYSYLQRDYSTLQNCTFIIRNPYTGDQIGEITLHKE WRSRQPDKLPFITVAKACDGDLTIRNPDFGCAGETVFGARRKRPEGRKGSNRQLDYLT KRHAIREAKTIKIPTILASLYLPLSLSASLLSMQSPFKKIARNEVNLVGTNLLFDFLG VFIGLATVTLFIIYAIRLGLWVRSNGLGMLSKKFSGPFSIFYYGRRWRYDGLGGQIFD IIRVVTAWWVGAGLCITLLVIFLVGMLNTAPIAWTTARWMFTAYLAAIDKLLLLICAF GYSLHVTIKYLNNPLHRALLSSSMITEHTSWKYVLLGFKERKADGVFWHAEKKSAENS RERPRFVGIMWKMGAGWALVAVTPPSTVESKGSREGQPKIERPAGEKALWRGLRG EPUS_05412 MGTLMYPKLLLLSSALALSYLISLAFYRLFLHPLAKFPGPRLAA ITRYYEAYYDLWKGGMYIFKIKEMHDKYGPIVRISPYELHINDHDFYEKLYGHDGRFN KDEFAVKPLNAPFSAHGTTDHNLHRIRRASLNPFFSKKKIVSLEPVLQGQVEKLSRRM EEFADSGKVMPLGYAYAAFTMDIVTEYAMEKSYGNLDHEDFNADLCDCVKGVGPIWHL GKHIPWFNQIYAMTPSWVIQKLLPKSGHWKAFQDDCIVQIMKVMSAAESGAINEKFHQ TVFREILKSDVLPAQEKTVECLRDQVQSIVSAGTETTAHTLRVITVHLCLNRPILQRL RAEIRTLQPDPYTPAKLLQLEKLPYLTATCLEGLRLSSGVSARLPRISPDQIIRYGDW EIMPGTPVGMTRIFMHEDETIFPDPAAFKPERWMVDAAERRRLERYLLPFSKGARNCV GIHLAWAELYLCLAAVVSRFDLELQGTTVEDVEFGSDQLIPETKGKNGVSVLVKRVQD WD EPUS_05413 MKRSQSQEPPLKKREMSSDGLAPPEEMHILQRSPSPDSMLAHWS RNVRGAFSNIGGGEEPNEVINGATPEPSNFFSNAFSVISSQVPRLPENVGLALSIART KLFRSGLVDDKHYDLEAIIQAAASLPPNSKTCKGLAGLLIKPLWNDLQHPPLAYLGDD FKYRKSDGSNNNIMYPRLGAAGSCYARTVTPETLAPSVLPDAELVFETIFAREGEIRK HPNEISSVLFYLASIIIHDIFRTDEHDSNKLKNSSYLDLSPLYGSSDDPKSDACVANV RTFKDGMLKPDTFSETRVYALPAGVAVLLLCFNRFHNYVASQLKIINEENRFSEDPRQ SPEAARKKVDEDLFQTARLITCGLYVNIILHDYVRTILNLQATDSTWTLDPRTDCESI FDQHGVPSGIGNQVSVEFNLVYRWHSAISERDANWVEGLYRHIFKTEDITPYLQDREQ FYKKVGPWFAEIKAKKPEDREHRDFRRNEDGRFNDEVLVKILAESTKDVAGAFGSKNV PLVMRLIEVMGIEQARKWNVCTLNEFRKFFKLEPHRTFTDITKNADVARTLETLYSKP DYVELYPGLVAEDAKQVLEPGSGLCPGYTVSRTILADAVALVRGDRFYTVDYTPANLT NWGWNLVKSDPEVAGGGVIYNLLMRAMPSCYRGNSVFAMFPFVRPSKTRELLGKINKL DDYDFSDPYMKPPPKPVKSHKAVTDILKNNRSFKVPWGPHTKALTGHDFMLGGDEFHN RVQRHEIMEKLYTPCDWAQQIRDYYEQTTYQLIKVHSNKLLENRSQIDIVKDIANPSH TLFAARMFHLPVDDPDHLLPGANTKSLYLGFASLFAYVFLDSDTARSFKLRTNAKKAT EKLRNLVRKVVQAVALDEHHHFNKLFDWSTSGKLLSDYGIHMIQRLLNRGKRVDEVVA EILPTAAGAVATQAQAMAQMLDVYLEPDNMRHWPEIRRCAYSNDPADFKTLQKYALEA CRLAPAAFGLLRTAAESGKIQDGNRTISYEKGNMIYTDFVSAGRDMRTFGGNANEIDV SRDLNDYIDQGHGPHDCIGRNISQISLAVQLGLFAKLKNLQRVPGQAGKLKYTTELPH GNPGSIRVYMTEDWSSWWPFPTTMKVQHEGFCKTIEEHTSIHGQRNIVRRVDSVMSPP DIHMNGASQGLGKEILTAFARSGAHGGAVDLSIGSAKQSVSEINTELKESGLPPANLK GYECDTSSEEGVKSTWQQILKDFQRIDVVVTNAGIIGESPAEEYPFEDWRRLLEVNVN GTFLFAREAGKHMLEKEIKGTIIMISSMSGLVVNRPQKQSAYNVSKAATTQMMKSFAT EWAPHGIRVNALSPGYIQTPKNEGEEMKKRSKEWIQHIPLGRVAKPDEFRGTVVWMAS DASSYMTGADIVVDGGYTAW EPUS_05414 MEEAPQNDLASETLKPRSSEAEGQSDDPPNSSSPVPSSLDFNPP PKPPSLRIANDGPCSNTMTSSSAPLGQINAARRPGAPPARASGLTSDIAAKMKAFSLS RQRAPAQNMRPPPSAGHTLSTNSAIPSGAFAPGTMAQHEGQQGGGPASPHGPLAGGAG GLSPPSSMARPQVPNWNSSPQISGQGPRMVTPRPGMGGLAAKRGIKGGGMKLSDATGP SQPPSTSGSNTSQPASNDTGSAFNKYSNIIDTQKGTLNFANKAVIHGGGIEFTSGTSF SISLDEVDTMEELGKGNYGTVYKVRHSRPKMRIPGQGLRGNMSTRPSTTPTSSMASDD STASAPATTGTGIIMAMKEIRLELDDAKFAAIIMELDILHRCISPFIVSFYGAFFQEG SVYICLEFMDGGSVDNLYGDGVPEAILKKITLSTVMGLRCLKDEHNIIHRDVKPTNIL VNTKGQVKICDFGVSGNLVASIAKTNIGCQSYMAPERISGGGVAQAGANPGGGTYSVQ SDIWSLGLTIIECALGRYPYPPETYNNIFSQLSAIVDGDPPDLPAEGYSGAARDFVRG CLHKIPKMRPTYAMLLRHAWLAPLMKPPTISENDEAEAAAEAGAEAPFSGETDSYLET ADQEVADWVRSAIQRKLSGKMGKLEKPALHTVPLDAVPGSPLLDRQGLQLDTSSTKVP GHTQSNTQSEEEVAAAASAVKAAGPAADSLPRDGVTVGSPVLRMQKVHSMDFADGVGQ ISRGPSDKGLSMGSDLQGPRIPIDGAQVEDTEP EPUS_05415 MVTSTTSTAPTVEVSANGISGLTDPGKKSQHSHSCSESGGKDNL VNDTSIVHYDDEYERLNSDYSVAWNKAMRKGTYRDSPQYKNVFALMISWESKHDDLHV KQEVDTLSAVLRDTFGYKIIPALLGYNGKRAQAQVNHLVAEFVWEHDGPDNLLIVYFA GHGTPGSVFGHLELAGKSGFADSRDNLDRIVWNKTEICLQGAEADVLEIFDCCYAGDL GPPRGCPRAFEYLAATSSGETTRKPGKHSFTTALIWALRTLCEERGQFTTTDLLNTIK KRAPDFPKDQSPVLSDRNRGSPRERIFLQPLQQNVTESRRPEPRPNQPSPPKPQEILS LNLFFDARPSISQIEGLGIGLNKVVEDNDLQISRIVWGGLDSRPHMALVVAKRWRKAV QQRFAKATSPVSGGPTIEAIVRREMQHQKEEGNASSYGVQYLTKMLFWSLLAIFASIG TSAQDRARKWSPMGRL EPUS_05416 MEAPAPLEPDRPTTPEHRSITRKKPQALIPRKEPLFEVGKSLWK KNSVGVFDILVTVDKVINEGNHNTYIVVDSSGVKWEHPVGEKDLRPA EPUS_05417 MEDDLLAMDKLDSRSDDGRRCLRSRMMDEARKPHEHGRESRNEL LERIEKKTIEYGQLLLQAQQLVAMNKPASRDHKSLVNFIENYPNLLAEGDDEFAYHKE DLVTLRSGREYAWLDATVERILQLLPTKPTKETRLKTDDDFVLYFTRSRINFVVTLII TLLILTLLIVPIWLLYYFTVSLANSATDRISIVILLVSTLLFSGILALFTRARRHEIF FAAAR EPUS_05418 MADKSKPEFISTSEMFTEIEGEWGYRYTVVIYRMGKAFYRGKSH ARYRSEKDVNLEDIYESNLIPIAQLHPIFPKHFTQSPEPTPQHYYLKPPKLLLYEPSC PSGLSDLMLQEATTWETLIRYPHPNIVKYYGCQVQNNRITGLCFAKHHDTLASRVNPG HSAKRHFDATKRPLKDLKSFLEGVEKGLKHLHSLGLVHNDLTPANIMFATEKDETPII IDFGSCRPVGHSLQDVGRTPEWHDPAVRTTVPTNDTDALNEIAEWLSLKENKSYKLEL FG EPUS_05419 MRYLNWITSPTSYQNAHGSDGYATNTNNIFFAQKTVSTFRHHTA HPNFGHLILLVFEAVLEVVCVSLPGYIVARQGMFDAEAQKFLANLNVMLFTPCLIFSK LASQLTAEKLVELAIIPFIFIIQTLVSYLCAVAVSKCFRFKKRQKNFVIAMGVFGNSN SLPISLVISLSKTLRGLHWVKVPNDNDDEVGARGILYLLIFQQLGQLLRWSWGYNVLL APADKYSEEDGGTYSSRRLEQGPGRYRDIPEDDSPRTLLDNSYDGEYDSENGDDEETR VGDGSSGSFSPGTKTPIKSHARGSTQSSRSASPKRQGKFSNIPDLLSTPANGNILPRQ NGHITSFPTVDPDTDVVDTGGFTGIWQKFKHSLKVASTRIGAFFSSTGKAMFYALPKA FQSFLARLYRGLSHFLSGLWEFMNPPLWAMLVAILVASVPPLQHVFFTPGTFIQNSVT RAISQSAGVAVPLILVVLGGNLARNTLPKETPGEISDPKEGRNLLIASLVSRMVLPTV LMAPMLALMAKYIPVSIVDDKIFVIVCFLLTGAPTALQLAQICQVNNVYMGVMSKILF QSYVVWILPSTLILVMCALEVVEWAGPAS EPUS_05420 MPDVVKKLNIFATTDALILQPAGGSDHNNSLRIDFKSKSIGPHL KVEPETFKQSPHLESHGIIGLLKIASSSFLLCITRREQVAQIFGRPIYGIRDIAVLPI SSQAEVDQTITKIRVGLQKAKGLQASDIPLSPSEESGDESFDDHSVGDPSESRPPIDE TPQGAGHNESTTSVVQNVIQRKGYGQFASQWFSRRGWGLGNKRTGTVSTDKVPSTQPE DQKSLSAIATSVSEVDHSPLISEALHREENGDLVLAQQSSYGAATEMLPKILRAAKLL FTSQSFYFSYEFNITRRFGSSSITSLKTVSPEGFDQSYFWNRHLTFPFSKAGFHAFIM PIMQGFIGQQHFVVENTQNKTHTEATEPESLGSVFEADGSREPPHETEIELDRSPSFL LTLISRRSVKRSGLRYLRRGIDDEGNCANTVETEQILSSPSWEDPAKIRSFVQIRGSI PLYFSQSPYAFKPVPILHHSSEVNQKAFDRHFEELRQRYGSVHIVLLVDKQGGEKSIG GEYENFVKYSNQAERSRELGFNWFDFHTECRGMKFENVQKLVEASEDTMRSFGETMMN GENIEQRQTGIIRTNCMDCLDRTNVVQSAFAQYMLQKDLARDGLKIDFVHDASTQWFN TLWADNGDAISRQYASTAALKGDYTRTRKRDYRGALNDFGLTLTRYYNNIVNDYFSQT VIDVLLGNVTPRVFDDFQATMMSADPGISIHKVRENAIDTCSKIVIQHQEEDLIHGWA MLTPAHTNTLRTLPFEEAILLLTNHAIYGCKFDWITEKVASFERIDLRFITKIRFGTY ITSTLTEGQMNEDLNVGLVIAYSPSKDSIKRVNTRSLQVSAAKEAKDRSEDSEDSAIF SWLWNRNAESSQCMAMKVIPNQSLADEKGNSSPLATAEHICTDIQRAVKNSVSHGEEC EIDLVEKADIISRADARSRTGYLEQIGHSIKKLVWT EPUS_05421 MAWQPQEDGLKQLAEYLRDSLGGFDRPRQKQAEIMLSKARSSPD FVYYLTYIFSTSQVAQLGFGGPSLFTIRYSAAINLKNHIKLSYRSVSKQALSYIKSSV VQALQDTNPQLRGFAGTVITEVVQQGGILEWPEILTDLLSLVNNDSQNVPLEAQEGAM SALAKLCEDNKKLLNREMQGQQPLAVLIPMLLKFTGHTDSRIRRFALQTLNIFIPQKP KVLISMLDSYLAKLFQLANDPSAEVRRIVCQSLVQLVDTRPDLLAPHVEGLVEYILTQ QQNRNDPDLALDAAGFWLNIGELRHSMGPYLSRVIPVLLQGMIYSEEDIERLAGLNDD ADEEDKVEDVKPQFAQAKSSRIAVGSAKINHSEASHDLNGHTTINEAATMDSDSLSEG EIEDEDDYEDEEGDPEEEWNLRKCSAAALDVFAVVFQQPVFEIILPYLKENLSHPLWP RREAAVLALGAIADGCMDVVSPHLPELVPFLISLLADPEPVVRQITCWCLGRYSEWGS HLQDPVARSQYFEPMMEGLLKRMLDKSKRVQEAAASAFASLEEKSGDRLKPYTQPILR QFVQCFNKYKDKNIYILYDCVQTLADGVGSEMAKPHLVELLMPVLIGRWNKVSDQSME MFPLLGCLGYVAAAYGDAFSPFAPTVFVRCNKIIYKNLQDYMAAVSGQAVDEPDKDFI VTSLDLLSSIIQAIDSNKSSELVANSQPQLFDLLAFCMEDPTNDVKQSAFALLGDCAI NIYSQLEPQLPKIMPLLIRQLDLDLIQDANSEAGFNVLNNACWACGEIGVRATSSMTP YIEPLYQGLITIVSHEDIPDSVNENASMSLGRLGIASAEQLAPHLPEFAEPFLRSMAN IAPTQEKASAFLGFSRVIERNPRAMENSLGEFFTAIAAFPKKEASGLGFREVRQSFGN LIQGYKEIIPNFEAFLSRLAPAVQQKLRNTYAL EPUS_05422 MPLQPPEEYHIHTPTPHVPNSRLPVLIYRSVLPLPPNEKEEEED TAGSESRLNHPCSEEEGSPAFSAAGAARQAIEANHWYYGGIFKSYWAHHFHSVTHECY AVVAGRSRLLLGVGPLDGGVDEGGRKRERNGVQVELGTGDVIVLPVST EPUS_05423 MSFNTIPILDLSLARSSETKESFLDDLRHALLEVGFFYIKNTGI SSALIQDVVEQGKAFFDLPLEKKLEVEMKNAPSFLGYNKLGMEITRFKIDWREQIDIS TEHPVPGPDEPLYHNLLAPNQWPDPHALPKFRPTYERYIQEMGDVSMEFTSLIAEAVG LPRNAFDRFFDDAQQHKLKIVKYPDLEELGIEGEAQGVGPHKDSMLTSYLLQASHHKG LQVQAPDGRWIDCPPLDGTLVVAIGQGMQALTQGVCQSTTHRVLSPARGSGPRFSIPF FQGVSYDATFESMDVPESVKELRSRILEQRGERIDDIEFTFMSGRYHHLGEATLMNRI KSHPDVGEKFVCSPLDIFVPVAHAACFYADIRQYPDLLKKIRRQQEQDQKQAGKAEPS EQELLDAAINVHSSVIEAH EPUS_05424 MRNAFGASRQPILSTFNQTLRPGLKTQHISFQRSTGQQRRFLRT SRCLAEERKSFRGQLYESTAQRLARERAEEAKYIENKQKMGPSKALQTFALTILFLAG CGTAYYMGTLLPKELPRTSTTPLSAARPPVHDTRDTVLQAAWVDFAQIVGKENVSTDT DDLVGHSGSDWSTYQTKPGERPFMIVYPATTEEVSEIMKVCHLRKIPVTAVCGGTSLE GHFAPTRGGICIDFMRMDNIKALHKEDLDVVVQPAVGWEDLNEVLAEDGLFFPPDPGP GAKIGGMVGTGCSGTNAYRYGTMREWVVSLTVVMADGTIVKTRQRPRKSSAGYDLTKM FIGSEGTLGLVTEATLKVTVKPKSENVAVATFPTIHSAAECVSKVVGEGILVAGVEIL DDEQMRCINKSGRTSRQWSESPTLFFKFAGSPSGVKEQIGTVQRLAKQCHSERFDFAR NADEARELWSARKEALWSVMAMRRDESDHVWTTDVAVPISRLPDIIEETKEDIRRSGL LCGMVGHVGDGNFHAILLFNEAERRTAEDVVHRMVKRAVEMEGTVTGEHGVGLIKRDY LEHELGRNAVDAMRKASVPHIPHPRSRLRLTGVSQQLKQAFDPMCLLNCDKVVRVEPP RKGEVQPW EPUS_05425 MATNPELQAALRELDHEFEEGDITRKGYEKRRTILFSQFLAPPE PPTAQQRGGLRIHSPDDSDHPAAKGSTSAVSKPLSLVKGEGQYRDGSIHSRNSSVDYP PNNVKRSQSRDLSGLQPPQRQSSYGSYDSLFLPKPNQLAVETSPDASRSQTLVSQNYA FNPEQQNEHGTMSGGLNTRNSTMLDSQQQYFSDFTGQQLDDRQESYGAGQRYSQTEPF SPSAQMAPPLLGIGDLPTGGSAMHQLPLEPRDIPFNVCDPHDHNIEMSKFDNIAMVLR HRAKTNSKQPAYWVLDQKGRELVSITWEKLGSRAEKVAQVIRDKSSLYRGDRVALIYR DTEVIEFAVALLGCFIAGVVAVPINDLKDYRRLNIVLTSAQAHLALTTEANLKNFQRD ITAAKLNWPRGVEWWKTNEFGSYHPKKKGEEPPLVVPDLAYIEFSTAPTGDIRGVVMS HRTIMHQMSCLSAIISTAPLGKGDTFNPALRDKQGKSMSSGNRCETILSYLDPREGIG MILGILLDVYGGHTTIWFEAPAVETPGLYASLITKYRATLLVADYPRLKRAVYNYQSD PMATRNYKKGIEPNFSSVRLCLIDTLTADSDFHELLADRWLRPLRNPRAREVVAPMLC LPEHGGMVISLRDWLGGEERMGCSLIHELDKGASPVAKDHEDTSKSKTGFGSSLIGGK TAQPSKRETLPDLDEVLLDKEALKTNEVIVVAMGAEAREKNSNYPNTIRCGAFGYPLP DATLAIVDPETSLLCSPNTIGEIWVDSPSLSGGFWALPKHTENIFHARPYQFKEGNPT PVSIEPEFLRTGLLGTIIEGKIFVLGLYEDRLRQRVEWVEHGLEIQEHRYFFVQHLIL SIMKNVPKIHDCSAFDVFVNEEHLPIVLIESYAASTAPLTSGGPPRQLDIALLDSLSE RCMEVLLEEHHLRVYCVMITAPNTLPRVTKNGRDEIGNMRCRKEFDNGSLPCVHVKFG VERAVLNLPVGEDPSGGIWSPLSTAARQELLMMQEKQYSGIDPREVVIDDRTSTPLNN FTSIVDLMQWRVARQADELAYCTVDGRGREGKGLTWKKFDQRVASVAMYLKNKVKIRP GDHVILMYTHSEEFVFAVYACLCLGAVAIPIAPLDQNRLAEDAPAFLHTVADMTVRCV IVNADVDSLLKQKLVSQHLKQSANVLKLNVPAVYSTAKPPKQSHGCRDLGLKMSPAWI APNSTAIVWTYWTPDQRRISIQLGHDTILGMCKVQKETCQMSSSRPVLGCVRSTMGLG FLHTCLMGVYIGAPTYLISPVDFAQNPQSLFLTLARYKIKDTYATSQMLDFAMSNMPG KGFSLHELRNMMISADSRPQADVYKRVRLHFAQAGLERTAINTIYSHVLNPMIASRSY MSLEPIELWLDTRALRRGLVYPVDQESDPTALLVQDSGMVPVSTQIAIVNPETCHLCH VGEYGEIWVQSEACAKSFYMSKQDFDLERFNGRIMGGDPMATFVRTGDLGFLHNVTRP IGAGGQPVEMQVLFNLGSIGETFEVNGLNHFPMDIENSVEKCHRNISPGGSAVFQAGG LVVVLIEVFRKAYLASIVPVIVNTILNEHQLVVDMVTFVSNGDFPRSRLGEKQRGKIL VSWVMRKLRTIAQFGIRDADSAENQIMEVPEPQARANNASVLSRSIHTRGDMARSSIV SESPAHMIAPQETSPAFREGHAMSPDRTSHPPNSAQPTRYPGDGAQEVSTPETSNPPM VALNDQPYPISEGTPDLAQQPYNTYVDNEADDMNDDSKYYDPNADPFSAYDDITPQPS TSNMKYPAQTHATPLSITNPSEPATYPQEQTPTTVTASDNLQSSYFAGTTPGASATTM SSASHSSWTGDSPYRDAGNPYIEYYDGKHNLDSQSVPVPLPSLGYDSTPRSPRTMISP PPPLAAKSHTPPLPLQPAGRGRATLPSQQARYSSYGSTPGSAGLRIINQDSPSSPTYS TTEIYDSYAEAEPSLSQETPPYPSRTSLQEDLGEANLNPPEGGRAESRSSDAGSVAGS VRRRYDGSGYDGW EPUS_05426 MPNASSQPEYHPDISEFFGWVQAHSVLGTRGPDSLAEHPFMPRP RLEAYLKENGRTKTLLRALFPGRDPPIAPEEIWRHCISVFAILLLIGKGTFIEYFLKH DQLWDSKLPFISDHCFPVTTGNDSFFESFCKQQWHFCPYTFRRNVIDAHLEKECILPI VSKDLLGEGGSASTYKIKLHPAHDDLSACTVNGGDPGCQNEKTYVLKTYRFRDAKDNY ESEVRAFRALRPGGSLGKSIIGFYGSYEQDGSYNVLLEYADRGTLENYLATTPPPSTA EDIYTFWKSLFNVINALNLIHNVSGDAPDDPPILQGWHQDIKPTNILVTSGKSQSIYD CDFKIADLGLSHFRKLRAAHGAATDKDTSGTREYGAPECYRFADFMKRSPLNVKQAVD IWSLGCVFSEVAVWVVHDKDRLDGYRQMRHDETKQIYGFKDGRAFHDGEKVLQSVGII HTEVLKNVRRSDHVTRSVVEKMITEMLDEVDGRPNTKQLWLKSTSILRDAETKIVAAK GGTQIVDSESRRKTPPITPPDLPHSQSDQPGRNRIPKRWSSLKRPRETRAATFDVPAR EHIPSVESNADDAHETHDELLDNTPATRSSPQDLRAATHSLPPNSFGDADHYQFAHKV HDKSVESSVPKHSPTRNGKNRELAPTKHSDSALDPLQNSDVTHSMTRLDIQGSNDSSK RLQTEVSPRPQVDLDGSQCRPISKTATIAPASPPTRQFTSQPLPYLSLADAEKWMPKR WERKTSNVLKDAYLLDNLNNRDHIFLVDDAASMLPYWRDVCNVLRVLAYMVKGSDDDG MDLYFTVSSINHNSKKATGLYNQIRGKQLQGTSDIGLRLGMILDDYKASLRQPLQKRL SLLGRAKRRVRKGLSVYILTDAVWQPHSDAGQPIESLVRTLVELDSPQKLVGIQFIRF GNDPDGIEKLKHLDSGLRLERDIVDAEPADGNVWKMLLGASNKWFDGDEEEDHGATTH GH EPUS_05427 MPSFLDFVLSYGRHQHAQDFHFVGFRHESSLPSVQRGLRIPELG RSGRRIQLCYSFSSVEPSARQTSWPWSVRQTATYHSLDLESGHSTWIVVKGDQLMHER VISATKSPHVADLRSFRTLQDSVSSSFATHLIFCDWSAENWRWYINFLEDQVQDTTRR TVDIPVSKPLDQIPTITPLPTSLQGLSQKKAKPRPSRFSRKNIHRQHSTLSPSPAVLN VPTGPPEPPERPPEATLKKEDGHGGFSFKDLQRIQFIEEQANETLLVLKNNTNILTEL TEHYSSVMQSEDCPQEIEQHCKGQFAHFGSRIVSVQHDLRMQQSRVETLLRILADRKT LLYGILQFRSMEATNVLAEKAQQSTGKMGLLTEKMHEVAIKTKQETVSMRIITLVTLF FLPGTFISTLMSTDIVRFPTANSAKPERVFCLDALKLFLAITLPLMCGTFMAGGLFYW ITTLRERMRSSGGEAGAYDSRIS EPUS_05428 MVLKWAGEDRKRMRRRAIPQCAHHHPSWVFAWLWILILATCLDV AQCMTQREKTDLKTEAEDLFYHGYNSYMKYAFPEDELRPVSCTPLTRDRANPAHIEVN DVLGNYSLTLVDSLSTLAILASEPSSGRTNRHLEAFQNSVKELVIQYGDGTDGPAGQG LRERGFNLDSKVQVFETVIRGLGGLLSAHLFAVGDLPIRGYNPPRGGAIFARKWDKSS AESHESGIKWPNGMIYDGQLLRLAYDLGNRLIPAFYTSTGIPYPRVNLRDGVPFYEKS PLNFDPGVGQCDAGQKGTPEITETCSAGAGSLVLEMTVLSRLTADDRFEELAKRAFWA IWNRRSAIGLIGTGIDAENGNWVGSYSGIGAGIDSFFEYAFKSYILLSNNVHPEYRVP KHVKDPRELFPALAAEEASPAGFLRVWSEAHSALKRHLYRGKTYHHPHYIQGDLHTGA TRAYWIDSLSSFYPGLLTLAGEVDEAIEIQLLTTALWTRFSALPERWNLGTGSIEGGL GWWGGRPEFIESNYYLYQATRDPWYLHVAEMTLRDIKRRCWTRCGWAGIQDVRTGELS DRMESFFLSETAKYLFLLFDESHPLNQLDGPFVFNTEGHPLIIPPNVGARRRLRSTSE GDVLKQQGGFAVCPRAPPSLPFSASVTAARNDVFHAAVLARLHLMPSRGSTDSFIGEY AVDHPSISLQDVRSPSNYTYFPWTLPPELVSHNATSAPLMSRPSFDISFPALPNMGTP VAPLQRVKEGILINHIGGLRLGMVQDVPILVNGASGEAYRINLVNNLALGKDEKVFLP RDTTSGVLNPTDPNFTRLRDVGMLDLVVDLDIPSDETNGTEAADLNDVLPQIPMPVSV KSALSSLMAQLTALIHDDRPSVFSHSSQADLGPDSSRFYIPAITATGLGAAPIPDWNE APSPTTSNLPRRPLDWTTIYATDQLCDHRIPLSIVKTHQILLVKRGNCAFSTKLANVP AFAPSPTSLQLVVVVSYEPPPEENMPEEEWLIRPLLEEQQMTSGGLVRRNPLPMVMVG GGERTYEALRRAVGIGVKRRYTMEAQGIGIANLIIV EPUS_05429 MASVPRASLADLDIPPYLYKPLAEEDAVEGKFEINLVNILPGPK GAPLICEITTAQLLNTFDLIVGKPDYEALSYVWGTGGLSHEILRLRFEDRNRCMWIDQ ICINLADPLERGQQVSLMGVIYWYAQRVIIDLGEAAEQSDEAFDYAATLHDAASLESY YYTKESQLF EPUS_05430 MEGCIRAPQMIERSRRSSSSQQHARRSYSPTSEFIVQSARDSLV ADPRFRNACIRIDTGFCYKKCATILVFTSGCSDEHFIGLSEQLIEEAVQAANRALLGF PGMYNDYRVRAYELRGKAFKVRAAYRSHHEAHSIKVHSDLHVAALDYYSL EPUS_05431 MATDGADQSKAEKDLSQTFVRPNISQAIPTDNAPPSPTSLPQSL QSPPSAPIPSPVTSDRSPSLRLQTELRKIIYGMLLMVDPHPSPIEHDKEFCVLPNTAI LYINKQVREEAMETIRNQNTWVMVEVNSRDGDLHTLPYRELLSNQALIPRAWMGDLRL FVRGGV EPUS_05432 MSGTDYNYDDNGQFFPFFVLTLTGLVTLPLTYNILKKNEDIENT APRIQSDFKPKHDDLIQAQRRAQKRKEFKTKRTIAVILGYLIMAWMVYKIIVTKRIMP KIWDPYEILGLSRSTSEQAIKKHKRLSSLKYHPDKVRPDASKNETVETLNDRWVEMSK AYSALLDEEVRNNWIQYGHPDGKQSFSIGIALPKLMISEGNGKYVLLFYALILGVALP YVVGKWWYGTQALTKDGVIIASAGKIFKEYKEEITIGGVVAATSTGEEFQQLLKGSRS DAGLSKVEKSIFAPEAGLSEQDLSRLKEIEDPLRRKVLALLWAYLARVDLGDDTLESE KYAVAPIAFLLNDSFTSIALAFSVVAPLLASYHASQHIIQALLPDASPLLQLPHFTPK TVQAIEGADAKSHMSLQKFMSLPQSSRRRLVADMSDSQYASAMQVARQMPNFVVAKAF FKVIGDKVITPSALVQLVVKGRIIPPGIQHVPEVNEADLEDIDPEEGDLDALLGRKSA KSRRKKPIIDEPTAGFNNKENSIQPPLAHAPYFARNHSPRWRVFLTDARAGRIGVPPF TFTEFDKPIFNAADNTPTFNMQTFKCQFQAPPQVGQFAFKMHLICDSYVGLDSTVDVI LDVQDMSKAAVVESEDEISEPDEDTLAGQMQAMKGGGAAGKRKKKKAVKADEEEEEEE EEEEEDEDEEESDTDGEVEEVSDTDTETDEE EPUS_05433 MSEEAKKPRFWNYPPPKQGPDVFSVNNAAPNPVLRGYPLAVAAW IVASSSFVSNIFWKNAKFDQLQEVKELDAYDPRYDPTVIPITPPSDAKPVSLNDLPAP KPRQHPQQHYTSGDYVSLYQARKLTPSDVVEVLISLISKEAGGEHSIAFLQCRADLIR QAAQASTRRYQEGKPLGPLDGVPVAVKDEVDLKGYKRTLGSAMDFTNKDDETAWCVKQ WEDAGAIVIGKTNMHEIGLDTTNNNPHTGTPPNPHNPSHYCGGSSGGSAYSVSTGLVP IALGSDGGGSIRIPSSLCGIYGLKPTHGRVSGHPTRDLGVSVGVYGPMASNLDDLRLG YRVMAKPDPSQALGKMEEEQGEG EPUS_05434 MERMRRLQWALATASFANSSLGRRSLDVLTMIFDHWKQHKDPEP GVRSPNDDWPKVDERHVPCLRLLRTCRYLYYTLKDDILGKAVIYFRTPDTIPSFRFSQ ELAPMTLPRPNYLHTVILDIRDNANWPLKTEVRGVTTSLPTNPVEWEARIKRFAPDSP GNNTLGRFMARGNKGLMTADWGHAIGRLLTQFAVKNLIVRGNRGFVTALAAGGPIVRN LVEARGCVENVTELGLWPARAQGALTRGMTLAQPTMANSKAFQVQARPHICPNCLREK CFRSLTSAELKIKGANCPACQTPTSIIVPAHPSIGNQHV EPUS_05435 MKRILGTFNRRAGAQEGQTQSVPQGDSPESTIVREVIAFCESDA PNSPTAGDEFLHLPAIVDAAESTPAAAREGANCIRKFLTKDYASRAYAQYNAVMLMRI LTDNPGRSFTRNFDASFVKTVKELLREGQDLSVQQILRETLDSFATGKTDNETLSALM EMWKREKAKNNTKVYGNSAGPHIPNGHSQVAPSGEHFARHHRQPRSLPPPDELAARIE EARTTAKLLSQTVQSTPSAEISNNDLIKEFADRASSASRSIQGYIHSTNPAPDEDTLL TLIETNDHLSIAMSKHQRALLQARRNLSNSSQAAASSPADQVNYPPPAGPPPGALAAG STQDHAYPAPSSPPQRAQDHYYNPPPNMPPQRAQPTQQQQQQQQQQREPYDVPENPFA DEAHQPAPPKQSYGLFQRANQPGPPLGADRHLPYTEALQTRPQNSTPTFHSTPGYAYR QEPAANHLTMQGTTPPPGQQDRNDVQQRMANMRV EPUS_05436 MAADTHPSADEAASSSNSDILPYTSGLNGVKLHMDKFLIKWLWI SMVSIAVLILASRIIQLCNSHLRRIFSLTASTKQQSYWSFDKTTIWPQFKKNVLYAPL GKKRHNREVKFSEAVNVGTLPSRFHTFLLFLLLGSNIAYCCILDYRQSNKAALLAELR GRSGILATVNMIPLILLAGRNNPAISLLKVSFDTYNLLHRWIGRIVVVEAIVHTIAWG ANCVQAKGMGGVGQSIRGDPFLQWGLVGTLAMAIILVQSPSVIRHAFYETFLHLHQAL AFAAILGVYIHLEISKLPALPYIRAVVGLWASERLLRFLRLGYLNLSRKHGCTNVVVE ALQGEACRVTFNLPRHVTVRPGSHVYAYLPRVSLWMSHPFSVAWTNVDSEPPTGAGTL SPITPTSPSSLEKQITLALKKSKEPTSISLVMVARTGMTRKIYDMARASEGGMMRISG YVEGPYAGHDSLKSYGTVVLFAGGAGITHHLVQIRHLIAASQAGTVATRKIVLVWSVR SVEMLSWVRPWMDEILQMEGRRDVLKILLFVTKPRSPRDLVSPSATVKLFPGRCRPPV ILDEELPTRVGAAAVSVCGPGAFADEVRAAVRERLHWGSLDFVEEAFTW EPUS_05437 MPLLKDLNTENITENTILTNSSCEDLRMKYLMERLVSHLHDFAR ETRLSTREWMSGIQFLTVVGQTCDEVRQEFILLSDILGLSLLIDAIDHPKPPHATEGT VLGPFHTHEAEEMSHGDKMSSDPDGEPLLVLCTLKDSAGRPIEGCKIDIWETDSTGRY DVQHADRKGPDGRCVMYSDKDGIFWFKAIKPISYPIPHDGPVGKLLKALRRHPYRPSH MHFMFEKPGYDNLITALYARGDPYETSDAVFGVKDSLVVDYTQTDREIAEKYDVEEGT LLMTYDFVLVSDEEASDLREQKSRKALEALGRKVKFLEGLPVPDVD EPUS_05438 MSSSPLDISQLSESQQEALQTYTSVTDQDPITAIPILQRAEWNV QIAITRFFEGEPTSDPVAEARAALPAASSRQTSNLQFDDLIASARPLSTARRNFNSVG RVSTQAGEETHYRPPLLLAILFTPFSLLYRVLATILSPIGTAFPFIPRLIARLAPSQR PRPSRRSLAPADNARRFIREFAEEYGTNDLPFVESGFNLALDNAKKDFKFLFVVLLSP SHDDTSSWVRDTLLSPQLNSFLSSHRDEIILWGGNVQDAEAYQVADTVQCTKFPFAGL VCYTPDSSGPTGMSTVMRAAGPMPASELVAKLGTAMTAQQGQLSATRLERQQAQASRN LRQEQDSAYQRSLAQDRERARLKREEAEAQARMEKEALRKEEDEQRHAAVLQQWKRWR AQSLPPEPEKTSKDSIRVSVRLPNGERVIRGFRADADLEEVYAFVECYDLWKEAAAAA ADTMSEKEVLEPEGFEHTYGFRLVSPMPRIVYDLQEGGSIGERIGRGGNLIVEPIEED DQE EPUS_05439 MKKTFNVDSPSFTPSFLSATENVNANGKKSTGISPKAANAAPFL PKSAAVSRADTSTPDWPVDVQEFIPQSYSSSHMANGSEGSAYDHFQTSSSHIPAQSSL NSQPPLYQDALSMGGAGFFPSQSGFQQPAQYHQYAPVGPHNQNLQGYQRNVHDLFIPN DFREEIQKKAAATLQTIPNLHLPAHVESYHSLVPLDTNQKSSTILGGYSSWIYKAQSS TNGKFFALRRIEGFRLTNEKAIRTVQNWKTLINSSVVGIHDAFTTRNFNDSSLLFVCD YYPMAKTLAEYHLGQSKLLRSRNNPEQVSEVVLWAYMTQIASALKAIHSRGLAARVIS PSKILLTGKNRIRLNACAILDVVHYDAQVAVPHLQRQDLVNFGLLILSLGSNTPDASQ NFAKAMDQFKRHYGKELQAAVVWLYSAMQNQEKTIDQFLSNISTQMVTAFDGALQLDD QLNSEFGREVENARLFRLMAKLGFINERPEYEHDRQWSENGERYYLKLFRDYIFHQVD AQENPVVDLGHVLSCLNKLDAGSDERLTLVSRDNQSCFVVSYRELKKGMESAFQELSK NARRSH EPUS_05440 MSERGHFRGGGRGGGRGGGDSGHRGGRGGGRGGAHGSQQQDKPK KENILDLAKYMDKQVSVKFNGGREGVCACSRDAKQQLLTSVLVTGTLKGYDQLMNLVL DNVKETMRDDEGNESSRSLGLVVARGTLLVLISPVDGSEEIANPFQQADD EPUS_05441 METTSTMTEEVSNKRPRSLSGDLGGPPSKSSKPQSSHLQINYLA RQYNQNIPLVNVDDNLPSILRLIGDYDGVLHRHESLAGNLGACPLGPILIKRFERLFD GPPRILKSHGKDPSVTWLDVVDFARNKPEQFNLEKMRNGVRVCQFYTKQCRVEISEED YVLIASGMPQKLIPPQPIQEDEEKELGALEILEKNLSHIVQMADQVSGRARQLNHRLK NRKAAIISRREAEEGRREHPRCDSPITLSELINGTSTRANGNPAASQSPPMGFTAVNT RQSAPAETISDTPAKASTEPLFSRANTENVTVLNGASIKGISQEQRVELIKSFLVNAD MQARDDDLNRQSSLGTTRSATMQNAPQTRSESASQSSLYTPNHSAVPIPSTPAALLPH LKPTQIERDDGGPYKAEMVNRMESLHRGERILPPCDRCRRLHMDCLKNLTACMGCTKK HAKCSWKDVREEELRTNRSAMRTASPSPEHGDARSDHVESTPDASASSHRSPVSALQS TAQEQPLPPPPSSTSRRELDLLPPPSSLAPALTTSNASPSVYASVEQKSEVVPTPPPP PPPPPPRILSAPRISTPDYSTHTPKTASKTTSTIGQQLQDAANGLAAAHRSVFTPQKQ NPNQSVERDDDDDEDEGDRLQALAAQVYRSASAQQNRSSLA EPUS_09054 MDSSYDSSSYEKARVPLLKGTEDYFSWSRVMKARLDRLKAWSPI VSHPPVNRGRTKAPITLARFREQFDQLNLDLDSTGWNQRQWDAAYEDYKEEIREFNEW QDKEKMALSEIIERLTPIILTRMNRYSTPKALWEALEQAYAAPLITEQLRAFQNLLSL RRSQYPDIRQFTTAHKTAYDHLTYNLRFSWDPTTLPTLLLLWSENNDSNSSSNLLLWS ENNDSNSSSNWSKFLEKYKNGTQLADPEELYTTLHGLGEDPKKDTKKPTLTANVITGK RKRNDAP EPUS_09055 MTFAVRTYPTSISHPHLTEYRPVTKEDVDTLKYDWLTDNIIAFW EEYLEHAFLTSYKHANIVLLRPSMAMMLMQTPDPRTIKEALPNFTNTTHIFLPINDSN NPEIAEGGSHWSLLLISAVDGVAFHYDSLPPGNQRDAQFAARKMSVLLGKQLQFIPLD DSPLQENGSDCGVFVCLNMRHLLLKRLLMVRSDEKVSMSMGGRRVDAREGRKEMSRII ESFRREGERRRS EPUS_09056 MGLEELWKRKWQEVAPRSRSSYRHEDGHGERELQRDWDNQSRSK DYQSKSKDYRSRSRDDRSRDDRSRDDRSRDDRSRSRRKESRRDKRTKKSNGKKSSSDS DSESESSDDEDVEARYCRACELALAKCRIWNVPNPAARLESQKYFLKPPICLKHFHSK DVVKDDELISPEDLWKEMKAREPCDVCDAAKKAARPLPSPYENARTEGEKYFLPIPIC ARHRKREDIVCENEIVSVRKYWKTLKKKEVCMACEAAKKWCSPLQMVNNHALTEGERW FYPSPLCLRHKDRRKILVDAEMIKMEDYWKIRVEATREEARKVAEKKRDSG EPUS_09057 MCKITRYKFCVEGFDSKRRLPPESTDRCKEYQKLEAEARTGEFM AQRRLVDHLSYSDKWPQVEKVHVSQVLPGGTCVPDPSKVRWNPSMGGNPTDRCYYKRC DGVYRNHSGMRLDYGGPSKQTPPQRRPRLQSQSPQWHSGLRQSPRSPSQLGPSQTELP GQGSNTTPANPPPEQNTPQHSPPEQSQHQRSLPRPIPLPQSRKFNIGTSEADLVTPST GSATSEISRDMPSAARSFQQSLSQQGS EPUS_09058 MPLLASHTLILTHSLACLTTSSILLTNPILITSSPPLWLIGEAM HIRETPSFSQPSEPVAALALMLAVLAVVESTFAGGLSVSTTKKADGQSVQAFAEKAAI LHHAQGLWMVVSTVKTLVFGVLVMGSYLGTAREAGIGYVVPGDRGLGWFGLRMLNNRV TFVGAFAEMLFWGYLWTALKDEGRELAGRIKVKREEIQEKGDEAWD EPUS_09059 MANVTNHEPDPPDTEPLVSVFLFVGLITVVPKSSSVSAPTKPAQ DTANSDARRSSKPASNQRPSFGFAWSYSSTSALASTTPSVPSSSLEWDSIVILPVVVG WAVLYVLRRNDPIKPRCQQRTILDFARNPLIVSWIAGNIGGMTTKSMIMCL EPUS_09060 MVSMRFASIATLAASLVAVSAQDFSSIPQCAQSCAINAIPQECN LRPDCICRATSFLEGIGCCVIQACSQEDVDRTAQFSEQLCRTAGVTDLPTPSCPESGS SNGTGAGSSNSSSTANASATASGASSSASGASTAAASATGLANSLMAQGMGVLGAAVF GAVALM EPUS_09061 MEVIDGFTHATGPARVYGPDAGSFNSRSWVDFPQRTRSNWASGR LTAPPHGALLYAPHGSNNVSTTYFDDQALDAARNDEGTVIRVFAGPAVRESVWGEAWG LSANISCMPTPLDHLQMIRNDAYNSSVNICSTEEGCEFQWLNTDEANRINAARLNGLD EQILDIPVWLNESREIHLQRGLQSYSLLAAADGWSMISTERSEKATTSPYNNVSNHDD STFDHIVRGARPEDVTNSMFEVLLWQAGASGEGIVDDEIFKDYRLHPSPLATIKNGTT NLFNSGDAINSGVFLGFGVHCDIKSAVGTATLDPDQRTFFNFTRGKAAPSNVTFLFPF NVAPVQIQAMASLAGNQYSGNVDMALTPREESDTDSTLTAIHKAIGSTMRRRADDQPG IVLYYPTLSTENVTLAMYKLLGESVISLMGEGGVNPRSSTSLYALAPSRYLRPGTVPW QLVLALLALWAFSTSLGALLVVICKPTKGMPP EPUS_09062 MGLGVLEDRKLEHVPGTAYVLEDERRRLARSEEGLHLKKDRTGT IILVPQPSDDPNDPLNWPLWKRDMILGILSLTSVIAATTSPLLAANTFTIAIVFVRSF TDAALLTGYHLCGVGVAGFLFVASARVWGKRHLFLLGTVLMIISSAWGGASGTNYKSL LWARIIQGVALAPFEALVNACVGDLYFVHERGMRMAFTNVSLFGGAFLTPVIVGKMTH EIGWPWTFYFIAIFMGVMLPLVVFFVPETAFKRANHLNTDMEQGQHPLTEKSLESQSS SSHTPPPSTSAHLTTTTEKTTTSTTTSRTETPHRPPQQVSYIKTLSPFNGRKTSEPFL KLLLRPLPLFLHISILWACLIQGVIIGWTVLIGVVIAVIFLSPPLFFNEVRTGYLYTS AFIGSIVGLVLSGLLSDWINRAMIRWNRGKYEPEFRILLVLPMLIFSSIGLYGFGITA ADIARYGWLIPDVFFGFVVVGMVMGAVASALYVVDAHREIAVEAFTCLLIFKNMFSFI LTYYAYDWITAGISRSVRSTFVIIGSIQVAICLLSVPMYVFGKRNRSFFSRHDLLRLT RLK EPUS_09063 MAFLNGTADLPLNAFARFQRPEHAEEYCRDNVLYDLADYIRSAT LSSVAHDTADSAWGMFAPVWLKRQRRIRVLEKMMKEAKDLEAYEACAIELDELQGHNE WKLTFQSIEEDYNPTIIRKHMRRLQTAAKTSDIGRLQYLLRTQLSRNLGGVNILRLYK HSWHGTKALVNEYINTVISSINNLLELTEKARLPASESRFHQKSLEDALRYFGRSALT LSGGAKLGLKHVGVVKALWEADLLPNIISGASAGAIAAAIIGAHSDTEMEDVLTRFPY TDLAVFDPPHVVGPIRWCVSRCLTAIETHAWFDMANLEKVMKNWLGTLTFREAHNKTG RVINICVSSPDSSEPRLLNFMTAPDVFLWSAVCASCSVPYVFQPANIYERDRQTGTTK VWMQGSQQWVDGSLDSDIPQRKLSEMFNVNFFIVSQVNPHVRPFLAAEEQFTGIQPTT KVPPTDTIIRKMATLAKDDVVYRANLLSDTPFLKPLLRGISVLTQKYTGDINILPEIA PSDYLHMMSNPTPESMTEATASGERATWPKISRIKNSVAIELALLRAINVFRDRVNFG PDAAKARQKMRLEANRGRPRNSRLRRPSFTRRRSLSNEPPEWGNPPSRLIGPPSPSPN RAVKRNNSAGSLVERLGMISGFTPMAPKTPLITLTPQAGVSDWLTTREPADGQFNGFL LGGDDEECSETFVFDQDHQPAVPTLTPESLSPTSSKPPSPVETFKSFLRGRSEQD EPUS_09064 MSLPSPTFIFTIPSVHDDTILNCRLYVPRKNLVLHDIQPPRAAI IAHPYAPLGGCYDDPIVESVGFVLWRAGYLIGTFNFRGASGVAGHTSWSAKPELGDFV SMYGFMINYLNCLILGRGRYQRNTNQQLSSIPSAIEGEELPIKPHLSPRLLLAGYSYG SIITSHLPDVEYVAKLFKNAVSGSAESEIQLRASNLSSQTLKDLETRQKHNRAKTSRR TPTTQANPGSLQSSSSVLVGGFESEAAEERIDRESRRSLDLRKSLDRVREKIHVRPHR VPDSSDTSDEDTRVNCNLDVIVPEICYLLISPVLPPVARFATFFSSLSFIRRQPGEHI SNHDVSNLLVRYPSLTVYGNKDFFTSAKKLRRWTQDLSDKPGSAFEYREVEGAGHFWR EKGVLEQMLNHIMDWESSLP EPUS_09065 MAEHGVGTYMAQQKKFISATSIEKRGIWGFDHRYWHLDDFKRYK YSDESHFACALQRQAQIHRRRGAKARDAPTKIQFRFKRRNQVWHVFAYIGWNFKSKLH FYIGSGAGGRLVQADYITILEEVIAPNWDPNWILLEDNDNAHGTRGNADNKCKQTKRR LGIKCESNPPQSPDLNPIESIWRIIKQRLKNRGPIFDIEELRKAIEEEWDKITLDEIN KAIRVITITGGTALLLVGLQFGGVVHPWSSTIVICFIAAGIVTMGIFVFLQVFVSTRP IVALRLLNNWSSAAALAICACHGLTYVACLYFLPLYFQLVLQASPTEAGVWLLALAGV LIVASVASGVIVAKLGHYRPTIWTACFSITLGYGLFISFPSFRDWPRIIVFQMIAAVG VGPLFQAPLIALQARTSATKMSSANSIYWFTREISSAIGVVAGQVVIQNQLAHQSSTL LYTGIPEKIVVGLPQNFATFSGDLLAGLTPRQQAVLRQALTNAIRSVWVMNTALAAAA LMASFLLRREELSRIHHEVKTGLESLEET EPUS_09066 MLELDQPAGVVDRREAEMSVIGCIIEDLNTNPILLEHIAPAMIH YNGSKGQDPFMITVAADDLGSSANQAVRRSGARKTAIMIALCLAGFLASLDIVITGTA LPTIAKDLLASSLEYSWVGSAYLLASASLLTVWVRLSDIFGRKTVMIVCYLLFLSRTL VSALSQISRCSLPAEPFKGQEGQERGFYISVYSAATATGAILGQIVGGVLTGSGPELG AEIIDSSRTASYPPPIFERSQLHQIDSKFTKKWLEQTEQLGEPAD EPUS_09351 MKLLNIVSWIGCRYTLSLISSVAGTATVPANNTTCSSDAIPFPS LFGAEILSLSASPFTNFSTSLPQADQHFALNITGLDFCNVSVQYTHPGWNDRINVQLW LPLSSSQWNERFMGTGGAAYASELGVLSLPYAVSLGYSAVATDGGHPSVYFDPSSWAL SSPGNVNWFLLQDFAAVALDDAATIGSPPRHSYWNGCSEGGRQGLMMAQRYPTQYDGI IAAAPAINWEKVLVAGYWPQLVMNQLGVYPSECEFEAITAAAIAACDGLDGVEDGIIA APGLCQFDPYTLVGQPFNHAEAAAVALAAWTGSRSVSGEFQWYGLNQDAPLNVLAPTS CILPGRPCPSDFAPFYQLFNTWLTYFVAKNPVFNVTNVTYRDYDTLFRQSTNQYASIL GTADADLTDFRASGAKLIAWHALADPLLPANGTIEYYERVLERDPRATDYFRFFAAPG GGHCGGGVGYYPHSGLQALVDWVESGIAPETLLGRTLPDDEGKIREAPLCPYPLVAAY QGGDVDVAGSFWCQESFLR EPUS_08010 MAPVNDTGSGRSEGAQRSLNERNPECIDLRYRRGHLGETVTSPP PVVCTTDPPTPHVRGTIPVTNLGLKQKQGPSLAMRRAHGRRIGFSYEVPVLIAPKEDE DVRGTMTQFLEHVKNRHNRLLPSQHPRMTTTYIFRPWMPPIWLRDTSTWATEIDHDVP PMPGVPQLKRLAQAVIHFDQALEISMPYQRDVTNRRRMKGNWRDSPGLANKSRSEAIA AIEDAPNATQLGLMLHGTDSQFYQWCFSGIAGGIEVCNPREITVAEDAVQWVDFVLSF VQGSLACRSRDNLERFPPNHEGLAHFLSGKCPPAGATVLPASRRRYDSERR EPUS_08011 MTNCDSLNSSALILVGGFGTRLRPLTLTLPKPLVEFANRPMILH QIEALAAAGATDIVLAVNYRPDVMTAALKRYEQKYGVNITFSVETEPLGTAGPLKLAE KILGKDNSPFFVLNSDVICDFPFTELAEFHKSHGQEGTIVVTKVEEPSKYGVVVHKPS HPSRIDRFVEKPVEFVGNRINAGIYILNPSVLKRIDLRPTSIEQETFPAICKDGELHS FDLDGFWMDVGQPKDFLSGTCLYLGSLAKRSSKQLTPASLPYVHGGNVLIDPSAKIGK NCRIGPNVTIGPNVVIGDGVRLQRCVLLENSKVKDHAWVKSTIVGWNSSVGKWARLEN VTVLGDDVTIGDEVYVNGGSILPHKTIKQNVDVPAIIM EPUS_08012 MTRSIILTGAPAPSDLQWDESALSSIPNVEAGEVMGKPVTPESS PKFSAQWRVVLPRKLPGYHHPQILHDDVLPAGSSKAIFLTTAELTDRPPSQNESVLTN TNPSTASMHTAAETLDDFYDQSLALQGDLTTSQLSEFQSQNTSLMSPQWSEERTQVAQ STSASILHTSPSFMIAPQHLNAVKDIPSAAYLRSIEPQTMTVNLIVGVMALPSPRSVM VGRRWGQEREMQLLEMLVGDETRAGFEITMWLSNKANTTEGLLRPSALEIQLQGLRPR DVVLLQNIALCAYQGRVHGQSLRRDVTKVNLLYRRKLDDTDPGGKFSSRALAESDASD AVMEKAKRVKRWLTDFVSDEVPENSPDTAFVGRARLPPDTQ EPUS_08013 MGISRDSRHKRSATGAKRAFYRKKRAFEKGRQPANTRIGNKRIH LVRTRGGNTKYRALRLEAGNFSWGSEGIARKVRVIVVAFHPSNNELVRTNTLTKSAVV QIDAAPFRQWYEAHYGVQLGRRRQAKGQETEESKKSKSVEKKHEERVKKMGKVEQALE KQFEAGRLYAVVSSRPGQSGRVDGYVLEGEELAFYQRAIRKLFVFLRVLKRKDTTIEE KARLLLNILRAPTQINRFPTSCAIIIGGATLLPRIAVSILLSLRRTLNLSGTYGRHDL HTRSIRIICTFLSALLAFTLLNHDDCWSRKRASSQGLSQLPEPLDLPSQHHLPTQLPP RLAGKTIDFTLFTTTRALSVLVITLWTGTQSSRYHPTHTNPQFAKFLTKAVDPAIFSL SAALIMHAWFYHPHRLPRSYNHWIHQAANIDRRFLQALRLARSGAWQYGLNNSRTNHL LRGVAADLHLPESYGDPALSIPIKCELIHSGCGPSSANCTPSGASGAPSSSA EPUS_08014 MAPKKQQAKQVPPGPGDEAVNKSSDNAVANQSQASPSQHQASSE VTKKKKNRLQTSSAGNPKQAIDLTGDDSDTASASKSTASTASTKQSQPAPKMENEPKD TQESMSGPPVPGLASFAINPQTSLSNNSVMGTSATEIDVAQRLFQPPMNMNTPIPFSP ASFPFNLHPNPNQSPNTTTTTMNTTADQASPSDRPAKRARMDDPASTEKILVMPTVQQ FRNMAPPSYLTPSMINTNTATAPPQLSSAPSFAKKIELSREIGAALGISQRDAYQLLL ENGWVGDDAVLAYLGGGRPKKEEE EPUS_08015 MYKMICQQTGWNGQPVKAHESGEDPARRLVPVTDARRLRITFNG SVSDILPWKFTPQQREVRVLPGETALAFFTATNKSEGDIIGVATYGVTPAQVAPYFSK IQCFCFEEQRLNAGETVDMPVFFFIDPDFVKDPAMKGINTITLSYTFFKARYDKNGVL TTLPATST EPUS_08016 MAYGTAPRSDLGFSRSCEDILPRQCPGTTVQINYSDNGTTFTAE IPDEDYDTRIPKSLATLYQSGLAGQAQTVSSFFDIQWRHYSYAVHRASSTGSRYLVGT YRQLTSVLLNDALEPIEGLIVDTQSGGVGFRNHTVPQGLTFGADWTEDILFIEPETAC VDTNLTLEFMIFPFENRGSSIVNLSLVDQGGFVNLNRTYPRIELTDTQTDPKLKERAY KAAWQTNALTMVYLNVTRPSPNSFSYLKSEIERHFPLSTRVGSYDSFTTSDRWGVLID APWAYSNGSVFNTSRSSFDDPATFYSNPFNINLGNFSDINLICQGAGANDLANITNIG AACGLVFGAARRKDGSESLIFEPESWWTQPLYSCASATKAVIKTVQFRYNSTSQYDLK ALQILNITDKVYKKENNTPLWGVENPNMTLGSVTPLWGLISPEHENAVNLSTVRSDRL YLPGHPSGGVSSLPGYQNVPGTDGPQSALSSAYSIGSSKDVTNYSGKTNIAIAPTTAK IINLVWTDIAANSLVGTKSWISGSALPPNLAKRDGSLSNNAAVDVPVTVYEHQIRYRW VFAIPAAIVMFLAALIGLAALMFALMGKARPSHIRHYLFQLAPGRILTNFLYPGVCSG NAPTRIWIDQVGRNSVTLYQDLPGATEPQVLSSTLLPKERALTSSCQVEYSRGETGT EPUS_08017 MSSNLMDTVPGGETPQYESIKTVDKPTETQSGQLGGKMASGSSE VDEQKQEKGEQTAENVRYGQNISESGMGGMTTTSEGTASQGGYGGAEAQEGEEKPAQT RREQGYGPGSGVGA EPUS_08018 MGHPRRLLQINFTSPVTTPYHLPVPLHGAAIATQLTARRPKRSP SETAHRGLQASPRWHRHFSTSASARDWLSPSKAEKSKSTLGRPRVPTGGSMRGTTVVW GDWGLRMRDHDRRVSALQLRTGEETIRRRLRGLNYKLYTRVSANIGVYTKGNEVRMGK GKGKFDYWAARIPVSRVVFELKGDMHEKVVHEAFRLAGHKLPGLWETVRKGDPPMVGI TKLGNGVTLEGLKRARRAVPDKGTGVGPVIPPATTDTPPASISAAA EPUS_08019 MPPDIRNFFGGGSQKASTAAKKASDNPAPKKKRGRKVVDDSDDE EITETKPKKAELEKAKTRKEKPQPKVEETTSSEYFASNSKAKTSKSTPIRAKPHENKT TRNVDTTPSKPRATNGSTPSSRRSTRNIPARKYADNETDDKVRVLDDDEEGNDDIHAD EFARKIRNGRDDYEESDESHGSPIRHKARTANRTQKQAKAPTKPKPEADAEMSDTAGY DAPGEAKSAGKKLTAPSAAGRKRKSKSAELEEDDFLEEDEVPKKRARKTPTKSTAKKA TKKEEKEESKEMQAIFDSIPTVRAPTPPARDPNNKYQYNANQHHAPPPGAGSKVPPTG AENCLAGLTFVFTGVLDTLEREEGQALVKRHGGKVTTGPSSKTSYVVLGNDAGPKKLE TIAKLKLKTINEDGLFELIRRLPPNGGDSKAAEKHAEKKEKEEKAIREAAAEMERTDR EAAKAKAAMKVGQDGHRVPSSASSQQRKAPAADSRLWTDKYAPTAVSMVCGNKGQVEK LQNWLRKWHNNAKYHFKKAGPDGSGVFRAAMIHGPPGIGKTTAAHLVAKLEGFDIVES NASDTRSKKLVEDGLRGVLDTTSLLGYFSGDGKKVEASKRKLVLIMDEVDGMSAGDRG GVGALAAVAKKTSVPMILICNERRLPKMKPFDFVTWDVPFRRPTADMIRARLKTICYR EGMDLPASVLDALIEGSHSDIRQIINMISTTKLDRQTMDFDDGKAMSKAWEKHVILKP WDIVGKILKSQMFAPSSTATLNDKIELYFNDHEFSYLMLQENYLKTQPARVNNYSGRE RNLKLLEFADKAASSISDGDLVDRMIHGTQQQWSLMPTHAVFSFVRPASYMYGNFGER VGFVSWLGQNSKFGKLSRYVKEMQGHMRLRASGDRHEIRQQYMPLLWQKTVKVLQDQG KEKVPEVIDLMDSYFLTKEDYDAMIELGLGPMSEDNVKIDSQAKATFTRMYNAQSHPL PFMKASSVVAPKAAKKVKPDLEDAIDESDGGEELLEENEVKEDDEEDVDLKKDKYIKA PKKKPAAKGAAKSAPKGKKRGKKAADEGDDEVDDSASEEEVKKPKKGAARGGRKGKGK GKA EPUS_08020 MASSTPVTPITVPRPSSSPASSHSSSTIATVVRSPATRPSASPS SSFPGLLSAIPPFTPRLRRESRSVTSSSVRAASRQESVKEDVEVGSPTGVATSGGLSS PSLGEGGGEGVEIGSVGVTTAEQVSSPPPYPQGEAGIAAAAGAADVEEDEENADDPPD FFQAEVDAETFFNRGVRLLHLTQENINELDQLSGLAGGRPAAGEARRRLTLAGAELQQ AFITLHHEFDREDAQRTALVNQLTENNVRLQRDLHTAQIQHRLQRDRGDAMNQLRNQL EALLHQARVQIRHQEREMREAAPGIQQLAYDRDFAARDAEHFEREAEHLRLRNALFER ELVLATASEARQRRHAEEMHTELHRWHRMTVRLNDERSPEHRYNLPYIAHYDTADDPA LEYVAGHEFDEPLPPLPPSPVEGPEGGNDRDDETVAQPEEANQLGGEFDQQEQTVAAA LEFGNRHLQEQVEEHFDDFDSDVDSNADTVVGFDSE EPUS_08021 MILAHVGFPALALCIIPWLRLVLSEDRTYDSVLDAFPELEALNK QQIKDPISGGQDFDYCCLLAVNASYELVNGSVKPMGLFINESVTGLQSQQWPCGASYT GNKSGAPEVVAPYQWVRQHCPGWQENRGSKVDDWTQLFVGFLLPAVAFCLIVPRQQEL KLPRALFDVELGQVSGLLSSPFLTLAASLLVTIDTVYWLIVVFTFSGPILLSGLYEAV VDKRMLAFIRDKIDNGRLSRTDRARILFAILVGNLDLAWGSHDGQEGQAWLDTQSLVA ELEEAEKGLLTPNGTIDRDRLESSAKSIKVRLRTMLACQFPFGSTVGAPVVFFLGSFG YALKELSANLGRNSSSHALAYGTFWIVMPLESIVVSCLLAGNNPNTLEGIVGRREITE PYPTFFGFVPSFDTGYKPAWMWNRGRNKQHWAEAIARRYHVDDLRKRVAISNRDLAKL YSVVLVLLLIPCALAFTVSYLTPKTGLGCRSVDFMMFFFLQALLVGMWVWKYLENGFV EASQLPTLTIPLPSSSPTARVPGTETGNVDANGDRDGEKSATADAEMDEEGGKRCPTH QHKSRHAQHRVRRRRHAWLCTVAFLALCSCFFGIGGTVMQLVGVYQNGLCLIPLSDWL AKESTILLSTNSHAAISLAQHTWIPVGVTAAAYLCFICCVGWWYNTYLRLQFHEMVDA FDEEKVRTSTFINVKSGM EPUS_08022 MEELKATFAQCKKEARSALVTYVTAGYPTAEETTDIMLGMEAGG ADIIELGLPFTDPIADGPTIQKSNTIALKNGVSVKSSLQTIRDARKKGLHIPVLFMGY YNPLLSYGEERMLKDAKDAGVNGFIMVDLPPEEAVRFRNLCTKAGLSYVPLIAPATSE ARMKLLCKIADSFIYVVSRMGVTGATGTLNAGLPQLLKKVHHYSGNIPAAVGFGVSTR EHFLSVASIAEGVVIGSQIVTTLGNAAPGEGAKAVEEYCAQVSGRRSGKHNQTNGITR EVGIVETMSWAQEPNGVNGHPMVKVDKVITDADVPSEPGLADQLDALNSTTNGSTPNP SAIPARFGQFGGQYVPESLMDCLAELEAGFNNAVADPRFWEEYRSYYPYMSRPSSLHL AERLTSYAGGARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXELSSWKDSARAKFIAATDAEALKGLRIMSETEGIIPALE TAHAVWGAVELAKTMSKDETVVLCLSGRGDKDVQTVADELPRLGPEIGWDLRF EPUS_08023 MLPIARYSALRAIRLLSTTLPRSSSLPILRLLSTLAVLEQIDGK LNSGSLCAVTAGRKLGGSVTGFVAGSNVKVVAEQAAKGKGMEKVVMVDNGAYDKGLAE NFAPLLVENIKKGGYTHVIASHSAFGKSLLPRVAALLDVQQISDVMSIQSEDTFVRPI YAGNAILTVQSTDPIKILTVRTTSFPVSEASDSKTASIEEGTDPKAPSPTEWISEDLA TSDRPELATAGRVVSGGRGLKSKEEFDKLIPPLADALGAAIGASRAAVDSGFADNSLQ VGQTGKNVAPQLYLCAGISGAIQHLAGMKDSKVIAAINKDADAPILQVADVGLVGDLF KAVPELTEKLKKG EPUS_08024 MARVKKTSRAPPAGNNRGLAGVLQLDPTHAQPNHNQYNLHQLNQ SFWEAPNFPESDFVRMRDTYQMPPPPPPAVGEQDVEDEIPSGDYDTVLEHTAAAGAAA LTQPLHKKRKFAAHTGSADVGIEKHFVAGLRARKRTPSPPSRSSTDCQIRISVLESTL RILKDKKKELEDGLAMKKRAPDFGFGRVVDKPETKASSRHVTFVNYDAKEEEKMEHVR KRLKTLTKEFEVAKEEDDVEEMKRLTGRMSGYQRAVAELMAQLAERNAASDKDEDDEE DGDLPMSGMEG EPUS_08025 MSGFDTEHSRFATKYSLYLYREEGVDYYTEDNIGLRGAPVLFLP GNAGSYKQVRSLSSEASRYFHNVVQHDQEAIRAGTSSLDFFMIDFNEDLAAFHGQTII DQADYVNEAVAYILSLYHDPHRSRRDPRLPDPSSVILIGHSMGGIVARTVLITPNYQS NSVNTIITMSTPHARPPLSFDSDMVKTYQRVNDYWRESYSQKSSSNNPLWHTTLISFA GGGRDTMVPSDYTSLSSLVPETHGFTVFTSTIPDIWTSMDHLAITWCDQFRKVLIKSL FEVVDVRRAAQTKHRTERIKVFRKWLLTGLEAAAPKTLPWHDPTVLLTLSDSSRSMIL QSSNLTIRRLGAAGQTNAQLLPLPSGEEADGKLITILTDQAMDKLGDLEKLEVLFCSI FPLPHGHSSTLLPVEIDLSDGSPGATRLACKKAGGDAIHLPASTRTSKHAFDQASPFT YLQYHAADVEEHQFLAVVDKANDISDGWLIAEIAEKSRTFMVADISLKRLLMTGLHVE LPADRPLMTDIRVPVLHSSLLAYSLRLGNLGCGSATELFTPLLRQYIGQPHESKFFVN FKQADISLHGIAPFMPPALKGEELASGVSFQLWTDPTCDASLNLALKVDVTGSLGKLV MRYRTLLAAFPLMIVAMVLRKQFRVYDDTGIFITFTESLDKCLRTSLPILFLAMTLFA TSVAQSPTFAFRYNQTANLTEALVDYTQNDLFLGSSDTFFWFLVPLTGLVSVGACIAI NYLVLAVTYPLSIVYSYSTTKSGYIKHEEPQTVICSTFVPSTPRRRAINTIILLVFVA FVIPYQFAYVVACIVQLATSTRALRFAREMRSATLMNFSNYTHSIFILMLWVLPINLP VLIVWIHNLSVHWLTPFSSHHNVLSIMPFIILVETLTSGTMIPRITTKVRYLTNVIFF SLAVFTAMYGVTYAYMLHQLVNLVALWLVIVHYAYQSGFPLSGLKGLLEGDDDTDVNA DVKKMP EPUS_08026 MPPTKAPALANSEYQVGWICALPLEMTAARAMLDEIHDQPQEQD PSDHNNYCLGRIQKHNIVIACLPDYGTTSAAVVAEQMLHSFKETRFGLMVGIGGGVPS AKRDIRLGDIVVSRPQGTLSGVVQYDLGKAITEGRLERTGSLDRPPQMVLTALGTLEA THEMEDSKIPLFIKQMSTNYPKMARKYGYPSRGKDRLFNANYNHPDSFPNCDSCDISE LIDRRDRESNDPRIHYGLIASGNQVIKHGLTRSKYGEDLGVLCFEMEAAGLMNHFKCL VIRGICDYSDSHKNKEWQPYAAITAAAYAKELLLIIPAHKVKLEQRIVQVADPESHQL ATKLLATTNEQNKKVNARYEDERDRQCHQVFKTSEYERHKDRNPDRVEGTCQWVFNHG QYKSWLKNTRNDLLWLSADPGCGKSVLSKSLIDKEFKGPEYSTCYFFFKDNDEQNSLS TALCALLHQLFSYRPQLLYYALPHWDILKEMLQKETRELWRILVSSATDPAAGDVICV LDALDECADEGRQELINMLTDFYNDSVSKAPRKSSLRFLVTSRPYYDIERQFCGITNG LPTIRLAGEEENEQISTEIDHVTCAWVSKLSTELDLRQNLQEKLQARLQQTSNRTYLW LYLVFEEIRKSLKRTERKFNKIVAEIPKSVDEAYENILNKSTDQEEAKVLLSIIVGAT RPLTLKEMDVALALASQDDCSCFEDLDLDREHLKIRIRNLCGLFVYISDSRIQLFHQT AKEFLLKTDLKSGNGSGLWKKSLSQQYCEFIMAKTCMRYLLLDDFEDPRKDADTGAVS NVWEATLDAELTGNDEDEERDPSELYDLAEDSPEDLRVKAADKKEIESGDHQVVDSNE EADKGSIYQNSIYDFMDYSAVNWPEHLRIADVGQGSEEFSLCLTLLNTTSPRYTIWFP IYWNIECLYLIPRDYNYKMPGWTGFHFAASAGHKKLVEVLLESEKTDINLQDDEGNPA LLYATIKNHYTVAQLLLDKGADANVQCRGFNSALEAAVDLGHVEIVQLLIEKGANQYH TALYQAISNGYIEIVRLLIEKCANHYNEALYKATLYGQIKIVRFLINKGINQYNKALS EAIIFRETEVVRLLIEKGANQYNEALHKATLHGHIEIVQLLIKKGANQYNKALHEATL FGHIEIVQLLIKKGANQYNKALQTAARFGRIEIVQLLIKKGADDYNGALREAAGRGSQ EIMDFLIEKGADDFSGALQAAATVYQMAVEQDLEGASEWEWEWEWEWEWEWESVSVSV SQSESESERRYQDNLKNVMKFLEEKIADLNPEGGKRTRNPESDATPAKDAAQHQSEVT QQDGLDLDQEPVQAQKWRRINRDHTPRAELMLSRLFIYPRPTTVRLRWSEFTRIQRAR TLLGSKGSGIIFAITTTPS EPUS_08027 MIDHVLGRPSSQFRKVQVFAVVSFWSFYLLRGDIHGPPFIRNLS ARLGKRFTPWQTVVLTLLWLYVARNFAKITGLESPEPLANLYSRAFFRATWITTALDA GFWSAMKIRNKKLRDIASIIFTVYYLIAAEQADEKVRKIRAVMTVDHLRVAWNKPNTP YLSFFTRIMRPRFTQYKPRKIRIPRPRSSMYKEPVNAWMYFNGTLAELRTQTKLVLDI PGGGFVAMDPRTSDDKLLAWAGKTGLPILSLDYRKAPEYPYPYALNECYDVYHVIVAT RGRCIGIEGEICPRIVLTGDSAGGNLATGTALMIIQSNMAGGRGMTLPLPAGLVLMYP ALDMNIGSWMTDDQMALIKNPRTARKHENFIKRKSEDIDNRFTPSTPRPSDDDGERNT KHDFFSDRSSNNNDAKTNNHDISASQKQQPKLETDVEAQRQAVAVSKPQPLKTRLAVS SMISYFGDRILTPEMMRAMIILYVGPYSRPDFTTDHLLCPAVAPEPLLTRFPKTYILT GERDPLVDDTVLFAGRLRQAKLRLFQERKDLGLEKSNAEFDEKKYVEVALIPGVSHGF VQFVAVYPEGWKHIFRCGRWIEDLFAAPPTAFESQTSLKGRLGNAIGMAGGTGSGLEI NGSVKGGASGGVTGNGSGRHHRRIGTAESSGDEDRPLEMSSIHPSTGTPPASLSLPER KSPPGPGTTTANSSAYANFKPTLIPKPAGLKINLDAKDANSNLRKGHIDMLQDASSEE ERRERHHARTKSATSLGSEEDLLKRRMQGLTVGLLGDG EPUS_08028 MAPITSDSKAAGSTPSPYQIDVDQTLKASTALLQHVQQEAKRLK DLSAKKSLLDNDDGDSDSEEQLAEDPPIWLTLTTKKHIVDKNRLKPGKIPVPHSINNS PSLSICLITADPQRAVKDVVADPTFPASLSARIGKVIGYSKLKAKYHSFESRRQLLAE HDVFLADDRIITRLVDTLGKIFYKDSAKRPIPIRIAQLEKIDGKRVKPANKNLRAGDQ SATVASPPIVAKEIQSALNAVPVSLRPGTNAAVRVGRASFTPTQLSENITTVASAMID RYVARGWRNVKGIHIKSPNSAALPIWLADDLWVADDDVVKDGEEVELRKKKEAEVAAK RENRKKRKAEREEGRLRVDQHQQQHQSKKQKSKDTQEMEARGLDVARKAKLARQKARV LEASV EPUS_08029 MAPSPKRKISSAPPVAGAKRTAASLLPAFEPFSSSPALPRGIKR SRDALSEIVTYPTPVPTSSTAVPSSSPPAPSKSRHSSKRAYSTVSERAPLADVPSIEL PRDGKKILMGRSSATCDYQLSSNRLISRVHVTACFKPASSNLKQDQIEITCAGWNGIK VHCRGKAVVLSKGDTFTSCHKGLDVIVDAQDSRICVKWPSKDDTPFSSGSSDEEGSPS RRPRYLKRHSTPPSPHLPQQQIASPVSPSPAVQPTPNVSIPSSPPSLLQPTGGPVIVY EDKSVSPQNTHHKPMTVSQTTVKMSQPASVLLPQSQDSLLSVSQDFSDQDEENDPIIH SFGPFGANLLPRMASFTTGESLITSPRKESRARPEPLKSSASPSQARKRSNSFDLKTH VINQLAFSRLSSTPLSTILSHFPDDAGDCSAENVKEVIAQTSCIGEVTREGKDAAGRP LESEYYYIPDEDGDEVRREAVVNELRKPGLRACLERRMNANHVGAKLLIEYGPDQSSL LGNMDAVTSDRRRSPGVEVESTSLPDCLSSACLPRCTPPCHFDLHRTDIMVSTFYSFE QNSGWTREYPGQEEILDYLIGVAQKYELYRYIRFNTSVESAQWDNAEKKWKTAVKVTA SKDAEFGDSYTLTSDFLVSAVGQLNVPRFPNIPGLDEFQGTTMHSARWDWSYGLEGKR IAVIGNGCSAAQIVPEIVKAASKLTVYQRTANWIIPRGDAPIPSFKRALYKYVPPIRW RHRADLMDIRESSYAAILDHHSQLAEMLMDLSKGLMKAQLPDKPELWDKLTPNYPIGC KRIISTDDYFPVFNQEQVSLETRPISKITEKGIEVDGKESDYDLIVLATGFRTVEFMH PIRITGSAGRSISDIWAKGGRALYGITVESLPNFGMLYGPNTNLGHNSIILMIEAQSK YICALVAEVLRARQAGKSLTITPKKERLEEYNNELQKKLNTTAFAHPSCRSWYKNEEG VITNNWSGTAIEYQKMLERVRWTDYELSGNGADEMPETSLKIPRVVEETYLSYTVLAL GVASMLAAGAGWALRSSGRLRVR EPUS_08030 MSRTLTPLRSIICTSKPKPPNRSLFTLNRLLSTTPRHHIHPSPS RPQSSSPAEFPFRTGPSPPRLPKEEQELFEALQRQSTGAFSTPRDTPASQRRTAPRIN QSPDSNPSDIADAEGLISSRTDNSASESHQSSTSSEIHSQSPVEEEQEINRVIEARGS GEELHPAVRRGATPEFEGDVNPKTGEVGGPKNEPLRWGSAGEWSYNGRTTDF EPUS_08031 MPQSKLFSKFHLPGPGPYDLASSDAEVAGYHALKQKVLADEEKR RQAEKMRLLIESEAPGCAKQAKLIHSSPTSLKMPGFGLAKPGAKDLTSSDAEIAYYNA IKQKVKAEEAAKKEAQRKRSIAMGLAESALEDPSCASAAIRDVPL EPUS_08032 MVLTESSRSSIFTNPNRRSRKGCIACRTRRKKCDEARPSCSACD DRGIECLYPSKSSNGQPQPSTQILPRKSQTVHSDDVAPSDPPYLDFCSPSSIEGAGLH HFRSHFLDLISISYHGQDDPFLTLILPMVSDSPLVRLAIEATATAHLHNLGVASTEEP MRLQSKALRHLSDAISVVHTPNVTTTFQEELASGIMILVYYETTSGLSAETARWHLSA IYTILTTLSVSNHESRRLDFLKRLFAYFDIFVSLSLGLEPLANARMFESLLDTDFCPA FGYAITLYPCLHSLASLQAWQHEIQDSGLDFYSQSVHELEQRLQSWQPPIVQPCKTGI RNSRVWTTGVPITFQQNLTHERLLQTALAFRSAALLVVSEKLLPLASPTSPQPSSASH THYTELFDHLLRLNVLALTSPPQTTASSNELPSDSRLTARNTSLQSPPTVPSAFTTLA STCAPLTTITWPLYTAALYAKTASDRAVLSSIFKRVYQRHRMGVVGAAKRHVEDVWRM DEGYSTMNPPLLA EPUS_08033 MSFSTLPSGVNHAIKPFTLNTPAAEIEELKTLIKHSRIAVPTFE NSQKDGKYGIDREWMQNIKEKWLKFDWSKTEDRLNSFPHFKASITDDDGTKYDIHFAA LFSQKADAVPLVMLHGWPGSFLEFIPILQLLKDKHTPETLPYHVIVPSLPGYTLSSGP PTSKDSGYWDIARIVHRLMSMLGFGDGYVAQGGDLGSAVARVMGAMYPGCKAVHVNLL IVAKAPPNTSEDDLTDSEKQGIARGMEIFNLGLAYALEHATRPSTIGLVLASNPMALM AWIGEKFLQWSDVNPSDETIIEFITLYWLTNTMERCIYPYRQDLPNGVGTLISALSSS NDAHRFQATPSDPPPSQAQAQAQAQDATPQTSTPEPEPNAKSKPLGFSA EPUS_08034 MVRGEGCYIWDMENRQYLDFTAGIAVNSLGHADQRISRLVAHQS ELLIHASNLYHNAWTPALSKLLISETQQQSPGSSLSQVFICNSGSEANEAALKFARKV AYSKNPDSDQREILSFHGSFHGRTLGSLSATPNQKYQAPFGPMLPGFKYGAFNDIESL SSLITEKTCGVIIEPIQGEGGVNVARPEFLKAIREHCNRVGAVLIFDEIQCGLSRTGD LWAHTASGVHPDILTTAKALGNGIPIGATLVSGETVAPHIKTGDHGTTFGGNPFACRI AHHVFERLAAGEMQQAVTQKSEIFTDAYHKLSQKYPGVFSELRGRGLILGFQLSDHVK GKAPEVITAARERGLLIITAGDGALRIVPPLIISADEIKGGLAILDKAMAVVLNRPDQ VRRTVGQQEMGR EPUS_08035 MEEDSVPKLIPYYLISVEESPQQKALRLSYNWRMTEHGWLRMDK KKNQEPSAPKEIAPQDFFGQCFDEDENCWMQCFEFDKDGNIDSDDTYFHKVRSAAGNI EVDLDKALSLVANVSKAAGAIFILTGSLRLHLSGFFIADRFFLTCAHFAISATVEEAI KKSGSKMATVCTDHKIRNNNKTVHLVFRDEVRDFAIFCLDKDQRPQSPHLDLDQNFPG IDQLDFMTEIANRRAFAIGYNSRAHAANFPWTRENVIQNLTPEKKLRVLATSTVAPEF EDVFLPNRKTLSVGRLDSNPPERNAIMWKHRITGWHGISGAMIACLDQPSTAEARVQV LGLFRRGDEGNKNEMVPLTSEIIKVIRATIQSYPSSN EPUS_08036 MSEQEAGRGRSPAGQDTRIPPWTSPSQQPSQDLLLPHSNAPQHQ AAPFEHACRDLTPHQTAARSTSSREIPDVRSQPVAQSNVAGQQGVANPLAGFTRLTLQ CQTTQGGEEEPISATGRERLTVRGLQTSQAGPTYHHSAGPVAGGKRSRHQGPHAGQDR FFSTAACTQGKNPSKSICFRQTDFSN EPUS_08037 MSGPLELSAASHTLSPPTTDATTPNSEQLTTSSLPADQSRFLST PSPPTCTSTVIDFSTTPLPEYANHFALLISNLLTASECAQLLQLAESTTRPPATWSAA EINIGYGRQMLMREARNCGRIIWDSHAVAQRLMHRIRPHLPAEIVTVQDNARITGYGP VKRGETWRVSRLNERLRFLRYEPGMYFREHCDGCYVTPDGREISWLTVHVYLNGGEEG SEDAASSPCPAEAVQVDGGEVDRDPATATDAQKLRLKGGATRFFSPRLSARDKTCFDV NPRMGACLVFQHKGLVHSGEEVEQGVKYTVRSDVMYEKV EPUS_09464 MKGCTPNAEVRKAQHNLTLTKEETLVRHILDLDSRGFPPRINDV RDMADLLCKTRYAKPVGKQWPYNFVQHRPELKTRFSHAYDFQRALCEDPDQINAWFRL VINMRTKYSIQDCDFYNFDETGFIMGVICGNMVVTCADRSG EPUS_07022 MSAAPSKGASGALDVQTFGDGTGGIENYEGRSEGVFHPKAPDVE QLRLQEASLSFESNIKASIVHFHTAANYFVRGTPTIQQLLDMGTPAEYMAHLEDNNPS ENPDLRWIHLPANNMSWVESIVTRIYSEPSRKTQAEAGTTSELSRELPSKAHAPFEMI GKNAEIVKDPDDLFKASMLLRSELWEKRQWQDPVLQKSAQGRFLRPLYSRISASYTRA VIDEEKMDQHEGLFSVEDAETNSVLFMPYVHWEEVDMYLHRNKCLDWMLRQRKPEEIS GPPEDVKPKPSMNVRALKLLQKKTEKSPGLRQSVKATSSGNAVAWKGARLDTNVAWDR EMMKKYERSMEDLTNDAKLLFAYVHEARPLHVRRTLDQSYYYPLPDADVRKRDLDQVL YRHTKNFMDMKEKSRILMVDQLWMWIVDEPGRTKTLLTAFPHRWIGNPVVAHAEVTDV YNKIFSALCTGAAVTSVVDLSCLVIDKCSGLFHPCVGESDHSLDYLEVFAVSIARLAD AQTQQVNEFSMHSRRLVALQDRKQELSEATLRERLFRKPSSHAKTAKGNESCPQESNP EQGVKPNANASAQPPNSESLSQEDQEAAEWADWFKSTTKQDLKHSNPLKDLSGILESG TSLEINREIEKEMNALVNVTAENDSLKEIKDILDELNSLSHVFQQQVKIMNDVVASNT PSKSTSHPNDLNNPTRRPFTKEEGDDDLSKAKEKETSEGLDGTPEVQQDTAEDVRDKY ALVLSTLCRREQDIENLKVKAERVYDSICDLLDLKQKQASVSEAYSARQAAAETTRQG RTILLFTVVTIIFMPLSFIAAIYSMNAKEINGNDRPIKKIYQVMFPATVGVVIISLIF AFNAHIWWFLVGLLTWKRQRKARKDGSSRNDKSTGKPATARTTTKSDTARTLTHTDTT MSGATPSAMQDHSDEKGSRGIGSLANWVRRGRKPGRGKQNQEAGDEESNDMEKAVNS EPUS_07023 MSLIDPRVKEGFDRGSTTNASDEKRGCRFDFRYGMDSKNRGAGQ WFHSVHAAGTGQNSVHRAHFLDELVKLIPKENVSFGEKVDEVEQTEDEVKITFQDGSI ATASAIVGSMERAVSLLGHELAQNSQMYCGYGGHVLTFPIEKGKTMNVVASQSKPDGK WEDERWVLPMENGAMEADFEHWGGSVKNILSLMRSQTCGHFLTISRLGHTARVGSAF EPUS_07024 MAEDGAQSTRVRMDEAFDRPIALVDSTRAPEADGDGESRRNSRS PSWRQLAKRPTDSVKEGLARWKYSKWQQDRYASGPDIESQNEESTGEPAISRAETSQL LPPGVTHTQTETSDFALRKSSPDRGRERVKKTKEEAHRTKSEKEPYEVDVLYENQRGW FFFGIPLYSHSSLLNLDPAPWTTKDGKDSAVNITNTQVPDPNWEWAWKSWYVDMSHDV DEEGWQYSFSFSRKFSWHGTHPWFHCFVRRRRWLRKRIKKQEMRKKDDFDMAGAHALT GDYFTIHTKRGRSPGSGLGNTTTRGSSHMSRVAVGEEYLPPEDIKDIPSLMKALRLAT IDREKIDAVTRFVETGGEELVYLQEHIVEIMGLFVFQVSRRQLLEYMNKIADEVSREK PSDKAEEERVNNLQNAVRVAHDQIGSLEYWSDRQYVLRTAGENGQGHQSMFSESSAIT MPKESNPVDEIKGIADDAEVGIDPMKRVLSLPPDNIPWSRGVEQSEEAKSREKETAGM EEEERNSNDGVDTPIRLPPDSLKVDDK EPUS_07025 MSRRAVTAAGLAAAGGVGYYLYKAGGDPKVAEKKFEADSAKVDE VRGKLTEAQQKAQEYKDRTGQEMKQAVNKFDTTIEQKARAAEEYKERAEREMNKAVDN FDKTVERKAVEAKNGISSWFGFGGK EPUS_07026 MGKASNLVPLLVLVVLIAIAAFIGFIAYSVANDVSDKAAKRMEK KNIKFSKEGMSVGVKQLSTEDISDSTQSALMKVWNSASLPVYKAKLRWGQSAEESRPL SSDVSSMPSGRRKPYSRSDSNQRDTKKS EPUS_07027 MFSGSRCCLRNKVNKRLLPGDIILNQPLLFLYPRWFRAQQQHNF TEPSAHESSKTLKLSLKYILPEQSEEVKVDIVEPTYFRYVSQQPSQDPTEAQLKSKEK LETIIDERRARQGKVVQEQRPNGLRRRVYVPASGSTSSIQQYGKRKKRSIHSVRANWE RALNMLTNSVPQHKEAEGQEIWLSKQTLIALSGTSGTNSWVHNVRGGCEVQVTDTQSY SGSSKQVLLNGSTRAVALTMEYFIAIEKDVMQYQANQVALESAKTPTDTISKTESIHC EADATSGPNVPFRIVPTAVRGYLKHRDWMRADQFPQPALNSVRSFKEYVEKLTSIRAP RLVRRELYGTQDDTHNMAVADMLCHLFTDTDNTFSVSSVALNLAFAFLSRHTELLPRI KLLYDQCRQLRVTLQPQTYSYILRAMLLQGEMEMFGPVLSDLCSQGHGPGSKIWLALL KSGPSMMQKRAVAKWMLHKGLLETSLVKGEVAAELIAAELSGEIDDGKHADLSVRSID ARFGPDWMSQSSVTRILAACADKQAWTPAFEIFQEAQRRNVNFDHAAAHAIFLVMQQR GSLRDSLDLLRSHFVATTGRNDHTVIPIVFMTAWKHRFYNVCRILWRYAAVLGAMTYK MQNVVTQSLLRNQDTPQPRASKAGLSMANLEWKRRAGKVLVGTDLDITEFQQFFDLVS GQPGTTSENPMVWLARYTSDGGQRDQQLLLGYVMMHRDLHTWKYFAPPSSERLFKLLS DAYAMDVRWKGEGIGLERGGKSIQWMIENAIDMPLVRREISLKRTPLDSDTTMRSDLM GRAAFLELFEDIAHSVS EPUS_07028 MSNVSYSAPPGIRYVQYESSKEAEYVPKIRQLISKDLSEPYSIY VYRYFLYQWGNLCFMAMDDEDNLAGVVVSKLEPHRGGPLRGYIAMLATKDSYRGKGIA TALVRMALDAMIARNADEVALETEETNSAAMKLYERLGFLRSKKLHRYYLNGNSAYRL VLYLKEGVGMIPTYDPYADLPPSAEPLVDIEKNKPNQ EPUS_07029 MSTLRHRIGGVLRETPSPSPSPRDRVPNNGEEVQLVPVSKLTGL TTKRRSKRRSWFIFGLGGLVGICLALFFANRQEVINLEGLIDFNLESFLDVIPAGIVK DAQDITKAERDAVNYDSFSVGLHLQAQGVSAHHPVIMIPGVISTGLESWGTGDKSRQY FRKRLWGSWSMMRALVLDKASWKQHIMLDKTTGLDPPGIKLRAAQGFDATDFFITGYW IWNKILENLATIGYDPTNAFTAAYDWRLTYMNLEVRDRYFTKLKSYIETAHKTTGRKT CLVSHSMGGQVLFYFFKWVENPRYGNGGSDWVDKHVDSWINISGCMLGAAKGVPAVLS GEMKDTAQLNAFAVYGLEKFLSKEERASIFRAMPGISSMLPKGGNAVWGNMTWAPDDV SSPTKNASYGRFLSFQQPKNTSLVPPTNLTIEEALNYLFTTSEDWYSNQVQHAYSHGI AHTTSQVEANENIPRTWLNPLEARLPLAPNLKIYCFYGIGKPTERGYFYKHSTNPLHP NINLTIDTAYTSNSASPHSDAAAVDHGVIFGEGDGTVNLLSTGYMCTHGWRKIKRYNP ANVSIKTYEMPHEPDRFNPRGGPNTGDHVDILGRSSLNDLILRIAGGKGDLVEENVVS RIREISERVGIWNEE EPUS_07030 MAALSTTQTNLLSRISKPSVERSVKHDSAPGRPKTYDWISTKRK RDIDDLRESSGVVPALPVCKKPKLFFAQSGHPVFAFETNQVSRPSLQSTPINKARSPP ATSTLSLVCHNLSQPIRTLITLHKYFLQALSLHYAHSRGHAPAELGRLLETVTRLWKE RSVTKEDIQRMLALYEVKVEIALPDGMDLIQSLSPFKLVTAGSCNSIEFFVQRKKTGE PISSSFVEKDLQESYRSHIYRLESAWIKHQHEHLSFFDEDASLDDFPRLAFSIGTQTA ARKAQASKQRGEILKLSSAAQIRNEKASTSRRDPITELISREITSTERKKQDLLDRIK AKELALKARAKPTAEQILRKHALDRIPEVVDVLRMMQQQQQKGESKSRVYDNNSNAFK SANRSGKVSFNRTQIRDNIKSSTSVPISDEEVMMCLKMLSDELDGSWVQMVETGSTSK TVFVVIEGEGMSGKEVQRRLTAQMV EPUS_07031 MEKITDKLAALPPNTNYFSLEFFPPKTQAGSSNLQARLIRMSQA LRPLFVTVTWGAGGSTATKSLELAEICQRQLGLTTCMHLTCTNMSRALVDEALEEAKA LGIRNILALRGDPPRSEEYHMDGQENSNKDFTWAVDLVRYIRKVHSDYFCIGVAGYPE GHADDSHPEHQSVERDLPYLVEKVKAGGDFIMTQLTYDIEAYTRYEDTLRSHPSGIFT SIPLIPGLMPIQSYQIVKRITNLSHARIPAEMFSRIEAVKSDDEAVKRVGVDILSELV EGIKALPQPKSLPRGFHFYTLNLEKSVAFILERCKLIPSSTEPTTPSTSDSESEIAIT TMTNGPTMVINGTGELSKRSFSRRRASSFNSQPHNRLIVDNVSARDVGSRHRLPSGAQ SSQGSETHQTPSECAGIPAGPSTRSNTLLISEGQGSLGREATWDDYPNGRWGPSSSPA FGEIDGYGPSLKVGPVAARRLWGHPKSPEDITGLFRKHVEGELVCVPWSDETDVTSGG ALRAETEIIRPELIALIEGKGFWTLASQPAVNGIKSDDEVFGWGPPGEGFIFQKAFVE FFVSRQSWEKEVRPLLQMHGEDELSWLKTDKEGVFESSASAEMLVDPTTSKPAAGATG VNAVTWGVFRGKEIVTPTIIEEQSFRAWGEEAYGIWEEWRRLFPRGSEEEKFLESCKR DYVLVNVVGQRFVGKDGARLWEILALR EPUS_07032 MLSFFVAYAKSSTVGPRVGRLTRVGQKAIKTPHYVATTSRGVVP HISHDTLQKHTAVSSIYLALEDFIEKRITGAPIFKTPAKDGESPLRKYVAAQDDVLSI LGPRRVPALPCPAHNNGSAISICTSVGFSQLNVNDYHDALRTLRPDIAITMADVVMHG TASVKRIEKSADRTHAWLRDACEQLSAHEKSSSKPAIFAAIPPIANVQQSLYLQDLAE EYRHMLSGLAIYSSTTAVDLPQALFDLPRMSLSEPGSPHSLLKDMALGVDLLCIPFIG FASDHGIALVFEFPGPDLAIDEPRPLGIDLWSIENEASLLPLSPHCECFTCTRHHKAY IHHLLQAKEMLAWTLLQIHNLSTMDRFFDACRKSIANGSFEEDVELFTRRYETDMPAK TGSGPRIRGYQIKSSGGGEARKNEKAWDRFDDMAQQIAEAESGLATAEGDSKQLEDQG IAERV EPUS_07033 MAFHEDVKARGVLIVVEGLDRAGKTVQCQLLLEALQQRGHHVKY IKFPGNTTMKVCLGWVLKHACSDRNSLTGKIINGYLQGQAQQDDHSIHLLFSANRWEA AQRIRSDLSNGITIIVDRYSYSGAVYSAAKENPDLSLEWAWQPEVGLPRPDLLLFLSI SAEETAKRGGYGEERYETNAMQSKVRHLFDRLLSMNHGHDIHLIDARFPVDQVAKDIL NSTLGHLSERKPLETFAKLRSWQELTEATSQESVNSKTGSAQRITY EPUS_07034 MTCIVAGFPSNIAALQFEWAWQNAHLTRHIPHHERISFATTCTK TSPKTGRLRRKPGRPKTSLTDKLSNLHLLLRVPYFSKWPLELRFFSEDVFRFWQTWCQ RVDAQISPRIKVWLDKPKEGHDLVPQIHAGLSRKRRRLDAIGRGGVDGVDPTYASLRP VLEKAQLLLEEDNDLACGLCNQSLDPKHDLITVCSQANCLSIYHMSCLSNQFLKAERT AAMLPGSGDCPSCKTHLSWVELMKEMTLRVRGEIEVRKMLRAKNRLVPSGVPQADALQ DERSDGSDMDEPDEDVLTAADVVDEDSDDTVSLTSVESDGSHNSTRGAVMKARSNTKL PTVIEDSDADVIDLLSD EPUS_07035 MVVPPSVGGNPTSNPPLAKAAPPNRANGTHPSAQPPTIPYSARR AAPLDMNTVERRGTQAVKDPAKRNRPHGLQEAPVYKPTEDEFRDPMEYMRKIAPEASR YGICKIIPPESWNPDFAIDLERFHFRTRRQELNSVEGGTRTNLNYLDQLAKFHKQYGT NLNRFPSVDKRPLDLYKLKKAVEIRGGFEKVCKDKKWAEIGRDLGYSGKIMSSLSTSL KNSYQRWLHPYEEYLRTVKPGIQQQLDFEYGGQFSPSPAMSPMKRVHSQSQPDVFSSD SPAVQASAALNASIGKAEPTVQPAPPSAKLPIAKPEAPRPVSSGFMAVNSGGFSAVNA SPPGFVAVNHAHSLQSKNENEVCTGPTISNGHAKAPANGSAPSVMPSQELPASNSNGD LGSNPLKRTMSHESMNCESGSDGLNGDGDGPNGRRSKRLKKDNVPTVAGSHMSLMRPS TPRIRSRTGARKLGEKCEQCNKIEDKENIIVCDACELGYHKYCLDPPLATIPDYDWNC ARCLIGTGEYGFEEGSIYSLRHFQEKANNFKEHYFAPRMPFDPVLNTRKKETEDDVER EFWRLVESLTETIEVEYGADIHSTTHGSGFPTVEKNPLDPYSKDPWNLNVLPFHEDSL FRHIKTDISGMTVPWLYVGMCFSTFCWHNEDHYTYSANYQHFGATKTWYGIPGADAHI FEEAMRQAVPELFESQPDLLFQLVTLLPPDQLRKAGVNVYALDQRAGQFVVTFPQAYH AGFNHGFNFNEAVNFAPCDWEPFGEAGVQRLQEFRRQPCFSHDELLLTAAGMDTSIKT AKWLAPALERMRTRELEHRHSFWTRHQELHPHQCSFEGSHDHESGDPCDLNFQIEDEE LQEEEYQCHFCKAYTFLSQFYCHHSAKISCLLHTETSDCCAETPQEKLKGPNHTLKLR FSDDRLSEIVQRVSDKARVPEAWQEKLDRLLEDGPRPPLKSLHSLLAEGKKIPAELPG LKDLAVFVKRCDQWVEEADQYTTRKQQNRRKSEKTWKAKSNRGTKPEEKELTVEGLRK LLKTAEDLSFAHEKIEQLEDKSRAIDDWRAEAKIMLRNIHVNSAAEIEELLETGRSLF VTMQEIDLLDKQLVKSRWTEEAHEAKTHKAKYTLAQCEDLVRRGIENDIRQDGGDLAH FQDLVSKGKLWEAKTKQVMAQENVNYGQLEALWAESQKQDFPVNPDTLAELDAVLIKN RAAKEQIQKLYDRTKAEDLRDRPTYDEVRNVMKSLEDWTSKPSGTVDLEREVKRHEDW MRKGKKLFGKANAPLHILKAHMETVETKNNYCFDLNDTFRAPVEPQSREASPVDGLGR HGLGQDEKSVFCICRQPEGGMMIECEVCQDWYHGKCLKIARGKVKGSETFTCPICDWR VKIPRDAARPKLEDLQQWSEELATLPFQPDEEDILERIIDKAQTFRDFLQQFINGNQI CRTSEEMPTILFYLRKIEGAEVLLAYETNIFRQDVHKWQPIAPQAPPILEQSLSTRKP RPTKQQKMMKELGVQKVEDLPPHMRKQSTVKRKNTEPHVGRPAPPPLQPAQVQSQGSG PNSALMRSDTPLGMPRQGSTGNAPNSSGFDGGMSFGHGFGTSASSSYQSPHHTASPAM FSPTTSLPSNSGMRESILGSGFPGGTIASGPGADSSPALFSPGYGIGGDDDIRTGIMG ATSNGATAHHNGSNTPGTDVNGTAEGTGMGSSPHTTNVEEMFMEMTNEDPDGHVSEAG DLPDEVATDAGMLGDDDADGAVSAFPPTTLGHHTSQASEALEMIGGMVEGEGGTNGAS VDGDDDADDHDQETFDEFVIDEEA EPUS_07036 MTSPSTIRQKFLTHPSELGVVAVDFSGGQCKPGVDAAPNALISA GLLEQLRHDLGYDLHGDSDVHSYNDYIPKGVPDPDYRGMKNPLSVSAVTETLSSRVYA QAKEGRFVLTLGGDHSIAIGTISGMAKAIRERLAGKDIAVIWVDAHADINTPETSDSG NIHGMPVAFLTGLAKTDRKDIFGWLHTDQLISVNKLVYIGLRDVDRGEKQILRENGIR AFSMHDIDRHGIGRVMEMALAHIGSDTPIHLSFDVDALDPQWAPSTGTPVRGGLTLRE GDYIAECVHETGQLIAMDLVEVNPSLEEKGASETIRAGCSLVRCALGDTLL EPUS_07037 MQQMLKDWELTVGIEIHAQLNTACKLFSHAATSINDEPNTHVAF FDVAIPGSLPKFQNATLIPALRAAIALGCQIQHISRFDRKHYFYHDQPAGYQLTQYYE PFAKNGCITLTEDDGIGRMDGKSVTIGIKQVQMEQDTAKSQEPDPETNLVDFNRAGQP LIEVISLPQIHSPETAAAYVRKIQAILLAVDAVTTGMEMGGLRADVNVSVRRRDGPLG NSEYSGITGLGQRTEIKNLSTLKGVEEAIKAERDRQISVLEAGGAVDGETRGWSLTAP TVTRRLRGKEGEVDYRYMPDPDIAPITIGADVIEYLAQTLPKLPNQLLTMLTEDKRYG LSMTDAKILLQLDDGERLEYYTAVVEKLNTICRDLEAEVDRGSTGQLAANWVMHELGS LLTRADRSWKETQVPSAKLASILANLMSKKITGNSAKQVLKLIFDGDGREVGAIIREE GLVYRPLSGEEYADLASKVMEQHNDKVKQIREKGQTGKLMFLVGQMMRAGEEGRVDAK EAESVLHRIILEEPAA EPUS_07038 MAVVFDTLSNELLLGIVVRISFGKGDFRTLSLVNRRLRDFIKTH RNTIIQRVASIQFPVAARAASLHPLACVPTLEWLEHLSDDTRDVNDFMEKFRGFLDSS MVEKQSSPTLFISVRWLETGLHLFRGLRVEYWRSLLGTGRTNYEGLIELSLSALSPVD SLAMRHVSKTILSIINFILEGKIMISYFPVYLAQSSSRKELTKRALIGAVEQELSGSQ SNFLQP EPUS_07039 MLSSRAHTVQERKNRRVAVRRTAMSSRISLLSGITASSSGSSGS GSTVTQESITRPRVRNSKGDAKPKGKRQSTVVEATSTKGKTTPRKSKGAIDVFAFLDK DQSRASLVQKRTKNARTTHQEEIPNTVHDDSDLDSGPQSFHSDSGISINDGGSDHDPS KTDTFSGRRRLGALQEEPGESHHRASFAVRSYRPEFQPIPQEVDEDHPEWYYRADRSP DGDCVPAAVDSDVGSENAEADKPSGYDLLASRLSFTQDPCGDCVPPIYRRFGRLNHRI LLQLQDEIAEMEEDLEYMDRADAHERTARHGHRVPASRRLDWRWGGSELHARRLDLLG RIYLKVEQYNQAIFSFQRVASSTSPATTEDIETYHKWMTENKPVVDAEATYLDKKNDL LSLVQQKKELNLKFSTPRLAAIMIAITSATALPILLFRIIPAFNSRVTVILLLAPSAA FLAKSQLAGSLMVGTELRRFLLVYFGALILGALII EPUS_07040 MTTVDGDLALPQNDLTASSTASGKRKRSESVDIVSSSTTRQESK QFSGFSRRLQNALPLLRKADQAPSILHYSLTSNGERSPKRPKYSSENAEAETISSRIE SGYYHSPEILLADVETAATSLIEGLKSGDHALTDSDFTRKAANQVTLFKQSLSKVCRH IVEADAKPKEENGVDKTHVPGPAAEIPNPAVLTLTSQTDRGPKHLYTGLQRYSTAVPT DTDKSNSNQSTDLLNLKPSSFPNGITLTHIPPSNIPYQSSSAKQKRVFGEVFRPHRSL KALEPPRPSRNATRGSSLGWVNYEEIAGSERPAPAYKSDYRYASLPTGSWLHYNSVEA AAAAALTPDSKRRQRDRALSSGESRGPDGGDIVEQEEARTKALFQSAYSTFAPSVDNS AAVVPEKTRSQTWWKKVGQKRFEAMLAFQYPESGLDGGDSIWNKIDPVEDDFEGAVAD FDGDALRNPLENENSKADSKDVDEVLQEISDLLQTLSSYQRIRNLSKMNSGPATPTST ETDVYEILRSNLAILINSLPPYAVAKLSGDQLATLNVSSDLILEVKDHVGTMEQDEYS MQRQRAAMPATHSASGRSSVSVGPSGRPGNYSAQAVNYNQRVNYSNGPRTPYVSQPRP IPAYTNTVTPQAQNYSAARPAQTPSHRASLPTQQHHQGQTYSRAPSAAQFQRPASSQQ NGYGPSYAQTVNSQAYAQQRQAQSTYSYSLNQASHKPSPSPQPAPHTQQSYIPPQHLQ QSQASPSLPYAVNNQAVLTEQARSQLQAQRQLSGTPQTPNINGQYAQMARSSTPGGGT QNGRRVVAAGGGVQ EPUS_07041 MSSHSRGALQLRAVLCNWSSPNYLYGHRSEHHESIKREKAVRQK SAIPFDDAQPGAKPISIQDNKHACALNEGPPRADLAFQIPENFSKFAPGLPINSSPAS TSAAGVRLVLQKEKQKFLHSPDALQRVQHPPTRSTRNEDRKINSSGGLHIIKYVSADQ HARAALGPVQLNPTEATKVNGIECCPSIDRSSLPRPSSLGNDHVDASRGLEAWTKSIC DKKSPSKSHLNQFLMHSNGIPTPSEMLLAALPTEAQKAFTSRRGNKIDLSHVAIRQWE KVPWKGRRMVKRLHAKRRTVEIHSPQKQPTKQVSLCAILARYIAARGASELRASEYIK SAEDLDYLHLRGYSPDHVQTWAEVLVSPNANQAVWKFTTLADTISPSVGPSLPTFLLL FILRARSLKATSLRLLLDYIWTYYIGEVPRSEALVNIRAIDEGPAMILVIRLIRHARM VWPNALEEIASIVGRLVGRESDGLVVNLSRHRIQDYSHFYNRLLSLFAMPTSLRPFAS IAIQQRSQFCLIRKMTKFKPHLPVTREGFRALIKVQLAHKKTDSERKWATRKALSWPP WKEELLGIEADSEDPGKNSRAADVLLRMIEAGYSPSHWEECARVFAGWDTDGSPTIQT RTLLSPASVLQDADQRPKTKEPHSDKDGTWAARILATRTLKEAWGCFTAFEKFSGGTN AIEPHNAMLTRLLQVKREDDNRTEEVTSVVPGDGKETWPEPTSSHDFLYVSSDPPTVD DFFDTMIKRGLRPRVHLLAELLGKARYIAEGLKYINASTLRQSEKDLLCGHAAKNVDE MRAALSGVHNHVIATYVRMLCRMRSTPGINFTLPPVHGPQETMTKEERTTSPFYYAQS FVWALQPSYRPIWYALIQGPRYPNLTPPVLQRLGTFLPYSLRDMHELGLDLDFDGFRD IGRILEENVLGPHLAMTPQQQELSHHQELSRDRRLKSVLLCKSLFNAMAHGGSAENKQ DFARSSQWLPLNHPSAAQGRTRLIHVPPPSVLHRTIRILGMGEDNASILTLLRWMDCF APELGSLADELANSKKLIRETITAVRYLLEERWRCEDLDRSADLWNEWQPAQKELLSE AKAIVEKHGDAWGGWSTDEELHRYHHVNRIKAGRLRKNFGLAH EPUS_07042 MSGGSESGAGPSRDPDFDLIDLAAEDAATAQQLKLFEKLILSNG PFRQKIRERKQQYIKYGDPDKADRLNETMVRVLRICLTKDASPIDFRYEDGSEQDRAS EEADVDWDDQDGLFHRIANGEASMTQRSIFRDRLLRSNILYSRVSNLQKVWASMSSHE SQKMAKNLERALTRCDTMRAEMQKDTLLSGESSRDISLSVGTVSGGSRPAPEGPSRPR ESHITSAKKRPSLTPDQSLEEVDLIELVACSTASATQVQYFVSEFKNNKAFQHRVLAR DKKYLSSNSSEDYEKAANLRISTGAHGNVQSLHLYPWNSHLVEGFQLVEERLNQDDGK KVRVMEAFTEELQLLQIQRAVEASANVSKRRGPTRVNQSKGSPAAGSSARGSQTQEQS PGKGQGPAVGGGLLSSRDGSSAGGPVNAEHPSSAERSCSAECPSKKPSSGRGRPPLHP GPPAALASRIAQEDAAHPTAGAPSTFQKDTGLIAQGTPQPTRTTTTVRTDASQTAAIP GTGPRKDEVSQVRVTRSEVAAARTLMAARVGSHIKEKLRATLESTRTGSQTATETEPP SQSTANSSRSTSRTDLSPSLAAAKSEPPTGSDMPSETSAPRHQIPTNENAPVYSVVSE REMERLHSRIRETANRRIDPALATPNPDLILIESLVTNPATPSQLSTFRRKIQAEPTF RHLLLERYARYTLEEPVKAVRLHTVTGSLVGGPRYTRAALGAFGLETEVEFAAATRWE QSRGRGGRGGRAAMRLAELALAPDISLRPGPVARSGANITLGGGIGGRGDRAGRGPGR AGRTGEDVGRLQASEGQAINREIAAPQQSSVPLPSANARLIELVANNEATPSQIRSFE QKIQNDALFQDIVLDRIQEYYVTSSWAEPLCLRTLMTHIWIDKTIARVSVDEEGGDQA GQFSGPEHRAGASNRGPPAGTRAAAADEVVQGSGRLVRSLSDLLESSKPSR EPUS_07043 MANDVRYTAGMFSSDISKSPFWVEWEKRYEARQRSRGSYVTAGH SFWLKDNMDYKVLSAKGLGAFASVWMGKSSTGRILAVKRYQNSGNIRIKEQVPHIIQI GTPRGETSPGPGIPSMVEVPMDYYDRNLFHLMNATKTERGLIGDFIMIPPWYHTVLTQ ILEALRFLHSKEMMVIHRDICPENILYNKTDHFILAGFSLARIYPTPRGEYWDRRSYK YLAPEVYDGHEETTAIDVWAMGILCLDMMNLLPRVTIDPAQRNFLLFKRVDWCGRMLE LAQHIDKPEVEMMVAKDIHDRYSANSVLEFVRSSPSSKRQQIRPSLGLLYSFFRQDPE LSHLNNQEILEFAVGYLDDSNFKPRPKPQPSQETGGFQGDGSRRSARTGPQNVADIPV AKLLVLGAEMGLLGLDSALGMLALTSAGRILQEDRGRSLGIASAPCTTPRPTVPTSTT ETRATKPGPAEIKTGAKPSGPADAGSNVVPSTSVSKSDLPAGTSKGEQPAQKGEQPAQ KGEQPAQKGEQPAQKGEDKAHGSPKASETGRVQSRTQGQLPQQQPRQEQRQQQQHPHS DSTSEPSPQQLGQRSSRGTRRGRPPNRGGYRS EPUS_07044 MAHLQLSQAFEQSYKSFEAYPCNLVYQPSYLGVLKAYRDRLNNA AAKLFTANNGEVYIPFRDLLPNEQAFEKRNIFDDEALKDWIGDRSTVDSLTSTPVGDL ATRRDPKCRFVFLWGGHSRRPLNITRQMLIRIASYHQVMPEYLDFISVFGQQSSSREL RFSGFREQVLLSKPVKGLSMPSLGRSGRQYQLCYNLKSVACLSAADTTLKQKEWSIRQ AAFYHQFDVGHGTTLWIVTKGDLEIKERLQEMTGKDGRPEDRAFGTPEECFRSSLAVH LLYCHWSTEAWRWYLQWLEDVTDHESHIAVLGPRDPSRARREYTPEDLQTLHHYEEKT HEAIMILEANAGILTSLRSFYTRLVDRRDFSLQGNCQEDVLTFAARVDDMVHDSKMQL SRARLLSRIVADRKNLILQHLQHQATEKMEALTMSTYNTGVMSQKEAIAVRIITVVTL VYLPATFVSTFFSTDVIRFQNQNGNSDATSGLATSPNETFYGSFSKIALFRWLQVTLP LSALTLAIGYIAFRIADKKRKRASLPLYWTASKADTS EPUS_07045 MAQQYTTWHTAVIQGFRTKLKECTVRAACGRSYVRTAKLTEWLK EKVEPDSDNTHASRLLVATYHNRIKDFLPISSEELCAGEHCCLLVFSILLDLGLGCLV DRFQRQAIEDRHLPIDLLSLQSKLAAMDLASLNLPIPENLAERFDEMQWRFCAARLDF RVGRDHPKNTIIPIFKKEKINDKGGTARLWQIAVQEEFVGHQMRKAVSSSRFYDAKDD FGWGYSFALKTFKEGNRDLYLNERAAFIGLKNHDGMIRFLTEYGHKEILRAPGGSGTV APGSVQNVTKTTYNILLEYGDCDLDEFFAERLPPVFDTEVEAFWQDLFEIADAVEGIH NLEVSTDGMRQNFYGWHADIKPDNILSVQGKFKLADPGFVKFVKKTDKLPPMEKIMGG TETYGAPECYPGKNGTSIAVPQTIDIWSLGCVFSIAATWVVLGYPGIRQFVKVRQRAI NRLSSKQPSRLGDTFHDSRKVLPDVTSWHSLLRSALRKTDTITSRVLDLVDGKMLLGD SHQRSKAKDICKDLQQILSENRAKPKRPMPENIMKALLEVDEEAPSKSAGMMSSQVST SRRESLNIPQDRKARKSKLLDQPLRKTAHRSERLKSALSARAAEPEIKPSLYATPTKK TVSVQREASGVPDPPFSEEKIVVRQDSEDAHFVQYRKSGHVHPFTPISPTSTRPKLTG MSRRSTFQNVFQARQEVERREKHNYLRKTRKDQLLSKHFGNRDIKFLVDNGETMKDFW YEATYLLETLVWKAAGQDENGIDLFFTAGPVKVENKKDKSEFLKAMKDPRAHPTENMH TDIRVSLGNIFAKYLEELENRNKYPYQKEVKDLALIIFTDGVWAGVQHKDDVNQQIVS FVKKLGSTVSNLKIRPVGIEFIQFGNDPDAAYMLRHLDKDLKWAGIPDIIDTEPSSGD VNKMLLGSFVEEYDEDNDDDYGGLMTESTDVWSEWLRPQGSPARSSSSQTIPLSEQAP DTRIPTIKQPIPPSRQTTLNWRHPSP EPUS_07046 MPQCMRSRLHCRITGATFPSLTRKLSTQVKPPLRILQHPAPHSG HIRVLLLNRPEARNALSRQLVSNLAEQVDTIKAEGGFGATRALIIASEIDQAFCSGAD LKERKTFTQDETKAFLTQLRQTFTNLSNLPIPTISAISSLALGGGLELSLCTSFRVFG SSAVVGLPETRLAIIPGAGGTYRLPGLIGVNRARDMILTGRRVSGAEAYFMSLCDRLV EITPEEEKQEGAARAKVLQVSLDLARDVCEGGPIALAQAIKAVNGWQKGEEAENEAYN TVLGSEDRLEALRAFSEKRKPTFKGR EPUS_07047 MAAMLAEKISDLEHQTWRALQRNGSALLPFLSRDCVMQFPVGLK VSATSDPSLKDIMLSDAFIPWIGYALEDVAVTELGREAALITYRAVALRPPLEGEGNV EFHALCASVWRLDAEAETWLMCFHQQTAFEVE EPUS_07048 MYFLYRTQPALRSLTRPSSRLFTSTAIQNKSATETVKDTVKTLD RKASDAAVSGIETAEQAAAKAREIAGLKTEEAKAKTEQAASQASSKAQEVKHETKGKM YEAEGKAKATAEEVKAKGKANM EPUS_07049 MSSSFRAVWDRIKPLIPDLFTKGRLNFITIHYLYISGMALTGSV IIYAIGGMPYIDALFFGSGGATQSGLNTIDVNKIHTGQQFLLYMLSMLCNPIFIHSSV VYVRLYWFEKRFKHVVEEARNLRRSKSKGRSVSKAKNDPELGRKEKGVRGRSIVVLHD GHTFDGSLEEEKSKANAAEESLSSSSARNESVTSSNDTAQGEIPSERQGALGADGAVP EIIQPPQRHNMDHHIAFLENQRSPKDKSTLRIPSPREFDRGGKPEDVGDEENAALTRK ATGRLDQPHLGPVDFGRTPVSANHITINEPKISRSREKASPFPRLETEKNGSRSSSAQ PSASLFSKVRSRRASDIKGLISANTTFFKSKEEEPKEQAPYLSWVPTVVRNSTFVNLN EEQREELGGIEYRALKTLAVVLIAYFFLFHAFGVICLTPWIMESATYGSVVTSFGQGR PWWGVFTAGSAFNDLGFTLTPDSMISFGTAVFPLLLMTFLIIIGNTGFPCMLRFVIWF TSKLVPRGTGLWEELQFLLDHPRRCFTLLFPRAATWWLFAIVIALNALDLIFFVILDL NDETVSRMPGFQKFTNGLFQAASTRTAGFSVVNLSLLHPAIQVSYLIMMYISVFPIAI SMRRTNVYEEKSLGIYGERPANGDGDDSEPSYIGAHLRKQLSFDLWYVFLGLFIIAIV EGDRLENTNEYAFTLFSVLFEIISAYGTVGLSLGYPTINASFSGEFRTVSKLVIIAMQ IRGRHRGLPYELDRAVLLPSESLQEKEAAEASRMLQRRMTSLSDTSKRDLSRMQSPAS QSQTPFTPFTPSPPQDNALTSAVTVSNDDMKERLANIESLHNEKPSGPVRSGLGNAMF RLASPMHKQVPEGGETKQD EPUS_07050 MASPLLRFLAQARSAALRPTTSPTYYTLVLGNPSCDLDSFISAT IYSFFHSHYARRSPSPQPCLHIPVLNLPSTSSAELWRLRPEFGTALRLALHGHNQGGN AQDDRVEAEVNKSLLENLVTISDIRSCASSPLNYVFSKATTTTQSASEGKIQVLLVDH NALSIPLPDISSSELSSRLDVVGCIDHHIDESSVPPSASPRIIRTGIGSCTTLVVQYL KDEGFWGDLLNPAATTRKEEDSGPATGELAKLSLAAILIDTANLRAEGKVSDLDRKVV GFLEEIITNSSSSSQHETHPTTREKAAKWDRKSFYEQISATRADSLSLLSLPEIFNRD YKAWSEKRTETSPNSVEPSTMHLGMASTVKPVSWLISKADGSSVVNFVRAMRDFATRQ DPALDLFALMTVPADAEAGFQRELLLLDTGRTEASRRAVQKFHEMAGEELGLEDWEDD QALARALGREEEEEEEEEEGAGEDKGDDNGDGGRGGALKGRIWWQRNVIKSRKQVAPL LREAMRSV EPUS_07051 MGPSRNMSSFPRPSTWAERNSYIPGQTLASTASMSGVKTIPADA PKSERHISNILNQGPSYGWKGPGDGLQGSMWAQYETKRVDFQKLDEQDTTSQAKTKEQ QQLGGPAAAKPDTFTWVTPSQEEKGDALGSARGKLSSESSTTASEASSNSF EPUS_07052 MLKKPGLLSTCYSLQNHLHSHQSSTSPPSLPQQRARSLPQLQIK RTYADVHHGRGPDFRDNMNWPTKTSSSAIPTPYEIFDLEKGTAYSKHKFYELVKIYHP DRHIIEDNISSRELSHSERLERYRLVILANEILSDPIKRREYDNHGAGWAGHQRISNR HTRGYYAPGSKKPYGRGEGYDNSPFANATWEDWERWYARDTNETAKQSHAGNYISPNM FASFILMMAVISGVAQATNAGQFSGSIEERAQAFTAETSRFLNNRAEKQKTDTLDSDS RIKWFLEKRDPSKSGLKDAEENIYKDHFSQRLLPAPKQVDVSDTAD EPUS_07053 MPRDQDRRSSDRHRRRRTRSTSSSPEPYRERRRSRERRRRDSRD SDSRSRERDRKRRRYSYSSDGSERDRSRSPRSQPSRRYGEEERRRYSGQRDEHEDSYL NRAGRSSPPADARRPRPKDDDRKASMKGHRPLPSQQDAFKGESTTITKGTPPAEKQKP NFSTTGRLAAESNTITSMDGQAIVLKYHEPPEARKPPPSQAWRMYVFKGSEIMDTIEL SQRSCWLIGREAAVVDLLVEHPSCSKQHAVIQFRYVEKRNEFGDKTGRVRPYLIDLET ANGTGINGEEVPAGRYMELRDKDILKFAHSTREYVLQLPP EPUS_07054 MTPDSKLLEVFQDVESHSEVSDMKMITPIISALSCHQSLELVIN YFKMVNTRANKYPSLDSPPTGFKRSAKAAGLLDDSARAGIKKPGEEAALAGDVRTDND GSQVAILQPQGPPDLGAAPSKLSMSDLGSFPTTGIVGRAKATLANVLSARFPEVRRII SRDLNRRDRRMMLRTCHNLRVSINSQDHLAPFSKYFSGRCDAQVPGWGEAGAGLYSDK VRHSLSRPCSNWDGPYSIIKLCEGPRILKKAACDGTRGVCVRCVRDATDLADPGGTRQ CLPNFGVTPICDACFVSTNSRMLKSCRCTGLPRAAGERNVWDLWLCFACQLAYNVEQA QFADDMARAYLYMKKNKHTNTLEYLPNAKISSAKLPTCPCGDARLRTKVKDGGSASAY GMCVWCGGLKTTRFHGLSVIAKSIWEEDGPAWRIRGEVRIADQVIPKEALIAIHNQIK QKGLPPPLPRGANKYWSYASVTDEPRLLDEQLRNEYETERRKHPEDRKRLNWDDREGF IRNFRWQTLAGSGHPW EPUS_07055 MSRRFQHQSSSASSVKFAKPQSHPSPSPASASRSSRFTRPCSTQ QQPLSAPGTTTSRFRDRPSLKDEIETSFEEPDQSSPRLPSRSRFSSSVSQYRDVDDLD DENEEDDLNTNNLPPRSHPDHSGEIQDDELADLEFLERLRGTRGSQYPRQHEIDSPSE DETFATPIAARKRRRLENRTDHAFSTIDDVDHQPDIFPKQPTSNKENHNKEPATTPPA SASGSASESNSDISSSPLATPTNPPTSTTTARFRLKPAHPQISTSTSTSLAQTTPTAK TPGPRPLFRPNPKQHPPTSPSLNAELPPAFSPSRHRGGGGRARDYLTGGAADTVRNLI LGLGVTEAQGQGQGQGQGQGGSRGIERVRVACVLRGSLGGRCVMFRDEVGRGWVLIGL GASDRMGGKAERKRVAIAKGCVVGVRMGWDVDLSMEGMDTQEKEEEDGENGREALWKL AVLWDVLDA EPUS_07056 MDTSTANLSSPSLSPQNDQLDITMLTPGRKVKALLAQFNDSDSD ENLSAKNVGTSMEHDKAIEPGQNNVNHYIRSPQQFKAQDQASEDEVLSRRPRGHLAAR MQATAINDNPTSSDEDSGANAYARIKDQIAQISTPTNIPLKTLEEASSGDELRSAAPR RRLLQRRDTAVEDHPSRQGMRSPSPLFFPSPSVAKLPRRASSGNEHHDASDSDQLPEE PLKQSGSKFLALVEKHRKQRLAREAAEEAKRAERIAQLKKAGSKGSSNAGAVTLDVQS DESDDSDRSIGNRLTQQARPTRKASKRALEEMSRETQRMSRSMQLAHQARTKKKITKE SLLARFNLITPGPGAVQRAETGHASATASSAPASDAEGVKEQQTPPTSPLPSEGDNQP VTELQGSAEPAVAAMTMGCEEPPKMRNLLTSQPTTLEKGKAKVATIDETTDAAEEPVA TLGKQRVRPVRVRWSKQDAVIARGADSDSDLEIVTSKSKSKKFAVFERLPQTRPRETN SHLILRSLAHLQTGSADNKHASMNAAQMEAQLRRAARVQAQKERQEKLEGLRSRGIFV QTAEQRQKEQEDVEDLVERARMEGAEIQRREKEMAKKDGTFVKDELDDDESDDEDDTD FQDEHEGLEDPISGSEDELEEEDDIDQEAVEREDDLDIKPERAEMIDEEADEAQSNGF ESQHSEDEDINTSGKEKESPQLPARRRRILILSDDEDENEHHSQHHTSPQITKTPQSI IRSARKVIPGLQMSDDLPIGLTQAFAATMADSEVQDEAIATQEQDSLVLTRDLPSPNF KAVPSLTRLESFDVITDSQPASQTQPLDLDLSVTQAQAVPQSPAGMSSTQFSFVPTQD VGYVMSPFKESRFDTPLAAPHSTIDTVILPPEEQSPILQRKGRLRRGRAAVGSDEEAM DNEDPPSGLGLDKSAFTVMQRAAKTKDQEDAFDRSRSYAREIVDEAAEESEDEYAGLG GASDDEEGEEDEADRRIIDNDENLGQGDESKLAGFYADKERKQDEAAVSKLLKDITTG GLRRKRGAADDLDVSDEEDAIARRREVKRREFARMRRELLKDEAVGKIAEDKKKQAFL QSIEDRDAAEDEDIAFAEVEQTEEDTQWQTRSAEETSSQTNISVIDDQSQRKRPLEPS TADFINRQAPSHRRTTNQIGTVQRKPSTLAEIREQVSFLIDEPNSQNGTHDPDSSEDD AQEPEAYVNLNRHLQPADEENEDPDEGLADFIVDDERLIFKKPQLPTARAPATERRSK PNVVDRLSLLRQSSSASSSSSSSTSTSSHSHSGSKLAFFTSKSSADNPSSLFKVPALL RRATTNSSFNSDTGSNVSATGVTERGAVENEKEMIRKAQGGRRSAINYYAKGRVEERE KVREGRVAKSTKVKNLKSGAKGKAGFLGGLFGESLWE EPUS_07057 MADFASPSSSKVSVLFVCLGNICRSPMAEAVFRSLSHNLPPTNP TSPSSLQLPTQPHPQISYIDSAGTLAYHADSPPDPRTVSTLSSHKITNYNHRARKITA QDFEKFDYILAMDSQNLADLKALKGRVDRKKGGAASKASVRLFGEFGGRNEPTELGEE VIDPYYGADDGFEVCFEQVSRFSKAFLREVVENGTEKEEEDAGGSQEEL EPUS_07058 MPRFPHGIPLPNGLNTDPPLPADAPTIGFKLNHLCLRVRDPEKS LHFYINLMGMRTVFVTNTGPMTVYFLGYPSTDQHRENLDKFGKETSIPDTVGLLELFH IHGSEKQPEGFYSSGNTPPNLGFCHLGFSVPDLPRTLERLKVNGVPVIKDIGVSTRQS IPITAWENEQGIGIEVEGTDSEIHPVFKQIFANFAYVQDPDDPVLSGGVADVGDGCFG VLMRWYLEQNFGHMSIEHTSNDQVATPGGCDKVERQSFDFRTIDTTHGPTVPSLELLR R EPUS_07059 MPQPTQSHRGNYEIILVVLKRRSTILFTTLLLGIALFVWYRVPH RALIPSSPDLNHIKERKKVFDGTWDYNRDQDNLMLDSSQCEQAFPGLFEEITRPKEDR LLRRISFDEIDSITPRNGYIRAMIYDQQLYVIAIQGSIYSRGIATLQALHRAIVSSPE RLPNIEFAFNTDDRIESVPLWGYARRAEDTNIWLIPDFGFWSWPETKVGSMKEVQMKA AWEERMEGWSWGRKFQKLLWRGATMDLPLREKLIEVTQDQPWADVKALKWKDKDSMTH DLKSMPEHCQYKYLAHTEGNSYSGRLKYLQSCTSVIVAHKMDWIQHHQPLMRSTGPEQ NYVEVDRDFKDLGEKISWLEEHDDEAQQIALNNMRTFRQRYLTPAAEVCYWRQLFHSW AEVSFEPDFFKEENGKKVWRGLPVESFLLEKRLEWDPY EPUS_07060 MSANPPPDNIYSPPDGFVESLLHHSGAPRSQYPEPPQPELGQSV QQAPAPAPASAPTPAPAPTNPQTPSEPKTRLRKACDSCSTRKVKCDESGPPCRSCAAL EIPCTFDRPSRRRGPPNRHAEAVKRQRLEQDGTWSAASALSPTEDAAHSLASLSGTQA LSAESICDIHTLRILVDDYFTYIHPLTPLPHEPTFRAAFERREDRTNRTFLALLASMI EALVASFPRRPRHLFTSEANRRLFPNAGALIDRCQQVFNEARGPGYLDRELSIYNAFS SYLVGLTASYLFDSNRASMYIAECVSMVRRLAVYKTSSNLSMQGPLPGETDYIKQELS RRLFWLSYVSCQSMRQLGDVDGDLLMPLSARKDLPPLPIEVDDTFIYQDHILPQPPGT VSVITGFNLNTRIFRSYSSLNTLESAFGIEGVDWDKQKQLIGQSLHSCNTVMDSAPKE LQVQSLTSPQIRYSSPVPQIGQYGRQQEMWTEQGDPASMVDYSRKSVQHEIQKANIYA SQLGTRSFLVEKYWDLFELHNGNATRLDQSFSPTTNTANAALDARLQNAFNSPTASVH SHTSSRHSVEVTEQAMSLERENIVRDLATFLQSVDQIYMEPNGLSLCHKIRQVASTLI RVPRSRACLPTLDPDSVSTYLTSFLDTIMKLERLGSGWIRGFVAPSPTADNEVGMGGV GVESNEMEAGGGITGELTEAEIEERELVEWASLKECQVRFMRENGVGAVGLM EPUS_07061 MPNPFRGATWLYPLKGVYYFLAHRFLWTFLRARLLPVILLSAFI YVNLFVWTYLPQVAILHIFHGPAAWVNAAVLVLGEGQVIIALLFEAFLVDETLVDIFD SVLINEGLQNLVSQARTLDPEAEGPAKMLGKPTKSAIYSPFSLRQIIEFIIFLPLNFI PLGIELVHSIIGDISSFWD EPUS_07062 MSKTLLVFDLYGTLLSTESIAKQLSEHFGQEKADSVAATWRKYQ LEYTWRYNSMKQFASFSDITRNALLHALAENGVQLDRDDVEKLMKAYDSLSTFPDVGP ALKKLASITSIECVVFSNGTNSMVCNSVQKSQDLSPHASVFKQIITVDDVKMFKPAPE VYQHLARCVDKVGNEGDMWLISGNPFDVVGARAVGMQAAWVDRVGTGWQDKQGGQKPT VVVQSLEDLEEAVQAHSG EPUS_07063 MAEVGGYGGHVCYSVQRVRRSRKNRSSLSTASHQVADGQGGQGR RKRQRRPYRPWGVPDRGFEPFELHLHETPLHLFESYFAESQQNHCTFAWRHNPGRILS ESAETSEADSSDDGDNDRTICGRDADADAKGNRRVRFGETDQSGSPTTTQTSSNDHAR EISPAESVGSTIHGDADAESHVSNHGEDYVKESAKNVEDTKKSPYISEDDLFKDRWFP DPWNRPPGQMWTNMIQNQHNLRAAIKEAGFTGARLDWLFNQLVDRKRYRFPYIEKYSV KMPNQSQAEYMRALKQCREEELAHANQVKEYDEERALEECKWALELYNKVYGVDWPEN AVAKTPNSSGNTVDPDGIDSEYEEEEEEEEPSPKRQKTRQHTSTPEPSEEEGIPALGP GISDPGLGQRASALEIEKSDKAFRTHKAEVMQSGRKEREAADMKEFMHFAVHRNFSNG YTEPWKSLASVKTARVTTRTIGGISTEY EPUS_07561 MDNPGKLYSPPVSKIDFEEHDSSARHVVDDDSSSSHTSIKGNTA FLTEGLDDFYVPIDKYEGRHRYDPKFRWDEHEEKNLVRKIDLRICTWVCLTFFALQLD RANIVQALSDNMLKDLNLNTNDYNTGQTIFYVSFLSAELPSQLISKKLGPDRWIPMQM IMWSSVASFQAFLNSRRSFFATRSLLGLIEGGFIPDTILYLSYWYTGKELPRRLSYFW TSSQFTNIVGAFLAFGILRLRGVNGMGGWRWLFAIEGTLTGLIGIATYFYMPPSPTQT ASRFRGKDGWFNEREEKIMVNRVLRDDPSKGDMHNRQAVTPKLLWQSFLDWEMWPIYL LGLSFLIPNHPITSYLTLQLRAIGFGTLQTNLLSIPAYVLFIAGLLFWTWVSEETNER FLTGLVSQIWMLPLLVALETIPSSASPWTKYTLSMLLVGTPYVHAINVAITSRNAGSV RTRTVASALYNMFVQASSLISQNIYREEDKPMYRTGNKILLAICAYNFFLFIGAKLFY VWRNRVRESRWKEMSHAERSTYLATTNDKGNKRLDFRFAH EPUS_07562 MSATLVETSSASQPGSLTLTHVGAYKEIAPTSFSEDDERKGTER APPASYPHYLPVWDEKTKYEPLKPFEHVEHGKDADPTFQDLLKPGSKLADLTASIGAE ITGVQLTSLDNAGKDQLALLVAHKKVVAFRDQDFAKLPIHKALDWGRYFGRLHIHPTS GAPAGYPEVHLVHRGIGDTSAQELFQTRTNSVAWHSDVTYENQPPGTTILYALDIPPS GGDTLFVNMAAAYRRLSPAFRERLHGLKAVHSAHEQAESALARGGIVRREPVSSIHPI VRTHPATGEKALFVNSQFTRYIVGYKKEESDALLKFLYDHIALSQDLQARVKWAPGTV VVWDNRVTAHSAILDWETGARRHIARITPQAEHPTE EPUS_07563 MLHPYSPQEMNKPIWKMIGSMTDTMMMIEMLGQIHLAYAPAPVH DEMITMMIAEFQNQKKDDLQDLFERIAPVDSAKLMFDRAGRSTGTAYVVYPYVADARK AIREFDGANAKGQPIRLELLPPTAPAAGRSEPRTRRDNPFDRVENPRSLFDRVEAPKG RERRARSASPGEDRGYSGRRNGGGTGPASRDRRSDISRPAPEGIDRYVPGRDDSRSSS RRAYGGREGGGRRPGERRERGERAGKDGRDGEGHRLVNGRPRKTQEELDAEMEDYWGN AGNADGAAPTNGAANPAASAAPAVQVTAAGDDDIDMIE EPUS_07564 MEETQSQPLSKAEKAQLHLENETLEGNPQKRGVTKPRSLHSSQQ RFRQGRESNAAEKSTIALACGWIVEHQLGIALNLLCLLFLLHSAFPAARHHTQKFREL SYYNANTGKYALGRDDSLFVCFWIVLLTGLRAGVIDYLLIPLAASAGIEKKKAKVRFA EQAWLICHHGTSWFCGMYIWYNSSYWLNLRALWSDFPTREIDGLVKYYYLVAFAFWLQ QLVVVNIEERRKDHLQMFTHHMITCGLMFGSYCYHQTKVGNVILCLMDVVDLFLPLAK ILKYLKFRLICDIAFGAFMITWFLARHVLYMTVCWSIYAHVPEEVNYGCYRGTSSDLE GPLPVPDDYDHLIRPFFDPTGLVCLNTGIRQLFLGVLLALQSILLIWLTMIVKVAYKV VSGEGADDTRSDDEGFETEEDESQDLIDKIHLCVEPRPYVPLANLPIEKEVGFDEMRI SPSRLGRASPVGRSSRKSDGHAAATTGISLRGPSNRKELLGRIGCDKGS EPUS_07565 MLRDGITGDWIGTFIGHKGACWSSALSSDAALAATGSADFSAKI WDPQTGECLHTLGHRHIVRSVAFPLQVNPGCVATGGREKKLRIFDLTRGSTTSSPSSP NTTGEGTESNIEGHELAPDTHTDTIKSVLWNADYNIITTADDKNLRWFDLRSQKPIFT FNTKDEIMSCELNTLHTMGNGNSGVISVAAGKSCYFFNGGRPGELLKKMDFDQDIASV AINPRTRKVVTGGKNETWAHVWDLETEEELEVHKGHHGPIWSIQFSPDGNLYATGSED GTIKLWKACKEPYGLWR EPUS_07566 MGEYKLSAVLTGHTSDVRAVALPDSEFAITASRDGTTKTWKRTT SKPPTYESTESSRGAAYKTCVAFVPPTKQYPEGLVISGGQDTIIEARQPSSTAESNAD GLMAGHANQISSLDVNAEEGWIVSGSWDGTAKLWRIGQWEPEVDFPGHEGTVWAVVAY NDEIVVTGCADKGIRVFDTRAKVMAEFSARDVCRALAKIPEGHSTKGQLLSASNDSVI RVWTLKGDLISELYGHEAFIYSLAVLPDGKFVSSGEDRTVRIWQDSSCLQTITLPAVS VWSVAASATGDIITGSSDKTARIFTTDPDRVADAAVEAEFHEAVKSSSIPQQTVGAIN KTDMEGPDFLQRKSGTKDGQIQMIKENDGSVSAYSWSNVKKSWDMVGTVVDSVGSDQK KNYKGKEYDYVFDVDIEDGKPPLKLPYNVTQNPHEVATKWLQDHGLPMTYLEETANFI VRGTQGAALGQSASEPEPSGPDPLGIESRYRPGATSSYKPPAPNSLVQAKRLPQKDYV SIVAGKPDATYQQILKLNTRYVESGKSSLMLSDAELDVLTKTFKLLQGHNFDGKSFLP KTRAIEACLPVAVKIATHWQPPTNRLAALDLLRFLAAASPDVADFEVGGEDIITTVVT SGVFDESLVSTNTKLAMVAIRLFSNLMFGSEMGRDLLEAQSGLVIQNVKSVTPYCRQD ISLSIAVTTYYLNLAVWLTRPENSQLKGSEDRALTIVEAVSQVLESLPAVDAIASGNT LQQATEPVFRGLYAVGTVLAGLDSSELRDAARTIFNMGTLISQLRQRGYFNEPRFHGL VDEVERTLA EPUS_07567 MVVKIRLSRFGKKRQPFYNIVVSQARTARDSKPMEVLGTYDPTP RVPIATDAPEVIDEVTGLPRKPKRYKDIQLDQSRTKYWLGVGAQPSEPVERILCLNGS DRTNGAETGRDEESNTCTLTVRSQHGQGAYEVVTLNLLFVALRRRLELVCSLTVFYHP LLGIDAENAGKFHFVWNIDLVK EPUS_07568 MALKTLAPVKAADLDKELMSSGGFSLDQLMELAGGDGLVAARHL HHFGYKPTIYYPVPSKPELFVGLQKQLKSLSITFTEDFHSSMGSTDFIIDAIFGFSFK PPVREPFPSVVEALAETSKPVLSVDSPSCWDVEGGPPKEGELGAKFMPPYLISLTAPK PSIKFYKGTHFVGGRFLSEDMAQKYDLTVPDYHGVDQIVEAPVDASGGEKL EPUS_07569 MEKESNTRAPDGKDIAGNAKVKYIVFSLCQYICTYYLFSCPGTT PAKSSSAICIDYWNASLSAGPYQIPTLAQLRAEQHHITSPPSLSPTYPRVPHLPLPSS FDNSPSENPSPSCPYPLDGSNERIARLRTELQGVRTGIQRIVSGLHDLNETTHQQELA AQASNLPSFTMSTRSERSPPQASRSVFQGNLDASAWSANVPSPPRFHNPSTVRNLPRL DTVRPRPSGQDPMLRIRQRAYLESDQQRQTGPINPAGDITARNAHPRAGLENPFQILG SREEVERPDYQSPVTNMYSNTWGEYRNAEAARQSQNAAASNGISAAESRQTMIANPLI NPPAMPQYTSTYFATPGPNGPQPYLNPISLQGITTPDITPPARHPQRENSAAPPLRWS YHHMASASSSRRQQNLSAPDRGSGSSESTSSVRRPSDASRSATQRGESEGGAFGRALE ISGVDVMAARTGYPYLLPGSLSRDHYVREYLARETGSDSESEPFLTFDTQDRPPPMEP ESMMLDMACSICKEHLIDTVVLPCGHAVMCNWCADLHVPSRKHDKSTPRDRSAKCPMC RTKIKQKVRLLIPKFPPFYQCKQFKIFHS EPUS_07570 MSEAGLLRKDQLELSLHKEKKLIEDGILKEDNPLDVTDNFRKLC DACRRGDLKVCQEMITEGVNIDARDEFDYTPLILASLCGQYEVVQLLLDAGALCERDT FQGERCLYNALNDRIRNLLLSHDYSKSSDPLQPLAFHLTSLLTRGLPETADIIVTTSG DSFRLHKFILSARSPYFSKKLEGAPETMSWKLPSTIPPQAFGIAIKYLYLGELPRDLG GGPGTGFTDSEILAGLDKISKHLEIKSLSQLVLDGGDRRLARQRRAEELDKGRDQLEF WFRRNVLQHAIVTDTDKADDVKWDRNNAIFADVLLRADDDPEEDEARVGTDGNGNWER TVSHTNALGIPLQSLQSQPASRANSRSRKGNQSKLFPAHRAMLIRSEFFLTMFSSSFR EAQHTHHLQIIPIACSPAVLEIILTFLYTEKADFPLDIAVDVLFAADLLFLEKLKMRA AVVISTLGNGTAGGLSIPANNDVREEEESIDVYEILHAAWLTRVQRLEEFAARYIAYR LESHIDDPEFAEIVKESAGRISARQETDSIELLDDIRWYLSERFRLRFEDSGLEDMMD EDQQLQQQQEAEQNQKKTQKSSDIQAKTLPLPHWGSGPMPDQQQQQHQQNQEDEGIDM QPPPPAENGSPMDAMQTAINVPGAVRTLDGDLAGDEFASDAINYQLLLGKIDRLLERL KLDA EPUS_07571 MSRSGTTLYVTGFGHGTRARDLAYEFERYGRLVRCDIPAPRTAS SRLFAFVEYESRRDADDAYHEMHNKRIGRDDLLKIEWARTPPSASWRFDSGRDRPRDP RDSRDSRRDRSPPRRSARSPSPRRRDYSPRKDDRRDRYDDRRDRSRSPDDRDREMKDD RDRRDDDRENGTNGDDRKVSMDSPPPAHDELDTAE EPUS_07572 MANTYQHQSSPAVAAKSFLMQLNRNISQPFTAAFLAGGVAGAVS RTVVSPLERLKILYQVQSAGRNEYHMSIYKALKKMWIEEGWRGFMRGNGTNCVRIIPY SAVQFGSYNIYKRFAEPSPGADLSPLRRLVCGGCAGITSVTFTYPLDIVRTRLSIQSA SFASLGKTQGHLPGMMATMIKMYKTEGGITGLYRGIIPTVAGVAPYVGLNFMVYESIR SYFTPAGDKNPVWYRKLAAGAISGAVAQTCTYPFDVLRRRFQINSMPGMGNQYTSISH AIRTILSQEGVLGLYKGIVPNLLKVAPSMASRRMFDAGLEDALGGDVSGADDTGIDLD RHHVRNNHRKAPKSDNVYLKLLVKLYRFLARRTDSNFNKVVLRRLFMSRINRPPMSIS RIVNITGANSEHPTHTDKIIVVVGTVTDDNRLLTVPELHIAALRFTATARARIEKAGG ECMTIDQLAMKAPTGANTLLLRGPKNAREAVKHFGFGPHSHKKPYVQSKGRKFEKARG RRRSRGFKV EPUS_07573 MSLTESSPLKAAEAASISSRSLATLSVKARNDALTSIHNALSRE RDKILQANALDLKAASKAAQDGSLSQAVLKRLDLGRAGKYDDMLKGILDVRELEDPVG RITLRTQLDDDLELTRVTCPIGVLLIIFEARPEVIANIASLAIKSGNAAILKGGKEST ESFHAISSVISEALLHTKVPNAAIQLFETRDVVNQLLSMDQYIDLVIPRGSNELVRYI KDHTKIPVLGHADGLCAIYIHSDADADMAKKVILDSKCGYPAACNAVETLLVHDDALL SVLPTVAEAILQQGVSLRCDEKSKLILSTFLSPASTALLQSATEADYDTEFLDLVLAI KTIPAAPHSVDLAIAHINAHSSHHTDAILTSSRDVAEAFMAGVDSAGVYWNASTRIAD GMRYGFGTEVGISTNKIHSRGPVGLEGLTIYKYLVKGKGQTAGEYGEGKKAWMHKQLP L EPUS_07574 MDDLIPKLLTFPPVPSPAEPLSDYQYDQSIRAISQLLTSAPPGK LGSGLSTGEDVFDILDPSTQSLAYLHILHAHIEAARSASKASPGSLPPAVLFGGRLWP RIVAFVEGFDPVQVRYAGSQFRFVLEVVTRGTQQTGQPLQAVTLLRSAILRLDPTSST LTSTHHKFVRLCLTCKAFQEALPVLERSIYHLPVSIDKGAAARATRFLCSETESSASY LNPDHGLTLKLSSRDCLEYHLYGGMIYMGLKQWERAMSFLEVVLLAPSTNVTSAIMVE AYKKWVLVGLLLSGRAPSLPKGMNKNMMRNIHALAKPYNCLVEAFTSGRLFRLKGDIE EGQSFWLSDCNFGLVLQVYDAFRKFSVLRLANIYAALPLTEVARRTSPDPSDLVEAAS YITRLIVSGELNATLTLPQDTTTPATLRFLPSSSVPRTEADLHYDLTAHKAELEIILK HLQDSDHRLEISKEYIDKLRVMKKQKEQETKAGVSGGQVMSDFDEDVMADL EPUS_07575 MAFHVSQKGLDGERKLNVDDLGKLYISVVIIWTAIVFCASSFLL YNRDLPVLRVRKTHLWTAAVTFLHSYWVLCLLAYVFNGTYPCTAEFWIMSLWLPFGMA LFQVNGMHLLHIASLQTRFIRPQSLYAYHGSRSVSSGWRSLRKLGLPDSLSRRQKVSI LAGVVLQVLATMIVYLVSRKFHPSFGVVGHAVDAAQCRRGWECLLGSPMWLLALYSPS YSSGFAKASRYFIPPLWFTPGIVAMEVSVIFIPCVMVVKSRKLQSETLQQILEWDRNK GSGTSVDTGSTYVSGSDEYRVPSPAPSTAPIRNELYSMKALEKALTTNITPLLRFSAL KDFSAENISFLKHIQDWKATWTATSSPPPASVAQRFPFSNKKPSPLPLSFSAESLRRH QFALAVEIYISFISREYSDFPINISGPQYCDLECIFSDAASTLDTQTQENSATPFDAF PCPDLESPSSPRLGDDMEKHADGSVAMSSARSRERDELSIASTSVNTISNKTTPRSTY PDSDNASDSPRLSAYPALNLLSLTPRLAPDVAIPPGFGPQVFNEAERAVKYVVLTNTW PKFVAAGSASKGSEERGGRLAGVVSDAGLGVRVGLRFEGRGRERAGVGCGVGNKRVFW F EPUS_07576 MKGAQVSDPESHACVTRCGIDHDKRFAFAAPENSWVVEPGDVRK CGPGSLASLSGSGRYGDYSRWGRAAQTSCCSEYGQVIRGAVFFSWSAALASVTGVAGV GFAEEALLVKAFGDDTTNEQVLVRRPRREGDDCGIECLLIAGFVAGRQLRDALDDVWQ PDVICVRVWLASALQ EPUS_07577 MAASFPEVDPSQVGVYVGTIASSYALAQFLTNFFWGWLSDRIGR KPVILMGTLLTAVCLVAFGFCKTLWQAILVQGLMGLVNGNQGVVSTCLGEITDRSNQS KAFVYLPVIYGIGGITGPIVGGLLVFQRNPFDHSKPNPHPYLGPNLASAVVLLFDLFI IMIFLEESLEEARNLPPLGQRMKSLFSWMWQFTGASTHPTYVRKNGKQSAHGGLLARN SDNEEDEGVSDGEDEGNGDMSSSALFPHHAGEELTRKDVFNRDTVLLLITYLIFQLSN ISYNSLYPIFASGPPPTGRDLSTEEIGLSLAFAGAVTILFQIGVFGKLRDKMGNRYTY RAGLAGFVLSFLMMPWVGYKQVENADGGMSTGKIWLWAELGFVLLLKTVAAITNSAPN HSVLGTLNGLAQTLSAAGRAAGPFLSGGLFTIATKVKPKGEALAFGVFGGISFVGFLL SLGIRSEKLEAEGWDEDSQSDKSEDEEDGRNE EPUS_07578 MEVYDFIVVGAGPAGCALTARLATSPARPKVLLIEAGGHDENKA NRVHGDRWLHRTKPSLAWGYETVPQTHLGDRQIAYDRGKGLGGSSLINFSVFQYGSRD DYDEIAHIVGDDDWKWVKAQERFRQLITYHLMPPHAPEGYKKYLDPAVSDHGQNGALH VSVPPVWEKPMMDLIAVWEAAGYKLNKDLNNGDIGLAVSPWTMFNGYRTTAADLLQDA PCNLTILTDVQVERILFQDKRATGVQASSQKHLASKEVVVCAGALDSPKLLMLSGIGP AQHLQQHKISVIQDLPQVGKGLRDHYMVIPTWVRAGHTSEHHKYFKSPELQAEARKEF EKNGTGPVAEMFGAAALGFFKHDAVYASDEFKALPKDTREYLLKPTVPVFEVVLNGAV PEYFVDPLNSPALANMYIGLLNSQSTGEVILQSSDYRQPLLYHPKVFSHPFDRRVAIE ATRAVLEVAESPAFQKDTIGVLHAPKSESEEDILDYWRQNASSMWHMSGTVKMGREDD SEACVDNQFRVLGVEKLRVADMSVIPIIVNNHTQSTAYQTGMILGDKLIKEYGLDLD EPUS_07579 MSLMSLPTEIHYHLQEFLDHPSLLFLSATNKYFESLSPKKKVKD SLITFEECSLFVPTVLTKNQLLPCYICLKGLPARDHFPPLPGDKDDELGNKNMGIRAC ATCMIATKPDCISTYGIQQSDDYAFTSGQYAGPGKCKLYSSRYGTLRRQTQDWLHCPK CHFIKRYKSSPWGRRRKIDEAMLNGDMCPQCYQPVWDQENEEKRLRRNARGRERRREN KLQAERMKEAERKRQQAKNSGTAANAMTPTTAVAVTPITSAGTVMNTQKPLSSRVSMP APLQIPLSTYMPPLLIDGTPLTDQEIDSGFTDQLEDVVSGSFDNLWNASWAGSSSDHQ PIGW EPUS_07580 MDSQELASAPNNENKARSTIVAVVPSNVTENAMTILSRNLSGIP TIETTESQEFIDASDLTRDQWIQVLRSEGDTIHVNRAPCAALSLKSRSPDDHEGSQGL PEFEIADDTYVDSRESRSRLERELTLKAFSENGIRAGLSGGAPDIGFGLSGAVSWQKE GIGLDQQQTSNRAIHGFYNFPRVILHLDPDYLDLSPQCKQFLKRYGQDCLDPNKISDT YKTFIDRFGSILPARVTLGARLCTTQELNTDNISNISEARKTLETDLTTKVTAASAGI QSGYHRKTGSSAVEKMNQTAESSRMTLETQGGNGLLASSIPEWTASVSSHRYWRTIQQ ENYWDLPTFLRELAQDGTTWEYFDALANHAIAKKTNPKIYGLKRRQELD EPUS_07581 MSDTGRKNITDQAKEKATPDSQKSSVDKATESASSTADKGISSV QPSDSKSATQELSDTTRSNADSAQEEGKGLAQSMTDTVSGTAESAQKQGKDLAQSASD TVLSTAESAQNQGKDLAQSASDTVSNTAESAQNQGKDLAQSASDKASSAAESAQKQAR DIAQSASNKASDAAESAQQQGKDVAQSASDKASDAAESAQQQGKDVAQSASDKAESAQ QQGKDVAQSASDKASDAAESAQQQGKDVAQSASDKAESAQQQGKDVAQSASDKASDAA ESAQQQGKDVAQSASDKAESAQQQGKDVAQSASDKASDAAESAQQQGKDVAQSASDKA ESAQQQGKDVAQSASDKASGAAESAQQQGKDVAQSASDKASGAAESAQQQGKDVAQSA SDKAESAQQQGKDVAQSASDKASGTAESASDKASGTAESAQQQGKDVAQSASDKASGT TESAQQQGKDVAQSASDKASGTAESASDKASGTAESAQQQGKDVAQSASDSTSRNTES AQKEGKGILQSVTNTASDLIDSASKAATDAANKISESTNTKK EPUS_07582 MDSSEPEVVAKGDLPYVNKDLPLTFFGVKHTKWTKKDLVEMIET LGGNASTNTTKLELYETVHLRYNPGRILQRTINALEVLAQAVAGQNWRSQALVQEAVQ DATRERADLARKKRGAARLRVGTEPAYEPAPVPQGRKNEGGSYLAYKWDQDEESEEGE GDPVRPRRAQPPATQKGINRRKRAADSDLPDSSDVEIVKVVRKRAGVGVDSKDGEADK GSRRKRQAESTFANGRAKRQKVHNNLGLEGRAQGPPPVAFGTSIAIRDNIAFGVGLQP VNYELSGERPSCQVCSDDLDPLLQFQVSVASDCNHAPEICLACWEQHIAAQADTKSWD SISCPHAGCGVVLDHGDMQRFAPSDIFRRYDKWQMNKTLQSAPDYRLCAHEGCKSGGF VEEDDLASEYITCADCQRNTCLGCNLIHHHGQTCEEYRVWLADAPKRAEADKAEKARM EKLKRAEEKSAKYLDKKAKTCPNAKCGAVIQKTSGCDHMTCRACRHEFCWICLADYKT ILREGNQRHAAKCNYYSGGIPGH EPUS_07583 MPSPSTTHKAPSRPSSAFAAATSNPTPHTTTNPTTGPSSMSSSS SKSRQYAHLHSQLAQLNAHLADTENLVRMTAVQAQDIRFLGGYVGALFMGSAKVLGEE SLRQGQGQGQGRGKEAAGGDGETSKIGEQQRR EPUS_07584 MSLELRRYCVVGGNAVSAFLSWRLQATNACDVTLVWKNGYQAVA QYGISFKSLRFGNERFKPRHVVQSTDEAATREAAFDYVLLCVKALPDVYDLAAIIESV VTPQHTCIIVNTTNTLGVEQHLEERFPSNVVLSLVSGADLMQLGASEFEHAGNTDIWV GPANTNSAVPGSISNDMSEALAMTLATGQVNCTVSPNIRQQQYERMIGPIAFQPASVI FETPSIPDLLEKVGVRDLVSGVIDELLQIASSHKCEFASNFKEKTMQNMLTLTPEANT MFQDFTARRPMEIETYLRTPLKFAEKAGILVPRLQTLYAILHQLNKNNQSRPPLLTPA AAQPPPRVTSAPPPPRPPMNGQGRGGRMSSGMGGQMPPSSRRGPPPVNGYRGPPNGYP PRQVSRKPSFEENNLDEFSHVVLYDDIPEGDVAAAPYEDMPNGGAGQSALDLREREIM LRQRELRLREQEMAMKRGGRSRRASHARRRDIDDDDDDDDYFDPMEGRGLPLPQIDPD NFDMMSVTSRRTRKTPSQAQLRKTVFEGGSGMRPGGGGFSRPHMGRNSGGTRYENVSR LTDNVLDNPMMSYSSNRYGAVDRKELHEESRANSLTAGRMQELGHGGGPYPGPPSRRT SQSPGNPFGPMGRGMGRRPSPPDESHHLPNGMPNGMPNGLPNGTGRPSPPGGLRAPVP RHPPGHGNEAHPQQVEQQVGVSKPFPPLKGPSKSLTGSASASAGSGDSGSANIDSSEP SAHSSQSSFAPRQAGAR EPUS_07585 MAMLASKPSWSGSLGGTNQHPSRNYSESSQRTQMASPLPAHEQL FTSPTESEFSVVDGLDSVRAWDEKKVADWLRSIRCGQYEQVFRTNNFTGANLLECDQK ILQEMGIKKIGDRVRIFIAIKQLRNKSGIMRARRSRDSLAALDSQAHTPSSTESTRPP HSRNQAANSNRRWSRQVDPTALHNFSSANAVNTKPPSRPSSPLAEIDSRGLRAHRYAT SPMDTSRKEAGYFTHPPSANAATGRRPEGKTAPQNATLVTQHLQQNTSIDGLTLGSLP ANSPVVRIIHAEGQTKVLNIKHCKNVDDIMATVLRKLYIPESHFRNYCFYVLDGLEPD PAKCRRLSDSELMKICDGPNRSDRNRLILRKINGGEPDQDEVERAAQLALEETQTLHN NALSTNNMRNQIKLQKLTGESWNDIRQPMSPVTATTRIRHINAAADDLERPETPPLKN SNSLQGSGNKLRSFFGARPPSEMIVQEITSYFPSHQKEDIEKTMRLSVRRSQRMSRAA SRLSVMSNVSYASSLKDAPPMPSIADAWLAQSGQPSRPSRPLSVSKFALPNAAFRDSI MSTSLQPLQEESPVEPNRKSYVSFDSGSDSAQQDVPRASFFEESPNAGGTEHNNLNQR LSMIVAEDGEEEDDALNSFLAGNNFENNSWMKGDLIGEGSFGSVYLALHAVTGELMAV KQVELPEVIQGTEIDKKKNNMITALKQEIDLLQGLQHTNIVQYLGTSCDESHLNIFLE YVPGGSIAGMLKQYNTFREPLIRNFVRQILEGLAYLHGRNIIHRDIKGANVLVDNKGG VKISDFGISKRVEASTMLNQGPSGSNRPSLQGSVFWMAPEVVRQSTHTKKADIWSLGC LIVEMFTGSHPFPNHSQFQAIFAIGASSAKPDIPSQASEEGKKFLAMTFETDYQKRPS AEQLLKEKFLVPMA EPUS_07586 MVSTDKSCQLARALRRISRPAICTRCLNARRSVTMDVRSDSIQD PTDELEQSSGLGSSGPLGALSDSFDPLRASRSRKKQLPPSRYQFRPPKYDRGPLHPHQ PPPASDPSSRIFVPGPFSSPRVEQTYHNMIAPDILTLCYQHNPPGYRPPLKAPRLRSW DDSSPYHANRQLRGPRGGDVLRLLRKPITFRNIPMIERITVHTFVKQVIKEGSAPLHV AGMVLQAITNVRVQTHKSRTGEAGWNLVPGKSMAATATLKGEDMYHFLGKLVNVVLPR IKDWRGVRATTGDGSGNLTFGLDPEVVGGFPEIEINYDSYPQKMIPGCNITLHTTANT DKDARLLLQTVGIPFCGKSTSATTIASEAPAKDYYGLFSAQEKPADAQEEQKVKTLRK RVMEARSFTFQDSQILYALHSTYASNDLDKALELLLAMSSACEGSIIKYEPGVKLLGA VNRKAVTCYLDSIIFAMFSRLDSFESMLYNTFEDDARNRLGLLLRLWVNLLRTGKLIT TDIVQLLQESLAECGWEEAAQLHQQDASEAFTFITEKLELPLLTLKMDIYHTGREDLT DDHKFINERLLEVAVPSDPADPQQSVPLEDCLESYFNNRIEVKRYLERRSTSSPVPPY NSPEAMSAKGGALHVETVELGGDASARIPPSSTSSIQESPVRQRATSIIQQRFIPDGS GPSSPPAYEADVKHAGGRLRSGSIRKEVMMPAWQFFSLIPWYTKNTPKNDAQVAAHFS SKRPILGMCLKRYSFTDNGKAIRLSTKIDIPTEIGLPHFISDDSMDEGGPIYGNFKLS LQSVVCHRGTSVDSGHYIALVKGTTASLGAENGSTSNGVQDTNHWMRFDDLASERITL VDIHQALKDETPYLLFYQIVPIEIVPERLDDRESLPLYAPSETHDSGIGGMSVSSSNF QGSTDEMLAPLRPSLEITAPEECPRGRSPVQEERRQSVAFSRPADQGDLAIPDPESSQ ASSKRGSMQGKNGIQSRSQSQAGERFSISLSRLTRGKSKEAIPTLGNSVEADEIAGNG LEAPAASDEKVKGFLRRERKREKSKSRLNKAPNTSGKGKGEKPDRECCVM EPUS_07587 MAPLPGSTRSRTTPLTSASSSASGSNIPTQRSIQEVDPATGAGG GVTSGQGAGQQQAGQGQARPRRAEKRQAGRGEAGRGEARQGQSRQGQAALPLSAVGQP TVHGHDPHARKAEQAQAAAGSPSHLRETTLRAYDSPGDRARQAEAAAGSPPGLRETTV RTYGSSGDRLRQAEALAGPSWQVGETTTRVYGSYADAVRQVQAATREEQPVDALSWRL GEVVIDDGPTPCRRPITTTRHGDGTVVAAAAGGGGGGASPSSLRVYGASGDYRVYTQE ERNVVLKLLDEGKNVYYVAKMTGVIIKTVQKWQKWQREMQQGL EPUS_07588 MAQNRLSVVIIGGSLTGLMHGIMLHSLGYNIHILEQSPSPSRSD HAAGITAQPQVVEYFRRHGFSKDAWSIPCPAVQFLGPKATIKRTIKKPLDMTSWNVLY NQLKGKLESLQKENPGEKARIGAGPTATWSFGRRAMDVAYDGNNVKVEYEDLAQGQRG ILNGDLVLVADGAGSTIRRNLLPATERSYSGYVAWRGTVTEADVSDQTRKILDSRLTY FAMKKSYILCYIIPGETGSFEPGKRRLNWVWYCNHPPDSPELAEIMTDCDNHRHRNTL PVGKMHTEVWNKQKAHAANVMNEPFLELINKTSKPFVSTVGDTIPERASFFDGKLLLV GEALALIRPHLALSTNQSALHCLQLEKALKGEMTIAQWERKVLQYAARSQAMTNAFGT WFQYGGLTFMRNLLKFCWTLIVQALSKIWSI EPUS_07589 MPGVELALAVIATADLCCKYGKILVEQCATFRGAANEIDERVLC VDILWKRTIMQLDFLRRVCATLDEEHQDIQNRILQVLVSKLQAANFQLDRIIRKKNGK DAGNTNLVELKRFKYVLIKECLDHAIKDLEEWQKKFDPSWFLIMKVASPVIDQELDKD KTEVASMSTAKGLRDSLKDEPQIKTSIFLPNDGLISASRRRIPFSTAHTIEKTNSIKW FILDSIPCDPEVNPSILTKYVRDMARKLSHADPFTFSLLNCRGVIKVLDSAKKRVTSF DFVFRVPEGMENPRSLRNILISADGSVSLSDRFKLAQQLATSVSYVHTYGFVHKGIRP ETLLIFQTQKSLLGASFLLGFEKFRLVDGRTIRCGDCIWEKDLYRHPHRQGLNPEEDY IMQHDIYSLGVCLLEIGLWESFVLYHDDDGDPSPSTVLSILNDAENDKINKAYLVKHA LVDLAKQRLPSRMGDKYTDIVVACLTCLDESNTDFGHINEMEDADGVLIGVRFIEKIL LQLDRISI EPUS_07590 MNRIPDLVRDSQLDTRFHPKYTTHVYYESGPTPRKRVLVREEYW KREKYIGGGSFGSVWLEKCVKGQREVEVRAVKEIPKPQQPSRPVNYNRELEAMAKFSH WKYERCFVKSFGWYEGADALFIAMEYFQHGDLQKYLSSTPPLSESESQQISLQILEGL SFLHGNGFAHRDLKPGNILIKSTPPEQWWVKIGDFGISKRAEDGVAVSSTLKGTLGFM APELHGFAEVGSNPTSYNAQRADIWSVGEIAFRMLTKEPTFRNMAALFTYVHKPQTFP SALLDAHNVSPLGTEFIKSTMMPAPEMRPTAQEALLHKWIEFYRSLGERPASIASTRS SLYQEPYSAVVSDSLTEPSGRWSTVPISELRPPPTHSTSAEEQSGLKEHILESETPTG STMKVTTANRISPLSPTAGFQQSPELEGIGHREYQISASPVASDGQSQNGNLWSGLPT ARTEMVQNEEPFIRPRRPDAAASLSSTTDLPYRPQATNQAPYSGKYETLATNQVPYSG KYETLATNQVPYSGKYEPLATNWAPYPDKYDESGLFGDIWPVTHQQSFHQHKDSLSPF EHDEFEANAIQSAEGPQSADQWVQQSRQVRPIELFRGNLVVDHPVPEAILKQVPHAEP PMRDEFTHFRYTAVVCDPSNFTNEHYQLRPSLFAKPRHTELLIIVPCAGADRMAFAKT VQTIILELIRLSSRDDFRRLCSDNRSWKSIVLCFLGDKDYMDPSILDVLGNLGLPTTP WDFVVDVCEAADKDRTRISHHRYDDGVCYPRQIKGRKTTARIYEYTTQFTVVTDEMES ITVKPGETPIQTILCLQEASRPIDNNPWIRAIGGSLRARLCIIVETGTKVKENSIYRT WESQATWWDGNMAADGQYLKIVYNKESSVKTWLAQFGENINRKSMQSLEYVRTLPREE RKVVLKCLQAATESVFALATIVILVGFMALPSLPVIVAADECSQDENRGPDEEVDQ EPUS_07591 MLDACTTSVEQWSPPQKYVRRDADFKHLEALGEASKAIGKKYWS KVTELWNLGLIVTDRHFRRRGATTEMIKRGCARADEEHLRCRVEASPVGRLVYASYGF KELGTWDVKLQRDPASLHMWCMGRDAKY EPUS_09308 MDKAQPRAKTENEAPARYQQILDDWEGRPVIKTYASGSKFCVGD KIYISEGRTLRGPFLVASVPNVGRYTISKEDGTKLDEGKVYEEDKLESAE EPUS_09309 METSDDFTKQLNQKLQTSARSRTYCYAKVLILYWKDGHEDFQTE GQELGQMFRDSFQYGVEEFAIPTSQSYLQLHNFVTQSVLHAAKIADEKGGAPLLIIHY GGHGDRNDDKVQGEERRSVWAAHAKGEPTLEWFRIQDDIKNADADVLLLLDCCFAAQA GRARERRPGRFELLAASAMGMKTPQPGERSFTRALIREIKRCVQRNGYVAIADLHRRL VAREANLHATPVHVMLTPTQPTMRLSPLPQKFCSSANEDIEGPYFQLLFRTVGQLNKA HIEELAQWLGADMPRMVTALHVQNILETTTQIQSFVEKVRQDGQPLAKTLDEPAFEDI LKAWDNVQALVEQHIAQQNSAFRTQENMIIIKERVRRFLIQLEAENSAFVDTVERKIL NSTRLTDLSALSEAIDDPATQMFGIADQLRLRRLVHKSSVFGMPQSESKAAANAHFAV MQEYKNYGRYVDPGEMPDLTARVAHLAELLNAPKSPGFLSLRCCRWSHDHSENRFVLD FEIPHPYETTPGAYHTLQSIILHSKASARPSLNDRFKIAFLLAKAVQKWHSVGWVHQG ISSPNVLFFSLKNETTIDYSRPFLQGFEFARPGSDPSIGRSNDDIAFNVYRHPSRQGS LRKGHTKMHDIYSLGVVLLEIGLWQSALHIVSHKIKGPVTPNSMLQRLQGACLERLAH YAGASYQEAVHVCLTSSFEVELDDENESRLATSFQTRVIDELGKGAPIY EPUS_09310 MTIKKLQKSSSSPSTPFKLPPLPITTPQTAHAICRLCKASPSLQ KAIILERAVLRLAAKFEIQSHENRGLRAAIVQEKKRRRRGKRLNLLGKEATSEAQFFS PTKVITARAYQESKEAAEAEEKRQKAVRKEEAALKRQQLQAEKQEAVLQRQLRQEARQ EAKAAEKVQKAAEREEKRRQKEQDKQQKALAAQKRKKEQELRKNVAVAVKTAAESKSR VRRVSIGPPKPRKTSTKRSKKTVNSITASQGSPPRPIEAAPSTAVDTANVAVAEALIV NRRGRVVALPQRFRE EPUS_09311 MRNSRPELLSQIQNNKLARISARETLSSIRKQFPEAPLTLRDIE NIYNKFKQTLNRGLPAIQAMISKLGDEYQFQYVLDDHERLERVLFFHNASLQLLRLFP KSYVLDATYKTNRFNLPLLDIVGFTATNRSFIIGQAFLTHEQEEDYIWVLQWIRDIYK KYELPIPESITTDKAGGLHNACSVVWPEVPHLLCRWHIDKDVRAYCQKEWLEITDRNI SNEARKAIINERVNEFLRVWSQLLYATTETEYDRVWSILQRQFRHSQPRILNYLTRTW LPFKETFIRAWTDKIRHYGNVDSSRAEGVHQAIKRQMGSQRIHLNDVVDHLSMYLDLH NKQLREELEYGQQKERTDLQNPLYRKLHGHISYYAIDQQRMIKHLPLEIADFDIQWRI DRLGELAELPLIRRITDPLTVSTRYTKSQKRQLSLFEVIQGQVDALVTASSKRGHRRT KAPSQQRRRPPLKVVTLNSAEKSSLGNSQQPIDIDDIAVSETQEENINSDDDPLGAEL QRQRQQPSRRGIQIQGWIEYQAPHSQAANSLQKKRTTPSPLYPPLPLLRTPSPFADLD LPLWPSPTPVPSTPSPLRRASTTSPLSPADEAIPPPQVVVSPMTSPAKKRPRREIQRP QRYRD EPUS_09312 MHRNAIFVRLIFSLEICAVTSDPFNNDFDPFDEDFDHVYIDDEL GYDPSKGFEETDEASAISTSPLERPRLRVSSENPYKNQRPWRVFAPAGEYPDNEEE EPUS_09347 MLNVNPRFGRAFRPLYKTNSGDPYSSREGDLSSYKARPSIFTEW NKVGQWSVALITSRGVEDMGMQFLQLAPKKKESAAEAPPNALDVGFGEQARLERLEYA DLAPGETIRLLTLHPGEYGHPLHMSLSHHNISNSRDVPSFSALSYVWGDPKQPRPAFC DGRTIGITRNLFGCLQRLRRPDAARLLWIDAICINQRDLEERAAQVGIMGEIYAKADK VLIWLGEGNEHTREGVYALESMAKAWSPPPDQPISFSTVLKFARSSRIRTLDYWALGR QQKIRSITNSAWFTRAWTFQEVGLSSDAEVWIGDHNLPWKTLVYAWLLSLHMNFERTL FLNGYGRTVDTGRTCMHAMFAYWYLQNHGNSKEVREWCQLYRLLRLRQLHEASDPRDL IFSLLSLSRTDNGENFKPNYKLSVEDVFTQAAAQTIRESRDISLLSERERRGADLELP SWVPDWRSPPIINPLNSLLPSAWREYRVGQGRPQDERLGSIEISDGKTLRLAATHIDS VRVSHSVSLEVLKLPAEMRSLDWSETTKVLWYYIRTRLGCEYDSSYAPTREPMIIALL RTLSGDNFPTSPALEPDEAAHRFPLHYSFMRNPQWLTLRGHLLRKLRVWDYEVPQTHG MKLGGAPESIKHGLRAAQGPEALLPYLPSLLRKWITQSGTSIGKCPYIGPIPRGNSAI NQDVTNSVYVKMIRTRMRRHWFSYVPFLPSWAQQKARVLYKAVGYVRLDLHRSELLLE LVANIDKTIRGRRLLVTEKGYVGLGSLDVQAGDQISLLGNSPVPYLVRKCGEEPVTYR LVGKDKEVSDEGGLKVVERVPWLTANATKSSNQIVFKTLLKQALMSDAMTTRAGCNGR AGAKRTQKGRCTVSHTLSSARVAINDSVGSMEVEVQELQKTLTSGTFLVDQEQIFPGM ENCLNTQLSKV EPUS_09348 MGRDCKTFRIKYPPGETWFYTFDPKNLQAVLATQFQDFQQPAAR VGAFEALLGLGIFSANGPKWEHSRALLRPQFMREQIADLGLFETHVKDLFDALPGAVE SGDWTPTFDMQPLLECFTMDTATEFLFGESVHNQKGDSTDTSTLFSKKEMMQFVEAFF GAEKTTARSMIYGDLFWLMHDRKFKEQCKAFITSLIWTGKYVVLDAIVADVKDPQELR SQLLNILLAGSDTISGTLGLLLASLAQYPHIFKKLRNIIIDDFGSFDRPKQITLSRLK NCSYLQWFLNEVLRVYPSVPVHSREAVRDTTLPVGGGSDGISPVFIPKGHLVAWQTQN FEALMLNASIQNDGRGANLDSTTSHSTLALEYVSDNDSPLLRLPMSQSGSCKSSTQLM DQPCRKGDCPVIGNW EPUS_09349 MLPRAHIGYLVGYDSTNIYRIWIPSLEKVVRTRDVTFDEQQFYN PAELDIGQILRETTDQVIEILDTPHLAYTQPNSLANEEEDIEDEIVVSSPPTQANSAK VTPSNALLTPESTPDPPTQNAQITQPISTLNNAPAATPAAAPPSENQSDFSTQNILPE GTKRSRMSTRRQNYATALTQTTELTPFHSAFALGREKTIGAKIEALHRDTLPAEPRTW RQLTKHKFAAEFKEAADREIQELANRDTYKWVENEAITATPLPLLWVFKYKFDTDGYL TKFKARLCVRGDLQSTEQDTYAATLAARTFRALMAIAAAFDLEIRQYDAVNAFVNSKL DEQIFCVPPEGYERSNKSWLLLRALDFTAALEDLGLHSIPGVNCLFASDCLLLFFYVD DIAILYKPKYKQKFKEFERRLLQRFEMRSLGELKWFLGIRVDRERATRKLWLCQDSYI SKVAAKFNVNADSKHPKTPLPTDDALIAELSDEKAAAQQILAYQQRIRSLNFAAVISR PDIAHAVSKLAQVLKNPSAAHIAAANRVIRYLCGTRTLAIEYSGRNSSQVFNCASDAA FADDPATQHSSDRYLFQLYGGAIDWRASKQKTVTTSSTEAELLSLSTAGREVIWWRRF FDSIRFVTNQHVKIHCDNVQTIRILTKNAVKLDSKLRHVDIHRHWLRQEIQSGKIDLE WIPTAEMPADGLTKPLPAQKHAAFVEQLNLVDISTRLAAEQQQAQAPQQTETGPNIET LRYHYLGDT EPUS_09202 MSRMKSHSHGRFGKEDDKWGFNQTSTIRITGRHTINIWRAMRGE LNLLQYTIQPSAGYAFGARIAGDLGDSVLASYANSI EPUS_09203 MSMKLRFLRYLEQIPIDANDDDLLPLSGKLEYQSIIGSLTYRMQ GTRPDLAYPVSLLSRFLARPKAIHMGIAKGVLRYIRRTLSYSLKFSRIGNSEPIFYTD SDFAGPTLQDGMKSTSGYVGYLAGAPIIWSSKRQTATATSSTEAEYIGQFNAMKHMVH IGHFLTELSIPYSTPMTLFADNQGAQATARNPEYYSRLKHVAIAYHYQRELVEEGLIQ LEHIPTEDMAADGLTKPLPRVKFNRFIELLQLNTKPAI EPUS_09204 MALRRICGPRLSPRLIQKQLFTQVAVNNSNSAVSLEGYRQSSSG DPHTNVMEDLQGHFLKARVLTNMGIVDALRKWYPNHTVTQTPESTGLLKFAKAGQANA TLDTNIDFYGSRFYKPATDPARQSGRLKDKVELGRYSYRWKDRDFHVYLADYWEGEYV NINNYYILYPRSHEDVKDGRSQMADELISAASRHLSDIEEEIWVYDRGYWTKSHKLWL NVQSCKWENVVLNEEMKTQLISDIEGFFDRKEDYASFAVPWKRGIILHGLPGNGKTIS IKALMRSLALRPKPIPTLYVKSLGKTCDQDNIRAIFEKAREAAPCLLVFEDIDSLVSD HVKSFFLNEVDGLEGNDGIMMIGSTNYLDRLDAGISKRPSRFDRKYHFALPAIPERIQ YCEYWRSKLLDNTSIGLPTHISSAIADITEGFSFAYLQEAIVTALLSIVQTQRASHAK ATTLEMSESKSNDLRSNEVWQAISKQVQILRKEMKDSRKSVEDAGKNSVLADPRSGSA ASTGFAPAR EPUS_09205 MSRPEDSLPPDLYYNDTESAKYTTSSRIQNIQASMTHRALELLS LREPSFILDIGCGSGLSGEILTGEEHSWIGLDISPSMLDIALQRDGVDGDLFLSDIGQ GVPFRPGTFDAAISISAIQWLCNADTSNVSPEGRLRRFFDSLYASLHRGGKAVCQFYP KNDQQRNMITTAATRAGFGAGILEDDGGTKNAKIYLLLHVGGGDVTRAVAGMEDVVVE DNRRAARELQGKRKRGKEVKGSKQWILKKKEQMQNKGKVVKATSKYTGRKRRPNF EPUS_09206 MYILPVLGYLGLILGFCFLTLSIASGLYYLSELVEEHSVLSARL LRSLIYIIIGVQTLLWLVDGFPFPLTALGIASHAVYASNLRHFPIVKLRDPLFILSCV LVLINHWVWFQHFSQPAPYRNRGSNLGNRFRDRYQTAVPQPSFTEIASYFGLCVWLVP FALFVSLSAGENVLPSMGSEYATGERNGTVGQRRKRRNTGMAKAAVDGVREWVGETGA VMGLWHGENVRRFQ EPUS_09207 MAAYLTPSESLQKYLTFSSCSTTSDYRRSAIVTYYAYAMDVSTD LMSESGHSMTVILLTHQVIPFPIPVLPRLMPHLARKLCSTGIFGMATICICVASVCGA KMASTTSGHNQPSPPGMAIWLIVESTFGIFPSMFSNSTVPGPVSAVVVGFLPTLGLLL PPVKYTSQPNSTTRDNALALWTHPFKSAVRRLTSDNKTRLYVASANESKAQINGVSPA SGVMATDTFEVSTASRDVFLLNRDWICQVDEPSLSTLSPATLAPARYTWHVANNWW EPUS_09208 MPFLNRALAIVLAVVTCCNGILVSVLQLLGLPSTAILKAASEHL VPYWSFFYASFLKPHTTVSIDGQRGALESFYSTQADSYDVTRARLLKGREDMLSLAAA QLKFRESVEDMPKGRIWVDIGGGTGYNVEAMRQFIDVPNYFSRIYLVDFSPSLCKIAR RRFSRLGWKNVRIICQDARLFRLENHETAISDTVEPSPNTNIKRSNSVKASLVTMSYA LSMIPDFHSVIDSIPALLAPSGILSVVDFYVQNVVDISWRNYGGGSFDRHVNWFGRAF WRSWFEFDRVALEPARRDYLEYRFGTILNYNKRHYYLGGIPYYTWIGCQKVPETAEGK VESPDVHVTEWLDATTKQSTRAAAGLLNLSLSLPLPSAFYQNHSCRLHYDEHHQQHTQ FQNEYIYAFTWEDPTVDKKLLKITSDDTILAITSAGDNILSYALCKPRRIHAVDLNPT QNHLLELKIAAFASLGYEDIWQLFGLGRHPNFRRLLLEQMSPHLSSQAFQFWIANAST FTSRLGCGLYETGASRHAVRLAKYLFMLAGLRTEVQRLCSCGTIKEQRAIWHRSLRRI LLSRILHRAVIRHSAFLWKALGVPPAQRDMIVDDYLRKVGSTNATPTACGQAVWDYMM DTLDPVVEHSLLNNENYFYSLCLRGQYTKICHPEYLSEEAHASYRDNSLYDIVRIHTS PIISVLSSMRASSLTIAVIMDSMDWFSPSDQPTAATQIRALNRALVPGGRVLLRSAAL KPWYLKLFEELGFQATIATERRPGTYTDMVNMYASCWLCVKGANLPPPTPESLPERRD SDTGIWALEN EPUS_09209 MCFWSENAFFNCDGSVSRMKYLCTPAAEKHRSGADICKNYENNL GCKHSETDRAIPHQAPYRHVCDKGGYNPPARSGIRHIWKPSINTTKDMFINAKSGDGS ELKNGVQFQWCDGSICTLEEVYSKDAPLFCWGGIDLLELEKDERDALVGKGRYEYWVE VWSGKKE EPUS_09210 MSNESPSPSLTSLLLSTSSQLSKAATQSAFLVAAGDGTLTKAKL SEWLAQDRLYAQAYIGFVGSLLAHAQLPTQHIPFPQHDDSVHWRIVHTLRAALDNIFR ELKFFEDVAENYGLDLEARSTDAPFGPAIATTNYINLFERFSSPINPDDPHGADWVLL NGLIVLWATERCYLEAWQFAARQSDISRAPISDADGGALREHFIPNWTSQEFAAFVQQ LEQLVNDLMDKEMLFLTEDAQDECKELWEEVLDIERRFWPGL EPUS_09211 MAALDKLVDEQFERAVDVSATVHVDFTTRLMELFKRRGEIEAEI ISGSEALERAYYAANSELREVLKGKLEDINNGLKEPEAIQPDNVQS EPUS_09212 MSAAVSAPLNAEPSPPQERADHNLPPKSYAEATANGSDGTAGGM AFQANGAASLNDVNGSTEVNELKQNIDDGRVLYNKHISHNGEKLTSIKPDETYGDGRK HDTKLTTKARERTGNKEDADNGKLASGRRAGAGWERSAIRWAPLNVPLQRRLQTLVVL WHTMSIPVLTTIFLALCAIPIAWPLVIPYLVYILIYSDEATSGTLSRRSSRLRRSKIW SLFASYFPARLHRTVKLEPTRKYIFGYHPHGIISHGAFAAFGTEALGFSDLYPGITNS LLTLDSNFRLPFYRDYALALGLASVSRESIENLLSKGGADGSGMGRAVTIVVGGAKES LDAQPYTLRLVLNSRKGFVKLAIRVGADLVPILAFGENELYDQVDSEEHVWIHKFQML VKKVMGFTVPLFHARGVFNYDVGLMPYRRSVNIVVGRPVKVVQQGGKDGKVDEAYLDE VHRLYVDELKRLWESYKDTFAQERQGELEIVE EPUS_09213 MTELEVILTRLGLEQYLDSFIVEGFDTWETVLDIQEGDLDALNV KLGHRRKLQREIANHRGVLYERAHGSPTTETSIEAGKTSDAPPKPPNPPGQHERAGTE MKRKYRRHPKPDKHAPERSPSAYVIFSNNVREEVRDQNLSFTDIAKLVGDRWQKLSPE GKEPFESKANDAKEKFNIELAKYKKTNSYKEYAQYIADFKAKHSSVIAEGKRPKFESD SNIGSASGRPTEITVETRPTVSTAHTRDVSIGSVSSASYDSGLPSPKGSTAGLPPTMM GYGMSTNMSHISSLSSTDSPALRSHHRESWLPATPAVQNPSAIVPHQTRGDLPELHSR TGQLSLAPLTANVIAPTVDFGPVTRAAAFPPLLLHQSSGSSVAQSDSSGTSNAAPITP GDEPWRYQLLDDKPRGSNWQRIQIPLLANNPSAHFGPLPPLQSVDRVPNISRDPTQRT LPFPTPSSPHDPKASFRGRPRIQTPLASSQSSDCSSSEPHDELKSPTDNPEQDAANAL AVLAYTRR EPUS_09472 MSNLQSYAYPGWGEWAQKNMSFTQAIRVGDRIVCSGQGGWDARQ KNVNFQTLIKKDMLEEIDQAFENVDYNLKHAGGKGWSQVYRVVTYSIDIAPQHDRIVE NFRKWMPDHCPVWTELGVKQLGSDLMNIEIDVEAYDEEGAAETRKAKPTAAA EPUS_09490 MLLDWGAKINTQGGFYGNALQAALNKGHERVVQILLDQGAEVNA QGGEYSNALYAASKRGHEKVVQMLLDQGAKVNAQGGKYSNALQAASEGGYNKIVQILL DQGAEVNAQGGEYSNVLQVALKGGHNKIV EPUS_03603 MRVKVEEDLTNSEALTLMRVKVEEDLASVGTSSTQRLQKIIKGF QSTLAHCAVLSAENRWLMEQNNEKTARASVRSTVVGGPKVMTYNDIVERQKFRETVER RNSGATKRRTGGRKPAESNAAEQAG EPUS_03604 MSGLEVLGGISAVIAIIDGSVKVWESARKDLKFSATFETVGNRL PILRDILQTCLEQFEPIKTSLPADAAHGLVKTVNNCKRRAESLGTIFQETIPGEDDQW YERYRKVARRLGKGSKVEELMRAITEDAQNLVNYHAVKSASPTLYTKLKEISDEMASL EPSLPVDEADTQTFHNYDGTQIVSTGSSTQHNNINLSSGTMYSFASITGNPVFGPVFV KRPETPPSPSSTVPFRRDDDFVDRGTSDDNRTLLERIEQQCRPAASRVALVGIGGAGK SQLGIEYCHRLRERSPQTWVFWIHASNADRIEQGYREIAEGMKIPGRNDPKQNIFELV ARWLRDESKGTWVLVLDNLDKDDVLSIPQAATPGAQFGDRDDQLRRPLSAYLPQSQNG AILITTRMRSMATKIVEPRDVIVVDPMTDMDAITLLKKKLDTPFDDGDLQELAYILEY MPLAIVQAAAYIRQRGARYSVQQYIKAFQRNEKKKTSLLNYEAGHLRRDPEAKNSIII TWQISFDDIREKWPLSADLLSLMCYFDRQGIPQEVLRVQPQEEEIQKMLRLNDDNVED NENNEGEDIKDDGTSKTSNDDMFEEAVDQLMSYSFVSLGKDKTSFEMHGLVQLATRKW LAMHKEDEKWKAQFNRNLNAVLPNGNYENWGRCEMFFPHAKSAERHQPTDNRSGQEWA QILQKAAWYAEARGDYREAERMCDKSVKALQKILGGENVETSYSLGTLASIYWNQGRW KKAEELQVQVIETTKRVLGQEHPHTLTSIGNLAVIYGDQGRWKEAEELQVQVMETTKR VLGQEHPHTLNSIDHLASTYGNQGRWKEAEELQVQVMETTKRVLGQEHPHTLNSIDNL ALKYRDQGRWKEAEELQVQVMETTKRVLGQEHPHTLNSIDNLALKYTLSSMRNLTLTY GDQGRWKEAEELQVQVMETTKRVLGQEHPHTLTSIHNLALTYGNQGRWKEAEELQMQV IETRKRMLGQKHPDTLSSMYNLALTYGDQGRWKEAEELQVQVMETRKRVLRQEHPDTL RSMHNLALIYRNQGRWKEAEELQVQVVETTKRVLGQEHLHTLTSIGNLALTYGDQGRW KEAEELQVQVMETRKRVLGQEHPDTLSSMHNLAFTMKEQGRNWEAIKLMAECVQLRNQ VLHAEHPDTLSSAAMLAEWQRFE EPUS_03605 MFGSSQPSSGAGGGAGAFGSLGLGASAEQPAKRKSIFDISSAQT TQPQPQQSSLFGSASTAAASSTSMFAQSTSAGQSQGLFGAVPGTSAPASGTFSFLGGT GSQQQPQAPQQSQPSGLGSSIFSNAPPGQSSQQQSLGQSQARDPAHFNSLLERQRKRQ RFGASSQNGRVGQLPHLNMDLGDLARRAQEIGGRGSKPALVNGADSRAHYLLAGSGVA PGKATKEFERLERDGQAPTYQPAEPFDPDNEKYIRGLQERGREAMIQEAIDRVRRDFD AHLEESLSINFEEQKKKIMQHFGLIARDEVADEPDGAQPDQGGFGHTSTGKRPFEDSA KASTRSVFGRSGLAKSLIGSPAMGASTTSFFGDSSVNSGNSSSLGKGQNGRFLRDKER FFLQKVQQLNAARLQEKVYPILREFAMVEDQAGGDIPHQLVDSYDALIDITKEDAEVQ NQSDPGAIKERQYSEAYLDENPHSPKATKLRKQILHGSRAYLERAFYREVESVIEKNP REAQLGGRPTLMNKIRAYIRVRASRKDLAPDGTELQQIGDNGDYCWIIIFYLLRCGFV RQAVEYVSNDPAFQSTDRKFISYLTNYASSPERRLSRKLQDMINGEYQQRLRNAPENT LDPYRMACYKMVGRCDLNRRNLDTIGQGVEDWIWLQFNLAREVDRAEEISGETFGLDQ ICETVQEIGQKHFQKGQAEASGGYGIYFFMQMLAGMFEAAVAYLHSHNPVSAVHVAIA LSYYGLLRVSDYSVAGNELGADQLCAAGGVLHCVVPNRIARGCRRLPRPHLPPLGSAC HECLRQLCLETREFAQLLGDIRTDGSRIPGAIEQQGRLIKLDSHGDFLKAVTMQAAAI ADERCQVADAVLLYHLCEDYDNVVRILNSALADAVTLDLGEAQMQLQPLKPRSEQPSA ANGNTESKTGAQHDLPLQFQRPLL EPUS_03606 MVQVSDQQQPPRTLVDLSVRGVEAGTYYATVRVTGDISRGAAST GSVWEGLRAAWRPNDARPKGELGRLEVGRDGKGSVLLAREVGVSEMIGRAMVVSKEKP GAGTRTSGEDDGATVVGVIARSAGVWDNDKTVCSCSGKSVWEERKEQVGKGMM EPUS_03607 MASKGKSRWAQDEDDSAASIAASIAQRKREKEEKRRAKEDRLRQ ADAQQVHSPSPPPVDPPAANGDVERPSKRLRTHVDTHPPGDGRAPAPESQLLPFPARR FAACRDVDEYERLNEIEEGSYGLVSRAREKATGTVVALKKLKMDHANDGFPVTGLREI QTLMASRHAHIVRLREVVTGATWKDIYLVMDFLEHDLKTLLEDMAEPFLPSEIKTLML QIVSATQHLHAHWILHRDLKTSNLLMNNRGEIKLADFGMARYTSQPSPPKLTQLVVTL WYRSPELLLGTDTYGAEIDIWSLGCIFGELLTRDPMFQGKNEVDQLSKIFASLGPPTK ENWPNYRSLPNAKALHPLLSSSSTSKRQPQLNFPYLTTSGHRLLSSMLSLNPSSRPAA AQILAHPYFHNEDPRPKAKEFFPTFPSKAGQERRRRRETPNAPLRGDKTAPFDTELGK GRCAKMASSSATLSFRTPPPSGSYAQICFPTPPVLLVIFNRPKSLNCIDIPSHWELHA LFEWYDNEPSLRAAVVTGTGRAFCAGADLKEWNVQNSSGDGGRRDMPPSGFGALSRRA GKKPVIAAVNGLAYGGGMEMCTNVDLVIASKSAAFALPEVKRGVVAIAGALPRIVRTI GRQRAMEMALTGRTVSATEAQSWGLVNAMVEDASADSEIMDRPVTVKALEYANMIASN SPDAVIVSREGIKMGWEGVGAEEGSRLLMEIWWKRLSEGENMKEGVRAFVEKRNPDWL ASKL EPUS_03608 MTVLVVLSSSVLAWVIWTLISLLSNYLTARRIGLPIIISPVSSL NPYWILTYRALPILPLLQSLPFNLGKWSRCTYMGWSFDDKYLLHKELGSAFTLVTPSV NEVWIADSDAAYVVLSRRKDYIKPAKMYQPLAIFGPNVNTVEGDDWQRHRKLTAPSFN EKTSTSVWDEALRQAGDMLHSWMERSTNGTRDTVAHTATLALHVLTGAGFGISYSYGK GVQTPPEGHDISYRDALLTVLQSVVLLSTVPRSWMTFRFSPAKLRKVDQATKEFQLYM AEMLINERSQIHKRSSQSRNLLTALVRASEEAKESSHEISHQGLTDDEIFGNIFIYNL AGYETTANTIATGIFLLAAYPEWQEWVAEEINLVFDEEEDSPGGRYEEAFPKLRRCLA VMFEILRLHGSNVYIPRTTGSVDSALHINGKNYIIPSGTFTMTNLQALHTDPRSWGLD SLVWRPSRWLVSTPDTVASGYTKELIEPIKGTFLPWADGPRVCPGRKVAQVEFVAVMV ALFRKHRVQPVLMEGESADAGKERLRDIVNDSGLSAVTMQMRCPRAAALMWRRVE EPUS_03609 MIVIVIVKVGTSTIADGQVDSPWVLFWLHTEAAVAVIVLSMTAF RVLFVQSENARSWKQLNQNGACQKGMTRTSPSSSAKDGRTRKDNSLGSVKMDFPVAQS DIMVTHELSTRNVRE EPUS_03610 MLLPKSQCAAQLAERRILIAVFQAAFAKVKMMKLSGARVAQTRP GSHRSVSSSSWTVEVSCPIPALYIRVRNRLTPIATDSSRDVQVSPCDNGSWCFGSGYD GRECCQQGRGLFIANGEAISINTNATTPQTGVNISMPDASTNVPTSQSISIAAKAGIG IGVGLAVAAIISVAVILALRKRRKDIEERRTEEEKEMSFQEDSQYDESLARPSTAMYS TSDFPAESVELDSRSLNATNRHSYLSYRAPGLQDGPAELSTIRENATYENSRST EPUS_03611 MALLLDGRNAFDPVHVRLRHPQSRGIGSESNQRGGRRRIIHGIQ EESYEDDESTRKRSFELNFEKFHDVPFWKRGSLGRLEGSHPKLVSVQAASIDAAASQV GDALKGNAPDDLILSPGTAAEKCRRVLQKTYSLFHDSDSEDIDPPLTALLADVEPEEE PKRKVAIHSGVVALGLVQAGSTLASSVGGGIGPEILVKSECIERMDKTTPTPLLAHDT FTTGFEHE EPUS_03612 MTTRYRVEYALKTHRRDQFNGSRSVGVFPLQRVHHKENLAVQIP WLSCYAFMCSRLRPFIVHALTLFPGLQGLLAVPFVLNSQPTAAYEEYDEVKSLQTMAQ AVHRRYAEIMRDVEGLVNDHSRSHVSFLTYFLKGALSQTGAIRFYSYCHIESAKVDLF LVRHQSLQTTSKLKMLVPSIGSFFTPLHLEAAFIYQDKQRAISSRRFVAPSFNDVRLI LNTAQIMALMRPSLPSSPSNLTANPSAHTSNPPAATTSLQLLTFDGDVTLYDDGASLL PTNPVIPRLIHFLSRNVRIGIVTAAGYTDASRYHARLHGLLDAIKASPLLTTRQKHNL IVMGGESNFLFVFDETSPSLLRYIHRRDWILPAMHAPPSARASAPCTCLPASILRKER AVGIYPSTADHRFAREQLEETVLVVQQVLELSPEVRGAGIPFCAFNGGNDVFVDIGDK SLGVRACQKWFGGGGAAAAAAAAAAASSGEEAGPVREEATLHVGDQFLSGGGNDFKAR LACTTAWIASPQETVALLDEMSEWEGVGVGVGVSS EPUS_03613 MAPTNGAPPPPKKRRIGVMTSGGDAPGMNGAVRAVVRTSIHMGC EAYAIYEGYEGLVQGGDLIKQMLWDDVRGYLSRGGTLIGTARCMAFMERAGRLKAAKN MILNGIDALVICGGDGSLTGADLFRDEWPGLLEELVSNGELKADDIQPYQHLNIVGLV GSIDNDMSGTDATIGCYSSLERICHAVDDVFDTAASHQRGFVIEVMGRHCGWLALMAS IATGADFVFIPEKPPRAGWEDQMCGIVRTHRQRGKRRTIIIIAEGAHDVNLTKITPNQ VKDLLTQRLRLDTRVTILGHTQRGGQACFYDRWLSTLQGVEAVNAVLEATPETATPVI TIRENKIERSDLMEAVRLTKSVSKAIERKDFDKAMELRDAEFKEYYNSYMITTSTDHP RLRLPPEKQLRIAIIHVGAPAGGMNPATRAAVAYCLTRGHTPISIHNGFPGLQRHHDD KPLGAVREVKWIDVDPWVNEGGSEIGTNRGLPSGDLKKTAECFELYKFDALFVIGGFE AFTAVSEMRRGRAEYPSFRIPMVVLPATVSNNVPGTEYSLGSDTCLNTLITFCDAIRQ SASSSRRRVFVIETQGGRSGYVATMAGLSIGALAVYIPEERVDIHTIARDIGFLRHNF ANDKGASRAGKIILRNEKASATYTTQVIADMIAEEAQGRFEAKAAVPGHYQQGGKPSP MDRIRALRMSIKCMQYIEGFTGKSPEELMQDDMSAAVIGIQGSEVVFSAMGGERGLEL VATDWKDRRPKDEFWLGMKDTVDILSGRPKAGTPCRECGRPL EPUS_03614 MTASLKLPLRLSVSKGKDDDSLQSRIFQINSQKGAFRNITEASL REDIRSGKRQDGEDTRMAGVEDSEAEQPENQYEMIIKRREEMMQQLRRAQNDTLMSLD FISLLLSKVAPNTAKSTMSPTLQDAVPIGTLDARIMKYTAPTASDSRSAELVSRAYKL QGFSDVADKILGAASRLEDEAAKEQQYWDQILSIKRKGWAISKVPRDPRTLGVHFGFR DAAPFFRNRGFAALRRDADGGLRLDQGAVPSRPVAVQMSVLRNDRNCGSSAISRSHMA TKEAIDEQILQARDTLYEEELFFELGREARSLANQGATMSSKQIKMPVDEQTQLQIDL INSDHHSSSNTAVGPDQQLADGIAITLRILLSHAHEQNLQRRSQPPPPMTLKSRPIPE YALLRPIIAHLQHRNHVKSLTIFLQTITQPLKSAGTRCDLQSTTSPLDTLMLVSSSSS SSSSSPSSTTLTPNAMLQTLTRPLTTTLTLHLPTPNHSLNLTISTNLNPPLLGTEYSF SPQTLTHPSSPSSTPLTLPSLCNLGEVEILVRRVLTIDLVEFIASHCTATAAAAVERR GKREEEEDPIAGMMGIEKRHEAGAGTSIEEERWAPLDPYEGALARGKERLYIRVWNDR LGMRYLKTVDADRYGKGRGRDMTAYLWDGSEKMEVGDVRGGKRRVERRSLSDIVKEAG EE EPUS_03615 MACCRRIIAPVLQAASTPGTRINSHTPGQRCLWAFHVQRRWAHE PTVSLQEFLDPLNTFYQRHVGPSEDDATNMLQSLDPPAGSLDDFISQTIPQDIRLRQP LSLPIYKINAYDGKTGGVAESEVVQQTLARLGQNKQIRSYIGAGYYGTFLPEVIKRNV LESPAWYTSYTPYQAEVSQGRLESLLNFQTMVTDLTALPIANASVLDEATAAAEAMTL SMNALPTARQKRGGKTFVVSHLCHPQTIAVLESRSEGFGIKIRVGDVFSEDHKLVRDQ GDDLIGVLAQYPDTEGGVHPLQSLVEATHNLGATLSVATDLLALTVLKPPGEFGADIA FGSAQRFGVPMGYGGPHAAFFACSDKFKRKIPGRLVGVSKDRLGNRALRLALQTREQH IRREKATSNICTAQALLANMSAFYAVYHGPHGLRAIAEKIRTNTRFVQNHMEKNGWEV LTKGTREDGAVLFDTIVVKGTKEQVAWATKNQGEDSVNLRIFTPTKLGISLDETVISR KDIRAICRLFGGPSKKAEHPLDHKSWVRSKLDDTEGCSVKDRTLPCNVKRTSSYLTHP VFNTYRSETEMLRYIHHLQSKDLSLVHSMIPLGSCTMKLNGTAEMLALSRDEASTMHP FAPVQQTSGYRSIILGLSQQLSKITGMEAVSLQPNSGAQGEFTGLRVIKKYLDALNDG KTRTICLIPVSAHGTNPASAAMAGMKVVPLKCDAKTGNLDIEDLKDKCGQHKDELAAI MITYPSTFGVFEPEIKKVCSIIHEHGGQVYMDGANLNAQMGLCNPGDIGADVCHLNLH KTFCIPHGGGGPGVGPIAVKSHLAKHLPSHPFVDPHATSLGEYYENDSPRKDQGLFLP SYNDEPSVLNEAGRAKAKERDPARALAISPVSATPWGSASILPISYTYNHLMGSRGLT KATKITLLNANYIMSRLKAHYPILYTNAQGRCAHEFILDARRFKDSAGIEAIDIAKRL QDYGFHAPTMSWPVTGTLMIEPTESESKAELDRFCDALINIRKEIEAVENGEKPREGN VLKMAPHNQADLMRSEWDRCYTREEAAYPLPWLREKKFWPSVCRVDDAYGDTNLFCTC TPVEGMDDASDINKAEFGTAT EPUS_03616 MAPRKATAAAPKTAKQTTTAAKKTAAGKQSDGVKKAVAKPAAKK ATSTKKSTTANSKDTINKAPVIKHAPKKTAVTTVKAPTVSPPAEKAAASKKRKAEEDV ENDEEPKANSSKRRKADEAESKASVSKRPATAAKKPKVVKPKVVINHAPTQRLDIYVS GEGSQGELGLGAGSGSTNALKPRLNPNLSAADVGVVQLAAGGMHCAALTYDNKILTWG VNDEGALGRDTQWDGGLVDVDDNKSDDSSDDVELNPREATPAPVEFTDLPEGTVFTQV TAGDSTTFALTDEGQVYGWGTFRGNDGILGFSTSTQIQRRPVLVSGLKKVTKLAAGAN HVLALCSNGAVFAWGAGQQHQLGRRIVQRSKLNGLVPREFGLPKAMIDIAAGDTHSFA IHKNGKVYTWGLNNYGQTGIAEGAGGDEAVILHPTIVTSLQDKGNVVCIDGGQFHSIA VTEDEKCLTWGRVDGYQVGIKLNTLPDGDVIRDSRNNPKILTMPTQVPGIDAVQAAAG TDYSIAVTSAGKAYSWGFSDGYRTGQGTDDDIEEARVMNGKAIADKKIIWAGAGGQFS VLAGEAAPLMNGVAH EPUS_03617 MDDEHNLNEDATINTDNAAGSQPDEKDEKDDDDDAHMDTNLDSP AADNPFTTAGASTQPQPPSTPITIPQGQSIFANPPSLGLMRERLFEINDTIEFSAQDF ERYWPFIDNVWIRNRAASTSKETNSVTEWYQCRLRKANDRTPYVPRPTPEGKVGRKKR VRENVSCEMTLRVVRIEGATTSYRILRGGAKDVKHSHDLDYVDGVKRNSGIMDTARRE GIKGYQPSSTFHRMWAEPEKMLEAGGKFLKISDCRNVTMTWRNENPTVVLKVHDGFAS RVSTGPKPRNPTTPTTIAHGTAYQSMTPTKAGLPTPVLPQDTLQYPAHARDFLEPYLP PQTNTTSTAPHVTLTYASSLDSRIALAPGLRTALSGPEAKAMTHYLRYRHDAILVGVR TALIDNPALNCRLEGAGGYGGKAGANQPRPIIVDPHARLVIHPDMRMLQMVKQGKAKG PWIIIASNTSPHPVAVRILKAHHGEYIKVHYGYHPGEPAGFDWPSIFGILHNEGIKSV MVEGGGCVLSELLRGQHSSLISSLIVTVAPTFLGKGGVPVSPDPRFDTQGQPMATRLR DVKWQAMGQDDVIMCGKIGGVQPPAANGNGLLDGIEEFSQQAVANGPAAAAAEQAGAS NASAP EPUS_03618 MAAAIPFQQAHHSSSEQASHDPHHDLRLEQNHYIGIDVGTGSAR ACIINQNGDIVGLSSENIGLWQPQQTYYEQSTTDIWKCICVTVHRALSQAKIDPSTIR GIGFDATCSLAVFTHDTDEPVSVTEPDFHKSGNDHNVILWLDHRPMEETTKINATKHN LLRYVGGTMSVEMEIPKVLWLKNNMPKELFDRCKFYDLADALTHLATGNEKRSFCSVV CKQGYVPVGVDGSVKGWQEDFLKEIGLEDLTEDNFKRLGGVDGVNGEYLSAGELTGTL SEKAAAELGLNAGIAVGSGVIDAYAGWIGTVGANVPLQDHELSADRPKNDQSQAFTRL AAVAGTSTCHLVMSQEPVFVNGVWGPYRDSIIPNYWMAEGGQSATGELLKHVLETHPA FNHATSMAASYKTNIYDFLNEHLRDMQTKVNAPSISYLGRHFFFYGDLFGNRSPIADP TMKGSVVGLSSDKSLDGMALYYYGAMEFIALQTKQIIRTMNEAGHKISSIFMSGSQCQ NDILMSLMATACEMPVFIPRYVHAAVVHGAAMLGAKAASVGKDGKTEDLWSIMDRMSK PGKMVKPTEMKGVTELLDVKYKVFLEQASGQKMTSSPRSPSRRRSRTRSGTPTAVNRE PSPSRSHLSSPTRSPRRTISSRSRSRSRSLSRTPLARNGSPSRASGDRRYRERSYNRS ISRDRAPRQSSKIVVEKLTKNVTEAHLREIFGSYGEIESLDMPMNRQFMTNRGTAYIL YAHPSASGSAIAHMHEGQIDGAVINADYHRLYRPEGFRPYVVHQATDTDHHRREGVDT VAVEEERMMFTFRTMVIPDHARRHRGGGSDHTAIQGLLREHHLAGEVQQPGAPPEGED GVQATVLIVVTAGAGVGAGQGAAPEVEADTGEDKTFPCRGRR EPUS_03619 MVAQTLHLHFIVRSSALDSVSLSHCGQTWHISKEELDVSSHEVP EFACISYAWGGGRVPSPLDNHHSISDNTVPALTAAMRARPRCTRFWIDAFCVPTQGDS RRATLASMGWIYSLAAEVICVLSPAARSIIDQMSKSDRVDEAAIHAMENDEWVSRAWT YQEVVNSPSISFTCITPGNSSATNEDSSHGLIDWYHFLNCVGYTLSRLPGNALQKSYQ FPCLDAFQELIADWKVAGYQERSALQVLANMDRRTQERPEDRYYAMIGAISRVPPSLS AVGNACEEFLAVCEGKGDYSFIFTATERETEEGRRWRPRSTGNLQSLMPWNLVGKSQP GHLDNEKGALCLEQMVELQIGEPDDGLLSDIYVWLSVQQEPGDEKLPRKESMFKCLKM MGFKGVSSCLYTEAGYFFPWKPIAHAGTVKIMVSLALRWRVGAPGLACERHGQDVVYT PGALIGKVGSKRALSVVLS EPUS_03620 MRRIAFPCSLSVGTDVVYLPRIRRLISKREGRNLIPFAKRILHP LEIRDLSHRHPQWQAQHEKSCVDSESLIKWLGGRFAAKEAARKAMGATTLGWKDVRVE VKGSGEPQIICAIRDIENENIEREAKLSLSHDGDYVVATVLAAATPEISTSRALQDIK SS EPUS_03621 MNTVRFTLNRPKALNALSSPLFRELNDALTRFEEDKGTGAIVIT GSEKAFAAGADIKEMAPLTFSDAYTNNFIAPWSYLTTLRTPIIAAVSGYALGGGCELA LMCDMIYCTSSATFGQPEIRLGIIPGAGGSQRLTAAVGKSKAMELILTGHNFSGKEAG DWGVAAQVFDGSHDDCLNGALETATKIAGFSRVAVTAAKEVVNKSQDLSLREGVEFER RVFHGLFGSKDQKIGMNAFAKKEKAQWTNE EPUS_03622 MDAPEPETTAFDAMSAQTNRVMRKYQAYLDASTPYVPHRWAFTI LLLIAFFLRIVFAQGWYIVAYCLGIYLLNLFLAFLQPKFDPSLTQDEGLEDGGSGDGD RSALPTKQDEEFRPFIRRLPEFKFWYSATRMIMGCFVASWFEIFNLPVFWPVLVVYWF ILFSLTSEFECHSVAAWCTQSSSRGADWYGVNSATADSAHDKIPLRALLNRQSEIQWS AFMNTVAWDTRYEEDHEYKQWKKTLWQ EPUS_03623 MTDPPLASTTLRLAGRAADLSSELYAVQERCGHTGANVESVANE IAMLAATLWRLHEAMAEDPDRYSESFNQDLAEITSELKLVFEEIFECCEELQKADPMS GNAVSWLFKKSKVHHLQKHLEALKTTLAVMRTVLHHGKEYGAQTSSESHHPTMHGDRA IIGTVFATNRNAIIDLHNLANSPETVREVAAKDYGHGDVSALSSECPPVPDVLPAAPE AVLTPPKATPRRSFSRRGMRLGVHMSILDMGAHSAPDALKDKWIQTSRSHHPIKPMMS GARSPRKADVQFPLEDSLSKANLSPEKQPPGIPNHGPKASHGWISSPTAGKLHKMIDH LELSHSATISKLVPHFRRRSSVSKHPTQAMQTDDLGRRRSLAE EPUS_03624 MCIALISTAHPSYSLILIANRDEFLNRPTAPASWWPEPHSQVLA GRDLLRSVHGTWLGVTRQGRIAVLTNFREEGPLPQGAVSRGAIIRAFLTDNHESTAAF VREMVASGVSRDAGGFSLVCGNLGEPLAVISNRAASEAEIPWIQPDAAQTLGLSNAAF GDRGWKKVADGESLLRDAVSQSVESDDNEEDFIKRLLKLLGTDTLPRLGEDGDLETYI AQLRNTIFVPPIGRRTELHADEIAAAARKEKATVVTHSEQALGVSGLYGTQKQSIVLV NHSGNVRFFERTLHDGDGRPIPTGQGDADFSFQIER EPUS_03625 MPSRFSIQSGAVPKSFLEGAGIEARLPAPPLSREKWKCLASSAE DDSILNGSLHKRFPQGKVAELRQELNSGGKKDKNNSAKKIALKKIIANMTMSNNDMVA LFPDIIACMEIPSLEIKKMCFLFLVNYSRMKPDAALKALPLLAEDMGDANPLVRALAL RTMSYIHVKEFVDGTVAPLKKLMTDGDPYVRKTAAFCVAKVYDHDKQLVEKSDLIDRL NKMLKDENPTVVASALAALMDIWERSESIKLTIDYASASKIVSILSDCSEWGQTYILE SMMSYVPQDSGEALLLAERIAPRLSHSNSAVALTCIRVILYLMNYIADEKQITSLSRK LSPPLVTLLSKGPEVQYLALRNAILILQRRPEVLRSDIRVFFCKYNDPIYVKVTKLEL IFMLANAQNISVVLAELREYATEIDVHFVRKAVRAIGKLAIKIESAARQCIDTLLELV KAKIPYIVQEATVVIRNIFRKYPNQYESIITTVIDQVDELDEPEAKAAIIWIIGQYAD RIDQSDELLKDYLSTFHDEPIEVQLALLTATVKLFILRPTKASALVPQVLKWCTEETD DPDLRDRGFMYWRLLSTDPATAKKVVMGPKPPITAESEKLDQATLEELCLSVGTLATV YLKPVHQVFRGARPRRLIESPALQKQKPDPNVPESPSLSFGPFINPTGPQARSPTSPQ QQPQEQQTSNGLAAAVDAADLYFQGLGNQQMAAMSLGGNNGVAGGGAGNMLSSPTDGG YGGGHGPQTGYVVNQNQPQIAGGEDLLL EPUS_03626 MNALASNTALPDLCGEQPQRPVTLPGEFIPAPRKDISLQANTVI VPHMLCSKCKPIRVWLQHNQEVKKQPLPQIFNHYDTGAGLEQAYLKGCHLCTLFWRAI KHQADFRNGRQERESMLKRIRQERHIRVGIHASDLVGEPADKPSALKISSEISGSILH EIYLFLRLWQDELRFLALADMEPSVSSSIPVSAAPMSITTSSSSTLHLVASWLELCVT RHRSCQPAVNYASALPKRLLDLSEEDGTNQVKVVLTDDSFFGTKYAAVSYCWGNSSGY RLTSSTMTKLQAGIGIQEVPQTIQDAIYIARRLGLRWLWVDSLCIIQDSFVDWAREAE SMVDVYQNCFLCIAAVGAVSSNLGLFAKRDPLLYQRCQMTTDLGGRTIWAEPSLSPND DLRADLDNSALHMRGWAVQEWLLSPRTLSFGSRIFWECREHFCEETGRVDGYKKLGFK HDFSTMVLQRTGSAQLSNESKEAIWRLWHKILFAYTRAKLTEKTDRLIALTGIIRAFE RSTGWRNIAGLWEPFMPKELAWKIKEDHPDRALAGPSWSWTAVNGDISMLSTALVEDL ACVAVLRDTRLSDGPDTDPQAMISITGTTFRFTEDSPRGSFCTLVDVHEDLWIIFSRD LAQLRKPEIFLPLVRRRKRHRDIYGLALASSVRWPGLYERVGSVEVRNRNGPPLAEVL FDPARHQTITLV EPUS_03627 MGVGKQSSPPPPPPRWAVELNNPPPSRPKNTSNIPDPPGFSAQR AIGGKQKTSQLPARKPPTQEETDILKLKKSWEIALAPGKQLPMQLIMSYMSGNSLQIF SLMMVAMLFKGPLQAIAATNSTFTKLETEGNKGKMILVKATFVGMQFMLLALGVWKIG QMGLLPTTQSDWVAFEGERICLEKAYFAT EPUS_03628 MTSFLDCLKADSPSSSDNTNKVQVNDRQRSTALNYARNIQYHKS MQERVLDLIVNTVDLPSHSNADPARPLASDAALFKRALTLFQPKDYDDIILERNIYEK CGYALCPKPNLKQDRDLRDRTFRSMKQGCKFRLNTKEELDKWCSLECAERALFVRLQL RAEPAWLRSTPTEDVRLLEESGQKAATEDLVGTMQGLALEEPLDVDLATSLQSLALDQ AKKNVIQDRIHALSIERDKNRSTEVASVTATGIKEKETFENPSAPSVPHHASDSVEGY QPRNLRLGQQAESKGYNDFDMNT EPUS_03629 MATVPFKVKAVYEYSSPHDDDLSFPQGQIITVTEEEDNDWYSGE YADSTGGKHQGIFPRNFVEKYEPEIPSRPIRPTRTKKDAEIPAPAPPPVAATTHGASV GVTAAQGREDSQKRPDEVSSPRSGSSASAFPTQQPARQVSSTTASKASEPSPTKPANK DVPTESSERPSGGSFRDRIAAFNKPAAPPIAPFKPGGQGASNTIGFIKKPFVAPPPSK NAYIPPPREPPPAKVYRREEDPGLNETAEDSEASMPLPGERAEVGGDDRPKPTSLKDR IALLQKQQLEQAARHAEAAQKKEKPKRPAKKRTESQEPIESSEAVADADVEGSDIPET VGKKSVDFADDDSEPLDRQGGRLPSIAAHMSTPPPPSRELMSDTNDADNSAAGDTEEA DDTSTSREDNRETGRRANVTTTQDELVSEAGEAEASDEEAQEEQDVDPEIKRRMEIRE RMAKMSGGMGLMGMFGPPGGMPPPGKKARASGEGERDVSGTQLQPEAIERAPPVPVPG MFNVKNPEPKPTQTEEDSSADEGTRHTHAGQQADEIEQSDEEIVEPPKPPPRSSTDRA PPPLAQARAVPPPPPRQSRTPPPTTPAERPVPGVPSSLPQGRGAPPPPPPPRSSMPLS PGELSDDEMSLHESPMSQHNIAEETSRPQSRDVLPPSTAPPLPTGRPEGESSLPSQTS PTSSLEKEKRTSRGLPPLPPSSPITGSAQQFRAPPPPPPGQPPSRKSTSQSRHIPVTT QREGADASEGEVTEYDGDYDTDIASSAKHKDALKSHARDSSLDDDTIADQVASKQSAS PPGRGPPPPPNVSAPRDVPPLPPSIHTGKQSRKSSDVPRAAPPPIPPPKEAPQADDEN AYDPYQYHAPDHDISSPTSSQPFSPVIKPPEPDVDDDELYDASPRSARAPPLQPAQGP PPSMPIQPPHAQQNIPRQSLDILRSQTAARRSVEAPRPSSEHGFMATDIDLAPSSQWY AQTPDVPPPSLQSRNDILYEIESSTSSKRGGKSTISKDIYILYMDYSQTVITANFDAS DPTSVVLEQRHERPPPPPRQDQLESASSQFGTRIASSVPSKASITVGDGTAHALILHL LRPLSPHVLQPVGTRAYGAPVYSNLANASTQQFDEIRPGDIVTFRNAKFAGHKGGLHT KYSMEVGKPDHVAVVADWDGTKKKIRVWEQKGKDGKEKDGAAKGAKVREESYRVSDLK SGEVRVWRVIGRGWVGWDGGPK EPUS_03630 MGRTVKKSAKVIIERYYPKLTLDFETNKRLCDEIAIIASKRLRN KIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDFTQNTENGTLDVDV DTKDLLKSMGFDQVNVTVVPVTQQQSVERGGRRFPGERQTRT EPUS_03631 MAAARLSPAASVLRNSRLFSIPPTLNPPTSLTSDSATQPYPAHA AIETPPSSWDRGDWGFKRSLPLKSTTRTGTTTIRVRKGIDSAEHITDFESAGDHVLTL RKWKELHLPLRFTKKRTRDENTSVFEARVDNISSSTSSTHRRWRYDGPWLAGQPGWEF DALLKRVRARKVEFQEFVRARLNAERTISRRQEAIDAGQDLNKERVGISDEEFDEHVR YLRATPSAFGPLIAEFLDLPEGPKGTEGAASPKDGFSYGRTTVAAETYSEAGPPRTHP SAGLSYYRSGAHLPNHPTYGPQQFNAPVVARVLKPSIRRRMGDVGVAGFVIPPGPASH LLDTKPWQPVPGGLKMVVRPTKAAIGSDGRVMLNVLKANDDLKKVYNTFLEDKPRAMS AVSKTRMASMPPLDEQRRTETKASRETKPHLDMLDNLMYANEDREHI EPUS_03632 MIEEKVRLHITPFSKDLAQSIVSAQSSVAAESISYHTLDTFPEH SYGYIELPTMEAEKLKKKLNGSILKGKKLRIEHARPQKRRFEREQGEEGRISPVGEEC RLSKKPRKSVSELQGHELAPERKVKRGWTEPEKGNRMGTSKSRSASRVTSKYTDKPEC MFRVQLSQHKKIETTGLSTTRTDKDMKKGKKATVIHEFEKSVIQPSFIRSNVATGNAG VAAEYIKGKGWVDTNGNILEESTRQLRSRSRTDQSQRMYEASETKVVREIQAPLSIEQ GSQSEIDRSCGQPKQTSTTTRKDQVSSGHKNAVKEVLDEETSSSGTSSSDSESSFASD EGLAQEAEQELASKLDEAEAEHPRMSKDASEATPTPSAAVHPLEALFKRPDQAASQAR GRRPLEIKTSFNFFEPDDEQTVPQTPFTTRDLQLRGLRSAAPTPDTALPTRRFFSESM SPSSEAGNDDRANTEGRSGEKVESDDSRVNEGESGFAKWFWEHRGENNRAWKRRRREA LKEKRQKENRQRGRRSG EPUS_03633 MGNSQTKEARQQGTASSARVGVESSSTGAPTSRTERIPDQLSDR PPLASYASTGRRGSRPDLSFLGIGSNSERDVSSLEVRRETKQEREARKLEKERAARLK ERERSMKEEHIDGGYLVTQGVYVGTEDYNKAIVRQLMIERRLAPFWRGLNEFSESWAE HQLMAAARGMPIPPADEVPPELEFQGKSDPSINLQPSDQNSKSLTVPSSARSLSYTSS ASSYPTPSFAQQGSPQGSPPTSAPIFRTRAKTLASLTTSSKSNPQADMTPRELHLPRD PTVNGQSIEVFLYKDASECPICFLYYPPYLNTTRCCDQPICSECFVQIKRPDPHPPEH EQPDTNAPPASEGDRDLESEGQLVSEVATCPFCKQPEFGITYAPPPFRRGLTYSAGSQ PLGASSATSSQTSLSSTGLSPSSSRRRATSLSATAPGVITTDKIRPDWASKLATARAH AARRSAAATALHTAAYLMGGSGQPDPRVFAPFGRRNVLRRQNFDANGNSAHLDALAIL AERHNAREQEQGPQSDGSFNPFLPPPRGSSSRRSRMDELEDMMMMEAIRLSLAAEDDR RRKEEKEAKKEAKKKEKDTKKAEKAARKAGLHAINLNASHAGTDSGPSSPLACVESAS SSFIEEEPITCGKGKGVDRTGTPPLGITHRNLQDFSSSSAPTGQQDLSLQFNALASEP SKRSHLRQMSNASSSASSLMDPGSSQAMTGSNTPPGGGAGTEPMFNFRSLAAMIGDNE KGEDGVHVEITTRPHADSDQSGSTQASSVPSDVRSHPKHETSEAGDVQSS EPUS_03634 MATFDIITAESFAEESLQGEGRKRQRYREAVSCSECRQKKVKCD RSLPCNQCKIRKVQSKCTFTQTKSLRSPQSRASARNPEKRQSAAFQPHAQNPQKDFQE DFGRHENQLPGFSPRSTNYLAVPSGKDWTPETSMNELSDGYAGHQSAQQLQLQPQSSS WPMLTPPRIEHSQPIDTNGLDTAVNSRQFDLSMNSDIHFSRVPRQNEFFNGTNDTDTP SGQLPTTHFPHSFPISTMQQNTLDASIVPPTFSAAGTMHMPQPTTLITNQLLTNWVDD EGTTNALPWNFDFNWNS EPUS_03635 MSPASTYTSDDGPSAPSYDPNPPTVAAFSPQNLSGASTSKQRST IIVHKKSPLLVATPPQVTRALAYSHPFLLPLNQLVGLLSWSTNDPWESFLLVAGFWAV TLYGEELLLWTGPILVVIGLILGMYSRRYSPLSSTSQTGEKHGHKREPSDIKPAHHKS LDEIVETLRTFTTRCNILLEPFLSLTDFLSTQRTATSATTRPALTTLFIRILFVTPIW IVLTLPPFYILTSRRIILTFGTIALTWHSRPARVSRVILWRSLTVRRICSFITGLSFG QDPVAPFGSSTSNKLTPPPLPPRRKSKSQDTAAADLTMKHRAASPGVRFTFILYENQR RWLGLGWTSAMLAYERASWTDEHLNPAPSKDRFELPYVESETARWRWVEGSTWKIEGG TNITNSPTKSSSKGPKTNQSSAADDGGGWIYYDNKWNDGKRDADSWGKYTRRRKWYRD AELVEVTSSTEVTPNATPNEAAALSEVEKDNDSNDQKLKTRPSPSREPNHRKTPSSSA STSLQPGTSGGDAQPENEKVDLDSSSAKASSKRKSWFGNGKNNRERRDSKSSSLGKKS GESGSSVRTDPEDDHVDRWKSQENEGGRTFGLGEDAVMGLS EPUS_03636 MSHAASAPPSATPQRDRGNPHKFRRDLVSVLLAHQNFISAIASY AIFQPVAYLLATPRVFSSSNLLPSMAHRILAQVVITGSRVLGRAFAEAYKQASASQKY ASAAAKDNPQAMNNLASSGLTLDEACKILNVKPPMAGKTNMESVMERFKRLYDLNNPE KGGSFYLQSKILRARERIEMEVREAERKAARDQELREGWRPKVYQDR EPUS_03637 MPAPPTKLSDTLPPLVFGTATFNFQFNPDPFALPTTHLVHRALS LGVRAFDTSPYYGPAEELLGTALDTEFVRSQFPRSSYHILTKVGRIAASEFDYSPSWV RHSVRRSLRRLCTSFLDVVYCHDVEFVSAAEVLEAVRELRRIRNEDHTVKYVGISGYP VHILCDLAEMVLRETGEPLDAVMSYANFTLQNTRLLTEGVPRLVAAGVDVVPNASPLG MGLLRRQGVPVGALGNWHPAPDDLRKAVQLAGQWTDKQAEKLEVVAVRFALESWLREG SKVGTHGDPLGSADSVYSTSWPRRERLGVSVIGVSKLEELDETMRVWRSVLDGFADDL DAEPGSITPNDALSNHDLSLQRRQQIRTLAKGIRELIGNYADFTWESPGKDYVNQRRV KGVVEEDDLSSGTVEAPAAMLTPPSEAEDDGMADDVPAVDRNA EPUS_03638 MCSRYTILRVNTATGLAKFYKSPTEFSCISNPLHKIPISQLNDD YCDCPDGSDEPGTSACSHISPLSPRIPSVNPLSDNITLALPGFYCKNKGHIPSYLPFN SVNDGKCDHTLCCDGSDEWQHVGGTTCPDKCKEIGKEWRKKDDVRKKALGLAMKRKKE LVAEAANLRHLARSRVDELENKLKSAEEKMKETEKVLEETEKSEKSKMVRGEAGKAGR AGILAGLAKARIEELRSNLVEVRKQRDALSGQLKELQTTLSALKEEYNPNFNDEGVKR AVRAWEDYAARDKEGVWEAAKDRDLDEISKPDDESNGINWVEWESGDDSTTGEAESIL YGLSAYAPPSLRVWLDSKITSFRTLLIESGILADNPGSSSSVESPAVTTARSRRDSAK TLLTTTQSDLNNAKSDLERDYGADDVFRALKDKCITKDSGEYTYELCFLGSTKQKPKK GGGDTTMGNFVSIASEYVDSDVDAEGKGLGVGERVVLKYENGQHCWNGPTRSTVVLLA CSAQEEIWRISESEKCVYRMEVGTAAVCEPDDGKETKRTVEKDEL EPUS_03639 MAGSGCTLCWLIPTNKAALDIVYDEANSASRDTVPVLPRPNQLS ESLAADEKERLDCLRVGFDHKPIQDCLVAFGRDPYRSDIFLRETHYSRTQAYLYIHPT SLDLICRDYSSFQTTSLLFDEDCGPVGGWSAVEHFVHEQAPLPSSNPVLDLCGAHFTF QWFPPSEERELRVAKEHFLQREISKNDRRTLTPWDLFSHGKPLSIDYPGQCGYRATIN PLALLYLPSDIRYMISRLVLLQSGPITPRTCPSTRKEDTKRYHSVHGCTDLLNYSHQL LNPVYSSLSLATEAADIFYRQNVFEISIHDFPSFMWTLRHMPSLGAFDTLSTLSPLRL WVNCDAWPTIAPRYEPRWFAPQIEAAGVHRTRGFIKRGYEWSDSDREQLV EPUS_03640 MELHIRKEQMCWSLQETCPDSDAPLYIFEDITSTIKECVRDLRR FLHSQRWNWADSRRSRACDSEDVMEDITAWWHGSADAAEDEELACKENLDWVDEIAWD RHWVDDDWKRKGAIEAAIMRLRVAEWVKKL EPUS_03641 MPSSIHFTTPAESKTRSSSIYTRPPKKQKMSITQTYFLAHSARG KLSKEASRADHDLRLLVGHANLLDSLMLDLASAEQEQEQWFAKSLSQSEESVEEPKAD WEAEDADESESESDSEYDEDAEMIEATTQAPNVTVTEVNEEDADFEEDDEEDYGDLAL TRTASRHSPPELSSDSESDSEDEPMPPSPPSTTFDAFTEHQRQAIGNPQKDASLSESQ QEAFIEEGFFLPSRSQQLQEPQPSMIAAC EPUS_03642 MATRTISSPSASFRFLTTAQVLRLHSRYINPSQPAVQPALLDSA THAPVNTLYFGSANQHNVFYLAANLAQKLMLNHPFQDGNKRTSLLTARVFLRINGYQM KPMEDGPEVKGNGTMKLEDAIVGTVTKRLDVGMLKEYFEAISEVVEK EPUS_03643 MDDSSSYNDSNRAFVQAFLARGTLTYETSKPLLASIFTVHEGRE ILPNDITEADLRSYVSAANLALSPLDLEIRSTFHQSSRERVYALVNTSSDALTQMATT YSVEEMGFVKRVLDWMFDGPANTRRSEAMCISGTEAVNLAKVAGGVRRETQNGNTQGG GGSQGLSMRDAEGMMGRLVEEGWFEKSGKGFYSLTPRALMELRGWLVESYNDLEDESD DRGDRIKFCQACKEIVTVGQRCPKRECPCRLHDICTANFFRMQRNRNCPICKVEWDGK HFVGEKAITTSERYLQGRRRSGGSRRARQEVDEADENEEA EPUS_03644 MRVSQTSGSCFILSLSYLTFVFAQDVRSVYPTRFANVSWNNADW QLETTNLDQGHYQDRIALANGYIGSTVAALGPFFEVDIPVDGDNIGGWPLFSRRQTFA TIGGFWNSQERTNGTNFEWLYQYGGESVISGIPHWAGIVLDLGDDTYLDASTSISTIS GFSSMMDYKQGIMNWAFTWNHSEDVSFDISFQMIIHKLYVNQAMVQMQVTSSTNSNAT VVNVLNGDCAVRTEFAEKGKTENMVYSAVHPSGLNNVTGYVYAGMTLGGAESSVSAWD VMSRPYIGNNESSIAQAFDVALVAGQTATFTKFVGIASTDAFEDANSTAVEAAMSAME AGFDAVLQSHVDEWAAIFREDSVDSYNLDDGSLPDDEYIIESAIVAVVNPFYILQNTV GVNALAAINNNTLVNQHSIAVGGLASDAYAGWIFWDAEIWMQPGLVAAFPQAAKGIAE YRVYTYSQALDNPSTAYQSSKNDTTFSDNAAAYPWISGRYGNCTAAGPCFDYEYHLNG DIALEFENYWVVTGDTEFFQQELFPIYDSMATFLSEVLEENADGRYELKNMTDPDEFA NGVDNGGFTMPLIADTLTTANMFRSKFGIEPNVTWNEQAENVLIDRNENAGIILEYTG MNGSINVKQADVVLVTYPLSYKGMNYTQENSLDDLDYYAAKQSQDGPGMTYAIFAIVA SEVSPSGCSAYTYQQYSERPYVRAPWFQFSEQLLDNFEINGGFHPAFPFLTGHGGANQ VVLFGYLGLRLIPDGYLHIDPTLPPQIPQIRYRTFYWQGWPIEAHSNHTGTTLTRLST PLETANATFADSPIPVIIGSDNNATSPMLSLPPNGSISIPNRQPADIKTIPGNLAQCQ PAKSPSALLTAQRARPGSPLVLTLRLPCTVELPVGGEGSRVTSFYFDWAQYPPVSYRI EFHNGSGSDTNPQFYDPTTAIVVASQDPVEVAIPFDVESVADVVPYSSNTTLVTLNEA VLIGGTDGARYATLYIRGNQGILGEDKFDSSEPGGEVAEWGIIVDDGSNNGQDLSGPV FNKGGRGRSNVMVRRDTGQVLDERSAKAVMVGDEARDAVLAKYGQYMRRSANRERRSR EPUS_03645 MGIPRLIQTLQPFAERAIIGNNNPETQLTSNDTTSIHPRVRLVV IDGPSLVYHVYHRLLSLKAGQQEKENAKPDNTPRHIELSTRHPLLFQPSYSEIIQSVL AFINHLTDQHGIEVQKIYFDGALPASKSDVRLARLEDGRKKLQEFRQLQSHLGSQSRF VHRPIETTSSIFSSISKNDTQTVKRSSDGVQQQLNPEALFHYASPLPPSFKAMPTPPF MVAAVIDHLRSQLDLQPATSFSSNPQPLPSRSALIQLVPAEADAYCAAYARKKGAAIL TSDSDLLAYDLGREGSVILLDSIRLSTLSEEENAKQVLLGTRYHGPSLTSALGISCTL QRFCFRRLLDPTISTSELKSRCRKPLNSRLGAGMEEKWRRFIQQYSTDDVDCQQEEEA YDLARYSHKIPPTQLQGLDPRIAELVTQLQHPRPCSSVSGTEDKGPNNEETTGDEDGE YDVHMYLPLLIEDTSRDSAWSYGSSVRHLAYTILLYHTPILPPYIAAAKRRRARRIKE YQRRGSRIVGLPLDPDLNAASNEIEPQVEKLLQSIRSHEQHFASQSETTIETTLIASP SRFWKSFALHLVSEQRLLSAKPPPNNNWAESYLTPQHMPYLPSSWDDVHNQASVEAVL YNLRILKQIASFAIRYDSSRTNEDESRDKNGVTERVRALIDVLASLPPIEQLMDPEQV AMAPPKPEGQARATHQRPRHDGRKDAAAREWHSQPRAVPMQSFK EPUS_03646 MSGLDKALFNLKFTSKQLNRQAAKADKDARAEQAKLKKALTQTP PQPQIARLYATSSIRNSKQRVDLLTLAARIDAVAARVQTAITMRTVTQSMAQTVKGMD VALKSMDLEKIGAVMEKFESQFEDLDVVGGYYEGVAGGVESQQVGVEGHGEVDALMSR VADEAGVELSQGLEQGQVPNEELSNKEGKQKEQAALEEGLGDRLRALRN EPUS_03647 MSSAVDLPAMTLASTLSSSNPSSLAHYATLTAEQSLSAQVDHGN AKPNMWGAFCRFVLFVLRIIPGILVWLITFTTITLPTWLFTLFSTTLTFTMNATTLLL IVLAIVSTVSWFVRYRFLNMYTRLPPEPQRKEAQIDLFPDSQEGDSKPGLANYLDEFL EAFKVFGYLERPVFHELTRTMQTRKLIAGETLLLEEEKGFCLVVDGLVQIFVKSLQGR HRSKNEEHSGGLFGDEEDQHLQGNLRYQLLTEVKNGASMSSLFSILSLFTEDVKLRTE DQHSSGSSFGQNQRTPDSAVMSPVEFPPSPRKGWPADGRPPTRGGDTKEQLPSVPPLT LDSMTPTSEQEAHQSKRSSMRGRGGKTKSAHPDIVARAMVDTTIAIIPASAFRRLTRT YPKATAHVVQVILTRLQRVTLSTAHGYLGLTTEVLHMEQMMNKYTSYDLPNHLRGDAL NRLKDKFKKERDRIGHEESMKGIALHNPVSNRRRSSSTMRKEALLSSKLAATRSSSLS VSTLNHQLEGERHVSPGDLISNMQISRRGHRSDQVHSPRTPYAPSIHPLTPLGSPLIE QEQPLFRPGPQNGLLHRQQSVDEDSILRESVLGCMIKSLGLTMNALDALPKGNSSVEQ SPRLISYDSRRQTAVFNNAFGFMDAYETSADAESESAISNSGTSLAGTMHDRNLNDEL IDDVEIVFFPQGSVLVEQGERNPGVYYVIDGFLDVSVPVDEKEDRSSHDRTGFPNTTR QSHSSEDAFPTLRKTITNSSRNSSVRGKSRDGRRHQGRKSLFLIKPGGIAGYIGTISS YRSFTDVTAKTDVYVGFLPRASLERFADRYPVVLLTMAKRLTSLLPRLLLHIDFALEW VQVNAGQVIHHQGDDSDAIYIVLNGRLRSVLEKEDGSMRVVDEFGQGESIGELEVMTE SPRAATLHAIRDTELAKFPRSLFNSLAQEHPGITIQITKLIAQRMRALIDDPLSETGS HNSSFTGTSNFSSSVNLRTVAILPVTAGVPVVEFGNRLLTAFTQIGISNGVTSLNQAA ILNVLGRHAFSRMGRLKLSQYLADLEEKFGMVLYIADTNVNAPWTQTCITQADCILLV GLAEGSPNVGEYERFLLGMKTTARKELVLLHAERYSPPGRTRKWLKNRVWINGGHHHI QMAFRTTPETTPHPQTRRLGSAIKQRVQVIQAEIQKYTSRRVRQTPSYSAETPYKGDF YRLARRLCGKSVGLVLGGGGARGISQVGIIRALEEAGIPIDIVGGTSIGAFIGALYAR DADVLPMYGRAKKFAGRMGSMWRFALDLTYPSASYTTGHEFNRGIFKTFGNSQIEDFW LEFYCNTTNISKSRSEIHTSGYVWRYVRASMSLAGLIPPLCDEGSMLLDGGYVDNLTV AHMKSLGADVVFAIDVGSVDDNTPQQFGDSLSGFWALLNRWNPFSPYPNPPTLSEIQA RLAYVSSHDALERAKTTPGCLYMRPPIDAYGTLEFAKFDEIYELGYAYGKEFLAKVRE KGDLKGVVGDWDESEEEKGLRRTMAPRRASI EPUS_03648 MASSVPRTVYLGTFISAPHAGPEAGLEIRDGAVLVSAGGVIEKC DWSVRSAEEARAVFGVGEEVGVRTLGEGEGNGFWFPGFVDTHIHAPQYPNTGIFGQST LLDWLETYTFPLESSLGNPNSPLYTSHPTHNAPTDPLTRAKQIYRRVISRTLASGTTT AAYYATIHVEATNALADLALELGQRALIGRVCMDEARTCPEYYRDESTEVSMANSRAC VEHCRTLDPSGERIKPILTPRFAPSCQLSTLTALARLAKQEHLMVQTHISETTSEIEM VRRMFPDSDSYAAVYDDAGLLGEKTILAHAVHLSKEERALVRERKAKVAHCPASNSAL GSGYCPVRTLLEEGIDVGLGTDVSGGFSLSVLEAVRQAYLVSRTVAYHAGGNTKYNIG LAEGLHLATVGGAKVVGMEGRMGAFREGMLWDVQEVGLGRVDGNGKGESSAVDLFGWE FWEEKVAKWVWNGDDRNVKRVWIGGRLVHGQD EPUS_03649 MASIRYGRVTVPRPCIRPLLNRLQLGINHSWSQKTTSRGLGTAP SHHRTRLPFPLAVSRAWGLQRNQALRDLLLRRRIGSKPSFTAPESLSSRRLSQSFTLS DGRTLGFAEYGSPNGIPAFFLHGYVGSRADGVEWHDTAARLHVRIICMDRPGFGLSSF QPNRRILDLPGDLRQLARHLNLRAYYVFGQSGGGPYALACAYALPKGEVKGVGVVAGM APWEFGSKGMKWQNRVIFNALATVPRLVRIFVETGSGCRGCNAREGTLFTKDWGFRLE DVKNHRVLLWWGTEDENAPIDMGRLMAQRLPNAELIEFKGDTHYTLIPKRYPEILARL IRGEERERRSPILARDKNLAFSWSDV EPUS_03650 MGGLAFADEQPPLHTPRMPPAVYGSARDHLLSTLRSFYARAECP IEAPGKTDYGDIDILVAEPRHVFDAHHISAATKAVKHKKQAGSQTTHFAIPWPKPPPK PPAKDSAADAAPTTDDDDGAKYIQLDLHLCHPSSFHWELFHQAHGDLWSIIGTHMRRL GLTANNSGLYMRIAEMEARNKNAARILLTTSPADTLRFRTRRRTGAGSRARTPCLPTR PGDLKANDRARARKRPLFRSWFEAYLPAHVDDEPVDAVAAVMSREEVVDEAKRWFGVG EAYDERRRRDLQEINREKMWTQIRKESGIAEDSIGAVVRGVKREVVAAEEAEQGVHLT TLQRAYTHSDFDTAVQWVRDNWREIEEKQRAYEKEKSTMNLLAKLDRMRAEGKADDST RLGKAKKQLAPDAASQETGLDLNGA EPUS_03651 MAPALESSTSNMTGAPAGESWEQLAEDLWLSGLNAPNSRPEIVK SKIWDRLEGEAFNWRSLSSLESLQILERCKDIFVDRPEQFSSLFHRVLSLNLDSTLPS FAKLSLLSFVVVAFQSLEKPIVRKESAPLVSISIWHNLHSEAVRDKVLDQSAVARKAW RAAHKRYEAADDPSKAKLRFDRSWLYTLLLDFLRQVNDTGSVTESALYSQRLLEFLID LVSQLPTRRYVITVIKDLNLLPILKLSRLFEDERNSLIREQNLLLQHFVDFVVDDYSG HSSKLKTSYESHCNALSNLQRVAMKHFEAKLKVLAFSNYASIAERSGLESHLGALSEE ELERLCSLLQLRTQYPRQANVPIGRRLYLETLLAAFERPVDFQSMVSDFSTLPTEADL YDQSLLRTEEYDGSQPLPIPKFNLQYLNLGDFLWRSFLLYRSEAFFEIRKDIESVVRR MRPRPGADASSLRYDGFSRMGVPILKPAIIEVAQPQVGSSKPAFVRAEIILDVNHLNN NARVGWDDLRPNDVVFLLCVKSSDHSPISMNGDSTIHPDYDWKIKYARAAEVVQVLDG NGRHLRDAQVPQTNGSVARPAQRRLLVNLDRVKYKGDLDRVAQGKPDIYQSLNVIVRR NRKENNFKATLDTIQSLVAANTHLPTWFRDSFLGYGDPCSSHYTHLSNKVRSLDYRDT FLDWQHLEQSFPERTLQPAAELGSIFPPPYVLQLFDEPSETEPTNPRKRRREQMESDN FATAPIKVSSYTPPKTGPYPMDAPRLNKIRFTPAQTEAITSGTQPGLSVVVGPPGTGK TDVATQIINLLYHNFPRERILLVAHSNQALNQLFQKIVALDIDSRHLLRLGHGEEDLD TEASFSKHGRVESFMDNRSGFLAEVDRLAASMNVEGAHGNSCETADYFNKVYIQPAWS RFWDQAHSPAASSDSIIQNFPFHIYFSNAPNQPLFSPDKPVEDLIAIAAGCEYHISRI FTELEAIRPFEILRQPRDKANYLLVKEARIIAMTSTHASIRRAEIASLGFHCDSLIME EAAQITEIESFVPCAMQNPDSQSGELPLKRIVLIGDHLQNSPVIQNLAFRQYANFEQS LFLRLVRLGVPTINLDQQGRCRPSIAELFQWRYPSLTNLPHLNQLPKFARANAGFKYD YQFIDVPDYQGQGEREPSPHFIQNLGEAEYAVALFQYMRLLGYPARNISILTAYAGQR ALIRDVLAHRCTGNSLFGLPRMVSTVDKYQGEQNGYIILSLTRTKSVGYLRDVRRLTV ALSRARLGLYILGRRDLFGSCFEMKPAMQILERRPSKLLLTTGEMYPTQRLLDDEVEG VEMDGVEHLGQYVYEMTQAKIKAFGGEVTMVRDGDGNAVDEGYAGEAHVVDLGFEEEE DPLHEQVAP EPUS_03652 MATQIKAGAVRTFYSPEKDTDRIDVGYHDPRRGQNNQNNMNLGV YHSKSRQRAQNVQPEEDESQLEISGSSSISEESENDGGSQGKKESSVSHPLYPQHRAN PFAEYWQSIWRNLLLFYAYLVGRTGVRVGGMFED EPUS_03653 MAEEPIDLGSIKDRYFIPEIDQKPYDIWNEVLQPGSHVTPAEAA KRINELFPVRKPEDTDKSYAEKAEHFLEYFWALLIQVVQLLPHEHQAQKKVVLILEEL SRLPPITITRLQLPEKRVWTDLPFLGVCMRDDWASPTWKGSLPDKDSAQQWINQNSFA ARLLNTGLARWPNFAVWEVRAVLEEPAMHRTAMNCNVATAAEWILHGGEAVFSYMSKD MDEDEARWVAAGSLFPGKSGSLRERWKFWKIRFSEVSQQVDEDVREQAIRAVEKMEKL EQGGT EPUS_03654 MSAVGNLVSIQDYRDLFSHHLAKLDIVKSGLGIEPPLTEVDCAE LVTLVEAKAVNKSPEQNSKAHYAAVETVFRERFYSLLQTESIDEPSFTRIWNLLDIVA ILSDHELCEPGLIFWLVEELLDSQIIDGCRRVFDYLESRRERITAKHFAQKHLIILRS CNELLRRLSRAEDTVFCGRVFIFLFQSFPLGDKSSVNLRGEFHLENVTVYDKDNKKSA DAIKPMDIEVNPDRTVSEAGTPAAASTAKEETPGPGKEHPKSAKDDSPPDLDSLYPIF WGMQANFSHPIRLFQPENLTSFKESLAATLDCFQKVHSNILASTNRLDESKRGMKRKR SGSGLEFSNSVNTKYLTNRDLFDLEVHDIAFRRHILVQALIILDFLLSLTASAKLKLS DSMNKAVLYPFTLSEEDAAWALSTKASIASYLQQGNGNEGKFYYRMVDTVLSRDKNWV RWKADSCPSIDRPPVKVEEYLDAQQRLKKLCSKHALPPPPGAAELDFLSKNMMLDSLK DQVKLSIPTPEAFYKGIKNDELDAEMGTPEEIKAAIDAKQSKLWRALRASKSRFNLCE RIDNGKNLDALLQENMTPAPATESAELITETD EPUS_03655 MRLDVKRQLFARSERVKGIDFHPTEPWILTTLYSGHVYIWSYET QAIVKTFELTDVPVRAGRFVARKNWIVCGSDDFQLRVYNYNTSEKITSFEAHPDYIRS IVVHPSQPFVLTASDDMTIKLWDWDKAWKCVQVFEGHSHYVMGLAINPKDTNTFASAC LDRTVKIWNLGSNHPNFTLEAHETKGVNHVDYYPQSDKPYLLTTSDDKTVKIWDYTTK ALIATLEGHTSNVSFACYHPELPIIISGSEDGTIKIWHANTYRLEQSLSYGLERAWCV SYQRGKQGIAMGFDDGAVVVKMGREEPAVSMDGSGKLVWARHNEVVTTVIKGSEHGLK DGAPLSLPTKELGSCEIYPQTLEHSPNGRFVSVCGDGEYIIYTALAWRNKAFGSALDF AWGSKDNSNDYAIRESPTSVKVFKNFKEKPGGIDVGFQAEGLSGGVLLGVKGQGGIGL FEWESGNLVRRIEVDPREVYWSESGELVALACADSTYVLRYNRESYLEGFNAGLADED GVEAAFEIVTDIQDCVRTGEWVGDCFVYTNSTNRLNYLVGDQTYTIAHFDQGMYLLGY IPRDGRLYLADKDVNVISHSLSLTVVEYQTLVLRGDLDSAQSLLPEIPSDQLNKIARF LEGQGYKELALDVATDPEHRFELALSLGNLLIALEIAREADAEHRWKTVGDAALAAWD IRLAEECFTHAKDLGSLLLLHTSTANKDALKKLAAQADAAGANNVAFSALWACGDVEA CIELLVKTGRVAEAVLFSQTYRPSRAPGLVKRWKEGLEKNGKGKIARLIGQPPDKEEG LDGDQELFPEWDEYLMLEKSSRMGMESVEQRLIDGEGDRVKGTNGKDGNGGADAVARA NAISQVPEDPDPVAAEAQDDDELS EPUS_03656 MPPAAERREAGAVTDDQSGSANSSANSSDLTSVVPLAVGCTILL VLSFCIFIFAYRWSRLRRGMIERRTQQEKGWMRAEPTGPGQDFDQRERIWKTPAQTGP AGGTTERDSFLTVLSDAPTGDEGLSETRALSASPSPPPPAYTTIAMTT EPUS_03657 MYGYFTNGSQFENSPEELAKYRQEYLRVVKALGSNNDGTGPEEV DVTLTRQSLVMKSICIAAGNFVSTWPIVGKVFKEIARLVGPEAYQETEGKIPKQGGGK EDLDAPQNPAHGKNKAGDEVQEEAEGANSGLESDSEESFIWKDDMGEFRLWLIAHTLV DDSLDEQRKALLKEGFDRLLARG EPUS_03658 MSWKLTKKLKETHLAPLTSGFGRSSSTSTLKSDDPPSVPEITSG ATTPTTQSVTSANGIAASEALVSPPAAPTKPGILIVTLHEGQGFSLPPHYQAVFNNHF AQGAVAGSARPNSSYTGGSFAGSYAGSNRPASTSGGINAAPTNHGRYNSRYLPYALVD FDKLQVFVDAVQGSPENPLWAGDNTSFKFDVSRETELSVQLYLRNPAARPGAGRSEDI FLGGARVTPRFEETRQYIPDPRKSKKDNDKAEAMFTTQEKQAGQLGAQWLDVQFGTGK IKIGVNYVESKKGSLKIEDFELLKVVGKGSFGKVMQVMKKDTGRIYALKTLRKAHIIS RSEVAHTLAERSVLAQINNPFIVPLKFSFQSPEKLYLVLAFVNGGELFHHLQKEQRFD INRSRFYTAELLCALECLHGFKVIYRDLKPENILLDYSGHIALCDFGLCKLDMKDEDR TNTFCGTPEYLAPELLLGHGYTKTVDWWTLGVLLYEMLTGLPPFYDENTNEMYRKILQ EPLHFPGLDIVPSAAKDLLTRLLDRNPERRLGANGAAEIKAHHFFSNIDWRKLLQRKY EPSFRPNVVDARDTANFDREFTSEAPTDSYVDGPMLSQTMQQQFAGWSYNRPVAGLGD AGGSVKDPSFDNI EPUS_03659 MPGDHEAFQLQQSSASAQYAPDPISTSQSGKRTSQPRRARRPIR YTQFFESFDEDAEEERSLRGDSKAWQSTDSEAEEDARIERSMGVIRKNAASRGSEGGT KYHCDVCSADITATVRISCAESSCVDYDLCVQCFANGETSNNHDPRTHRYCVIEQNSI PIYAEDWGADEELLLLEGAEKYGLGSWADISEHIGGYRGKDEVRDHYINTYVNSSLFP LPELADPKDIRLFEKIPKDVFQARKKRRIEERKEAAKSAPPATPKQKPTASVPSCHEV QGFMPGRLEFETEFANDAEEAVQHMQFEPGDGLDPVTGEMDEETTLKMTVFDIYNSRL MARMERKRIIFEHNLLEYKKNSLIDKKRTKEERDLLNKAKPFARMMNHEDFESFTKDL ALEQNLRTAIQQLQEWKTMGISDLKHGEKYETEKQNRAQRAQPQGQFDRLASSIQKKS NQPQADTITEVSKLTGPELPHRFQKKSKTVPQFTDTKPPVLNDFDKMFAEASNGALSP PVQKPKMRYVVQPLNGTTPWKLEEERPAPPDFQLLSEEEIQLCNALHIRPKSYIIIKE ALLREAMKQGGFLKKKEARSICRIDVNKANRVFDFMIHSGWITKA EPUS_03660 MSTTVHVQGISSQTSEKEVRDFFSFCGKITSLSVTPSSDAADAP KSATVTFEKETAAKTALLLDNTQLGPSQVHVSSASSIDDIASKAPQSPTTGKDGDGHD IAQEDKPRSRIIAEYLAHGYTISDSAIHKAIALDNKHGISARFTNALTQFDQKYKATD KAKSVDASYGVTDRALQGWRGLSSYFEKALDTPTGQRVRSFYVQGDKQVRDIHAEARR LANLKAGKNEPETVAGTDKTVCNCGADTGECPCEPEKCACANCGKASKSAQEEVEKAL GGVNPSATKSG EPUS_03661 MCGQDRHQPTQNYLASTSKALEAGSHPYNSLLHTSTGSSSSSSS FASSSTSSQTPSIAKKKRKWYKPKLRLKLHADWMWVGFISAGNTTPTGQCRFQNGGQE DDEEWEQLPAYTPPEEGGRGLVGRFDGNSRNEQDEWLVEPPSTGPNQARRNEFGLEPP EYQ EPUS_03662 MSLITISSLTHMSPETLAELLLSSPPPNLAIIDVRDSDHIGGNI KGSTWVPSNTLDYRIPELLRSLKDKDRVVFHCALSQQRGPSAALRYAREREGLAGKLG GDAEKKTQDICILVGGFNMWQAKYGADERLTENYVKDIWDD EPUS_03663 MQPPKTRSVTLLVQALLTFCLTLLVGVDAAADERTLGNVVDSKK GYTKGDLVLVSCLNRTIDTGEHITDPHGNLQYIPFPTCNETDLPLAFPYATPTTLTCT IDSLEDELYHLLEFFVHSDVPLTCRVPSYPLAKQVATVHTYEPSARMEGSGFVGTGPS EAWTPLTIALQGTLQLSHLHLHTGINVLFHTSVGEEDAPSSHLIASTAYSLPNLTDPS LPSEGTKVLRTEPLTFAFNVGWIDGSVLPGMVGRPIVGVKDHGVGFILLSFFALAASA GLGAMGMMIWERRKSGRSMNGLPPIGIRGTMGMNGHSGYGGYGGYGGYGPGKRD EPUS_03664 MPDLNKFHWGRNHPKKKAAKVTEPISGDRHRSHRSPEAEELSSI AASIRCVIDLECLSEQGSSVSDQVSHLVNAHLVLKQEVGDLKKERVKPGTMNQEAQKP KAEWRDQAAQYSTHIREEHDLQVQPRREPEMQTCCANQIIDLKKRYEKEEQRVSEEHE VKVQGLQREIESLEMRAEEQRKAFIFEKIELEKIHKTKQDELRREEQRVGNEHKVKVQ GLQRKIQSLEMCAEEQEKAFIFEKMKLEKIHRTKQDEQRREEQRVGNEHKVKVQSLQR KIQSLEMCAEEQEKAFIFEKMKLEKIHRTKQDEQRREERRVSKEHEVKVQSLQGKIQS LEMYAEEQEKAFIFEKMKLEEIYKTKQNELREQSKALESRLKQAHDQEQERLQKCIQS RNKALIARDNFSPITDGELKSMFSDLVGEVDALARLKWTLNESPWTNNLQGQLSDNPK RLQKQILQDTIWNALFENVFCSPFRVFRNEGTVLEFQWNKAFGADPKTDNDLYTWPQP SFDAERWRYETMSQGQEALRNLTSEYDPRTKLREGFQSSMMIIRERLGEAFMMVSKID EKTEQDIKKIAEKAANMWVVFGTQRCRLLVAMKDLKTIEEVKEGPGKQDKSVELITRP GLRRIGDAEGKSWDKEQTVAGCEGEIRKILYSCQ EPUS_03665 MNKAPSLDKESSSQPPSKARPIWSTSKTASTNCRTSKRLGDNLS DISDTNSSTTISADPGQHRMVVAIDYGTTFSGIGYAIHDNSRPELANIRMVTNWGVNM DNDVKIPSVISYSPCTDADEQQFGASLSPDAVAMVNTKLELEAQDTRLDELDLIIQVL EGTKDLNFEHVKRAQGYPGYTWKAPEDIVTDYLTKAFEHFERATDYLTEIKTSVPVDI IVTVPVRWSYKAKNSTFRAIRSAGFNEFTFPNLGKYYLVIEPEAAALYTARFLKEQEA ESLKEGECFVLCDAGGGTVDVISYKVKQVEPSLQLEQITIPTGAKCGSSFINAKFKEW LRGVLGEDKYNVLDFQSEGEKISALTMEGGKMRLIMREFESHKKRFKGTSTEMILELP DPLYKVNIPGKIEQGDLTITNGDMRYFFDDCINGVIELIQSQITQVESKRRRVKTILL IGGFSESAFLQEELSFSLKLRKVKLRRPQTSWSAVVRGAVLYGMDVANRKNQIQMIPC PKSYGLVLSRTFSKRMHDARDIYTDELTKKSMVKDQFDWFILKGDLLPAIESRTIERD LEYNFPENTRKVCSFPIYEYQDDDLPDRYETAQEEVNQVGELAADLSHIAIEEFERHQ SQGTAPCT EPUS_03666 MVFFSKTKKRLQEELQERQRLQKELEDREFREQQRLQKEFEDRK VRDQKLQKEFERQESQRRQRLLDDIEKQRLQERQRQQYDLEKKERTEQLRIQEESHRQ EMALAKQKLETTRRALEERERQEGERQRIEQEKQEIEVKRLEREAQKRQEKQKMIKEA SPETIRSLRELIREKYHLDVEIWGLRGARRPDRWLVRQKMEKADAVLQKIMAMVGVWE DNGDGSWDPVEWGRVQDIRRRLQNRGIRIWAESPLWIEAGESGGLQHGTR EPUS_03667 MSSQSNIEDSDAGQVSTTESKPESTSYHTAEGEQPQSESITREA SDSSIWDFRVPSLTPPVTFQPGGVDGCASFSIRDLIAAIQNGMSHEDVKTYMSHYNHS NPQLVSRSINDTIEGIPAMFYVIAANNDASIRLFAKFGGDVGATYGSPPVPLLAFAII NGKINEQDTTAAVATLLSLGADGFTIPKPFYCPFDEELPSEGPPDASWKEAWEAEKTL WCQPPSIQKLLTEAINITQRYYLHRSSKLTKPTERQRWVAARHDSTELFAVPYFLIGQ SAATNLLTRNFLHYMLRRHDQPLVLVFAGPSGHGKTELARRLGALLSLELQISDCTIV SREVELFGPRKPYVGADEGAPLNNFLAANNGRRCIVFLDEFEKTTADIWNALLIPFDK GEYQDRRNLKTVNCSKTIWILATNALDKKIIDFCDRNEAIFDEDNSTKREKLLEELTS AMRDDFMSVFKPPLTGRISAFVPFLPFSRSETCVGAHKYLLELTNEVLRPINTKPGPE EQLLGNIRLKIRSDVSVCKVVVKDYDPELGIRSLKKAVRDRVASLLDIEYMSMHEVIS EGLPMEDYSVFVSDGRVRVKSVGMPQTSQPSVLSDR EPUS_03668 MDYGLDGNMRINVAESQLQIDSVTVATENTDFDDSQIGMLELEI ENLSLELEEEKRKSSGQQAECAKHLQELEDADRELFHCQTALQESEGKLANAQVEIRD KNEELTDAEEELMQCRQRVGELEQQIEHITERNQEEAQINEVKAVKPESELEESRKQC AQQGSLGQQQTPALDQINELLQETLEEQARLMIHTKALEDQVETLRRELQIVQNEAAN KTEEMDVLRSYLRGSGQRKSKSERDPRETETEMERNLMIRDSMIGRYTHQIEELQVKA RRIPQLASQLSKYESLHATLTSQRAALASDMETSTKAFEQKESEMRCRMLKLESTLSS SEAKNVAMKSAYQKEICDVKASKNALEQEKKKTARRLAELESKNKTLARDVKQREGTQ KALEEAKRTLEKRVSDLEKVRAQQRSSLGIVFCVDLSGSLHGNPERLAKDAFRNLINN LCVEVPDAHEVAYIEQAISTVSGETGIDRRVILGMIMQESQGNVCVYTTCSADDVRNA GLMQSHIEVSFDLNNAEQSILQMVRDGVQGTPFGDGLVQALD EPUS_03669 MSPTANMATTMDPKRVPSDEKFEANHIDEKGYQQSALSDDEEYT LPEQRKIIHRVDRRLVVTCGVMYCVSLMDRANLGSAAIAGMTRELRLTVGFRYSTIAL VFFITYVLFQPPATVLCRKIGPRPFLAFITVAWGIVMIGMGFPKSWEIMIPLRMLLGL LEAGFFPGCVYLLSTWYSRYDIQKRYSVFYLIGSMASAFASILAYGLMQMNGLAGLTG WRWIFIMQGVITCLVGIWGYFSLVDFPDKAAKGSWRFLSDRECNFILRRVERDRGDSR LEPFTLGRFMRPALDLKIWGFALIFFSLATVTYAIAYFLPIILRDGMGFSVAAAQCLV APPYVFAAILMYTTAWLGDKYHTRGPILVFNAIVGLIGLPIMGYASTSGVQYFGVFLV TGSSNANIPACMAYQANNIRGQWKRAFCSASLVGLGGVGGIAGSLVFRSQDAPTYRPG IYAAIACNILVILLVCALSVYFTICNRKQARGQMVIEGQEGFRYTI EPUS_03670 MANVRRITDDLERPSLDDRSYRVIELPNKLQALLVHDPETDKAS ASMNVNVGSYSDDDSMPGMAHAVEHLLFMGTKKYPVENEYSSYLSSHAGHSNAYTAPT QTNYFFECAASSEAENATEVDNAASPPVNGVASSRSPFYGALDRFAQFFVEPLFLEST LDRELRAVDSENKKNLQSDQWRLTQLSRTISNPKHPFSKFSTGNLETLRDLPEKRGVK IREKFIEFYEKHYSANRMKLVVLGKEPLDELEQWVDELFTGVKNKDLPENRWDGPEQP FTKAELSTQIFAKPVMESRTLEMWFPYPDEEDLYETQPSRFLGHLLGHEGPGSILAYI KEKGWANGLSCGYYPLCPGTAFFDLDIRLTPDGLEYYQDVMKVVFQYISLMKEQPPLE WMFDEMKTIGDVNFRFKQKSPASRFTSATSAVMQKPLPREWLLSGSTKLRKFDPDGIV RAMQYLRPDNFRMMIVSQDQPVRLDKKEKWYGTEYAVQDIPEDLLSELHKSWQSGARE RPKELHLPHKNEFIPTRLDVEKKVTTEPATAPKLIRHDEMMRVWYKKDDRFWVPKTNF LITIRSSMTYATPANHVKTKLFCELVKDSLSEYAYDADISGLVYNTSGTVLGIDVNIG GYSDKLPVLLEKVLRSMKELKVGPDRFKIIKERISRGYKNWDYQKPYYQVGGYVRWLT HEQSWINHQYAAELPNIEADDVQTFIPQILGHNHIEILAHGNLYKEDALKMANLVETI LKPRPLPQSLWHLRRDMVLPSGSNYTYRRSLVDPGNVNHAIEYSLLIGDRTDRKLKAK AQLFAQMLHEPTFDQLRTKEQLGYVVFSGARLSTASILYRVLIQSEKDPDYLEGRIEA HLSRFRADLERMSDSEFEAHRRSVINRKQEKLKNLASETARLADNIGNEYFDFYKLDH DVVELKQLNKGDIQDFYNEFIDPHSKTRAKLSVHMVAQSTPNEEAKLSPQQQSEALLD ALSKFLSSSGVSCDPETLKASLDKVDIPSGDQEGVLSAVKAYAESSLPDEKIDSIAAQ LHEALPPLFMTLGIKSKSAAKEQEQEAEQDAAASGTVEKIPPVMVENVDTWKAGLRVS EGPRPLVDLSEFEEMEPKL EPUS_03671 MSTITTTALQTSYPPILPKSFSANQPKTIRLYPLSNYTFGTKDT QPEEDPSVIARLKRLEEHYEQHGMRRTCEGILVCHEHNHPHVLMLQIANAFFKLPGDY LPASAEEISGFKSRLNERLAPQNTSSDPNADWEIGDTLAQWWRPNFETFMYPFLPGHV TRPKECKKLYLIQLPRKKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLSRYR FEFVDEEGNVVAVTPGEDPDVKGENGEEAKIKVLAGGSGGGGGDADMDVKTEEQNEST KYYGLTNGDQQHRGTAEMLFNWEDSHGLSPIRKCAEEWLKLMALKRQFQSRGNKQPEL KAKVQ EPUS_03672 MTRHEATERVHSQWDVRLKAERCLARKEGEEARGLVIWNDGDKE ELRREVGLVMEKVRRTSPRWWSWVCLLVPPVGFAAASWQLVRNLLDSWAWKRRRKMEK AKL EPUS_03673 MADLEGGAPVPPTPRPISEPETAPASPLTSLNNSDPITLRVGER NFVTALATLTGESQFFSSMFSGNWHNTKQPDGSYFIDADPDLFAHILRYLRHNMLPLF YANGHHDLGLYAALLGEAKYFQIPSLVKWLEHETYFRAVKVQRDVDEAENVLDLRDLT KSNEEVEYHPFRTTEKVYVCPRGISLHRGRPNACGRLCQRARGDDPEEYEDEDVYKAL VIRKTVVFDHRLCAGDE EPUS_03674 MAGLQAATVSNQKVPRKRKRKLASPARDEPQVENVDNGPATTTS IPTKSQDGGKKPRRTKATTNKPPMLRHNSSTKLVETTIPWPEHFKQLSQLHRALNLVY TFCSTRKHFATTFENIKSAVEAHIKRPLLIEDVAQVKAIVPKAINFAYVNEDMLQVTI MGEEDGVRGGRAEHFRSLAEDESGPKEKDKGEIKELLLFEFIDGDLKRQVADPKTGQP VNAFQHLRREDLKLPVYSQKQMLKLIEKRNTKFTSAINAFLNSSAAAAIDPVINLQTE AGNYIPIPTQRRSNTPGPNRHGNPPKKIPTERKSIPEIVKEITEMEWYTHQIVPDGHR VFDPQPPIYAELNFALSQNLVNALYNTRNITQLYSHQAEAINNLHEGHNVIVSTSTSS GKSLIYQIPMLHELEKDPESRGMYIFPTKALAQDQRRSMKEMLSFIPGFEQVLVETFD GDTPMPARHEIRENARIIFTNPDMLHITILPQENNWRTFLKNLKFVVVDELHVYNGLF GAHVAFIMRRLRRICAAVGNRRVKFISCSATVANPEEHMKTIFGVDKVRLTDFDGSPS GRKEFICWNTPYKDPNDPSSGRGDTFAETARLFCQLILRGVRTICFVRIRKQAEVLLG AVKAEFNALERSECTALVMGYRGGYSAQDRRRIESEMFGGKLMGIIATTALELGVDIG SLDAVITMGFPYTIANLRQQSGRAGRRNKDSLSVLVGDCFATDQYYMQNPDEIFTKPN CELQVDLTNELVLEGHVQCAAYEMPIRAAEDAKYFGKLLPEVAETRLLHDPSIDDFYH CHERFRPLPSKHVSIRDTEDGHFAVVDITHGRNVVLEEVEPSRAFFTIYEGGIFLHQG RTYLVKEFSPDSRIAKVTLVKVDWTTQQRDYTDIDPIETEAIRRVSKGSMSRAYFGRI KVHAVVFGFFKLDQKRRILDAVAVDNPPIDIFSKGMWLDIPRSALEILKSRRLNLAAG IHAAEHAILSLMPQFVISMPGDVRTECKNAIKEFAKKETQRKRPARLTFYDAKGGAGG SGIAAKAFEFIDLLLVRAVERVGACHCLQGCVECVCDERCREANRVMSKAGAEVILKT LLEMEVDVDALPWGPDDEDVPAGIETIVEAVEVTGRNGRKLAEQEFDEVGRVKKEKSD DECIIIKDEPGD EPUS_03675 MVDRLSFIVLLLAISPVLASQPLAPSPVEAPLRDLTWGQINFLH TTDTHGWHAGHLQEPSYSADWGDYMSFSTRMREKAEANGQDLLVIDTGDRIEGNGLYD ASDPKGKYTFDIIKQQHIDLICTGNHELYKRNSSENEYNINVPNFSSSYLASNLDIMH PETGEQIPLAPRFKKFTTRKQGIRITAFGFIYDFTGNENNTVVQPVEDTVKETWFQEA IWDREVDLFLVIGHVPVRSPEFLELYQAIRKVQWDTPIQFFGGHTHIRDYKKYDAKSF ALESGRFMETIGFQSIDGLSTSTKTVQTSAAPKFSRTYIDNNMFSFHRHTQLNATTFP TEHGQNVSKEIRAAREHLQLDTTYGCAEQNLWMFRAEYPSNSSIFTWLEQRVLPDVIA DKNRMNKSRLAMVNTGGIRFDIFKGPFTRDSTYIVSPFTSGFRYVKDVPYDKASKLLT ILNNNGEVIQNMEPSLQSWMLAPVEQRSSSAHVFTQKVTVPPNEDLQRPLLSEHDLTP GYTTKDDAGSDGDDTLHAPIASYRVPNVIQSQIDPPLQSGDPETIDVVYIDFIQPWVL LAFQFLDLDYSIDDTAVYMEGETLTTLLAKWVTANWKDNC EPUS_03676 MAALKPFRLASRLFSQRPLSPAVCSTFLPAASIRTLPRIRTYAT PAGREYTVRDALNEALAEELERDEKVFILGEEVAQYNGAYKVTKGLLDRFGPKRVIDS PITESGFCGLTVGAALAGLHPVCEFMTFNFAMQAIDQIINSAAKTHYMSGGIQPCNIT FRGPNGFAAGVAAQHSQDYSSWYGSIPGLKVVSPWSAEDAKGLMKAAIRDPNPVVFLE NELLYGQTFPMSEEAQKDDFVLPFGKAKIERSGKDLTIVTLSRCVGQSLNAAEDLKKK YGVEAEVINLRSVKPLDVETIMKSLKKTGHLMAVESGFPSFGVAAEILALTMEYGFDY LDAPAVRVTGAEVPTPYAEKLEKMSFPQEDTITTYAAKLLKV EPUS_03677 MKPLKTFNLLEEDDVDGDTEDDEKMDRPSSPHITDSVLGAICRR HCNTLQHLTMRCDYIFDAVVSNSILTSLVTRATSLKTLTMIVFGCDPDFLEHLPRSLQ ILVFILLDCELPAFGEADLIELHSKLPQLQKISYKDLRISVQNDYRNYVAWDPEGEFA GDLEVDATAF EPUS_03678 MADPTSPSLISMRELDPSPVASPLSPQSPSSPSVEKANAEDSSF PYFNDNPPHPPKPTSASTSTTLGLHPHTLTRTLLALQKYSTYPFALFLTFHITNTSLL PLVTRNLHTADTYLLLTRPYYQSQLLEPALLLAPLAQRTSSPAYPPPPPRHQTPRRRI PRRAPQGALAETLGSVCIGLSICPAGGGAQFRE EPUS_03679 MGPRGLTLPPASTTSAKAFRSAFYCDLCSKGYSRQNEYDAHESS YDHQHKKRLKEMKSMQKQAQQPTKGKEEKGPLVQIKLGGAKGNGGNSGVEAGGVKKGG FKKGGFKSAFGSAEDEEQVEVKKDEEADLKEIKAGIANEEDSDFTDQEDYYDPRQPTG CMPGCKRWVRAAVG EPUS_03680 MAGRLLGDLNSASGYPVNRPGFPPLMSHEQDLPGYASFNPPPRQ VGHLGNNFQSQIPSYNPTVPGMPLDQDSRNSSMGAQDGPPFEETKVICPVVTARNQQL TPKIDAKIQKGFFKVDHKWTCYRRNYFTVSCSFTLKPATYEPQLYLQRHATQQHEQIN AFAIQISAKTAVVNNQESELRNLVQHTPKRDKATESVPGKVVLQAAQPSIMTNSGSYH GSSSLYAGPPNMPPSMMVDYNPQQYSSTQHQGPPQSHTFERIQFQKATANNGKRRAQQ QYFHVVVELFADVGRHGDAQWVKVASAQSHPMVVRGRSPGHYKDNRRDSTASMDPDRG AGAGGDSSSGGAPSMGSYLSPSHGRSSTMDWEPSHRGSSQTSGNYRHATKARSPQSAV SWGSSSSSCSEADFEFPNHESKLAFSNTLSPGVLLPVNHRAFTPLTSLSSRTDNSNTA SLQSQKNSGQPYFATNSADGHGSLRSGHHHLNPMGNVEMPLYQAMCSS EPUS_03681 MSKTTSRPDWADDVSEPDLSDPNALPAPVTRTNKDGTKTTISYR IDENGRRVKTTRRTRTTIHRERVNPAIAERRSWPKFGLEKGKPKGPQPDTTSIAENMQ FRPSVNWKAVQAEEAKSGANVTSEEKAKKDALKDKKVKCRICGGEHFTARCPYKETMA PVEEGGPAVDPLAEDDEKNAAGGLGATSGGYVPPHLRKGGAAGSGDRMGGKYERDDLA TLRVTNVSEMAEEQDLRDMFERFGRVTRVFLAKDRDTGRAKGFAFISFVDRTDAARAC EKMDGFGYRHLILRVEFAKRTT EPUS_03682 MSANMPVHELEQYNESPRESKEFFLVDAEPVIKRMRCQVIRESV RACYRFLIPSFLTTQSRTTLNAIASLDGLRGYASFGVFQYHFTDTFCQMHNRGFGFDE SNRYLIQLPFIHFLWTAPALVACFFVISGYVLSYKPLKQIRSHPGDPFLHTMSSAIFR RGIRLYLPTLFATFVAFLLVRLGMFNYSHWVFLQGEYLAAGEDTPPIMATFGEQFAHW RSDMNNMIYPFHLGGSASTPYDPHLWTIPTEFQGSMLLFIVTIGLWNVHTVARLGFVG AFILYCGYHGQNDILLFFGGMFLAEVDLILREGEGRVNRYRYLWLVLFTIGLYLAGMP SWDPQITPGYETIMWLIPNSYRWHTLGCIMLVWSVRNSDDVQIVFTNGFAQYLGKISY SLYIVHGNVRRTLTYTMMPTLLALTNGKESKLGFAITILVSIMFTYPLTFFLAHLFWR AVEIPSTKFAKWLEMKCSRSSSYCSLNSGAELTL EPUS_03683 MRLKQFVFTSTATLAFITFALVARQAQIRNALDRTGLGLLEKFR GTAPLWDTQLEENSIVVEHTAASDQIVPHRIGMVSMLIGDTNPTYERALRTHLRHGEI QGYETFVMRSNVLDMMYNKPMFILNILMDEMKKPFHERMEWLFWFDCDSVLMNPKLSL SHFLPPAEFSDANALVTNDANGLNNGVFFIRVSAWAIEVMSANVAYRTFNPDEQLTFQ DQSALDNIFHMEKFRDQVVYCPQRWFNAYQSGFLNESIEANQIRRGDLVVHFAGVGNK LERINCWCDIAEKHLPDWEVEIVHTSLLEEIDEFWSQKKSNDAIETQELRNARLSGTE LVDEIERNMTLYRESLTNEEQVRIQDTVQKVREQVKKQNKELIWGAIQDLKEAGRRLE DLEKQTRKQTIKEAHATIITAERMGINAEQLREMLLEANNWDSIQAVTKDLKAKVEME AVKAQVKADIQQDISQALNQTANQINESTSTLSTESSSQTTSETTSSTMPFVEMVVVT ARALKT EPUS_03684 MSQETSSSSSGTMVASAIVTALATICVALRFYTRIRTKAGIAWD DWWILIGLLTSLLIGGLLLWGSSTDPDAQSEVSEAIFTNTTDTFDTAPHTTYLKLSFI SSILYFAIVTSIKVSILLMYRRIFSIDYSFHLQSLLLLAVIFAFWLAVTTATLLNCRP LKYSWIGMSWEEYCFNYNIFWMVTGAVEVIIDTAILALPVRMVLRLQLSQKRKISIML IFLLGGFVIITGIIRVIYSYVPGSRVPEYIKAELWSTIHIGMAIFCACLPTIRPLFTG MTSRLAKASSSLRQRYCSASGRYSKDTAASSNSPVPRSEDAIEILSLSQQECHCGAYD LGGASADTFSSPGHYRECYCAASLKDPRAKTGSLEAG EPUS_03685 MSFFFGKNRFPVNGRTVLITGGSSGMGRSVGRQLAEKGANVIIV ARDVAKLREAVSYIREGAASPKTQRFYYISGDLTTASESVRIISSATAFNKDAPPDIV WCCAGSSYPTLFVDTPVAELKNQMDSNYLSAAYMAHATLQAWLKAPSEAKSYSSSPGI LPERHLIFTASFLSFFTIAGYGPYSPSKAALRSLSDTLSQEMNLYASALPNKPKVRIH TIFPATIFTESYAAENRVKSDLTKKLEEGDPGQTADEVARRSIARLEKGDELVPTTFL TRLVMTSVLGGSIRNGWAVLDTILSWVMSLVMVFVRWDTDVKVRKWGREHGASGMKMP PR EPUS_03686 MATSSADRGTVGRRVRSGSVPTRPAQETTDGAQERAMSALSITS PPGNLQSPSRVAVRVAQQDSLLEIDESNLNLVSELNHAVKLNANLKEHIRCADAELKS TVQQRDALQNMLTEYERREQSKNGELVQAKADIQHLKKCLDDCKERIFKMQPLEHLTD SEIAEQYRTLCESISDWTDSQFGDYDNPLGMLDACFATETPAKLIHAYLIRDHLMEVV KKCPTAACPIITYLIHRHVYQSILRESLCFPGLDSKCEEFVFFMANAMKHNEPRRDED AIAFWLSEVQRTLSNSPYLQGVRKKHLHQQCSELEEMLKTLLPVEQKASFRSQELRNI FEEGADLAKNVRLSPAAYRYQQCCNVGEPLLGQQMDGIKVIDQATAQLIRPSDTFKAN RDGRVGEMLCVIQPGLVRRGRNGGRSITLVKATVLCRFDHPVVRRRKAKKITGDELDS TAQRKTGDGEKA EPUS_03687 MTLTVKGSASQSERKILVAIDFGTTYSGVAWAQTARPEIQTTVI QWPDGTDALEGVTNDKVPTELAYDDNAGCKWGFEIPEGKQRYQWFKLELDPKKSKETS YLTVEYPDPNALPTNYIKTAEVLSVDYLTHLREHIIKVLKTKVGEGVIASTRIEYIIT VPSDAAKFSTRSCAKRAGMGGDLQIVSEPEAAVIYALDAMDPETLKAGDKFVLCDAGG GTVDLISYTIEELKPVVKISEAAPGSGAACGSTFLNRIFRRYLETTFSDNDGWDDDTL GGALERFETIAKRRFNGDQEDVVIPVPGLTNDRSKGVMRGKLTMSASTLRNIFEPIVT TITALVTSQIRATGDVKAVLLRSGYSACERVTAVVRGALIKALAQASPEASRITIGSR VARKAYGFEVNVAFDPAKHQQSRKFWNECHGMYRINVVDWLVKKGDAIKETEPVVVNY IDSKMVGYGQLSSIRCNLYSFECPTNRNPPLHVDQGAKLLVTLTANLSSIPTKEMPKH TGADGQTYFHCSFEIRVTFHSAHTTYSLWYNNKCYGAVDAEYA EPUS_03688 MASPQQIKTTVTDMLKIRHPVLLAGMNVAAGPKLAAAVTNAGGL GVIGGVGYTPDMLREQIAELKGFLHDKNAPFGVDLLIPQVGGSARKTNYDYTKGKLDE LVTIIIDSGAKLFVSAVGVPPKAVVDRLHKAAKSPLTGQPVQCIAAGGLFNGQSLAAA LMLGATAVWIGTRFVLSEEAGAPKAHQEAVRTAGFDDNIRTIIFTGRPLRVRTNPYIE NWEKNRANEIKELTEKGTIPVEHDFEQLGDDMDDETMDNARPFLMGQAAAVVSERKSA REIVDEMVKEAVDMMNKGSSMIVARSKL EPUS_03689 MLLAFLPFILAGPLRYRDVTCNGGILSTPTYGLDGAVFTVCASV EICASVREVSNTILDFRRYEEWNTFIYDADVPDNVDTPAGVAPGLSVLFYSTGLQPDV NNTGTDVVTFVDQPFLSAWKNVENEAFIGVSEHVSTFYPVPGGKTRYTHWQTHYMPQA SLLLPIKDSLQRQFEVQASDLKAYVDKRNC EPUS_03690 MSFQKILDQAAINSLKTNVGQHPYSAIFASAVVVLLAWRLVRFT IVPVFRPNEPKEYPYWIPGVGHLKSFIDNSQGLFTSGRLYFGNTREPFTITLTNLQFY VVTKPEDVSEVYRNTTTLSFDIFAQEILDYFGCSKSSIQSMYERTDPHKTIHPNPDGK SLARLSRDFHIHQLFPGSGNLLDEVGALFINYFDKALTADGLAERDRHVMFVSEDSVK VSLLGWLYDMVADSILDLYFGDLLRKIKPDFAKVFLEFDEHSWQALFRYPRFLGKKMF APMDQVVDAIEKYFEAPQEDRRDTVWYTPALEKEMRNVNVGNRDIAIMMMTIYWGANT NTARGAFWMLTYLLYDKELLERVRAETAPAFKGETTDLDHIWNNSPLLRGVWDETLRI TAFSSSVRFVAEDIMIGGKLLRKNRRIMMPYRQMHLNEAVFGEKTSEFDAERFVRDPK LRRYNMAFGGGSSQCPGRHFSGQAAMVFVAMVLHRFDLSLDPADQKFPEAEESKPVLG LISVKKNSSLELKLKRRNLGKRLLGGIERASPIPLHSDQHFHTMGLSRNVLTAPHALF ALLLLQPHLSISAPVSSANCCSVLTDKLPDRTFISASAAYSSSISSYWAEQETELRPA CVIVASTSNDVATAVRTLTALNNGKNQSCPFAVRSGGHGKSGFSNINGGVVLDLSALN TVSVSYDHKIASIGPGATWGDVYGILDPMNLTVPGGRHAGVGAGGLTLGGGLSYFNPL VGFTCDNVVNAEVVLASGEIVNANENENDDLLLALRGGSNNFGVVTRIDMETLPLGQI WGGTQYYALETYTQQLQALYDFTADPEYDEYASSTMSFGANAAQAVALNNLVYAKATP ELSPKLQPFTEIPSLFGTLRQTNLSDVTNELAAASPDGFRQATYTMTFKNSVEVFEAA FQLWNATVLETTTVRNISFAFSIEPLPLAVLSKSAPRGGNLLNLADSSEPLVIWLLSA TWGDDGDDAKMFALCKKLWEDTEAAAKQLDAYHPHKYIGYAAKEQDVFSAYGAENVAF MREVSKKYDPQQIFQRAVPGGFKLLDVQG EPUS_03691 MSPATTMADIKTIGPFVVGVVVLLGLARLIEKVLGIQKHSSEPP FIPSKVPYFGHLYFIIRRGVSYYAELTAQYRKKIYSLAMPGGRLYVVNSPEVIGAIQK LPRVLSFWFIEASLTRNLGGISDKANDILLDNARGDKGSNSLVIDGMKATHQAMAGEH LETLTAQAIQRAEKELRLLETSGTENVVDLWEWTQHVFSMAVSRAVYGPNNPYEDLMV ERGLVEFSDHTVTFLTGLPPWIFVRKAYKARERIVSAFHQFFAQKSDEKASKLVQVRA KVLRDYGIPEADVARFESVNGFGILLNLLPTAFWTLFHVLADAQLLEVVREEAKAALG SQKGDAILGEAVDLTAADRLPTLSSILMESLRYHTAGAAVRMVMEDHMLDGKFLLKSD NYVLIPNHGAHFDTEAWGANVTEFDAYRFDKRHGAKVHPTAFRGFGGGVNLCPGRTFA SRIIVLIVASLVQRFDVEPITKSGGWEDPGRDETSMAIVLARPREKVMVMCTLMWY EPUS_03692 MIRPQRFLESIHTQIVISAFVGFVSGVYRVIRKQVNTFVYLFGG ADVINDAYIKAEGKPFEILTPGRRHQMVSSPMHLEEINRAPVDVLSLHAVAKDFLQPK YTMHGFEWNDVRGVEGTGFVRALRTILTSHLPKLLPSLQERIANHIHRELMNHESSSG SYELSIYDMSKRLVAKTNSFVFFGHELTEDSDFFEAAYSFPHESALAAEAFRLLPNPL ARNHWAARSFHDRLHREIERRLSLRSIGNGQSSNDGLQWLIETSPKKNPWSIQRLVGE VMGIWYGSVHTLSIAVTFALLDLYSHKEYIEPLRKELDNTKLDALKGTPGEMPLLDSF LKESARLSAFESTGVRRQALKEFTFSDGLHLRRGDWVCVPHRSLMRDENNFPDALSFH GFRFVGTQHNKDSSNEQDHSSAGIPAKGSFLTDSSDSLIWGLGRIVWSVHVPSSLSWT ILRNGSAEAGSCHPAAQIRLRALTNKRREIVSMAVIGDTKTKHKAACAAERQQHQYAG SGVLDHLGGPLSFLRGEANFAIVSLYRS EPUS_03693 MDKKTVQLGHVLVTGGSGFLGSHIVQRLLAEPDVVVFSVSRNPR QRDARAKYRAVDITDEGKLRALFQEIKPRVVIHTVSPLSTDNKAVLHRTIVEGTRALL RCAANCPETRAFVYTSSDSAVASPSLPDRKLREADAQLYTATHFNNPYGRSKALADAA VLAADSTNLRTATLRLPAIYGEGDTNFIPQLLSSVRKGEHKMQLGDDQKLFEFVYVKS ASEAHVLAAKALLRTDTAQTDRESPKVHGEAFFITDGQPRHFFDFAREAYAAAGHPVA PNEIKKMPFAVVQAMASVGEWAYWSFTLGRLTPQMRRQSIDHLNKGCHWSIEKARQRL GYAPVLDQHIAIKRSMEWAMAHEK EPUS_03694 MAMSAADLAGQDLNLIPAGVPPAGWGGLLSGPELSSTGLAIFCV LAPIACFAVVCRLISSWKTRDSENGGIGLADYCSVGALVISIAQEIIVITLGDYARHM WDVPLAMMLKESLFKVNADLQCQQRSKLIQTTAQRMFAQSIIAWPAIILAKLAILFLY LRLFQIQPAARYAIYAGVCWTFMTYLPNMFISAYFCAAHPGEPWDMNVGLRCANKSAL KWLVVSACMSVLLDLYILALPIPVIRKLNMTGRKRFGILLIFFTAFFACVCATLTLVY RILLVNTTDTLWLTAQLWICNLTENFIAIIVGAMPGASSWFKSFVVPTAFFAKISSTF TSTFSTNKSYKSKPSKSGSSDGSFRLKDYPGYDHESQRTLHGNESAPGALGSKIQVRT SYEVRQI EPUS_03695 MFLEYGHAALSPISFPLLVITLCWASWWLWKFTIRPKLWPQDPR PLPYWLPWVGSAIAFFRDSYSVINSGIPEHLVREPFTLTLGGEEIYVITEPKDVAEVY KNNNSLSFDVFISDLMLSCGATAETVDKMNRAPPPYPSGSSTSGLNPGNKSLIRLAID FHHFQLLQGPKSHADEITDTFLRHIDKFLQWDILSEDGLLPASRTAESMQVSLLKLCG RVLIEAGTRTYWGKLLWELEPDMLSSFYELDRGIWKILFQYPKVFSQEVLAVRDNITE TLARYYRLPKEIRQDAAWFTKSMETESREAGLDENEMAAAIMIIYFVINGNTYKLCFW ALCYILSDPSLFTSICTELNRQNRTTPPTIAHLTNHCPLLNSTLSEVLRLYTSSASMR YIVTDTPIGKYMLRAGRKIMIPYRQLHENTAVWGSDALVFDSKRFLDNKSLKWSTSYR PFGGGATLCAGRFVAMQEVLSFIGLVLHRYDLELVKGPDGTSQEFPRVDSMKPTFGMM GPIEGNDYMVKVMKR EPUS_03696 MQRRALMCTTIGIVSKHFGSSIRYLTPSHRQQCSARSHNFATNT KYFVPGPVRTGLQKAVKPKSTFRRRAVHDGKIAGSELDSNFNPRLTPSSMNNNMDGQN GGKKICGQDATKHWAMIALGSNIGDRVHMMEQACEKMLAQGIIVQRTSLLYETAPMYV TDQDTFYNAVCEVETTLNPHELLDALQTIEHELGRKRIIAKGPRNIDLDIVLYDDQII ADDRLNVPHKLMLERDFVLRPLAGLIPQERLPPPHDSETIASHAAALDRKSSDGRPLS ITPLAPSLAALKPTNPERRTSVMAILNITPDSFSDGDVHKLHEENVLKSTIQNFLLSG TSIIDVGGQSTRPNAKRISPNEELSRILPVIKLIREAAGDSKVAISVDTFYAEVAKAA IEAGADIINDVSAGTLDNEMLPTAAKLRKTIIMMHMRGDPSTMSSLTSYPEGVLHGVG QELLDRVKAAEKAGIARWRIILDPGIGFAKTQAQNLELLRRLGELRNYPGLQGIPWLV GASRKGFIGKITGVKEPSQRIWGTAAAVAASIQGGADVVRVHDVAEMASVVRMADAIY RVKPDETAGIVRL EPUS_03697 MLEVEFEAVEGKKRQLERVVERAKHWRFPLKGDRVLAWTGEVVA STWEGCVNLTEDYGDRAVRLRSVQAQEGTADLKAIDLYLRGYDHEVAGEGSMSKATPG ESPSETVA EPUS_03698 MNTLLKVDFADFPQEPCQISLEPCGFSKWCETFRIDVVLVSGTT ESFFLKVQNGNAYGRMMQGTFASESVFHQYIPSHVPRPIAWGNYASKPERWFYLSAFH GFEDKTPGVHSVVSIVTQFHDASRGKSPTGKFGFHVPTHLGNVPSNNGWEASWETWFA KAMVRIMEIEEATHGRSKEIDSMKDALFKKVIPRLLRPLETHGRSVTPTLIHSDIHTD NIRYDASTGQTMLFDSCAFWGHTEAELATWRSERYQMGRAYIDEYVKRMGVSEPIEDF DDRNKLYWLRYEFLSSVLHAYNLVGRQRAVKQMRELVLKYPEGYTEDESNDK EPUS_03699 MATTAVIAPSLLASMLQVADFKYFPPFREQDGGRAEGVEPLLDE NVSCRK EPUS_03700 MGPNSLKRLSVRFGRSKPSQRSTEPPPGSVLPDHISLQLDPLPQ IRSISPTGRPRRDPPPRDLSTLPALPAEILLAIADHLDDASTICLSATSYRLSEVLCA LTRDLRERERSRCAKWLIMAQLERGLVIDPRGQNADVKLTCVLCKVKLAISSFERYHP PTTNPSFMENGFESLHMLSRMPCARFCEHHAMRTVLGRESSDPRRNADQTIRWECCRR RMCLHCGTVPSDGSPPSCACTCPVCPKDAQVPTFVRYGLARAGEKAADDLRVFFLRFE RGAAGGGPLLAVERVHRRDERKWIEAPITKLPVEFR EPUS_03701 MPKRKSAKAVIRTSKPSTVIGKRKRTEVETKECAICVESQPVYR NFPTFTTCSHDSDTCLSCIAKQTVILLQASRGKGWSASRCPQCNISIPTEELQSALPR ALVKEMKEMVSKAAQATDDSWRWCLRPGCGHGKIHDGRSEMIQCGKCSYKMCFKHQVP WHQGYSCQDYETSHPQAAITKTNEEMIQKMSKPCPGCGIAVEKIGGCNHMSCRECGSS WLWESPSSAGGTVLLAGPREFAPPLPQQPIDLPNIPARWHAIGQLGPFNPQMFQPPPN LNRPTNAVIRHSEFPQHAREEQEGPRPQRSTEQSITPASQPQVPVTWARIDNTPFFRR TPPQIRALWSTEDVEFRFRPPIAGSVQTPIDLTGDDDMVHMASGQRSRR EPUS_03702 MGVSDVETLDGIETIPETFREDAETHKGFMEKALEMAEEALSIG ETPVGCVVVANGAIIASGMNDTNRSLNGTRHAELVAISEALKLHPASVFASADLYVTV EPCIMCASILRQYHIKNVFYGCGNERFGGNGSVLSIHSDFGIDPPYASHGGLYRKEAV MLLRRFYIQHNEKAPNPRPKKGRELNTSFEPT EPUS_03703 MAADTTTRNTVTSLLNYDVSDNDDDPFREIDTTLYDPTNKSNGT KRKATGTDNKENTDLLGLDEEVKIVKKRKPVAKLDEARLLSQPGIPKLRALARSGSIA SKLRLKGKGHEYSDAAKLLGYYQLWLDNLYPKAKFADGLQLVEKVGHGKMMQAMRKEW IDEGKPGHGTGVEDRQTSGTDGKQNAMERTAGAEHYQSSDKKLQTTSKHNTQDSIFGD LEEGGEDDLFFNDPKIRTTTQDDEVNEPDEDELDALLAEQSQTRDSQPHQQQQQQQHA STADEDDDLDALMAEQPVWNMPGQGPTEMQQQNGSPPGDDELDALLAENEITQQPQAE KTLHGRGTRPIEEY EPUS_03704 MPSIVSVSRQRRWPAGTVNGSQPPPSSLIATHLAPTNGSTIPHF DPSDFALLLQESLGSDDDGQPNLGTDVTLNHKLICVIIKAGIDTIDLGSNDPFRQDNE CHDQIQKCLEVFDLAVERTPEALFLSSKPEDLGSGAENVPLFIWFIPKVLSLLVLDHT ESKLIAGIVWPLLGKILSAAKQCSNNFDLCISVSSYMEELIGGLLSHFERVDISDGRS QKSSSMATLDLTELLTSSLATTNLRDGRFPGALCLGTVPQGIDTTCRLLRLLVNSVFS RSTMSVTAMKRCVFSIIDQYQRLWKVLMRVLDGYSEAENQSSKLVEYVFQGMQDLVMY LVQPLGQRSASSFNILTNEIISVLDITIKISTQIPGFASTVCETVQSTVKRMADEENV VTVVYAKIQASLSQLRSLATQDDHAHLTDGLATSQNQPEHDTLSWPDEGRPRKRLRLS SAEHHLPPVSQGQQLCRQLNSLVGGKDTAEIHELEKTAPDKFQSLTEVDRSRVFELLG QIACGNSRLSHSNSSIPVSYPTCSICDLDIKTNMAQLISGDEPDTLIQIFAALIPLVQ RSAKVRVVAMLALRRLLAHTSSPTNLDISNCGVGEWCLQSLRSSSRELRIAAAVTLKF FLAPISQVGYQLVHKNRVTTLDFLHSLWLRNVPSLQETTILALSEVAAVGGDEELNIV LVRFVEFLGHPNLYISGLVFAQLQKLARNMKLTPGSLLKPFWRTIAVVVVKSIQTRPI IAQSLCDFLGADMNVDVLLMFIEEYALPYLVLTRKQDLVLRIALAHGQTMSPFDLCTK SNNFAAILSYLLAQSGVEAENLVMSLLLDTSPEFRQRDISAWAKLEPILVACELLKSI VDAGGERSSKAYHGLQLLAKLDLRRGTMSSGLKKGEVVATFLENHVLGIITQFTATFN DLQSRQPNLEKRRCLAAIGELLRLGQHRMSSALPQICACLRSAMENRELCDKAFESWA LMMNHIPQNDIEPLIDQTLAVIVRNWNVFQDSTQHAAHDLIAKIWDDHKDSVLAILDS MPSLSSVPLLSRFESDISDSKKQMNHRRQMIAFSRRLLSENVALVEQALKELVETLGN GQEWLHQSLLHEQPEPVVTELIRSVLDCCVRFNSDSAIAGACGKALGKIGCLDPNRIE TVKDRRTVMAISNFGRADETVDFIMFFLEEVLVKAFLCAPNTRAQGFLAWAMQELLKI CGLEETVGLRPRTALNAGKYRGWWDLDESVRNTLTPFLNSRYCVNVAMMETRCSYPLF SSKEMSHKDWLQSIVLDLLTRAPGDNIQLIFTICLRIIRFQDISIPIFLLPYAALNLF VAGSEKQKEEKQNLLNEMIAILRQPLSGSQQHRENIKLCSQRVFDILDYMSKWLQQRR KQFQAAVAHNERGTADPATEIVQAQTRNIENILQEIPPDLISSRAIECRSYSRALFHW EQYIRKMTEQEGESDDLLARLQEIYTQIDEPDGIEGISAQMHTLNIDQQILEQQKAGN WTAAQNWYEIQLQERPDDGTVQVNLLTCLKETGQSDALLNQFDTFNKTHSFAHVVPFA VEAAWTNNNWAKLNQIVDEAANRSNDFNVGIGRILQALHQKDHHQAVNIVAELRLANA SSLTSTSASSLQSCHDILLRLHVLDDLESIVSASEDNGPIVLTNLDRRIEAIGADVST KQYLLSLRRAAIALSPYFNDLDVASGWLATAKLARKSSLSNQSFNAILRATALGDESA TIEHAKLMWRDGFHRKAIHSLEGAIDSSVFLSHNFDAEDMSLTSDQIHKQNVVIAKAH LMLAKWLDSAGQTQSEVIKRQYRKATEFHKRWDKGWYYLGKHYNKILDSEKAKPVGKE AQSYLTGEASKLVIENYLRALGFGSKYVFQALPKVLTLWLELVAIAEQPQDARRGNEK FTAHMTAQRKKVVEDTNAQVKKYVERLQPVMLYTILSQVVARIGHSNKTVTEILIGIV VKVLKAFPQQAIWTVLALAKSSSKERASRGISILVKVIEVQKRSGRDASTAELRKVIE QGQRLSDELLRIADHELKDAKGTHVSLSKELKFNHKLAPCRLVVPIEACLIPSMPNSQ DAWPPKNFRAFSREPVTIAAVLDDALVLSSLQKPRKLSIRGSDGKLYAVLAKPKDDLR KDQRLMEFNTMINRFLKRDVEASKRRLYIRTYAVVPLNEECGLIEWVNNLKTFRDILI RLYKERGINPNYAQIRALLDEASNCAPDKTAELFESRILTMFPTLFHDWFVETFPDPS AWFAARIRYTRSCAVMSMVGHVLGLGDRHGENILFEEDNGGTLHVDFNCLFDKGLTFE KPEMVPFRLTNNMVDAFGAYGYDGPFRRCCEITLTLLRGNEDALMTILETFLYDPTTD FIGGKKKRTHPNVPNTPQEVLEGVRSKTRGMLDGESVPLSVGGYVEEMIKRATDRNLL ARMYIGWCAFF EPUS_01815 MRLLRWSSQNELSLTDDLDKNIPPYAILSHTWGLDRNEVTFADI QKSQGQSKAGYAKIRFCGEQARKDGIEYFWVDTCCINKDNHVELSKAITLMFSWYRDA KKCYVYLSDVSVRKGDNIGEVQSMWESSLRISRWFTRGWTLQELLAPAIVEFFSQEED FIGTKQTLAQLIHEVTTLPLAALCGTPLAQFPIDEKIRWTKKRQTRETEDGAYCLLGI FNVFMPLIYGEGDNAFRRLKEEINKQCGSNIAGRLGVIDEVRSLGLCRRLAPLNDVDD FIGRAADVGQTAQVSRLGEPRPAKRVRTSYDVNVSGPSNSRSYGNTTISHMEIMGGHI QQSGQGSEHNSMMISEDKYNFLLKSLLFDRIDFRVNNVKKALLSTCQWLFRHPHFRTW YENGCLAQHSGFLWIKGKPGCGKSTLMKTLFEWLRRRKSKDRVQQTIVPYFFNARASA SLEKSSLGLYRTVVHHLLSSYPSIRTLFAEKFALKDPGQLGENWSVEELQEFLYDTIE SNESSGLCLLIDALDEAEYEDDVRQMISFLQQLFDRALALGSSCKLHICLSSRHYPHI SITRGLSLVVEDQLEHGQDIDNYITKELTCLDGPEKGDLRAEILNKSARIFLWVVLVV QILNKLDDRGVPLSEMKARLKTIPAGLNELFQGILLKSDDGIETSMLFFQWMVFRMRP LQPAELFVAMEYSKSPDNPIRTLPTEISVPAPDRLARFVLNCSRGLVEAVEVAPSQAA TVQFIHETVREFLLKENGLASISRALATNSQDQCLLGDIFRSEMRLKLPFLDYAISYL FDHAEQAQQHSISQLAFLKNQIDANGLWLDLHRLWWNVLERYKSKKVTSGVTLIYFIA EQQYSNLLSVLLSVSKPVNTICGTYGSVLQVSSYHGSREIVQMLLDKGAYVNAQGGRY GNALQAASGRGHEKVVQTLLDQGADVHAQGGHYNNALYAASVCGHEKVVQTLLDRGAD VNARGRYSNALQAALECGHEKSGHEKVVQTLLDRGADVNAQGGRYGNALYAASDYGHE KVVQTLLDRGADVNAQGGYYGNALQAASVYSHEKVVQTLLDRGADVNTQGGQYGNALQ AALECGHEKVDRILGLGRTGVTVQREGIALKLPLKRCTIGPHMEQNEIEFDIAVEAIE NEKRVYQRLGKHHSIVDCLDISGVGIQLALMEHGNLQDYLTQNQPSASILLGWFRDMA QALVHIHDRRVIVADIATRNFLVDVNRSVKMSDFSESSILPLSTDMQTADDSGYSIYT DIGQLGTVIYEAVTRQPCSFDLFKGQPPGPATATWPQRTDLPTTDNIWLGSIIERCWT KGALRDARELSAVLDSFSMD EPUS_01816 MAAKTLSPASRPILASRFTRIPHPTTSTRFQPSVQLLPLDRRLI RPLSTYGYMQSKALVYSTHGEPRDKLHLHTYSISPPTRTSITLRMLAAPINPADVNQI QGSYPSKPPLGTALGTSVPSAVGGNEGVAEVLATGGECKTVGKGDWVIMKRTGMGTWR THMQVDEGEVMKIPNKEGLSALQVGTVSVNPVSAYRMVKDYVDWSAFGLRDKEEWLIQ NGANSGVGRAAIQFAKQWGIKSLNVIRERPGWKALKSELEDLGATKVVTESTLMERSF KDQVAEWTKSGREPIRLGLNCVGGKNATALAKLLSPDAQMVTYGAMSKQPTLLPTGLL IFNNITFSGFWVSKWSDRHAREKQQTVEDILNMMREGSFKDVPVQELLWDRGTKEEQL KEAVQGTLEGYRKGKGVFLFGET EPUS_01817 MPSYFQAAGLLALTASAFAAPAPQATTDAPAATATAAPAPPVVS SQVTGPTSHGPYSGQPTTTGALSNTVLAASIPALPPNPTATTYLANGELQDPQPAPYT PAGGLGTNGTEPVYNVKSDFDYQSFALALYQEWIELDLFHHGLATFSAEEFEAAGLTA EDRYLIQFMADQEVGHSTLLTNIIGESAPVQCTYNYPFTTVREFLDFCQKLTRFGESG VYGFLEHLDSRESATLLLQSITTEARQQLIFRQFDGIFPMPVWFEVGVPQSWAWTLLA PYISECPANQTRLAWQNFPALAILNQPNPARLDARQTGINETIDTGMNTLNSTVVPPE EACFDADDELADCNPRISQNRTIPLSYPGRQVYFSWETPGRPVGPNNSYITSTTAGAP KFVLWASQLNVTYSPLTNVSGTTGMTVQPDVSTFEGDPAVNGTMFVVLTDLDLYVTPF NISQVNPHVVAGPALYQAG EPUS_01818 MLRATAILPLACATVAFILSMLVLFAGDSPKILPSVELLRLNTS QVGQGLNLLGDSESSDRGGLGGLFDKGKDALQDVGNDAIDAASSAVTDALDIKDFYSA HLMTFCEGDFKPNAKDPKAEEEVTACSKRKAFYTFDPTEIIESKLPEGLGLSDIKWPD EITNGVRAINMASRAMFFFYLIGIAAAGIGMIGAVFGLLAYEHKVALANLGVNSLGFI CLGLASAIATVVIFRATQAVNDFGGDIGLAADRGTAFLGMTWTATILMLLATIGWVGE FMMGRRRSVYKEESYY EPUS_01819 MSEASPLISPAASTTSFSTACTSISPTEITQPRYLQDEEQSQRQ HKAPVRNYSRPQRRREITSQTLLLSSPLATLIYTASSTAAALPLTSTTSANIPPSYSV VNEKGNVLRKKRASGGRPERLVRMGGPEIRVVVTSSESVSEEFLCP EPUS_01820 MVGKAVHFGGGNIGRGFIAELFHETGYEIVFVDVMDSIIEALQK ADSYTVTEVDDKGEKSKTITNYRAINSKYNEADVIKEISEADVVTCAVGPNILKFIAP PIAKAIKARTMSKPLAVIACENAINATDTLAGFIKDSKNMDKDTLDNLPSKAEFGNSA IDRIVPTQPKDAGLNVVIESFYEWCVETTGFKSGHPEIKGVHWVDNLEPYIERKLFTV NTGHATSAYFGHYKGIRTIHESLQDPEIKKAVNEALDETSQLIVQKHGVTKEEQEKYV NAIITRISNPALEDVTDRVGRAPLRKLSRKERFIGPAAQLAEKGGKVDGLLKGVEMAL RFQNVEGDEESAELAKIMSTTSASEATEKLTGLEKSHPLYEKIVPIVEKVQKEGKK EPUS_01821 MSSIVNEIKQKVVGTSTPQGQHLAALLTSKGSALERDLGFFIAS YPAIPGSDVAGIVISAGSSVPTDAPKPGTRVPAFAPCFFVQGAPDYGALQTRVLVPAV KTVELPEGMAFNEASLLPMAVVTAWSGWYPIGLPRDTSYTAPGKQGMLVWGGASSISS AAIQVAKMMGFKVYTTASEKHHEYLKELGASKTFYYKDERVVENIIKAAKVDGVTIDN GFDAVGQLPSSVEILKALKGEKTAKLASATHIPEDTPKVEGVEAKFVAAPLDERERTE FFSFRF EPUS_01822 MPPDLNSVPPSPKPQVRANPRVSTSSSHRTSATMPPPSSTHSTF VSPTLPHATMAAGDESHTSAGSGPMRHPRPLTAADLHLLLEQEQEGIVNRLTRELSAL RAQTASAASNTSSTSSLAVSTSNEPGSATHPHLHTPHHQYGYQIPTPTSARRHRSSSS LSARSSRSIAGTATGTTGATSVSGVAPARDLPPPTTTTTSSSRPSVELSRDPRPSVSR QSSTRSELRQSQHPTQQLATPAGHGHGHGHGHGHRHSINSQQAAGVGAGSGQGVLASP SGRYEELLAQREELEGLKRENEALRRRIKELEVERRNSSERPTSTSHGGGS EPUS_01823 MPTNQPFFANFLAAFRAHSSPAYKAASSSSTSPTTLASSAHVST TSSTATSTTTTSHTRSIATKAGTSPSTQPSNNSPQSPPSNTNNTFSTAAAVVAASSHI HHVSPLSRSPGVSTPHNMSISPPGGGTSTPLSRGRQRRGSDSSNSSGGFIDALGPEKW YIGGRTATGEEKFYRLGLVTGGPGRRVRSLDRLSL EPUS_01824 MNSFRHLNSGSRKYLCHQFRHRTKGVTGRPFNYVRQASTLPTPV ASLRNLFYGTALVFGSGLLYLYITDTRASLHRWLVVPTLKILYADAEEAHHAGNSALK FLWQFGLYPRERGDPDSAGDLQIEVFGYNLVNPIATSAGIDKDADIPDALFAMGPAIV EVGGVTPLPQEGNAKPRVFRVPSQNALINRYGLNSEGADHVAMHLRHRVREFARAKNY GQDAEAEQYVLDGHAHVPPGSLMPGRLLAVNIAKNKQTPDEDIDRVTDDYVYCVERLG PYADILVVNVSSPNTPGLRSLQQSKPLTTILTGVVNAAQNVNRTKKPAIMVKVSPDED SDEQIAGICDAVWNSGVDGLIVGNTTKARPDPLPLGYKLSPVEEQVLLEQGGYSGPQL FDRTISLVKKYRKLLENRPQKALQSNVERPADSGNRPQYAQQPSDEGAAEPDNQPQQT HQAKAEGPAESEKLILEKPGPIVPPQNVDGVHASVSSGKIDLKNPSDRPDTEKQSLFQ IPSEKYYHAPREMEPDLQEVSEPPAESDKLILEKPGPTATPEKVDGVHASISSGRIDP KKPSGRPDTEKQALLQIPTQKYYNVQRETEPDSLDVSDSSRKFEPGPSMEPSASSKQG VNSPGGPDVSKPVEAKPSVVSDVLPHRDESKVIFASGGITNGRQALEALKAGSDCVSL YTALAYQGVGTISRIKDEMRVEIKKDNDTRRK EPUS_01825 MGNPGRQAAAGKGLASLHQRTPSQGAPTVQTANVDESRASATGG DTRSHENPRASTARYVASGLGQAIPGGYEATLQPSTHTTPFPKDNQWVSPPAVLVDRP CSLPKSQDGQAGSSNLQNATRNQGDAPKPVLTSATAARAEETQHTSHFLDELGAPNYQ KQDHKRSRRTASNTSHLDGSEDQSTGLQHSLHLESKANLAQTGGHDGTCEFEPDPDTS AIRASSSIMLRKRSIESVHAPRGANSTCSGCTLPSKTLPTAIHLEEGEAASRPLNDAE VTMDLKALQIRSAPEELSGIVGRAALTVSPTSPSTADTLRIRAPSLSFKAKKLRGKFD AGALEAQFGIELSAENAQRLRALSGDEMGAAINLYLQGYCNPSESQSPDSSSQRDEHH SRIDKHRNSSGSVSSVEFWQRKRRTDKSSQSPDLEVTRGSPSQRATFLVDSNSVTEVS EQGCAQRDRQSNGLVLGKVYRPELVSQPGSAQDDSGCNIHPKPSSERVVPEDKDRRGG CGSSCIGPEGAGAQSDIVPAIGGVTPTDPEVAEADEKSEHSGTGPVPLRGMQAFANQE NVRPRNPPSSTSRLPNGKLGSGSGWGAFGMPMGGAPGLQSVQQRNMGGNSGIGSFAQN VGGSQPATPLDPLEFPSLSGTPQTQQQNPGQAIWAQRPAQQNPTQNSRQQQSQPSQPN SLQIQPQSQPSHEDMFSAASHFSTGLGDYGQGNQQLSGSTQPQTGNIDEFPPLGRETS SGLGQERRGSLLQSSTFGGYGAGMAFAGMTQQQRTLLSSALDRERMASPAGSGMGLIS TSRSPNPQGQNGNAAQEKLGTIGSGADGSNRQGDFSNQSQQQLPHQSSQMMNNTFGGN DLVDSPSSLQQAGPQPLEQMSDADKFGLPGLLAMMHHPSADLRSLTAQGQDLTTLGLD LTSTEPLHTHLASVFNPTAHTRLPLDADYTLPACYRVANVQNLHERIPSFSEETLFYI FYSSPKDVVQELVADELIGRKWRFHKGEKMWVTRDENYPAPVEVEREVSEQGFYIWWD WKGWKKVRRQYILRYEDLDNGSTRNGSALNQMALSAAPSAVAPAAAGIFNRFEERIFR CRQNAVSRASADQRHAFFHSVLREQLLSQVNTSNNPRDQNEMHTSSPASPNDPLDHTM AGSGMMSTSAGSDDGNDGDGRKGYGKRELSTSKRAAQNRAAQRAFRQRKEGYIKKLEE QVRDYTILNENYKAIQAENYQLRDYIINLQSRLIESQGEFPQPPPNIDGLHPGNPGQQ QHAPQHASQHQGPSQQQHHHAAGPGESSNIAAGLDQMRGAPPAHHLGRAGDPRGHTPE GQSYHAHIHGHGQAPESHSPGLKRMKVDEREMTAAQQALQGRVER EPUS_01826 MATSSDAKGGFKQDMVVSTRYRNDLPPPPMPPKLLDIDNGGLAQ YLTTSFASGLARREEPNIEVDAEGGIPIDMIGIPGYFLGDESAIMAPEIQPVLDTADH NLLLTPEQIRTRGASNTNVSFLRKTQYMTSGNTRANDPLMRSTSRSSKTTKPTKATIP AISRDDPSYIKRYIEKGFNIAYPESAVLANSTPDPLPITTSDRLAWESPTHPSNSKMK PVAFYPLLPDLPAKTDSNSFISVKFDKPPLPVLPNGKRDNRIDVAILHPAKVDEVERE WKLRREAHEKNPDLYEDPGRLPYDWDFCVPRLEGSKGDKDIVERIRRKLNPADPRRDD RDLYLNKEKGRFEYERIRTFAEDSAPMTHGWRYLALSLFDPDTISSGAGGKEVHSRLK KSGQGKAAYYYEIGQRMRLKADRVKTLAQARAQGGQAGKVAAVANEYSVDGVDVLIRE PDEDEVLMRAETRALVDRVFEGSKELRVLRRRAEEREKERELNDVLATAGQPAPGGNA KLDADAEGDIGGTRNGRASRPVNGTATSSRGADTADADIEMADADADADADTDADGDI DDE EPUS_01827 MAFVNGNQSLQLNDESDVEEEALVNDYKEQVNFDDAQSDIEQAS SIGAGATDIQAQLAAAATPLEYQATLETKFASYDNYCSLFHYILNSEGPVDLEVPNYF WAWDVIDEFIYQFESFCRYRNRVARTAPHEDEAQLLRENPNTWGCYSVLNVLYSLIQR SQINEQLAAQKRGEDAMAVVGDYGTRPLYRMLGYFSIIGLLRVHCLLGDFSLALKTLD DIEMNKKAMFARVMAAHFTTYYYVGFSYMMLRRYADAIRMFSHILVYVSRTKNFQKGS NNFDAIAKKNDQMYALIAICVSFVPTRLDDTIHTALREKYGEGLNRLQRGGPEALPLF EELFRSACPKFISPTPPDFDNPSSNIDPVDHQTAIFMDEVKNTIYNPTVKSYLKLYST MDLKKLSSFLEVEPEQLRSWLLVNKQRSRQTRWSEGGLLEGEVVNASELDYAVEGELI HVSEAKQGRRLVDWYLRNLARAY EPUS_01828 MSPIFKAALIQLAPEPLNPTSNFHRASQFIREASAQGADLAVLP EYHLTGWVADSNALTTHALDWETWIQKYQSLARELHINIVPGTIVQADSSFKSTCDST KPGSLNQPSLLNVSTFISDKGEILGTYTKINLWHPERPHLTPSSLSTPHTVLATPLGP VGILICWDLAFPEAFRALVQQGAKIIIIPTFWTASDCMVEGLRLNPKAEALFVESALT VRAFENTCCVVFVNAGGNDDDGPMGLSQVAMPFVGKVKGSFEGNEEGLRVVEVDTEIL EEAERHYKVREDMGREDWHYGYSHGRK EPUS_01829 MDHISVGIIGMGDMGKIVNACDTPDKYDDLLAQFAGQDMVTVMP NGHLVSRSSDWIIYSVPAEGIGKIVAEFGPSTKLGAIVGGQTSCKAPEIAAFESYLPK DVEIISCHSLHGPQVHPKSQPLVIIPHRASEKSVKLVESILSSFESKIVHLSGDKHDR ITADTQAVTHVAFLSMGSAWRANNQFPWEINRYVGGIENVKINITLRIYSNKWHVYAG LAILNPSAQQQIRQYAKSVTELFKLMIGGHRKELENRVKTAGATIFGDVVKGHELLLR DDILDKFSLSGPKERMPNNHLSLLGIVDCWWKLNINPYDHMICSTPLFRIWLGVTEYL FRSEQLLDEVINTAIEDDTFRSDDLEFTFAARAWSDCVSFGNFDSYRERFEEIQKHFE PRFADAVRLVVGIVNGQVQVATYVPQGSDANGVSYSVNIPSSTAQSGNGPIYIQMVAP SGTQWLGLGQGSGMAGANMFVMYSSGSNNVTLSPRLGRGEFPPEVNPAGEITLLEGTG VSAEGVMTANIRCDSCITWDGGSMSPTNGNSNWIWAIRQGNALDSGDISAQIRQHDAY GAFTFDLPAGTSSDSSNPFVQAAAVTQSAGSSEPSSPGDSEASSGESSSRSSGSSSGS SSGGQSNTDQIRMSHGTIMAVVFLFLFPIGALMIYLPVSRRVPYIHAPIQVVSTCLLI VGMILGVILGVRIDEDDGYHQIIGYIVVSSLLLFQPALGLIQHLRYRKLGKRTVFGHI HRWLGRILILLGIINGGLGLHISGEIGSEQVPRWSVIAYSVVAAVVGIVYLALASGVG FSRKRKGGSKEETELNGNASGDMDTNAYHPESFGYDQGVFGGVIVTDDFLNTLGLNGP QHVSTVSTVTAIYDVGCFLGACFSIWYGEKFGRRFTVLTGTTIMSIGAVLQIAAYGVP QMIIGRIVGGIGNGMNTATAPVWQSETSQIKWRGKLVVLEMILNIAGFSISNWVTYGF SFLGGPISWRFPLAFQFVFIFILFATTPWLPESPRWLIAHEREDEAFEILADLEGKDP NDPFILTQHKEIVYAVQYEKKNAIPWSKLLMGKTGSGGGTKTMRRIILGAGTQAMQQL SGINVTSYYLPTVLIESVGLENSQARLLAACNSISYLLFSFIGIPNVERWGRRKMMMY AAAGQCFCYLMITVLLRHTEIPSYPEEKQAKIASASIAFFFLYYVFFGIGWQGVPWLY PTEINSLSMRTKGAAIVVEITPPGIQSLQWKFYMIWTVFNGAFVPIVYLFYPETADRS LEDIDRMYRENPKLLVFRDKSITSSKRPMEYIQHEEEQLRRNGSVDPAMLRRGSKITS PIPNNEDTDYIEHNVVAEDKV EPUS_01830 MPPKKKVERPATENISLGPQVREGENVFGVARIFASFNDTFVHV TDLSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGINALHIKIRATGG NGTKTPGPGAQSALRALARSGMKIGRIEDVTPTPSDSTRRKGGRRGRRL EPUS_01831 MPPPPSTSTPPMPTLIFHSLPNNAFLGLDLLSLTTSPKLQGIKN LPPGYHFLYSSSDASLSTRHGYWFFIPAPTPATEGGQYESKIIPWRWSGENECLIPEA DVSDTESAQADLGRVWERGLLDYTQPGSRKEGGKLGSEWRELTEYITDDLLDRVLGAV ASQDRAGKRGPASRRSWSISSVSSAPQDVEKIPGLTTSEAVIEGEKQLEFLPIDLKRT WREGAVGRERTDAVRDRSWYLGQLIELAVESGGDKRVGAAQLLGELQLCFLMILTLAN WSCLEQWKRILGVLLSCRQALVEVGDYFVAVVRLLRIQLKHCQDVEGGLFDLKEEGAG WLKGLLAQFRRNVEELFGTANGEMLKTELKQLEQDLRDEYGWETGKDILKRGLLELED GERVDMDMNGADEEDETGEYAPVVVDLE EPUS_01832 MASTPSVQCFGKKKTATAVAHCKKGKGLVKVNGKPLSLVQPEIL RFKVYEPLLIVGLDKFAEVDIRVRVTGGGHTSQIYAIRQAIAKSVVAYYQKFVDEYAK NQLKQALVQYDRTLLVADNRRCEPKKFGGPGARARYQKSYR EPUS_01833 MPKLAAARYGKDNIRVCKVERDEATKTHIVVEMTVCVLLEGEIE TSYTEADNSVVVATDTMKNTTYIVAKQNPVTPPELFASILGTHFIETYKHIHTAHIKV TIHKWTRMIIDGKPHPHSFFRDGDETRIVEADVIEGKGIEIRSAISGLLVLKSTGSQF HSFVRNDFTTLPDVYDRILSTSVDAGWTWKTLSSVDEVETEVEKFDETWTKARDITIK TFAEDSSASVQNTMYKMGEQILAAASSVEAVDYSLPNKHYFEIDLSWHHGLKNTGEDA EVYAPQSNPNGLIKCTVTR EPUS_01834 MPLPAFQSLNFDIDKYINPFIPASRLCRLPKPVSRFLGYRDEPR QRIGNIVNWLWSFVGAFCGTALIAGVCKSSAIIQSHAPPVIIGSFVRFPSAMLRQGAA AILEYHTIESPLAQPRNLVLGHLLAALVGIGITKLFALLPEARFEDLRWLAGALAVGT SSVVMGATQTVHPPAGATALLAATSPEITALGWYLLALVLLGSTLMLVSACIINNIHR RFPIYWWTPVDLSDNHNGKATSGIETVAQAEKEEQFSDAGSRRVSRVNTREGMHFERE HEITITAKHITIPDWLEVNDWERNVLEILRERLKIELDPKSEWTEPTGRHSEATAVSS DEEKAEPPVGIL EPUS_01835 MPSQSRAFASYHVSRKSDDGIPKLSKNGRSYSGSSAQYGPRGSS LATSTMPGVAPGSFSTDLKTTSFPRSTASRPELAMSRVTSSGPNDDDPVTESEQRQAV VRDKIAKEMKIKLGTENMLEALQSKNPKQTKEQRQKVEMELGSSKRKLHELHQELNQE IQRAQTPTTPPRNHMSSYFRGSPMKSPPVAPDLPDPEPPSDAFEGESPSLVLTEILQE LEIEGMQPDYYIERANTLVELFKRHPTLKYDLAWSVFSLRVQMMLLSDSTDVVAAGYR LTRYAIADRSSLKTIRALHTDEVVILSLVKKGNAILEREQAVKFVRAFLDVKDGVLDV SRAVVRAVVAVAEQHDDRLHHICILTLAEILMRDPALLIQAGGLNTLTEILSEGTFHA SESLAVGFMKLLDAPRRRGYLKSGREIETFFGPLTDPLYCNGHEERLKTSVKAVAEML KSWSGLLTLSRNNAAAIRSLLGSLQYPTIQAKDLVLELLFDVLRIKAPSWSSTFLAGR RLTTYGRGLHAHHEPAIKRLKHDTDHESQRQDLTSHFSTLVLATLLRAGLIEALTNMI KSEEDLAINRKATLLLTEILRLANYCLPEPARSEIQVLSGLVTERTISDAEECAINAA LIFQIESINRTLNRVSSITTVSRAYDSRGDIHLGSPLVDKSKLSASMDAEQFRQAVLD TQVPNHIQFIKWKWDLIHSIIEGPLTNPKRVEEVLNSKFLKRLTAFYRPFKYRFSTVR NTRPNQRYVRTGCALIKSLMQTAAGIQYLTENKLLRQVAECMAQVDRLSGLTSTSPFF DRSQMVETLSGGYFAMVGTLSQTSDGILMMQKWHMINMFYHIVDLHDREDLVETLLGN MDFSIDSHLRVLLSKALTAGCKQIRIFATKLLRRYAISNELSNAGDVASGSRWVLNLL LTQLYDPDVEVCEIAVKNLEEACNRRDHLEYVVKCRPSLDHLGEIGAPLLLRFLSTSV GYHYLDGLDYITQEMDDWFLGRNDTYVTLVEASLARAYAEQPSHSRNTYSSNDDILEL EDLGVVPPHFYRELARTEEGCRLLEKSGHFNDFASVVRDFELDEEDDETLTKVKGCLW AIGNVGSMELGATFLEETEIVGSIVRIAEGAEVMSLRGTAFFVLGLMSRSKHGNEMLL ELGWKSAVDERGQSLGLCLPDQLSRLCSVTFRHNSTDESKALRENAEKYKAAAIDDNP FHARVLKAVIEMGNTVLAKKVAVELNGLKIRHQNAFKQVSLFKKTLALLESHHYRLWQ RQFILDLFDKGIIRRIVLEEDMEDEMEASTG EPUS_01836 MDVTLNKLLDVVRSYMESYQCETSDAPLMLDERLHWKNIIDSSM DVMPRSRVLNGGRLVATYTFADMGELNYHSEVAYTPLPKQADSIEALDVAVFAHLKEA IKVELECQVCYSLMLDPLTTSCGHTFCRKCVARVLDHSNLCPACRRVIPLLPGAQAEP SNKTTSQLISGLCPDLLQLRIAAAKEEDMVDGNMNVALFPCTLAYPEMPTFLHIFEPR YRLMVRRAIETGGRKFGMMMYNRRGRPQGALGQSHFVQYGTLLHIQNIEIFPDGRSLI ETKGVSRFTVLETAMRDGYMVGRVQRVDDVPLSEEEAMEARETAGPEPPSNDTLGRLN HMSTQRLLQFGLDFIIRAQAASEPWLAERFISVYGPPPSDPAIFPYWFASVLPISEDE KYQLLPTTSVRERLKITARWITRLEAARCGGNFRRWMPPPEFLETDSEPDMAEETSPS ASQPESPADPASNETTEDALPEAEQPN EPUS_01837 MSHPSASMARRLLCQSPAAFFRHCRSNACQSSVRCSSAVAAPQR TYHSARQLPSLQRLEKSSFLYLFVIPRPNGQARPFKTATPTCATTVLQNPRLDEHGNE MTIEISDRAAKRLQQITSSSSKSMSGQNPPQHNHLRVSVTSGGCHGFQYLMSLEPSTA IENDVDTIFASQDSPGSAKVVMDEASLELLKGSTVDYTTELIGSQFKIVGNPRAKSSC GCGTSFDIED EPUS_01838 MGSRHHVEQIKHGPPLAADVDIRANSRGYAESLDAHDPLRNFRH EFIIPSKADLKRPTLSSTDPQEAHSPPSIYLCGNSLGLQPRKTQQRVSSFLTAWSTKG VTGHFRNHSDSALPPFVDVDDYAAKLMAPIVGAREDEVAVMGTLTSNLHLVMAGFYQP TREKYKIILEGKSFPSDHYAVESQISQHGFDAKDAMIMIEPQDDKAIITTEQILETID IHADRTALILLPGIQFYTGQYLDIATITRHAHSKEILIGWDCAHAAGNVGLRLHDWDV DFAVWCNYKYLNSGPGSIGALFVHEKHGRVDMSASTREERYRPRLCGWWGADKQTRFE MDKKFVPRPGAAGYQLSNPSILDLTACIASLEVFHMTSMAELRRKSISLTKYLESLLL RFPIDALPEDKPFTILTPKNSDERGAQLSVRLEPGLLGVILKELDSNGVVVDERKPDV VRIAPAPLSLSESRQAA EPUS_01839 MPVRHLPEEILILIFEELGRRRDFPTLFGCALSSVSFSEPALKI MYRINEQSPLITETDELENLRRRQPGNFDIKSAQQESQLRKWALLWRSIIRSSLSATY KPYCLYIRSLNLDNLKDLLQEGKFSGKIEQDFFAGELQDFHLRTELICTQPTKNRHKI RLVVDFMPILHAVGEAITKKTMLLEELRGPISKEFLPQWIRRLPRLQVLHLQQGHVLT AEAQNAIRENCPSFRSLELYAWTEAKADEHLAALLSTTSGWQNFELYSGSDIGRMSLT AMNHHASTLTSIKLMGLNDDGVRSLGCLKECTALQKLELEAARSFVQLEDLENDAFLK IVAWLNNCCDLKELALNNFYDGPAILAETLATRKFTLTSLSLNKYIASGDKAVAFHAA ISEQLSLQRVYLNGDGEDTTNEELQVLVNALSRLSCLQVLDLNQMSDNFKDHHITTLA LNLPSLETFYPSGSAITDSVFQALAGLKNLRDMQFCGVTHFTATGIADFIWTLDSTTN SGLTLGLWAVDADHMLSSDAEAFLQELISTRLNGRFWVTSCGEDSDFESESD EPUS_01840 MPSLSFTVLPGGLRISCLNSSKSAHVCFTLNALSFFAKYKFQAD QTFNTPSVDETWGCTLQNRALLSIFKKRNLDTKEKETALERCEFELQARADKTKCRLI IRMICRHGVVKTYKLIYEPTNVLRASFDSANSPNHWTTSARTLRDIVEYFGVTTDQLD WSFEKGKVNFTSYTERITDGREIFKLPMHTSVAIERKDFNNFSVQEGLHVGIVVRDFR AIVSHADALGASVTARYSRGNRPMQIAYEVDGILCEFTLMTRGPPANVSSAAVAIRAS TPARDLSVRPVQRPQPQSTDQHLIDRDPTPTLSATIMPPPVANVSVADTVGAPQLSQS MPTNTKAQQTFPTGTPGAPSAVLDQHSLFFPAGEDEHFWDEHDNYTAEQEDFITWDAS GRGSLPSGAARRIRDSETATSLQGSGRSRREAPNGQGIAPTQRLSQLKGLFD EPUS_01841 MTTRLWALGSNSSGQLGVGHYEDVDTPTPCSFIHTQSLYGTTSS AKAHMEQSDALRSPVRKIVAGGNHTIVLCENGAAYAAGNGEALGECVDLASHPSVSAE LSSQDLTPCFKRVTWWENSQLLDTFVDVSATWTASFFVTPPQTQDGYVVRLGRIYVCG KGEKGELGLGKYIFETAKPRQVAVFGDKDYPRCYISTDVERVPLIPGTLTGIWSSVAY TLTCSTDEVHVFGWGSCRKGQLGNSTKADKELWKPRRVTDEDIKQDTEIDRIFTAAVG KDFALYQGTHGKQEDTVIEWRLLGGNSVLKSDGDDVKSFLADLSIPVPSAEMVWPRTS LYASWSNVYILESRTNKVKALGRNDHGQLPPKQLPGVWTMAAGSEHCVGLTPEGGVVT WGWGEHGNCGRRSDETGQGWSVLSLPAVRTETAAGVGAGCATTFIWTAEK EPUS_01842 MSVRRVLVIAGSDSSGGAGLEADQRVLAAHEIYTMTATTALTAQ NTLGVGDIHVVPPKFVGKQIRQVMDDIGCDVVKMGMLASAATIEVIADTLQEYDIKSI ILDPVMVSTSGAQLLPGDAVRILRKKLLPVTTILTPNIPEAILLLRDADEYVKNPSNL DEAKTLAKQVHGLGPKAVLLKGGHIPLAKDYTKPRSDDNASVVVDILYDGSDYTLVEA QYSTSKNTHGTGCSLASAIAANIASDQELPAAVRNACRYVEAGITTSKTLGRGNGPIN HFHSTYHLPFAPGRFIEFLLQRPDVRHVWNSYTQHGFVQQLAQGTLPRDLFKNYLVQD YLYLTHFARTNALAAYKSTSMDSISASAHIVLHIEREMSLHLDYCQQFGLSRSDIEKQ KESQACVAYSRYVLDVGQSQDWLALQMALAPCLLGYGAAAQRLHSSADSVKEGNPYWK WIENYVADDYVEAVRKGSALIESSICKQSPARIEELVKIFIRATEMEIRFWDFGAHS EPUS_01843 MAYGAGDYDSSRRTDYGGYSNGYASGGYSNGNSNGYAATSGYSN GSYGGSGGAGGYGGGSYGGGGGGGGDKMSNLGAGLKTQHWDPATMPKFEKSFYKEDPN ITARSSREVDEFRRKLEITVQGKNVPRPVETFDEAGFPGYVMSEVKAQGFSRPTAIQS QGWPMALSGRDVVGIAETGSGKTLTYCLPAIVHINAQPLLAPGDGPIVLVLAPTRELA VQIQQEITKFGKSSRIRNTCVYGGVPKGQQIRDLSRGVEVCIATPGRLIDMLESGKTN LRRVTYLVLDEADRMLDMGFEPQIRKIIGQIRPDRQTCMWSATWPKEVRQLASDYLND YIQVNIGSMDLSANHRIVQKVEIVSEFEKRDRMTKHLEEIMNDRSNKVLIFTGTKRVA DEITRFLRQDGWPALSIHGDKQQNERDWVLNEFKTGKSPIMVATDVASRGIDVRDITH VFNYDYPNNSEDYVHRIGRTGRAGKSGTAITLFTTDNAKQARDLVNVLTESKQPIDPR LMEMARYSGGGSGGGRGYGGGRGRGGGRGGGAGFTASNSAPLGRSRW EPUS_01844 MAQATSPSLSLQDGGPPHDHRAANRMKSDSDVPIDPSIAQQTSP AYPPPYSPYNAQGPHEMPHYPNQPPPGMYRPNPPDWPPQYGHSHGMPGQYSAGPNVNS ASPATAGSRSGQVYSFVPIPGAQQHKRPRRRYEEIERMYKCGWNGCEKAYGTLNHLNA HVTMQSHGIKRTPEEFKEIRKEWKARKKEEETQRKQEEERNRAQAAATQVDGQPDQPQ AGQGPTYQPGSRPQLPPIGYQSAQAGEVPSPYPAQQGGMVYQQGNGQIGQYGQYPPPQ SPYGQQGQVYQQHNANYQN EPUS_01845 MLTCHSCLRRSIRSLVILDLQSAHPDVLLPSPTTTSSTRNHATI ASIQKGHHLKLLRQAFRKKETPMSRSERIRGPGPVSLRNLRAKEFNKHHLDIRKPDDT TKVLSKYTSDTGLQTELKWTGGDALKLAQAVLDKLKAGIPLQALEMVRLSEKIPGADG SKGVDSVVSWNHIMDYYMSKSLTREAFKVFNEASSMKKRGHKPDAHTYTIMLRGFTMH HRKPHAVEDAMKVYDSIFRPESNIKPSIIHSNAIINCLGRALNMDALWSVAGRLPDRG PGSADKWTYTTILNTMQACAIRDAGQLAETDGDQEAAAKVIQNAIREGRKLWEDVISR WRSGEVNIDQTLVCAMGRLLLLGQRQDWDDIFSLVQQTMGLPRVTPPMVNRHKENTQP LQDALALPPPEADDASPDLEQLELAVADEAAHKVKNEFAVVDLSHRQMGGRDGADTTS PYANVANNVLSLLIEAAIKLKQIPVGKQYWAKLTHPDNEPFVMPDDDNLHSYLRLLRM SRSSKEICDLLRHPVNGALEGVWYRRGTFVIAMSTCARDFKNPNVFTYASTILDLMQS KLSKPDLKIMTMYLSLAMVTTPGISSEIEGEFNAEPGANNLIKAVRRFTYSDLDYRAI VSEWAGDDGEGRNEDEDEADEKLFVGRSRSPHRSNKFDNKEPRSPPEDLLEFMQTLNS AYDKLLNYRLKMTEKLATAFTCQKREVSQALQKLHPEAVSPSRLKSDSEAFEDTFKEQ GAVFRSKRYPDKLGRSSDQKIRGPRVVDLEKRHPFRRDLEESEGGNTRDDRRSERGPR HRGREERWQEQSHRSGQDARENHWPKGGSRPPMSSRGAREDEGTGRFPQFSMSYGGYQ EDRPPGRGSAYPRSSRDSPGDRRRERASGPPMFRRNNREDRRPERGSPRHGLFKGSGD ERRPYRGSDSPMSHRDDRASRRPERDSGFSRGDPGFSRKDNRDNRPARQSFDSSPVSE GWSKAWKQSVEKSGEEGSRKDWVVL EPUS_01846 MRNGAIPLSRRIAATQAGRPPRQIKLHLLQLRWSSTTVGSRSWS TPLAKTIAEAIEATGPISIAAYMRQCLTSPQGGYYTTTRAETSSDQFGSKGDFITSPE ICQVFGELVAIWFVTEWMAQGRRRGGVQLIEVGPGRGTLMDDMLRTIATFKELAASIE GVYLVEAGDGLREKQRRVLCGDNQEMVKTQMGWRCVSRYEGIPVFWVQDINLLPKDEE KAKMPFIIAHEFFDALPIHAFESQHPASETEAKTSSSLVDSAGKRLLAPTRRSTSTSV PSSSSTTPRTNNQWRELLVTPTPKPSSASPLSTQAEIDSTPEFQLALSKTSTRSSLLL PESSPRYQALKSQAGSSIEISPDSLRYIADFARRIGGEKRAPNNVNRSERTTTPITSP PPGSKISSGAALIIDYGPSSTVPLNSLRGIKNHKRVSPFSEPGLVDLSADVDFTALAE GAVQASGGVEVWGPVEQGDFLGALGGAERVGMLIQGLEKGVDVGRSGRGGGLEEKKRV LMGSWKRLVEKGGGGMGRIYKVLAILPEAGGKRVPVGFGGGVEGS EPUS_01847 MAMPSMFLGVCLFKSVLILNILLPRTPFEQAIISLFSILLAVYL LVTSEDPVEDSLMEEGDKPPKCQVSIFVSGAAPDAEDPLVSPWLEMAVSLVAEEEGDD DNAVDIDHEQHHPPQELYLLLDEGQTPPPIRDIVLNSLNIPEDAVPVSEMPQASEEPP SDPYVEEIPDSRGALQVTPVGSPSDMSHSPSTSTTSSSLSTSPTTNGSVDGARSGSNK SIFSALLSSRTNIIGPMKQAMKDRPSIGKSATYERSPRAVRSFKSECASCLEDLPTRK MYKLECKHSYCRECITTLITTAVQTESMFPPKCCLVEIPIKDILSTLDKVQKELYKSK AAEYTLKPENRWYCPDPKCQKWIPPSKLHRLRAGGAKCPSCDTRICGYCRGAAHAAGA DCPEDFGLEATLEEAERQGWRRCHKCRALVELTTATARRVARDVLSREEEEDLARAVS AIEEMERREAEERQRREEYQRTSEAKERRRRQHLERLEAEKRRIEQEEATRRREQAIR KSLTERFDYLQGALLEIQQFQQSSLISRHNSEITSIIEEAEHERAVQKAESDSLHMKL DSNSTLRRNILQSAHMAAVSKLTSEHEAEEDDTFLSMQTHLRGKPNQESRLNTVLDKL RQRQKVEMDILVCSQKAETTGLAENVAVERKALVLGYEVQSVIQNHKFRESGITLKKT VLAERKWFEVIAERRRVMMQELQRRLWEDSGLDPVSAARTVVPGGGGQVGVASSSESA TQGGRSSTDELFLVLEARRESWSRPRHVGSVGA EPUS_01848 MSQRGLTWSGIVNFTGSKEFFIDLLSSAATKRDAKAYITRLQTT PLERKDNGSHTKTSFNPESQIARPEVNLGTFFGHATAVGQSPKFSQYQKSESAAATNQ EQAHHLALVNIVDVPNLDDSVLQGIGLTLSQLCRLSITPCVVLDFSSSSNEAVQPSWR QQMVHQAERLEKAIYKTSAASARILDHVYSLSDATSPPGIFSRRLLMAPIRSRLIPII LPLAFSCQTQTMTPISAHQATLALTREFAGLNVQPMQDEDLTSMTQRLEALQKQTSLD RFIILDPQGGIPHPALHGSIHVFLNMEQEYDDVRKELLAHAKAIEPSSGRPTSLQDET ISPSISDLHPMSLETNRDKVAQDDFVATPGPSRPDNTKSARSEYTRHLENLELLRAVL AYLPSTSSAIVTTPLEAAKSSKSSRQEPSISAVGTRTQRNPLIHNLLTDKPAFSASLP MGRLGRSNNSEKIPSNLATSTFVKRGMPLTMIPDPRMTPWTPDNQGERKLRLTDARID LCRLVHLIDDSFNRKLDVEHYLTRVNGRIAGLVIAGEYEGGALFTWETPPGASPSNVS RQVPYLDKFAVLKRSQGAGGVADIVFNAMVRGCFPNGVCWRSRRDNPVNKWYFERARG TWKIPDTNWTMFWTTEGVEAGVGERFRDYEAVCRSVEPSWADQTKAAD EPUS_01849 MALQLAWIGLGNMGRGMCKNLVRKGHLSKPLLLYNRTTSRASDV SSEIGHSAVATSIEDAVSKSDIIFLCLGHDIAVQDTLTQATQGDVKGKLFVDCSTVHP ETTDKVAKAVEAKGAYFVACPVFGAPAMADAGQLVCVLAGLQEQVDKVKPYCEGVMGR TVIDYSGSAPGKATLLKVIGNTFILSMVETISEGHVVAEKTGLGVDGLHQFIETMFPG PYTAYSNRMRSGDYYQREDPLFSATLARKDAGHAMALAEKAGVHMKNVQLADEYLKDV QDHMGNKGDIAGIYGAKRVEAGLTFENKS EPUS_01850 MELSPETREVNIGGQQREAAPNNIQRETGFTTVPGDLHPSFYLA GNVLGDIGEGMQSAIGSLHRQEQQQQQSTTGASEYGSRHPHGPTAEEWDSVKDVIRQL YVHDQRSLKEVKQELETKYNFRATERMFKARLGPWGLNKNSKNNDWGAMAKLHKIRRE SGKSATEFLIHGRRRTLAQLRKHIRSKSMTEQQFLAAALNIEIPSYIRCYTPEQQDVT DEASCASQLGDGRSVPEPLTSGELLPSPTKLGKPRLSVTSLLNKPNNDALPLAGQIPL SEAVSQTAGRAEAQILNQQQPSRSTNGIMVTSPSRPALNLSSPLATPCQHIQSQLNRM AQQALVPSAAPQCNFEDLDSWVFLTNLLNDADHSPILNCSQCNQSLFSHLNTLDDYVH VNSFSNATTPTSSQLIPVFTSEHQAAALKWVVRCFSACIYMTQGTSNFAQQSLFDAES EVKNMLETDNPLTLTSLHLILSILHVHDQGSIVESIVGSALKVASNMLGCRNPITITI AWMTAAAGQKLPQPGLDASALRRVCQDFTEQLGGSHPHSITALYNLSWNLIIDGAWDE AESNLRRLYQTSCQVLGLSHMQTITALTSLSRVLSNQNKNAAAIETMQEAIERSKNTI GPSHPYRLESKRRLALLYEKVNDKPQMESLYWDVLRGRVKMLGRKHPYTVGARVDLVQ LLRELGKWDDHGQAGRAIEALFEQQDDCKAWYEAF EPUS_01851 MAGVASSAPSPEGWQLAKPLIQQLYVQEDRPLKEVRAYLAEHRN FLATERMFKQRLKEWGFDRKKVKAAEWRYMLRVTRQRRAEGKDSAFHVNGKVIVWSNI RKHLKRKKKTEDEFLSAGPEAEVVEDVICYTPPGSPHLSMTSTTSSQALTAAHGDTYP SRSPNYNITEDWPGLSSSYSFSPESEPSNLSHTNLPSCLSPSAFSDCSSIPDPELQSI ALRPIIIKTLPPLDEAAAFRISRLMSGSHNQVIPIANSEQPSQRLTVILNHDRTDAKS ARSSFSVPEGNVVLWSSPDEQLSDEDMASRWVVYYFQACICECQGDHEGMQENVKEAS KVFRSMLKCLNTYLLTGLTLMTSILYFHDHTKLLQQFLENSCQVIEKVFSRDHPILVP YRYMLLSVSGEQGDKSAMTNALDHAHKAFQLTWGKVHSNTLTALYYHGCSLLESNRFK EAEKQLQECFQLSQENLGPSHFLTVWTLATISRTLSTSNTGREADAVRYLQAAISRCR RMMHEEHPYYLELNRNLATIHLKLRNMSEVEKLYRFVLKGRIKMLGLGREITKASVDD LHDVLVARNKLQEASQLRADIMAMEDRGEGHSSHEITY EPUS_01852 MALDFIDYLAKIDPMQSYVVQRLTGGLVNITARATRLSKSDIPV SRFANHSSFILKYAPPYVAGIGPEAPFSTFRQTIEARALSLFLPSSNSLHHLCEETGV RIPVLLHHDQEEHVLIISDLGPLPNLSEFFDDLASITTATRVSKDSSARTSSVVTPIS PAEGVMIGQKVGSFFAGLHQRTNVEMIQREPYHDPHLLKHDGMLDMVLEAAIRPVKEQ LNLFPDLFPHDAVSMVYQCVEDNFTRTSDEDEKVIALGDCWTGALLIGLGHPTGPPEV AVIDWEFTCVGRGVNGDIAQLLAHLCLFEIAAAWQGRADSGATIKAIMEGLIREYRSR SDALTQIWLAKSNSLAPEPHSLTARLMRSAFLAHGAEIVNNAFWKDWMAQTMAIHVYG SYPPLASDIVLWVWTVGLPQQVDQHFNVASDSSPTKTNHFHPQWDHISSALKLNPTYS LDNLQCLCHTSPLYTQYRAGFTLQSKKQTMVYTAFTQWVEHGPKPKPGPKPRPSQPRP IPSV EPUS_01853 MFKLSRAPPFAAVLRAASGSPLRSSLQKQQRNLSIHEYLSANLL NSYGVGVPAGKVARSAEEAEAVAKEIGGDDMVIKAQVLAGGRGKGYFDNGLKGGVRVI YSAAEAKMFAGQMIGHKLITKQTGERGRLCNAVYICERKFARREFYLAILMDRASQAP VIVASSQGGMDIETVAKETPEAIVTTNIDINQGVTDEIARNIATELGFSEQCIEDAKT AIQNLYKVFMEKDATQIEINPLSETSDHQVLAMDAKLTFDDNAEFRQKEVFSWRDTTQ EDADEVRAAEHGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGSPANFLDVGGGATA EAIRSAFDLITSDPKVTAIFVNIFGGIVRCDAIAQGLINVVQQMNLRTPIVCRLQGTN MEKAHELVNNSGLKIFSIEDLQNAAEKSVQFSKLVKMGREIDVGVEFNLGI EPUS_01854 MRAKLTQTRYLVADGQTELSLADIEQIAAATAGKSAIQTPNLSR RPSIGTLCPSQRETPQHSPQSILQTNGSISNPMNIPWPTAQLPSPSSKDQENDMGNSS PISSCWRFNSSFEQNPTLTNIDPGIIHKKMPLLDHFLPMASDSMQTDIDLPSLDLSSF PECSLHLGDSSFPGSFNDLHLGSSTPTLIPSMTMSSDTLPSLSRGSSADESSGTYRRA VEDHDHFDDVEESWPAFRCNPLRSSASCPPTPGIYLDGLFSLLRNQGAWSSWISRTHL VTPIPAGRVGVESFDDVSRAKLSAVAQSFLYHAFDVHRARSMNGSHAALSHSSSFMIL PPARVLGCFLSAYVRQFETYNVCCSGSLLKPNWLLYQSDTNLSSLLVLLMLAYGAAAD STTEARYFSSGLIEVCRISWQKVVERDISLTRDPTALLSGLLLTTLQAWSGDKTFMEV AMGKRDSYINMLRQAGFFEAQPIEAEGLRQHQTIDAAWEQWKLDENKSRLVYAWVALD QEMSLFYDTAPLASISELKTPLPSTPELWKARSATEWWNRYERQQGAASPSEPSLCKL FRTFVEGEWSHNAKLGPTELRLLLHPLQGIISHLRQFLRCFNDDDVHVKPSRMKTKSV TLARQEEVKELLRNWYQLACNSMETSPLMCANLINFHLISLNVLLDFPELERAARGNP YEIEEILMHCGQVLKNIQAISMDFRPHWWPGAHYRVALIAWAVSMTQRRFQKGSHDQP GTEQDLVAIDALAPDHPSISRFLKRQSGVAAFSNADGSLVSLSSPRKVLAYFSTSLED DSSMRFTTGTRNKLRRLAARTTRGSDDVSNNDYQQKIPKTF EPUS_01855 MHHPSMLPKVDHCQEILKYQFKDRDLCWEALQMAGSGVRSAGSR SIPNGNKRLAIVGDFVLDLILSKDWYDSGALEGTWDNIRQSVVSNAMLGRVGTSSDLV KCIELPNGQKQASSKMMATTVEAVIGAVYLDAGPTGLAAAGNVMQELGIEHGGHAI EPUS_01856 MVNNLANNKSIHILKAAEQGGYGVPGVVSYNLETIVAVVRAAER RRSPAQILLFPWAMTYANGLLVNLAADACNSASVPISLHLDHAQDEASIKRAASMPFD SIMVDMSHYGKEENLQRTAELVQHCHEQGIATEAEPGRINGGEDGVKDTADLEGILTT PEEAMQFIDTGIDFLAPAFGNVHGKYGGIENIKLDLPRLDSVRKASQGKVQLVMHGTN EFTEDILRTCVQHGMTRLNVNDLVLWRYNQYVKENAGKVPLTELMEQGTQLIQERLEW MMDVIGSSGKAQ EPUS_01857 MHFLITGAAGFIGQLVAQTILNEEQYTVLLTDVIDPPIPEGVKY PQNAKILRADLCSAAESVVEKNLDAVYIFHGIMSSGSEENFELGMRVNVEATRSLLET LRKICPGVRVIYASSQAVYGRPVPDIVDESIRPTPESSYGAEKLICETLVNDYTRRGF IDGFSLRFPTVSIRPGKPTAAASSFLSGMIREPLKGQESVIPLQDRSFTSWVCSPRTL AGNLMHVLTVPSLSLPLHDRPINMPGTGVTIQEMIDALEKVGGKDKLKLIKEITDPAQ EKILRSWANNFDNSKAYKMGFQQDPGFEQAVIDYKESLR EPUS_01858 MPPPLSSSAPSRPVTRRHNPLAEDLSPAAHLRTKSTVSTTGKRK SRSFEENGDSYIDAVSSRKILAIAQDLVEEDASGTNRIGAVGAPNAAFAFDSRVAVEV SDNEQDGNDINRDEEAEWMPDEDVEEEDLDPSDLAVYNKFLLEDDTAATVAPSLATLS TSGRVAGKDCPRAGDEVRKDNQTTNLADLILQRIAEKEALDQRKANLQNASINDATSL ASEIPPKVMEVFTKCGQLLSRYKSGPLPKPFKIIPTLPSWPDLLTITRPESWTPNAVF AATKIFISAPPDVAQHYLNTVLLDRVKEDIYETKKLNVHLYNALKKALYKPAAFFKGF LFPLVIENCTLREANIVSSVLVRVSIPVLHSAAALLRLCEIAADQSTTVNTESAGACN IFIRILLEKKYALPYKAVDALVFHFLRFRNSKSAAEDGDTEMSGVQNKSKQIVKDDKL PVLWHQSLLVFAQRYKNDITEDQREALLDLLLVRGHKDIGPEVRRELLVGRGRGTVQQ LDREDVSDGDEREAVKFRGSFTSKVMFNVALAFEFSIGSGNELQLVRRPEVVAGMDLS SGRGQREKKPTEKVKDTSVKKDEPISGQLVPPKYKLPEPDPKWFEKPKEIPKTFHHTK INEKTGEKFDFYRLGPHLESKDKHHYCRAIRDQSTGLFHNVEAPPYHARLSDEEHPQC QDKLLHLFGKDMLAMNGESGHFTTKANVFAREGTLYYETKIISTAPIKELSTEPLAVT QNRTKTMTDTGRGSLTIGFARREHHYGQSLGSNRYSYGVRTAAAASRYGNGSFQSQYW MVKPKNPGHLKDGDVVGLMITLPPLDIHQKVAKGTFDHATDAPQLKVGPKQFKKASKS ASKGKGKKKAVQVAEEETAKPDPTTPANLDIIRDRYPVYYKGNIYHESSEYSPNIYDM SAVPIETSKKATINPETAKPWDMTNDIHPNCEVPYFRTLPGSKIEMWLNGEYQGVVFE HLLAFLPPCSYIDVSGAKKQIKEPYDDGTLGYYPAISTYQGGACAVRFDEPFWHGVPK DRPEARPFGIRYNEQIAEDEAADLVDEVAWGIGSGGAEAQIQAPGTVAQQEA EPUS_01859 MASTNDALWFLQPFQIFSTLGAAVNFGGSVLQSPLIMPMLQMPE VPVKYAGQQTAYLLHHSEHIFPPLNAACTLSNLITTIIAFLNRNSHSVASAKLPYLYM ATAANIATTAYALLIMVPMNRKQTAIAEKLKDRENENEEKELRRLQNRWQRRNYGRAM IMIAGSVAGMMGLLAQHA EPUS_01860 MGATQRYYTSKLGNDSDLRILFITRHQSNAMRHTRRQHGERQKL AARSPSIKLVQGNLDDIPSLFCAAKELEQKPIWGVFSVQVSMGKGVTVDGQIQQGKGL VDESIKQGVKHFVYSSIERGGDEVSWDNETPVPHFQTKYHIERHLRDVAGDKMGWTIL RPVAFMDNLQPNFPTKVFMTALRDTLNGKPLQWVATSDIGFFAAQALEKPEEWDHKAF GLAGDELDFAGLCKVFENKTGAPIGTTFGLLGSALKWGVPEMNHMLMWFGSDGYKADI QKIRSIHPAMMDMETWLVKSSNFRTI EPUS_01861 MAESNPHISITPTFLTLPIELRLQIYSQVFADSRIRLPLPPDHD RRNKDLIRGEQSQPHHLQFVCRQIYLEAHPIFYGTVTWHFLHQDALDSFFGPCEDQQR QQRIRWIKHIRLSCVDMLKLVPFPELTALKTVEIQVDGAAYFNYADGGGAMFDVQLSA GELSALARETIRETLAAASEYVRDVYESLRCQRKSSVLFLVDHTFCGKTGLRRIPVLA FVIFLGRCCYWMGPLMERLLLLMVTILDPVVMMLESMDCWWHDKIFSLHVMPLDMVFQ CPTGGEASGGEIAFATGELPPVARFMLLETVERFEPVYGALAI EPUS_01862 MACTSSLTIEKAFDKLKGSLSSQDAQDFLNTTLKDVWSAAVVIE QDQAARKAMKNVRRIQPFLNTMESYSRVIEVFCQGYPPMAFVWGPMKLMLQLSSQYIH VFDKLLDTYRDIANVVPRIDRLRAIFGDSDDFRRSLSLVYVDILDFHQRAYKIFRRRS WHLMFAVSWGMFEYRIQAIMNSLEKNTDNVDKEAAALHFEHMKEARERAERDANDYER RRQNAMVHEVLGWLSADEDRQDETLQRLSDSRQPETCDWIKSKPKFQTWCGGDADSRL IWLQGKPGSGKTILSSFIIEHLKCKEQQVTVSYYFCNHRMVDRDTMSNILCTSAVQIL RQHPEIVPVVHSEYYEKWSGKASRTMKKMLKDILAGVSCARIVIDGLDECAPEVQKEL PKVLADIHETVGKSFKILICSRLVADPKAMILLRGETENAIKLYVKNEVRKLCNDIEF VPDEDAKWIENRLASKADDTIAS EPUS_01863 MVSVLSSSLNGGSWLLHHHTNGSRLAAHAHDSQKRPLVDEYWPR RSKQACYGQVGEFQSGAVEQTTSSIRRTVTIPNVLKDNAEQQSICYGMFVDPSIEVNR AQSGHPILVCLNPDGQTLIEVDIDGQERAVGTLRRKSVEILKTLQKENSLSLQYLLRW ISGSAYEGTYSWGKEDRVTSQPRWSLFVNLYGPTRLAEDVGSFAEACGICLQDPEYCD RNVEYCNPHRLPRDDGAIFYTQSLPRTEFKLGVEETIEDPFDLLATTEDDDSFSDAPL PLGLRTMLYRHQIRGLSWMSTRERGWDFDGHGRDMWKAQKSQNGPTRYVNRATGDWQL EAPADFRGGILADDMGLGKTLTVISLIVSDLSRSHPSAVLPQTRVASIEGPKTTLLIV PLSLLQVWGHQIQHHVEPGTLRYHVYYGKDRNRTQLELDRLDLVITTYSVVSKEFEKG LSSPCGPGQLHTTVWHRIVLDEAHTIRVHSTVSARAACALNAQRRWAVTGTPIQNRLS DLSSLFKFLRMSPFDEPQTFKRYVTRTWKSRSDPEAVARLKVLVNSVTLRRTKATISL PKRNDEIHVLEFEYDEAQLYEKIKAQTRQKIEELGDLLPSHTFLNALKWINDLRLVCN HGVAFEHSHIRFKPDNLTYEADTQPPTPYPDLKINECPSPMRIDSHLDNVISEANSST PASSACLSDSMDATPVSYQDPTSSVSNSPQPEEEWNEISANMIFRDLVVSGLANCSVC LTDLSYAKRSNGSMQHSNVPCISKSHLLCALCFTEKALPTEKYFAVSLSPLRDEQKYL DPGYISSSSRSPGTAPPNVEIGPTLVSTKIKTLVSDLSRRPHGEKSVVFSYWTRTLDM VQRQLGVAGIRFARLDGSMSSSVRGSAIRSFQTDHEITVFLVSITCGGVGLDLTAANK AYIMEPQWNPMIEEQALDRIHRLGQTKEVTTVRYVIRGSFEENVRIIQKRKKDLAHLA FQKAPLKKEDLTYGRLQYLKDLVG EPUS_01864 MSDSGSASAAAGASSSNSASSKTSAMAKAFQHLDLDGHDLPPSP APSSPRSGRRYALATELVFTEGSDQYNASSVPIYQSATFKQTSATGGVSNEYDYTRSG NPTRTHLERHLAKIMRAQRALVVSSGMGALDVITRLLRPGNEVVTGDDLYGGTNRLLK YLSTHGGIIVHHVDTTDPSKVKEVLTPKTAMVLLETPTNPLIKIVDIPTIASLAHNAN PTCLVSVDNTMLSPLLQNPLDLGADIVYESGTKYLSGHHDLMAGVLAINDPKIGEKLF FTINASGCGLSPFDSWLLMRGVKTLKVRMDTQQANAMLIARFLESTGFKVRYPGLKSH PQYELHNSMARGAGAVLSFETGDVALSERIVESAKLWAISVSFGCVNSLISMPCRMSH ASIDEKTRRERGVPEDLIRLCVGIEDGDDLLDDLRRALVQAGAMNVSLDGIHAANPTL DIQHPPEGEHLAAKAAAINEP EPUS_01865 MAVSCVNTGFAEASSISLPMSVIAVCDSVEHDALIISIDGKARR EDTSLAQVVSGRYSGPNSEFNGAPGSVRQPPPTKERATIVAASSAVNFAATVIQHNIE IQKVIIMTHCDWLIDHRLKSIGLAYRGFRQLKESNVAVLFWKVADHLIPEPGRPANDS CDRFKSDPEAQYEWETWYGRHIFTMMLRQMMIKNAEDEGYDGESSDNTQACPCPNCGL YGQDIANRSRKEEWNRRDENTCLCSNCREFVRTHGNPKDNAAKRTLGEENFQKLDQPV MAKSGENEITKSNTTPEGEHATVDEVDVEMKDEL EPUS_01866 MSGSLVLKLSKTSAQPATECGRGVERHGSLANTTVQVFTTYCSY AARGGSFGLRYVRVWQQIGDQLLAGSENWSPVLETSSCFSITAAEILLPRSFDPSTAR RYGKPTLPPNRLVIDSPKYDFSKLRYFQFLPGRPRPLWVEKQSIFVSIDGASRGNHNR DITSRAAYGVYFGVSSPHNSYGRLASHLPQTSSRAEIEGAIQAIEAIAKIDLTGQRTT KVVIKTDSEYLHKSMTEWIWNWITAGGLKSNGEEVQHWKYLLALHKRIIEVEHAKRIR VLFWRVPREFNTRADTLANLALDQNDSAYGSN EPUS_01868 MVTKVGINGFGRIGRLVFRNAVEHGQVNVVAVNDPFIDPKYAAY MLKYDSQHGQFKGSIEVKGEKLVVNGQEVAFYMKKDPAEIPWSDTGAYYIVECTGVFT TKDKAAAHLKGGAKKVVISAPSADASMFVMGVNEKSYKSDIEVISNASCTTNCLAPLA KIIHDKFGIKEGLMTTIHSYTATQKTVDGPSAKDWRGGRTAAQNIIPSSTGAAKAVGK VIPELNGKLTGMSMRVPTSNVSVVDLTCVTEKPCSYDEIKAAVKEAAEGPMKGIMAYS EDELVSSDLNGDDHSAIFDAKAGIALNDHFIKLVAWYDNEWGYSRRVLDLLAYIAKVD GNA EPUS_01869 MPRRPKTNTKGQVFHTTPHTFALVNLKPLLPGHILICPLHQPQT ANSPRQPPPSRLTQLSPTQTSDLFHTVRRLTPTLTRLYSAHAFNIAIQDGAAAGQSVP HLHVHVIPRREGDMDARGGGDRVYEVLEKGEEGDIGAHFRARDGMEGEDGGARREKRF AADSERKPRSEEEMRGEAEWLREELERDGIYSTPAAITSGT EPUS_01870 MADQSEVWSIEHLVPGGRSPALCNLDKELVLFALFALFALFALF ALFALFALFALFALFALFALFALFALFALFALFALFALFALFALFALFALFALFALFA LFALFALFALFALFALFALFALFALFALFALFALFALFALFALFALFALFALFALFAL FALFALFALFALFALFALFALFALFALFALFALFALLLCRFPVPPVLDKNNISTDYTH LYPYLPVIQASKHLTAITFIENPYLLAALPHRLPENSTSPIPFFHMVERLKCEKREGW RRFGIRDGESIADHMYRMSIMTMLAPPALSSRLDIPRCTKMALVHDMAEALVGDLTPV DNVPKVEKSRREAETMDYLCNSLLGKVHGGENGASIRKIWQEYEDSETAESHFVHDVD KVELMLQMVEYERAHDTTLDLSEFSWVHDRLILPEMKEWGQEILVERRRLWENKQVTP KEPKEVKTLQEQQDQYYGESNGKAV EPUS_01871 MQVSVPKIAGTTTPAGSKTQTADVTAPNWAGPSNIVAFLRCLRL LDLDLLDDWPVIHKELFSAKTSQQNLQLRVKCVEWSLYRLFETWDPAYTKDKLRPFFP PLAPLQSINLRAALYRGLTELKKNGILGKEAILRKTMLDECKGDKFEEVLRVFAMAIL RKEACRRLHSASPTPTRYVEVLTAPEDLSIEQREGLLPLVLAHRIDLKKQLDERRRID GEFRNEMRHLEDARAKLREKRKVVLARQGKLPNITQEKLEAISDDVQVAWTGNEQWAE VLLHGGLSNLELPLTGNAVDDGAQQIRSTTENEPLSCPPNNLLADLNERIEKHRSRLR NWTEFRDSLEKSQKDPKRETPVQTRKAILDFTAHQELRPGIPRNESVVSTETVPQAPS YHSEVIEAMRAELANLRAYNSSRKVFPTKSSSQYHVKRDVQQKHNSTGTMSNTDGPPP EGKSGDAEIFRGDGTTGQSSHQAGEYDVWQQDVSKHGEDYFSQIQSDDTEGTSLIQNT HIAQQPSEPSRARVPNNNVEQSKTTLSEASKCHSIESSGVQLPLVSRNRYDDELSSPT FKQGRLRLPPQSRQLLQNQTTTQQSTDPVPTESEPDIFSANLPSPSPPKPTGTTLLER TRQSMALLPSSTDTAPINQTHPTTSSQDRQPQNLNLKPKPKPKHPGLSPATTLPPNRS ATAIPKHHPLSRAKTTPHPKPTPDQTQTAQETSSASYSTPQRGSDRGGDVDADLDLFS EQADYASVFKSRPKVAVSPPVIGSSSPERGPGRRGSTFGSLGEEEHENEVDDQGSGWG WR EPUS_01872 MGLEDLLTGRTILAPQLYLVPLNNSFPVDGPLPANSYYVTGSPQ QWHNEGAFFQDAEETILYNFGGYTDDEQQPINALNTYNVTSSEWSNVTVSGGDFNYAG RAATSHARSLGTSEALSFVTGGWDDVGGMIRFNASDPANPQWQNETDNNPPLTLEGSM QFIRLGPKGSLIHFGGYNKDYINPNYTGWSFDRRSLAQISVYDIDSATWFNVTASGDI PSDRSAFCSVVSSAPDDSSFQITIYGGWDLFGARSFADTYVLSIPAFQWINVTDSSHP DAHLSAGNDFSGRDHHRCVAYKERQMLVLGGILRIEREQQNSGGCNRSLPALRALDLT TFQWSDRWNGSPEPYSVPDAVTRIIGGNGGGGATMKQPNGGFNDSALNSVFAAVAARY TPIATRQSDPGGSSPISNGGYSPARRTNRGAIAGWVVGGIALLALILAVVFMLIRRRK RGGKASPLHHPPQHAWDDKPQEMSNDTQTRHFRPGVYYKPVTQEMDGGYRGSEVTSDT SDPRRPVGELPG EPUS_01873 MSMPVNMDHAQHNEPSALLPSFIQSSAAMKGLESSNDPSFMFNG ALRPALKAGHLAAFDENSGNPSPARQSPAESTPEIANAISGRLNLDSVSDEEDDDDSV MDDVSDDVYFDELPPHGLPISSLPTGLCYDERMRYHAEVATINESNYHPEDPRRIFFI YKELCEAGLVDDLKSRKPLVRQPLFRIDAREATEEECCLIHTPKHYEFVKHTSEMDND ELIELSEASHLDSIYFNKLSYFSSKLSAGGAIETCRAIFQGKVKNAIAVIRPPGHHAE VSSTMGFCLFNNTCIASRVCQNEFREKCRKILILDWDVHHGNGCQKAFYSDPNILYIS IHVHMDGKFYPAGDDGDMLHCGAGPGLGKNVNIPWLTKGMGDGDYMYAFQQVVMPIAQ EFDPDFVIVAAGFDAAAGDELGGCFVSPACYAHMTHMLMTLAGGKVAVCLEGGYNFRS ISKSALAVTRTLMGEPPDRLLPTAATNSAVEIVRQVSMIQSKVSTNWLYKDPVNGVVF GERLHDIIREWQAKHLYDEYKLSNLHVFRSKISKSFNYQVLATPVFDEKKSLLVIMHD PPEMMGIPNAISGQLELHNTWLADEVKDYIKWAVDHSFGVIDVNIPELITTNIGDQPS SYLKPNNEEAQKQAEKLAGYLWENYIEPSEAENLYFMGVGNAFHGIVKLLCDRDSVHQ RVTSVIVFINDNPVRPVHSNENPSLSRWYSQNSNVFVAHTHSLWSKTDRKMSRRYGKV ERSPGTTLNEMLIVHRDQVFGLLAERSGSNQIEPGERMNETDDLVMSLEKSDVQDRLA HERG EPUS_01874 MASFGKTSFSHASYASFRPSYPQHLYAIVLGFHNGPRRLLVDLG TGHGLICRSLASVFEKVVGTDPSEGMIRQAKTATTKDEYPNIDFVRASAESLLFIKDG SVDMAVAGQAAHWFDYPKLFKEMRRIIRPGGTLAFWGYTDYVFRDYPKASRLLHETAY GMSKDLLGPYWQQPGRSIVQNFLRDVKPPLGEWEVERREYDPNLLGPQSKQGRFVMER RMPVVATMEYMRTWSSYHGWKETHPDKRARSAGGKGDVVDAVFEQFREAEPDWQGQEN WQDKEVDIEWGTALVLARKKE EPUS_01875 METAVKLFFSSPKFAVAGASQNTSKFGYRVLAWYHTHGLPVTPI NPTSSEISLPSEAYSTVASPSALENPSQTALSVITPPNVTRKILEEAKSVGIQAVWLQ PGSFDEEDLEYAKANFKAAVGGPGGRGGEGWCVLVDGESALKAVKRDWKSQKL EPUS_01876 MSGSYFPDFDHSTRPSLHLTKAGAHPPLFIPPNSPSATTSLARS HSLTHQAPSSVNSLKRKRVCRNHYAESASTSQHNTPWEKTESPSAQSIVTYTPVAESP AALVNTGYHIAGGLDTPLAAKLDAEEKQDEIMRELDYRPNRITLTARQKSGSYFPRTP ATAASVGYIRHERARSTDQSGWGRAVVNLVGGVAGKVLNFCWNGAFRGFQAGGGHAYR MNGDTPANIEQSNWTDIGEKDDVFTKQYQEQHYHSLAPVPGQFPEEGFIDDYMSQPQA YRGDQLSTPGPEGDRGWSILRGNWVLVDNTDGNDESDRSPVPTASKNPRTGYDLRRPA SRALFTAPGNRPRLVPSRPSLAGSPGCNIKRPASFASPRASPGRSCASRTDSHMASSP GHRRSRSSMASSPRRATEVGSRHSFTTPSSPDVDKFEKKIRRKERKEDESIQRLNKQL QDMIREGKEALGTTIEIEDDSDNG EPUS_01877 MASSTEPKTIPPALPRHLRQPGEDGYRTPVIEDPEHPAHHRHRN VRSARSSSSQALNPPGNGLLEMSSGSRGQAPQSEKNHLNHEGDRCYLPEGFPKNLSWR QRLKHTTWAYFTVTMATGGIANVVATVPTSYRFNGIEVIGTIFFLLNLVFYIAIWMMI GLRFYFHPRTFKSSFTHPTESLFAPATVVSFGTILINIVQYGIDDAGSWLSKAAYALF WLNAVLAITLSVSIYLILWSTQYFSVANMTPIWIFPAYPLLIVGPFAGVLSSKMSNQA ENLTIIIAGFTVQGVGFLVAFSIYAAFVYRLMTQKLPQESLRPGMFVSVGPSGFTVAG TITMAANIRSALPKNYMDAGDSELTAQIIQVVANWMCLWLWGLAVWFFLIAVGAHYSC VRGNMLFAMNWFSFIFPNTALITATFAVAKAFNSPAIDIIGSVMTCILILTWLFVVFM MFRAIYLKQILWPQKGEDRDEGGFHSKDAESLSISAESPQP EPUS_01878 MSSQVQENLVSSNEKYASNFKHGDLPLPPAKKYLVVTCMDARID PAAAFGISLGDAHVIRNMMFTQAGASASDALRSIIISQQLLGTNSVLLIKHTGCGMLT FENRDIYQVINRNLGQGATGELEAQSFDFQPFPDLEGAVREDVEFLRKSQLVAKGVEV SGWVYEVESGRVRKVI EPUS_01879 MLNPQHTNNRSPSLDHPVHDLRDGSSIGSATERRSILNAGVSAP GLTPRSEAARSRGASPSDRQKSREYVQSIIAHSRRYTANSLYPGQNYLGLAAWLQEET HEKSILNDRHKVAVLHCLTADGPTQIQHYAHQDLERFSTQMRSENKINKILFLRGYAS PDWISMIGGICRIDPEYFNRHLAFMTTFVYRNTFSKPSLSSTCRNIITLRINTILDGY SQRDAFKNLAARRISEAADLNTYSRLYQRTASYGDSIVKGFYTLDEQYSILEQEISVC VRESKQGWLALVWLDVGRDLTLGPKGPWLSHHVLSGSANSLPVIQHHVSMVTKQTYTE RANTSIDSFGISTLSDSAIQQSTMLLPFDFNSLADSETAKQDVFYALNTVFQHAAFSH VQLINLMEYQIDKEMGILARERDRSHSLDNLQYFRSILDRYVRQIRDVICATKSQGRG GWPSASDTKGQSKCSLTVDRLLEDYDGLLLRALGLCERCTEGMGIMMNRAVVAESKKA IDQAERLKKLTLLASFFIPLSFITSLFGANFSEFAPGNYLSIWSFFAASVPVLLLTYC FYLWDVGAWFVWLRELARVRVRR EPUS_01880 MALTAGDVEADIHYLSRSDIYKSVKPYTLRYKPPGLLPISNVIR EKECTIIRNMRHHWDALKYDSCGFQVVELDTQMTFDDFSDVDKIDRVHRPEIEKCVKR TMQASSVQVLDYVIRRRHVSFPIATGEPYEWQQPASRAHIDFTFNAGVSTIRNAFNEK ADAILAARWQFVNIWHPLKGPLVDWPLAFCDAASVDFDNDTMAGDVVERTKAFENTQV HFNPQQKWYYLKDQLPSELLMFKNADSESTSGATTGRLVCGNQKSRRFLLIDIRSSSR LILQSTGFTNRDATRKH EPUS_01881 MTKQEDTWERVMKLLKVTTRSTSKIAAERFLEESAILFDIFARF EGLHLRAPILSAFETKATTIHERRFAKNLRAILVDKAFCTTHAPLEEFLALPLDHMSI CNFEKSTNGLQTVIEFITMVLKDADQCIAKRLEAMNTIPCTYSPIASDQSIISFERIA EEHNEARMRSRLQEGNTSLITEQGSSVPSQGFEFVPTVTSFSTPRREPRLPCYVLDSI VCNPDFFGRVDILQILENSLLPTRTKFISSESTRTKYFALSGMGGLGKTEIAAQFAFR HKEKFDAVFWVRADEIAKLDQSYSHIAQKLGLETTAESTSQVVSKGLVKEWLSNPWTS SRIMGNIEVSSQTQATWLIIFDNADEPSIVADYWPVMGNGSVLITSRDPIAKTYYSKE ISGIDLEPFSDAEGASLLKALTLDDDVSGVAEHISRRLGGLPLALTQMASVIRRQELS FSEFMKSYENEREHSELHGLRYSTGGGNYSYTISSVWRLDEFDPRPRSLLRTLAFLDP DCIEEIIFEEWSTHLPAQEFFGKLGEYRATRTELLQSSLIKRNKEKSQLSIHRMVQDV VIAQMSTEDISQFFWNAVCNLALQWPSGRAAPSKKKLQSTPPKAHSIREWPRCQTLYP HVLRLKQIWQKFFQDKPAAYDIQWAGLLADAAWWQFERGRTRSFDGFYDIALSICEKS DDPDRESLLVDICLGIAAIAAEANDHNSSRAFKLKALDYQLQYLQGTDIQDARLSRCF SELAIALIQDKDYATAENNLLQGMEINNRIGSFSALAYANLGLLYTFQEKYEAAEEVL GWALSKQEEMFGKMDKVSFRTGRVLYAFGNLRAAQGRLPESHEYHQMALEQFRSTIGD HHHRTADVCHKVAEHLIRIEHYKDAMSMIDQALLIWGLDPKVYQPEIARTTFLKGQLL KTLRKHEKAEKLIEKATTFWSATVGFSSLREKHSQPTQEDFDNLVTFWSR EPUS_01882 MTAELIRSFKFRRIPDLGPIVRDRYFVLVTDYAVEELDQALEDM MSSLKVVTGPNDPELRFDTLHKPDWPLTAPTDMTGICKKVFSSPKSTESLALARRWLA TCQKGHLECSRTGSSQLPTRVIDLRPSTSDLQARLYVTNGENAPYVALSYCWGGDVPS KTTSMNIERYRDALNISELPLTFRDAIFLTRGLGFRYLWIDALCIIQDDFKDWEQEAA VMGNVYAGSVFTISALSSQSSLEGFLHERPPEITKTVNIGSHSVPNSEAGINLSVRKI KRTHFDYCLSYRGWTLQERLLATALLHYTPEGMIWECRTHCVREHGEGHPTSGMLKAL NHFIGISDMDSLWQRVVQDYTTRALTVEGDKLPAIAGVARYFRNSRSEDDEYLAGIWK DTIPESLRWIAYEGSMVPQPRGYRAPSWSWAAVNGKVEWLIETSNEILVDDLGFQLLD GEVEDSPPGSMGRVVTGFLDIYGVVRECFFEKQDAWNGKLHIDKEQTCICWTDRELSS SNCFALALGLWRFLHGSINHLYQLEDQCRIWYLVLERCDDGHMVSCDTSESEDESIPE LKFKRLGIAYADFEVLPSLPEHKQTLLRVI EPUS_01883 MASSFSRASNRRSANISGTRSRLSTSLAEDYVETPPYHEDVPPK EFEEAMDDRSTERTSKLLRRDLSTTERRNEKRSVVTREKVVRRSPVKDSSSAGNRVDD GRQRDVVESPKFRRKQRDTGEPTSPWSPQATLVPHSSAPLASRISAPPVSSVAPRTLL PKPFQDMPMQEQESVLLDDLLYVFMGYEGQYVRFTDSYNPTEEKAKLAGPDFRILPGL DPSLKDLATSMLKMATHYSAIEAFVEAQSREEFGSVNHALCAAIRKLLKDYLILVAQL EWKVISDQSFTLHQMHLHVIPTAQSLAHLYALAQELLKKNSLLEEDLDESIDDFDDAD NILERLREGGDLLPGSMTKKGCIGGNVLALLTQRLETYSGDPSARTLLEMLLREASRP YVSMLNEWLHHGGIKDPHSEFLIGERSGIKRERMDEDYTDEYWEKRYYIRDREVPTQL EAVKEKILLAGKYLNVVRECGGVNISKKITNIPQTFSDPQFLDNVNTAYSHANSSLLS LLLTENSLRSRLRSLKHYFFLDRAEFFLYFLELSSSELRKPNKSVNIGKLQSLLDLVL RQPGSIAAADPFKEDVRVKMNEISLTQWLMKIVMVQGIDQDNQDSMVERYQATPAPSV TKEDDKEINGFDALEIDYTVPFPLSLVISRKTVLRYQLLFRYTLALRHLETMLVDCWS EHTKTQSWIHKSSSPRIEIWKRRAWTLRARMLVFVQQMLFFATTEVIEPNWQKLMAKV DEAKAPDDLSHQTSQQEQQPPALPSSSTTTKTKRTVDELMQDHLDMLDSCMKDLGLTQ GKLLKIHAKLMMGCTMFATYTSSLSRSLYAADPDLTNPNTTTITTNHAAEPNKTTTTT AAAAAATAPNTYPERECRVRSLPPRAHGRNPQEIRGPLQSPPQDLDRHGCKEKTDSET QIKSLATADPDESFVRRGPEQLGRPRLEASMAASAATSDPVDVFNQGSKRSQGLGQEN GLEFCGWEENSSCTTA EPUS_01884 MFIARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATSH GILLGVEKRVTSPLLVSSSIEKIVEIDSHIGCAMSGLQADARSMIEHARVESQNHAFH YNEKLGVESCTQAICDLALRFGEGADGEESIMSRPFGVALLIAGWDDDGPQLYHAEPS GTFYRYDAKAIGSGSEGAQAELQTEYHRSLTLEEAEQLVLKTLKQVMEEKLDAKNVQL ASVTKDKGFRIYGEDAMAEVVGRLESN EPUS_01885 MAAIMIFVRSMYSVAEPQAGFGGKLANDEVLSVICERPMVIIAS GALTAFHPVFCFVREWRASGKSRDRRKAENIKANKKAGRISLQHLDSSLPSNTRMMNF QHLGRACGDSKSMQRLTLAVLLMLLIITPISLSSLLIPPVVMSLVIINLLVLIAESVA RCKS EPUS_01886 MASRQEVPSDVPTAEAWESHREEIKRLYRQENKTIKQVRQVMKE QHDFCASDRMYQIRIHRWDFDKKLKSAEVAYALQKIESRRSMGKDTEIRIRGKTFSEE RLRRYVERSKLVPEEDASTPPAVTARTPPPEEDSPLMNSSPATSASNTPTFSGTPLDE ESRTARFSGSEPPPTKKRSWTSPPRIRSHLSTPTSMGTSQDASYPLPRFLAASASTSS LPNTFLLPRAISSTNLLTHIDHYYKEYFASPRWASWTGNNLYIDELQGSSFPSLSPVF TPGISFRPSWQADPAFVVHSIQTACQLFRSARYTLARRCLDHANSQIKALLLEQGPLL LSCLLSAVSLLDFLRAEMPPDTHRPDALRLFLEFVSEMAETKLRSDHPIAQLCSSFVQ LRTDHRSVAQAALRRIMEHFRNGAGPQHPYYIRLEYQYAWLLIWQGRFDEARAALEGF LDPVEFRSHYDKPASHASRYLLAQTYIALYRFQEAERCFHLIIEQAKERFGESQAHLL TFEAWRMLAVIADTQERFDARSRWEEEALECGEMAFGPHDPRVMHLQRVISGLEARTA PEKRWHFIWAFPPVEDWKVTT EPUS_01887 MSQDDGLLLFRNAIAAQALPVLTTSQDVNTAESNKVDSLAIATH LYFSNPLPQCLPLSASTRFVSTTPDTTAVDLRSIYFAWVKKDVPVNEYIALAQELDGQ LPEGQRIRNLVFVERLDLITWLEGASDESEYIKPLKGSGSGSTDVTAGVADVAAGAGV PTVSGAGVGVVQQTSVGGRPVKVIDARLQEIYNGERLMGDRNSVLRGIKPTDFSHVRK TAEAFLGRHRKPPPGQQNRPGQPLKPGPTTLANRPQSGPQKAASSQSKRQDPIILLSP SASSLLRLSNIKSFLDSGLFVPADHPQLSSQTSTNLLHITRPLRSLDPSGRPYRFIIV DSPEQFKPDYWNRVVAVFTTGQVWQFRSYKWREPQELFGHILGVYVGERGQHPPNEMY KQEKVGGGGTGRRSKAYGELSKATCEAKESGVGEDSGQAPTQGGDPGLQRTSIQYRGI FFLPEDAPIEKLQGRRQ EPUS_01888 MSAAASTGGPKNCSMISSSTRTHSKSDHSATSSYSLPYDSASGA SSSDSLRGSHYRSPSAHNRASRRSLAAFARDKTSSAWSNLNTIGNTSTPSLRSATSSS SLSRQSRAFPSLADPPPSLPDDPMPKAESKTSHEPRSTPSPTRSPPLHSAKNSVDTSP TSAPAQSHSTTAGGYNKMHQTSSRLLRMTDEERPFTKDFKDLFSTLIVSLPLNAHRVR FTRMEQTFTSEEAINNLGSLKFSQSNRMPDPKDTSRIVTTTTTTTFSMAKEMARSVCQ RFLDARFIEPADGKAASLFTLKGAVWQLTPKGIHILHRFCSRNGINSDHIKPLLVMDT MQLVEVERDTVTDKLSQDRGTIEVIFRRFVGQDGPNIKSSASISDTDSLNDYRSGILG VKMAAERKFADNVVKNSFTGKAASDWLLDCCSTIDRQETYEIAETFVRNALMCCVLPD KLYQSQNPNVTFHPTKNAIYALTERGQRLCGWITRDDSSSSNDSRRRRREVRAAPGGN NNRLNMILQDPALRLLFREFLRHSLCEENLSFYLDVKEFTSNYRSLEKSNALTRLDAV RETLAGAYGLYNAFLAPGSPCELNIDHALRNSLASRMTRAVGDEESMLKSLREVVELF EHAQVSVFKLMSSDSVPKFVRDPKYSNVLREHEPELGLTGRAFSPGPAPLERSMSRSV T EPUS_01889 MSRKQSSPWQINYIKTAVSLATAALKDPLPLLPNLWPYLALLTS FITFVLVNGGVVLGDKENHVASIHIPQMLYLWPYVVFFSWPVILPHIIHILINDFASL KTRLPRPIVAILTMAIMALAVHFNTIVHPFTLADNRHYVFYVFRLLLRHPSIKYAAVP IYFSCAWATITALGSCPPPQKHGNKPTNNIPATQAASQENANLNRTSLLLVFLISTTL SLVTAPLVEPRYFILPWLIWRLHVQPPPPIQLSPRSKSTKGLVGIMSRILEHRSTWLY LETAWFLLVNLATCWMFLYRGFEWEQERGAVQRFMW EPUS_01890 MAFNHPPGLTPPEIAFICEMELVTIIPRQRLDRLDLLGGPVNPL IPPQRSLLPLWLALLLKRQRRANIVPPPWLHPVSLQEILELETTHFPDSFSPGPALSV PNTLQSHIRPFHTSAPFLPSCTADASAEALPYHWLEFAQMLLDSAADDLVEPDRVRQL MRDLREVRAAKMRKGVEVLTGEGDGVRLDGVGAMEIGEGRGFISGVVDGLRKLGASRE QARKDREEEERENGYRGGGDEDDMQD EPUS_01891 MASSDEEATLSIPSLAFVAFLSFFIIRYFISSRSTDTAVASQRA GQRFTGAQVEQVAQMFPQLSRRDIMWDLQRNGGSVAATTERVLGGRGLERAPPSFQPV IPVSTNAVSTAAIASVIPKAAEPDLITRYNLQSRISSKGKEKEEPAPATGWAANKDKR QEMLQRRRDEMILAARRRMQERDQEACGS EPUS_01892 MATSTPPPEDSSYTKMDTADLESIGARCQFAYCHQLDFLPFRCD SCKSTFCLDHRSELAHRCTHAGEWAKNRRKNSVGKVNNTTSSAPALHGLTPTQCSETQ CKTTINTIQNTGSRCTNCNRQYCLKHRFRESHNCAAIAPLVARQSSSFNPLETQAEKV RLGFSRLRSWGKEKQETLKPKPKPTSRAAQLVALNTLKKSAKGDDKLPLEKRVYLHVE AEAATTTSKLPKAELYFSSDWSVGRMLDEAARRLQVANVNNRVETEEQRLRVYHVEGG RLLEFSEKLGVGVQSGNTVVLLRGVGPAVPDLIEA EPUS_01893 MRLIAPDLQLVDLPTIHDATAPIGPVDMQLRKLRGPAAQLLGQG GDVIEIDMGVAHGVDKGCGDEVAGVGEHVGEEGVGGDVEGHAETHVAGALVQQAGELA FCFCFLLPFFRVRGGRLVSRRRQRIHATRRIGIRDVELREHVAGRQRHGGQVFHVPRA EQDAPVEGAVPQFAHDLADLIDALAAVVGVAVGVLGAEVAPLEAVHRSQVAFFARLEA ECVQLKDELEGQGKPPVSIPTMKLKLRDDSMRGNARRELEIKAQLYSIDLSLSGHGFS HNLCLAMTCRTASGRELHNSEG EPUS_01894 MAGAQPLSPSPTGKQEQLIDYCRNAHMGAPVFNIISDRRGGRTA WSSTVTVQGRNIAARYWYDGQFLNNAKEDAAEVALMQFAQQTDSTQQTFQGQAGYARG WPRFDPQGVQLSVNSEIPHLESVDASMRCRKPAISFRRISRPSCYQSHSFHTAPTRAE TSVDEQASSAMSVSSRSSALVFELKARCSTTKARASILHLPHGSVELPIFMPVATQAS LKGLTAEQLEETGCRLCLNNTYHLGLKPGQAVLDAIGGAHKLQGWNYNILTDSGGFQM VSLLRLAKVTEEGVRFLSPHDGSPMLLTPEHSMSLQNSIGSDIIMQLDDVIQTTSPDH ERMKEAMERSIRWLDRCIASHQYPQKQNLFCIIQGGLDLKLRRQCCEAMVLRDTPGIA IGGLSGGEAKDEFCKVVHACTEVLPEGKPRYVMGIGYPEDLIVAIALAPNVKKVSKTR GGSTELMQVLVTSGLNLALVLELIWSLVPLQVKREIVSTTPNPHVVATFTPFPASSSS CALMNCGRDAGIVGALASFGDLSFHQAVVGSHIHSSNESDCLGSSNDPPSITLLLLDP WTNPPVPKTVGVDIAVNSFTTYLDADTAAGTGYEFQLVQRTGTSDKVMATSPQFSIAD SQAAEGRNSATEGHSILPVVIAVPVVSVILVVVIIIWYCWFRKRRRHHRAHSRTPHPR RQRRPFVLLERDRITFNGWVGKAKPDMEECNADRDLEDRDSMHVRSPAGRKSTMQLPL PPPPPAPVERRAELPISITPRSSRHVHPPRHVRTPTELEINPLPPLPPPPRSVDVEQG AEVNEPALLPTAHQSTRLSLQQILRIRKVSELEGHTKLPSNCQDRPAKTQDAMKDESP DTQSCHPAFRSKRFSLRSLLYLRRFAEPDGNSMVPAQASNMHPGHDEELSHPSSRRFH RLSLLRLLRSKRQAELEASTDILPKYSHTDSNPKSQFLSPPLPLKDMDFKHPLSRRAM TPVELDASEPNRLFSPSYELVVPYEGQRQNSVCLAGSSSSHSVPEDQQAPERGVPESN TGSEEVMIALIEPSTTPDVVELLIPPSTCSEGAYDEDIEPVPENVATAQSSFAYPLGS TPGDEERMDPFLTAEIISAAIEPSTRSLIVPGTQHIQKIDHGQGIPRKVSAIHEMDAS ESAMKRRSKRESSYPSNFRHEAHRRRPDFITFRKQMREAQSRAKMIAKGRRSTRRRLT LKSI EPUS_01895 MVRRQLSCRSHFSGSSTNSLRSDASAKIHSTARPLAVCSEKSLL KYHHDHSARAEEPLDYDDYGSSCSSLASGDTYASTLDSEDVVHHPKFEAPVTRYAVYA SDAIASTPADFAKSFTSNRTFHIQHDDATSDGNMNLRVDTEVVDSHGRPRKLILFHLQ MHDLKDRRFSLRRYCRDSGRQICSSSRKFSPMPVRMPAKSHLQQSLGHAFQQPRPNHS GSVSHPSASSEQEPGQLSIASQYYTPSNAERRSPEMAASTTNTVHLEFANYAHINLNK QGLKRSKRYEYEFWGTKYTWRRQIYQHGRTQEVSYHLISDKTSKSIAHITPKSLTARE AQEEEDFGGWVPPCTMQITDRRIFQSLTDVADTLVATGLIALVDDCIERRWHSKRSFH LDLPFQESAGAMGPDELIDKIFNRNIVTTQHNY EPUS_01896 MAVYPIMVSAVEPPPLPQQSTHMAASTEQATEGLRSVNLSAETA QRVPRGASVTLTVPLDETRQPRALGTGLGGIPASRQVHTTYGRSEPIRRDSLKRREAL LKGKEGSRQRRRWENDRLMNNPWAQPPLPSDWEVQPTYPRHAVPYYLAPLWDAEAFEK RIERKMRGRKQGRRAGIGPVEEAASNVPKEVRAKLKHARAAKGLLQDLEEEVRIFLQK WNEKETRSLEDGLHDVDSEEEEIVFIGRNGQMHDCPTRDKAFKDLHRDKLVFDGLADD RGASFGRWLVHSIATYYGLRTWSITVGDPARREAYVGLGDQRFASHSDTMLELPRPLW GMV EPUS_01897 MKHTCALVLAITAVTASVIPQLQQIALQDQVPVLQEEFLIELQP GKTRWITEDEKWALRREGHNFMDITSTGHTYPAVSLATQPTSKSIFPSKAAYNSTVKS LAKSLEKKHMRKHLETFTAFYTRYYKSSTGVESAKWLYDQVNSTVVSSGADQYGASVR LFDHEWGQPSIIATIPGKSNKTVVIGAHQDSINLFFPSLLPAPGADDDGSGTVTILEA LRVLLTSEEIVKGEAANTIEFHWYSAEEGGLLGSQAIFAEYSRQGRDVAAMFQQDMTG YVHKTLEAGQPESVGVITDFVDVGLTEFIKELITTYCDIPYVLTKCGYACSDHASASK YGYPSAFVIESDFQYSDNKIHTTEDKIEYLSFDHMLQHAKLTLAYAYEGGMAEL EPUS_01898 MCQSIQTIYKFCGCKGEFYQQTCPKPTATCKLLLQNPIDLKLTC YCEKHSSQTFKTVRQDQRDTARFNKEYDKILAKEEQQKQKGPANHAKQSTRLSKQNLS VERARANRERSQPAGERGEQIFLGKKDASNCQTEGDMGALQPEEREMEAYGRRWGGYA MRRKYPLAKARAEEARRREAQEKAVVRRGGTREKSDGMCTVM EPUS_01899 MDRTPVVSGDPPGYGDDSPSYFNTPTEEDPFTSNMRGNGSTMRL LPQSHETEYRPYVPSSSGFRYPPQERIPPSPKRKPVATGRQVKWQDSQSKTARRRNTP LSSLLSEAQEKLDKIPPVVTGHAPMLKARVTLKRSPNTNRVPSYRAQRPSPERYHRPT VATLQTSRPNSSLGQTPLIPPPAATRHISPERTSPIRPGTPLRDAADWTRPPAASVAY EPADLNGSPRPGSSASKYGGSPRRPLPPAPLFAGAGAPRTSLEETAIPIPDSPEDVFV GANAHKQTTVDPRTSMKSHDSYLSESTVTEEYEPEKAESSDHYGPAPEGRQDRRGLRE AQMIKKEVRLINGELILECKIPTILHSFLPRRDEREFTHMRYTAVTCDPDDFVLKGYK LRQNIGSTMRETELFICVTMYNESEIDFTRTMHGIMRNITHFCSRTKSRTWGKDGWQK IVVCVIGDGRRKVHPRTLNALAAMGVYQEGIAKNIVNQKEVTAHVYEYTTQVSLDEGL KFKGAEKGIVPCQMIFCMKEKNQKKLNSHRWFFNAFGRALTPNVCILLDVGTKPEPKA LYYLWKAFDQDSNVAGAAGEIKAGTGKAWLGLLNPLVASQNFEYKMSNILDKPLESVF GYITVLPGALSAYRYYALQNDTSGHGPLSQYFKGETLHGHDADVFTANMYLAEDRILC WELVAKRQEQWVLKFVKSAVGETDVPSEVPEFISQRRRWLNGAFFAAVYSLTHFRQLW RTDHTITRKVLLHIEFVYQFISLLFTFFSLANFYLTFYFIAGSLSDPKIDPFGHNIGK YIFVILRYACVLLICLQFILSMGNRPQGAKRMFFSTMITYSIIMAYTTFAALYIVIIQ LKSHTLQPVAPDSSAYRLGNNIFTNLIVSTVSTVGLYFLMSFMYLDPWHMFTSSAQYF ALLPSYICTLQVYAFCNTHDVTWGTKGDNVIHTDLGAANAAKGSGNVVEVEMPSEQLD IDSGYDTALRNLRDRLEAPVCAISENQAQEDYYRAVRTYMVAVWMVANAILAMSVSEA YALDKGIADNTYLKFLLWSVAAVAIFRAIGSSVFAVMAVLAVVVEGRFREKVGRWLGV GGAKAGDGESSGSGSARTPSEGALTMSVISSGISSGLSVLSSKISSGFSWVSSRSGR EPUS_01900 MGYDDPVPSIERETLPNRQLYQASTNNKAASQWSQEPASLNSAS NTPLPPSSPATIAQLAPRLHMHESTPGVQSLIQKRSTSHPLNHDRRSSTPLQLNRRVS AVLSMTSSSTPTRPPTSRRVSSNLLLPSSASSARSRSSSGATIRDMERKPETTSSSVA QDYFRRELGAHASAETSSKAAVIIRDACYGHRYSRPRTSKAALSTIVERPERLHACIL GASTAYVRMGGRHAGGDCPPHPDHDVSSPPFKIQKTTRSIPLNYAAVTQVHGQKWMEE LQIMCDSAEGKLAMNGRELVRPIGYGKDEAGDALPKLHEGDLYLCSASLDALQGCLGG VCEAVDAVCGPGNTSRAFVCIRPPGHHCSSNFPSGFCWLNNVHVGIAHAAMTQGLTHA AIIDFDLHHGDGSQAIAWDHNRKAAGNLPKNASPYSRTPIGYFSLHDINSYPCEWGDE EKIKNASLCVENAHGQSVWNVHLEPWKNHTDFWKLYRSRYCILLEKTRIFLRQHTTRL QSASNGPKAKAAIFLSAGFDASEWEGAGMQRHKVNVPTDFYARFTSDVVKLAEEEGLG VDGRIISVLEGGYSDRALTSGVLSHLCGLTHDIQNAISKAETIDTGLASAMAKQMSMP TEPSLTPQTDGKIHADGPDTIGKPSYNPEWWSTFHLEALEVLVNPPAAPSSQTKSKDK TIGNYSSPTQASTAKMADPARERRSLSVQAEGRASIEPEPVQPPPDVDWAVASYELSR LLIPTDRQTLSCRHDELNAEATKVRRERQSTIGLPSDDPSVVDQKMQLRDRKAKAIVG EDPLKAASKADRRRTIAAVGDLPDPGALQDVRNKPAIGIANNRARRRSSAGSSMLSAF ENMSMSDRDSQAPESGVSTTRRETVLEKVPVQRKVKQPAAAKVQPSKPRTSPRKTGPT PPVPKVPSAFSRSSSHTSTERRETTTNQTRSARGSVASADTLTKVDDLDALSSHMTKL KINLKVPSAEDNAARERKGAVEKDKQRKSRVPRKPPVAKAAKDAPTKPRRQSDAPHVI PSSTSETITTNKQTARVVDVPASTAQRGQQPLPVQNRQQPASADQYVPTANIADIAHA EPPLQATIPAPVPAPVEIDTAVLTQNATKDSEDASLGTPWKEALQTLALSTTPVISSP ALPPHPLDSAPSQQTLSAPSASAPTTIKKTRPDLPQFTASSPIPFPKMDLGVRPLQPA KEEGKPSHHQHPVESHPHLQHQTKESTAPVAETKSALQQLPKAAADASMWEVPETPRR EPUS_01901 MLSFSLTLWRTTYLVTAIAAVDPAAMYDGSITAADSEILLMIAL ADAFIHHRGSNGSEPFRVAWYQSRQHQLPGLKHRRWRNHLQRSRRTDRQRREDRHVTQ LLRLPRPPPSHPARISNTSDVQTIFSQLRRTAEAPAAHGSAPVRLLSRYDPNPPPISR RPRLNDFIQSNASPLGNPPTQPGTTNTPPSPAPSPHRRDPPGRNTPSQTEAPISPCPA TSPAKPPPTKRDDPLLNPAPARLLAGGNAQNAETGG EPUS_01902 MKLSFFLRASCIIPLVSCLPSQAYQNESPTIVNQTTCNGRTYTY NELVGYGFVASDFRDKTGDTLGGPSAVAFDASSWTKSRNGTYEGLIWVLPDRGWNTQG TLNYQNRIHKFAISLSIRTETNNASNSSAPNLKFTYLDTILLTGPDGKPTTGLRADTQ PPYLSYPGFPTLPSATYEGDGFGGEGPGDRRISLDSEGLVVARDQSFWVSDEYGPYIY RFSPEGRMLQAIQPPNAYLPRRNGSLSFASDDPPIYDPDDEVVPEDVDSGRANNQGFE GLTISENGQKLYALTQSALAQDGGTGNPERKQARLLEYRISGGNAVYQAEYVVTLPVF NGGETVAAQSEIYALAKDQFFVLARDSGRGRGQDDAESLYRRADVFDISRATNIKSPE NDDSPTGSIADDEGTLDADITAAEYCTFLDFNVNSELAKFGLHNGGAQDEGLLNEKWE ALGLVPVEPNGRGGNDRVNGNKGEEYFLFAFSDNDFITQNGYVKFGQLPYSDESGFNL DSQALVFRTLAYLFQPSTYISPIGGVQTVEHVKAMPEALGIRLFKEDIHAIQDATPFN PLFPMNFLFNFKGDQRYNLDLTAAGNQQYQMTSWINAPPKPLVNTSFWLHHCISFVPR STNRNFLLFGSELHVHSQFAPVNQSTEDRKAGQLQQSLSDFFTVLSDETVSFPTMAVQ EYNPFAKRENHSKKSLTAYKVLTIASWLLLAITVLYYTFNAPNDCKHGHDCHTIWGQN GRMRTPFSLNSIVTGIYWIIVTIMQAGYVWHLFSSNETLVNSAANSNLLYFAFILLWV RSHFWPAELFLILNFLNLTTLYFRHSTTPRFVHIPVVSAPLAFTYVGGFFLLAFKDYT MGFELAILSLALALAQLGTHVIALQWIFAFVIMGCLAVLSVLIGVPGIFGDKNGIRHP GHIVEPDRERQPLLDDR EPUS_01903 MSTPLKRALSKDERRVSPPPTRRKTTQDEASESYQIPPSQQGYL RIFSWNVNGIGPFLQRSISFSKTTPFRSPLRDFLLRHHWPHILCLQEVKINPKDTATQ RALQHAANLDSSVPSNNTSPASTTPTYTIHYSLPRDKYNATGFGGKVHGVATLIRDDL AQSLGIPSLTTSTRRVDWDLEGRVLITTLAFPLSLSSTPPTSNPINTTTSKTHLHLIH AYWPNGTTLPYRRGPPSVIPR EPUS_01904 MLSEALSLQDPKSSHPQPPTHVLLIGDMNIAPQRMDGHPHLRTS PHQHVLNRADFNEKFLHRENAAGFQGLDVWRLLRGGERRYTYHPRGAEWGRSCDRVDL AVAGRNLVGHEDRASKRENWGVKAAQDGRGRETVGRLGKGAIAGMDIYDNEVDRGHSD HVPLSVTLDVNDL EPUS_01905 MRRPPDPVLNVIQTYIRAVLSETRPSIRPGRGICATCLQSQKPS NRYQIRTLYHRTVGIHLVPSCNRRTHHQARDLGSHTWTPRQGYATVSDAPTVRGPLEE YDERVHARVLRDDEHQRGIVEHLQDLHDTLRDYNPPKVVHPSFETLRPASRTSFLGSL FGKKQVKIAGAVIPDTLPKGLYMYGDVGSGKTMLMDLFYDTLPRNITSKTRIHFHNFM QDVHKRLHKAKMEHGSDFDAVPFVAADIAEQATVLCFDEFQCTDVADAMILRRLLEAL MSHGVVLVTTSNRHPDDLYKNGIQRASFIPCINLLSKRLRVLNLDSTTDYRKLPRPPS GVYYHPLDKGSSTHADKWFQFLGDPINDPPHPAKVTVWGREVEVPLASGKAARFTFHE LIGRATGAADYIELMRSYESFIVTDVPGMTYRQRDWARRFITFIDAVYESHAKLVLTT EVPLGQLFLSKEEIDTSLQNVTKKVDAQGDDVGDKDKKVAAVAAEDHTDVDDTMRHLM DDLGMSMSMLKSSSIFSGDEERFAFARALSRLSEMGSQQWVERGMGLEHKGGKREMEG WQRVRSRWREDSLHIAPTPPSVARPSVTPFVAYDDSFATLLGANPSIKLLINDPRAAF FYSGGAWLPRSSTLFLTSSLLRDHEPSAVSSAGKRTEISRVEFYSAQDLSRDKVRCPD RNYLAAGCAPYPSAGSSGIVLCAQGTLKDPAGLVFVDAKRPHKSHMLLNNFQGRPFNS PCEIATNMIDNCLYFTDPAYGYERGYRPKPQLPTGHIYRFDPLSGDCRVVADGLSRPG GLAFSPDYSVLYVSELGDKYGGTTIHVFDIIYTTPAANYPRPLAHPISTTSAPRSTTP NGHQKTSSASSTESNDSVHNPYRSSPTASSRPLAAAARLASSTSPTTTNGSSVSHPGL SRSNSRDRARRVLDMAQNQSRQLKSMGSSFGMNLKSSFNTTPSLSTTMLQPQQLQPIQ QHQPVQAPVLLPPLTASSLAAMSVANGGGEEGRLGAFLINKRLFAYSPSKVPSGGIST DPVQGNVWLGTEEGVEIFSGVLGSMVGKILVPEEEEHSSPSDRKRDRGDKMAGVSRVA FGGDGEAWLLGGERLWRIGFGGVGESPVGGG EPUS_01906 MKVIKALYDYEPQSTETQELSFHKGDFFHVISREDDPDWYEACN PLIPTARGLVPVAFFEVIGKTERQSGGSIQSVGAKQESHDSGFSDRGAGQNRPDSTTN TTRSAANARSSTSVGKSSGAMVYGIVLYDFDAERPDELEAKAGEYIIVIAQSNPEWFV AKPIRRLGGPGLIPVSFIEIQDIATGKPVADPNEAIQRAGVPKVEEWKKMAADYKNSS ISLGKFDAQQQMTSDMGRMSLGSAGTPYHNQPSNGYGSHQKSSSRSGPPGQYFRQSQQ QNLLAPVSASLPRYCFDNDMYWYIIECQMEDGRHWELSRYYADFYDFQIALLKEFPEE AGNRGSPRTLPFMPGPVAHVTDAISNGRRQNLDEYIRKILSMPPHISRCQLVRALFAP RPNDFEIDPNAVGEDYRLSGASQHSTLNDPSQSASRQSSNGAMNGSAYGGMPPPSTRP AHQRGQPSLSGSPAASANPGFLQPMNRQPSSLTQASVTSSAAPTSGGAMKVKVMFQEE LIVIRVPSDITYLQLKDKLRDRLKVSEELVIQYKDEPSNSRVNLNNDTDLDVALQRNT KLTLFVNYAS EPUS_01907 MYTSAPPWRSAGSSTITDSSAFVPVVARRSLNQAAPVSRPAHSS APYQAAHTPDMQSSNPPKKKVDWPPAVRQYVQRCFVPENMIKGISREDMEAKLKSVIT QAAESNNLHSVNWADLPLPQQMLQEERTRSLLTPSSVAFSRPSPSPPPPFFPDPLLNS KPDTLRKRKSSELTERDNGQGDQPAWRQSPTKNGFEDRISFAPIPPDKRQRLDSHAKN ASKSNANLEHRRKRFDNSQLGFRSPFNDNSSNANTPSATEDTGPVIGRSQKLEKNYFR LTSAPNPDTVRPLPVLQKTLELLKRKWKQEANYTYICDQFKSLRQDLTVQHIKNEFTV NVYEIHARIALEKGDLDKADRAVKHALDVRSALALGNYHRFFQLFLETPNMGAYLMDM FVARERLAALASICKAYKPDVKIRYITEELGFESDEDSARFILEHASEDLLQERDDGV RLLTGKAGQVFEAAKRGAFKLIDLKGQI EPUS_01908 MFFKQIDLTTALRPSLISGEELLFVQDGVGLYEGKYKIPDCQQG YAYLTSLRVYYVDEKEPRKNSVAFDLREVEKTDYQAGFLKSSPKITFHPKLPRDGHQS VRLADGRIAVEEGSSSFPGSRANSPRRYSSLSSPLPPSNATWICPICSFSNPVPSNFD PSNANASIPLPPCLACGIKPPLAVVLKAAVAAASKRILPQHPDEEFSGNANSTIDDPV QTETTITCPRCTFHNHVSLPACEICGAPLRSEQQHYPMANGGSKPRRAESPGPQLAEL NLDDNAELASVKISFRAGGDKTFYDRLKGAMTQRKWLLQSAPSIPSPLSPSTTGDLDN ETGLARTPITRPASTPVGIAGLERRGLEMRKNNEVVIGTAFEDLEALMASAKDIVALA EKFAIDSGRNAAETSSVFSESAAALGMVTTKDKLNSGSDNLYLSELSRNLAEYLTDDR TGVLRAEGGVMSLVDLWAVFNRSRNGVELVSPLDFHKAADLWGRLNLPVRLRRFKSGL LVVQRSDWNDDKTVQQFKTWLGQLQQIAPAEEVHWEWSLFGRGVSAQETAQRFGWSVG VAIEELEMAEDKGVLCREEGIEGLKFWSNFLVEVGSDAE EPUS_01909 MAKLGRGGFLAIAVIFHLVYLFSIFDIYFVSPVVSGMRAYKVER SQGTQAPAKRLVLFVGDGLRADKAFQSFPDPSPAGLDTDSTPKPLAPFLRSRVLSHGT FGVSHTRVPTESRPGHVALIAGLYEDVSAVTTGWKLNPVNFDSVFNRSSHTWSWGSPD ILPMFKEGAVPGRIDADMYGEEAEDYTQDATQLDTWVFEKVKSLFASAKLNSTLDSAL REEKTVFFLHLLGLDTTGHSYRPYSKEYLHNIKVVDQGVKEITELIEEFYSDGKTAFV FTADHGMSDWGSHGDGHPDNTRTPLIAWGSGVARPKKWESGKAQGHEDGFSADWGLDL VQRHDVAQADVAALMSYLIGLEFPVNSVGELPLTYLAGDIEEKAEAALANAQAVLEMY RVKELHKQATAFHYQPYSAFSTEEDSIYNRTRAIRSMIDSGQFEEAIRQSSEVLQHAL QGLRYLQTYNWLFLRALVTLGYLGWIVYALTTVIDLHVLHSTSHTKRTSVSNSAFTSL LIILYSSLFLQKSPWTYYAYGVFPVYFWEEVFARRSALTAGCKTIFRHISGFRGQALF AFQSVAFVAILEALVQSYFHRIIFTVCYILGAIWPVFYGTQFFKENKLLVISWMVNCL MLSTFTVLPVVKVESSATISAGGILMAGTGILYMLFERSIISVSLARGGTTASHDLVS RSVMGIQVGLILLAIIVTRSSIASLQAKKGLPLGNQIVGWFTLIASFGLPFVHRFRPN KHYLHRLVVIFLTFSPSFVILTISYEGLFYFAFCTTLITWIRLENRIYAFSNPKPSSP TTSTNRTTTTDALSTKTLPQYRPLTLSDLRISLFFFYLLQSAFFSTGNIASISSFSLD AVYRLIPIFDPFSQGALLLLKILIPFAVLSANLGILNLRLGLEPSALFMLVMAVSDVM TLNFFWMVRDEGSWLDIGTSISHFVIGSLLNVFVAGLEGLSGWVIGGTEERSEGREVD ALSMEKGVGHTAHTAPAEIMAAGAVAANGSPS EPUS_01910 MDEIAPEYDVVVLGTGLTECVLSGVLSVKGKKVLHIDRNDHYGG ESASLNIENLFKRYGNYSKGEEPWKKYGRVNDWNVDLVPKLLMSNGELTNILVSTDVT RYLEFKQIAGSYVQQGHGARATVAKVPSDAGEALRSPLMGLFEKRRAKKFLEWVGAFK EDDPATHNGLDLNTCTMKDVYDKFGLEATTRDFIGHSMALYTTDDYINQEGMAKDAIV RIRLYANSMARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTSIDEILYDGFKV AGIKATMKDRSDSEDAGDQGMKFTTKTKMILGDPSYFPGKVRVVGHLLKAICILKHPI DRTDNSDSLQLIIPQSQIGRQNDVYIAMVSSAHNVCPKGYYIAIVSTIAETSANHHLE LQPGLERLGKIEEKFMGPPIPLYEPLESGARDKIYISKSYDATSHFETTTDDVKDIYR RAMGEELVVEGLREGQTLAEE EPUS_01911 MSSPDRKRQRIDDHSLRTSHPVAVEIRSRRAAFLASLDRGVSPP AGRELPPPATTVIEKAPQTERKTETPPKPPISPLIGKLRTQSATTSKCSIIQSPFKLT HIRDLPANANIDTISVRDILGDVMLKEVWLFDFLYDVDWVMQQFDPDIQHIVSVVLVH GSWRREDPNKIHIDEACKRYSNVKAVTAYMPEPFGTHHTKGMVLFRRDDLAQVIVHTA NMIEQDWRNLSQGVWLSPLLPRLASPVSKETSGVLPAIGSGSRFKRDFMAYLQHYQHR TASLVSQLEQYDFSAIKAALVAHVPAKFDGIETEPSVQLWGWPALKRTLKNISPEKLP EQHSNIQSPPHIVCQVSSIASLPEKWIRDTLFAALAKTSTAVDIPTKNSRTFSQARPR YSIIFPTPSEIRTCLDGYAAGASIHCKLQNTQQRKQFEFMKPHLCHWNAEHSRSAVTS TGTSPSSRTGKALRGPAAPHIKTFIRFSDSTAQKTIDWALLTSANLSTQAWGTAAQIG SGEVKVSSYELGVLVWPDLFLDSDTTTTSGEGKDKSRRKRRATMVPTFGSNTPSDAQI RDVVTASPTRRLGGNREERTEKDSDDEEAAAEDDELVIVALRMPYDLPLTPYSPDDEV WSAKSSHTEPDSFGGVWNV EPUS_01912 MLGPGTGPHTGIHTPRSSQNLRPLTLSHGSLEYSFLIPTNLHYH ASQLKDTFKLSLPEPTDELAQDDEPSSVAELVARYIGFTAREIDEDDDGQGNFIEVLK IVLNEFERSFMRANDVHALAASLPGIKSKKLLVVQSYYAGRAAVLRPIKAHDSALLRA ADDEQAKIYTVFGGQGNIEEYFDELREVYSTYPSFTHDLIYSSAQMLQALARDPKAEK LYSKGLDIMSWLHDRDTQPDTEYLVSAPVSLPLIGLVQLAHYQVSCKALGRTPGEVLE RFGGTTGHSQGIVTAAAIATADSWESFAKAAKSAITLLFWIGMRSQQAYPRTSLTPAI LQDSVENGEGTPTPMLSIRDLSRTQVQEHIDATNEHLPTERHIAISLVNSARNFVVTG PPISLHGLNLRLRKVKAPTGLDQNRIPFTQRKVRFVNRFLPITAPFHSPLLAGAYKQI REDVKDVKIFGKELSIAVYNTNTGEDLRQQGSCDLVPSLIHMITQDPVNWESATVFPG ATHILDFGPGGISGLGVLTNRNKDGTGVRVILAGAMDGSNSEVGYKPELFDRDEEHAV KYAVNWVREHGPRLVKTSVGQTFVDTKMSRLLGVPPLMVAGMTPCTVPWDFVAATMNA GYQIELAGGGYYNAKTMTEALNRIEKAIPPGRGITVNLIYVNPRAMGWQIPLLAQLRA DGVPIEGLTIGAGVPSIEVAQEYIDTLGIKHIAFKPGSMDAIQQVVNIAKANPTFPVI LQWTGGRGGGHHSFEDFHQPILQMYGRIRKQDNIILVAGSGFGGADDTYPYLTGTWAG RFGYPPMPYDGCLFGSRMMTAKEAHTSTNAKKAITEAEGADDSEWEKSYNGPVGGVIT VRSEMGEPIHKLATRGVKFWAEMDQKIFSLDKKKRVPELKKMREYIIQKLNDDFQKVW FGRNSAGETVDLEDMTYSEVVRRMVDLMYVKHESRWIDESLKRLTVDFIRRVEERFTS TSGKPSLVQSYSDLNTPYPTIQKVLASYPEADDQLISAQDVQHFLLLCQRRGQKPVPF VPSLDDNFEYWFKKDSLWQSEDLEAVVDQDVGRTCILQGPTAAKYSSKINEPIKEILD GIHNAHIKGLVQDVYGGKESAVPVVEYFGGKVLSADDEEVEVDGLTINEDGSRLFYRL SNAPGATLPTAEEWMKLLAGRDYSWRHALFTTDVYIQGQRYQNNPLQRILAPVKGMFV EVSYPKDASRTAITVKEPSQSGKLVKTCYISLTGKNKILMNLYEERTAEGGAVPLPFH FTYHPEIGYAPIHEVMDGRNDRIKEFYYRIWFGEKVVPFDTPTTNTFDGGKAVVTVQD IADFVHAVGNTGEAFVDRPGKEVYAPMDFAIVVGWKAITKPIFPRAIDGDLLKLVHLS NGFRMIPGAEPLKAGDELSTTAQINAVINQDSGKMVEVCGTITRKGRPVMQVTSQFLY RGAYDDYENTFQRKEETPMQVQLASSKDVAVLRSKEWFRLDEPDVELLGQTLTFRLQS LIRFKNKTVFRSVETVGQVLLELPTKEIIQVASVEYDAGVSHGNPVVDYLQRHGRSIE QPVNFEHPIPLSGKTPLSLKAPASNETYARVSGDYNPIHVSRIFASYANLPGTITHGM YSSAAVRSLVETWAAENNIGRVRSFHASLVGMVLPNDDIEVKLQHVGMVAGRKIIKIE ASNKETEEKVLLAEAEVEQPVSSYVFTGQGSQEQGMGMDLYESSTVAKEVWDRADRHF MDNYGLSIINIVKNNPKELTIHFGGAKGKAIRENYMAMTFESINADGSVKSEKIFKEI AENTTSYTYKSPTGLLSATQFTQPALTLMEKASFEDMRSKGLVQRDSSFAGHSLGEYS ALASIAEVMPIESLVSVVFYRGLTMQVAVERDEQGRSNYSMAAVNPSRIGKSFTEQAL QYVIENISEETGWLLEIVNYNVANMQYVTAGDLRAIDCMTNVLNVIKMQKIDIQALMQ EMSLEDVKAHLVKIIQECAKQTTAKPQPVELQRGFAVIPLKGIDVPFHSTFLRSGVKP FRSFLLKKINKNSIDPSKLVGKYIPNVTAKPFELSKEYFEEVYKLTSSPRIAKILEDW DKYEAGGAESTPRPSTAVV EPUS_01913 MRPEVEQDLAHTLLVELLAYQFASPVRWIETQDVILEANRTERI VEIGPADTLGGMAKRTLASKYEAYDAATSVQRQVLAYSKDGKQIYYDVDPVEDESAPP AADGSGSAVANAPSSTPATAPAPATAAPAASAGPAAAVADVPVAAVDVLRTLVAQKLK KSLTDIPLTKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGASMQSTFN GQLGKQSSSLIARLVSSKMPGGFNITAVRKHLETKFGLGSGRQDGILLLALTMEPASR LGSENDAKAYLDDVAGKYAATAGIDLSAPAAGTQGGGGAGMMMDPAAIDALTKDQRAL FKQQLELFARYLKMDLRAGEKAFAGSQESAKALQAQLDLWNTEHGEFYASGIEPSFNP LKARVYDSSWNWARQDALSMYYDIIFGRLKAVDREIVSQCIRIMNRSNPLLLDFMQYH IDNCPTERGETYQLAKELGEQLIENCKDVLDEAPVYKDVAIPTGPQTTVDARGNLEYK EVPRTSARKLEHYVREMAEGGKISEYSNRTKVQNDLRSVYKLIRKQHKLSKASQLQFN ALYKDVIRALALNESQIMPQENGEVKKPGRNGSLSRLAVNGTPKPGKTETIPFLHLKR KEEHGWEYSKKFTGLYLDGLEQTAKLGLTFQGKMALMTGAGVGSIGAEVLQGLISGGA KVVVTTSRFSREVTEYYQSMYARFGGRGSQLIVVPFNQGSKQDLEALVDYIYDNRKGL GWDLDFIIPFAAIPEKGREIDGIDSQSELAHRIMLTNVIRMLGAVKSQKLERGFNTRP AQVILPLSPNHGTFGNDGLYSESKLGLETLFNRWYTESWGDFLTICGAVIGWTRGTGL MSGNNVVADGVEKLGVRTFSQQEMAFNLLGLMSPTIVNLCQSEPVFADLNGGLQFLPD LKDLMTKLRSEIMETSAVRQAVTKETALENKIVNGKDSEALYKQVKIEPRANLKFEFP ELPKWKEDIEPLNKSLKGMVDLDKVVVVTGFSEVGPWGNSRTRWEMEAYGKFSIEGCV EMAWLMGLIKNHNGPLKGKTYSGWVDAKTSEPVDDKDVKAKYEKQILEHSGIRLIEPE LFRGYDPNKKQLLQEVQIEEDLDQFEASKETAGEFKREHGDKVETFELESGEYSVRVK KGATLLIPKALKFDRLVAGQIPTGWSAKQYGIPDDIIEQVDQVTLFVLVCTVESLLAS GITDPYEFYKYVHLSEVGNCIGSGIGGTTALRGMYKDRFLDKPVQKDILQESFINTMS AWVNMLLLSSTGPIKTPVGACATAVESIDIGYETIVEGKARVCFVGGFDDFQEEGSYE FANMKATSNAEDEFAHGRTPGEMSRPTTTTRNGFMESQGCGMQVIMSAQLALDMGVPI YSILGLTATATDKIGRSVPAPGQGVLTTARENPGKFPSPLLDINYRRRQLDLRKKNIK AWQESELVYLQEELAAMKAQAEFGFNEAEYMQDRADHIEREAKRQIKEAQYSFGNNFW KKDSRIAPLRGALATWGLTIDDLGVASFHGTSTVANDKNESDVICQQMKHLGRKKGNA LLGIFQKYLTGHPKGAAGAWMFNGCLQVLNSGLVPGNRNADNVDQIMEKFDYICYPSK SIQTDGVKAFSVTSFGFGQKGAQAIGIHPKYLYAALDQAEFQRYKGKVEARQKRAYRY FHNGLINNTLFVAKTHSPYADTQQSQIFLDPDSRVSVDEKTSELTFPTSIPKKVEDAK SKSTKEMLKSLAEATASQGSKVGVDVEEIGAINIENETFVERNFTPKEQAYCKKAPSP QASFAGRWSAKEAVFKSLGVSSRGAGAALSEIEIINDEKGAPTVSLHGEAAAAAKNAG VKNVSVSISHSDTQAIAIAVSAF EPUS_01914 MFLLALSALQNVTENNDNSYFRVAGIHGYPFAPWQEDPGFVPAN PNRGYCTHSSALFTTWHRPYLVLLEQMLCDQARSIAQQFQGYDAGRWQAAAEEVRLPY WDWSSTTTQSRIPAALKQPSISVNTPSGQATIANPMYSYKFLSPQPAASGFGPQTVQG AGDDELFSSFESRRQATLNMFTGTDYNQFNIELENIHNTIHVQIGGDMVFVPRSGYVP IFFLHHANVDRLTAMYQATHPGLTLTPRRRSPTFALGGAGPDDLNTPLYPFRHPDRRL WTSNDVSTAESIFTYGYSYPDVPQGLPRQELQSFTTERVNELYAPQPASPALARFFTG DESGVPGSPTTRLEWSANVQVRGNEVVGSFRIQVFIDAFLAGVASNFADARMPMSTPN DQINASLPLTPTLVRQNVGLSPDETVPVLEEKLKWVVERRTDDGTAFIPISTADLSSL VVSVFSNEADYPTDTSQLPTKGEPVTYYEPTAGKVGGLQPGQGPTVGVQTGNGNGTSP ASTRMRKVRL EPUS_01915 MQPFNNMSESMPGDPMDITSPSSSSMGPPANSSPDFEQGGNVNG NVDTNAEIHAGAGGAAMAAAQGPKVVQTAFIHKLYNMLEDHSIQHLISWSNTNESFVM SPSNEFSKVLAQYFKHTNISSFVRQLNMYGFHKVGDVFHSGSPDPTMWEFKHGNGNFK KGDLIGLREIKRRASRHALIHRDSFSGHKAVPPPPVTPADLLPDTLEQRVAHMEHAIY RMNQRMTRNDDSVAMLNLKCQALTEGLVRCHQVENLSRACLLDYHINSKQWSHGLSSH VQSLAPTESPLYVEVSKMQKEIARQLEMVRSLDSSHDALLAGRQPYFGGMPLDPHQPL SPRHIPFDESRRSSAQMLEPPPISIGQGYVGRRRSNLPTSPRSYGVPTTFNHNTSPQS NFHRPALPPPPYRPSDASTIIRAEAPNLPRRHTSADIREHGWPPSIPPLPELAQNLNV ISTHSSYVRAGSTSTYLSSPNRTPTNSTHPSTNHPPNATDYQHIRDHLAAYEMNPSSS RRQTIAFQTRQASPPPPPPPPAAPSLSSNPPMEFQPSTEHVGISWPFNSNNSNNSNST GAPSGGSSFSVTFGAGGGGVAPYPNSLA EPUS_01916 MLGLVPLPPNRGPLAPSLHDNPGARAPEPSSDYASAGRAGRAGT YAMTRSLKSIQLSESEGNAPTTGSTNSHRASEIRPQSDMHVHCVSAGHGYAFEVKAGQ HFRVVDLHGLQVVDLAAWALPDLKEKLSMAYTRFHLRGTTPTVGECLITNKDEPILRI VEDTVKVHDMTFMSCFPEMYEKLGQKGHRSCATNIAEVMKPYGMKSHLEVTDPFNIFQ NTPNYTIKALNTSRPGDYIQFEALKDTVCAVSCCPYDLVGPPPPFEAYHFRVDMSRMV LMVGP EPUS_01917 MLHSFKHTAPARVRRPSQDGYRPRNSETRASEEFRRAAYQRQSL DVRRPSRHSSSDLYPPRQRRASEPGRHAPSSPVRLTTSVGQINAPLESPKEERTTDTN EAPLYTLSPSTSPTAIETTQGLDGSDSPTIQASIDKREINERPPPLPYCLWHHKLAIC IFWFLIIAESFFVPIALYYGLIYGTNLREGALFAIITSVFGFITGYEYACRGYLLLKK SDKYRPLNLSPRFWGFDSLHWLLSGPYAVMTGILIGGSIPHPPLQRILATPLPLAFII VGIIFIINGVAVQRGWRLRYFRMSSYPKGSVTPPITYSIIEDVVAVDGGGGKKYRAAF LMRYNASPKFRALLRQMTWFWGVPSLAVGVALMALVFTVPRAVAYGLGWSVPAIWAGV WTVITIFWVKRVLREEEREWKGPVWAENMIEAGAGAGAGAGAGAGAGEGEGEGCAAEV EMRQENGEPAPTTATV EPUS_01918 MADPKLIPTLDTTFNLLDRFVSSLNSLPPDGTTPPPDSPSPLPL VSTCAATLRAQTTKLSLLIITPPFTPTAISGILSSLNDAVLPSLLTAALLLTPSTVTK AYSLETVSLTKATLRDLRDLARLVEARSKDGKPKAEPTSQLKNDVTAATGKIWDDCDE LKKLADDGIAGFVVKKAEQYLDLIKDAVKEIEEWDPEEDEDEDEHGFFNDEQDTPPSL PKDPQEMSPISTPSSQPTQDLHNLRSAVLKILTRIPQSLHVVIAQRLKKGLPPSSSSS SSSSPHPINPNHLLTLDTLLSRISQTSTCIDDVAERLYTHDALGAMLTTEKARAYVVE MVESVRRGWDVKPTRASVADADGARAEEGGGGGGGREETKEDRYIERALEWIKSVGTT LPQQNADLQSRGTVT EPUS_01919 MATTYAMPYQPHLPPIHQHQQQPPTSQGSYLPPSYRQDLPRMNS SGPAQLTGRYQQAPQFQPQGVPSGFSSAPLLPQPSHQALNPQGYPPTTTTSQAYQPRI APAPVRSEYAPMSTTPFSQPDNRPSLWSSSETVPNVLTDASREQPTTHVVGSQGRRGI LPSAPGRATVTTTNGVNGASKGAAMPAKDADGKFPCPNCNKTYLHAKHLKRHLLRHTG DRPYMCILCKDTFSRSDILKRHFQKCSVRRGNPTGASHLSNPAAHFKKSQNANNQAKS NANSPVSGTNAAGLMPSTNLRQNTSHTNGTFSSKSSNFSDAPTNPFSTATTTPSALHP STSHQNFGPQTPVGSASNGAWASIQQAARSNNHAMYPSASSASPHHYGLPASSAEERK GTMSVASGVGEEWNQMFQSGESQEYIFPSSMGSSYQGMQSHVDVKKEYDQTTAEPNGY YMAPTNLGADGTLGPLLWNLASTQEDPLELKGDRLVDFCFPAGIQDSLLEQQSNANFR TCLAADNIKHFLEQFSHFQGHFPFLHMASFNFAEAYDGLILAIICIGAVYSDRVSQVQ VRGLMQRAKYGIERTSLLFQQAQNGGDLDSSQPRHVATLRYLEEIQALLLLYILFTWH GGPAERATARSDSRKLIWIIRRYRLLELVESESEGYSFFHNLQLGEQPDHSRWNWATW VNQEMRLRVTYLVFLYHSALVLYFNCEPELDPSEIRLPLPCDDAAWDATTSGKCASAL GMNGPDQQQALNQAGSQRLKQLDMHHAIEALYSPTLMFQPRTTNVFSKFILIHSLHIQ IWQLQRQLSTGMPTSFETLTSPPASSPQEDGLYSAYHSNPASGHASPDGSSTTSMPRA VSLQSNKLMRSVTNALIKWKNMWDQDMQLQYPPSQYAGCAPRRHGFCRDGVHFYWLAR VFLQPNHIDDWQLPAETRFKQVISGLRQVREFGKSDAARRGEEPGSVSNIDHSFNMET LELDMKKLFCPIGDVAESPVDTFSRYAKAIGV EPUS_01920 MSGVNRFVKKKDEKPRNNPFGNVNHVADDAVIKPAFRAKDLYAK VPKTSLDANPESNVSDLSGLAKALAARYPLVSDSELGSVSKIPQRRNVTDLKQQKKSK FDDTELEDSTISSIEGILQEQPYGVRNGHVQSSPKLLSAGNFNDYEQQYGPPEEKEEG HNHFKKEEDERYHQNDVHFGDPVNVEAGPAFWDEIGEARRDPSNARYLQAQSLPVPSF IQNPSPVRSPSPVPYRQRPTSRPRPSQSSIMAPPAKPTKSTVVIPPPAPVNSAQITAT FDKTSSYTDSSGNTTPPPLFPPTNSRDKTNKKKRPHSDLLDHDPATLKSVSFSDLDKE FFDLDPRHPSSTSTTTSSTHLEKLSTLRSLSESERAKFFASQTKDQWTASTEFFEKRL GELFQELRGAREKRRDVALRFEAEVRERWGAVREGGEGIESALAEIRGKARGVLPVGG KSGAGR EPUS_01921 METTFITIHDLSLDARIRYASDSVEDILGYLPDEVVGRPCWDYF HPQEIPFAKASHGRGIELDKAAALFYCKVKQKNGSFVSCECVFTVVYEVLVASTSIYR RGPRSLQRQKEAPMVQRLFSSSPRDPRYHMLSYISSKFSEAPTSASHEPRAALFLNRF TRTSTIMYATNCVSSILGVRADQLINKSFYFCIAEECLQDAVRCLESAKSNDSIAYLR FWFRNPLLEERNRAATREQTQSSDEDEDEGGVRLQRPDQADAYSDAHNHSRSRAHTDE TEDSISPAVKDMNKSRSASGNSTDLGANRDDAIFDPPITTRSSASSMTPVEEMPLDVG PVEVEAVVSCTSDGLVVVLRRARPSVAQFYGPEAPGGFENSLFASPWSLPVTEPAAPP EAHSVEAGGPPASVAPCVSRCPAGPETSTVMNTIREVAVFAWSLTSINGSLIQHGRGQ PQDEAIPPDGLAVWDPHSDHPENDKFNGFSDNTHRRIEKEYNEASSSEDEIVFKRAKV MPEWRKPARRGHQDAFISEGDPRAGQNGHAPARKRKMDR EPUS_01922 MVANDKPGTFQYEQTPVYTTSNGCPVMDPESSQRIGQNGPLLLQ DFHLIDLLAHFDRERIPERVVHAKGAGAYGEFEVTDDISDLTSIDMLSEVGKKTKCIA RFSTVGGEKGSPDSARDPRGFSIKFYTDEGNWDWVFNNTPIFFLRDPSKFPIFIHTQK RNPQTNLKDATMFWDYLSTHQESAHQVMHLFSDRGTPYSYRHMNGYSGHTYKFTRPDG KFNYIQVHCKTDQGSKTFTNAEAGQMASENPDHHTQDLFDSIEKGDYPSWTCYVQVLS PEQAEKFRWNIFDLTKVWPQNDVPLRRFGKFTLSKNPENYFAEIEQVAFSPSHMVPGV EPSADPVLQSRLFSYPDTHRHRLGVNYQQIPVNQPLRAFNPYQRDGMMAVNGNYGANP SYPSSFRNMTYKPVKPSQEHEKWAGAAVAQQLPVTDEDFVQPNGLWEVLGRQHGQQDN FVNNVAGHLCAAREPVRRRTYEMFRRINKGLGARIERETEKLAPHPASQAAGVAQARL EPUS_01923 MAFPAGDIRSQARDVQRVRNHNHKWAKGGVPASGKERCTHLGGV EACTDCWDKPANPRSIRFCGHHEHVSGTRSVFCKDINSARKRARGNVLDRAAASDSSA GEEEIREASAAPDPDADITYSYDAARGPGGGSQILSMAVAQALERFEHKETEKLAKEY EFVDARDGYMADADDDDFEVIDAASLH EPUS_01924 MPRTEEAQWWYDAVYSTVQLIPPGRCTSYGHIALLLGYPQRARQ VGVCLKHLPSFDPTDPDRHFYHDQNVPWQRVVNAKGGISPRGDGGAGASRHVQKLRAE GIVVNDQGNGIAEAWVDMERWGWFPTRIPGEESEPDDEDDTTS EPUS_01925 MSSLSTYLAKNYLTASNSSPTDLSSRPRKRRKKDESSSKNTGLI IADDDQDLALSKHTNTEIDDENPTFDINTRSAEFRRAKKNNWKTIGAPPPTDADQAAA DLILANAAKDSDVRRRQTEGEDAPAIAGETEDTEPQKVRGGIQTAEETAQLEAAAQAR RAVDAKASKSMRKAEAEETVYRDATGRRIDISMRRAEARAAEQEKLRQEKREKEEAMG DVQRREKEERKQAVEEARFLGVARYADDEGLNEEMKGVGRWGDPMAGYVSEKKTTKAH TGRGEGGSAEPMSTTVASGQRRKEYPGAAAPNRYGIRPGWRWDGVDRGNGFEKEWFQA RGRKSRNENLEYQWQMDE EPUS_01926 MSLDLGPPIVQGQYDSDFRKFGETYARGDSIAREQLKDVLITLQ MAVLSNLTGVWTGTRSLDYKALQVATDDSRVNAVMCLIQWQQRLSSAATAQQQKSPPY PLDSNSRPMTPPLTNASSHSDRSSVVAGRCSTPEQTNEQMAPLGTTPRSSDSASAYSV SPRPTINHHTFAHPQPDDRSPIEMPSPLFARARPVVGQDSWRSPNVPHALPVRSPYRP TVTTTEEMAESRPMPDNHSPHFPSPISPFRPEHEHQNSDGFGSNGQSRSLDSPTINYD AASCSPTAHDSARRAPSLGTIAPQGQRTSSDHSTLEPVSLDNTTPTDIHPAFRTDPYS LQAYAASFASARPQDNPLPPVPAPPYTDSPALQHPHQGQIQNRISSLSASAAIPQSAT HNRSLSASAPIPVLSRRPYSSNTTSTPRSSTDHRPSHSYPQPQSQSHTERHLSQPQSL TLPSESNAYHGFCKSAYKLQIGLPHKKAFSIETRPAGIYSNSQVWRCAKCSFEGPVFV SSGIPNVVGGVGKKAVGKPEKAFDPRLRVSESGGVRYRWVFLAKCHVMTKNTAGEAAR MGDGSFGTFGCLFCCAEGVGRGWIQPEEESNTNTNNNNNKNSPNNSAVELDAGPGAGG AVAAGAGFAAAFLLGRRKTLAAMPTTNTTTATTTTTTNHNPPTTPTPSVPMFGNVQSF MQHLEMHRTEQGTPGVEMQGRMKCVVGRAADPGEDFDVNFLPLVEL EPUS_01927 MVGKKSGKALLREEGLERTDNNMELTSWPAIAAINQKNYYTEYL KRDDQILAYRLQQEENRNRMTKQARDRDRALAQGRPMGPDGDVEMDEEQDEVQDEVSK GTKTIVIHIGSQNLRIGLASDALPKTVPMVIAKRSQKSESEEPDGEPKPKRVKLGDGG DPEPEKQFGEEFASRFAAMSNELKIRMRMNKRRVLPQSRDMVLSYNKRNPPETISEHN DPLRIDWTEIPTNPKQAPEYITGKKALRIPDKSTPRYKLYWPIRHGWVNELDYQSKNF VWHDIALIIRDAITEHLGLNLKPRREWAQYACVFVIPDLYDRKYVTSLLAMGLTDFGF GRVCFFQESLAASFGAGFSTACIVDIGAQKTSICCVEEGMCIESSRVNLKMGGHDVTE TFIKMLLYNHFPYADINLKRRYDFLLAEELKQKHCTMNESDITVQLFDFHLRAAGQDT RKYTFKAYDEVILAPLGLFKPPIFDDEHKLDGRRRLIDRSYDIYEGAANDPTSTAQAE ILTQIAPHLAVPTKPDTNGPTTNGNGTTTNTTTETNGYPDHPAKARPQSQSFARIKDS NSTPRSTPAGSPIRDLESTPQPSGTPALEKPASDSEGEEEPLSIERRDDILAVHPLPI SILTSITHASRTSSQKIRDFLGGIMLVGGSSLIPGLPAYQAIRPGYAKDILIGRPPRE LDAQVVAWKGGSVFGRMGKTNDSWVGGLEYERLGERVLGYKCMWAW EPUS_01928 MNASWEILHDDESASSLQILTEKASIVSSKELLDGREEDDRDRD TKAVLDYETFIEEFSELIQALLQLTPSLMDLQESLILTPLAEKVKTRTSQPEFRPKLP HDHFKRMIFEKYTDAQPGLVDRLAQACWNLYISVKDGLIQRENTALEDKDSAYESMFQ SEMAPSLIGKLANQEIQVWDNASETTSLAKDSVILRDRLKFPQPPVDIGPNIDFFCNI CSRLQKDISNTFLEIGEVVTTIPTIGFNVESVTYGNLNFNVWDLGGQTSIRPYWRCYY ANTAAVIFVVDSTDIERLEIAADELASMLNEDELREAALLVFANKQDQPGAQGAGDVS EALKLAELRDRNWSIVACSAIDGKGITEGMDWLV EPUS_01929 MMNGSDIKKQAINQAKKVANAATNTANVSNGQKKRRKGENLKPI ITTDGPVAVEAPHNTPGTHTAGSLRSMMNSPLSHQKAASYGTHISRSPSSSSSGDETA GATADEEDSEDYCKGGYHPVSVGETYNNGKYVVVRKLGWGHFSTVWLSRDTATGKHVA LKVVRSAAHYTETAIDEIKLLNQIVQANPNHPGRKHVVSLLDSFEHKGPNGVHVCMVF EVLGENLLGLIKRWNHRGIPMPLVKQITKQVLLGLDYLHRDCGIIHTDLKPENVLIEI GDVEQTVKTFVKEEKKDGKEDNRNGRRRRRTLITGSQPLPSPLNASFSNLDKLSGMHS HSSLNQMINEHSGSKSAGGLSMKDLLGIKDASEKAEEERQKREKTTDPLEKDLAGVSL ENKSSQDSKSSDDLAEEIISVKIADLGNACWVGHHFTNDIQTRQYRSPEVILGAKWGA STDVWSMAAMVFELITGDYLFDPQSGTKYGKDDDHIAQIIELLGQFPKSLCLSGKWSQ EIFNRKGELRNIHRLRHWALPDVLKEKYHFSVEEANKIARFLTPMLELLPEQRANAGG MSNQEFLEGTIGMENVKLDIPVGSKGEGIEGWAFEVKKR EPUS_01930 MGLLYPPSPFAPPTITQRLLTTPLRFLFHLAYTILLYLRGPSYS PPPNVDPIKVVCISDTHCKNPLDFLPPGDLLIHAGDLTNLGTVAEIQRQIDWLKSIHG AIVKGGFSEIIVICGNHDSYFDVRSRSGHDRQQKQKLDWGPIKYLEHSSTAVTIHDRT LNVYGAPQIPKCGGKEFAFQYPRGQDAWSGTIPDDVDVLVTHTPPKTHLDIPLGPNAG MGCEWLLKECWRVKPTLHVFGHVHSGYGMQAVWWDEAQFRHERIISRPPGSFGALGEV FDFRLWIEGAKLLYQGAKGVLWTKFWGGTAGGGVMINASLTWQTTDRLDNDPQVVYL EPUS_01931 MCQLLITHFNGCPHIDHIPGEQHEIPIYCPNIVFVEGGISTKHE ACWVCRYTAARGEQVQEEGGREGAVNSTREEEGEQKGEGEQKEEEQGNRRGEEQEKTT EPUS_01932 MEVGSQHQLPPTLLELLSNTLVLDATLPYLALPTVLRLSAVSTA FHRLIYETPRVFRFLDLHRCRGAYVPPSVMPVDSGGHSWRAERLDENLTEDEFYSGPL RGIHTKLSKIGVMKDVQTLVLDGLGSVTHDVLSDILLSDQCNVRILSVIGCKNLNQRK FQRLLHYMCRPGRPEGSPRLKGVYVFGTNEAVAKQSQSRISASREGGVTALLGAQIGA STTSPPTITTTYPQSNPNPWFSPTGLVLPLLILEPNTWAETLRVCSGIIAFDAVLCTH MHSEMAPYRSDAMNTYLASSAMTMPIATYALGSGGCAGCGAAPDDAPVWGQSDVTAFP LASPPPFSGRLVDAVRPPSIPGSSQEQRLVVGCTWCLSDRHCQCCHRYWCGRCYDPQR RKRALQLEAQAEHEEGDAGAVAEELKRAEGASNTIIKVYNGLCTQYCAFSEDVAAGNG AMWG EPUS_01933 MASNEPNARASSEQNSVHEEGQGDTSSRYVRPSQPSPNYGSLNT FHRTPTQPQVVQDAADRANQRLGPRVPNSPATRLDGQSPLNSPMSPQTSNKSKKPPVI RRASTKRALPQRGQEFSVDDDLSELEADQQPQTLHSAGFPPNRKQDATIRRRTAAQVT PLLRVDSEEEEAPQTLHRMQSREQVTEGGDDVPPDEQEQEEANDGEDDGDVSDAESFT LKDRQLAINQTHPFGIRIWKPALYKKNRSVEKTAEEDIHSSPSAGVTTWLWIFNFLWT LLFGWWLAIACLLSAAACFVFAVDPTALEYSKVFWGLARYLFYPFGQFVKLEADQNYA EEDEGEGRSISEYERWQTGDLEHGRLFFGPTHTTGSIIGRRRNSMDSASEQDSLLGRS VRQDRIDTNAPRNKRRIFGRGQWTIGRVVFYGLFYFFVAPLMLLASAACWMLVFWIPM ARVVSILFSHLRRHPLALSFHPDASYARSSTSPSSVLLCTYRAVGTKYWKYTVDGTNV FLINLLGVVAFVIVDFFLLGEALGLRIWLTSPALLFSLGLLSVVPLAYFIGQAVASIS AQSSMGMGAAVNAFFSTIVEVYLYCVALKEGKGKLVEGSIIGSIFAGILFLPGLSMCF GAIKRKTQRFNVKSASATSTMLLFAVIAAFGPTLFYKIYGSHELVCYSCSTIDSLDGE PNRDCRRCYFRQIPAVNDDFFVDAVRPYCWFAAVMLFISYIIGLLFTLRTHAAVIWTT EGEEKKTAQPSTAEVSPLETRHHSIANGQLLAAPSPSFIPTNSVRDSALYKRILGQSL KQFGVNVPESDRSGDQLSSHKSPSLSHSQEIDHNKSETTETPHVVPPKNKSGGNGTVN IPGMPTLTEEENHHLATYVAEVAATAATVAARDATKAPRRASFHAQHSYLGGKTSRPQ SIRHGHSIEAHPPTTAVEPAAHASGEGAGGHDAPNWSRTKSSVILCGATVAYALIAEV LVHTVDVVLQSVDIDEKFLGITLFALVPNTTEFLNAISFAMNGNIALSMEIGSAYALQ VCLLQIPALVLFSAIHGRWIDPTDLLDHTFSLIFPQFDMVTVILCVFLLSYMYGEGKS NYFKGGILILTYLVVIMGFYYSGYTRFDVMGVDPSDTLAIMSSPGMSSMAAGRQLSVP RREL EPUS_01934 MALDSFFHNKIESLKLEIIQGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMGTKKVLVKVHPEGKYVVDIADSVDVTKLTVGKRVSLLSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLQHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHADCKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKVIM ATNRLDILDPALLRPGRIDRKIEFPPPTVEARADILRIHSRSMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFDLATAKVLNKHDDKEVSLGKLWK EPUS_01935 MPRNHTTSTSQPLTPPPPILPTSLEAKLVVLGSQGVGKTSLVNR FISPSTILSPPSSSSTLIAPQSTIGASFHTKRVLDPDTATTVRLQIWDTAGQERFRSI SRLYYRNANAGLLCYDITNEASWEDMKGWLRELKAQCGDPSGNGEGLVIHVVGTKSDI VAEDPSRRKVPFEKTIAYVAEQLYPSQASTPPPTTGAFVPQLQQPHSMAALQSPDSKR SSGFWGQDVGWDSCHEVNAKDGEGIEEVFRVIARKLVEQRNQRIEREEKELLTRTPGY DGPGSDYFSSRGNGDGSGSFRVGMGDKRRSWLGLPTGMTIGEAGEHIYEPQESAKRKG KCC EPUS_01936 MNHSNEEQSNKLSGAEVAKHNSRESCWVIVHGRVYDVTEFMPGE ESSFHVLTVQLAHLQSEHPGGSKIILKYAGKDATEEYEPIHPPDTLEKYLAKDKHLGQ VDMSTVEREEKENDPEEKARQERIKHMPILEQCYNLMDFEAVARRVMKKTAWAYYSSG ADDEITMRENHSAYHKIWFRPRVLVDVEKVDFSTTMLGTKVDIPFYVTATALGKLGNP EGEVILTRGARKHNVIQMIPTLASCSFDEIVDAAEDGQVQWLQLYVNKNRDITKKIVQ HAEERGCKGLFITVDAPQLGRREKDMRSKFSDTGSNVQNTGGDNVDRSQGAARAISSF IDPSLSWKDIPWFLSLTKMPIILKGVQRVEDVLRAIECGVQGVVLSNHGGRQLDFARS GIEVLAEVMPVLRERGWEHRIEIYIDGGVRRATDIIKALCLGAKGVGIGRPFLFAMSA YGLPGVDRAMQLLKDEMEMNMRLIGCSRVDQLNPTLVDTSGLSLHATTVPSDTLGLGV YDPLVSPQEMGKGEREGKSEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE EEEEEEEEEEEEEEEEEEEEEEEEEEVEI EPUS_01937 MTTLENCRYVRLPTPRSFRLLKITNKATPVELSLQIFETGSHPK YTALSYTWGHPVFEDYPDTERHEVHHPFPYQKLPGKQTVTFNLHDALLQLQKSGHSGY LWIDAISIDQSSSEEKTHQVNMMCEIYENATSVLIWLGKDDESTPMVTEVLRKLAVCV KTAPDIFAHDAAALRMLDMARELYHPHKHELLGIPNLNDDEETALNRFLARRWYGRLW IIQEVAVAKRAYVRWGFFTTSWETLSASINHWETSCHDKVTWRPREQFPKMKKATPMG KTAIIREVSDFTSGKTRSSLPRLPLEAKLSVLHEQSNTGAIFMMILRRTRSFCAKDAR DKVFGLLGVIKRAARVRNLSECPISADYSKSVAQVYEEATTYILKNTSNLLPLSMVSD PSRILVKDLPSWAIDFSVGSHLSLGEINAVLGDQPDFNAANGPPKLSEYPIIRDRAMY VLAHILDKVADTGEAPTEVSNTSLEPYVKFTRSCPKIYRTGQHPVEVLWRTLIWDYGL TGSLHPASDTCGVSFRYWLACTVCNAIQREVWKGRLRSNCFLEMRSLEELAVGDPTGN IPRLDEIEEICEDNGVFEDGLDPERNTDQVSFAIRGGSKSDSNLKNSARRWDFVSRPI LACIVLTKGTSDRVHFRRVKEMWSSSLRAGRYLSCYGPKLGAEIYETSQIAAMLHAPL IGDRTPISLI EPUS_01938 MASPSASAESNLDIDYVLVYRFSREDKNKAISNFQNLIRALADV GLETEVRNGEDSTLLVFVKAADEKIFNEVVYRSRVRDWLHGARQAQPDKGTENVLTKE PLVPAERFRLVYDMITSEPSEGGAGITPKHGVWENVESIFPLHDVRLNKEWMTEWARK TFLSPQDIDSVRDNLGEKIAYYFAFLQTYFYFLIYLAAFGFSCWVLLGYFSMIYAVVN CLWCVVFVEWWKRQEADLAVRWGVRNVSAIQKGRRDFQYEKESKDPITGETVKWFPAT QRLQRQLLQIPFVILAAIALGTLICTCFGIEIFISEVYNGPLKSVLVFIPTVLLTTLI PTISTFLTGFATRLTEFENYETEDAYEKAMTSKVFVLNFITSYLGIFLTAFVYVPFAS VLVPYLDIFSLTVKPFAENEKQMQTPPAYSFTINPDRLRKQVIYFTVTAQIVSFALET VVPLITRKGTTKFKEIQSSRAEAKGGAAPPPSANDPPEEKAFLARVRHEATLGDYDVT SDLREMCIQFGYLSLFSAVWPLVPCSFLINNWIELRSDTFKITSECKRPIPQRADSIG PWLDALGFLTWLGSITTAAVVYMFSNDGVGPSGRPSDIKLWALLLSVFASEHIYLLVR LAVRTVISKLDSENMRKERAERYMVRKRYLEETGLSVDKMTRQSLRTRSVSSGGGLTK DITRKSLEDEARETTLRESTPVTRFWGRQKGWAEVEQVGVNLIELKLEGSAETKKGR EPUS_01939 MALTVCSPKEQRPLRAWEGVPSEEGVSTRHRFAGSESALSTGQQ NSSWKISSTKKNKLRTVQQESFKEVQNSGLIPRGPKEDKKHSRDVDVGGSDSRLSVSS KQQSRPHLNVVTTCEDNVSHRSTTVTACERSTSTSAMENGFHVDKDVEELQLQTIKTA PHGQELLSTSGSPKQTSMRSSWQQYRATVPVFDPVLPAQMPAEPSFHTKYHDNEILLS NNFEGILTLHPILINSNEEMASNSAITMSNAQEAINQLRAANEDLMSATKYYRLLSEI TMKAAALRRGYTRTAIQPANLNPEQRRLHQVIISDGLTMPNSALERAEVLANVIKLHL NWEVENLKNGVNASASLNSFVGFAGLFIPQVRDLALADYVGGEDTIRVRFNIATVATN LLRWIVEMCVSMAEHHAPMYQSYHAVRWYEEGLKALRSIDSCLEAVCMNKMAAFPEAK RPASDTELPGMFSPRILNWVSSKLDWVNKMADKLQSKAPMVPGPDGSLEVPFGRTRQA LEDHLLRGQRWPAV EPUS_01940 MEHNQNQKKRTHSVLESPQKYAAPALREPNSQPFLFSQIPPLSK PPASPYRAPSFTTPRKPLEVDFSSGPENVSSPDQADNEDTPEAPKAAPITFTANEGKP KEKRNSLFGLYGRFAPSSGRGDMGKSSHSNVLIRRVQKRRRREQNLGRQLVRAQRPSE DTSEDESPVKERRNPIPPVHEVGYMAGLFTFIESFPNAPSLLAKYLQLFFNSAIILGC LYAIYSFWTTIQADVNRASEDAAAETLAEMAACAKSYVDNRCAGDSRLPALETVCSNW ELCMNRDPNSVKRAKLSAHTFAEILNSFVEPISLKTMLFGILIVVLSLGISNATFSFL RKSHDFNSHAPEMPRHPSAQYPPPQSHSMSQYGGTPGTGYAGQQLGWQDDPWGGGESR QDMKRLEYSRSPSKGGRGSR EPUS_07271 MVWYDETPEYEALSYVWGPTSPAERTVLNGKGDLEEKAHQIKLM RPIYALASGVLVLLGSGTVGTDAAMRSIEKFDKAIWSTYNFQVNFVELLPQRAAWTFV PTMSYILKHEADGFALLGLMWQTRHFKTPIPSWVPDFTISADSEDEHNPVFLRGSCMN VALS EPUS_07272 MATQSESQIKHHPDHWSFTSNYHHHVERTVHPVIVELIKWATQT SPPDSSSAVLDDGCGLGTVTAEVKKSFPDLSVLAIDSAAGMLEAVNRKTEKHDWKNVT TRLLDGGNLHSVSSNTITHAFACTYIDLAHNATACIKELHRVIAPGGILGMNTWADPI HPSIATPWTKACQQVYPEFKAPLVTSPKWSTADQIKHNLEKAGFKDVQTKQVITHWRW ASPEEMTEWFFNGGNPVCGRWHEALVEEVGGKLEGMRERFHEELVKEYREVGGQLLNE EVVNLTIARK EPUS_07273 MELVQTRNTVADLQKLVEAAHASKNAHSRSMKWQQTVDAELEDL ASFKALYTSLLADNTVQAREIKIEPSRIQIQSQRDVKQDHPRAIYTPDGDSEQQVWIN WEDRDIPGNDPAPKSLSSLEELTILFMAPKPDEFCTPTCQGYSILQQAELPPRPALIF KNPPGFDPQVQPVSLFHAFTTHPKPSLVHRVALAHKLAQSLLYLHAVNWLHKALRSSN ILFCPSSDAAALDVCAPYITGFDNSRRSRFNEATSEAPRVGRMEVYRHPETQLEGPML PYRKTFDIYSLGLVMAEIALWRPLVGRHPGAVAHVGAWAARIAPGGGRREVCRGRGDM PKRAGRILCRAERPGDKRGHWDEDPAGI EPUS_07274 MEIASTALGGVSLAVQLSLCCLKGNDLRVVGLGVGAISTDSERD SVGYEVIKSALEASKDSCTLSNQFEIEQLRLQNFVKAAGLAGGEDENRGSQTVDGAGG NRGSQTLRANTMLLLNILSEINLALEMFARNEPHQKGLPNEYVHPTISTNVSEGYSTL LNMISTVLRNTRVLRGDS EPUS_07275 MIDATGQDGLSQPNVHSSKGKETTANPPNQSDLPHVQSELEMEK ILPLKDGDQEVHLLDTDFDRISSLLCQANREEWSFRPRTYAVLRMINAVDLMDDFMQL NCLDIALPYSGSNLPRSLSPERRYRFRRMQGSVLTKAARIEGRSTTHANFADNADNHL EPLNKLGDGGSGTVDRVRSKLSRKIYVRKRLDRQKTFEESTKSLNFFKREVDALKRLK HRHLVRYIGSYTDPQFVGIIMEPVADSDLRVFLNQKSFDPAEYHCIREAFGCLCTAFM YLQEKKIRHKDIKPENILVRQRKVYITGFGIARDWLAQVKSTTTGEIGPISMAYAAPE VVAKEPRSTSADIWSLGCVYLDMITVLKGETSTSKLTYFRGHGSSGEYPRNNMEAFRD WVKKLESTGDNAPLDWIKNMIREKRASRLTPQQLMSQILECDEEKDFYGLCCRGQDEA DLPAGIGETESEDCSSSEDLSDHKASKKGPDPAVVEKHLCIAARAQDLKALKRWLRRA ARMQKRYLDTRAIRQAAANGNEELVDILIQFGCNLELKSNGRTPLCTAVRNSREGTTE LFAKTRIALDAQDSAKSNTALHLAIRKGFRAGMRILLEAGASTDIRNEIGDTPLHLAS LCGDLPAVEMLLKHGAKISLKDKHDRTALHVAAGDGHSKVVKILLKHGAITSLKDEQG CSALHRAAKYGHTQVVLVLLNHGADIDDYDGSDWVRTPLLNAVVFKRPETTKIDSYIL RHYTRLAGNCGNSAQLQARPGDPRPTQRYPIAHGDPARENRLYTTTPYSSGLSLSIME FKDFHMVKILIDNKVDLEIRIRNNVQVIMLHVAARSENLQVIEFLAENGSNVDARDRA GTTPLMLCARFGQAASMEILMKKGASTKIQDQTGDTALHYATFAGSMENATFLLQSGA DPMIYNNNGLVPGAVANRRGHKGVRDLLIKAEGAGRVPAA EPUS_07276 MSSPIDGPLKRKANDLPSSSPAEPKKPKTNASITSFFGGPKSGT KSSKPAGSVANGSSLISLVTPRFDKAKWVAKLTPEQRTLLKLEIDTLDESWLAHLKDE ILTPSFLNLKRFLKSEIESGKKVFPPLEEIYSWSRYTPLPSVRAVILGQDPYHNHNQA HGLCFSVRPPTEAPPSLKNIYLALANDYPSFTPPPRKLGLLTPWAEQGVLMLNTCLTV RAHEPASHKDKGWERFTQKVIDTVAKTRTRGVVFLAWGYPAQLRCKGLGTTGKHLVLQ SPHPSPLSAYKGFLTCGHFKKTNEWLKERYGDEGVIDWNLDQPKPTAASNVEGPVRVT ADPAKGAGEADPSTQLAEEKQESAATDPVVAGENNGQSPEEDDTDAIDALQEMAQAEL EAEKRE EPUS_07277 MRSFREATLLSLLAASGVLSSDVHDLKTDTFKDFVNSNDLVLAE FFAPWCGHCKALAPEYEEAATTLKEKNIPLAKVDCTEEADLCKEFGVDGYPTVKVFRG LDNVKAYSGPRKAPAIISYMTKQALPAVSTLTSDTLEEFKTQDKVVLVAYFASDDKTS NETFSKVADSMRDDLLFGATSDAALAEAAGVKQPGLVMYKDFDEGVATFDKKFTTEAI EDFVKTASVPLVGEIGPETYAGYMATGLPLAFIFSETPEERAELAAVVKPLAEKYKGK ISFATIDAKAFGAHAGNLNLEVGTWPAFAIQETVKNQKFPFDQSKKITEKDIGKFVAD YLDGKIEPSVKSEPIPEKQEGPVTVVVAHNYNDVVLNNEKDVLVEFYAPWCGHCKALA PKYDELAQLYTSKPDFNEKVTIAKVDATANDVPEEIAGFPTIKLFAAGSKDSPIDYQG SRTVEDLANFVKENGKYKVDAYVANDTDDADMTDAEETMGKQAPAATVSDAAESVKSG AAESVKSVASEAAEAVKTVVSDTDDGGKEAHDEL EPUS_07278 MGRFTVILAAAASLGGLASAGPGAAEWASRSIYQVMIDRFARSD GSSEECTDIDGYCGGTWTGLINKLDYIQGMGFTAVQISPVVENIREDTGYGEAYHGYW SNNMYGINENFGTAGDLSHLSQALHDRDMYLMVDVVINNMAQAIDGSMPDQTIDYSQL QPFNDERYYHEYCNITDYDNDEIAQQCWLGVTNVALPDLDTESQEVTDMIGTWITGLV ANYSIDGLRIDAAKHVNNEYLPPFVEAAGVFTFGEIFSGVVDNVCKYQRDNLISGLPN FPVYFPLIQAFTAGDMEGLSEMISDVNDGCTDTSVLGTFAENHDLPRFASLVPDLALA KNAIAFTILADGIPTMYQGQEQHMPGNYSPYNRAPLWSGSESGTPYDTSAPLYNLTAT LNALRNHAISIDSRYVSNHSIELFLDPSTMATRKGPDGVQIVAVFSNQGERGGEYELS VGPSAYEIGTEVIEVFSCTRSNANEAGNVTALMGAGEPKAFFPTAQMEGSGLCGYASN AEAATNTSSGAEPSATNGAAIAADVRWGTALLGVMGALAFWLL EPUS_07279 MADLYPLPSEDHLRSLYVGRSLDGIPTPAAIIDQAKARHNCHLL LDAIKAAGVSFRAHVKTHKTTQLTRLQVGADCKDVRLVVSTLMEAEQLVPLLLEYKSR GAAVNVLYGVPLGPSQAERFGAVGKTMGEGSIAAMVDDIGQLPALTVIKHFAGFAPAV FVKIDTGYHRAGRAPGSYTLNTLLEQIDVGEDAGNCVFKGYYSHASDSYGNNTPQEAI TRLMEEIELCGVAGRSLSLSRKYGRRPVISVGASPTAVSVQNIETGSVDVEIAGKWKE LLKNEQCHFEVEIHSGVYPLLDMQQIATNARPFQGDPHDSIALTVLAEVRSLYSERKP QEALMAAGTLVLGREPCKSYSGWGVLTPDGPEEDQVTNGRIVVSRISQEHGILSFENG GLDRALPLWVGQNVRIWPNHACIAGAGFNWYFIVDSSSESPNRVIDIWVRWRGW EPUS_07280 MSPLEKDGGSAQPPRHPVPQLQGPFEESMMESLNDLEEGEQKTD SIARRKMLLQGERYERVCAGRWKQRPGEKFHPLWKLSAQLSFGLHLLAQGLAKSEEEV MRILQSHVDDIDGFLERTTEDFDLAQSDVEERLRYLRLPLEHVEVFDHMLDDRTFRSS IVEGNEKIEHIVERTSEAMKDALKDVQKGIDATGALGKYLTELKSNWPDRTPEMDAVY IAMLGNVEGWTRAFTDLQLQGKMLAVSLVQLANIVGEMQRRAGIASRKVLLPYSGPAN GDVSGGKRGTVTRKQQAKSPGLTSSRSPQPSPKVPPNKPLPMAPDLMVPARQASRAKL AHEKLRRRSLDVLREQQEKTKAAQSQSEKKPSVAEKFRSVARRGSAQTVVSHAKPSKP SKPSKPSGAGFPATPKSTAPALSSPKFMWSESAIRSLAQPGLKERLPRGVPSGQPGTV IELPAEVPDESAVTNETPKKGGLLIHLGSKHKNKEHSSAPSSSKSLNIFRKSPQSLQA PESTTESEPAEAATLSPAPANTSPSVEGTRQTENQLSPPLVSPPLRSSPDPGLPTPPA LIPTKEPSSYTALPDILATAQRATAVRASLRISVPSSGSPEPERTPRAIPSQPPDTTH TPTTLTELASNASNTQAISENESKDTCYDASLSERGSQVPVLDTAKASVQELTTEQDG EKTPTTNVRPQVDIPVATDIAAQEAKDFYKLPPQQTSPTPPPITPEESLANQSIASSV APSASHSKTASIQEAAKEIEPSQTKPEEQPPPSRSSQRKPASPQKNSTNPKDLRLKPR NKDLQNQSELLDMIACTPPHSPIHRRTSSDGSALNAVGRTSSTSRILAPPDEAPPPPA PGGRSMINPDYAAAGAFEGERKLKRGSGTNSSGWKKMFAAAGGSASTANSPGNSMGTL GGAGAKVEDEKIQMSANLMSGEGNDVLWYKGMGRDGLWVSGA EPUS_07281 MRGGFGGSFRGGPLKIGRNDRIDEEPQFSTSMFLPSSSPEVSPT DQKQSSRSAQYRGGVMGAGDSLSPTSIPPQNKGSIAPWEDPSSLDSIAPWDTDPAPSR AKQALRNNSFYHDTPGQASPPCDADRTPRANTADFSDNKLCDQDVRRPSVASANTVSS QGSGSKISSGGKFPKSLKGIFGEDPSGSREASATNVATQNNSKARKGSDSIDIPSRPH TPVPPADVTPWAYQYFEDVSNYGDAPVRSNPIGQEPGEANNNAPASKDHHPRGLLHRH TRSKEEPPRSHPMPPTSHPVRPSTSRELSTTNPPFGRSSTFTSTPASSSTTLHSVRNS SPSRAMTDRDFSERKAPTAKPEKKGLRSLFTRHKHHEKDLAKTSTDSERSLQEPVGKN KPLHAEPPSDKRGRETSMASTDSSATIKPTETMEGLDKRFTNTSKTSHRFPRIHPKRG MSYEGHSGERARQGSQAQQQPVGIFSLDTDLDDMSGIISQPKPTSPGPAAAEMFAGPT PRDGHGSMQDPAAPAWDAPDSWAVKKHDEDILGALPEVDENGLPAIEENDGKSYCMRV FRTDSTFATISTSINATVADILSMLAKKSVLQDTIENYHLVLRKHDLSRQLQNGERPV AMQKKMLEQAGYESSDRIEEVGREDNSYLCRFTFTHRKLTGYGSALDKDPGFSKMQKF SHVDLQGLSLVTIPITLYKKASEIISINLSRNLALDVPRDFIQACINLREIKFSGNEA WRLPASLSWASRLTVLDISNNRLEQLKSAELDRLSSLVSIKMANNKLSELPAYFANFR HLRSLLMSSNNFTGFPGLICGMKSLVDLDISFNKISALTNIGQITTLERLWVTNNDLK GPLNETFKNMINLKEIDARFNGITNIDNATLLPNLETLLVGHNGISTFAGSFPKLRSL VMDHCPVTSFDIDSPMPTLISINLASAKLPSFKDSMFENMPNLQKLNLDKNHFINMPL QIGRLSKLEHFSIAKNPLNTIPPSIGNLTELRFLNLRECNLKSLPSEIWYCLKLETLN VSSNVLESFPKMGTAPPQANAHITPVTTPGLSSSPSYEELGKLEDFGHRRPSQASGML SIGSSPASSQRKGSTVSGYVQAGRKASVVSKTATDGTMTPVTRKDSNISQSKFSNTFA GSLKYLHLADNRLEDDIFRELYMLPELRLLNLSYNELTDLPQGVLRRFANLTELYLSG NELTTLPSDDLEEGSNLKILHLNANKFQVLPAELCKVHKLTILDLGSNFLKYNVSNWP YDWNWNYNRNLKYLNFSGNKRLEIKPASSHQSTTAQNGVDLTSFSSLHYLRVLGLMDV TLTIPTTPEPTEDRRVRLSASLAGQISYGVADTIGRHEHLSILDMVIPRFRGREEETL VGLFDGQPLSSGGSKIAKYLHESFREMFIEELLRLSDDYTGTLGALRRTFLSLNRNMA SAAIQTIDARENRALRGARSSSVSQVLSQDDLNSGGVATVLYLHQTELFVANVGDAQA MLIQNNAQYKFLTTKHDPAYPRERERIRNAGGYVSRQGKLNDVLEVSRAFGYFQLMPS VIAAPHTLQVTLSDSDEMIVLASKEFWEFVTPDLAVDVARAEKADVMLAAQKLRDLAM AYGANNKIMVMAMGISDLRKRNNSRLRGTSLSMQPSYGQEDQLFPSRIRRRNREGVGD SRLARLEEVEPPTGEVAIVFTDIKNSTALWEILPSAMRSAIQMHNELMRRQLRLIGGY EVKTEGDAFMVSFPTCTSALLWCFSCQSHLLDLAWPTEIIDTVHCQEKYDADGNMIYR GLSVRMGIHWGKPVCEQDPITRRMDYFGPMVNRAARISAVADGGQISVSSDFIGEIQR TLEAYADERSSSTGSDDTINEDAMGNEIRRELRQLSSQGFEVKDLGEKKLKGLENPEY VFLMYPHSLAGRLAVPPGSDKSTEAGQGNEPGTLGKNSELNINPDSVWQLWDLALRLE MLCSALESPEKAQGLNKPELSLLNRMKNQGGEISDAFMMNLLDHQVTRIETCTTTLQI RHMTKPLKGGDTLYDHARPIAQVMIDISKALKEFADFKKENPDYISMKEDLRTLREHM KTLEESGVLG EPUS_07282 MATSDDELAYLQPGFALSSLTVPKLRSILVSHDITYPSSAKKPQ LIQLVEDHVLPHSRKILNARAHTKRTSKGITDMPSSQESSTVDGEDEDEMPPPPVPAK TPAAAAAKEEESSPPPTTARRAPTPGGRKNTVKHPRVSDTDTDTEKIRPSARKTRKSE APTIVLAPHVHIDEPDLPVKSERRANGESPFTYDNPFQSGSSPSADNRRISASSSRSR KSLGNVKEDRRKSSSARRKTTSPNERPAEQEDGITAPSRSTFEFPVSRIKAERQEEIE PTEEFTPEEQLELVRDRAAQGYSGSQLIPARQSTLTRRARQPASTATKSATWGVLLTL LGSIGAWYRKEKIDIGYCGVGQPDWSLSNYNTNIPTWVKDTLQPACEPCPQHAFCYPS MEIKCEHDFVLKPHPLSLGGLIPLPPTCEPDGEKVRRVKMVADRAVEELRERRAAFEC GEDIKNLQSTEDSGEGQTIVRASKPKLEISEEALREEVGKMRRKGMSDAEFNDLWQGA LGEITGREEVEVIRDGSGSILLSSSSLARFPLGCAIRLSVLRTLSRNRLPLSVLAVVI LSSIYARHKVTTYRSATAQIPTLVNTTLDRLATQAALVADGRVSEGFISVGQLRDDVL RSTFSKEERERIWAGVKRVVEQNSNVRAGNREGERTGEWSRVWEWIGPVDFQVRGLEG GRRSGGLIREAGEEEQQQNHAEGGELVQGSSRKWDEGRPIY EPUS_07283 MAVRLKSSKNIVARTMEKLHWPFEEKEVIKFNDRLRKHICNCQF SLTMENCMLLSETSDKVATVLKVQKEHSFQLSEIFKGTSAMATVANQYSQTVDQITLI LQMLSYLPNTFAEIENISLCMDDLQISARNEKEARILDWIPAGAPHKHDEISARRVAG TGKWLICHETYRQWQNDASSNNQLWCFGGPGTGKTFLASLVVDNLKKQSKIRNIGVAY FYCDYAVDSAQDFAATILKQVLMQVGSLPPAMLEFYELHRRAGFPRAQVEVIQLAGKL SLHFDAFFIVIDALDESDSQKQRQEILKVLASLKMSRIRVFVTSRPHDTDIQTALQHA LKIEAMAEEQDIRSYLHEKLDCAPRLSTLINEHLRKQIIAHISERAQGINQTGVRRAL QHIPTELDQLFGDTLYRMRQQPPEIQEIGLYTLMWLSCARRPLLISELQHAVATRFNE PQIDPDEDCPPSEIIVESCLGLVTIEHDESTIRLCHFSLQEYLDSQRENLFPQAQTTI ARVCLTYLSYELPEQALIGNHVELSNVSVEVILDYLPFLQYAAEHWGFHAKAAAFNAI EGVALTFAKDVLKTVRAARILANYTPYSRRNHESALTRYRRADRRPKEIEENPKLFHC GLHLAARSDLPELIECLLEHGLNVNSVDLFDNCNTALHAAASRGHLLSVNALLNHHAS LYQLNSAFDTPLFLAVVSAQLEVAKVLVQHKALVNIQCLDDWTALHRAVDSGQLEMVR FLVLHGASLTARTARGLYPLHRASGRGHCETIEFLLRQGAFVDARTEGGWTALHDAAR SGQLEVVKILLEHNSHSNCPTNEYRTPLHHACRGCKLEIVKLLLNCGANHLGRDAHAQ LPEHRAAKDDHPLILQLLLSLDLTQLSKVDRYNASPLDVAESAGSFRAGQFLKGIMRP RFDLLGDTRTGLEIAIETSDVELVRALIRQGADVNTKEHWGWTALQQALQADQEDIGL ILLQAGADVEATGAQKWRAIHVAARRGKSDAVRLCLEYHADINAVTAQQQTALHLACR SGDEETIRLLIESGCDIEAADNRNARPAHVAASHGHEGALRILLENGADLKARTAASR TIHACAARGCHYALVEFLRERRFALEDGMDTLT EPUS_07284 MIETPFLRPDPSHEPVGREHPACNHRSALVTVRTSDRDDVFQVK PGERSTEDSIVEPELTKGATNGDDNEGFLGIRKTTEALEDSSQTRTLQNSHRLAVAKG HDQLEHGRSSVVHHGDTILVEMLPVSPPSALREGIGPSPTADTDYGKPLEKLKRRCSQ VDSILQASQKEKVLKLTPERIHELTSSPKSLPLRALSSSVSQLGVSTLPPPSFDLPAD SQPESGATANGNDCDVAGTPNTTHAVTETSASNVSDNFAPTPDALLPVPRAAHFARAS SNSSSIKGKRSPHFRPGTADRRDSKHTPTPLRFDGGKPAGLVPTKMEDVIPSPMPKTI PLPPMSLPTYLQLELSSHRPSPLYIHRSVTSDFPYESSRVKIERLLNFLLLPPQLEQV LWFGTVACLDAWLYTFTILPLRLLKSFYILGQSWATNLGAEIKFVSSFIYAGAGRMWK RRRTRGNSAPKVKLGVQGSAMPEQHEKQDSAHLNGSVRGINEKRRPSLSKRNPTHESG ENGRRHRRNKSVPSALLPVDKADILKGLLIITTCLILMRLDASRMYHWIRGQAAIKLY VIYNVLEVGDRLLSALGQDVLECLFSREALERAPDGHSKVLRPFWLFLMALAYTVSHS TALFYQVITLNVAVNSYSNALITLLMSNQFVEIKSTVFKKFEKENLFQLTCADVVERF QLWLMLLIIASRNIVETGGLSAGLGAFGSSSSLFLPTTTNSSNPMNTPPLSAASILPR SFTLLPNMLSSLTAYAPGIGHVLGPFLVVLGSEMLVDWLKHSYINKFNNTRPAIYGRF LDVLAKDYYSNAFAEQNLTKRLGLPVIPLSCLFIRASVQTYHMFLAAWTPPTLPSSVT GLTSVHNHYTNSPTSMPTSTAAALSQKFDDLLRLIPASISSSRAFSNFSTILISVLVF LVLLTVKLVLGMLLLAFARSRYRSMKTREKNPIHHVEGGRRVGGWGVVEVDDDKRRWI YEDDAEGARQLKEREEREKIRRARGSGEGAFDRVKRYEMVAKRIW EPUS_07285 MNEQQSSTRLRFRFLGSSKTTNQTQNQTQTQNREIGSPLSAYRP SLRKPQSTASLQRHPSAPVYPRIHTPLSNRESPSHFRTKSNAYGSSNSSIDQASAGPS PILPGSESSLPTSPPFADSQQSHPSASSQSSKDDLIGAPLEKFGISKSFTFDSKNLST VKRPAPPPLHHTHTNPEARGLHLLRSSTTNDNIMEVTPPRSDNGTMSPKRYSDDSNSS KPSISGRKKSGFSSFVNSMLGSPRNIKISSPENPIHMIHVGYDNVTGQFTGLPKEWQR MLEDSGVSKTEQEENPQLMRDIMDTYQKNVGVMEDQGVWDKFGHAKPSESPIVSGPLS ATISGPISTPLFSGPMSPGGYSSMGGGMISPPASPRFPQNHETSFENPRAPPPIPRSR ASGTLSPNPQSSRSSLVPNRAPPKPRAAPQPPIVKEMVIRPSQNTTKQPFAPPSIPET VPAPPELQYSHPVSSPDGSPPRASPGTVNNPVQYQQQQEQAMIVAQQAIASKQLDRSR SQKLTQEIPETQPESQQLTPITPQQQFANVPSPTTTARQPQPQVGPVPGARPRNRTRQ SNGAEITQRLKAICTMGDPTTKYKDLSKIGQGASGGVYSAYEVGSDKCVAIKQMNLEQ QPKKDLIINEILVMKDSKHKNIVNFMDSFLHQGDLWVVMEYMEGGSLTDVVTFNIMSE GQIAAVCRETLNGLQHLHSKGVIHRDIKSDNILLSLEGNIKLTDFGFCAQINESDKKR TTMVGTPYWMAPEVVTRKEYGRKVDIWSLGIMAIEMIEGEPPYLTESPLRALYLIAKY GTPQIKEEHKLSHLFKDFLHFALKVEPEKRASAHDLLTHPFMQCCEPLSSLAPLVKSA RLSRAQERSQKGN EPUS_07286 MFRAQQNAFDEVVAKATDENLTSENWEYILDVCDKVQGSDSGPK DVVAAMIKRLAHRNANVQLYTLELANALSQNCGAKMHRELASRSFTDALIRLAGDRTT HQQVKSKIAERIAEWAKMFSNNTELGIMEQAYVKLKSQNPNLQPPQAPTKRQITDLDR QKEEEELQMALKLSIQDKPEPAGPAARKSGAVELSGQSGITPQQEAPSQPVPSGSTAA TVSRVRALFDFQPSEPGELQFRKGDVIAVLESVYKDWWKGSLRGQTGIFPLNYVEKLA DPTQQELQKEAQMEAEVFGEIKNVEKLLALLSTSSGEINVRDNEEITGLYHQTLAIRP KLIELIGKYTQKKDDFQQLNEKFIKARRDYEALLEQSMAQPQQVPYGRPVQQPYGYGG GPPQGFAPQRFYSPQPDSQPPNAPTPFQYPPQQSQQSHPPYPIASPPPQNHAPSGPRP PQSTSVPPSDQYPQPPTSSMYPQSLQSGPRPPHQTPTPYDQQPAPPQTSHPYSPQELG SSAYDSPTGPNRQSYPAAGGIQNLHQQHAQDPSSDYSPSIYSPEDGQQQPQQQQSQQQ QQGYAPLPSQQRQQQHQQPENLSYAPPTHQPPPIPQGMPPAPGMLGGGYGYPPQQQPQ RPQSFIQQGSVGAGGGGADAASFYR EPUS_07287 MSGTEAITYAEVSQHSSKKDLYLVIHNKVYNVSSFVDEHPYVLP PEAPQPFSPIQSHSLAVKKACSTWAAKTQEDVGHSDEAREILDGLKVGTLKREEGDPA PKTGSTSYVAAGPKESSSSSSSTTSLGVGLYAIILLGGAFAFAAYKYLQVNDKQ EPUS_07288 MSGTQPVAVYAMKVPAGDVMVPAVPDFAAMFRLSMAAIDPTAEP EFAEGDEKKTPRATLKLIRVPAELFGDDDSEDSDYDEMEGDEVEESSSEEEEVNGGPS DPSKAKKPNKLDLVKAIAEQGSDDEEEEDDSEEEAAAKSALMKIMKGKGKATENGEEA DDDEDSLELEEVVICTLDPEKHYQQPLDIVVGEDEKIFFKVSGTHEVHLTGNYVIPID DGQARLYGDEEEDDYDLSPDEDELDALEDMEDEEESDELDDMADPRVMEVDTDEEAPK LVEPATKGKNKRPAEDSDEDEANLDDIMSKSIKPSEPTTNGDEKPLSKSQKKKLKKLK KNDGEAAPVETPSTATSKTESKTEKKEAASNGEKKVQFAKNLEQGPTPSATPPSKDVS KDGSKETPKETKQPGGSLGVKDMQGVTVDDRKLGSGPQAKKGSHVEMRYIGKLENGKV FDANKKGKPFSFRLGAGEVIKGWDIGVMGMAVGGERRLTIPANLGYGSKGAPPKIPPN SKLIFDLKVLGVK EPUS_07289 MGSRLEANSANVRKRIENHTFDDEQGEEYEASKFGGFPDYFRRK KLKLQNLDVELRSRSTHNPHIFKGVVAHVNGYTQPSLNDLHTLIVSYGGGFMQYLDGK TAVTHIIASNLTPKKKVEFARYRIVKPAWVVDSVKAGKLQPWDAYRVVDEGVKQKVLG FDNGRVVSQSNKLQSSYREQTESSWYTSQIRNDAEGPVEDAPLSESTEAKPEYPDPAP TAQTRLLPRSESSAGSDTSAAILTPTTQNDVGFLVDEFGTEDVDAEAGVKESELMAVN QQSFPATVEGNATSDMRENSAIRKRCTSSEDPMHNSGVLAQQDTAIGNGKPMLNESKE SPQERTMDSLGKRNLTAEEHNARLLSDPHLAKSSTANPDFLNQYYRESRLHHLSTWKS ELKAQLQALASEQTSSQKSRQKRPPGARRYIMHVDFDSFFAAVSLRKHPDLVDKPVAI AHGGGPGSEIASCNYPARSFGVKNGMWMKYALQLCPDLKTLPYDYKAYEEASRHFYDA IIATDGIIQSVSIDEALVDISNQCIAAGGSDGRGVLEGSIYREQTKADKIANHVRALI KEKTGCAVSVGIGNNILLAKVALRKAKPAGQHQIKPEEALDFIGELLVHDLPGVAHSI GGKLEEIGVQYVKDIRSLTKERLVSVLGPKTGEKLWDYSRGIDKVEVGDQVIRKSVSA EVNWGIRFVTQQQADEFVQCLCDELSRRLLEQGFKGRHLTMKIMRRAADAPLDPPKNL GHGKCDTFNKSVVLGVATNDKALLAKEALSILKGFAFSPGELRGLGVQMQKLEPLKPT GISVPPNADSSQRKLQFKQPSATTSQHARNPSPLENVQSSASPTLLKKPTKLQHHPDP IERSPTPEEQRRPEPIKGALSSTRTETSDAKYKPLNITGTQFVLPSQIDPSVLAELPP DVRSKLAPKQKRIIDALVPQHQPHSPPRSRSQSPFASVADLPNQSQLDPETLNALPED VKNELLSFYHSEASKSDSPSRQRQSLPHSPRKPKSGLVAKKLNLTPTKKHKTSTLLGR GRGRPPKTISNSSTTSTLTQSNFVANPINNSKRSFPRPTETATDHSEPDSDPQPAPPA EISESFLSALPPDLRREILDQQKRERLKQRSGLDISTSKRPLAQRAPADPPGAGQRKL VLPPRDEIPTFTSRKLSTIEELRDAMSGWVEEFSATPAETDDGDGEAGDEIAGPYDED VEALATYLAKVVTVEGNMEKAVSVVTWIEWLVGELEEERQRDAWERVLERLKARVQNA VRERGLGVVDFG EPUS_07290 MLKLFEEKDAEAVILGTRVSNDAATNFGCIVSDGHTKRVLHYVE KPESHISNLINCGVYLFATECIFPSIRSAIKRRSDRPRIVSYPSSENLESSFFRDQDD DAEKNEVLRLEQDILSDLADSNRFFVHETKDFWRQIKTAGSAVPANALYLQKAFQSQS EELAAPSANILPPVFIHPTATVDPTAKLGPNVSIGPKAVIGAGARVKESIVLEEAEIK HDACVLYSIIGWNSRVGAWARVEGSPTPVGNHTTSIVKNGVKVQSITILGKECGVGDE VRVQNCVCLPYKELKRDVANEVIM EPUS_07291 MLQVPVRNGTGSAASTKAVILVGGPSRGTRFRPLSLDVPKPLFD VAGHPIIWHCLRAVAKVPGIREVILVGYYDEAVFRDFSRDAAKEFPHIRIQYLREYQA LGTAGGLYHFRDAF EPUS_07292 MEVAQDEKRLRPNSYAPSPTVQHHRMQASDPASHNYPQPSGAGS NYGAGSAGWAPPPSPFANEPRQSSEVSQHSNSYPPPSRENTYPSEVPYGSRAGSISAQ PRSPGDGNVQVLHHVNGTLPDGPYQSQQVPPDYRNRGGYVPPDVPPNGSHPTGLHIAT GQEVMPGHQPFQQTPHGPYSYPQSAGPGPGPSPVHDTYYQGLPWNHPAVNRERPKKPV RAQQACDSCRTRKAKCDEARPCSHCKDNSLHCTYRDIPPHKQDRNALALEAKIDNLQR EMGSMQRELSNMQHATNEKLNQILHVYERKEVLSPQKQATASTALQTTATWQSSQGLP VDNQQGLMSTNAPNHVDFVIPANTANKEENSLPHSHTTAAQNLLLWPSIKEFGLESNP DYVMNEEERRGILRLYGRGEGHDKMDSGQGPASPADSSSSVRTDDSPSPPAESLWGYG FRAPYPSSHGEHPGGLGPDGNPNYEPDLVDKYFHSYMKHIYILHPFLDKKTIKDHVVR FKSRYSRDRSGPNRKRKHEADDSLTPGHELYRPSAAANAISVERSVRNAIVLLVLALG MICDHKDPLPASPPASSSATVPTSAPTPRSSNSDPFSPPAESPYHQLSTRSRVVVANI PDRHEAERNNVDVIPGLAYYNVACDILGGLRGGYDLSHVQAALLAGLYMGQIAQVLAS HDWITQAGKACQVLINPKRFPGQSSTKYVELVKYAYWSCLQLESDILAELQLPQSGIS RYEAFMNEYLPYGIHFSDEEHGVYQTDQKVQAVEVKYYCAQISLRITLNSIHNSLYEK EKLTLQLPIQKTLMVALEGWREITHNCSPEMAWDDNNYLTPDINAARMRAKYYGAKVI INRPTLHAALHDDWSTISCPQSESPFNGQARLSQQTSPTAPLKYHTASMQHHDGYAGS PVQSESRPVKTVQALKPEIRHGVIACIQAAIRSTTAFDAVPPRLIVTNIFGTGHAQFG NMLILSAAYNSYLRPLIDGRELKGLFTRTIEFLGRNADISPPLKRDMQHLKRLEAQLF PRPALGITSSFSSTNT EPUS_07293 MTQRIVHPFRQYSIPHYHPIDNDWTRNFDFRAQQAALSREFSRF TMDNADEGQVTRRREQPDYTKKNFKRATEDLHSCLQEALEFFPRFETEFNHETKEIKR YSDEKLLNIIWEKKVQRFENGPRDTTASKSAGKPNSHQQPHGEQRQQEESSNSTISEP SITMFQQKIRTTVQAVLECRYPEPIEDDKGLQIHIEEIRDYEERMRKTACRLYSTLGS IVYNVQAFRRVIRDLTTMLQDLKLYPLKLWKAEEDEPEYSDGGWVSS EPUS_07294 MKISQIYVYPIKSLRGTALESAEATYRGFQYDRCYMLVKQALKQ RNMLVSEFPEMCLFLTSIVYPSKQSPDSGKIIVTYMKPGSTEQRFLEVPLEPEIKGLD KMEITLHSSPTNAYNMGQKYNQWFSECFGYEVILAYIGENRRELLGNLAPSVAWKQQQ RKEQNSWTSSLTSRLPNIGNVPGVKQGLTFSDVAPYLVITEKSWQNANLRLPGNKTID ITKFRPNIVIEGAEEDFEEDFWAELAIGDTLKLVLTQNCVRCKSLNVDHATGKMGTGE AGSIFKKLQKDRRVDSGNKWSPVFGRYGFLHEDMAGASMHVGDEVRVLRRNKERTTFG KSGELRSDIVWSSRYPSQNGQV EPUS_07295 MEGYTTTLRRKDTTKGPPLRILSLDGGGVRGFSMLVLLEALMHR SFVEIHGRPPRPDEKLKPCDCFDLISGVGTGGLIALMLGRLRLDLETCKNVYVRMTRK VFETDKTIAGIPYKHTLFKASKLEEAIRECVREHTVLEEEGNDGTKAGGHEFQSLMSP TSAVEFPERAASVRSNASFTPNSPVQSLRNSIHGFRWGNPDASLYDGRENRTKTAVTA VFKGTPKNGHSILLRSYDSRKEPPPEFNCTIWQAGRATCATGLAFKPIAIGNSVFVDE GAGKYNPSPQILDEAAVNEWPGRDIGVFVSIGTGKRPGGTNNRQSEWYESFLGGTMGN FAEARRRLISKIENCEEIHQQMLNSHLQKRGVPLENYCRLNVEVGVGDFGMNENVLSP GYYCTDWLTTSVQKLIGEAAAKIGKIELTKRRLEGQSRYTNPNRGDLPSKGLPTPPSH PDAIELPGNDAPIHSPTSPYHTPRTSTINPPYPYDDTISSDDKFSLIPSVDGQHRISG EMPYRHSGEYNSPYNISPRRSGEDYARSDAPPIPPKTPIYGDGEGLRPPQTSRMSAGS QKLPYPDFDGPPIVNKLRKPQYNPG EPUS_07296 MSKPQSSLPRAALVGMYRQAKEQARPERPDPRKDIDADRKTGAD GAKLPPQLMPQNMKETKQARRKEEGEGTQALRKKGGQTVEDLGGKQVKRSMKGPEKSE NDCRAKEEMDRAKESRKTENMVRGWDKESKRTDKSGDRKAKNTNKQHAKMMKRIDEQL AKSMRMIEMEYKRSMEQIERLPGLGLPKKGNPV EPUS_07297 MEVTTHVLTNAHQLVARAGSAPAQPDRPPVYKVIGIVLAIASGV FIGISFVLKKMGLLKANTKYNEEAGEGYGYLKNVWWWTGMTLMIVGEVCNFVAYAFVD AILVTPLGALSVVITTILSAIFLKERLSFVGKIGCFNCIIGSVVIVMNAPAQSAVADI QGMKDFVVTPGFLTYTGVIVVGCAFIVFWVGPRYGKKSMLVYISVCSLIGGLSVVCTQ GLGAAVIAQARGVPQFNQWFLYVILVFVIATLLVEIIYLNKALNLFNAALVTPTYYVF FTSATIVTSAILFQGFKDLRWSVLLQMSKSAKDVPDAAVFKGDLDQVREIGEQEQPET EPKADAIRGTAAILRRISVSRQKKEEEEARRYREEKLKDQLEPLQENEIVEWDGLRRR KTVLGDGLPSPIVRRKTIHPPLGMSRFPDEDELQQDQAHEHHHQHSFFETVRSRASSV LRTHHPRQTASDPVDANGETRTHPVALADIKTYPGKPDTPIEPYGPGSIEQAREHIYG LPPGLKPEPYQSGRLNSPRSKPLPAQPAVQSPAGAPNIPLPSPLGKISRRQFSFQNMF RGPKSPAPGDQSASNLDPPPSRLGMGSRSGSAEQKRAMKTASEEERLGLVKGDSHAAL LDPDPYPSPPRPLSIEHYPYAATSSDSVASSPEAQTPLVPRQSYSPSRSTAMSDNAPL YKDLHNPGYFTSGHAFGDSAPSSPLEEEAGVDDEWQVPQTHRHLTSLFQRSQRPLLHR RRLHPTSTHNYHPTTATTLISPGNNPPPSPHSRKQLQWPPPLSRIHRCRHTRTRPEVL PREIAIQPSNSSQQPAIHDPKPGLEIRLPRGLRSAVERFRKWKPLRNCNER EPUS_07298 MSPTRAPEPTRTCFDPFNSSSTGHQRAESRLSGSTSWRESRARK LAHQFSDSSGGGGVEHLSDLVEAGKEDLGKDGRKENGSWEVGALALREKGWQEIRGLM EGNKKRKTEEQFKDFNGYCKRQKASPMHKTDDPITRTRSLPVPSQASIEPPSQIPGPR VYTLPKSTPEATPTEPQIFRSLTLYLNGSTYASGISDHKLKSLFVQHGGSLSIALGRR TVTHVVLGSIVGGLAAGKIQKEVAKVGGKGVKYVTAQWVVDSVQCGKRLPESGYRAVH IAMKGQGSVLDKMGFTTEKVDEDKKGS EPUS_07299 MASIKRKKKKPVSNPARGFATVSTPSKRVDEIPAKFEDNPSLSS VDGKHAYAETESKSQVALHNGDSDLQHMTPEELEQHLEEAELQNLLDVHRQRSRKDVS RQIARLETERRSLRQTGMLLETESWLHHVVEEVLELARASSRDVKPIQKLEGPYNDTD LCLKLWAAQETLQSLHFHNLEGVLQHLVEIAPMIAKPSSNSLVWGLDEALDWLALHSD TEDLPSYQQRSPRYIPTASQTRSPASANITCENIQSSDLPGTRSCSYSPSLNDADLGT PSSTPTIHTKAEVSDDSQMTEVSDDSDDDDPDQLIDQYISAKHELLQRSQSGKGLGQE QPAIDKRAKKLNRRIQRIERDVLFDRDEAMARWNEVKGDLEAEAARSNSLAIRQKRLN KRSSLGDDVGTSSGVGGGVSAADNDADDEELFGSMFSTEENNSPDSETVAAVPITIRD FGPLGAGAKPRKVLEDVCKARDASSTIAVRQISGSSHSYRHSVRVSWSKDQDVAVSPF SLPAQLSYDLQPRSVSASMANVAATSLQQSEGFLCTVMLFAISQSTKDDKVYLRLPSV WRDFWKELLEGRQQEADRADRHALKRIQALINNEKSRHKADANEPVQEETRTQGPSGI SRPLESKRVEYSPDFLKAVWEEKVSTVRYQKMLQIRQQLPVWQHCQQIIDTISVNQVT ILCAETGAGKSTQVPSFILESRLKAGNDCKVLVTQPRRISAISLARRVSEELGEHKSE IGTRQSLVGFAIRLESKVSSTTRITYVTTGVLLRMLESSKNLEDVDFLLLDEVHERTM DLDLLFIALRRLCQRRQNLKIVLMSATIDATRFSEYFGNAPVLNIPGRTFPVDIKYLE DAVELTRNQEDNASNHHVVETYEEDAIERNESERIRSLTVGLERYSAQTRKVLAEYDE YKIDYTLIANLVIAISSDVEISRNSILIFMPGLAEIRRLHRTITSLSAFRSGWVIHLL HSSFSNDDLEMAFERPPVKHRKIVIATNIAETGITIPDVTAVIDSCREKVMRFDERRQ LSKLTEAFISRSSARQRRGRAARVQEGLCFHLVTRYRHDNLLSEHHVPEMLRLSLQDP ILRIKVWNLGDIEQTLNEAFDPPTSRNITRAIQLLKDIKALTDTESLTSIGRQLAKLP LDIWLGKLVLEGLVFACLDAMVFIAAMLSSKSPFVDSDRSNTQATAARLAFSKGNSDL LLVYNAYSAWRRACLTGNAHEFCRKNFLNHYTLSQIEDQRVQLLVTLSDTGILLLDET EKEALRRARLGGGRREFFAIPHRYTSNSSNEFAVNSVVAIALYPKLLLRERQGWRNVA NNQQVNISPTSINFGLSSASATWLSFYQTMQTKSKNPTVFETSFVPEAAIVILLGEAE FKMYAGVITLDSGKIRFSVQDWRIMIALKVLRTKIHESLSRSYRSTGLSLSTLDAQWL NVWQQIVTFKNAPERSMQ EPUS_07300 MNQNQPYMEMHPSHMSSGQPYTPQSATAPGLPHYSQYPQPQVIQ SGPAHYAPSPHSYGPYSYSNGVQSPQSATQPSSAHQVHPQALPLPAMMPGPPSQHGYM GSGSAPGHGYGTQPAHQFDQTGQIAPPGMKPRVTATLWEDEGSLCFQVEAKGVCVARR EDNHMINGTKLLNVAGMTRGRRDGILKSEKVRHVVKIGPMHLKGVWIPFDRALDFANK EKITDQLYPLFVHNISGLLYHPTNESRTSAVVAATERRRIDGVPPRNGQTSQPPPLHH HHSMHSTVGSQVPPTPHSIAPHPGSGRPSLDRAHTFPTPPTSASSVMGMGNQGSSYEW GAATMGSSVSSTQPLSIDTGLSNARSMPTTPATTPPGSSISNMQPYQGQQSFTDSKAY YSGPPSSQSAYAPHHTPTQQNMARFGGAMPSNAYKNEMGPPTAPGAVNGGDPDHHDVK SEQYAHGHVSAGSTDAETDQQQDNGYMGANASAYSSNRTQYAYNPSPSVGTVQGDHPH LSPEMAGSPHQTASGRATPRGTNGTHQWQQQGYQTPPRAAPSSNLYNVMSDSRGANGT PAGDHYASNYTSASMNGASTSNKRAREDENDSGRTDSHGIESGFDLKRRKTIRQDPMG VPMGQMSSLQGIKTGGGLPRQR EPUS_09453 MFSNQDFEPRQIKRDTILSTAELISIGNFAYAAQATEVLNGFLG QESRNRAGSLKTSAQKAEDTNAEWLEEAYQPCYRYELPEGIVVPDPSTSTYQEVHVND KLPLDQQKQLRKLVKRFAVIFNDGPGMARQPEEEWLRIKVAPELERNLKPRPPYRNAP CAKQAIDNIFNENIQLGRMAPAKHSPYSLPVFVVYKYTPEGAVKKARPVVDLRPLNNV AELDAYPLPLQEDILAAIALATYISSINFLKTTIFRGPKREKGSPLEKPQERKGRGAK EFRELWKRKHTTAFQDLTSAFSEAADILRHFDPAKVLNVFLDASKELDFGVTVYQLED AEAEDPLKPLKTMLRPIIFLLKCLTPAERNYWPTDLELSGLTVIYTDHRANPVILAAR LLRTQSPLKMNTRQQGWAVFLTYHPSADGQSERVNQELEIALRFYVDAMQGNWKKFLS TIEFYFNSNYSSVVTQTLFQVIYGFTPSTQLTLLMPEALEQLVQEREAARDIAAEGLQ IAAEVMMNRAIQDRSFDSGWARLRLSPKSYSLPSTRKFALSPRYTRAFRILEAVGKGN ALRMDLPAAWRLHQVISKIHLDPAPSPDDDPYQQNLPPPSDHIDEQGMEQLASSLMAR QYYTKSDGKDMTRKMILRRTQQL EPUS_07830 MKCNMFTTSEATLLAIIAAQFASTVLAAPLADVALNNIFERQAC AYPLSLGTAKGFTLLAKGGITNSGVPLSITGDIGVTPAGTITGITAAQVTGTIHANNA VASAAAAVAANRCACALSKSPAVTTSGVLGGVTFAPGTYRITGAASAAANTFVTLDGA SNPNGQWIFQISGSFTTGANVEIKLINGAKACNVYWVVGTSSINAATTLGATNIFNGN ICDYGAITAGINLVANGSWFTLPAANIITIAGGTLKAVTTC EPUS_07831 MRSPSYPASSLALIALFAVGSNALCLGSCADWGFVAMAAVTNLG TTSVTGKCGVSPAGSLPPLGLTCSGGKILNTPVSAQCLADCGKAYTSGMAKPITATIA GALGGQTLTPGVYDLTTPAGTISAGTTLTLNLPAGSTDTSAQFIIKMASTFTIGNAGK VVLGPGVQACNVYFLVGSSATIADDVVLKGNVLALASITVGKRLNQVGFLCAQNGGIS FDSDVITNPCCQCPNAT EPUS_07832 MINAVLVFNNSGQPRLTKFYTQLPGPHLFRFADVSPKSLQDTTT QQSLLSQIFTLVSARPASACNFLPLPPLLSQHSTSNSTSSSSDYSDAPTQITYRHYAT LYFILISTSTESPLALLDLIQVFVEALDRLFENVCELDLIFGFETLHAVLGEMVVGGV VVETGLERIVEGVRASEGGMKGKRRSVNEGAGMAARMEVGAGVGWRGVWVRAQAGR EPUS_07833 MAAVGEAINLLDPSNSSKNTLKLENTKNRDTLIAIEKKYQAKWK AERVFEVDAPTHSEMPYDSTKPEDLRKRHPKYFGTFAYPYMNGTLHAGHSFTASKVEF TAGFSRMVGKRALFPLGFHCTGMPIKACADKLVEDVRRFGKDFEGYEEEEAEDAPVEN GAPVAPVQGQIKDDITKFSSKKSKAAGKAVKLKHQFQIMLALGIPKEEIHRFADADYW LQYFPPLCEKDLNSFGARIDWRRKFVTTDANPYYDAFVRWQMNRLKELNKIMYGNRYT IYSPKDGQPCMDHDRTEGEGIGPTEYTALKLQVKEWAPEVAKSVEDKIPKDATVYFVP ATLRPETMYGQTCCFVGPKIKYGIFKVSESEYFICTKRAAWNMAFQGKFFDVDNFPRD QSELQPVVELSGSAFVGTLVKAPLSVHTEGVRILPMDSVLATKGTGVVTCVPSDSPDD YATIRDLAKKADFYGIKKEWAELEILPLIETPSYGNMTAKYLVDTMKINSPKDAAQLA QAKDLAYSEGFYKGKMLVGEFKGQPVQEAKDRVRQSLIDSGDAFPFADPSGKVVSRSA DECVVAYLGQWFLNYGENDKEWQQTVIDYVNNGLNCYTQETTNQFNANLEWLNRWACA RTYGLGTKLPWDKKFLVESLSDSTIYMSYYTISHLLHSDVFGTKPGKLNIRPEQMTDE VWDCIYHKTGISEDVINSSGVSKESFQTMRREFEYWYPLDMRVSGKDLIPNHLTFMLY IHLALFPRQFWPRSVRSNGHLLLNGAKMSKSTGNFLTLSEAVKKFGADATRIALADAG DGIEDANFEEKGANGAIMRMHTLKEWIEEVAQDPNLRTGPADAVWDKLFDDEMNILVH EARQHYEDTNYKLALKSALYDFQSARDFYREACLSSSIPMSRALILRYISLQALLITP IAPHWAEYIWLEVLKNPDTVQRAQWPSDVPAASPALTAAREYVKLTSSNITSAEAQAA KKMAKGKASLFDPRKPKRLTIFAASSFPAWQDKYIDLVREMFDAASLSIDEKELKGKV SKMGEVKKAMPFVQGLKTRLVGQKEDPKTVFGRKLAFDEAEMLESMKKGLMKTTGCKE VVVVKVEDAKEQGLPPMAENALPGNPSFLFENVEA EPUS_07834 MVAQSVHLPNGQTLSVSPVFGGYTFRSNQLDLHHSAFPPGWTVI LETEDEIDETDERLRRRSKMSLYRDGTDESSSKHTITHRFTRPTLQNDSLFLSSISIP SNSDFKMSHSPTRQIALMLWSTLYWYFHKEPPSPHVMTEASSLTPEAGRPKADWRIRI KREGILKGKNLMQKLERMGLVASEDSSVGTDTNIKDPAGWNEIFVSRRSFWQIDPRIF LFTLSPVNHSPVPAASPFPSRPTSPARDGQGSPRNETASSYSDGPTAGISSPGGPFNS SSNLPTYYPPPPTQFIFSNHIRHPIRPKPPRQGETFYTRYIPSVDQYLSFRIPTLSKK PCPQFSPMGVSAPGVLPSHPGAAAAMTLPTLASFAERSCDVDILHKWMNEPRVNAAWG CAGPVYTQQKFLEDGMSSTHSFPAFGCWDGKPFGYFEIYWVKEDKLGRLLGGHVGDYV RGIHVLVGEQEYRGAHRVKIWLDALVHYCWLADPRTETVMLEPRVDNDKFINYLKGSG FYKQSEVTFPHKQSAVMKIDREAWEAPTL EPUS_07835 MVEPIDQPQPVPSIHGDLLPNIGWKKNVFKSSTESGEIVAKKVH KRWKLLESEVWKKINTVNKKLIIHQLRTEILKMRASTKPLIGRVAWNGDIEKDDPYPD PYHSDTTRYTVNYFGSEAEFDAHKVEQMRARRGDAAAKALEDRIKPLRDQYSERFVLT HGDLHSQNVHVRRVVGSDGKSRWELSGILDWGSSGLYPKYMEYAMAMKTGPHRPYWKK VMKEVLQGMECSRERMEVEERATECVGVVGMNSCFSSPGRVVFGYQAVSATLVAPNID VDSILHTSEAPLYVLYQGFREAAPNPGLATGELRRL EPUS_07836 MPLTVLTDSDVRALLLSLKKKDIEELQENLAEALHEYSTGDTNS SCCSSYQPQRTVIKRKGVTTLFMPASTGESLGMKIVALEAAEASEPKDDSTTSLNSAM SGVTISRSTSTTKSSASSISGASFQPPPSVASTSSTTPRGTLTMLDRSGLPTGVVNAE ELTAFRTALAATIIFRKRHNVHTITVFGSGKQAYWHIRLALLLRGSDIKHINLINRSF ERAIKLMKQFHVDEDHQGEEWYSRVKFSALSPDFQEYGRLLKEEVRKADVLFCCTPSL DPLFPAEFLTSNEGRKKGRFVSAIGSYAPHMTEVHPDIFRQAVEPDHHNHHHKHAAKS GVVIVDSLESSLREAGEIIQAKLKPEQLVEIGELMMIKKAAMKEIELGGQGEKGLRDW LTKGNVLYKSVGLGLMDLRVGWDLVIMARERGIGTTIQDF EPUS_07837 MFRAVITLSTLILVHLHHVRALPSKLNIFLDPAPPPEEGPPLSA SALRDPSKLKFEIIGIILSYLIFTSGLLILLFTVGKRLRRNIQSSNRSLEMEMVKPSV NQAAFGVDESPTSPIKCWPSPVEPEVKAWTSPSRNHYYHQSQTSVSTFDDRVIESDKI RNQNEMERLYAAVMAHDAQKTEETSPVKSPRKYPPEFQHLRTSNGTTQPINHVDTSPT EPKSPVSSRASSRLAKVSPLSIFQSNHSRTSSAASQRQRPRRISIRDLPISPPMGTPD LKESVAYNEEQPLSPRMYTPGPPPPTPGHKSAAATAREAEKKVSFRAPAPSPLHLRTT TGSSNSLPFRQNYATSLQSAPSTKTTFVERRESLLNPGPRTGAPVPYSPYMPYTPITP ITPGRLVTKEERKKAKKQAGLKVLAEDDLVRSDQEMWGDTWKG EPUS_07838 MSSETPVRTAIITGACSGMGLALTRHLLSSKTICWMIVMADINE KAYFDISPTLSEDVGRVMFERTDVSSWEDNARLFKKAFNWSSAVTDDEKGRIDFFAAN AGILDQESIYAHFDLEAEPAKPNLATIEVDLLSSLYGLKLFIHYARKTRAQLPASALF TPAMIITASAVALYQFSIGPQYCAAKSGLVGLTRSVGPKLLAEDNLTVNAILPGLVAT ALPAAWLLEQCPPEYITPMSLIIEAFDELMKEEIMDGKLTRKTGQCVEVSEDKLHYRK PLEYSSEGARWLLDGDMERRFVTSGPPSTDAKDQ EPUS_07839 MIQRLPLRLNANSARHLRRQFCSSRRLSADHVRIVEVGPRDGLQ NEQRTISLKTKIELIKRLAKTGVTHMEAGSFVSAKWVPQMASTPEVFQSIVSRPPRAP HPIAYNYLVPNLRGVETLVSVLESHETPLPSNNADQAPITSADDPNRMASENPVPNAS QNTTEISLFAAATETFSNKNTNCSIQESLDRCKRILAIAKGKGMQARGYISVALGCPY EGPDVDPHKVAEMTATLLEMGCDEVSVADTTGMGTAPRTQRLLKTLKEAGIQNEDIAL HFHDTYGQALVNTVVGLEHGIRTFDSSVGGLGGCPFSKGATGNVSTEDLLHLLHSLGV QTGVSLEKMAEIGAWISGELGRHNDSRAGKATLARRQA EPUS_07840 MRARCPAVALRTFTNAPPLASGHAPTTADRQDEHNAREKDMEEG AMSRRLAEMTADSIASGGPSAAKNVEAAGFSEELKKQLESRITDSAFRNQNRRAFAAA EMPSSAGKGTRDQATAQPWTGTESLHDSSLRMLDDSHKRLRGIRAPRVPQPTNLRPRP KKSTSTGERLANARDRTSIYAAALSNEMSEGERNQMRKELQERFSPGARPMPTTLQGL TSLANERIEDAIARGQFKNIPRGKGKNVERDYNASSPFLDTTEYFMNKIIQKQEIVPP WIERQQELVKNVASFRGRLRNDWKRHAARMIASKGGTLESQIRRAQAYALAEENANPL QTKVEELCKIDSQGNLSTVTVKETPPPPVAEEAQEEAPPAAAHHTISSTITITETPPE AAASSPSSSPPQTPHSFTTSSETHTAPPPQPTSTSPSPPRPILPMATPFRDPTWESAE RAYHTLAIDTLNTLTRSYNLMAPSLAQKPYYSLTRELNRCYADVAPLLADEIRQRAQA PRVKVEVIGHSEGGVLERFGAKEGRWKGHLAERVRDEDLERKGYGFREFWRDLFGGAR KERGTQVGD EPUS_07841 MKFAEIAYLSNHDVDVPVQNRERVTGHVIIDGLGLKMINPNEFP EAQELESSDLKDQDVKSFRRLYPTYQGKHVCITTDPSNRRQMMFTVRTEAEQKMNR EPUS_07842 MASEEPILQTDLERYLPLLARGKVREIYTLDDSKLLFVATDRIS AYDCIMKNGVPQKGSLLTKLSARWFEFLKSEIPALHTHFIELSIPDTLKQSVPERLVH QLQDRTMQVRRLKVFPIEAIVRGYVTGSAWKEYTEEGTIHGITVSGPGGRRLQESEKL EKPIYTPSTKAEPGSKDENIHPDQAAEIVGQPYAEQIEKLSLEIYIKASTYALSRGII IADTKFEFGLDETSDQVVLVDEVLTPDSSRVWPADTYQAGRAQESLDKQYLRGKFSAS LDVR EPUS_07843 MDIAVDYRPAQTIIPSQPSIPAYKVPSAASPKKLPSFPRHHDRN RGEQSSGQATWHNTPAQEQTVVAVEPYLNGTIDEGGGISGTGYPGTVQPGTAPLPSTK VLQLGETREHSPAGRTNSMKGALRARGSRSQSRVRRASIGSINEDITGAVLLITPLRF SWFTYTFSDIPQSFLEKLPPSPNSKQTTSIDSAHTFSATPNFYCPSGAFQGWKQVKLA GKRQSKSSTDLERLTNLRDEWVWNTVSKKDDRKMDIQALAFRKVGLEDMPYEILDMIL SYLMQDLSPESYGPRKRDLIACLMTSRTLHAATLSVFYKHITIPHSITFSKVLNHITE YPGLGTLVRRLDFSHYTSVGFGRTRRDSWEIQNLTPKTLLQCFDLCTNLKEFQVHEHI DDELDGEVLKKLFSMPSVHAMDFCACSSRRFVSGFTATLNSNDVLPGSLGNLKRLGLH ACTTLQAPVFEALLPRLPHLTHLDVGMTLITDEALLSIPRSAHITHLGLGKCTRITGP KLVEFLTTHEAVKNSLIYLNIMADMSRYMLLSHDDLEKLLRRLPPTMRSLNIGGAQIF NKHVPLLLPLTKHLEELGLSHADLSVSHINSFFVPSPPSHDNDSEISEEELNWEPCTL RYIDLTGVPSVSQATLFGPSCVLASAHSYPLDVIELSDPTIQQLKMRASTIKQIGWTV KELGRRGWFVRLPSSDNTDDGRRSWKMGARWWGMKKVPVAVQEVGGMYGHYMFKS EPUS_07844 MVDQTTSVPPPTSSPSLPPSLDISALESDLQASRCAFTPPTLDR VPRPWERRPATPFAPRTETHKIWKRCERVAGQLSRSQAKTMNGHDERLRMVKRLRVDS LHSGDEERDGSCDEGFVGTKFEELDCEGEERRRKLANATPCKDLCVNEGKKQSRGILP TESNSRYSAARTTATFDGSASPTPPRRICSGGPTILADDDEPAAKGRELQEKQFIQET DQDSIPGKIQALHGCIGDTASFVAEKAKISLLADGEDTEYLHAFLTRARAKKAARNLP SSQRQVSKEKQKQTASSPQTRSRTVLATLDRNSPSPTKTRKLDTPGDRFEQDQTMLSD MKATSPVRKGGRARLPQPQPQWHQPATQSSFPFRRSNGTEFVFLQKTEAQQIAIATRS NTKRNKGDGMHPRKKLEALSSQQKPSPAKTARRKKNGKRVLWDEGLAYFAPGELQASD VPREQTEAETPVKRSRRLAPGRGTPAPKKKMADAAIDAATPLPPQPPPTRIRTRAMAR A EPUS_07845 MTDSKPYFQVPKLASGPQWPASSKYFVPGMVDIGRVKRLLTNDT RYPSSGEEDLSGLWFATDGTVRRLVSTSIEEDRATTSISPLQGGTVVIFVKQKRRVFL QASYSEIQLRSERWHNLLEHLHILPPAIQGLHDNNGGHGIYVSSCVSGGIHACNLPFP ADQPCAYHIWFKLGTWSNAEHFIYARYDFHTGSSIILDAGTDGELHAEKLIERLQGVP NIHIFNVILALLALWFYQTDDYRWQLDYATQAIESKTGYNGLASPTIKPLAPQELTLT KDIAQAADRIQGTITTATNLANLFQFAQDQWKQFINTLETSPAPPIPIPSRDIAALSN ALLQLLSQVTAQLHQTRGLRIRVEAQFHIINSLIAQRDNQANIDLASAALMDTEIMRD ISLVTYLFLPGTFLAAFFSMVFFERDSNSHLVVSKWIWIYFACAVPLTLGLASRYVWR QRSRRAK EPUS_07846 MSSWSQYSSQELQLDVNGLTLAVISLAGFERRLAWVSNLKDTSD SMPQGYKMSFLKAMNDTTHHMISILLLPQCLLRLSPKWNAAPAHSQLSLYLRKMIRNE RRRIESASEATASVDGQGKERENAFTEDKVMGNVFIYLLAGYETTANAILYDFIVLAL LPELQARMMGEIDRVYADAAKAGRTELTYGDNFEKLQYTYDIMVLEAFRLFPGVVIDH EIGPRSHLYQRCLSIIRGDHAIFVTCWLSPVPHSPATHYSEHYRSSLLTLDPRRWVEA PAAAPAEDATIPEKKVVAAHKTRQVGDKFFIFSDGARACLGSKVAQAEYITFPARLFH KHQVIMRESMDAVAMEKD EPUS_07847 MAWSNPFRRKDENTRTLWGYTFQLTEDHLSEEESRPLKYSYDKL GEECLNILNELDSATKSENVSTKTEVQDPLKPRRTKPKRDLYNLLESHVQDHPKLQEL WTQLNTIPEWVDWDQISRGQEVFYRYGGATLTGLAYQSLLGGMAANRVVEVLARTGGF DVKVARHRLFETTQHVLECTKSLDSIQPGGAGFASSIRVRLLHAAVRQRILKLEQSRP GYYNVDEFGVPINDHDCIATIGTFSATIVWLSLPRQGLFLRRQEIEDYIALWRLIAHY TGTPTDPFKTPEKAKATMESIVMREIDPSETSKILAGNIIKCLEAQPPAYVSRSFLEV NARWLNGNELCDALGLGQPSIYYWALMGGQCLFFMALCYIYRSFRSLDQRKITALRKL FWTVIVEGKYGLGEITVFDFKYVPDFHVTTEAGGDEKGQAGVREDGVERRNLQALLVG IACGGAGVYCSIMVASSILKRLPSFWSWS EPUS_07848 MTPKLQASTRLLSVVIRLSNVVVPTRRSLRIVSAPVSPRLPKSR TQSPHPLSYEHTAQIEADISDGRSHEQRFRRAKEAVSAPFLEKQPAHIEVNSVEGGDR TRRLQKRRSSDPATSGRTYRKSEKPDLHRHIGHAFTQNSDAGPMNDRTAGSKGENAKR VEPQAAADEDFQRPREHWQIQKDALKEKFGEEGWSPRKKLSPDTMEGIRALHEQYPQK YTTPVLAEQFKVSPEAIRRILKSKWRPSPEKMEERRARWAKRHDRIWDAQAEMGLRPK RTKDRKPEGPESLDDIIPPIQNVGP EPUS_07849 MSSNIHVFVRFKEQSIFAGEEIQSIITFKNVANTPDDITSETKT WRPRGWVPFANPAEHSGESGSLSSQTPRLTAINNHGARKASKSGHRNNASLNIPFIAS PVPRSASWTASPVTRSGPVDNHQRSVSIISLGSPDAGKDEGQRPTLPQRSRPTLNHGR SASLQVQHRGNDENYLELSSAFGTPELQASIQNEGNLLSRPQKPRRKDCADAQHASIS GSTGSRSRKLSPPPQGFNFPSASEETSLAAPPTDRSRPSSNPQSTDEALQTAKSLTLE TMGSLGQAIKVLAGTSVVGSNRSSGDFRSLSNPSQETLLSEQASNSFDRHVFRSPPMR QHYRASSTSKPKWISETLLMGYAQVNATFTLDGALVDQTPFEEVKRKGFLGGQAGGGV VGVQTSSNAGGILGGFTLNSIGESLGGLLGGADLSSLKEMKGLASTRAIPLLSTPQSL LFVDLTLAPGEEKSFSFRYILPRGLPASYKGTSIKIMYNLTIGVQVAPKAKAAQAVRR VNIPFKVFSGVNEDGEIFGHDLMQPYVILKDTAQSEAVDSTSNFPERAPNAPGKSTEG STKEFLTYVDSLLNKRRRRQSSSATIEPLRYLLTTNGSSMAKQAIDRAILLSKQSSSS HQSSSRFDIARNGKRIATIVLDRTLYRLGESVTASVDFSNPQMPCYSLRCSLESTENV NPALALRSAASITRLSRRVYRLQSENTLFAERIVFSLSIPTTASPTLLTSGVNLDWAI RFEFVTTLATESVDDKEQSDDKELLESVTKDERGTILAAVETLQCETFEVSIPITVYG DTIVAGTDAEETVGHPI EPUS_07850 MDQVDTEVLIIGAGACGLLLAQGLKTAGIPYTVFEGETAESIKT RSRHWGMNLHWGGEYLDKVLSPELKARLRECNCDPFYDQADNTYTVCNGKTGEVILAM QGVMPRRVSRRKLKALLSEGVDIQYGRKLVSIQQEFPGRVTACFEGGFKAVGSNLIGC DGSRSRVREFLVGTENARQIGTDISILNFPYSYTAEQARELRAVHPIIKTAYHPEHGN VYLLAILEVEDPDKPEDWKYQSAITWKGAPSVEDLKDPRDRVKHVKKIASQYADPWRT AGTAISDDAVLPVDRGTYWTPKDWDNHDGTITLAGDAAHPMLPHRGQGLNHALQDAAL LVQAMESVHSGKKSLAEAISEYETEMRPRASQEVLLTLEQAHAAHDWELLMQSPIFKL GANKPTAGKGT EPUS_07851 MSMPDVPYIKQQRTWIDKRGKEKQVPMRVFCPGLSRTGTSSLRV ALWELGYEAYHGWSLLENPPDSVLWKEAMKAKFEGQGRLYTKKDFDSLFFDVDAIIDV PGNLFVEELIDAYPNALVVMTTRDVDSWYSSSKATIAPSNKPRLLFPLLCAFDRAVGL YLPTWLQAMKYSFGDDFAANGKAVYAKQLALVRKKMSDAGRLNENEYLEFNVKEGWEP LCKFLGKEVPKDVEGKPKPFPHVNDRATTGQMFKPYFVALFQELLWQAGTVVVAIAVM AGALWLGSENWAKVFEGDKSF EPUS_07852 MAWVPDTNSIAEPIDAIYNRLKLNRPDYGQLSAEDCIRLIKQVA KGAREEPHRIRFRIIIDALDECEDAQDLLEYLYQAKESCGNIFFMFSSRPDIQIPQRS LPATMVKIEADKSLEDMRFFIRHEIQRRNTKLPGNKRSELEDVLQKELFERAGGMFRW TQIQLEDFLPSTQNRPKLHSKGDFCKRIRDLRERAGAEDLDREYERIYEANTRPGRPS REDAKKAFRILLCCFEPLSLDQLAQATLLEEYGEESSESSGAYIRNICCNFIVVSHSV VQFAHVSARDYLVSRKIRAVNEFDAASQHQQPALSSLQFLESHCGEILKHIKEGQFKS VEPYLYPDEHIRNQVERSLPVELRFGLYAATQWAEHASKIPASVRMSKGLSSQITRFL YLPIFQDWHLLTTRLRRIYGFSYEIEASIGTVLVTQVPSKPNPLCLISAFGFSDCLEF DDINSNSFKVGTKSDYDMALTTACLFGHAEVVSKIFIELPKHAGVNRVDFDILKAWDG TMASWEGKDISEIVRLFLEHGAIARKPLVHKALQTAAIEERLDVLLKVVEQMKKEYDD REILKIMSQTAFESLPWMWYQLPEQYHLAVEFFLGQMGAREADRIFKLKTYNGQLLGE VILLNASKQGSFQTFELMTEKFKVPLNVKNDEGDTALHLTPSTKIANYILCEDKFMVS VRNSEGYTPIMTALRAHSPEVWKTISRHGGNTNERTRGGKTGLHLLSMYAASMNSGSD SDRFFSEYYLKQNLAGIKDSEGNTALHLVNYRWCGQWFDGGADYMLKLMQAGETLLAR DNRGETAVERIFSFDFQLETSNLPVPKRLKPQFHRIIIHFMEELRQEERKRLLRESQW AKDEITLNGLAILRDIWHLLLQEPEGVNDDYNKPINDGTLLCQRTYFSFELRPGRELP EVKTYLPTWNYVRSDVETVQNYEEVCRRWRLRVWKGGQIQGAFRKCFVCPPPILNANS HQPFIFSGPVNHSRPRPVHCDASFLYSEKKGTYQTLYFSPPLGDEEYK EPUS_07853 MPNLVPKVKNCFSNPCVKSAIVDDAETHSRSQVASSASKGTRPH AREATETSLRQQVASSSSQRFSSTTHRAEEQTFGAQASSSSSQEVKRRPPRSKELSPN HPAASSASPQTRAHTARSEKSTSNNALASSSSLDARTRASSSKGSSSSNSVASSSSPE VKTRTQGSNALSTDNLVSFSRFPVGQSRTHGPKDYNPAETIREATTSYWAKAIKELEA KYPEEHKRFLQICKAEGVPLTRKELCEKVQEQAHQLKQKKKRFPKRSPMATNAMKVLE RTFMSGARLEPTGGVAVACAAVFTFIQIASNKEEQQDSTLTILPEIVSIDEGWNAYEQ RSLTYDHHLGPASQALRSNLVDLYVQIMILLGSIAHYCQQSLLGKIGRAIISEHEQWN KQLDRIKAIDKECAVRKGNFKEGEDFQKQNARLLQWISTVDPSFEHQNIQDKTKVGTV YSQCGRWLIDGPEYKKWRDGSGGQNPETLWLHGTGPQSFKPARDFPASHALSLALLLE SPHRRREL EPUS_07854 MDMKNFIMDRLIDEFELRETGRFRAVMNADDVLDILHHHWVLSD EYYPEERQRVQHAALNLFCASTTSRAGTIVESTGYLEQNEAAEPLIKLRERQDVPSLC SLKVICGLALKDKAFASKWIREPEDIYKIHIPDRLASVPIEWAAEWQRVPVLRRSVRD TQGKIHTSPLLAAQYTQMATWNRRLGRSFSMKKSFEFKMLRRGAAEVLLEGVRNQVMG HVNGSIYQRSYRNQVADADIVSAFIGAPSDAAIMKLMGHVSLSADPVAAARPTSTQRL QAQDDVEVVIAKQLLDNSTKTIQDRYGSVATARKKAKQDSMVKTELEGCTRLEKGFRS LLKRKVDELFELSRRQYFETLGARCLQNQHTGQEEPTGPSISEFRFREREGLARLLFP SATSMPKSRQQQNDDTEIIRLYASLCGRREYPRPRRQPEHADRDALNAVGLDGAAEST PCLLDTDPDVYPIRCPGTQCLFCLGDASLATEIRTRCFASPWSLARHVQLQHLRYLPQ GEPFTYTQQEKLAHLQAVSARAIQQLVINLKKSTSLLSKMTGKAIGKSGVMKPQDGFI DIDLGDNSPCLYSVAIVAYLVGESGRDVVFESYHESDSDVYVVKFKDLDEYKRMVISA CVEGQITDRELENL EPUS_07855 MARTPQQALTRADYTVACICPMEVELAPVEAMLEEIHEPLPTGR DQNAYTLGKIGGHNVVVAIMPEIGNNAAATVATQLLNDFPLIRFGVLVGIGGGVPGDE GEDDVRLGDVVVSQPTATFGGVVQYDLGKRLVDGGFERTGQLNKPPSVLSANVRKLQA QHSRVGSQISRYLSEMIHRNPKMQSRYSFPTADHDRLFLASYTHQPGVTCDQCDQQQT VSRPTRPDNEPRIHYGMIGSANIVVKNPVVRDELKRDMKILCMEMEAAGLMNDFPCLV IRGICDYADSHKNTRWQPYAAAVASAYMKELLTVIPAPQVAQTRNAVESTASPFQLGL HLGEAPVIDPNLFVGRLSELERMEEILQGSQSGEQRRLILSGMGGIGKTQLAIAYAQR HRGSYESIFWLNAVSEVALKTSLRSMAERVMEVAEYEQLEDEQILLRVRRWLSDTANT RWLLIFDNYDDPDVFDIGKYYPHAAHGSIIITTRLPDHVSGKSIHVRPLEHVDEGLQV LETRSERQNVQVDVYARRLAERLGGLPLALVTAGAYLHKSILSFEQYLQEYEKRWDLN PRRPLRLQEYRDRTLYTTWHLSYTRLENDDPAAAKLLKLLAYFDHQNVWYELLYAGVN DDLPGWLLDATGDPVDLGSIMRTLVEYCFVEVQWTTKSYSMHACVHDWTLAGLNKRVD LDSYWYAFDCVASSIDRDEWEYLGHLRHSRLTPHAARLAHDRFIKAGLLNEIVDDRVE KAEYIAQLLKKQVQLTAAAVMLQQALAGKEKALGPDHTSTLDTVDSLGLLYCDQGKLD QAEEMYIRALAGYEKALGSDHTSTLNTVHNLGVLYHDQGKLDEAEEMYMRALIGREKA LGPDHTLTLDTVHNLGVLYHDQGKLDEAEEMYMRALIGREKALGPDHTLTLDTVNNLG NLYRDQGKLDQAKEMYIRALTGKEKALGPDHTSTLHTVHNLGNLYSDQGKLDQAKEIY MRALIGREKALGPDHTSTLDTVNNLGVLYRDQGKLDQAEEMYMRALTGKEKALGPDHT STLRTVNNLGVLYRDQGKLDQAEEMYMRALTGKEKALGPDHTSTLRTVNNLGLLYDDQ GKLDQAGEIFERVGKTPIKQPALWSSGKTSLSSYQKTRVRIPGGAVSVDPRL EPUS_07856 MEENLDMDFLSPGHPEQVEVLPKLFNPTHISENENFIDLTGDTP CTPNYPDLDELASTLKRAAEETYTPSPVKRTLKRAAEETYTPSPVKRAKRVADLEELV YNNEYGGDSERLSDIEIIKMQMTAIAALERENRKLLNLFRSQARRRMRFLSEVVKAKG LQMQTQGEFLKNMAQAELDQE EPUS_07857 MRLIKDEGHRNLSLVEQYDENIPHYAILSHTWGADGEEVTFKDL MKGTGKNKAGYKKIEFCRNQAARDGLQFFWVDTCCIDKSSSAELSEAINSMFRWYKNA DKCYVYLSDVSTSGHATNVPSSPATWEATFQKSRWFSRGWTLQELIAPASVEFFSSEG DRLGSKRSLEQYIHEITGIAIQALRGGPLTGFKVEERFSWAKHRETKRQEDKAYSLFA PVEQLKTPPSSTVPFRRDVDFVDQRRRQESHSWGLVGRVSLSSPSNTATASVNGPPRW MFWIHASNADRIEQGYREIAERVKIPRRKDPKENIFELVARWLQDESNGTWMLVLDNL DDDAVISIPQAATSKAQAGDREDRLRRPLSAYLPQSQNGAILITTRTRSVATKLVESR DVIVVDPMTDMDAITLLKKKLDVPANDEDLRELTYTLEYIPLAIVQAAAYIQQKGASC SVRQYIEAFQRNEKQKTSLLNYEAGHLRRDAEAKNSIIITWQISFDDIREKWPSSADL LSLMSYFDRQGIPKEVLRVPSQEEAIRDSIKQKKDDVRDDEKDKDEDIEDSSTSEASD DDMFEEAVDRLRSYSFVSLGKDKTFEMHGLVQLATRKWLAMHREDEKWKAQFNRKLNA ALPNGNHENWASCEMLFPHAKSRPRGSDRWMTSQYENGRRYYGRRDGKVLGRENVETS YSLGMLALTYTGQGRWKEAEELVVQVMETRKRVLGQEHPDTLTIMANLALTYSNQGRW KEAEELEMQVMETRKRVLGQEHPDTLNIMNNLALTMKEQGRKGEAIKLMTECVQLQNR VLPTKHPNALSSGATLAKWQSVES EPUS_07858 MYPKVIDIEEEYRERTGLDPKTGKRIEGTQTKKSRGKKTQKSTA KHVAVDKETQSKKRPSVKNGNPVIPDDSNVDSNVEIWDPEGEYVMSGAYNEPGGNPKS HVKQIQKAHLKKEASIKKKASAKEDHTSQALVPINLYLSQSRLGKSFQIWASNTKQEQ IFRPGYTSSGDKIIALEK EPUS_09426 MDNYKIFEDYLLQDFDSVSTLLAEGDEDVKIRLCSHYAALRLKR FFRWGQMKDLEESIEKAKLAVERTAYGHKLLAGRLNNLGVGLVSRYEHTGKIEDLEEA ILVARQTVEITPDGHPDLAGRLSNLGTIFGRQYKHTGKIEDLEEAIRVAQQAVKVTSD DHPNLAGWLSNLGNKLESRYERTGKIKDLEEAIRVTRLAVKVTSDDHPDLAGMLSNLG IKLIRQYERTGKIEDLEEAIRVTRQAVKVTPDDHPGLAGRLNNLGNILGRQYKHTGKI EDLEEAIRVTRRRSRCHVRRPS EPUS_09427 MANSNKALDIAAFNAVEEVFRLRGRGEKVGVVAVARKYGVDRMR VVRRMKGVGPRRSRIPPNRKLDEAQEAALLLYIHNLDDIGQSLRLDQLRSTANSILKQ DYTGEDSPPIVSDHWTQRFLERYPGLCKMKQKPLELERKLAHDPRVFSNWFRRFHQLR TKYGVADEDIWTFDETGFRIGMGKSQWIVTFSTSKRAYLASETCRELVTSIEAVSAGG IVIQPMLILPAKTHLERHFSDLEDDVLLGVSESGYNNNELAYKYIHHLDAQTQKYQKS AHRILVCDEYKSHFTREILEYCEQQNIHIFALPPHTSHLLQPLDVVLFQPYKHFHARA VDQATRSDTSRTRSIPDQDIDIVNLDDREDDEDSKDFSDGSNNSSNSSYNDSDNKNDS NRISIRDALTAAECLDNDSNYRGYGRNDDNNDNGNVSMQDTLSLLIVQQVKVGQGQST KGDLVAIMPMQDASSLPVVQQVKVGQGQSTKGGLTVIMSMYSMIKSLASQGNKYFTTF FLSGGTGSISYPISVSAENNTLLREAQDIVLFRSPTNKEPSISGENTALPRETQEIFL PQPLTNDETNSHNPTKHTTIFLGARSPQPPQSLNTDFQSPSGSPKDSYKASPSNRRKR KSPESLISSNPDDPLTIQTGIKQVLYLLERLQTTESQIPHCLVFVSSTEPTGYKWVFY NQIRDS EPUS_09428 MPKNKRAKRGKTTEPEDHSKQKESKQATIADVSKESKAQQARVS DADNDSRPENPISDDNWPPGWSEKQKRDTDPAQWPFSEDQLSKLPILMQQLGKVKSPT PGKIAKLIGDAEVWDVSHPKWKLEGRKGIGYQFHPDRIRRRIEESRKCGLMVDDAAEQ ELVKLATAAFQNCNNKADGKAKAPLQDLGRAEEEIFGKNNTGQFAMNAWADGPPDISM NDYEAADENSEQPCNAVLILKGHRKYQQQVWFPIMKEIWDELDPNNSRPSSKERLLFQ QVPQIATENVEKGLPANLGQLPVANITHIWRQYKKGEMDQLSAEMCLKALWARYQFAD GYCKLPPQKGGVYPKVVDIEEEYRERTGLDPKTGKRMGGTQTKKSGGKKTQKSTAKHV AVDKETQSKKRPSVKNGNPVIPDDSDVDSDVEMWDPEGEYVMSGAYNEPGGNPKSHAK QIQKAHPKKEASIKKKTSAKEDHTSQALVPINPYSSQSRLGKSFQIWASSTKQERTFR PGYTSSGDKIIAFGKVERQEISDSGTRYKRTTRNYVIKKGGSCCALQPKGACGGPEIW EMLPDRIKQSGAIGQNFDTIPDRRKLPHMKHGICWLAISKCGPSPSRYPPMACEVYWF EGQDKKKAVIWRTQLQKVFGVENADFHLAKAITPRGEEPPSDIWTAIKRYQADIEPTV RVPPKMLQAAYPLALPPPSHQNTAKSKDEARLQDKIASLSRELKRLKIEAKKRKGRKE SDGEESFAEDTSTSSDTESLAEDSDAEDTDSSDEAWDSGDADDDSGESSEDEGGTDSD DSADSKGSKPSQGWLNRLQESRKGIRI EPUS_05927 MSSQSVAHSSVQSNEESSSKQAITNSLCDAAADERLEDVRLLLD RGADINARSKYRVNALEAAIIRNNEQIVKLLLDRGADVNAQGGDYGNSLQAAAYKGSE QIVKLLLDYGADVNVQGGQYGSALHAALYQRSEQIVKLLLDHGTDVSIQPLLVDRLSI LHLGIMSNKLSLLEMLCCAGADIHLISQDESGQTPLHLAVRNKDFEMVKYILERGASP DTPDLSDTTPFQLAMCTRNREIVLLLYPKVKHGLSSISASDWRKCSSLEHVSDIEIVG GKTANINLGNTLLERKISNLAYPLPVKTMEITIEMNDFLKDEVNIKRIFALGDGSVLR SQTPFSSYCRWWYRTKLIGFYHHWKIRLNSAPSPTTIRQSSGKDCFLQSGLSLACHVL PKHMEDWTESFQLSQRLLRSLEVDQGIMWIMRRSSSKSIGSHVNVPLLQSQIYFSTSE YAQLPTYATDLFVPLVQKLQDIWNDNMAGMQRRLLTLRSKVVKLSGNNPDLIGYLLNE VQLLEALHNNLKKQLETLQTFHRQYLSDSWKVLYEQPSDQVREVMDKFRDEIEILEKN GENKVKSLTDLSQNVIQLEFNLTSISEAQKSTSTNRSLKRLTWITFIFLPLLFISSLF GMNVDIVANNPAWWWYPVLAGGVTAFTFSVWIIFKRSNTVARGQPGNQIQLALSKEKE RTRS EPUS_05928 MEVAGTVVGVVGLLAAFKGAIDGYLLIEQLFRRDGGLQDRAIHF ERIRKKLEIWGTDFNVDSSCPDKDCLFNYESHENQATILKILERTTQHLGAAEKQLRR HTREEGKMKPWKSRIFKSNDDCKKRTTWAVKDKQLLDEIIEQLNKHLQNLLECTKEVS VLQEDGWKGQTGSLTTIDETKAHIEARNSKQEGTCQWIFQRPEYKDWVSGTATNLLWI DATPGNGKTVLASAVIDELRRLAMQPKANTGLAYFYCDYKDSMRQSLSELFGCMTAQL AQQNLAVRHAVWEYLASFQKSYLEARSVDYKELAKITIKTCEKFKHIYLVIDAADEFL PPSKPKESSHGDWSHRKALLETLIHLQKDGKGKIKVLITSRPTMEIQSALREVSRISI SSESNSEDIELYVRAKLEKEMENNTEWGEKLMAGEQQSPTIKSCIVSKLVEKVNGMFL FATLQLQILLGSDSSEDVLTILEELPPNINQTWERLLLDIDAAQNLPRDRETVKKILQ WLVAAARPLTLDEIRAAIAVQKYEANIELGNKLHDPQWLFKLCGPLVRMSSDQTPDKQ ELSLAHFSLKEFLLSGYLQNSAEAAVRKYNIVLSDANAYLAMVSLTYLSSRELAHPYH NRQELDKLRQDHKLLDYSTLHGGMHLRSLDRTDDKLSELLKGLLIPEVTWQDLRSQYN RPNDPFKVTFTAFLPSEENDFTHWPRNACISIEEELSEAVAKDVATRLGGQLMRSIQG RPNCKSFLQLFRILSDPTRKDHPVNITPLYYASLFGWRSGVEKLLQLNEDRATTTDLN HALRAAAVGGFPEIIEMLCKAGADVKAQMVPLGSPLQSATFCGQKEAVQKLLGLGAKP NEDNFYYRPGGTIGSSLQGAAMSGDTDLVQLLVDGGADINCNDGWLGTALQAVLEGGK QEMAMFLINHKDFNPNVTGGYYGSASRIICLQAERSMNNLLTAIFDWGGSPSERVGPY GSLLEIASHFGHLEKVKLLLNRKAQLDGASMGQFGNAIHAAAMHGDEQTIRLLLDHGA DPNCPGRWLGNDFAQTIPGQPEYGKCLKLQQGEGFLAYDHSFVTKAFFAPSLNAAMRL RQVDHNKIFMLFENEPTHHNGHLGNPLQAAAFRGHADVLRLLIARGARGHLEAVCTLL ESGADPNTAAAGHYGTALAAATALRFEEIVQALLDNGANHNILDEHGWGANTWCTLHN WTLPDARIQERLEKECKSPGAWSLTERSPNLHIDNSGCGMRFLNEPLNLLVSGSHFSV GATILANHPISPYGDFYFEVKIEDQGSNQVIAIGLSEKDVPTFKLPGHAAPSWGFRGD DGGCFEHKPKEGLAWPHGASWGYHGDDGHCYAEDQERTLGWPKFGTGDTVGCGVGWRE RENGESVVFFTLNGMRLEPNLLISRRRVYPAVGLQSKGAAVRANFGVQAFQYNAIGDG GWESDRVKGFEELEIL EPUS_05929 MNPSRVFTPEGHVSWGGSCIGMYSVESPGSGNEYERLIKNERSG YEREEGVFTLQEHNQLLKETSEGMQEIRRRQRETQARMDEVERKTLKMWSNEKEKGKL SGDLGEELLNDPDVTASKAPWNADVWKVESNEFQKEQIVAVLVALKLETPVRGEVEGS GVDKVLVKPNDVAEAGRPLISHCKGNEELNAILRWQTLDPLKALGISSVPVTSITFGG PSPTLASHFPPKK EPUS_05930 MKAIYFPKILTYSTTRSTRLGQMKAGNTIRFPRVSLTAANAKHR RVQELPPFVDNWCRGRATFEDAFSLRYSGLPPSVGTGTWGSAIVHQIQEKGNQPLVSY RQGGDDFFLVDYGHGAFDLSYHYRAVALYQKLHEASGDITFTPSLYTGMTCGNSLFLT TMTLKSPAKSSSTIFPYSKPNQGNSAPPRCLATNFASVDLLKREAKS EPUS_05931 MENPQSDLYTSILLVNNIHCPSCVSYAEDILRSLSDIVQVTISI LSQEIRLYHRRAKTAHAAIQELEKGAFEIQHIKTFDGEGRTICDRETAGTRFKQSLFY SWPLFGSKTQRKHIENCNACRAETANQSMKSNVWAASRIKGLLADVEKQALSKALVDG AASIGVSDLNNVDAEQSHGQEIAEYVVSLSIEGMTCASCSGSITNKLEALDFVTDVNI NLLTNSGKTTYHGPKENSDKIVSCIQDAGYETSVNEIKPHGMASSSRLVPYKFVASLS LEGMTCGSCVGTITEGLQALSFVHETSIDLVGNSGKITFEGRQNIDKILQKIDDLGYD AAVVELKACGILQPANEAISDRTVTIVVEGMYCEHCPEDIISALNNAFVDAIVIEKPL SLQDPKIRIRYTPSPPQLTIRKIMNTISNTNEAFSAKIWHPPTIEERSRAMQRHEKRR ILFRLLFTFLVAIPSLIIGVIYMSLVSKSDPTKMWFEEPVWVGNVSRTEWALFIMTTP VMFFGADYFHTRAIKELRALWRPGSTVPILRRFYRFGSMNLLISAGTSVAYFSSVAVL ILDARTHPQHAMRGQSSTYFDSVTFLSFFILIGKYLEAYSKAKTGDAVAMLGKLQPDE AILLEPASNGNSELETAGSEASQHVQVDMLEVGDNVRVVHGASPPTDGIVSSGGTFLF DESSLTGESKPVQKVAGDQVFTGSVNISQPVDITVTGTGGTSMLDQIVALVREGQSKR APIERIADTITGYFVPVITLTAIVTFIVWLTLGMSGALPARYLTNAQGGWPFWSLEFA IAVFVVACPCGLGLAAPTALFVGGGLAAKHGILVRGGGEAFQEASKLDTIVFDKTGTL TEGQMKVTDYEMLSGGHGEVKDQALVFALSRALEDSSTHPIAKAIAGYCAAEDIKNVD IMGCDITEIPGQGMRGRFTVWEPNHQDMTVYSAAIGNQRLLSSLQDDNERGDKPNMYL EAVLNKFQSRGQSTAIFYLRQAPTNSKSFSPSFPASFQPIAVFAISDPIRPSAQSVLS TLRQRHNLQVHMCTGDNPRTALAIASQLGIPSANIRAGVMPIDKAAYIKELQQQPPGA NMTKNKEKRQGRKIVAFRLRRFYRPLVRLRHCHQYILVHSP EPUS_05932 MPTPGYVFRDSGTTPPAETEDQTARRRLTLGDQAQLKPSPLSGQ LTRPPPQDKTTDSHALALADHDIKGAAQIAGQTGDVTDLGWRADAKEIDTLVGGLPNE ELWTLIRRFNRQMYHVKSIPRVPPNGLDLEIADQDEFSPDKLRANIERLYMTVIIGLM GFGNHIARLRSWREPRRTAPFCAVYFLAWLLGCLVPLSCALLLVLILVPQSRPMLFPP APIALVNSKTGGVQKPKAGVLGSHDSATGAPEKHKGEAVEQEASNLVNGIAHVAISSA AGRHDQGDPGDTKAEDHLPDPTKMAVGAADSKASAQGQSVVKHDKTKQPMEDAIWTKM RPAMHVVGDIADVWERFANALSPTPPFPHTHQYRFAGLVAPLFLISFFVKPAHVVHGT SFVFGLAFFTDPLLQRGIKLLNQKIPDWPKYIELRNTLLIRVPTNAQLTLTLLRIGEA NRAPLPPPPRSDEPPPDHPAELDKHALTNSGLDASHSEIDDVITVDAPPPASTTTSDT PSKPASTKKKGGIGAKILSFFQYTTAGVVESKMGVDHVRAMAGSGHAKQRLGILPPKD ELKKSETEGPVEFKGRYNGKKGAIYLDSSVSPAAADGSFPARPCVYFTTQLDGDEEIT SGKKRPGWAVSIADITELKKVGGLGWKGKLVVGWATEREIKDGLEIVTKDGARWRVTA MKEREELFNRLVAVGGQIWESY EPUS_05933 MDPGTALTVVSLSFQVFGGCIKGFVLLSEAHNLGQDASLLRTML NLEEYRFTQWAKTVGLTGPDATLNPRLNQTLAAELMGQLSLLPSMNKLKKERYKLELV PNRPTNVSVNDNESKSTVSQGILSGAVSPEARGEILARANFIQAKNHLPKRLWWASVD KKKFENLVQDVRAIVDGLWALLDPLQQDEVTQMMKQVLSVVIQVSKDVSGLQDLQATL NNLQNGTARNAAPLAVAAALKAARIEIQDDEKFEPSSVSMQQAVPMTLPSPPHTIKVR RNKDLAPLQLDLLKSYVAKTSNPSVGTGLYLGKPVFVEYKTNLALLLSMPKDPSFLTL RCLGFFEDGDRYAFIYAYPDESIDANATLPAAQPVSLLDILHLRSENVLFFPSSAGST IAALLTRPYLTGFAFSRFDSPEAISEQPSANPSHDIYRHPQALGEPSTSFRKQMDMYT LGAVMVEIAEWRPLKHIILKCVDVRNPAVNVPLSAIASVSQWLVREKVENGAARFRMG DVFGRALATCLKGSGAVGESDDELLNLQQIVRDFERCFI EPUS_05934 MAFPVSGRPLTSVPSSSKGTPTASPETSTKAETGEDSPFVPNVF DFVTLAGRAQRAIRSRIPPLLLGPQAVDSSLHIGDGASFAVSCRAIPKVEAREYTTQM GGLTIIAKSDAPQQQRESLVYKTARIAFTDLGDPVIQDRRAMDSAMMEIYALGQAPLV EHPNIVDLLGLAWGSNPFEPTHRLPVIVLEHAEHGSLAALQEKEELLPQARLSLCLDV AQGLDILHRCGIIHGDVKAENVLVFSHPEKKYIAKIADFGFSVVGEAAAVAMHLAGTR PWKAPETTGPVQRDQLKFTDVYSYGLFVWRTAVDSMNPFSFLLPAGLKADEFNAEVER IKQADELTRRCSPEHWYISYIKASRRWKAVSQVPLSLEQTTQLLQRCLSYMSSGSADS DEITQIFRYTFQAFSSHSLPTQQIETLLLLRAASDPFYGKVSAVLAQCLGKDPMSRYL GRAIEFLQGKPVTASISSNDEELLLRKSFDRCLLSWQQMRNLEPSVQTFVFQRFLARV QNASKDNRVNPPECFMLASFYINGYGADVNYDEAVRLILHAARWGHDIAKAYGYRICR AIKEEFLADDQMISNIWHMALEGSRMASQDLADVAPGNYSELRTILRDGLAGTGARFF EPGTSLLHGFSYRQWMNTFDDQQALVENLSRLDRIAEYRINKRGDRILHIAASCGKCN AIETLLDTLSALDVNHVNDQGETPLLCACRAGQTEVVHLLLGRGADASIATPSKESPL HWLVSFEDADIEIVGGTLIASGADIRLRTTNSIAYSQFPSGIDVDHQQRGTPLSWAVH HDRPAIVKFLLDHAVSAAICTDTVAPHPTPVQWAAHYHHVECLKFMIEAMREEKLEST YITFLDPATRSADVFSMMLRHGPQYRVKLKETFDYLLKATIGVTFSTGVGEFDYTLLY LALSEAHDAVVEYLLSPEVEAMLSAGAEQHNSQLSGPTDKWSRRYGAFSREHINQPCG VDKRTPILECVRWNRKTMFQLLVEHGADVRASARKPFTKDQMDWSALHIFAYAGHNTD VTLVTDLVAAGVPVEGRLTPDSISETPLLVALENNAFNLASTLLSLGADINALSVGSG LMALEHPNTILGHIVASAAQHSTPRLRYLLSQCEASENLDFTVEPERNISALHRAAWA YRGTYSRSPDSNDGQPIQRSTTTWPSTATSSLHHAVEAANLAALELLLERGARTDIRD ALDQTPLQLGETVALHADIKCDGCGVSPLRGIRWHCRSCPDHDVCDSCKKAGADSSEH LFEKIALKETVRQTAAARGVTLQSVALNHSYGNGYGEAECMVRILDLLEAEESSHTEG VGIVEEELRGLRIE EPUS_05935 MSATTTTQTSAPKISTTQDASYIPRGPVTASLSFYKAPEDGSKP FYFVEEPPEGQPQRNFDDEYHDVQMNDIRGHESDFRLDVDAFQTFQNIPSEEKDFADD EHIKQTYYPEVEKLLLDNVPGAHKVVLFDHTIRRAAPNASRAPVMRTHVDQTARSTEL RVRLHSPDDADELLKGRYRIINVWRPLNGPVQSFPLGFASADTVDDSDLIGVEHRYPD RTGETAAVRFNPNQKFYYWSGMTNDERLFLKCFDSQQGVGQRVPHTAFVDPRTPEGAP GRESIEVRALVYG EPUS_05936 MFTGLVETIGTVTNLTSLDSTSSGGSGTSLTISDCASILSDASL GDSISVNGTCLTITEFSHPNNPSSSSSSASSYFKVGVSPETLRRTNLGSLAQGSKVNL ERSVSASTRMGGHFVQGHVDTIATILGVREDGNSLVFRLQPRERRVLRYVIEKGYVAL DGASLTVTRVEDDDDEAWFEIMLIAYTQEKVVTAAKREGEDVNLEIDMVGKYVEKSVR GYFEDTRKGGEAAVLEKMVERIVGEKIKAMDR EPUS_05937 MSISAAVPLPESCFGLAAASCHINTTSPTIQAADPISSIASSTT TSTPAHSSYITASNPIITDRPSIPVIVHSEVPTPSCCLSYLPNSEPTNCHLNTDKANS SSMSVPSSKQSGDLYTIVSIAFGAAQVLLSIWPTQAAWRFLHHQGHGGVNP EPUS_05938 MEDVNLPTADLSQSEQASEQSTGEATRCATQNTTQPLPLPASPI EIIGDNSAQHPPSGRLTATLSPFPRVEIPEYPPQPLPRRKPAYSKRACLSCRRRKMRC DDIEPTCGPCTRLKVTCEYPPSRNENQPMAVAERLDTMQDGITKLLQLLPRLSVQPKP RAMPFSLEAYLGAQQEIEVSAEQQRVVQNLEDSLHKLSSFPNWPLLSGRLVSFISDLR AHTLTTEQPPHQEGAGASSEPVLIQGGSRSHMLQKRKFEDDVQEKKFFCFHESCEQSY NSEKLLGNHIRNTHKDRWPDLPGTCDHEGSVKKFRTWEECLTHLTFHQRAMGMRRKEY DKIQASAQFWVSYSNQRVGRDGLASINGSPIDNVGYSPASNSGYGTAWDSAANTFLGS NPMGSMDRSPVSNSGNRPPWDIPATDSFRAASMAINGEDFSFPSMAS EPUS_05939 MAISFKPFASLASKASRGFAAHASPPGCPRRFASVAHPKREGPL DGIRVLDMTRVLAGPYCTQILGDLGAEVIKIEHPTRGDDTRAWGPPFARYSRDSPKEG PGESAYFLSVNRNKKSLALSFADPKGVEILHKLAASSDILVENYLLGSLKKYQMDYDT IHNLNSSLIYASITGYGQNGPYSSRAGYDVMVEAEMGLMHITGERGGPPVKVGVAVTD LTTGLYTSNAIMASLIARGRTGKGQHLDVALSDCQVATLANMASSVLISGERDMGRWG TAHPSIVPYKGFRTLDGDILLGGGNDRLFGILCDRLGKSAWKTDKRFISNNSRVANRV ELERLIEEVTKTKSTKEWLDLLEGSGMPYAAINDVMDTLNHQHVQARGMVTQVEHQEC GTMKLVSPPVKYSESVTSIRSAPPMLGQHTDEVLMGLLGMEEAEVEDLRKKGVVA EPUS_05940 MNSPPILQTVGTKRSYPSDENSPTPLPTQANVGATSPSNSAAGS SAFRNVSACERCRKRKNRCDQRLPACAACSKVGLRCVGIDPITKQEIPRSYVFYLETR LAYFESLLVANHIAFEPPDAFDVDSKLVEQGIVRSPHEARSSFTDGSGSTGITPGKSH DAAWEKKQEEAKKLNQLVSNIGMVSVQGASDPRYLGSTSGISFARVVFAAVKSSVSSN HNSERNAVRPSKPLTNVANSGTTMRDSCFGLQTKPTIRRAAFPDRELGEKLVRLYFEH ANPQIPILHRNEFMELFDRAYTVEDRQRTPRELYMLNIVFAIGAGIIFGSSDPDPASM QHSETQQEPSSPTSKRVKMSGLQHQPEEYHASAIVHLESFLGSSSATDRPDGFGGGLE ELQAVLLLAGFALLRPVAPGLWYITGVAVRLAIDLGLHFEDGTGIDDSNEKVPVSSDD IDMDAEAPKSQTAASSIDAREKGRREWVRDLRRRLWWCVYSLDRLVSTCVGRPFGITD QVVTTEFPSLLDDQYITRNGFLRPTEQASASYKHVAYHYFRLRLLQSEILQVLQYQQA QIARRTGTNSQNEYMHTRLPSPFLANFGSFRSWRKDVDRRLWEWKESTPSSDETGVEF SVDFLTLNYWQALIMLYRQSLTVPGPLAAMSLKEEASSPSMASMEDHEDESDVFLKVA EAGQRVLKLYRQLHRVRLVNYTYLATHHLFMAGISFLYAIWHSPLVRSRLTLDDVDFT ILAATSVLGDLMEKCPPAEACRDAFERMSRATVQMCISTTGFGDDVKSSQPRNQSDAA IQHSFTGTTTGPACPHLTDHQFPRQRPEVKAKPRRPPPRFDMNLQDLFPEDIDHDDAA SQPHLRQCRPQQLRQQHHQVLTQPQPQMPSRQHSFPPTLDSLNNRINAGLGINATQPS SGVMAQHSDRPPQQQQQIQGSLSSSPSLYNASSFDPDVTNVPELDFLQSTDFNQDFDT AGIDLGFGPGLDFQHDWSDGTGVDIFDGFFFEGVRGGENGDDEEEEEEEEDDLLPANY TAVPRIEHPVPRLKTPSLTDFQRHMDHAKEPVVLTGIMDHWPALKRWKKTSYWRKETF NGRRLVPVEIGRSYTDDDWGQKMMPFGEFLGKYILNDGGDGVAVENTNGEAELEGVLS SEAGSARGSGDDPAGADGSPPPDTGRQTGYLAQHDLLRQIPSLRSAIATPDYCYLDPP PPEPGTPVYLSRLKDKTSHSAAKPKSSHPSLVPVSGTIATPDYCYLDPPPPEPGTPVY LSRLKDKTSHSAAKPKSSHPSLVPVSGSKMPSPDHEAEGNKTDDKTPSSPDPDPDLIP DPIQTNIWFGPAWTISPLHHDPHHNILCQVVGRKYIRLYSPHHSARLYPRSAREVAPH LKHSQPQTTHISSVAEEDEEKATIDLSNTSRIDLAAIELSPAEDWDAVYPGLGAVPYL ECVLEAGEALYVPVGWWHYVRSCATGVSVSFWWG EPUS_05941 MLGTVWRTYERLLRKDKTQDSFPARQLFVLALCRICEPIAFMSI FPYAYRMIESFNIATDPDHISIYAGMLITSFAFAEFSTGIVWGRISDKVGRKPVLIFG LMGTALSMIIFGFATNLQTAIIARAVGGLLNGNVGVLQTTVAELVTVKEHQPRAYSIM PFVWCLGSIIGPVMGGALAQPCTAYPWLFNQGSIFETYPFLLPNLVCVVILIFGITIG ILFLEETHADKRHRSDWGRRLGNVLLQKALGTTFGFPAEAEAKSSICYEDHASSIEDD QPPGYRSTEGSPRILSVSRIDLSPRSDVESRQSSREAQGFINILNRQVILVIVAYGVL AYHSVSFDILMPLFLSEPVSHEKPQLPFKFSGGFAMSTKTIGFMMAVQGVYSMVAQLF LFPFLVRRLGTLRTFRFVLLVWPLLYFIVPYLVLLPCQLQKLGIYACLIIKITFHVIA FPSTAILIANAAPSKAVLGSINGVAASVACLARALGPTTAGFFHTAGLKMGYNGLAWW IGGIVCAIGAFESFWMEEVEGRTDRPCEEEEQPVCEPLLHTLSVDSSADDLPRRGSLD SVEELDLSKAVKA EPUS_05942 MSKQQGLLLPFLYPCLSDRSHQSSRSLQRVVDRLSSQRRSLSQS TRALRPATSTLPSVHKASPQILHHLSPKPDDYSRSIFVDKCSITVHAGSGGNGCASFL RDTHTPDGPPNGGDGGSGGNVWIQAVKGQTSLHKLARRGTVKAGRGIGGQGGNKGGSR GADVLIQVPPGTVVREVGRSDPAAEEEEKERLRLGAGETLEFETLQDTAGRRAAEKRE RWVYYPGAKAQGDTRVTMPPPPKLRRSNLAMMAPQAPVELDLSEHMEKPMLLVAGGMG GLGNPHFATRDTPKPKFASKGELGARLTLELELKLLADVGLVGLPNAGKSTLLRSISN SRTRVGDWAFTTLSPSIGTVILDNNQGRPIVRSGNEDGQGPRTSFTIADIPGLVEDAH LDKGLGLGFLRHIERARILAFVVDLSVADAVLALQNLWKEVGEYENLRNRELNEDTEH RMVEWKSFDSATTVPGEHDEGGVGMIIHPEPARVLEPLKLPPISSKPWFVVATKADKE DTKENFANLQAYIRGVESGEINHPSGRKNGWRSRVAAMPVSAIRGEGVNRIPAWVAAL LDSS EPUS_05943 MSQSLSPHSSNTTTFKLTAALLIGTLSTTLGLRSLFWPTKYADQ FGLRSYGFAHPIHQQCALNPGSSSSPIQQVSSHGESRHGHEAGEARLNPFMLACGLRN VSFGLTQFVFAYQRDWRALGVIWMCGVVAALGDGLLVWRLGGEGSGREGLSGKLKEEE AREGKEMEEPEEDDLGRTKVGGKWEADRKGQWAKVLGHWIPGTLIGVGGALLVAGY EPUS_05944 MEAIAAFALACNVVQLVDFGLKAASKCAEIYKDGSSIEHQDLNY TSQHLAEITENLSASILHARTNKPLTQDEHELAELATRCTITANDLRDELDKLTLSGR QGKRAAVFKAIKSIRRNNYIKKIKDRLGEYESVLNTRLLSRLSDRVDLLALQQDDSFG NLEQSLQAFVKNVAKRFNKIEDLISQENTANKSTIDQEHRITRDHVTSEFQDMQMKSD NDQNRLKLLASLNYDEIQHRQEEISEAHEQTFKWIFDRTGKHIRRWDCFISWLEKGNG VYLIQGKAGSGKSTLMNYITSNRRTLDSLNLLSHHQELIPKLVKLLGIAAHDDQMPVC TRKRLESSLKFAIDKTSIPTFLNAFEGSVQLKLQDLTRPDIEIYVADRLQNDPRMEQL LQENSRRGKRLISSVIDKAEGVFLWVILAVKLLLKGLTNKDDWDTMVKRLHMLLKGIE NLYTLMWNRLGEDQKIYHEEAALDVVISLCKSAETHVLTRCGGLLEVDHLDKDKPYYD ISDADKPNENMPNEDIPDKSKSDDEILKRLYRATKVRFLHRTAWNFLVNTKEGQVILG QRPPPASSICAILVKSRLGIDRLLQEIAWLNLPKALQSVREAQISEYDPLESLMDVVD SYFRSIYSIVPKELHWVEKYKMLSHKDTPATNFLGLAATYGLCLDMSKRFIEQLKRTD LTSINCLLTCAVFGLASNPRTELILMLLSHGANPSTTFRVDNHWPQISCWNASLHWIW VRYTVDWVDWIRGRLPSDMELLGLIDAFMTNGADLHEEVKFGLRLRKNSPMHPKFYRD CGPGVAGLVLGLNARYILSKVLRQHPQFPYIEKRFESVGAQASGRVLIVYRRAPGLSQ EISEQGSQYLLEAIDWCTTWPPEESAVVHLRTRIREIRQRDACTSPTCKPLQIIS EPUS_05945 MASFALRCPSIFDRSFTNNTSAVKVPIPDEVLGQWHITHTSSPA WRDKRNVVLTYTTLSSRNHDATSLDDLITYQTLTSPQLQTMRGTDISSTGKPGEWIWR GNGWLKFVTSHWQILGFGEQEERGQWAVVFAQKSLFSPAVINVYTRRKDGLDEEKLQE LKKILRSLGDGKWGDLVEVMYIVKQE EPUS_05946 MSLDVVRKRLRGEYTYLPESDVHFPHLTVYQTLMFAAMARMSPE YNVLDERKAAATKSTDATVEALGLTRTLGTRVGNSFVRGISGGKRKRTSIAEVLIGGG HLHCWDNSTRGLDSANAFQFVQTLRQSASETGSVAVATFYQASEDIYKSFDKVMLLFE GRQIYFGATSAAKAYFTKLGFLCLKCSTTADFLTSLTNPHERRVQIGYENKTPRTPDE FAQIWNRSPEREKLATEIQSYQNKFPPGSQDLPNEGGHSKLPWRHWSMPYKVSYFEQI RLCVSRGIQRLINDLPPTISSIAGNAIISIILGSMFYDLPDDTSSFFYRGVLLFFTIL TNTCLASFEGVQLWDHRPIVERHFQLAMYRPSTEAIGSMLCDIPNKVLLTACFNIPFY FLANMRRTPAAFFTFYLFAFTSLLTGSMLYRTIGAMSRTLCASIAPGADFILMLVIYT GFVLPIPSMHPWFGWFGYINPVGYAFESLMINEFSGRQFTCATFVPEGGEYYRAAPEH RICAVTGARPGAAVVDGREYLVSTFQYYPDHLWRNLGIQIGLTCFLCCLYLLATEYIS SQRSRGEVLIFRRGQEFSTRGSDDDEEAQPYRTNFSALSDAGYRKEDTERSAKTQTRA ATFLWNNLCYNVKEKASSRRLLDNVEGWIEPGTLTALMGGSGAGKTTLLNVLANRATN GVISGEKLVDAKFQDEAFARKIGYAQQQDMSTPTATVREALIFSARLRQPPEYSDVEK LAYVDEVITTLDLTNFANAVIGTAGEGLNIEQRKRVTIGIELAARPELLLFLDEPTSG LDSSTACDSMHYHQPSGVIFDMFDRLLLIQDGRSIYFGNIGPGSQTVLEYFRKHGAPR CEPEENPAEWLMDISSRAVDGYKTVQWSKIWQTSTERQKIKDSLELMKKRLQSPPKEG PQTKTSGKYASSYLKQLYHVTKRNFEQDWRTPSYLYSKLFLTLGAGLVNGFSFYMSAN SMQGVQNQVFSVFLVFTLHSSLVQLIMPRFLENRTLYELSERPSRTYSWAVFVMSNII SELPWQTLLAVIQFTTWYYPLGMYRNALAAHHLNERGGLMFLLIWSYMLFSSTFSQMV VTIMPDAATGINISALLYSLSLIFCGVLVSPNSLPRFWIFMYRITPITYFINALVSTG ISGVEITCTAQDVLQFDPPGGQNCGHYLTDYINEMGGKLFNPDATEECQYCPVSTTDN LIARLGIDYRDRWKNFGITLIYSAVNVAGALLLYWCFRVPKRIHREKI EPUS_05947 MDSFHISTALPRCGQSAPDDTLYDTFHRPCDKLRIQSCQSSCQN RVGTPPRSLTYLLTFLGICSRKYGPRTVHGVKILGYNVYFIYKPENVAQLWKYPTTIT TPGVSTFVLKTLFGMAPKAYSMYTLDDSGLLPKPRPGSHIAPKNRIDHLTHASFHKHL LGKGLPILYRNFAAALMCRLPSLAIQDEWKQFPDMMDFWLPPMTSAMNEAIAGPILEC VNPSFTRDLLRYYPYLHGLMKGFPRWCFPEPYRLREGLIRDVKRWHAIARACFQESHV DKETGCDPWWGSAFVRERQSILGNVDNWDYDSLASSDFGLLWGASVNIHPMAIWNIIE VFKDKVLLSRLRAELTAANFQGITTDEDVEKLTAIPLLQSIYSELLRLRIEIQTVFSS DKEDIRINEWRLPRNSLVIVPAGAAHKDPTFWNTRNGEYPLDRFWADRFLAYPSDTKS GPRKTDRTNGAKDGIVATKTAQEDEKPKFVTSGLVNSYMPYGIGERTCPGRGLARREI LTFCALIVDQFDIEILSKEKDFEVTTAFYGTGTQRPRNKIPFKIRKRKRD EPUS_05948 MAALPLLSQQNTLIGLVCVVLLVFLGRRVHSVYFGPLAKFPGPK LAAATLWYEFYFDVILKGRYTFKIKELHEKYGPIIRISPYELHIDEPDYYEELYSQHK PRNKSVFYLNQFGLPGSSFGTADYRLHRARRAALNPFFSKQTIARLQPMLTFMIEKLC GRIEEFRKLGQPMSMRRVYMCLTTDVVTLYTLNRSWNHLDSPDFSPVWVETIKATGAA GHTMKQFPWIFPLIRALPRSVVGAMNPGMLLLLDFQQRIKEDTQNVVDGVYKPRQEQY ELGLDRTIFHALLESDLPSEEKLQSRLWQEGQVVIGAGADTPANALTITHFHILDNPD VHKRLQAELEEALPNKYAPVELRVVEQLPYLNAVLKEGLRFSYGVSSRLQRSHPTEVM RFHEWEIPPGTPVGMTSVHVHQNETIFPEPHKFKPERWLEKRPEASPPLDRYLVSFTK GSRQCVGMHLAKAELRLAIATVIRRYDRQELFETTRADVDIQHDLFLPQAALDSKGVR VLFK EPUS_05949 MASTDPTADLANQLEPDDEQSSEQDSAYGDDDLISERTSLFSDI MKYREEVHDTFVSAFSPDTDTWLLGQNGRRYHGYKDGAYLLALQHHHIFNLANGGKLY LAPIERPARVLDVGTGTGIWAMDFGDAHEESHVIGIDLSPIQPAWWGSPIVSDEQFRL LLILSRVAPNVEFLVDDAEDLWLGNTYDYIHIRMLSGAIKDWPGLLAKAFDHMNPGGW IELTEFEVWLHSHNNSLHRAPDIQTWQEGLREAAALIGRRFDVAVNLENWVRQANFTN VTQHKIIVPAAPWPKNKELKTLGAYQLLNMLDAASSYGQAHFTRVLGWSADEYAVLSA KVRSQLKDRTLQLYSDLYTVYARKPMTAP EPUS_05950 MSGLQVLISGASVAGPALAFFLARAGAKVTIVEKAPSLRTGGQN VDIRGVGLKVVRRMGLEAAVLNRATQEEGVAFVDASNRRRAEFPVDPTGQNLSMTAEI EIMRGDLAKLFYDATCDDVKYVFGNSIESMVETDEKVNVLFKHGLKQQFDLVFAADGL GSPTRALIFGHDKQKSPIKSLGQYVAWFTIPRQESDGRWARWYNAPGRRLILLRPDTK AEASQQITRASLWICSDSARLQGYSKLSIAEQKAVMHDLFVDAGWEASRVLAGMDSAD DFYMQEVAQVKMDECWSRGRMAVLGDAAYCPSPISGMGTTVAVVGAYLLAGEIARSPN DPLAAFASYERLMRPFVTKAQKLIPGAPQLANPETAWGIWLMYSILGIVSWTTRLQAF LGRFAGPPVDAIVLPDYEAELSGNR EPUS_05951 MVGPRKRKQDAEEEEEQLQALPSDESAEEDEYEDSEPASDDGEE EDEDAEEEVAAGDEDEEDEKEAEAPAAKKRKTGPTSSAKVDTKKASKEDDEEDEAEDG VGEAEDDEEEDDEDADADEDDADEAAEDTAKSGGPAAAAKKNVGGKVPKEADLEEVED DE EPUS_05952 MDFRCVCGTHFSGFRRYSILKQMSQAPSVFARLLFKLGKTLSTF SRRVHYHEKELGESFHAFREQIRPNPLAANQNKALVASRAQQLLRLSDSIDDFKPTAI AFEQSIRWMQTTLPTTLLSITEHNICPVFSLRLTILQRRARNAWTLDCLRVSSYLVGL EDPSLEVQRMGELLRIRASKECWKGIAECNTAVEKAITAKTPAIEVELRLQQVQLSHL LDIALALGRGSAQLDTLLPTMTPEPASESVQKTVHICRRFPDTAGKFGGLVSKFVKFA SEQRYDKDDTLQRCTSQLASLPQSCTYETRKTELTWGECVGGSVAVCELGGHPYSSEM SGCPECRRIKEVERVEEEARKAGECLFEERFLQAMKGLAK EPUS_05953 MAQDLPRVTALSSNLLAVEERPIHNDFDDEGPGYLSVHGPRHDN DHLNFQDVQILPTTDEILAVRRPPYIPKKDIREPNHLEPGPQRLLDTLFRHLRFDSVE GIRDISYHAAQQLLKQTLGTTNDYEPQQETKSGNRYFLYVDVRFEELLSDEKRGVILR LSYLCPHFMRGRGMIRSGRLEQGMLCALVCLEEDGRSLSVTFFEVHMTQSTDSMKARN GKGVRAAIQLAFTTPAKSDNVRRVLRYAQGLSSGQYVLVEFPKLLYAGFYHCLHTLQQ IRSTDIAFTKYIAPRNASFAVANSVNVGLLPAMNVVPPAYCTTAEFRFNLGCLAQNNA SFSLAELRDAPMEFITFLKRETTLDDGQAVALHDCLTREIAFTQGPPGTGKTFLGVAL SRVLLASRSTTKPKPLLVVCLTNHALDSFLAGLLAAGVTKIVRIGRGSKEEWIRKFEL RALSRNTRLAQDVWDMKGVAIRNAQTLYTQLEAGCKGLNAAYASGSLSWPTVEAYLRS THHEIYDQLTTSNDNPYARSFAFDYWAGGGDLRNLRELRTELETCLLGSTASEGSSIS TEGLDRALEQIMLHAQYQSARAEQNNIWRLTLEERQQMLRRWKAAIDREELMAQFATL HTEHQSAEQAVRKSWQKRDSKCLLEQDVIGLTTTACASNWEMLKALNLEIVICEEAGE VMEAHTLCSLFPSVQHAIFIGDPQQLRPEVNEQKMSLETAIGSQYRLDESLFERSMIP TDPSSSPMPTSQLNIQRRMHPDIADLTRLVYPYLQDHPNTTTHPSTNGIAERMFWVDH RMPEAEPSAASKSYTNPYEVAMVTGMVQYLLRLNAYSLGEIAILTPYNGQLAALHDSL KMTCSVWLSEKDRKGLLDEGLLPESGDDAPRSKDELSMGDLLRIATVDNFQGEEAKVV ILSTVRSGERPGFLKTMNRINVACSRARDGFYIIGNSATLGQVPMWQQIIDIFAARVM SIHHKILTKSRIVAFNAERSELAVMSVKNPVIRQIFMRDFHARVLVRRFIHVAISARN SVRNLVARANIRSSNKSYLVVTLLKCSAREAFRPAWR EPUS_05954 MLSFLPPSLLHQPRQTAVQVLNFALVLSTAFMLWKTLSVLSNSS SPIVVVLSGSMEPAFQRGDLLFLWNRDHTAEVGEIVVYKVKGKDIPIVHRVVRSFHTV SGNPLVQGKSKSEKVGAADTAPKAQLLTKGDNNIADDTELYARGQSYLDREEDIIGSV RGYVPAVGYVTIMLSEHPWLKTVMMGIMGVMVVLQRE EPUS_05955 MAAQSNPAMASLATHPANAEQARAFTAPSSLSFPGGAGDLTPPS SEKDGLHLGGGSGANAHMNGQQPGGSTSAAANGVTPATPAATPGAVQGVSGIVPTLQN IVATVNLDCRLDLKTIALHARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVVTGAK SEDDSKLASRKYARIIQKLGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHHFSSY EPELFPGLIYRMIKPKIVLLIFVSGKIVLTGAKVREEIYQAFEQIYPTLTDFRKV EPUS_05956 MVQSALWDFLKGQLFTRLPYPETPFTDQVVIVTGSNVGLGFEAA KHCTRLNAAKVILAVRSLDKGNAAKRAIEESTGRRGDVVEVWPLDLSSYASVKAFAQR AMQLPRLDVLLENAGIAGVPYRLAEDNESTITVNVISTFLLRPPAPPQAPRDGPAVQQ HAAAAPSRHRQLGSALLHQAAGAVGPGRQDPRHAQRQSHRQHGRSVQRVQAARGPLHA RTRRALSRPVPARQCPGKRRRDHQLPEPGPLPLGARAQFRLRRPLHQVPAGTLHRGGQ PHPRPRRRRGRGDPWTVSERMQGRQGGAHGEQRHGKAGRPVTHEGLG EPUS_05957 MSEVSSTRLYLGNLPRTATKQDIEEHFNREGTGKITEVKLMNGF GFIEYEDALDARDIVPVAHRPQDLKDFARQSGLDVVYSETGRDRDGKGFVEFETAKDL STAVEKLDNHEFKGTTVHCVSDIQEERPQRDMGYRSRTPPRRYGPPGFDEPYDRRGPP PRGYSPRGYPRERSPGRGRYDDYGRDYGRRSPPLPRGRLDDGYGHGPPPPRRAPYEHD PYDRRGPPPPGGYPDPYRSGDPYARPRSPLPRYGGGGGYGGYEDGAYPRRYW EPUS_05958 MTSKSIARSLLRPQYFCRANISALNSSAANLSLRISLEVRRTTA KRPGLSKVPARALSTSIPSRYATVEESLDFREQDRESDKVDVCIVGGGPAGLSAAIRL KQLANEAGNEEFRVIVLEKASEIGAHILSGNVLEPTAINELIPDWLSENNASRYDGAT PAKSDKMRFLTKNSSIPLPAPPQMHNKGNYIISLSQFCKWLGERAEEVGVEVYAGFAG SEVLYSNDGAVKGVATNDLGVGRDGKPKTSFERGMEFHARLTLLAEGCHGSLTKQVSK KFDLRREVWEVKPENFKKGEISHTMGYPLSKNVYGGGWMYHYGDNLVSLGLVVGLDYA NPWLSPYGEFQKMKHHPFYKSVIDGGKCIAYGARALNEGGYQSIPKCSFPGGALIGDT AGFLNVPKIKGTHTSMKSGILAAEAAYPALVDTDASSLFLFDYEDKLRESFIWKELKQ VRNMRPSFHTPLGIYGGIMYSGLEAFLFRGQTPWTFQHKSGDHAATKSADQQPRIEYP KPDGKISFDILTSVSRTGTNHEEDQPVHLQVKDWDRHAEMEYPKYKGVENRFCPAGVY EYVEDESKELGVRFQINAQNCIHCKTCDIKDPSQDINWSTPIGGDGPKYSMT EPUS_05959 MASLPSSSRDTGLRRQIPQSTSASIEPPPQIRTGWLWIVGLSGF CLSIYCTSVFLGWKAAWKKSEELELEQDADVSDRYNNIATRYDEDIDTMEKVMRLDGK RKKLCQKAKGHVLEVSAGTGRNGGYYDLGTYGEGGETGRVKAKGKRIKSMTFVDQSEK MLQICSKRWKEQHPKFRGRVEFVVADAGVKKAITPPPGSTGFDTIIQTFGLCSITDPV EYMRTMKDLLKKPGPNGTEGGRVLLLEHGRGHYWWINYVLDGLAKEHADRFGCWWNRD IGKIVKDSGLQIAGLKRYHFGTTWALELTHTGVEDTFDKESKKTN EPUS_05960 MPYNTRRKSLSLPSLGIQLPAASRAHRSPSKCTPTAETLQHPSK RVKRSHGDNTPVSPISSSDASVISPTDSTASKPKSRRGGLAHTPPPSPGNSHFDIKID TEGINDDIVIAVIDQLEKTGNRPHLIKELAAVLASTNDSVAQYVYPFPSTNDANTDVV DRIRSSANPAALLSSRLSLYLKRPWTALAPCPLAKELIPVHPRKVFFYLTTTPHQKLP ESSDDIIAPTASNVKRLTPSVSPSIDQDDIDLETRERLRMSPSPEVDLSSPDLDDDQP MNPPTPGESFSGRSSLTRDGSIAEHRTAQNRAPSPPLEADEKGFTETATAVRARGMSL NESCVHTSIEGSLDQGSNQQMEGIEETAEMTHKRDQELGYELFGHAHGAPAVPNQTAL LSSPMIAAKDDHATNVKKVTALVTEIGLQDVEMTNWELRSPENVELDELDGMFSGF EPUS_05961 MSTRLSPYYPVLVPRNEVPSSAKLAPFATRPAQPFRFLDLPAEI RRYIYDLWIPSFLHVSTGCGRVRLQYFTDTREGLIFQTLSVRPFFLNRQFYHEFWYSL FARTTWCFSNPDLLLSALECLSNPMVDRIRHVSVRLGEYGRWPKVRSNTPSKDRSHSF DFRSAVQTLRHMDQLQTLLIYINLADFGYVRANPWTALPANTIAANCVADFTWSGLVT RVPFARSVLDQEFIRTLKFRCGEASVSLVPKPEDRYAGQMVDVVISQCAVVGSMEA EPUS_05962 MCGLRRWVREGETGKRMGKRTGRARRGDRASVRGITGYASAMGD SGYVNWGSGWADAEACVAFALEKIRSEDREGRVTIECGKRVERLLFSDPQVEPELDRA PPINQSGAATPEPRPKPVCTGIQLSSGEIRHASLVILATGSWTPTLVDLRGRAIATGQ VLAYIPLSEGEYAALSRKPVQLNMSSGMFVMPPRAREGESRRELKIARHGFGYRNPRM VREPALAQRRRRDQRADGRGEEDAMRGPENVEPGAGAGDGDGEKGKDGHEMLEISVPD TTIPIPPEGEEACRKIAREAFGHPDPASNPDSDVKLKELHELAALADRPFSRTRLCWY CDTPSGNFLITAHPHSRNLFLATGGSGHAFKFFPVIGDKIVDAIEGTLEPGLRELWRW RDDAEAADDMFDTTGDGSRGGPRGMRLDVEVGRGR EPUS_05963 MCTKTTMTYAGCKERRPTDKHKYVIRAQCQPRRHEWIAAGGPRQ FRPNYSLQDNVNTGIRIFCPQCNGLQYDLFHNVKRKAESSKDNAPDLDNILRKEGLKA QNALLAHHDALEKLPELEKETERQENCSSDDISQASTQQPITRTDVICTSTSVLAQLQ QSLAAYTDPTERQRVVLERFAHLLRLLLQQRTTVDLSAPPGAAEQQQAQSANQQQPTA TTDGPQHKNDAVPAESSPLNHAEMHDSGYGSGTNPRTPDMGRPARGLKPTATAFPAEE WIENPERVQNHASRSPLPDRSKPAKPADDALFLGWLKIDDGASGRFHYDDVQTQKAPW EVPSATICDVPTFDRKSTPIPQSTTESAGLNAEEISTRFFRSGGYGADKPNMSDTMVR DPNACRACNERGGRHGKIMACKHCGGCAFVPRMGQHGPLLPGSLVLCPECNGHSDVIK QKFRCKVCKGTKKQPWNSSYEPEPKPYRDNYYEEPAPQQLQAPSGQQMNMGGDETEQV QCASE EPUS_05964 MDLALPFDYTVISAQQRDGLAREMINLTQKLHNTQCVIHGDIKP ENMLIRRSDFRLIFCDFAESRIRDEDPNRWQGATTINYLAPNRDPNKAPTFVDDLYAL GLSIWQLYSGRKPFANLEPDQILELLKQKWTVDLNVITDAPIRNIVARYLSMGGATLE KGYP EPUS_05965 MTLDALIEHMNVNHHFTASRHQYKLRFDKWQLPKLKTISRKPQG STLAITSVRQPLSDGHSFAASSETTTGQAEPGLGKPPRAELSGNSSATQDISTCGSRV ESAGQSDVNVTSMTSHSETEPDVALVLREGLLLERLPEIDGPSVEKLNIINNTMNLEE VRPAPTASALTPIIDTKTAVPLLPVDRSSPPGVRKDIYTRLVSKFMSSEKILNALPNS STNVLDAIRKADVLLVVGSYQQAYKLYSRWWERVLVVYSKLVDNPFIVLVASNMSRAA SSPAEIAHVVAIMKRFIGPGIGDVKLDHLAECTLLIQLAILLRRVHGFDEAYSYFHHA LSIWELWSSVHQEGWGVPANLIGQYIEANSIHFLAQPGKKLSLDLQRRLVEADYQYQG RLRKLVFSCASTLASHNTCWKLAEGVNAGRKPEWDSETIRIVLSKMLFCELWKTFTCE VDQDRTPLIDKVEGLHEMCKGMRISSPDLFAAISILLVDIASLKGDLIGISMSTWSAE AESISLHLSDIDKSVHHIVSEKLSQAEFYAVVLQSTRETI EPUS_05966 MFNLHRFYRKAPTSVEAESDSAEKSILLEDSEKASYTIDDVPSS PSKSCTKQRKLPSVFKLLLIIFGFAFALFSGQTIRKYATLGYKALLQSSKADNHVGCG QGVIIAAPPLGVNLLDRTGFEAKCSTSDPDHPCGLAIDSKGKETFWQSQAGASHWIEI DLKKKYNVQNIAVTSNPLTRDGVVLSHQVETAPEKGQWELAAFGLWRDDRSVKNATFE PRPVQFVRLTVLGTTGNQKFVAISDLNIYAADTIPSAPIKGGRWGVTLNFPLVPVTAF LDPVHQLLITMASDARDIYNSPGVPHTFAATWDLKGRTIVEELLSQTGHNMFCPGTSY DESGRVFVTGGSTPKQFSIYDSRTKSWTNPKDSKGENMKLALGRGYQGQTFLANGKTF MIGGTWSGGEADKEGEIFDSTRGWTILTNVRANNIKMDISVNCTTPKGVVPCIATGWR QHHPWLFSWKNDSIFHAGPSKQMNWISTAPPSGSIRAAGFREDKTAGVADGDAVCGIT SMYDAENGVILTAGGAPNYHYWKEDRKTDPKVDPHRKEATNNAFEIKLGGVQVDAPVS PTKVASMKHQRIFANGIVLPNGETLVVGGQRQGEPFHDATWVSTPEIYSPDNKKTWRD GTPNSIPRAYHSWAVLLPDATVLVGGGGLNSNFPEANHYDAQIYHPGYLFTPDGKTTV ARPQLSTVGHQTEYKLGETITFTSNVVVDGVASLIRYSAVTHSLNNDMRRIKLAVATK GNAADKKFSVTIPADPGVTLPGYWMLFVLQNGVPSVAKTVRITR EPUS_05967 MELPPPVMDPPPPVVDLHPVLTPEQLGTIQGDIWTKGFPKYHET YYFFSIKTGKASLFSQRLKVLATQTPPLISSLKKARDDHNFISMEREKYITAEKLKGI PKKEIHPPTVPIANALIAFTSKGLKAIQDDSPSKNLGLLDIEDTDPAFVAGMSSDKVR TTLNDPATKKWDVLFQSTKIHGLLKIAGSSPEMVKERLMRIQVTLKHGEAIEDAAPTD SQVVGWTRPNDRGKEHFGFEDGISQPRMNGIDSPDPAPEPNMNTAQELLIVTDKTASE NQDVRRPAWMQNGSFLVFRKLEQDVAAFECLTKKYWAATKCESQEQMGAKLMGRWQSG APIATFETKDTADPGKAKVMNNFVYGAESPCPVSAHIRKTNIREKLDGNEPDQRCRRT RMIRNGIPYGLDYKGHENDGSTRGLLFACYQGHIEDSFQHMQASWSNEPTFRNADFGH DPIIGQVPDSSEGKPGKLKTSFLGSDKSLQTVEFAPLVTLKGGEYFFVPPISALSTPL GSDAPATGPSTRTA EPUS_08636 MSASSEPGSLAFHNQGHALYIGGNQTAQGNINYYYNGEGPQQDR PVNTGPNYSFGLSLDGAPQIADDLFVGRERELVQMQELLSPITRVQNVVAVSGLGGMG KTQLCIHYAKQHRETYSSIFWLNAKDESTLTAGIYNLVMRVADGSSSLYTSHLNPDEA VKWFQSWLSKSENNRWLVIFDNYDDPDMPGMRSATGYDLRRFFPPRQQGFILITTRVT RLSFATQLKLCKLEKPRESLMILANRSGRKTESDPHAKDLALRLDGLPLALATAGSYL SQTTSTFGDYLQLYEDKLEELEQNSEGLLEYDDRRLWTTWAISLKQIESHDPEAVKLM QLLAYFDNQHIWYGLLRPGGDCGLSWLSDVVRNEIRFNRAMAKLHDYSLIDIRPDSYS IHACVHDWALGFLNRNLDFALHGVAMHCVGVEAMLPGNYIRNRRLLQHANRLQSGRLK IMMDSNMANMHDLIHIAILYNQLGELSKAEPIYLRLLQMTQQNESISTQENMLMWELL CELGGIYASQERFAEAEELLLNALAELKKLHGLEDLTTIGILHKLGALYLAQGKLDAG EKIILQELAGTEQICGSEHHTTLRALHLLGHCYMNQGKMAGAESILLRALTGFEKVCG LEDESTLSVVWLLAELYVDQEKIAEAVELSQRGIKGLEKIRGSATLGMARELAASFGK KGMLVEAEQMYLRALAAYEKMLGPEHELTVETAYELECFQRHMKYCVPVSSLSDSTNS TSASDIDNGWEHHMTLDSLQDTMMGSTSEAITARRNSPPLGSPSAPIVVDGDSDGDDG YGKATEQRRKRRKLQ EPUS_08638 MRLLQVDENGKFSLTDDLIHNIPPYAILSHTWGEDYEEVSFIDL TRGLRRTKAGYKKLRFCAKQAARDGLQYFWVDTCCINKENNTELSEAITSMYRWYNRA TKCYTYLSDVSIIDDNHLSPSLQQWESAFRNSRWFTRGWTLQELLAPPSVEFFCSKGT RLGDKRSLEQQIHEITRIPVLALQGAPLAKFDIDERMSWAKMRQTKRGEDKAYSLLGL FDVSMPLIYGEGEEKAFRRLQREIRGDNPLDRLPYAIEAPFNSFTRQHEPACLTNTRV NLLNDIYAWVDGKDERCIFWLNGMAGTGKSTIARTVARRYYEQQRLAASFFFSKGGGD VSHAGLFITSIAMQVAQNVPASRQYIRNAVAERNDIASQSLRDQWQWLVLCPLLKLDE HSDKSAYVLVVDALDECDNENNIRIIIHLLAEARSLKMTRLRIFLTSRSEIPIRNGFR QISDAEHRDYLLHDISPSIVDHDLSIFFEYNLKLIGQEQSLDACWPGEEITKRLIQTA GGLFIWAATACRFIHEGKRFAVRRLDTILSGINSALIAPEKHLDEIYTTVLKQSIILE FTKEEKEEVYCALRKALGSIVILLSPLSASSLCKLLGVKKEDLDQTLNDMHSVLEIPK NRFQPLRLHHPSFRDYLLSKSRCQDPNFWVNEKQAHQVLADNCLRLMSISLKQDICGL NAPGKLITEVDRSRIDHNFPPEVQYACLYWIEHVQKSSAQLCDDDQVHHFLQKHLLHW LEALGWMGKMSEGVYAIAALQSFATSDDCPHLSNFIYDAKRFVLYNRSIIEQAPIQTY CSALIFVPTTSIVRKQFADCMPGWIEMLPRTEENWNVMLQTLEGHSGPVFAVAFSPDG KTLASGSDDRMVKLWDARSGAADAVAFSPDGKTLASGSRDYTVKLWDAGSGAVLQTLE GHSSLVVAVAFSPGGKTLASGSYDNTVKLWDAGSGAVLQTLKGHSSWVNVVAFSPDGK TLASGSDDRMVKLWDARSGAVLQTLEGHSSWVNVVAFSPDGKTLASGSDDRMVKLWDA RSGAVLQTLEGHSSWVNVVAFSPDGKTLASGSDDRMVKLWDARSGAVLQTLEGHSSWV NVVAFSPDGKTLASGSDDRMVKLWDARSGAVLQTLEGHSSSVVAVAFSPDGKTLASGS YDKTVKLWDAGSGGVLQTFDIGYTTNSISFSDDSTSLLTNRGILPLSPFLSNRTAFLP PQISPSVFVKDQWVSLYTDRILWLPPEHRPSCVAVFGNVVGLGHKSGRVTLMTFNV EPUS_08639 MSYLYIIDKIDWRLRTLTARKPDSTARFDSQIRQPDSTARFDSQ IRQPDSTARFDSQIRQPDSTARFDSQIRQPDSTARFDSQIRQPDSTARFDSQIRQPDS TARFDSQIRQPDSTARFDSQIRQPDSTARFDSQIRQPDSTARFDSQILTCQIRQPDST ARFDSQIRQPDSTARFDSQIR EPUS_08640 MAITRRQNIAQHSRRLRSRAVFLAQPAERAAQQPHQKYRQRAGR LYLPAHPAHVDHGVNRIRAPMIDPPRGSSLDGNGLPTGCAERTRTGAEEASPDLSNGK GGPSLQNGYTSSHPLSPQPDLAHAGALEMAGAETGSLGDAIPHETTSQLTITPNIETV VQNLDYVGVPQDGKWEHVAAHESRFCFHHAEEEWLLQTVDRWMLPSDFNIIGAETTLE AKLQKYESRRRNEKIHHAPRADCDGDFWSHVDTPIQRGESDGKVVYLIRWKFCWTRHS DIDDMSWVRSSFQEQNERINRRRSARVEDTAPKRMAKMKEMIVVVNIEDWL EPUS_08641 MPPASRAGVRVPRWSRADTELLLRIKREHGSANWETISVYFAAS NPQQHRSCNAIKIKFKSLMQSSLAETSDTPVSSSLAQNPRATSSGSILSNDQPQGLGL YTWNEKRKCYEEFPTACTQDARLLFPFTDVICIFAEDVGGLDAAGNLLIKWARFGRPS TLPDLVRPQVLVITDGDSSASITHSMLEWDDFRYHVVQTEKVDLTQSFAAIKVIQLAG EHSSPMVRYRGLKDAVCKALDESRRVKALNTTLFSAVHQAALFQNALSHVAQTIQEPF DIIHSTRKENEVQADYSTHLERFFSLTTKLKLPYDSIAHYVASAILVDAYPPKMHLFN PQIVYHSLYRAHCLQAAQKIFPSDSFPEYLCQAVENHLTKAFSTYTSQPTTSIDIHRS NLQQCKKHFENLRSNRTCLTCLQRKPEHVLACAHAICDVCVYRFAEGMVGFEYRYVLD ACPICSSQCGMVVDLKGPTTGVSILCVDGGGSRGIIPLEVLSSLQKMAGPDLPIQEFF DLKFGTSAVKCWFFDGVYDSKALEASLQETFGPHTRFFGHAPLRPSGSKVAVTASNIN EASAFVFSNYNGEGHRRRYRLIRPSDVEHEPLVWEAARATTAAPVLLQPMRIPFVGTF QDGAMAGGCKHNNPVNLAIWESRCIWPSAKLDVVLSLGTGTRDVGSPKATGVRSIWKD GWAPRVKRGFGSAFDGQYAWNILWNHLDDRARQDYFRLNIAFPSAEPSIDDTDCMEYL AHCVQIQPSGPGQRSEVFSALLISSFFFELDSLHHYESGFYHCTGFICCKVRSHALIK TLLRTHTEEMNFYDGIHHLGVRVSADDICTACYRYRRPIQFLKRSREDPIELSIQWGD TCRRKISGMPHTLSSLINKQKLDSAFGSANHDAPRALRCPSCESRSYTHKGLTRNTRK RLIPSPTLAVPKKAKHY EPUS_08642 MNTEQKSSWQEPLLETQTGFDFNANLVAPAIDPSLLNLQFFSAG TGTTLPWPAGQPIYTFNNGFYDGSSPYSDLNLRLTNLESQTTTMGTQISCLEANMQAL TARFESLKTLFKRNLANLYEWARSLTNYLSDPSQGSDCIEQERLLAPKDVSEEDSSQ EPUS_08643 MKTFTEKNLPAMQSKDSSAKDINAYEIRSNWHNQRFNHLQAHVT DLSNKLLSAGKSNQKDRLEMVKLQASLRDQNLQLQRVAVTAADLEDALMVERKHHHAT REELQRMRCRLEEVFQETMDLRYRAKISDDVLQALRETSEVDVSDQFCLSGLIQEVVY RAARERASKPTERLIQVKSLMTETAAPLSEVHETPRAVSEPISADFW EPUS_08644 MESSPPTRKRVAESSPQRLRNNKRRLTIENLYPVANRNPMVNAW IRHVFDFDSDFDSSRQTSVEQDDNSTSSDSEQEMLCSQSPSNEVDDVPTKCHVPTILN QVKSTLYSLSTTEDVAATTITTTDLSSKKSAGGHCRIDDDKVVDILTGHNVHLDRVED DDITAIKKIILAKSRKKVNVKEERRWQKDLAKCAVSDETCPFSMSYRNGEPAKLMPNP KPDLVFTFDVDLFVTAAQRDALFNLGSIMCPESVEPVKRDRAFHFLSIEAKGARAQDA NWIAHRQNFNTATQALHNIYYFMEMAGTLAAFYEKVRFYSIVATDLVFHVRVHRAREL KEHWIQQDYSLEFLYDVVYDHRGGGYTKAETTAIVKNILIEYGIKVLKPLLVQTMKKV LEKRDEISVRSELLEDLMRENDK EPUS_08645 MESYVGFSETPKTQVDPTLEACEMDEYDTNVPKKHRGTDADRRD MQIHGRKQELHRIFGFVSMMGFGSTLICTWEILLAAAVTSSLTNGGTAGLLWGFVIVA VAFMFIYASLAEMASMSPVSCGQYHWVSEFSPRRCQKYLSYLTGWLCTLGWQTGLAGG SFMVGTIIQGLITLNVSTYEPQPWHGTLIVIAVGCFVVGFNTCLAKKLPFVEGILLML HVVGLFAIIIPLWVLAPRNNTKAVFTEFTNNGGWPTEGVSFMVGLLPLVISVLGFDST VHMSPLASTLSISGYMYSCLPPLVLNRNLQQDVMPKRKRGTEKAGAHLTQEPSTYPPL RKSARLLKRDSHQLSPVQKGKKQRRQNRALSSPSTTESTREDRRPAQLSENYTKKSTN DTSFESHFRKTHSAPFGSGASHKRKHEKELGRAGIESPKRPRKTAHPVFARRRTVGAR IQSEWQDLPVRKLEFQPSALRGMTTDAGYKRCSPPALGLLHDPVVDGCYMPESQISNP RKRQHTEQYALQPNGTSQPQLKRQKLNHPTTRSQPPAFWDNLSRTWLTRGALRELNRR NNQPASSQPRSQHRRTRRPVTRNFLAELKKTQFVSDYLRHCEPGTSKDIKQFARYGGP DLSDLKGFPEPVDPLNHIMSSSQSSSRGRKRSLASTLDTRLTTNTTNTRTTESSGPYS RNFQQKLIDGGVYPDEYEYPDGRVPPEPDNLEEINGILTHPRPSLSPSQFSNEKFKEF KRADAHVSKENKATKTVIPIIEGKIKDGKCVEGDVLFTNLTPLTNDMLTAAKPDLYYG ARPEQLNRRVRDELSGHIIPSTQDDLPIVPNFFLAAKGPNGTAAVARRQACYDGALGA RGMYSLQSYEEDEPVHDNNAYTITSIYSDGQLKMYTSHPTQPTSPEGRPEYCMTQLKG YSMTSDPETFRKGATAYRNARDWTKEQRDEAIKRANEKVNDSQVGTLAVDASFGGISS FTTEDSLDEAYMIEALSQESRTSLDEGSNIATDPPESETSTDKLTINYSLTAKRLKRH SKRSHQLQ EPUS_08646 MQPYGRQCRQTFKKMLYPWHQSIRLIPTTTQQKHSLIVIQLRQR IYLHGQLFKSSHQSLIQIMSRWRRRRRKYSAFPEEICEQRIGRDYQSRFWCGFCGEIV VLQKKGLEGANEKFDQGFWDRVLDLFTSSVQTTSDHDERAGRLREF EPUS_08647 MKGSLVSLTRALTEALRDASPQQLDSSRGLLRKLEDTIHKAMSQ AEDGLEHQESASDLPLMPVDRLILSIKRNAPKISALLDQKVDQTLRDGSRQSGEDPRI DDALRTMNIDNSDKLRSYLACWTLKSGHEEFSSGKNRSEASTRKYAGSEGILDAEKLS TAICKGGKIKAAATAYEEALAAFYEDHSVAAMIESDGAGMIVLLVFGNWARLSVEEMA YFGRLCGSDETIIKLLSLIKQKKFVQTCLALYPRLVDERRGPRKHARDTSVTNSIPPK SKKQYQSTPALLNVTNAESVPAGQENLDPLVPPYRSQPADDIDASGVETDWPRGRERD QFQGLNDLSWLGVPNLPIDSHLTTYASLHWDSNLTEFVPADNNETQLPIPSNRATQVP IADNVDYSAIQPQPATLENEPNQSQDGNCDRLGYTYVQHDELAANTPARCTISVF EPUS_08648 MSAQSVVGDSRTGSAPRIGSAYMCNCCPKKPKKFGTEDDLRKHM LEKPNECDFCPNRFKNKNEAERHQNSIHLRPYSWSCGAILSYEIAFHPLNSLTPLSYD ICGYCGEEFTNSPRDWDARFVHLTNVHKFGECDKTKKFFRADHFRQHLKHSHAGTRGN WWGVLENAGRKDEPPQKVRNRDYENA EPUS_08649 MSVEQKYDGEYCQIHVCLIKSGPKIQIFSKSGRDSTTDRAGVYG AIVAGLAIGTPQCKFQRTCIMEGELLVWNDDSKQIERFRKIRKHVRRACHRLGCAQDS PVQESEHLMVMLYDLLLLDNDICVREPHDRRRQQLRSTIQCIQGRFDISTSTKIDFRS SGAADRLRQLFAATIAQGGEGLVLKGYTDPYLCLDDSAQQIKLEKDYTQVSLDEDGPV ENRTKSISLEIAHSQPNNIATSGPVSVQKRVRSRSPLKISLPYKRVKQVDPTLPKSAN KTRMSMTSLTRDPTAAVKPCHANTNPSSERSISQAAYDSESTLASQASPCNLHSQTSR FSNDVLAFLSKLRMPLLICDSSKDLFFSPHKTQFDIYQELVISFTFCKRFFAKSVLAP QTQEVSEKDKRSCWHVVVVRLERYQSFFIEVQTLVTMIEEEQHTAAAKDFHILFVDGS LFGLLMGNESRHWQDAIRGYLQYRAGQVVQITYGVRFGADFLQGI EPUS_08650 MNIHRKWIELLNEIAEAPTEELQSCPSELLRGVQHKLCAISTPD DGQENIAALGAYKQAACTCNKGAAPGCTWRSGLNSSTATESTATESTDTKQRLLQTVL QKSKAISKFLKLDLEKTFQQGVQVQGVDPRIQDIAKLGEKTKFDKIRWVFGCWTLFLD NREWIRSKTPHESSVKKFTESLGSTATGVVTYGLKMGAKVDLYIKAFKGVAIMPLLPF IPWRRLDHREVSAFAKLCYDDKTIKEFLETGAQVYSASENIFRMSIQKRNLSVQQLVP AKRISQDVCENRHRKSQKASTASAALQFYSSPSDFSSGSNGNLNTCASTSIYARNVET STLSQHDEYLSNPSAPIDGYLNSYPGHIDSSLNPYPGLIDGSLNPYPGLIDGSLNPYS GPIDSSLNSYPGPIDGSQLETPEQSLGDVDGSRALYLVAQSRGIDTSPILYPSGAFND SLSSMVHAQNIPINNPA EPUS_08651 MASQPIYNLSFHGCAPHILNYHKPGEPESSHFPLLGPRPDHEFE PLPPQRWAVYLDGDLASGLPGPYQMIRRVQVDREPSKYYICDDDLSKIFWAKHPRFPL TIHQLASGLQKLLLSRISDQEETLAANLQRAQDDTRKYLFETTRSFAGKEEEIKSAWK SRTGSSRQFFSVVLSYLQYNPATVWIDETGSISPQGRKYKSLYHIFTVEENGIDFDFS ASQAKRTRRFVKWEDMDFLSKLGIHFDAERCGKYWPHRSLSFRNGDLSFCESIPITRA NKPRKCR EPUS_08652 MSSRVIDWMAPEQMTSKQLYWFVVSRVPSAFAYTSAAGDRHEVE ENRLPYDRREYCEVRVRGVVWDHVASGVTVEMNDDKRHQAHPDWVTAFKVLNTSSWPI KQVVCRLKTGVATPEALREVPFEGVLIPLRSTGDIEVPTLDSIDNVGIGRLAAVWQGA GRHQLCAYYHLGDFKMDPVLRARGEEAEGLMLRRLGDAWVNGTEVSETDFAELEGLGM IRSEFMTLLRYTAWRFCRRSGGGDA EPUS_08653 MHNPAAVGLYTHLTARLTLYASCRLFNGYYHHRVIESPTAAGMH PSPVEAYQVGVMCALPKEMTAARAMLDEEHEPLKIRDAQDINSYVLGRVHEHNVVIAC LPTGVYGTNAAATVASNMLRTFPEIRFGLMVGIGGGIPNLAKEIDIRLGDVVVSQPDG TYGGVVQYDLGKNLGKEKFERKGSLDKPPTVLLTALASIQSRPDTCRRRVSENVSAMI QKPDLANENYIFPGVDQDYLYCTWCDRSQWPLLMIWLVLFSLSPLWLCNVCDNGKIPR SPGRRDPQIQYGIIASGNLLIKNAAERDRLGNEFGAKCVEMEAAGLMNTFPCLVIRGI CDYADSHKNDIWQKYAAVTAAAFAKELLSTVSPTAVHATRHATDAMNHRTHELLNEGI QLQKQAIEVQREHFQTHKSEVLHQTERERYCHQAFKTSTYERYKNINPERVSGTCRWL LNHSQFREWQQRSHHDLLWISADPGCGKSVLAKSLVDHEFGDADQHSVCYFFFKDNER QDNLNTALCAVLHQLFDHQPSLLRHALPAWDKIQDGIQQESEEMWRILLAAAADSSAG HIVCVLDALDECRDEDRRQLIGKLCDFYQRSTPTLSGARLKFLVTSRPYDSVQRWFEE TTSRLPQIRLRGEDKNDQIHEEINLVMDLQIDSLAAEFKLSENHQERLRQSLRQMEHR TYLWLYLAMEDIRTMYRDSPDPEEEPTNTLPTSVESAYERILQRITEKQKSQARKILL IIVGARRPLTISEMSLALNAASAHELGQSYMKEPNVQHLERHVREWCGLFVFINHSQL FLIHQTAKEFLVAQGSNFSSVSGCWKSTFSQTEIEGEMARLCVTYLFLRQQEGRPRDE DWQGYQRANTRVPVIQSSTWEEQNKFFEYCAEHWTSHLREDVVTKDRKNADVNTQGGA YGNALQAASWRGDEKVVEMLLAKGSEMNAQGGYYDNALYAASSEGHEKVVEMLLANNV DVNAEGGAYGNALHVTSSKGHEKVVEMLLPKGADVNAQDEGADVNAQDGYYGNILHTA SWQGNEKVVEMLLAKGADVNAQGGEYGNALHAASSEGHTRRWWRCCWPKALT EPUS_09485 MVANDALIEAFQAGLATHFLRIQDQSFPSELHDRMCDMTLDAFA WPPEVKSAMSMANSKHFLGFTGRGDELTNDHVDNREVFDFVLESNQAAQAYPGQRHTE YGLLTGCNQWPDPSIIGEDFRLTVEQYMCHMRKVYLKVMEQLEIALDLPIKALRKLDT PDALHRLKLIKYIPENEFAGTWKTKQGVGAHQDESGWLTFVQEIDEPGLQVHLRSGKE WIEVPFGPNTWGVNFGYSAVRHIEMRSCH EPUS_09269 MATELPRRRRAPADGNCSNPPSPQGPRASRTILDTPRRTKLLAD ARAYAGKMPRKELFKIHNVAERTGYQILKEGTMRRGPGVHNRGRKRILKDHQCAAIEA VEDANFFFAASSHYRIAKDIGLANGSERAIQRNMTDFGVGTYRAQQKKWLPEYSIKAR NLWAFEHRYHNQEDFKKYRWCDESHFATGLA EPUS_09270 MDETTEQMMDKATECFRWLSRDARGSTYISYSQFSHLSACSFDF GAEGYAGSCNYGGELLHLSAPSNKYGLVFGRGNFSRSLYASLARAQREFGGLSTFGLK VSRDAIAYVSGGNNGTNKGSSFRLGKMVERGCFNYRWPLNEYSLLLNENMPKTKEESN SQTEEEAEKETGTCVMFSFVMDGICYQVLRLEQGCRSDVERCPLFPSESQIVLKIGGP VWLESLAMAGQQSDGSIVMDINDCSTEDCLRIWDKKHEIGLDAMVWQLDLDGKNYQRL KLTKQKRGADSRASTPESPRLSTATEEEEPIVPDNTYDAVAKLPDMKKAGVGRRNATF VAAIRLIEPQEEYPKVKRSKIPTPEPIADPKAEEPPKVEWPKIPTPEEIYNYVAANPS SLGATGNMWETIFLERDLHTDSVSEYTEVSLVGRCLEKILQVDIVPRDFPTLALVSNL FVLPRVDFKSLFWKVRFLAKVYKFLFSLDQPGRDQARPIFSESDTKEDTNKNLYLDLS EFDRNDRKCMLGVAENQRNRILDTVENVVRFLSEVLRDQTDHPNPLLQDSRTPDESDY YYITITIWYVIKSFPERNWELKDSSNDKEQANCSYLPANDCNFGKANKDKIPLLQWYH YGSILSLSRQGILPNIWQTEGLDRRVSRLGRAARIALAAKLLSKSPYSVEDEIVDRLA FLAHELGLENLNDNVDTVASLSIRRVKQRDFTRYINPGWLPPHEEGYTSGPWEIHALC HNSRLNVLSLEDERKAEEVQSYKQKLCHFLNSEAMVVSCWERTHSKARKGWLRSEATS VLGSTLLDIHQKMSGPQKVVETVQRRYPISRQVDAHESPTGDGLPPIEWTTFSPPRQY HPDNFVNSLDDTPHLYQPPVIDKMNIPAAIRGYAESQLEAGFTIDDLRDALGEMPGSV VLSDIVAIDLGLSSNKCLRIRPGQAGTYIARDIRHIYHRNTPSCHRRKPPKYSPHQEC QEELVQALYDSLADQNVQHRFLTVRKQPERLIPLLVYVIHPESTACLNNHLLHIPRFL CQKGNTWIARITLGSWLPKDGDDTCSEEEAKLRPDHDTISLPKELKPVKTCYEANGNS DKNQTPRIFRLTLRSWLPKRGDDICSDEEAKLRPDHDPISLAKELTPVKTCYEANGNS DNNQEPKNFRLIMSSVILSTNAFGDFSKCTIISEDIGDNNLMKLAEDSSTLWRKFIHQ PQTASEQYNNAIKELASLVDFKTVFLSEEEWSEDYTSFPLFKLGLWSLDSLYRLQKSL KASVDSVLEAKEELMQQINDGPGKRSGLLERICQEYLGTFESNLLSLTIVKTDLERKI ELHSRYKDALSAVLGLRDSRASLQQNSTIQKLTYLTIVYLPIGLMAAIFAIPHEQRVT FESMGRGWFIGCVFLMSAATYTLAIHIQNVLTFPGKSLARVWHWFSRSDKLPH EPUS_08816 MPVEKNDSITVPLDKLKNDAIVPLKWQQIFQDRNSDYYLVNYEN KSKVTEEEHVGMLFIEKSKVEEFKGVVKDGQITVSEKFQYGQPNKAGNKRFLVYHNKD NKPYQHRFVDNMLTKYGSMGAEALEKMGMMKPNEMVDLISGLELTLNILSP EPUS_08817 MGGAGLFFLLLFLLLIFGAIGWVIFTQIRARRSGLPPPSWRSYI PFLKSDGSGGFGALPTPRSSNPIEWIKDKLSTLRQGRNRTAPGHYEEAGGYPIGSTGQ SAYAGGRRARGMDPDEAWDTRVGNEADAYGAAGPGGYYEEQELGLAPTPGLYESHAGA AMPAYGEGADVRGRTQHRDPSAVVGGQQGLDRRYDQEMGGAGSQLPRGVDSNNPFSDT NEVPSLRGVSPRPMVDADVGQNGKGKGGGGSQENSPTERRSMFREGL EPUS_08818 MGAWLVACGTKTIEVWKTEDGCYEHYTTLAPSRSGVQPGDQILS GLICNMPTYLNKILVARLDGTTEIWNISTGRCVYAIAPPKVTSGAVTALQPTPALSVV AVAYADGSLVIHDIEHDEAILSFHQSSFPITSITFRTDCLGAGEDGREDGVMATASVN SGDVTFWDLNSGGRVAGKLRAAHETTRNRGSGITKIEFLPNQAVLVSTGLDNALRSWI FDHTPFSPVPRILHARSGHGGPVTTLDFLPTSSDGSDAASKWLLSASQARDLWGFSLR RDGQSSELSQGNVKHKAKKRGHLHDTSSTLENLKAPPVTMIASTLNRDGGMGASSGPI WQNVKRSSAEESSMTGWESVVTAHENDNIARTWFWGRKRAGRWTLKTGDSKPVSSIAM TACGTFAIVGSSGGSIDMYNLQSGMHRRKFPPKLTPAEVKQVRLRQLRSDTEMANRGH HGSVSGITVDALNQSMVSCSLDGSIRFWDFATGLQTHQLIAASYAPTALRYNAPSGLI SLACDDLCIRVLDIETKKKVREFWGCRGQIDDHCFSHDGRWIVSCAKDSVIRVFDLAT GHLIDAFKTAACTSLSFSSTGEYLATAHADSIGINIWNNITLFRHVSSRQIDEQTGII DLTDSAIFNSKPMLAIEDSIADDQLLEVESTNELEQLNHDLLTLSLVPKSRWQTLLHL DDVRERNKPIEPPKAPSKAPFFLSSALTTTSQRKTLEDDTNNAVTLAERSRITKLQNS VQTRESDFSNLLARFSTSLDPDATDVISHLSSLSPSQMDLEIRTLTVSEMVPFVNALT ARLQLKRDFELINSFMACFLRMHGDVVQEEDEEAEGGQLKAAMRRWDRAMRTEEERLG QLIGYCKGVIDFLRSSR EPUS_08819 MPPPSSKPANERRLSASVKPSRRSEENNISSVSSSSESEKGVTA EELQNLRKAPASPTFGPPTTATDKTAVTTSSVPQTASAALISPPALTPSEPALSTKPS PNNIGSANNLPTNKDGAFPPRAPRPTRSVSATRRRFSGSTATSTAGSEPESTSVHINP TTPSADAVTAKVPFIGKIGVCALDVKARSRPSRSILTRLQGQGEFEVIVFGDKVILDE DVENWPICDFLISFFSDGFPLEKAIRYVKLRKPFVVNDLSMQKVLWDRRLCLRILDKM GVPTPKRLEVNRDGGPRFESAALAQHVKEMVGVTLEGAEDGTGGGMPHTRKIDLIDDG DTILIDGRSLKKPFVEKPVNGEDHNVMVYFPRSQNGGGGRRLFRKIGNKSSEFDPNLI VPRCITEENSSYIYEQFLHVENAEDVKAYTVGPDYCHAETRKSPVVDGLVRRNLHGKE LRYITTLSPEEAAIAAKIANGFGQRICGFDLLRANGTSYVIDVNGWSFVKDNNDYYDR SAQILRDMFTKEKMKNENTVDDSSSEPRTENKPRHESVPPAAHKSALKELLNSPSMSK LSHHLHRPHSNKHAQGTISPDVSGTNTPMSSHPDIEQRQTTKSMTKTADQLEMLPPPV VTTADGNSGARSTPVQENRDVAVPLPNSKHSWKLKGLVAVIRHADRTPKQKFKFTFHS QPFVDLLKGHQEEVLLKGEAALASVEAAVRLAMSQMLEDYDKLRLLRNALARKGGQAG TKVQIKPMFRKRKSEDFVQSPKQATTPSFPAQAAISEPERLKVADATHGNQSASSELD RTPTRSNSISNVTFSRFSAAEEDLILDKLQLVIKWGGEPTHSARYQAQDLGQSMRDDL KLMNRETLDDVRVFTSSERRVSTSAAIWAASFLEQQSLPDGSITVRKDLLDDSNAAKD VMDKVKKKLKLLLREGNSAPEQFAWPKNTPEPSVVMGKVVELMKFHRRVMRHNFKRLD GSAVASLAAINGNGDVKESRPSTKVINEAQTTASIQSRWCTGENAELFKERWEKLFAE FCDSEKADPSKISELYDTCKFDALHNKSFLEWIFMPSQTLLDEIAKEEQEAIEAERIS PLSRQESSTTLGSSPDRSQSQGFAHRMGFRRRSMLGGQSTPPIPTIEDKSYFKLYSGS GDTKLKVDKRLFRLRELYRYAKILFDYIGPQEYGISDSEKLEIGLLTSLPLLREIVQD LEELQASPDAKSFIYFTKESHIYTLLNCILEGGIETKIPRATIPELDYLSQIRFELYE AKDNETAEFEYSIRISISPGCHTFDPLDVSLDSRHAIGTAPVRSLTAHQNWKTVIETL KAKFDQVKLPQSFLALNISESHAKEMEKGKKEGVENASPSSDA EPUS_08820 MAPQVLYMGILRNDPQPALELAVEKDLSSFSRFTRQNYGEFMTM FAKTVAERTKPGIRQDVEEKQYTFHAYASSQGICGIIISDPTYPKMVAHGLLSKLVDE FTSAHPRSQYTGTNVGQLDYPQLKEYIVKYQNPEEADSIMKIQQELDATKVVLHKTIQ SVLEREEKLDTLIEKSNELSGQSKMFYTQAKKQNSCCIVM EPUS_08821 MAGGRLRLSFWSMLALVLALPWGLFATAHEVMPSDNPADYPSQY RYIMNGSELSVLGIGSIGLIPLSASLWSLSPEDFSSTIVSGNLQNVNSSTATGLSAFT IPYISCDDPDVMEVISDAFKSTDVRNVLAAVLYSETHTHCRITDTLAVAEWLNLLTVG DMEQARQVAALELNNDSIGVVQIAADLSSLPPGTRLDPPRRGSPIPMIILYALTSMIT VLLLLVIIGGAIKARRHPERYGPRARFMGRPRQSRAKGIAMAMLETLPIVRFGDPDPK QSKSVGTVEDVEMSPTTKETAAQIKDSEPEQRGAEDEPGTSKATAEPTRPRTPLATSD EGSADLTTNKPAPPNPTAVGAAAPADESLETAEDPLSCSICTEDFTLGEALRVLPCNH KFHPLCVDPWLLNVSGTCPLCRIDLRPPQEITEENSQDPSIPTNINPVYADTAAAQQR PRSTLGGIMRGTRALDLQTIIEASREERIWILRRWREERRPQWQQQADHDVNGAENTD ADVNAAVHRRSRVLSQRLRDRFSVRSSQDPLPPRSRNDGSEATERRPSTWYASEGNAR AETSTTSADSTPQPPPPPNDAAAVDERARTEDGERTLASW EPUS_08822 MAPTRMNVLVYSDIGSTVDSVRHCLYTLRELLSPTYAVIPVSGD QIIKEPWPSSCAAVVFPGGADKGYCRTLNGEGNRRIRRFVEAGGIYIGFCAGGYYGSG KCEFEVGNRLLEVIGDRELAFFPGIDRGGAFPGFVYNSEKGARAAELQVNKTALSSGA VPNVFRSYYNGGGVFVDAAKHRNKGVEILASYTEDLAVDAGEGAAAAVYCKVGAGAAL LTGPHPEFVFCGKPIDDWSLMKPRFAAAKMEKKEDVPGFQHIIDVLAGDEKHRTDFMK ACLTKLGLSVTEEQNVPSLSHIHLSSLQASKTSELLRSLKEIVTSEEGEEYIEDDNDK FHIIKRSVWSLGSLYQALPSLLKDEKTDHDTGSGSDKDGIADYNTITKEVLVHELDHP TIEDTPYFDHESYYNNVSLFNTATPGAQSSFGHHLLYGEVLTSTNTLLEKNTQLLRRL PTGFTAVATSQLAGRGRGSNVWVSPPGSLMFSIVIRHPMSLMQQAPVVFVQYLAALAI IEGVKSCDKDLYKDIPIRLKWPNDIYALNPARAASTSFSITDTANESIKSTINQDQYI KIGGILVNSHYNAQDYIAVCGIGLNLSNNAPTTSLNALLPFLPTQTTQTPPPPLAPEK LLASILTSFDRLYTRFLRTGFDDHFLDMYYAHWLHQDQTITLEAEEGQPRARIRGLSQ DYGLLVAEEVEEVVGRAGEWRGTGRLWKLQSDSNSFDFFRGLVRRKL EPUS_08823 MASSPRAFIRAITQSSRSHRTHASHCSSSAQRSSTLPTSIIAYD ASRLLYSLMPHRCISTSSRHFQAQPATVPRPNSASSLPSATPAKSALPPQPGSANLKA GLSDQPKKQLDESSSQIDWTTSFHGLSDAPFSKEAADVLLKPLNPNDVEIKPDGIIYL PEIKYRRILNQAFGPGGWGLAPRGESTVTAKAVTREYALLVHGRLVSIARGEQDYFSP EGIPTAAEGCKSNALMRCCKDLGVASELWDPRFIRKFKAEHTREVWVEHVVSKKKNRI WTRKGDEVSYPFKESKGFGV EPUS_08824 MSELSTKEDHHSLETVPERELTLPLQPTRRDAAPVVFKDSFGPM GKDGQRASVAVSNSSNGSHRPTEPLRRPSLIQFNTAPAEDTIRRESVAAGKLQSMEAR KMSVGERRTSEGGRRMSSPPPKSTYQRGVSFDTFDNRDATDFSLTLNYKHRNHQSTRR SRTFLCGTDQNDYSEFALEWLLDELVDDGDEIVCLRVVERDSKLASDTSVQQGRYRQE AQKLMDWVILKNSQEGERAISLVLELAVGKVQDVIQRMIQIYEPAVLVVGTRGRNLGG MQGLLPGSVSKYCLQQSPIPVIVVRPSTKRLKKKKKRMADPARRNYNSILEKARGGYS LDKTARNSIIGPLPSATDQEAAAVAKAIGVPKNFEASPLSRGVSSKTDASERSASPRP VSPAPSNVVMKSPNLSPVDSPEVSDGDSDDASWTRSPKVGRRAGKARGATVDEVDPSR DPPWLAAILASDTRKV EPUS_08825 MTQNSAGSSKAEDVLQKAAVLPGGDNKARGTIIIKPPNPVDPDV VLQEGASEEEKAAWERFFAELEIWRQKRYERTVDWFRRDFPGQEPPPREDKNWARSIV MNHPTGILTGTGPPLPPGFPPGPTKEEIRERQRQEQP EPUS_08826 MATESALYFRLLPLNEAANRIVLHPRNTSFLEELASGPSFRITL GHSPKKRGTLLSFGKVDCDIYLIEQCLPDYLCHFQVHPKTCELILQDDSSTRMVRLSF GKKTSQKYSLQGHSRQRVISKNIKAIQMHIYDAFFEICFPGPGHEIARDTIVRFIERN GLKPWTRPGGRRRYALQEPQEQARIAYEKRGWLGEGSYGNVLLVVDLRTGDHLALKVF GRLNDETEEERKSRFRKEAELLKAAAHKNIARFIDAQERENGTVMELFMDVYQGSLRK LMSQSPAPSAYLGSLTEQILDALVFLAEKNIIHRDIKPENILHDKDTMFYLADFGISK EQNDSFSPVGTREYMAPEMFCALPQTSKADVFSMGLVMLEVLGLWPEGESRVLMLGGH EIWHDSIRLAASQHRPEILPMLAKHSEERFTAARCLTWFFGPKSAGNAVFQPMQMHTF TDSPTDRGDPPCPPGGEKQGFGQVDPGGYPKNNTKLQHGISQQTESGNSQFQAPARET SGQLIPAKDRKPQIHHSADPRKQDTLTRLSKPTQTAGNDRPGAAQPKRVEDSQPSPQK PRTHRPQPLVTDTEFLEQADQGPSGPGFRRSE EPUS_08827 MLPINGDCDDCVPTYLKSSPSKAIFSILPFALTFLFVAVIVHQK VYPLLSSSTNDKLNRSAFSPTSPHGEASAQSAAYEKRISKRISSVAFSATIALSAVLT ELLLCEISNSFNPTTRKISISATVTSLLCLLVLVIPLLEIYSLISRLGWRFTGGTRAS ALAAWALEVIGFTTFLAGFWFVGVLLPDAGESQSKAGANLVTACLERLGITGTSMMAL LSGFAAVSAIWQNLTTRARIVSEADINRKQAGLDATLDMLSAKKARLKQLELKVSDAP ARGFFQKAMGSIRGNADMQELRTLELEVSGLKTMSASLHNSLSILRSRKASQLRSSTA IGRATNLVSYVFSCYCVYRIGSIMINIIRRTLYSNSYSAPTDPVTNVIALFARHVYPS LNQAAWARQISFLLSGVILTASFNAVLQTFHLFARFLPGVLQAARSNIPLLISQIAGL YVISSALLLRNMMPREVGGVISEALGTGVLQPAWTEKWFDGWFIGSVVVTGVGIWLSH KVKGDGVWDDDTWDTDVEMGKRL EPUS_08828 MTNITPVVSFLLTTEHAAAPIQEHVHSPDRLDTFLQEAYRINSH ISSLLVYLRQIRQPYLSTSTAPPPRKHRSTPDDSRAQSPPIHLTDAQRTEIDTQTSTL LHELSSNISSLTAAENLRNSTETALLERRFGSKRGGGGRNNVLWRWAAGGEDNADDGD ESAGKGHEQLEAEGRATSIKTFREGVLWYLGWRLQGAVETQRGMVEVRAAREREKEKS VLWKMKTDASAAAGSTVESSGGGMGGGGGQIPRRTATATQNSMNGHLDYKMDDNYNPT LDDSITNRDRTSEEMEMDNLPPNLQQLFESENSTLLAHYNTTLSKIAQAEKSLLEISS LQSTLLTHLSTQGEMIEQLVQDAQGTGEDVRRGNRELKRAGERWGKGLARGVFWVTVG LCGFLVGWDLVF EPUS_08829 MSDSVAALRKGVGDDLRDLAEQHYKHESVFLPVPQPPVYTSPSP SPASILEQEDRDRLQSAAGKVSRYASIGSLLGLGLGVALAFRIRKNRLAYFTAFRAIE RPEAVVFPGGRTEKIPDITPLLKPTTPGDIVTYTFFSIAGIFLGGETGLLVGSAAASR TITSDPASRRRIETAFRKFKADALRRQADELDGGEGSLFS EPUS_08830 MSPSQSLTSSTTTSRLNQINYHTMGSAGSPTMFTADNVPRAAED PLFGLMAAYKKDTFDKKVDLGIGAYRDDNAKPWVLPVVKKADDLLRKDPDLNHEYLPI AGLADFTTASQKLVLGADSPAIREKRVVSFQTVSGTGAVHLGGAFLSKFLPQPTPAIY MSSPTWANHNQIFTNVRLPIKSYPYFSSETKMLDFEGMISALSSATKGSIILLHACAH NPTGVDPTQDQWKKIAEVVKAGSHFPFFDCAYQGFASGDLAKDAWAVRYFVEQGFELC VAQSYAKNFGLYGERAGAFHFVTAPGSDAQDTVSRIASQLAILQRSEISNPPAYGARI ASMILNDENLFKEWERDLKTMSGRIIEMRKAFRDKLEEFQTPGTWNHITSQIGMFSFT GLNEKQVGILKDKWHIYMTKNGRISMAGLNTHNVTYVAESVSATVKETQ EPUS_08831 MSQKRFSRQGFQQLQNTPASNMPNPNDVTINIPMTSVSNQSATG ARKGDANVTAATYSSTNDSPNQTPNEKSGLFHRTDTGLGRRRKLEQKGLPQKDEDDGT ITSMGKIYNKILNFSIVTRYFLYVLPLALAIAIPIIIGATVARKSTVAGVQITWFFTW IEIVWLGIWIAKLFAQSLPWVFQFLCGIVSSGTRKYALILKALEIPISLVGWAAICVS TFTPLMTLNPYARKIGDTQTKNWQATVRNILFAALFSTLVFLVEKLLVQLISISYHRK QFDSKIKASKRNIHLLSCLYDASRALFPAYCPEFAAEDYLINDSIDVSSKVGNGSGSA TPMRLIQNVGANVGRVGDKITAAFGNVAQEITGKQVFNPTSAHSIVVEALEKTSSSEA LARRLWMSFVMEGREALFRDDIVDVLGAERTAEAEECFACLDRDGNGDISLDEMILTV CEFGRERHSIASSMHDVDQAIHVLDNLLTVVASIIIVFVFVAFLNKNFTTTLATAGTA LLSLSFVFAVTCQEVLGSCIFLFVKHPFDVGDRVDIGQSQLVVERISLLFTVFRKVKD HKTTQVPNIVLNTNWIDNISRSKAMREQVLLYINFDTTLEDIQLLKNEIAAFVLDKEN NRDFQPDIDIEVTGIAEMNKLELCIEIRHKSNWSNEAVRASRRSKFMCALVLALRKIP IYGPGAGDAVLGDIGKPTYSVTISDEQAAANKKEFSDEKDKKRMIPKNVEEDNDDPPK SPDTAGNTTTGKSSSVDYLGGIVAPRGVSTQSTSTAAKAEASILETLNARPAGLDPAH DDTNNYYKANEELALAKTESRRSQDIEEVRGLLRKESNRGRRKAGSPTGASMQSPATI HEVVTPPPRSASRPGTAAGGQDFHEYTYPVAPQQPMATTALPQWEERHSTYPAPPPSQ QRARAGSGVNRRPVGGGSGNPWLGQGQR EPUS_08832 MDQEGFRKAAHAVVEHIIEYNSTLPNRPVLPKIQPGYLRDLIPS NAPEQPEPWPLIQADVESQIIPGLTHWQSPNFMAFFPALVTYPSLLGEMYSAAFTAPA FNWLCSPACTELETIVMDWVAKALALPDCFLSTSSGGGGGVIHGSASEALVTTMVAAR ERYLRVRADAEGLRNGTMERDDRIAFLRGRLVALSSDQAHSSTQKGALITGTRYRSIS TSLSEDLSLTAPRLEEALQQCHADGLEPYYLTLTLGTTSTCAVDDFASIATLRSQYPN LWIHIDAAYAGAALILQVYQAQYSQDIGRVSDSFNFNMHKWLLVNFDASCLFVQNRNH LTRALSINPSYLQNSFTESGLVTDYRDWQIPLGRRFRALKIWFVMRSYGVEGLRTHVE NSLRVGETFTAMVVERRDLFEIIATPRFALTCLRLRPDVAAAARMKQRQVNEKIYMSG DTELPHPRSDRIASLGASDTGEGQMLKVDAQSNLPGHDGAEQASETLANNLTKDIADL INSRGEIFITPTTTARKTLIRVVSGNPAASKEHAKKAFDIIVRTTEEVLDKCRQDEDL RN EPUS_08833 MGNDGGSIPTRRELVKETARNLTTTELKETQKEHLAHRWSWCPL SHRPLRRPVVSDCAGLLYNKDAVLQYLLPDEASTLNKEDCDKMLEGRVKSLKDVIEVH FEVDIDQFMDKERWICPVTSKELGPAVKAVYLVPCGHAFSHEAIKEMKTEHCLQCDHL YESNNIITLFPATEAEKTGLRERIEDLANKGLSHSLKKASGSSKKRKANKIAREEQVA ADSTPQITDIAKSSASRSQTSTPLPCPSTPLSGTSTPKLPSGIKNATTANLTAKVLEE ETERKKRRLVSGENETLKSLFTKKDDKKKLGDGNFMTRGFSIPTNARYD EPUS_08834 MKVLLTGGSGFIAAHILDQLLEHGHDVVTTVRSEEKGRKILENH KGVPQRKLSYVIVKDIAEEGAFDEVVVSDPPFDAVIHTASPYHFNITDPKKDLLDPAI IGTTEILKAIKASAPNVKRVAITSSFAAITNPKNHPRIYSEEDWNPVTMEEALEDPVS SYRASKTFAERAAWDFVRNEKPNFELATLCPPLVFGPVIHYLNSLQAINTSNARIRDS MQGKMIDELAPTGSFIWVDVRDLAIAHVKAIEIPEAAGKRFFVTAGHTCNRDIAECIR KNFPESRSQLPPESTEGDIQGEVYKYDNSRSRKILGLEYRSLEECVKDTVKSLHAVGA EPUS_09507 MALHHSAAGIKNVTAAPTTSSNTVVDWIETKSQVVTALPSKEIA FSSQEELFATVFYKANFALLCQICQTSNLHCHLSTSLKRDLGYVRDSMCLWGDALGNG RLEACLSPQDELHKSLISLLDRIGKTLITVIEQSISIWEVKKAKWSLVESLQELLSKA TSILSVDEPSSSICESDSDSASETDDDESQDTVVADIRSLQVYSKLLMDLCPTLEQAF NTRQHGAGEKEPASSFKSFEVTESALPWVSQVYEKFRTADNGLLKRLGEANWQRCVRI RALKSDSATAQESFEEPKTIFKPSTVHTFRDSALGASTPAQSEVAGSVASHTSFMSSV EGEGGNHHAVPRTPQQISRNEPFTCPYCGTLLKTLRNRIDWKMHVFSDLQAYICTFND CHERLKTFSTRTLWSRHELEAHFSDKSFRCRDCHESSVTFTDQESFLDHLSRVHGAEA LTHVQALSMAQAAAQSVPRSFTDQTCPLCAQTDWKSQREYFTHLGKHLEQISLTALPP EEDESEDDDDSVQGDEENSTFKCICGFDNDDGYTIWDKRCDTWQHIACYYGNDSQRPE EHLCVDCSPRNLDTAGASQRQKESRRKSAPYTAPHIGADWSTFQHCLDQASREQDRIG ARLEAENKWFLDESKRQQVNGQNLLNDAQQHSKNDQYNHTYGPPYSPSQELGPMQRKH REGRKGPSQDALNDEASETSKCPYCEKTFSGVVCDQKSNLKRHIGHKHHHLLDDAPNY KLPSTKEHKCPYCGTGFTGHHNVKSHLLTHSQEKPYVCSTCQSSFRRLHDLKRHTKLH TGERPHVCIRCRRKFARGDALAHHQNGPGGCAGRRSSPPVDGNSPRSDTSLLTELKSY AKQTGEHGRG EPUS_09343 MASTSTGLVKPIESSKPKEPNISDQPDMVDKSSKSSMPDKPNKD SAVTECPEVTTNTEDESKQGLKKKDSSKPITMGDKSVETKVKSKSKTEPKRKWYGKFG KKRTVALCWSVGKWAQDQLRKETPKPMFRRVEILSEGDVMIPKPGSDATDSDGKPVMI RRPLIPVHLRQMIDRLHRRYAFSKEGAGFGAAPHVLTTRSVDDKFPESDDAALLADEV IDEYLDFTGRSRTLIHLSKHTRATLPAGFEPSFNRHYTRAQVLHEGVWYPAEESSEAN ITVFQASRQDETLSANATLELNFPMSDEQERMRGYKNLKVSKVYKERKTSAKRWIEVE FDTVPEGTFPSGLDFIEGHGWRDSTIALLNIMRGWRNPSHIQLHFISTLGGKEKDEES LKIVDLANEMKRTGIKRPFYPYYSAEGRALVEKSQQKPHQRVDEDHPIGKVGPLDHFR SADHAAIVLGYSTDLQNNYEQERYIGFDSSHVFRVAYRIISDKHVLGFCQADFKGTAL DLELLKCMPTTDSFEIQFKVQTSNIDRTYRATGITVPAIYPRGFTFAFLCLRIPEKVA EYSSVGSDGPLKFWPTTKVMVNTSSRVAVTKIASMYKLSREPVYKHLIPVLNMNPEKW EMIDPTACNTGLNDFDRKYLMNRILSQGEINGRPFTTQQQRKISSATQLGGGVMLIGG PGGSGKTATQIALMKYYSLCGLKSIAVCPTNISTSHLRKEHDKAFTFIAAKDPDVRAM QVAPPNKEQAHFNCAGMPKTPHVLQDLELHGLDISAVATEEGDINSLFDAIKDRRRTR SFKHPEHGLSSRIIEAVRSKPRPFELKGKYPPAKRMLPHIDKDLREELWGPNPIVTDG FASLNQMEVKKYKFLYKELSHEITARANVVFSTMDNVTCSPISSSFGRGARGVMLFLD ECTMADEPAVWSLYTSIFSPERIRDEFGGVHPIKGIVMSGDFRQNWPLVTGAEYNEFH PQLMTPLIARFVNSGVPYDEFKTEATESKFRQLLALEKPMSDRQFNMLRKYLGGKKDL PLPSRDPSGRTDIAARKIWLDWSMHAWLLDVPASKCYVGPNHSQVNFANVAATMLFLE DMFIKTADGKPFIPFNDVVIVSPYAEQIIEYQKEKVNLARRLGCNSEDLPEAITGDAF HSRDARMVIFDIVVSSTKTKSDLGFLESDRRMTVLLQI EPUS_09344 MELRRSQRQRKPKTIWEEKGAPSAARDPKITNRTDRTEQKTALK PVASGPLLKTLEIDAIRLPDLPAYEPPLKLRFERSNSLLQGLSQLNTFQKLLTPSIID RIVESTNSYAQNARNTDFEEEEDPESFFRPWKPVNIIDIWRYIGWSPPPPPPPPPPSI AYFDVERVPDTPPRGSPTGAPDAAALNAATSTSVRQSFLTPDKEEEKEEEEAIDEAFI LPPSTAPAAMMQAMTQSRAGRKRAPTMKALEAEKVPKRGTGQGRGRGKGRAGRGAQG EPUS_09345 MGDYGSENLACGSKEASPSLTIHAPEAHVSPLISPDVESYTHTT FLDEQNGILNQSSHYPRDQADKARAYLRALWSDGPRSSTKPVEFIIPYLSWSALRDDP EFTLQKLKISYDSLKSVFTVFPMESMRHGHILGWVNQLATFAQQNFDTSISRRFKTIQ NIGVRDFKGKWDGSFRKPDFVIAWRKDNGGIDIHTIVEVGVSQSQNQFREVMEMYFEG LPQISRVILIDIIEAPQYVQPKNFDIDELKNLNSTEFQVASNQGPVWYKGIQWVGHNT ISWEVWERDPKMGYPVQIFKTTIIPNDSGFQLPFFEIPTWIADNIEAVTVKSADIDHL WSNDLRDAVIEEAKWRMGGYVSERSKQADETANIARQEEEAEKKKQKANEERAKRARH RRGDQDN EPUS_09241 MPIRFKDELDVSGLKTNPYNLSRRDRIEADKILDPLVEEGCVEP VPLGHPLAASSPAFIVWNKGKPRLVVDLRKVLSALEASGVSLSIKKCHFGYPSIQLLG HHVSRLGYSTLEEKVDAIRKKAFPKTLKQLETGIGFFGYYRKYVGHYSSIAEPLQRLK TIGFKGALVKGRQRDQYAAKTTLTDGTAIKNLEEEDREKLIAEAKAAWEKLKEELTAA PTLAYPDFSLPFKLYMDGSYEHGFGAAVHQVQDGALQKVPFYFDQGDFEVVTDYSPIL GLCKEAKGRRSQRLDKWALFLSKFHPRIKITHRAGKKHANADGLSRLHDEKDSRALSV TVIGLQEPFLDQIRDGLNTDKAFTTVMQKVRAMKKAAEKADEDTTDREWAYHSFVWRA DGLLYHIRAGHPDRLCIPVNVERTLLESAHDHNAHLGLKKAYDKGFDAFATVTCKYSK WIHILPGKETWTAGEWAHAFFDQVVRYHTLPDAIVSDRDAKFTSSFWRHLMSKCKVAG HMTAAYHPAADGQSERTNQSVEIALRCLLVGEYEERWVDIIAEVERCLNCAYNASIGM SAFEALYGYPPRIDFARGSEHNEAQEFVEARELIRKDLEDAVQLANARMAFFFDEKHE PPHFQGKVFISSKLSTIRMGPYPIKRRVGQLAYELELPSHTRIHPVISCVHLEPFHED AHERRMAEPVPIIVDGEAEWVVEKLLRAKGKGEQQRILGDARTTRIGFGTLPKVVYKG VVYYVVLGKYYPLFGNEGGTKPDGFLSINAGVKEARNKKGSPFSFGSIRVPKKYRDVR GVVYLNRTSADFQEYELRGLRFSPAANDESKIKQPKPTPTKTPPKGKTRAAATAIPGS SKSKTTAAPGSSKAKPFFGPRTPNKAAPSPTKTRPSAGKDRKAAAASKTATRPSPSKR GASPDKRQDQKRQRTDTGYVNAIQRGREQAEDALRQAENDWQSGRTKVEGVEMDMRIK TAQAMVAFWDARARNRVLRVARHSIDQEIRGNLALNPAAERSRVLQRTAERYEQLDEL VADMTDSEAEDSDDAADDASNVSADEAAADEEGPDSEWEEPGSKSPPEVPMHSDDGNG KGDDEAGPSGVNEATSDLDDEPDMESLEESSPDSSPSKTALTSSKARRSSHLRGSGRN RQPVKTDKKGKGKARA EPUS_09242 MSREDTPEEVAQPDEARTEKPPSGMSFARFDIATTLHYPPDAST PLSFCLDSGSSISLIGRACFEKYFQDSTIRLAPILIPVAGVAGVTRTTEYINIEVRLK SEKGKFIRIGGEFHIVPSLSCSILLANDILHTYQGILDIGAEKATFANTHVIPISVFK KASALPNMPPFPVKKVARNPPRRRKVAVYAAGSTVIEAGQGINISVKHRPLPAGKSYL FMPYPIEDMATGRLASGSKAILSDDPEAVPFANFGESAIRIHPKRQLGCLEELKDSHL GAQVIRDTLMTRVFIGETDLGDNQPFVIAKDKDEDFDVVLADISEH EPUS_09243 MHASLDEWERQLQIEFLPNQGEAATRARQLRFKFENAEELSLAS YLRRKAQLLRDAGVADESSIKFEVWQGLDPEIKSITPLRNNETLAQFVSRVRENDSAA SFTWKTKNRGKDRSRSDKFTTKAPFHTQQRYERVEPRSSRYEPEYKREDRRPIEPTRD SRRTTRVEDPKQEKKAPVNMKREPRRPCRHCNGNHWDNECPKAKANLARRQEFEDSDT DMDEAVDAETDDEADFMAMRDLGSTDSESEN EPUS_09244 MEGASKDNSWIDNNITTGRQLRRSPGPNRGSRDTSSRTQAGPST SAATQDSADQPSGVLKAKKPESLLVNHRENLPVPTPWVVADLQGRIGARDTPATDPTF YNKTQFEIECALILHFCKNQKEYQKKGHKFVKLITKDDFYRARAALHTKTEVSFNKLL KKSELYQEGGELFEYLTHPESFPAWLRRFRAGLSASLDFGEPPTSDGEDEYQDPEDVS LTSPTPRPVKEKGKEKASMRGNQMQSPLAGVVQSATDIPGFQTFADLGAGPREAARNA GLSSRTTRKAPELSTRRQTKAQENHPIPPPQAREVSPEPPGRRRRREMATEATQVTEY LEQQSRKIEALERKILEMESSRNSRAPPSRHNPDPITTQDARYYDPEPRIPQDA EPUS_03965 MATAPWTAHPHCPAHLRGEVEARVRAFPPAFLNEPSNGEVFDNV ELCRERLQGFAFAQGFAITQQSGSMKQARQIFYFHCIHHGNSTRNYRKLEEHVERDEE DNITSRRKQEATAINARNCLYLIYLAFKQIGKRGSREFGLILGVKNNSHSHSIAVKAV NPLVYTEHKKALPGYQIALDLGKSLRSAHISYSAARRVLEQAGFPLDRKSYYNLRHRA LSAEKDEFAGLVVALEDAGFVFECRMEEEIDQQSGETAELPLSPPRNTTIQNPFLSPI RTQITGLDLEVIEARDNLTGYARQRYENAATQAQRGLIEFAQELGNDDLHVRMPDTVK RSSWGRQFKTHDRVNKRLMTGPEAAERDANNKEQAAAREARQEASIALAASLSGPIPL AGPSPPPPPPPSTAPIDVESVLDTPPRGSAAGALAIPVTTTTTTVDRSFPTPGNEEEE EKEEEEEEAIDEAFIPPLQQRQQR EPUS_03966 MPPTTRSKATKISNSTSAPEEFIHKSSTAGARRAKETSQLLSSP KASARQLPVPRSRRAKETSQLLSSPRAAAQQLPVPRSRKAKEPSHLASALSEVSTRVL PDNAIVHWPCRTCSCPQGVFTPPIDVCMFCEHDMDDHDLSTDNIAWDPNVCHVSQREE LVAAALRLVLEKGVVVIRATPQVGKTTLLVLLGRHILYNHHSLEPIWMQWKRKEDRNG LNYIEYLNQEAKEWHRINARHRPSHPNAKKIYLIDEAQNSYSDVEFWTRVLKNRYTRS RSLFVLVCVYGSTTDSLTRFIGRDQNIQSEAIKIDQSQRIDLRPSVKGGLCMQFTWKE TEDVVQKWAYDNKFKLMGNVGQYMHTATSGHPGMLGMLLMSFDSCFSQLDSKVRLSRT WTPELCHSIITNQVNYLDVLERCGRGVWTTDAESFCLNALWREEYADIKYVDVVKAMS KAAMQRDGYVHSQTGFDAFAFCHKMGFLHTEPLAGTDMEIKYVFASPVHRRVAHRRLL PGPDPDAAADGRTLLQVCLNAIEGISPSAIQNRSNDRWSIPEAAFQDEMYRCLFRELH HLPILSEYSHSSSGKVDFYVFNKKWGIEILQSGSKAQIMEHAARFGPGGKYSTWNILE DYIVLNFCPKTKLRNLEVQDTQLKSHIMQIVIDAHARIAEVYTYDTQLKASFTLGEGR YGSGTGAYNLGMPNEESNGSTADRGHAFGTLDMALLLRGERDSRRQVLQMSMEQILQM PEEERAIILSYFTTG EPUS_03967 MSSHRLFRFPKPAWLNSATTRTAGVYLAGALFSLGAFFFIDAAT YSHSNLNASLFHITFIDWIPLICSSLGMLVINSIEKTRLSADSFSYSGSGVAWKARLV LFLGFALMAGGLAGSVTVMVLKYLVRDENEGEGANFMTLWLGIANVVANALVMLSSIV LWVSQNMEDDYTYNLAL EPUS_03968 MASLREARLVGRLLKLSFMIPIRPSPPQRPQWVRNSINLPSKRS IRTQPTLKAQEALAEVEEEILPPSDSSNPALSFPCLDAQEAKTAKLSNRSLDSGPEPS YTTGKHFRYHSQEPLLLDWGGVLPEFDIAYETWGVLNTDRSNAILLHTGLSASSHAHS TDLNPKPGWWEKFIGPGRPLDTNKYHVICTNVIGGCYGSTGPSSIDPSDGQRYATRFP ILTMQDMVRAQFRLVDGLGIQKLYASVGASMGGMQSLAAGTLFPDRVGKIVSISGCAR SHPYSIAMRHTQRQVLMMDPNWNRGFYYDPGRIPPHSGMKLAREIATVTYRSGPEWEN RFGRQRADPSKQPALCPDFLIETYLDHAGEKFSLEYDPNSLLYVSKAMDLFDLGSKNA VSTMQRRKENAAKLSGSGAVKDQRDLSCSLTLPDEKYEEQVQSPPLDQKVENNTLGPP QDLVDGLAGLKNHSTLVMGVASDILFPAWQQKEIAETLRSAGNQQVKHVELGEDISLF GHDTFLLDLEHIGGNLGRFLD EPUS_03969 MWTYRKSLLAAVCVFTIFYLFKSSRSSSASTSNTASFGLGSRGR SYPPSLSTKNRPSSQQTIENLASKPLRERLRYQFPYDLESKFPAYIWQTWKYSPASGE FGENLRPLEASWTEQHPGFIHEVVTDDAALHLIKYLYASVPEVTEAYASMPLPVLKAD FFRYLILLARGGIYSDIDTQALKPATDWLPKDFDRSTVGLVVGIEADATDRKDWAQWY SRRIQFCQWTIQSKPGHPALRDLVATVTEETLRMKKQGILKANKMDKSVVEFTGPAVF TDAIFSYFNNESFFDYSARGANISVIDFAGISSQKKVGDVIVLPITSFSPGVEQMGAG GIDDPMAFVKHEFSGVWKPESERMKNN EPUS_03970 MASTSFPTLTYSKSQSQPQTHPHSQSQLQPPSSLQRSSSAQRYQ TQPIPLYKGFARSASHPIGPGNTREDEKNFIAHHGERQQIVPPERVHHDPVNGKLGFS SKKLKVTDFELMKTLGTGTFARVWLARLANPKHEDKNKVFALKVLKKVDVIKLKQVEH VRNERNVLAAVAGYPLITTLVTSFSDDTSLYMLLEYTPGGEIFSYLRRARRFPFTTVQ FYAAEITLILSYLHDVVHVAYRDLKPENILLDADGHLKLVDFGFAKYLPPAPPGGNSS ENGQVGSDAHGRGVTYTLCGTPEYLAPEVIRNQGHGMAVDWWALGILVYEMLIGQPPF WDQNPMRIYEQIVAGHIRFPSSSSSFHINTASKQFILALCRTDPTQRLGFIAGGSGRV MNHPFFDGVDWDKLYYKNVRGPIIPRVEWAGDAGNFDDYPDPEEGEESEGGRGPYTEE LRREYEKAFEDF EPUS_03971 MSSARHWEQDKEATVYIGNLDERVTDNLVWELMLQAGRIVNVHL PKDRVTQTHQGYGFVEFIAEEDAEYAARIMNQVRLYGKPIRVNKASADKQKTVEVGAE LFVGNLDPLVSEQVLYETFSRFGSLIAAPKVARDDNNLSKGYGFISFSNFEASDDAIA NMNGQYLMNKEISVQYAYKKDGKGERHGDPAERMLAAQARKHNVQPQAQPLPAGIFGG IPPMGAAPPPGMPPPMTVNGGPVMPNGTYNSVPPPQHQRPPPPPSAPLTAPPSGLPAR PPPSQAGYGGPQGFMPPGFTGPPQGIAPPPQGAMPPGFGPPPGFPQQVGGPPALPPGF QQPYVRR EPUS_03972 MLAKPLLVPSNDALRVLRQLAFAGSTIATVAVVTLNYNIHHRIR LAEQCLETKKQIRALSNGNREAHMARVIEAAENGQDFTIQAMREQRSRVRRFKPSLLT SSGSQSSGAHESGNSPMPQGGESSHHKRERTAQKIRRATVTMTSTAPTHVYGRPMRYR KPTRSWPDVESEKAKALDTQAPPAGKDRLYTKREDVAEKIKRATMAMTSTAPVHVYGR PPKAVSKTLPNLHDSVASWLSTAPEDDNSTDAAPGLTEPLLASTPSDTNLEQVEKTTL LGPPNTDHGLEEAAERLGSLDGGIEPKIVDEPPAGKSQTSPNVQDHQVQLESGNSSTG VLQSMFQTSWTMGTLEKESNISNAASTIDEKSSADSEKHSSLPFATNNSPHYLESNEL VTCFESSPAAQALSHVETLSGGLQCFDEAKNNENSPVMDSAITEGGFVNSRPLSEKPS FPRQPDHDIQPEQPPKINTTHPPDLMSWPHFQSLDGSNETMEEVPRVDQQVVGLGMGD TLSEQDQIRYEWTPFPHIPQAPETITSLPELKRDVVSHEGAKAESKEAAVEPHEVSQM WTPFPRSHQGPERRTGLPNLEQDDMSVYQDRWPESNLSEDLVDGLTEGVATIPNLTGT GKVRLALVIHEVFSWQGMMDGQKAWQAAVNSRLQHNDFATVDFLYAEFVEQGMMSISP RRPIVHSLLQWHFERSKYSERAAEILFPDRCSDSTDLAESDSCHPRMFLSISKENRRD SLFAIYFLRSLWEIKADSDWLLLNFRRVIVAAKLRGVKLVEGIFAVVIRYLASVGDMP TAQAVYDEMVFYHQLKATFHSRTLLIRGYARICDWYRVEREIESLHGQGLSRTRPHGY ALMINAVLQEYAARASIEQFQDLLIKSISYWGLVPTSAISVTTIQAYLSHQRYDLIRE WMETLQVLFPQIEAETSSFQWSLGYSWLRTGATCEVIEKTIKAVAYRNPHTRLRSFSL PMVHEALSRDLAAKLDTAKAKTEPCKQGSMFSSAEGNDFISTKPLDDYLTAAFSLTAS TVSQNLQPSPEVIELHRQATAVQRLSTFLTSTPSSEEADQFSFPDPGSGTTTEFDTPK SPVPTTTSLSHLQDSIPRILTAEFLPGTAVIITAVLRFYHARAMERLTTDHALLLWVC DKLLHADRAFSATDVIQEVYGDAIVRRLAGLDEWGSRGAVDVELPGQGAIGFGIQFYE FWMRLVWVTRSLLQFKRVTAEVLRLSRPGREFSYRMDDGTEKSVLTGLRITSSFLFLT RSIASRGLKKDSSMWRHEDEDVPVREVIWLIKELEKRREQQIGRMEAGMWMRTKWRHF QKGWNE EPUS_03973 MSYYESQGWQAPARQTSWEQPPPPSRSGASSTSQSRDDGSAFTS QFEEVDRAVDNLVKSGKLFAGAPRRDALPPMTGGRPYADHGVHGNMSQRHAMDFDPSR SHSASNLQNFYASQRYQGRQSEAEQVMQAKRRMAAQRERELRNYHQEQQYNRSVLAEM SSNKSDRSMSPSAMSEEGRRELLARQHRALYGNDANNFLPQGGFGEEGNAGRDSSSNI PTTTVGGMRGNSPRTADPFGMGQVTSQQASIDKANPANSISHPDVGRAETATSPTTGT APAQFGNIDTSVQQSNNTSTSPAGGESPSRGVSKSTTAPIGSGMGAIGTRLSQQQPPP SQSINKRSTTPLTSPMNNFGFGSSEPASNNNTNERSGSSNSNPAAAQKEASSGMGAWG TGSGVWGSNKIGTTSVWG EPUS_03974 MVGTKSAAAPACISPPPTLKSQQSTSLPSTPNQRPRDLTSRSRS PSGRRNASNPSPRSHHSDSLPLPPRRPNGGCKYETGMANAKRRVPYSLGPDKLDAAVE VPKASLSTAEDERLTNDMRDLYNQLLPSEESEARRKRLVEKLEMILRRQWPEYAIKVN VFGSTGNKLGTTESDVDICITTDCKELERVCVLAEFLANEGMQRVVCVSVAKVPIVKI WDPDLRLACDMNVNNPVALENTEMIRTYVDIDERVRPLAMIIKHWTKRRVLNEAALGG TLSSYTWICMIINFLQTRDPPVLPALQQGPFLQRKFMCGFNVAFDKDVTLYRGYGSRN KDSLGVLLFQFFRYYGHEVDFETSVMSVRTGTVITKVEKNWHFLQDNRLCVEEPFNTS RNLGNTADDTSVRGIHLELRRAFEMVSEANLAKCNEQYEPPANLVDALRPLKSHNASV NRPILTPPVPTFGRVARGGGRGGRQIHQLHRNFNPARRASSASTRGHAYSQPLSPGSN LTQTELSLQAQQEHILHDRLCQQYHFLQAQEKELRAQLHLQAVLQGRMMPTSPYPHFM LPFGVYAGGQEDLMGARAGNINQPPLSAPIRPHGFSMTSSSGGRKPGYGTTTNPPSPL LHTIVPDSRRNHRRSSMIDGSSARSLRAHSQPPRPVPSPLLLPNAMDKHYDYASGVPY HPGRDPVSTPGSARGFADVIADAQGRLYHNPSTGRRGSEYIGYYLGPSPPLPTYSRNV VGSPLTYTSGLGIQSSGMSPQMLAQLSAHPLGMPPSSTELSRSSSGEVGPTNPDPTKA EPLAYLPPQHASSRPRTRSRSSSGPVVVNGSVNREADAPGSLNTSASDDVAVETPTSS DDCSQGFPESHNEDTQKISDIDVLLARTEPAVKEKVARETRQRVNGHTEAPLSDDQLM LPIASSSSSSSSLENKPPVFNGNTHAFEIDAVPKASGDSTSEKEVRAGAFQLSPVKEV RTPSPTKTRQLLVLGDPPQGAAKARTKGKAKQKKSSLSSVDQSGDKPEALASLPNGFT QDLKPASIHGSVTGWQTQKRKSKHKKGSRSETDLKGVNIVGGDFLPLDESLRKGG EPUS_03975 MGAEEVVATCSGANVEMVGGLGVDEVIDYRAMAPLPDHLARTYS DRPFDVILDTVGTQALYERSPSYLKPNGVYINVGALEGFMWSLWCWAKNTLWPTILGG TPRRYIMFSTVPNGKSAEKLADMVETGKVRVVVDSVFGMDEVLEAYDRLASKRAKGKI VIKIQDD EPUS_03976 MSGQGDRPQPPSRDKRMPKHTPSAHAEPSTSAARVEAARTTSAP LPINLNPANPRPSVSPEIAVILGPCEGVLPASRGVGLKRPMDLYRFWLLRDIRNNTPK VKLARERLARADSNTERLMGAYYINQYRKRAMFMLNESTNKITRLQEEFEASYSPLMR QVAGQQGVYYAAEIQQTFQNEPDPSKRLQILQARLNALDVDTPDQSRRDLFCQYQLVV DEERRELAGTGPSRAPNPSTEAGPAEAAADRASGPADPGPSDPGPSDPSRKRRTPEKP TLGRAVEGRTAQANLSGTGATPTTPASTAKMRHLGNTITTVATTTLTPPQPSAAEAKS QPKTDVPLNVWASRTRKKD EPUS_03977 MHLLLLWAVAGLLRSTLACTAPEAVDVAIVGGGLAGLSAAVQLA AANKSVMVIEARDRVGGRVLNVELPNGGIIEAGAEFIGPTQDRVISLAAELGLGTFAT YNTGENVLWHNGTRLTYSTAGPDVAPPIDTLSLIQLATALAELNSMALEINASAPWTH PRAVEWDSMTFGSWLDNATPLQSARFLFDVATTSIFAAEPRDLSLLYTLAYIAAAGNE TTPGTFERLTATAGGAQDRRVTGGTQLLAVRLAERLGMEHISLSTPVRHIKRIDEGYK VTADGLALRAKQVVIAMSPPLAARIDYEPILPAIRDQLTQRLPMGSMGKAVALYDTPF WRDDGLNGQAASDTGIVRSTFDSSPEDGSYGAMLGFIAADQMRKFDAKSEDEIKAEVV KDFVNYFGPKAASPTSWVIQRWDNEKFSRGGPVAFAPPGVLTQHGPALQQGFEGIHFA GTESAPYWIGYMDGAIRSGERVAKEVLETS EPUS_03978 MSGYSGYVTSLFSSNSSNQQPAQNQNQYSQPSYQSHRQPHNQYN TYNQPQSTYSNSSLPAQNYPTSAQPTTTASSTSSWTSSLSSRLANLRKGLTAFSSADE KDDPDSEDSSHISTVLRAYYIEKGRPFPPWLPPDPKHPSPQPVIQQPQQSNYGGYAGY GASQNAYGQPTQRGSSGASSGGGGGLTDLFGTSSTSPTPPQSASQSLRNRPGQRPVPQ SLGSHAASPPPEQQQALNTRLLPSQRAGSYQQQQQQQQQQQQQGSIGSAGGVGSRDRL RARLQGGGSGRNSPVPLVSPSGGGSYSSQGSQGSGSGSYGQSAYGGTGAGGAPYISSS QPWSSGGNDGAFGGGYGGGGGGAGGYGNDPAPTPAPVRRQPPGGMGLPSGPRLKPGGP R EPUS_03979 MAEELSALAKSLETSNDPTVIRNTKAAVVMKAKNLIGQVQDPMD VVMDHITKVFVASAARALMEIERRPYLHRNPSLSERQQTRLDLLEVLNSEPRRVQAFA RGLSLFDAIYPVVGIYPFEDHHQAGNSPDRTLAVGIGGDRGLAMLGPRKGCPTLQGKM VLQDWKEVLDAITAEDLPGVERMEHDFFSPQPVKKAPKSTTSAASSTIG EPUS_03980 MSSARSIIIVGVGPFISTSLARRLVVEGWNIALLSRSHDSLRAL AEELNKQKAENAKILVKAVDAGDAGALLQALEASKKELGSVDVVCYNAARVGMDDLMT VKHEVLEADFKVSAVGTLMTGQWFAENANTSKVSDGEYPMLLVTGGLLHQHPLPSMSS LSAVKSASQNIATNFSQVLPEKYQVQVGQPLIEQAIIPDGKGGYQTKSDPDVIVDKIF MPYFEDRMNVGQLKEWKLERVY EPUS_03981 MIDVSLTAAQRSLQGSIRLLADTYLASARKVIRASPSVPYPEGD RKQWRQLLVGFVPVYPTHPLHGKFNGLSQVTYATCVLEQNRSDGNQDCYSAIRHPATP GFTTPAGPQIRFSNLCIPSRYVLATGAAAAEIIMATFTSSTAIVGAISTGITRAAFES ALHLGRNHSAGGKVAVLEHWSPADLLIDIKSRVEASRALTWKAAAAIDQKLPGADELA YEAKTFASEAAVTSVAEAMRVVGTSAYDADEWPFARLMEDALVLPIFNGGNQGIRKRQ IQKIFSEQRVPALGCYIRACAC EPUS_03982 MSGAYHYRNPDDHDSFNELSSEDDLDLNELDPVRSDRLSSTREN LSGIQDGKARGYGQSIALSNLRSVQRGRRWARRNHREGAEDEDDLQGLLNEREDKGNN GQRGTAAGLSGLTKRGQHRDQENQGPLARLASFRQSLQLPETLRLEFNEPDGGSKASN KESREVLVGQLQVKKYPANIVSNAKYSAWSFLPRTLYNEFSFFLNIYFLLVALSQIIP YLRIGYMSTYVAPLVMVLTITIGKEAIDDIARRKRDSEANAEPYTVISLSAETVKKGK RTGIPSRAMSEGHLGLQGTWEFQKRSRDLKVGDILKLQKNQRLPADVVILKSIPNDLG IDQATSSAQLEAIPAPEQGATTTSEALQNDEIRRPEPKTIHDEQTSGETFIRTDQLDG ETDWKLRLPSPLTQPLNAVDFHRLKIKASAPDKRVNEFVGTIELNSRQEMRYDPHLSQ EDSPTSLDTNAHQTNQASMQSLPLTIDNTAWANTVIASNTTTLAMVIYTGRQTRSAMS TSPSRSKTGLLELEINNLTKILCALTLTLSIVLVALEGFEPTNKKPWYVAIMIYLILF STIIPISLRVNLDMAKSVYARFIERDQGIPGTVVRTSTIPEDLGRIEYLLSDKTGTLT RNEMELKKIHVGTVSYANEAMEEVASYVKQAFSGQGLKSNVQPSRLFTPSSAHLTQIN TSSTTRTRREIGTRVRDLILALALCHNVTPTTDEVQGKQITAYQASSPDEIAIVQYTE QVGLRLAHRDRQSIALQSTESNRVVVKVKILEIFPFTSDSKRMGVIVQFSTGLEDPVD EDEVWFYQKGADTVMSSIVAANDWLDEETANMAREGLRTLVVGRKRLSMQQYQSFTSS YKEASLSLHKREITMSEVVKTHLENELELLGVTGVEDKLQKDVKPSLELLRNAGVKIW MLTGDKVETARCVAVSAKLVSRGQQIHTISKLRTKAQAQESLDFMRNKTDSCLLIDGD SLSLMLTHFRHPFISLAVLLPAVIACRCSPTQKAELANLIRTHTKKRRQHDPSRRCGN RNSRKRRPASVAGRRLQRHAILPPDQAARLARAKQLQAVGQTGAVRHPPRPDHLGLPD HVQHRGPLRPEGPLQGLAPRRLRDRLHHGARLLARAGPRRGRAPGQAVPGAVQGAEDG PEPQLPHLLHLGRHLRLPGQHHPGPLAAAGRRGRRHAHAQRQLHRARLQRAHHGRCRR HHLASHHDRLHRRHRRPVCRQRAVPGRILRSALCGELGLGLEGQRRGRRQSRPRLGRE GHWQDVETAELSQG EPUS_03983 MVHNDSEEDATVSWHPALRPNDDQHQGPSSNPFSSSAIHSPAST SISPEIDAGPATTPDQQSRSIYDTIEESPPDVHSSLDNDPDDSSFVGPQTAVLESRPE ADPNILQQPDHAEGSIQNEPRAGQASAVESSNRAIAESLDREDGRISGLRLSVGEEKY QGVTQEERSELSSDDDDERLDSMGLISRSETQQILSQMERSSSFPALQTDSAPNNVHD GGHLPKMKAEVTMADSQADLDTNNGIQKATQRAIQNSNQTASPEGKLNGATFEGEEDL FFAPLASTNQTLAEPSNAEARFEEGLPLIDSESKPSDELHQELRPSQPFEDQIRNFSL DQSEASFFDHSTTSAVENPPAAHLDRKATSDVLKSLNFVSDQGPGAPVQSPDQASSLT SGQQHEDNQGQGKLLNGNGDVGASALWDAMLDDDDFLAGDDDELLPDSTPQSSAGSPS SFLGSLNDRTSFQEPDEVLSRYDSPSHSGSGATSNSQARQTPSGQTSNPDARYQPSST DIAHMSPTTYGNVGFSQPNLAPMTFGQTSFQGQFQRPAAPPKAESFVDQSKGGYKSPY DLPMDLSQPRKRIQMHQPPPASRAPAPPPRSSSSMTSDTAPTSSNGPLLSPLSPQNGT FERQARSPSTQHTAAPSSNNRRISNEQPTSLKTKASSSSFFEELPITAKPRPPTAQGR YTPLHSGPLPSQTFPASSSPPQGQPPTQMPPPPVPTKQSTTDSYSQYQLQRPERLDPY ANVPLQSSTAPGVSSTRYSPAPTSQTAPPPTRYSPAPLGSQIAAPPSAPSRYSPAPPS SNNVMQSQNRYASQPQQSPQLPPHSATVQNRRPSQPIPSVANAFPFQPRTSSPLAYHN KKSNPQVDVSYNISDSIPTSPPRRIPPPQHLSPQRESPPSSAVPGPAQGFAPPRRSQT QSPGRRGPVSSLIATSTDAMQRPASVHGPSSPDASANAYQSFPPVRSSIRQRGLSQHL NFISPTDGSQHDELQRWRGSPIFRFGLGGSVLSSFPKHIPRYTAGSATPMIKPMAGEV KTRSATGVLQIPEHIAKFPGPLRSKSKKKEVLAWLSDRIVAFESMSVPSFSPQLPDPV KRHDEKILLWKIVRVIVEHDGIVDGSVEVQKAMNLVLAPEAATPEDLHSTNTTTAADV ATIYKPAGAVTHTEEVDPVAVDMVRKILLRGDREKAVWTAVDNRLWAHAMLLSYTQDK SVWKQVAHEFVRQEVKLIGVNTEPLAALYEIFAGNVEESIDELVPPSARAGLQMVSKV GGAGPTKSALDGLDKWRETLSLVLNNRSPEDQKALAALGQMLASYGRIEASHICHLFA SSPMLPSVFGGADDGQASIVLLGADHRNHPGEFFRDEDTVLLTEVYEFASSVLASNSR SALMPHLQAYKLQHVKSLAEAGFKTEAQAYCDAIGASLRSTTKLSPYYHPQFLAELDE VTRCLSQAPTEPSSWISKPNMGKVSDSMWNKFTTFVAGEESDAASTGSGRDAGHEFGP FAKVSGTPTVSRSGSISDLQGNYATAAPPPIPNTIAGSRYAPNGQHSARSSSELTRGR PSLDSQRSPYLPQGTVQKSPYDPNAYLNQQSYMSSPIPPQSASPYGPLGASPSVQPSR DIPPQTPYMPTEQPAEQSSLYPPHVSEPYVPTAPLKQPEPHIQAVSSTASASAPSLGM EPAQTSAFNNYALAEAVLPAAPPQPSSNYAPPLQSYSSYEPPSTEYVPYQPDPSSDSE PENRKSKKQSLIDDTDDFPSTNSRAANTDSMGPDDDATARRKANDKAAEAAFRAAAEA DAAKDKNPATGTTNNADKSLKAKSSWFGSLFSRKEADSLDSSSSNKGSGSGGGSSEGG GQKVIRAKLGEESSFYYDKELKKWVNKKDPASMQQSAKATPPPPKGPIGRVVSETLGG TAAGPPRSGSAGSPPSIASSRLTSSNSPPNGVGAPPTAAPITGSGRESAPPSITAPAT SSGVGGLGGGPPPPAAPPMNRPASTALSTASDIDDLLGGPPNAGARKPGGTLKGKKGR TGAGRYVDVMAK EPUS_03984 MPSHNFIDVRTIVDGSPLIEYSAPGDGDERDRTLTQYVEVGPDQ KFGVQITLQPGFDFQKADYVNYGFYLDNSSGRHYHAFSKCKASHSDGVLLSKMQCLRD RFRLKDDMSGVWKHCSYVFGSLGMNESTATATLTPAQLENIGSIRVAVYRANRSKRAI PKVHEGKMPQVLDEVSEKSLKGKAIDNNIKYTNGIPTNPPSPIIYSYDRISGEAGTPY QFNLLYRSRKILQSLGCIPRSPSPPPDQSRMLERTEEMLQLAKRQARETNLELLRLRS ELAEERLRSRSASVQHSTNSALSTVASSPSSLTKCRDSSVKKEASTYQIDLTNPSTER ETSINSPGSSATFASPRSVRPTPPLFATPTGSIKPKNEEADEATPPPSTATRAKVGEA TEKANRPPSAGLETQEPSTTGRKRKRGEEGNEADNHLIELPPSPDQPRISEIIDLTDD EPUS_03985 MRFKSQMRNVSTFAKFCASLSSLGPIAWCRLTDEDMRFTVLPEK GSQSAAPHNTINLEVPIQSLQRALKSASGATSASIRLTKKNNVPMLSVTIVTTTFSNG DSVVGAGATGTDDEFGDFDFHADTDDVGFGGGLGGLPHERETIITQDVPVKVLAMQTV EGLHEPSTPEPDVHIYLPGLAQVKSISERFTRLATATKTGTTPGAGPRLELSANMHGS FKIALQTDALSISSVWTGLVNPELEPTNYPGGSQELRDHPSTRMRMLGGEHGENEEGW ARVRIDAKDWNRVLSVGRLGVRVIACFMNGTGLVLYVYHQGEDDNGEETCLTYFINSF ST EPUS_03986 MSTSNPTFLNQNTRFRPASTRSQSRSPSRSPVRKAQFTEQEIDP LLGNLSPTSTLKALSLTDVLRNNESKDTNILTHSIGETSTDQRAYGIKAALAAQKLRE WHAEVLGWKWPAKKDRRIGKGFQPRLPFSPKEQTSSGTNQKKEYLGSLPATVVAQHAE RIEQIKDGLEALDVDGLKEHVLSAHIPPKSQDGRTNGSHREVKQLSDFTAVITHTMLQ ALPYLSKLTALLSDWEIRIVVLKHIPELMRYLEKSEEAVQSALDQVCARRTSGLLTRT RFEDTKVVLGRDVRLLGARFDKLLDVLEGHEDTLPETWIDRMDKVETSFAQWTVEAER RVLYNEWMEKNKMSMVRQHKAAEESHPTAAGSLASGSAVGADGQPTTMGETTRNESPV ALKAKNLHTRDSIRTNEQPEVNEQKIPSATISEARTRATNGTSVKPSSSNGQDGKSTM VEPQSFKSPMAASGPGDQFLAHRETKERLQTPTSQQLAPSVTPPSFPVNDRSLFSPVS AKDNKKEINQQRQSPTSTDSDLVLNNRASMQKDDAPTECFPMLTDRFLQEYQPNSQRF ESGTAVPVSKILEQTYSHPPDHQSSSLISGPQVKQPQTLNLPRPIHRRKVSETSIAGS TVSDAFSDLSDAEIADATTAEALGSPKVVRQPLRTSQDSSSSDFGTPKARIVSVHHPH HEAQTNDSADKVHKKAARTMPDKMRSSFNVPVKTSDTVTDGEEDADPPEKMANLSIPD RVPSRRTVIHRASVSSMEKIPKNRIRSIVVGRKESSSSSVISAVSPLDTSGPYGGSGS VMSVSPIERSPSRSLSKASSRRDYSETFERPERSSSLTNGRVLQTSDHRTATPEVPPR SSKRLSPSPISNLLSSLPTGSKDFAAFRIQSNGPQVAHSVLQRRLADPLPAGADGHDD HKAPSKEKGKEREDLLESKIQNLLTRIPARIRLLSDSEAESPSHPSTTSSSRSQSPIP SLILSPVKPKRRPQANNSTLNSDVRLFHLTRSTGAHNTPPTKLFVRLVGEHGERVMVR VGGGWADLGDYLRDYSLHHSSRAHSNGQFELASLPIGGQKDSRVIPLGPELVSKATAA APTSSKSKSAAEIRPGSALDSRPSATTPFGVLKPTRRRRSTSATAIFDARAPNSSSTS LPYSFSSHTDPHWDTPPVPAIPQVMTLNPYFGGDPRLSGPPRQPSGAASSTNSPTTAT QQKQHQRSKSQGIVAKSKSTPSLSSQRASAGGGVFVLTPTRPATNRISVDTSARNEDK DEEIGGGAPAPAIGGTHSSASTSPKSFKRMSSFGGEGGGIRRVFFRRKDKGVV EPUS_03987 MAPKGAMGGGASAPGLSSPEKRKASKEKKDRSKVQSAWLATVSR TREKYPIPSLHMRISLTDGISRPRLGRWSVSRRSGNENIEVVRTKSQAGMLRPRRFPT IYKSNSRLFIDPFEHPLPERARPTSNKTDSYKQSVIVDLPERVQMHIASHSTEKHSVV EEVPNTLEIILAARIQQVQQPSSRFEELNDDASVHELEAVFPTASTPAEESHTPTAVL LCEADDPTALPTLDFLQRSFTMDVNQPRRPKISLSIPRSKSLHMLPGSGATTAALLMS PLSPSSYTTYRSLTQLPAMRYSIISPLTPIDMPMPMRPYSTISVPLFMEASDLAQARE EIPPLPTFAASFSRKHSASSSMRHSRQLQNWSAQQGEHQMARLNAREDNASSSSPSPS NDADDEDDEDGTNEPCTQTTRSMTRKYMAASINKPLPPEPQMVIPSILPPLAYKPRQV RITQAQENLPRSRYSPTHTGRLGGFRKAASTKNPTLDQAIEELEWRLSSICEQRSASA GPWPNTPIQVSRGLMLMEPLRSPPPVPRSTDSGAIKVPRRPIVRSNSAGHIIFQSKDI SQKRARSCHDLRWAGAASDTADIRLEESETWYRTASERSSADLSHSVFSDADTDGTCQ TEQSHAQDKAKEKEKEKEKVEVEVETEENCVINNAEMPQAMQSSSVPAEVCETVILRI MSHMTTLKDLFATAALNKGFYNAFKRHEMYLIKATLCKSSIAGWEFREISEETQLTPT AYLRQYSVDIYTMGMLKSLILVQCESFLRPVTIAGLVGADQTRSAEIDAAFWRVWTFC RLFGRSAGEDEDIEAQVDWLNGGELAREQDPSSSFGIGNGDGLSKSELYDMNELWTCL SVLVQTFHGRTGEARAVGIYEKCQIDSKRDEELLLEEWTWYLLTCGPSCILELAPGSF TTAKNRGWTEWSPPAPGQGRSRSKFFKEAMAQVYEARLAEELRAKSGKAQRTSKASHR VTRSEEARELDRRRQTAFAEELRSQRQHSPSKSEPWTFSDERPMSVYSQVVRALPHEA SRISAQDLAAMPKPPSTEERQFPPKSTTREQTTPPRSRTPVLTSSSAVLTAADDIPTN GTGNVTVASPVITSGRVANDSYEIVDPADRAMTHLVDVLGFGKEAAKWALTRSETGHG VDVEKAVAILLHDSPPTSRASSRAEVHSPIEIESSTARPEYVRKRTPLQQRDSMVTTD DMTEREKQQANLTRMREKSYRVLGIGAPQGGKKFGSTLGRKLRVR EPUS_03988 MTSAIINPTIQAAALAGLSNLLAQAIQAYRTDQPLSLDYRTLLQ FVVFSLIATPPNVLWQEYLEENYPGDQIDEKGIKRLHKHNTAVKFVLDQTVGAIVNSL LFVVGIGALKGKDSSTIWDDCQRVGYPCCAHYDLKIVGP EPUS_03989 MDAGAYLEFVVHNCSSVYLLLHNSDLLNNGQETTLNTRDISQAS HLNHLPISAKTRPASPVSLLSRIDNSEYQYFNQTGKGLVAIATGNLEPSKEHVIRIIS PRVDGEEYAGMQFTGIWLNNGGTLVSPQERQMTTQPATAPDATSAPTEDLTRYPKYSG YSRQYLEKDKIQNQRGRDLNDNQSQNSFMLPQKTLEIVTDVPHTLRAQNINSSRNVLQ GWQDLVGDLFGVDHVSIMLNHIGLPGSSSFARSWNFRSYIPDAMVLVLGESDEVSFRK HTSGYGKTVQELRRQFQDNYVNFIQAIRRAAYPLHPAALHDYSSNADGFVYNSAPATT PIFVVSPFAGELRLSTWKVVKRLQAEGDKAVFWLDTSGWFCSADFETEVDGGIPNEKR PQLNIQGNRKAAVFLHAHLCHYMAQDHVQCPFLKHEAYSGKVFVPKDVELEHFMEDSK VYVHPTPSAKDNIPGFIALIQQKPTQEPVDTSPSRPSASGSRTVSSSSYLLAWVPERS LAQDDLNSYVKVDMSDNESPPKQTYLVHPLPTATISDESSIGTYAFALALSNIYSLLI RPPSMGWWYGSVVINTRSGSSLPALFFHDAECESTILQKKKRARDSFDPFGEDGLFWG GDEVLRWLKRYVKVVRSAADPGVYLVDPSEEDSIGFGQPRTSAELQRPETKEQKTPEQ RRPASNQSGPGMDPLTKALKETRWKILEQLSKVTTFTRRTAQDIADNPKLPPQVRRLL HNPEVQTLQDEFDSARLYLARWAMGIAEQSEREKNQRIWTARDVLEMEESSVGEFEIL DMEAGRMSLGGDQKRKAVGMKEWTSFFNAKTGKLQVTVGEVKERIFHGGLDPEDGVRK EAWLFLLGVYDWSSDREERASLLNSKRDEFIRLKGAWWERMIEGDAEREEEEWWKEQK GRIEKDVHRTDRHIPLFAGEDIPHPDPSSPFYVSGGNGTNVHLEQLKDMLLTYLEYDK PSTSSRTNVSNPHPQNLGYVQGMSDLLAPIYAVMQDDAIAFWAFVGFMRRMSRNFVRD QSGMRTQLTTLDQLVQLLDPKLYLHLQSADSTNFFFFFRMLLVWYKREFEWVDILRLW EGLWTDYLSSQFHLFIAVAILEKHRDVIMGHLKHFDEVLKYVNELSGTIDLPSTLVRA EGLFRRFEKTVEAVDRKSHFPGPGGTGSSTRQRRVIEGKGQNPSTEVTAPPASLGGSN RTDSAVTTGNDAKGAGAGAEKEQVISPELRALLSRKVVVLDDEANDGDDHGVAASGSG GGTSR EPUS_03990 MSKKHASPHQPASEPTSGYGPASTLSASHLGTTSTSQTSSRRRT GQSWPYLVNHMKSENIDFSQLFTLSQDYDDSPQTAKQWLTSFKDLRPGSNVSLKLVAV RVIDTAEDNGTSPTYPSMLPVLSKWVRMWFDRAHTARLQKRKIPTKKAQRTFVPEDDD FDWICAYTTDYLKLDHQGLAEEDIVVLIESILYVCSKSGAAVDFDRLLKLLVSVYSRY GCPASVFGKSLYLLCGIRGSFAELPAHFSECLDLILSSPQGPAAVGVLHGFLHADTSK LDNSELSTTTNAARGVIQIFQQVIRSESTAAVSTGKLLSSLLSATRLQSGRVNEALLS LCVTLVEQKPSIVEGPNEQFAIFMEIVDAAYGAVKIRKQATEEGSASSVRSSREMKLE GNGTPTTIRDMVDSVLERILRSSVGFKRSLVFNHFLAYAGQQSPEMSLRVLGYAGKDI LKEQDHEHWRKDTLQMIKMIQSSTVSINVRLTAIDIVENALWSPELTCSNRDEDHIGS AEGTTTIDLVQELLTMIKTETNPRILQAVVDTAIRAVMTYDARHFTEQTCSDLAEVIF REPASIEVAQCAEIGARGLVSIFMRSLNTVHVGRTDVAYRKLNEIANSFGVPTEARLA AMRLLFRIRCDSTGRIYISETSDSENLAAALCRTDESAAKFPQASDSSEEHGSSRSSG SATRRPKPTPPLWIYPGVPALPHVPDRQSSEFVVAPGSEIDVGSERRKPMELELSIWL ESIITCLQKDSDWETYSYIIVHTGAQLSNVTLFEGTIRQIQFLRSVFYEQVRTTSFHE PPTATGLKKGDVALCIFNAMTPLIAYKAHFSRNECDALVRAFVAGVGSFEGTSRGCIH SLSICCFEIPASVTKNLSSILHKIQTNATQSHLSMHFLEFFAGLARLPEVHTNLHGDD IRHIFGICIAYIKSMRDRRAQTPVSAGAKAEATATRLSGVTVPPFRAKILSAIELPQY VSALAYQTMIFWFLSLKLSERAKHVPWIIKSLIAVDNDGNEQMEKQSEVFIDMMQRTA FSDLGETLPRPDFARESDGSVTVTSWLVGLSIVTIETAGSTGLSQITKRQASGTTHSI YQQSTAEPPPHHAPLITDIRPETTLPTAMLPQHILLQLVTTCAPTSLASQPLPLPDAD YVQRALKAFDLNPTVDSNKAGVIYIGPGQSQESEILANTQGSADFDQFLHGLGTKMRL DSAKFNTQGLRSDTDGEYAYAWRDRVTEIVYHVPTMMPTSLEDDPQCTNKKMHIGNDH VNIIFNRSGKVFEFNTFSSQFNYINIVVTPASRIADMGESFPASTNTTTVNASSSSTN PNNKHPQFYTVHTLTAPGFPCISPCSDPKVISSANLAGFVRLVALNACVFSLAWRDRD SPSSDHMSSWRNRLQEIRSLRDRVTREAATAAPEPKRGVALYAGYGKAGPGGRPSLYP EGRGELTGEGRRQGRKAGGGEEKMADLYDFSRWALQ EPUS_03991 MAKSATVAVEPNFLAQMLDASLDPRQNKEAELKIRAEEKKSGFS LVLLQITASDSFSSNTRLASALFFKNFIKRNWTDEDGNYKLPEQEVASIKSELIGLMV SVPPSIQAQLGEAISAIADSDFWQQWDTLVDDLVSRLTPDNHVVNAGVLQVAHSIFSR WRPLFRSDELFTEVNHVLSKFAVPYMSLLQNLDACIEKDKSNKVALSQDFAELDLAVQ LFYDLSCQDLPPQFEDAIPALADLLLKYLRFDNELLRTDDENEAGPLESVKAGIFEGL TLYVQKYYDAFGPHVKRFVENSWELLTTVGLEPKYDVLVSKALNFLTSTTSNPEQAKP FNDNNVLAQVVDKVILPNLSLRDSDIEMFEDEPIEFIRRDLEGSDSETRRRAATDFLR KLMEQFETPVTTVVSSYVKQYLAMYSKNPKANWRAKDTAVYLFCSIAAKGTPTAARGV AQTNSLVDVGEFFSNNLAGDLTGSNIEPLLKVDAIKYLYIFRSIITNEQWQQAMPLLV NRLGDSNYVVYTYAGIAVERVLYLTDENGKPLIDPTHITSLSQDLLEHLFSLIEQSGR AEKIQENEFLMRCVMRVLIVIKEGLLPITDAVLQHLVSITNIIYRNPSNPRFYYYHFE SIGALIRFAGPSEALKMQQAFFSPFLVILQNSVEEFMPYVFQLFAAMLEVEPAKPLPQ PFQSLIPPIIAPPIWEQRGNVPALVRLLTAIIPRAAEDLAQSNQLEGVLGIFQKLVST KIYESHGFDLLECVIDSYPPATLEPYWIHVITIMLTRLQSQQSTAFHLRFVRFYHYIA SRDDRGLGTDFFVAVTDKVQHDVFRGLYLSIVLPKTQQLTRPLDRKTAVISFTKSLAD SEAFVTRYPKGWPHTCNALLKLLEVPPLPSKREDMITDHDVDDSSFGVGFTQLQTIRK PINDPWADVMDLRKWVGQYLQAADRRHSGRIGKFVNEGLSEDAKGVLSTYMRL EPUS_03992 MPFQIQWKDVYVCLGCSLKASKRSISSSTKYSNSIPASQQTADT PLFVDQLSRYTPASHPSAQTDDFSQNGNISQSVDSPKKKQGNANQSPKLKGIKTRRVH PRPRLLDGQLEGIAALSRLTKKLAEVSKTTVSLQDTLKQVRQGDNDAIEKKFISTLSE IYSGRSVGVLVRELVVLQKLNRDSLINARIEHLVAELTRAGLLEAPTGSQWHHVEPQK HSSTKIVGKDQASRSAAVTTPAKLKDRKVPARDLRRSPNEAKPRRREAAAKVEATGRK SRRRTNPDHLPTSKETHEFTSTIEVDAVSISPLPRTGPDVPHLCHDLSRVLFNPGIYQ LQDPRSRVYNFDPYLEKIMPVSEFDFKILKEYITSSRDGTLRSLALEHGKKYVGSSSS MTSTLAQLHFLLSQWREINTKVITRGFSETLRSFTLIQRSPSSVFLRYQDGVYAMDAD KEYDSANILMSLGKSMEKLLTQEPQDFERYRKTSETKVPEEERCAPEAYQYTHAGDFL MRAQLDACDPRLPGTGTFDLKTRAVASIRHNVKQHEEGFGYQIKTRFGNWESYEREYF DMMRSAFLKYSLQVRLGRMDGIFVAFHNVERIFGFQYVSLPEMDMALHGQYDTSLGDK EFKLSVELLNRILNYITERFPKRSLRLQFETRDPATRGAFMHIFAEPMDEAKIEAIQN SRKEAIDAFEQRLMNPDTSSLIQHVDDVEAVYEQPNLPSEASAVPAKTEESATQVVDP ILTEQLAAVADAAAEEVHAPPHSREGGSNEAASDEQPTTTAKGPQKAEPVSKLLMLKL RIRNRVNEKIVERPTSLASSDVWSLDYSLEEETTEAVAQAQYRASKARRKAVLEDREQ NSAANFYLRKLREMASQGAEWRKAQDELDAGRKRVVLYEGR EPUS_03993 MSDMRRRKSLSIFRPTLPTVSTGSSDESSTGGGNSGGGSILRKK GGRPLSIFASRSTPSSPSIPNYDVANFEKVGLSESPKLRSRTLHKGGRASVFGSLRSL RSSEDDDVLTKTESKASSTNEENDLQGSLQAGLFGSSVLHHGEVQTMGGMFRKRSHYL VLTETHLLRFKGQTKAAEMYPVIPVSMGRSSGHRQSMGSFGSNSDAQSSIMSDIVDGI ALNQIIATSKLDDGRPYFSIEVSHLEDATNRASSMSMQLNDPREADLWLLAIRNAAAK ARNKHGYDFPPAMLAYIARALEHYRDYDPRHFQLFRVVQRTANKSVSRSSTDDLAKLN SSTCYLAVGINSVHLVPLKRSIDRSSTSSLQDLEAPTSFGITTLSSMSLQPGDDTFQL TFRVPLQSTFTLFLASAKAKEIVLWIKNRAEYLRPEWVHQPFAFFAPAELDDDMALSE SSEDEHKFFDRTLIAYCAGFNVDVSRICYSVDYRCEDAPCFRLLKPFGPCYNALELLA VIRALRYNESFTSISFAGINLDVLQGIYDLYGVDFDALYTRSGVATNLPNQEELPLLS QEIRALALKSRRLRRLDFSYCLTRRHRSGTDIDTKACGIPEALVPLCKKTLTNVDWLV LNGIRLGDSDLDYLVDAASQRVCHLRALEIGNCGLSVHDIDVLLSTLAVQESTMEVID ISGVQGRFSPELFQRQIGYFGHIRKLNLTRVQKTAGPESLIAPETLLTWRLEELQLSQ TVVNQETVDSIAAYLASSKSDSLHELGLDQCGLTGKDLAIFFSAMNRDRGPPRDMHVS ASENRLKAGYQFLFDIIGQNKSPTHLTMRMIEFEKEHHFRQLVQALQKNTALKVLDIS KASLPYDAGEETCKELQKMFEKNSSLEELDISGEQAHLDSARFGIGLNLALTGLKKNN TLRMLKIEHQNLGMQGANTLAEVLEANNSLVEIHCENNDINLQSFTVLVNGLQKNTSV TYMPSMARDREKSLEKVKREIQAINNSAELVSPSGSSSIKRSFTGVMSGRGNRPSMTQ TNINPIPAYTEQDVKAAVDALHEKWNAEVARMQGYLARNYHLQHGLPWGGQDTNENQR PRTAETLGDVLRSVKLDSTPTLEKELGLGWIDEKPDVTPKKPPAAFSLPEE EPUS_03994 MRRGLLIFLIINALILTFLIRSVFTLLTLLFEDASADAISHSEL PAPNSTLIDTRPQLIPKLIHQTYKTETIPAHWREAQQSCIDLHPDYEYILWTDAKCRD FIRDKYPWFLDTFDGYAYPIQRADAIRYFVLVHYGGVYIDLDDGCNRRLDPLLYYTAW VRRTKPTGISNDAMGAVPQHPFFLRVIKSLQKYDRQWVLPYITIMYSTGPLFLSVVWK EWMGENSGRAADWNGRVRVLMKPEYNQHPWSFFKHYRGSSWHREDAKLIFWMGRHWML LTALGFMFAGVVGMALWWLYGTILLLGSHRKSAMAGLNRGRKNSSSSPPRSRLSLWSW RSGNKQQYELVQQREP EPUS_03995 MAVKTSMKSRPSSKSGSSSSAPRRSKTTSSASNKITRRPPPKQV KTKPGNHNPTASITAASKRKKRRIYTDAELNLPALNTITPASAAAAPRGSGKKKNKIY IDDRESMMTIMALVTAEKEGQIESKMVKMRRMEEIRDARRVEAEKREEERRKRLEGVK KGLKSGRKVAGGERDYDGEVKGEERNDTKKTAKKRVAFA EPUS_03996 MVWQRIIAGSFKARDHPGLGLAGAVLVVLVSFTIRMGMGRLFGH ARTAYHHSPRTISLQRREGGRTISLLDLCKSITPPCRLNPFLFNGHLQTLWTVVKNDT VPIYYKRHIFEHQDPTYAGMFTVDFAVQPYEASDPALPPRTTYFTSSEFSSIGSQDSK PMLVVLHGLSGGSYELYLRHALAPLLIPDQNGTVRWEACVINSRGCAMSKITSSVLYN ARATWDMRQTVRWLRTTFPNRPLFAIGFSLGANILTNYLGEEGAGCELKAAMVLSNPW NLDVSSVVLQSTFLGLHVYSRTMGTNMRRLFETHVDQISKNPRIDVEKIRRLRYLHEF DRDVQGPTWGYPTEGAYYRDASSSDSLLAIRIPFFALHARDDPIAADEALPRNEMEQN PYAVLCTTSLGGHLSWFELGGGRWFARVQTEAFFNKMAFEIDVPEQLEVDGVLDSLKN TGDPGRPRFEPMRRKLHVAVDA EPUS_03997 MPPPASTSHSSSGAPPIIPPDQVSKEATRGFLSGAFRFTSISIL AHVLLQRVHPVYRGLTLQFKIFIQLSAGTLGGCIFAEKRVNEYNNMVRRQQRALDRSH RAWSEEMEVRAAMERREMEKGSGKVAEEV EPUS_03998 MRPKRTFLVHDDFWQGFVKLGGRHQVAAAVVATALTFTPVGLLT WALATHAQGAVQDSNICNNRYLMRGFTGNSDVYGLGIRLGIYLQWLASLIANPLLERE RATMAGSYLTFSLALAIAVLLLAFQHECAFTSEIIIVLTIFWGGTLLVMVPFVQLLAD IATTGLGLALVPLILSMLPVSAWFWLRLALYGELDFAATPGGTSFFLLGRITSQHLQA VSWFMAVLCLILCVIPVFSSICLCLVLFLDWAGQRPPEWRADQEEKARKDKILDRLQR LMKRRTEVMGEKPPKKWPMVMSGTLIASWSIIAVELTLVWNSVKGVYNVRSTGQIIAL VVGLGILVKVLWLLRHGRASG EPUS_03999 MPYNADQPHLYQQYPNQPYTSRQYDGPHCPPVNPSIQYPPLEVA VPQHTNHQYPVKPGYYMNQQTYSQPGHYPQASIQGPYPPGQGHHEPAAAHHQVLAPRQ PTIQLEVPSRPVKESTASPVDYQILLLSLAEEYLDAAHGNSMLTALCQGDVQLDNYYK LVSTALGCMETVLKRFRLPPLKEAQLRLRFARTLYDETENDLEAETALSKGIDLCERN KLLDLKYTMQVLMSRVLHRSNAKAATKAIDGIIEDVGAYQHTAWEYAFRFLRASLSLS MPSHQDFVAAIHQLQGIADLAHRKRDQAIFAFAGITEALAHLQSSGPDSGNQAQRALA KARQVQLDQDVRSVPQILVLFQFVDLCCSLRQSNLEQIGQNLATMQQIMDQIVDDVSW LDDGTILLPLTSKSAQCLALNGGGIVQERDGKHVLALAWLPKRDVYTVGYLLSAVATS YKNAQGDHKSEQFIDEGLALIRSNPATSKPPAESLSSSADRANWRKVLECQFLIEKAF LLCARSAWEKARALINELNIFSNALSAPLPPEFYCLIRYLDGAVHQGTGNLTKALTIF HSPDLSLPPNSSKSTRNNIGRDIALLAAMNTILIIRSPDHPAHHLLPSLISRIDPYLS ATPNKHLLSARSLLISNLPTATHDLLTNETLSSTLLIKKHLSTALNIAKTIGNAQITA MTLSVMSAKFFKGVVGDQAEKSARAGQNMAYKSGMKLWMSVSSGMLADTLERQGKKAE AEKVKVQALRLAGMMPRSVQKFEEGAGEEAGEHSVVGERRRREALEQGEDKERDELA EPUS_04000 MAAILGGDGGLGEMGQFPLEQWFFEMPPCTRYWTTATVLTAVLT QCKIVNPLQLYYSFRAVYVRSQYWRLLTTFTYFGPLSLDLLFHLFFLQRYSRLLESSS GPSPATFSWLLLYACTSLLVLSSTLFSTGSLLFLGSSLSSTIVYIWSRRNPDTRLSLM GLLVFTAPYLPWVLMAFSLVMHGSVPRDEILGVVVGHVWYFFADVWPGLYEGQRPMDP PAWWVRLWEGRLRVEEESEPRGVDGDVAAAAAAAAVGPQAGEVR EPUS_04001 MKPATKRLLVTVAVVFGGSQLVAIQVLHPDHDRIAETRRRLGIQ ERGAQQSRDESRRRVWRHERLQQDLGGSAARPDWDTTSRTEKGGGGGGGGGGEKA EPUS_04003 MVINPTYLAQTSRSSTNWQAARRRVLKSYRAWLRAVRPSALSPS SIKWPTRMLIVFPLGGTQAPEIQQMYSLNMPVSRIRTKVRSEFEKHRYVNQLDVVDVL LFQSHSEFQETLNYWKQLAHVMKYFTAEENPRARLPKDFISGFLEGRN EPUS_04004 MENGEPTQSSFDVDLADKIRVLPGASPTACSFQIEKEDHTLGNA LRFFIMKNPDVEFCGYTIPHPSETKMHLRIQTWEDTGTTAFEALRKGCDDLIDFCEAT SFTFTEALEEFEAEQGEQ EPUS_04005 MSTAVAPASSTPLPLDRDNSPKNYPTLAPHTSHTPVERHPELTR TPSHTSISPNDRNTQLTPPEGKRSPKSSRSGGAPKIIVKKEPQTSPSMNSANSAGSAN SRHRPRKLDLSTNVPVPPGLSSRPSAGPLTGRDGLVMQDVGLACLSPGFQTQDPTLRE QLQRSISVRDQQRSIIEARLLKTAKGDGPDGTKPSESNIFGNGPPTSSKKRPPPGLSI VPPSAEQFANERVIQSAPLNQTFTGRHQPNPMTRHIANQPSNLSHTSHIHHVPAMQTN NRLPPISDVFGSDTLAPNNRQGQHPANSASNSSHSSNRPPFPSPGIVPHTAHPSNAPS RPREFRSAEEAVHEMTGGREELLPRIVHYGGHQPPTPPSPPNASGSSTYKHGQQQMPG SESGRPGPTSRRRSRVEYEGDSSPPLGAGREKVPYGPFGAGRDSPETSRRKKEEFLGL CSRAWDLFHN EPUS_04006 MLTRAVRARRNAEAEYGSSLSENEDQHVAEWHTSDSAGSSYDTA TSVSRKEPYILTDEEEEEEEEPTSSLKDISFGALAKAQESLAPPLRPGKRKHTTDPER DTSNSAPKEEETPTPFRPTKFFKPSHHRTSKHAPQTLSTRHAVPRKRTIIEPSAALKS RDPRFDPTHLHPSTTSRAAESEQANKNYSFLTSYRQSELQALQAQLKAAQNPLPSKKK KKNATTAAPAPASDPETIANLKRQIMSLSSKLANAETKTREREILRAHRAKEREAMRE GRKTQPYYLKKGAVRKEIEKERFEGLGKRAREKKEERRRKREKGKEGRGLPRVRRDRD IATRSER EPUS_04007 MATKTLEARFEHLSVNDENEPMQKGSAMLKPKQGSLTTAMSMTA LGSSHLTSNQNRANLLKYALQTSKDTSTNPSTLTTTQTTSISTLPPSPSRKPAINRSS DETAMQRHSNSSTSATIYDQPTPPRAFHLGMFEIGKPLGKGKFGRVYLAKERSTNFIC ALKVLHKSELQQGKVEKQVRREIEIQSNLRHPNILRLYGHFHDSKRVFLILEFAGKGE LYKLLRKENKFAEWKAAHYIAQMAAALKYLHKKHVMHRDIKPENILVGIHGEIKISDF GWSVHAPNNRRKTMCGTLDYLPPEMIKPGSADNFYNEKVDLWSLGVLTYEFLVGEAPF EDTPVMTQRRIARGEMTVPSFVSAEAKDLIQRLLVLDPEKRIPLDEVQRHPWIVKHCV QKGGERGSGKGTSS EPUS_04008 MSDLKGSKMKGPKQLTIVIKLGTSSIVDEITHQPILSVLSLIAE TAIKLIKDGHKVIIVSSGAIGMGLRRMDIEKKPQYLPRLQDPIRERKEHAVGTPQNGG DPHRQRKRHPRGGRDQIRRQRHPIRHHGRHGQCRLPLPDDGRRLPI EPUS_04009 MKMHGGSAESATDVGKTARKAAMLRHVGKSRQRYFFPQRIMQTD DHTLKAFVQEHHGSLNS EPUS_04010 MVKAGKSSRSGNVTGISQKHLHSRISYLYQAAVYLGAAELSAKD AHPERAEVDKTNLLGPKQPEEHLHLGKRSEPNIAPIKADQSKTGLPDVRLRSQAPKKV HGSSAQTHQLLTSMRSISQKSQIHLSQSIKRSICRRCNGLLTLNSTSEIENMSREGKK PCADVLVVTCCQCGNVKRYPVGMGEAQNRHKKKRRLASGAATPSENANYSKPVEKT EPUS_04011 MASYQYERLPHDGSSIRLLRLLPSRSSESPIDCKIFHYDTKNAD QTAYEALSYTWGDTPETVDIRVNGYVFPVTVNLEGALRALRNADKPRILWVDAICIDQ SNTKEQGEQVGMMWDIYQTADRVVIWLGPEEGDSTIAMESFARHEAQTKIAARNVRSR KWEDRRDIGRCGCAAGDFNSHPPRIGVQNLLGRRWFTRIWVLQEVAAAKSVLVVCGDK TVSGNDFYHEVMAVASFYGSFQKLVQKIRPALELMNQSTQNLETRSSSLIELIESFRT WNASKTLDKVYALLGISSDARSVPELQPDYTISQDVLAQKLVRFAFPNSVINPQSTSQ DEVVFEIEGLFLGTIAGENGCLSFRDDIESGRWWALDTDKSHLPDEVLDSVASDLLQD SWSIPAVNERLLQKGSPVVLLRGASRPTVLRLHGGHYAVDMLATPEPVYEVFYHRKDE QMTWPVALKALAAASDRLIKLKLSWDPFRQLSPSEVSRYTPTIHNVLTQWEARMESLR DAAEIEGGHSHDCRTTMMFWTQCELHREEIEAGTWEGMMTLHEAAYRGYYGTVKLLLD SNAQVDGQPNGLNVTALHLAVAQGHAKVVRALLEAKATVDVVDQDNSTPLSTAVDNNN YEICQMLLDAGADPDSRIVDKYYPLFTCAIKGYANIARALLKAGANATAVIEDLGSMS GATPLHCAAEMGHNDVIEALLAAGAHVDARTTIDITPLHLAASNGHPDSVKLLLDAGA DINSKNRIGMTPLDFAAYHGHDETAEMIWNAGGEIYELPSAEYKEYIASGEDQHHVTV SGEDQNHGIVLDEDEWEDIDEESFV EPUS_04012 MYSSLLLVWISYASVTVWHDLDAIIQCLVVVIGVAILAMGLHQA EKRQQGVPFRTRERISTVEEGKAYSDGDDPDNGGTSNLFDWKPANGQLYGLACPTTTS VEQSVSDNGPYEDRGSSPEPEPWNGTVGFQYSG EPUS_04013 MASRTVPRRRPKAKAGSGRLLDPHRQRITKMYLIDKNPLSDVRT AIRQEFGVDVSEKVYKDRVREWNIKRNLRKDDVVALLRLSREAGPNGHAGQVYIRGQP VSWVKVDSYLKRKKTTEHSLLAESSSSEVLPSHVELRLSPVTNPSGWTPSSARNELLL AATQTQSATGPAEFEATTVESSYEYEELHGCESTLVSPTNRNHNLHTAELNFDHDDDL PYQGMLNQLAYPTSTVHEFAGHLIDPTKSPAMLHEWFGICRKISSPQPGETRGTPGLI AMDAVNSPLSLEQNTPEERAHPQDHILHHTKDELHEDSPISIDELPAEDYPKNFLAWS INSWLLKNECRHDESQSAMRFAASIFGKMIACRHERCLTSLELLMALIESHGNPDFAN DLLERFKATALSMSGLPEKKSIILIIGFKIDIVCRLGIRNMSYLTALEQVYADFEQYW GAESPSTLVCLYNLGWYLAGDSDPDQLKKGEEILKRVQSTAERILEPNDPQMIMCLTT LARVLYNLNRNFEALGVMSIAIDRIDKRFFDYHPYRLAALRRWSMFMQKVGGHDAEPF LREVATKRLRVLGPASGLTKSSMKELKTLLIKQGRNDDAENMLRDLVDAASRLECMDD DCRIY EPUS_04014 MQKHPAPTGQPLSEDIHTAEEWEEIRNTFTNLYREEDMPLREIR ETFAQRGFYATIPQYKRRIRRWKIDKNNKESDMVFAGQKLATRKLQGKETIFTIRGRV KPSHEVERYWKRRQVQPGRLSPVPSTPPDVRYSTPAPSSPGPLPFLDASLAPTKALAS PHTRAVCDPSNHCTENRSGQTNWVRPILLPPLTSPGSLRDLELILRSTREHYEMCMDQ DYSNVQHLISFENDSQTIIASTIYGYEASAQAALIRVQNTLSEILRNRDKNLLSTVMS SLTGFVARNLAQPIREFIGSLCRATVTTCSASHPFFVILQAMSRSLEMIIPFAEVMLH LGLDFLTVRMGAMGLETIYASSVLNNICSNKGDYTGALRQAERMYESYRNQSSMRKAD NFWFMDSQIMVASLHAELGNYEEAARLVEEGLTFCETLTLGARDRDRVRLRFLCLQGN LRHFLGLSGAFEALEEALTIRLRWFAADDGVAMYIAQELQKMLDEQAVREYHV EPUS_04015 MATVAVESEKEKYDVLEVIGRGAFGVIRKVRRKSDGHVLCRKEI NYLKMSAKEREQLYAEFSILSTLKHPNIVGYFHREHLKNSQDLYIYMEYCGGGDLGTV IKNLKATGEYATEEFVWRIFAQIVAALYRCHYGIEPPSPGSDLSRQKDPRAGLKTKSQ SVMILHRDLKPENIFLGEDQSVKLGDFGLSKLMQSHDFASTYVGTPFYMSPEICQAET YNMRSDIWSLGCIMYELCTKEPPFNAKTHIQLAQNIRKGVYKELPSMYSKELQNVIAS CLKVNPKFRPDAASLLTVPYVWIARKGLEMVETGKVLKTREELATQKLQQAEERLASL DREREAMRQQIEDTLRREWEVKARLEIDHQIELGLDRLQKKFDKEVNEKAREIARTMP RSTEQRALQDLQNPPSSGNKEKIAPPSSMSASGEEDFPSTTDLTDLSSLSLESPRTST DKPPGPRKSTKTPFSRSKTTLDSPADIQMAEPSPMSISSLALSPRRNAAAQAAATAAS KNIFTEAARQKATDKWEPTLAYDSDDDDDIPELPSPTRPKVHARDPFKAPARPGIMRQ ATTATMQKLTTQPTLFPTATAAKAATGSSIPRTVTEPEGRPLGLARSPSNSNRRLSKL PSFNNLAAGDSGSPTRKPPSQLPSKIGALPSKQAKEGGEEMVKAVLARNMNVGTGRTL VELAQARAGGRPLSVDMGKGFRVGVDRERMERELPPVPIWDPERDEMPSPFLSRTKRM EPUS_04016 MSTKIPVDPLIAIVGATGTGKSKLAIELAQRFNGEIINGDAMQM YKGLPIITNKVSEAEKQNIPHHIFDQIGLEDQPWTVSNFRREAHKAIGEIRSRGRLPI LVGGTHYYTKAVLFNDYLLTGEGASSGSEDDSRERFPILEAPTTEILAKLREVDPKIA SRWHPLDRRKIRRSLEIWLQTGKTASQTYEEQSQRRLSMRACGPSDGNDSCLKYSSLI FWLRAKDDVLKRRLNNRVDAMLECGLIQEAQAISQAERSLNAAGIQINKAKGIWASIG YKETEPYISALADTSKTLGELEAIKATCIEAAKGATRRYARRQERWIRTHFSDALTES DAMDTLYPIDCTNPDEWDTNVNQPVTDITESFLAGNPRPTPESLSDMAREAISAIKAE LEHGNVRECRYCEVCDKTLMTEKEWTAHLRSNTHKKALAGRKRWEAFKAWQARQGAAE EHRKNDE EPUS_04017 MSAEEDLIDYSDDEIQATDAAASSTAAATTNGAAGKKGDLTVTG KGADKKGSYVGIHSTGFRDFLLKSELLRAITDCGFEHPSEVQQVCIPTAMLNVDVLCQ AKSGLGKTAVFVLTTLHQLEAVPGECQVLVMCHTRELAYQIKNEYARFSKYLPDVKTA VFYGGTPMVKDIEILSNKDTYPNIIVATPGRLNALVRDKKLSLRNIKAFVLDECDKML DQIDMRRDVQEIFRATPTEKQVMMFSATLSQDIRPICKKFMRNPLEVYVDDETKLTLH GLQQYYVKLSEGEKNRKLNDLLDTLEFNQVIIFVKSTLRATELDKILRECNFPSIAVH SGVSQEERIKRYKEFKEFNKRICVATDVFGRGIDIERINLAINYDLPADADSYLHRVG RAGRFGTKGLSISFVSSEQDETVLKEIESRFEAKVPEYPAEGVDSSSYMAS EPUS_04018 MAAGLKTIIALSFVLAIGFLLVILSSALFHNYLPLLVVATYVIA PLPNWICGRCANPDDFMENAGNAVIDFGRFCTGFLVVMGIGTLKISITWRLEDAGTSK I EPUS_04019 MFRNNYDNDSVTFSPQGRIFQVEYAQEAVKQGSVVVGLVSKTHA VLVALKRNAEELSSYQKKVIAIDDHLGLSLAGLASDARVLSNFMKQQSLASKMTYGRP IPVERIVGMIGDRAQTNTQQYGRRPYGVGLLVAGIDELGPHLFEFQPSGMTQEMLACA IGARSQMARTYLERHLDEFANCDRDELLKHGLRALKESLAQDKELTVDNTSVGVVGST STDGKKKLENFKLYDGQEIAPLLEASLETTGTTEGETAGDAMETDS EPUS_04020 MPSEMFLIAIADIDHSSCASGTGNNPAHTESARTLAQSLHQSNI SLVYGGGTRGIMGELARTLVSLSGPESVHGIIPRPLIRIDPKYEQNAKQADLNSNSGS KDAERGMSADELQKIDSGEKASLPQKSEYGLTTVVSDMHTRKKAMAQMVIEGGPGSGF VALAGGYGTIEEVMEMVTWNQLGIHKMPIVLVNIDGYWDGLLQWVRNSVKEGYVGESN AGILVEVKSTDEVLDALKEYRVAQGRYNLDWSQG EPUS_04021 METEQEQKLDELFYRDSQWIADNGRYVHENNVLFYFAQSPFFDR QSNNNSVFLQAISDPRFSNWLATRENFEAQLRRIAGTEYVVTHDPIASKVRYKDGEFS NIWVIQKQARRKRPGQEDEVTPLAMYYIVGDAIYQAPTIAKLIGNRMLSTVTSLNKLL ATAAPLPLYSAAYGHTYLTPSQKASNPKQTGLSQQSREDTPMPDVQSATQPMKSASNH DYSEFEDTQMFLEALNLSSRYGKEYMDDAPLVGEPGNFRTSKVRDTAAPPKETPSTDR QPSAQSKEKSPAPSPPPPIQTDVPQAVGKKSAKGGDRSPTTPGGREKPKRRKSRPAVT PTEA EPUS_04022 MSVVSKKTQFLDSISFVGGFYTDSAYLQMAPNSNHGRILFIDAY DSFSENIAALFCQILPVEVTMIHIDTQIEELLDKPADCTQNALALYLQNFDAVVLGPG PGNPEATSDVGLFSEIWRLPSLDVVPVLGICLGFQSLCLAYGASIRRMLEPCHGHAKG IKHCDEDIFTNVGEVIATNYNSLEVELGDKHSLAEDSGPTSSSPSVESGSSSPSTLCT EFYPSQPEFEPSLTCPKLRPLAWDGFGTSMSVKHVELPFWGLQFHPESCKSNAACQSI IKNWWNTSMHWSTRTRRATNLSRSKLLSGHVWSRPLTPIHIIAEPCDIAENHRLTSTL QEELQALTASSAATVEFHTMMLPKSAGQVLELCRSLSQNDQAILESTRKGRFSIYAVP GPSEFRMEYNLETSTCTLNLTDQDKMQWKMKLLHVLDEIQGLVVRRRVKAGHDSVPFF GGFIGYFSYEVGLERLGVKQELRSASEVLPDINLLWVERSIVIDHVSNEAHIQSIRKD DSTWIAEMVDKLNRLGCPESPIALRSARLQALLTAAKIRLPDEEIYKREIQACQDYLH SGDSYELCLTTGAQISLPTHPENSWLLYHNLRHHNPVPFSAFLRLGRTTILSSSPEQF LSWDRSSGSINMIPMKGTVAKSPSMNLALAKEILASPKESAENLMIADLIRHDLYSTV GWNASVEVIKLCEVVEHETVYQLVSHIRAMPSIPPTLSADERQQEIMRYGHKALRQTL PPGSMTGAPKKRSCEILRRLEQRRRGVYSGVLGYLDVGGGGAFSVCIRTAVSNADEDR DGRQTWRVGAGGAITVLSDVDAEWEEMKTKLESVLRAFRPDG EPUS_04023 MAARVDYNALKARTLGSGEDEEAVTVNTRALIDKVLARYSGEWT VLRELLQNAADAGATKVTIKFETYPSATVPLPQTGSASDLLKHVVTNHTVNRLLVTND GQPFNDNDWSRLKRIAEGNPDETKIGAFGVGFYSVFADCEEPFISSGKEAMAFYWKGN SLFTRRLQLSKSDSSKDTSFVLDYRNTTSAVPPLLQVSQFLASSLTFVGIQNLELWLD NHNILTLSKKTAPSSTVEIPHDIETKTSEGLMKIKSVMQEVSQIDGSWMDIVGWRVPR SGASNFDSFRNNDQAPAMRTFFSRLTRASASKEDVSSRTAKSRNDTVEKNLTSRSSTV IFLNVNTATIETFTSRSFKDELERATKKPPPKTTKLAILTSPHIPEADVNDSSSGKAA SADVFASILPTRSGRIFIGFPTHQTTGLRAHISAPSVIPTVERESIDLNARWVRTWNM EMLRAAGIVCRIAFSSEMTTLNSDIQRSLAKSGKSKVRVDDIQASLPAAVNTARTFSF QESTPSAQTGQIIEDAFWTCNYKASIDVLSTCGVLPNHEVRIAPKNLSFMEGIPALPE QLVSDAKTFVDRLIDYGLITEVTVSDIKSALERSALSAQHVHEFLVWLGEQSKSGKLD KNTVKNLLSVAVANEETSDGKSSQVIVLGSMKAFLNPTKIPAELPVPSWTMPFRYTRH LSRADLEGYGWEELQIVPWLKWLLEEAGNRNTLSANQDLTQSSQFAAQVLPVLSKQWD VLSQSSKSTIVELLAPQTIIPTKYGMRKPGDAYFPSVKVFDDLPIVTGLNSVKDKILV ALGVRKTLELGVVFSRLLSDAVQPGGAITNEKSEKQHIRLIQYLASVREDIPSTDIQR LRDTPICPKEVQQEPHKFSAERYKVSTLYEPRLPLRELGLPILSWSGPYRSGSPEGKL LVVLGLRTTPSAGELIEIMARAVKKGDGTLRDKAMAYFIANHYINNYGAYDYSQVTLP FLPLQSSGSLSTPSHCFTDEGAALLGFDVLRRELQPHAAKFGVRIHPPMDECIAVLLR KPPTNKKEARTLFAYFSGRLGEINSANIPRLSQAKFVPIITSKAQSEKPAAPRYVSPH TCFLGGSDSYGEIFDFVDFGQEANTFLLKCGSKQEPTKVEIAQILVNEPARISSTFRD PERYLNLLRNLADALPALKKEKDLLKAMKRAPFLLASKDIVSTPIMTDRADEADDFDD EEVHGIKEWQLTSAADAIIVDDYPSYNLFRTDILAAPQETTLEDFYYNLGSPLLSSLI EESATYGSQVHDQKSVVRLQKQICERSRLFLHDQPPDAIKHDSRWLEKSLKVIAVSSI KLRRSLRGRNLHHVDRRSAAVTRSGRDYTLWISGSSIDYYQVSQSLVHLLLNRPKLHS ALTLELLLKTELKELGARGFNVSRILRQKAAEKRLADQQQQLEEERRRIEDQEKAWND SQQKQASEQAKEPGIPGGFPTESPQAKNGTQRLSEVENDEQIRRVPQGLFETWRNRLG LSEAPKPARPQSSSGGSIPTTNHQAITNGEEPPPPYSQENKPGQQKTLTANPVTAPHQ MQQNLLSAIKKSRAYNSNALYSRGETNQIAETRSYCDEKMAQNLTFIASGAHGINIFL STQQQQQQQLPPIDPSTFLTQHSTGLTAFATLLKEAASVFALDLSSLNIFYDNGKSIA FNRQGSIFCNYLYFQQLHEERLVRGDGDRGDALLYWWVTLCHELAHNLVSDHSAEHSY YIEGFVMEYARRMVAKLGEKAPGGKRVRGELDAVD EPUS_04024 MGMDPMSPLAPARINTLLIPAGRIKRTRFANFVSRLDHENVVRL GDVSPDGQSKRSMSTYTFSPLAFPEGRIIYNFSLSESPALSIDCFPFELNREAQVILY LADGSEVVTSESQTQLAQEGNIERSPGETHDVLPLASMFASLEDEVRANRHQYRRAIL HQLLVFESTLAPEALPAPTDVILVPAPQNSRTTTIKTVMCDISGRLLRSMDNLASEIQ ALSTIESPSLVPGSAYRATDLAARVHARMSMPAQPIQGPLDPVGSRISATTGSKSRST TPAGARSASRHSVGSPSRSDRFSEVSSALGSRDASQDRNSMVPSTVTNPRERKKNCSV GRSRIVVGALLLQAGLWPDAVKELSEGTATARGNNDYVWHAKGLEYIITCLLMFGWAK MYFQIPHICYPIAEKPTIPKSSSVTPNPKNAELTPYGRSSSDSRTVSLHYLTKLLPDL LSSVNTLYARASNFTEEALPQLLMSESVLRCGYLLSAMYLRNMVIDDNMLQALLSSEN IPRADPIPRSADTITLKKSDIATMLLHAIPAIPGAVPFNELLQILIGLASILSMVGME RKQAFILKELLQRITPTLIEARKVGAAEMGIHPAAGLLALSNTSHSGFLRVEDGMKSL LTLVGEMYGALDHNEDMGSLINSQDSTKSSLASSRRAKLHAYGNFDLKVDILKSCIAI CEVLPDFEGVLKYTVDLLQLSRRTTTLPFRHPTGTPAISQEEQIKLMDSIKRTVGAAA KVGLKSVQANYWDDFMVRGIEAMSPPATFRVAPHSKNDLQSVSESKQTTEKDPFIYNP FSKPTSSATDQPLVAEELAYFSVYLQNPYEVDIEIEEITLVTEGCRFQPLTHSIVLGH FCCQKFTMAGTPRTSGTLKIIGCRAKIRDCKERDFLIYTADWSPRTESKIKKIGSAPR DSVRRGPPSAVGEAAPKEAEGSNTGPQSDTISLTVISPQPVISIQSTTLSQPALMVLE GEKKCFNIVLRNDSSTTAVDMLLFTFEDSATIQLRTAVMNKELSPSEAYEHQLQLAGS HPFKYIPSDEKEGPVLPAGGSADFIIEVLGIPGLLNGIVQIDYGHLDISSSDVTDKFY TRQLSFPVAVTVNAGIEVPRCNMLPFSSDFAWWNKQQACASSPQALDPPGVLTNELRS RSISYPPLQTDGGQFTSLLARLGLGSHGDDHCLLLLDLRNVWPFPLSVSVQVRQNKNA SSSPTDPWRRAYTVHESVQPGHISRAILPIPRVYVSDPHKAIPLIGNQRQFVVSASKL SVEAEQANREAFWYREEVLKHVRGTWREDSTGREGSIDLRRGIRLNTKMIDVLKIEDI ELSLSIRPSRPPDAQPSPVLQRGGSRFCLQVNSFTTLTIKPSLRDQPHNIALDLSKRF AWTGMLQRVLHPPLTANEVREASLEIMALCAGDYEIAATIEELKAPGQNSSGKKMGIC SQSNDRRIWHAREPCSIDAKHEIDDLEKDVSIHLYRGIFTKAEPKYKRAMLLWILLGD SISYL EPUS_04025 MPRFVPRQRKHKVRRRDTVQDGSNNSNTNQIQILPQSKEEKEGT RRKLKEELRAGQSNISSKKQKRLDKYIDTKLKKDENLDLIKKLSQAKFDTSQLQSSKH LGKRKHAQYAADIDPRKKDLADGNRKPDDSDESDLESTDSFGQQHEHSSSSSFEPRRQ NQDLPLKPVVMQGSGLRQPLEVDSNGLPVIHNKRKKAKRKSIEDDCWEGFGTESDAEV QSPKHCDDMRYGGLVAGSTTDIDEESAEDLDFSTTDSSESGYESSPDGDDAGGKIKIA PRVSAFKSWATQQINESLGHVPFQGRDCTQPSKPVTATVQTIVPTRAPDVGMVQEERK DGLGRKICNVPVTRTAEIGASREKLPIVAEEQKIMEAIHNNPCVIVWGTTGSGKTTQV PQFLFEAGYGSHDSSTPGIIGITQPRRVAAVSMAKRVGEELGQYSGKVSYQIRFESTT SKDTAIKFMTDGILLREISQDFALLNYSIIIIDEAHERSVNTDILIGMLSRIVDLRKK MSSEDSEVRPLKLVIMSATLRVSDFLKNSHLFPAALPPLIQAEGRQFPVTTHFARRTE RDYLEEAFRKVSRGHRKLPPGGMLVFLTGQNEIKSLLKRLHDTFRSSNRSAVDSMRVQ LSASEGPLEVDDLELGTAEDADIEEEDSEDEDSEDEDSEVDFVGHDGEDEDIAFDIGE PVTASLTVHILPLYSQLPTKEQLRVFEIPPDGSRLIVLATNVAETSLTIPGIRYVFDC GRSKERVYDQSTGVQKFEVNWISKASAEQRAGRAGRTGPGHCYRLYSSAVYERDFVEH TGPEILRTPIESLVLQMKTMGLDNVVNFPFPTAPERSSILRAEKLLKNLGALTPTGRV TQLGHRLSIYPLSPRFGKMLAIGAQHDCLPYVIALVSGLAEGDIFVPENQLELNPKER GEDEVYTNDDRLEDSAREQRQKNYNRARAIFSKNDPTSDALKLLSAVCAYAYAQDGDA FCRQMFLRSKALKEVSQLRKQLSDIVRANHPQSIGPYLSRLPTPSPKQLKALNQIVAA GFIDQVAIRADLAPNPPISPRKPQRAIDVPYLALSPSSTNTTSGTTSSDPAIYMHPSS ILSPLSPKSLPQYLIYSHLQQSAPSLITSSSSSEKQQAGPKIRMFPLTAVSGAQLSAL AHGTPLLEYWRGARTEGGVACPVAEEW EPUS_04026 MPDKDEPSSSSSTKAKDAPRHRSLPPPSNPEFTHTLTPTPHLLT RYSALTYNAHAIHLDPLYTMIEYNQPGLLVHGPLLLTLMLTCLHHELEGYERIIKEIN YRCLSPVFVGERIRVCGKRLTARSGKKEDGKGDERDDLEGGDGWEVWIEKGGGEQQGG EQPRPPALAVRGTVRTVHVGISTAQIIDDCVTFHAAQNRRTKTDETEADKMGDVAHDV DGIDEWLSTSRI EPUS_04027 MFTLRRGLPSRLLSHSRFSSSFSNLESSLQARQLPILYDYLTPQ PSHLLTTTLADFLPFLSTDVPFRLPSTSSPVPLPISHHLVYFPTPTTPSSLLPDGTDT LHSPGEPFTNRLWAGGHIRFLEQNKPLLDGTRVAIVEGIRSVRVSGQAGAEKKKSLWE SNDASHM EPUS_04028 MSSNVGLTTPRGSGTSGYVQRNLSTLKPRDTGYGAPYPPRNSSN PSSDYSSPLHPRQRQPDQAILAHDRQREVEVKVFELRDQLEDEGELDEDEIEKRCDKL RLELLEEMGGGGSGSGRGGKGKGLKPHQVHELAEAKIKESERLRKALRIKEGREGKAW ENTSVKEEEHDRGPDRERDTRR EPUS_04029 MASTRQPPPLQIFQDPVQPPPRNTATQKPRPQLQPSAIPLQPIK NGSVKQNVNIEPPHSAPLHPSPRKVFQMSSPPLPGHPDFAYVSIPPPVHPNGYTDSLV KDQAAAPMPPRSYVPPISQRPLFTTFPSAPMEHMDKENYHHVPAHNVNFADFPDPSYA RNRPHKRSMSDVSSFDRPFKRMRQEEEQINYLPEPEDMPAVEDEGTKPSHSYANLIGM AILRAPNRRLTLAQIYKWISDTYVFYRGQETGWQNSIRHNLSLNKAFQKQERPKGDSG KGNYWTIAPGMEMQFVREKPTRKGTAVANLSVQPQIVRHEAPPPLVNALAPQNWLGQP PPRPQIARPPSAKPQTAPELPELSSDATLPASDPALQEEDGTFFDDSNVAQQPQSSPP QAINSSPPMPAPQRRRRETISPAQLAQPSSGPRRKRKAATMDDSGYFSSLESSALRPN KSAAVLTSELDIERPRKKRGRAEEEIARIRSSSHDLTPSHRRLKSLGSDELMLSSPLR GFDYNKLAPVTPAMIFKKPARPPPSISPNTHLRHHRQQVQELVNSPTRALGAGLADEL AWSPYFKLNNATLDIYSDLGTTPSTPAFGSPLKRSAKRPSLNRASSTPNNALQDINTT NTRLNAKTPSKGSMLKPSARYTPKSPSKVMDSLLLPETDDFFNFGVFDDENFDEGDSG VDILQGFQKIGGVVPPPQHLSPTTKTPRPTLGRSSTSRF EPUS_04030 MGLDTLPHGLRSASEPKCSIDCLGEKWLVQKFGGTSVGKFPLNI VDNVVRRVFHFSARLKFCTDLLQTELLRAARDAQNAQSHQYRDLIEHVRSDHVESAQQ HIQSPEILGRLEEGIGEECAQIIRVLEAAQTLGEISPRSVDKVMSVGEKLSCRFVAAL LEDHDIHSEYVDVSDVIDFPVKGNLDQTFYDSVAARLGSRIRASQAQVPVVTGFFGMV PGGLLDKVGRGYTDLCAALVAVGLRAHELQVWKEVDGIFTADPRKVPTARLLPQISPA EAAELTFYGSEVIHPFTMEQVIRARIPIRIKNVMNPRGDGTIIFPDSVSELETDSPGH DPKLFRTRSASLMTDRKRPKRPTAVTLKHKILVMNVHSNRRSLSHGFFAKIFSILDKW RLSIDLISTSEVHVSMALHSEAPLYNGGGDDEYQIVNQDLRGAIEELRVYGTVDITPE MAILSLVGKQMKNMMGVAGKMFSTLGENNVNIDMISQGASEINISCVIEERDADRAIN IIHTNLFTFLEQ EPUS_04031 MEAFSCPFCDFSDQDSYFLLQHVELVHPENGESPFIATEGDGDE EGRSSSSNQENNEMQGALQHGQTTPSTGSSMNSPATYIECPYNCGELVASAEITSHTD FHLAENMAFEEEAIRPVQFSTGACNNEQAVKDISTHFSTDIPKALRRDDDSLRTSTPR KSSLKELLFGDSPRRTPTKVSGGGTKRLGRAELGPYAHEKQMPSWLRRMLEEGAKVTI YNQISPNGTMVRVEAVANETPGLIPVLARLSYQDPTVDHAFYCSSEVHHIAKMSREGG FCGYRNIQMMISYIRESRAPGYRHFPGKTPSILRLQDMIEHAWDMGYNSSGKIETGGI RLTRKYIGTPEAQALFNSLAIPCEANAFSRSSEFAAHESLLLAIGEYFSGENFLDFDQ KIHMTDKPPVYFQHQGHSLTIVGFEVRKSAAFNLMVFDPMFNPSPAIKKLVGSNSFRA DSPEKLLRAHRRGESYLGRYKDFEILKCTGGRAG EPUS_04032 MPRKLPNIIITGTPTVGKTTTCTQLISLASDLAPSSQNPGSSPP PLRLRHLSINDLVRQKGCHEGWDEEMRSWIVDEDAVVDEVEKVLGVGRIGGEDGGGDE EKEEEEGGWLIDWHACDVFPKSWLDLVVVLRCTRTEVLWDRLRARDYPEAKLQENLDA EIFGVLLEEAKEAFDEEMVVELKSETAEDVEENCERILQWIQTWRENQRKNGGDTEGS E EPUS_04033 MAHNYDVGTRAWQPDPQEGWISSEVVDRKVQGGRVTLRFILANE ETKSIETTEAALQDGSDPSLPPLMNPTMLEASEDLTSLSHLNEPAVLQAIRLRYAQKE IYTYSGIVLIATNPFARVDSLYVPQMVQVYAGKQRASQAPHLFAIAEEAYTDMLRDAK NQTVVVSGESGAGKTVSAKYIMRYFATRGTPDQPGKQSIGRADTISQTEEQILATNPV MEAFGNAKTTRNDNSSRFGKYIEIMFDERTSIIGARIRTYLLERSRLVFQPLKERNYH IFYQLIAGATDEEREDLGLLPVEQFDYLNQGGEPLIDGVDDQADFEATRKSLSTIGVT GELQAEIFRLLAALLHLGNVTIATTRTESSLSSSEPSLVRACDILGISAADFAKWTVK KQLTTRGEKITSNLTPQQATVVRDSVAKFIYSSLFDWLVETINQGLATDEVLQNVKSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYMREQIDWTFIDFS DNQPCIDLIEGKMGILMLLDEESRLPMGSDEQFVTKLHHNFAADKQKFYRKPRFGKSA FTVCHYAIDVTYESDGFIEKNRDTVPDEQMDVLRASTNPFVVEVLEASSAVREKDSAA IASKAVGGGPGRRIGVAVNRKPTLGGIFKSSLIELMSTINSTDVHYIRCIKPNEGKES WKFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLCHSSQWTSEIREMC HAILRKALGDISHQAQDKYQLGLTKIFFRAGMLAFLENLRTTRLSECAIMIQKNLRAN YCRKRYLDARASILALQALSRGFAARLRADDARRIKSATTIQRVWRGSKERKTYQAIR NNIVLFESLARGYLRRRQIMDTRLGNAATVIQRSFRTWRSLRAFRQYRKKIVIIQNLH RGRKARKQYKSLREEARDLKQISYKLENKVVELTQSLGTLKRENKSLIAQLESYEGQL KSFRSRQNALEGRSRELQAEANQAGITAARLTALEEEHARLQASHAEHMGNAKRLQEE EKTLRESLHTTTTELERVRQMNSQHENEKTSLRQQISELQDQLELARRAAPVMNGVNG DFQNGNVPPPVNGLINLVSSKKPKRRSAGATERAEIDRDSAAYNPRPVSMAIPDAYSK NLSSSALMPGLESVEVELENLLAAEEELNDEVTMGLIKNLKIPLPGSNPPPTDKEVLF PAYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHEGEEAINPGAFWLSNVHEM LSFVFLAEDWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLQKMIVPA IIESQSLPGFVTNESNRFLGKFMPSNSTPAYSMDNLLGLLNNVFKAMKAYYLEDTIVT QTVTELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLEH LMQATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAVA SRVTEKSDVLLLAAVDMEDSGPYEIAEPRAITALETYTPSWLQTPRLKRLAEIVSAQA MAQQEKAEYLDGPNGDLDGL EPUS_04034 MSEPIRNKKLDLSTAPTPQNTPANQAPISSHAQQPGVSSIKEED VDRNNAAAMLAQNPALVSMIQGKLGSLVGRSSGYVESLPVPVRRRVAGLKGIQKEHGK LEAAFQEEVLQLEKKYFAKFTPLYQKRAEIVNGNLEPSEEEVNAGKAEQEEAATAESE AQNKSEPKEDMKGIPEFWLTAMKNQVSLAEMINDRDEEALRPLCDIRMEYLDRPGFKL IFEFAPNDFFTNKTLSKTYYYREENGFGGDFIYDHAEGDKIDWKAGKDVTVRVESKKQ RNKTTKQTRVVKKTVPTESFFNFFNPPKAPSEDESVDSEDDIEERLELDYTIGEDIKE KLIPHAVSWFTGEALQFEELDEEDLEDGDSEEDDDEDDEEDDSHDDEEESAEEDDGAK PKQEAAECKQS EPUS_04035 MDNPERPGFGQLKRSLSGTQRTYRRNSTMSNASFVSEVEMAQDE VFAGPMSESIPTSITGFAHRRGRTDSITSFTYFQEDNEAPEWPEEEAVADNSDEDDIL DDMGDRDLEAGNVSRKQRSSSERSRFSADQPLLKRSDSTRSDTRALKEGGSFSQKLYI VTEDMTIVIAGFRTSMLGFAAYTAICVCTLGLAYLLFRWMPRWRVKIVGSPAPLKACS WVVIEVSFWTGRPKAHTWAKNGQNQWGEFTVHRVSTEQYGHPLSTVFGFPPKEILNGY HDDDDAILDTLKVLDYRYMRLLFHPLEDKFILNNHWTDSQWTDIKALRAGLDSDERDI RDQVFGKNVLEIKQKSIPQLLMDEAFHPFYVFQIASLVLWSLDEYYYYATAIFVISVF SITTTIAETRSTMTRLREISRFECDVRVLRNGFWRSVVSGDLAPGDVYEVSDPSLTQV PADSLLLAGDCIINESMLTGESIPVSKIPVTDNSLALLDPSAASIHPILARHFLFCGT KIIRARRPQDVDDDEAVALAMVVRTGFNTTKGALVRSMLFPKPFGFKFYQDSFRYIFV MACIAAVGFIASFINFVRLDMAWKFILIRALDLITIVVPPALPATLTIGTNFALSRLR KRNIFCISPQRVNISGKLDVVCFDKTGTLTQDGLDVLGVRLVQHPEIRFSDLLLEAPD VLPAASYERDPTVDYRINKHILYTMATCHSLRLVDGELIGDPLDLKMFEFTGWFFDEG EQQQNGFVQEDESLHSALPIARPPPGMEYDLDDSQDSDNPLPVELGILRSFEFAAHLR RASVIVRQRGDPGAHIFVKGAPEVMKDICQASSIPSEYDDLLSYYTHRGFRVIACASK YLSKLSSDRTQKMERAEAESRLQLTGFIVFENKLKDATTKVIEELNEAAIRNVMCTGD NILTAISVARECKLIDRSEHCFVPHFVEGDRLDPRARLVWESVDNSVYQLDENTLMPL LPPAEYDSSAPYDTIGLRDFSLAVTGDVFRWIIDFGSQEIMQRMLVKGQVFARMSPDE KHELVEKLQSIDYCCGFCGDGANDCGALKAADVGVSLSEAEASVAAPFTSRVFDISCV PELIREGRAALVTSFCCFKYMSLYSAIQFTSVSFLYASASNLGDFQFLFIDLCLILPI AVFMGWTGAYPTLSRKRPTASLVSRKILTSLLGQIVLCIMVQAVAFDLVQKQPWYKPP EKGVDHPSVDNSQDTALFLVSCYQYILSGVVLSVGAPFRQSMTANVPFVVTIVVTLLM SLYMLLDPAEWLSNFMDLTEMSLDFKLVLLVLAAFGFAVAYVAERRIFPTGSADVGID GHEQLNSVKWEVGARGKKADLRMEDVDSTCSEPAYASYVQHDGTQRAVEVPQGLSAEV EEWLEEARIHLLDELEG EPUS_04036 MPASDAIPPIALPFVSEKAKKTLDLVEKFVAQECIPSDTVFHAQ LGEGEQRWKGHPSIIDDLKERAKKLGLWNMFVPRNHFKEGAGFSNLEYGLMAEYLGKS RVASEATNCSAPDTGNMEVLAKYGSSAQKQKWLTPLLNGEIRSAFLMTEPDVASSDAT NIQLTMRRDGDEWVMNGSKWWSSGVGDHRCKVYIVMGKSSPHEKNPYRQQSVVLVPAD AKGITIHRMLQVYGYDDAPHGHGHISFKDVRVKDSDAMVLGEGRGFEIIQGRLGPGRI HHAMRTIGAAELALEWLIARINDERKKTFGQALSSHGVLLEWIARSRLEIDAARLVVL NAAIKIDGANAKAALKEIAEAKVLVPSMALAVIDRAVQAYGGMGVCQDTPLANMWAQI RTLRIADGPDEVHLQQMAKRENNDRRDEVIKRLEWQRAEADRILERMGVKKLKSHL EPUS_04037 MTHPEDKQCQAQAQPQSYISPSDTIMSPTTKKLSEMKGKRFASG KPQSLFAKTVGRQIQTNGQAQAQAQAQGQAEAETRAQAQGQGQIQSQKGRDGGGGEGE ENSIADSKTEAAKEEQSRQGLAGAKEMR EPUS_04038 MALNISSGYAPPSNLQDASDAGLPIFNVERVQLQFNIAADFVAA QVANNVLILALATGRILRIDLDNPADIDDVDLPKKPAEVGLIRRMFLDPSASHLIITT TLGENYYLHTQSRQPKPLFKLRGVSIECVAWNPSLPSASTREILIGATDGNIYEIYIE QSSEFFRREGGYTTQVWRVPDGAVTGIWTDTIPGQSDLRRILVASHNRLLHFLGKTGG RGREGSSSIYTDLFRNEAPVAHDTSGASVSAPSVLAITPESHKSDGNQQDEQGFAWLY SQGIFYGNLSTSPASPAVANKIFNEAKFVPRSKFPETVSARGGRKLIQDPITSMALTQ WHILVLVEGHIVAVNRLDGSTVCDQVVLEPGQTTLGLVADQKKNTFWLFTSQEIFEVV ANDEDRDIWKIMLKQQRYDEALKFAKDAFQKDAVATASGDHLSSKGQYLEAAGIWGKS SKAFEEVCLSLIDSGQEDALRKYLITKLGTYKKSSTMQRTMMATWLIQIFMGKLNALD DMVATKAELLENTDAKGAKEQLQRTMREYQDFVSKYKSDLDAKTVYEVVGSHDREEEL LFFANTINDYNFVLSYWVQREKWTEALTVLNKQTDPETFYKYGSVLMIHVPGGLVEIL MRRNSIDPPRLIPALLSYNEKADGPLNQNQAVRYLQFVINNYAEVPAEIHNTLISIMA SHPSPSEGVLLSYLESQPVPPPYDADFALRLCIQHNRIQSCVHIYSSMGQYLQAVELA LQHDDTDLAAIVADRPEGNDKLRKRLWLLVAQKKIQQDAGIKSAIEFLKRCDLLKIED LIPFFPDFVVIDDFKEEICTALENYSRHIDDLKQEMDMSAHTAEQIQAEIQALDLRYA IVEPGEKCWICSLPVLSRQFFVFPCQHAFHSDCLGKKVLESSRLGKKKYIKDLQNEVS RGLSTGVKRENMIKELDSLVAEQCVLCGDYGIKQIDEPFIHDADDRDEWSI EPUS_04039 MSSKKVFPCNTCDLVFENSQAQRTHMREPWHVYNLKRRMASLPP ISADSYAENVATSLVSNKQESLDAAPSSAVVKPSPEEEHAEESDEFEDPIGEKAQINC CLFCTMDLDSLETNLEHMSLQHGLYIPEIEHLSSLETIVGYLRTVITEYKECLYCGMI KQSTEGIRRHMLDKGHCMINLDREPELLEFWDFSDSDEHDTDDEEAPKSRARKTDTTA SKDLSEGEYTLPSGKVVESKSKAREARLFARRTASATKETSSRTITEGTIDNTDQNSL AYPAESLIRTQGGTRDRALAVRDALGLVGVSEQQMRSLVTVQRKMQRQQAIVRASASW AGDKGGIHQRHYKVKMNLRDG EPUS_09068 MPNPQKSNLAVESGCPETTPTTNPDDPPKPCDYFDMIGGTGTGG LIAVMLRRLEMTIDDCIEAYVRLADRIFKKPGQRLANWNGPVKSIFNTKALEQEFKAI VRKQTDTENTLFENEENESCKVFVCATRIENARPMQFKSYPSRTSSDLLHSARIWEVA RATSATPLFFDPIKIGRFGEGFTGGRPDIDNPIQTVWNEAQHVFLREEMMLKGNLKCL VSIGTGEPSLEAFGGNLRAVGLSIGRMAIYSRIVAHSFQSAHGELVRNHQYFRFNIDQ GLEDIGLEHSTRAGDIMKATRNYLQSAELSSQIQACAGRLKAGRRGRRPRSLSSPCAV SILGQSSLSAPPRKEGQTLQPPPTGSSRQPTVRLEDSRHNDVQEDIRSGIKAAFNGSP HGPFDPGRFCTASFHVSLRLEAFLDWYCEADPCRDLEAVLTLTGSAIDAQAVTCKQYM KQTWPSSGIDTLRAVKKALAQAKANSSPSPYECTLSDGSGFECSVSDSHLQVFASGMQ ASLVEIGEQLAWLGAALQRSPNTTKMAYTTARVVDLRSTSSQETTSAQALAISMKIHF LTDVLDEATLGLAHRGCCWHLLFHKPVIVQGYPISPRTHNEKGLEISLDLMAGLGNAN YLTEFHGIPVLKGFFTMFVATVCSDDSLVWHFLCSKNEKRISYSEAVKYHPDGITGPK PENPNGEAIRHFVGWAPSVRRLAGTFDADYDHIERSRSGYCSAGCVLQNLSFTAGRYI TFSGTIGPGIRNRRLITLGDRGYVEAVNYARQNIYINLYDVEERRGWLFDGASVVLHL SRTQLSHNASPLSRGLVLELQALHLATGDGGAEAAIAALTDARNMHLEIADDLLESRI EETITEGGAASRDTKKTGKRMLFHELVKRNLCLSEKMSEHQKDLTESNGIINLQGISS HKLEGWDFLDLVSRTTSLQPRFHCLMPSGRSWVNFTRCVNTINLLGRKFGPLIQPSRD AIPLCDKWKQVPLGQDFLATCVSTVDEICQKWGDKEANPFQLVQGIYWHKTHLLFERC EPUS_09069 MAAENPYRAIVLLAITFRSIAFEGPPYEKPEEKAEGSFTSQFSQ ECRNARSESGLSSGWISSLSWRRVWCRDLAKAATKFDNGPRHDIWQDAENVPANSSLL EDSW EPUS_09070 MTSSHAHPTTHHSSNTSSSAAPVAKSADPSKQGCHWLVPGVGYF THRSKFTFDGTTLPAGLKANVHTVGGNAPFNRCFTTSNVYIDNGFLILRVPGGQTESP IECGEVQTTFTDILHASVRTRAIFSEVPGTCTGMFLYKNDNQETDIEYLSDPKSLSNN GVGAPIPLHYTNQASMAGKDATYSTGPGYDDITTTIHEYRLDWVPGRTSFYLDGVLQK SFTTNVPTQAGPWLWNHWTNGDEGWSVGPPAVDSLFRIRDIVMYYNRTSIEGTVGPNG MG EPUS_09071 MVVRKSTPAGAFTSESTSSHLSPASEPASLTSSSYETVTRPSNS TSNSYSSSYHHPRPNPILEGEEPASGAVNFDYNGGPSAPKGGTDETPLPVRLQAGGTT RSLQNMHTGLPDTLRACLSGSGKATPRSSMDSERSRDFWEEDVHDNTGRSQHLSNVDK AALSTPEPISASLSPSIPGPNIPKLPHRSNNPFRQGNSSTSFTKNSVSSSNAFMFDID DHKATTPETPVEMTANLSLVDRPFSEYSTSFRPSTLNLNSTFRELEPEQTSLCATRAQ LKPSTLRSPSLESPLGNHQSALIPVASEMSEDTGSESLHPWPWNAIGGSAQDNNIVSG NARSSVGVNLEKELPATPSVDALQTAKVLLPAERDPNIPLQGPIPSQGTIPQKPELSL DPPPTPSRLREHSNATTEAEMSKLREHRNETYQIKHFNWFDHNSRTLRRSSMLTQNKN GPCPLLALVNALILGGENDTHSALGAALRTREQVSLGLIIESLLDELTSEGRGGQLTE LPDVDELNGFLLRLHTGMTANPRLASHETPSPNLMDARNSSLHLPLSLNNDRKPGIFE NTHDMRLYGAFNIPLVHGWLAPRSDPARAAFARSAQTYEDAQTIQFREEELEDKLSRV GLTSEEQQLLQDISSIKSFLESYPTQITPYGLEVIYESLYPAGLAILFRNDHFSTIYK HPESGQLFTLVTDAGYSDRDEVIWESLVDVSGQNSEFFSGDFRPIGNLETTAKTQQTP LDSSSTSYDPQQPSQLQGARSPASFQEQQQQADADFAMALQLQEEEEARLDDPRRRSN ANDTNRSSGTGHPNRPGDQEATRPAIPPRNSHNQGVTRPVDPSSDEAPPPAYEEAAKG KPYLPPTGHPQHPSFHGRSPSSGPSTPVYPPARRQAAPRRMSAFQETSQFQPSPPLTR PHTNNSLGVSQSSGRSRDREKDCIVM EPUS_09072 MFPAIDELPDIIWAFSLKGWTDNELGIDWLRRIFIPQRPIGKHS ILILDGHDSHSTGLFQYLCLQNDIHPLYLPAHASHKLQPLDLGPFSPLKAAYGQLVQR FALTGLATLNRRVFTKLYIEARQTTFTERNIRAGWHHTGIWPLNKQKLLNDPEIRNFG RTTPEYQPPATSDGLYSTPKQSDNLRALIRQIEAKTTPQTRRAVRKLGHSAIQEHTGA QLLRTQLRELRQLALKQELTKRSKRIQKETKQRSWNLEQVRAALAPKKVHFVRKEGGE KRILRTVTLE EPUS_09073 MRTIDPLMQVPLKSFSSSMHPTIQPNQYVALRLPSDQLKVQQIV QNNIISLGKYGAFHADQILGRPFHLTFEIINGEELRIVPAAELHAHALIQQMETPSAS PGDEGDIEHQRDGLLDDNHTNQIIIDDPTSQRLTVAEIEALKSDGSGNSKDVFAKVIG AHSTSEERTAFSLAKYTLRKNRKYLRRFCVLPLDVPTLTDWLMNERDFGKVMELRNDV LGLIGCWANIYHADGSHSEIRPSGRYLLVDDTGGLLVAAMAERMGILYAEGDNNDTAE SNHPYTEDQGSESPDHSRRGDRDIPSMSAISNTITLLHSNSQPNLALLKYFDYDVNTP SPKHPLFTHLKTLSWLQLLSPSEDPAYTEPDTATPETLATWKSSKRSAYYRKRRRWMR IKSVVDSTRQGGFHGLILATLTSPGSILNHAVPLLAGAAQVVVYSPYLEPLAELADLY STARRTAFLNTPEEQRVVPSTDFPVDPTLLLAPSVQTARLRRWQVLPGRTHPLMMGKG GAEGYIFVATRVLPAQEKVTARGRVGGKRRKVENLADPLDVVGAAIEAQAYSEETRPS VNLA EPUS_09074 MPAYHSIFLGDTGVQLIGNFPLLPLRTKTRGPAYALPPLPPSVS PSDVDPDSESYDILDETLSLFRANTFFRNFEINGPADRLLIYGILFLSECLNKVKSNM DQRSAEKALINGALEQFAIPGDANFPLNQAFEAPSDRQQAEQLRGYLSQVRQELAMRL NQRLYEGGAGPSKWWLSFKKREFMGRKL EPUS_09075 MSDFEDGMEIDGLAPKESIQFSFENTAIKGKRIVADLPIEAEDN LPWVEKYRPNTLDDVSGHQDILATINRFVEHNRLPHLLLYGPPGTGKTSTILALARKI YGPKNMRQMVLEMNASDDRGIDVVREQIKTFASTKQIFTGGVGASTSSGGLGAFKLIV LDEADAMTSAAQMALRRIMEKYTANTRFCIIANYTHKLSPALLSRCTRFRFSPLKEAD IRGLVNHVIENENINIDAEAVDSLVKLSKGDMRRALNVLQACHAGSRPLPVRNAPKPE AEDIKYEMITGATIYNCIAAPHPADTRLIMNTLLSTPDVMSCVTTINTLKSNRGLALA DILTSLAEELQTLEVSPQTRIIWLEGLAEIEFRLSGGGSENIQTGGMVGIIRQGCELM GEKGMVVDT EPUS_09076 MSAAYKLLGSREDLPAHSDKESTTSDASSATIGRSSESPTINSP PVPLAIKSRILMLTSRGVSHRHRHLLADLNSLLPHTYKDTKLDTKSSNNYNSALNALA ELHSCNYIFFLEARKHGQDLYLWLAKAPNGPTVKFSVSNVHTMGELGFGGNCLKGGRG VVVFDKSFEDAEFSGGQEHKGLVREILRGVFCVPQRGVRGKKPFVDRITGVFGLDGKI WIRVYEIREVGKESEGGDRSSTDVSLVEIGPRFVLTPIVILEGSFGGPVIYDNKEFVS PNQVRSEVRLKKAGRHIRRTDDKKERVVKRGALGLDSRGRRKQNPLDNHVLFK EPUS_09077 MPFHDLAPEIILTILRNCSSITDLVNLFRSCRRIHSMLSTSQKT LILYKVAEIEFGPLHDAFQLLTLNNSQPAHSIRDPPRSHSLLRQIIAVGRVAKKWEDI YPLCKWDSDFFDRRSLSGDERYRLRRAIYRYWLYTHAFHTPAYPRTSRRIPQLVVQRV QLLHNWSTKELFEIDDFQATLRALIGSRICPSDSAVRAIGHGDGEYPQTSSVKRPLTT AAQDLFHTSRDGHVVHRQDRHHMNVFMGWGDPVTHYYIIEDLLKLDPEAVLWLYDHSQ KWQVEGYLDSLGEWFCNNGDTFSETLTCVIQGREIDLVDRMDSCVGIIKRDCSPDVK EPUS_08917 MAYGRPAKRRRLSPPTDLKSVSGTIKSIDLFHRAAEWDLEQDYE QRSRLNKQRETTKLPIKTAEGRLERQQEIPSQDDESDSYLGTGSEADQGGADTPPTEE AEEPSHVPLKHQLVAAKEELARIAGLMNEDPEEHIGSFKKLAAVAGSTAHPNVKKLAL ATQLAVYKDVIPGYRIREYKDEDLGTKVSKEVRQTRQYEQALVTGYRRYVEELAAITK AGAGTEETSGLKLVAINCACALLLAVPHFNFRAELLRIVVDQLSSRLPTPYFAKCIQT LEDFFDADDDGAPSLEAVGLLTKMMKAKDYRIRENVLSTLFHLRLLSELSVKSSTSKT DQLDEIPIYRGKKTKAKWQPRSKKERKLARERKAVEKDMKEADATVTHEDRSRMQSET LKMVFTAYFRILKLGTPHLMGVVLEGLAKFAHLINQEFFGDLLEALKDIVNESSVIDE EALETKDNEDIFEEIPSTRNITRESLLSIQTAFTLLAGQDVSKSASALHLDLSFFSSY IYKTLYQLSLDADIELGPKSLHLSDPHPSSKANSDHQSRNKVNVSTPMLLLVRVLTSI LLTPSAPPPTMTAVAFYKRLLTTSLQLPEKSSVALMSLLSEVAQKHGRKIEALWYSDE RKGDGSFQGHSESIENTNVLALGSGIWETELLRHHFSPRVRKEVADIDKLIRGLNR EPUS_08918 MDEKLKPYDSDDEYKLKLPTPTRRESESSTVRSQCVLENAMSKS TPHLKTYGFYLTRKLDLKICDEQKNIIYFAQIHEFTSAPDVVLRQGIDKHAPIVGVAR FRLSSDLKLGLGDPDTNEKDTVWENMKNISWGLGHSKYCFEMTVNNERRSFLWQRTRD SADGVQGIGKLINWNYKLVDEKTGEVLGVYLDNLKSWSKKGKLQLKADLGEDWELMVL LGCLGLCEKASRRVRRRAGAGAGVYGNC EPUS_08919 MSNVLSIAPLPTPPTGTSQFIGGSYAGFGIEPSNLYSYTGGSVT NTLSVNLLNNLANYTGAPPHLRIGGNTADYMIYVASHDSYDVSPNPDAVGQGRFATDS LIFGPRYFEVLDRFPPGSPITFGLNLAYSESDYLDQIVSSADAARTGLKNVDLVSFEI GNEPDLYLQNEFRSGQWDGEVYTDQWLDRASAVYQRVLEPNGIPSNFFEPGCTASTIG NNFRIEDLVSFGIAETNGSANSFISSWNQHDYYYYIGVSSYELTMERFTDFSTTITQF RDWTLQVQQATDTGYPYLLREMGVVGPIGYAGITDTFAAALWTLNFFLYAAGLNISSV QMHMTDNSNASAWQPIPFYGKQPYIRPVYYGYAAMAQLIGRGCSTQVIGIPFETFPAG YEDRIGVYTTYQESKLAALVFINSFVANASDDKGSLTIDVSLPDFSGQTLYLSYLTAE GADSTQGTTWNGISYDVSGDGSPTIVSSDTRNITVGSDGRVSVEVRDSQAVVANIGSV IGSAPAPADRVCEAVTMAEVTPTSFSISAATSIPASSTPSSRSESGAISMPWSFLLLG LLALPVLEFFP EPUS_08920 MSETPSDPAATRTGRRRSSVVYDPARDVFQPAPDSLPTVTEERV QAAANGGAPEQGLDHKPHSDSIPRIPLSTMTPEIGKKRQRSPSPTSRQASSDDRPLSP PPEKKRRSSITEQSLPSGSSRIPSPPAQKLSEPSLTNSTHALKPHVDAKVSVDHSTSS STLRQDGQMSSQGGRSPQSRSIHPLHTDRSHIDEKDDNRNDGSSQRRRSPQRRRNGER YSPAPRRSRTRSPIRRRSPKSNTRSVYGRRDPPPAPRRSRSPSPIRRSPPREEQPMRR PGGGTGRGRNVLAIAQRLAEERERQEEAQNAQIQRDRGVQQMSNQFYNARPEWVKERG RDWRKNESKIKGLRSFNNWIKSCIIQKFSPEEAPQVEELGWGEEPTAPAERKPLLVLD LGCGKGGDLGKWQLAPQTVGLYVGLDPAHQSIRQAHERYNEMRRRRKPIFDARFHVKD CFGEWVGEIPIVKEVGIDPNAGHGGPSKWSGGGFDVVTMMFSMHYAFESEQKARMMLR NVAGALKKGGRFIGVVPNSDAIAEQVVKWCRANKDKKHGGAEVTHGEANPNSTPAPNG QEATKPDQQTDESFNSPPHWGNSLYSVRFGTDRPVPQDGVFRDPPFGWKYMYWMEEAV DVPEYVVPWEAFRAVAEGFNLEQRYRKPFLEVWEAESRDRELRELAGRMGVLDREGNL GITGEEREAVAFYHAFCFVKV EPUS_08921 MVNSSTTAQGDNGLTDLERLVDSYPACLEFLGIKQTADHNGQPA LSIPLDLESRSEHQSWQAVFSAGSRTWKLEKKSLPADLDDHDRSDTQHPSFGDQVNKS KDKPDRSFLDRDDWATLLLPCSDHVKLFRETDWRATSLGALHTWPKNLRSATQIMLAD CRAVALFWGPDRLAIYNEAYVPLAGHNHPALFGAPFQKLWPEIWEDFADQFDTIERTG HATITSDSVMYLDRHAYLEETWFDISLAPVRDDMGDNGGILNTAQEVTRQVLLDRRMK ITNSIAAPPDLRIESIWQHILGVLEMNEKDAPMAILYAFEESLDVTSSPSTLKLQGTL GVPPDHVAAPQEADLQRASNGFFPTLRRVKISCEPVVLRREDSNLSDTLLEGFSWRGF GEPCTDVAAIPLLASEDYLLGFLIVGLNPRRAFDKDHHQFVQELSRQLTAVLASALSF DQAQKREARLSQELADSERRIRKMAEVAPVGMIDISAEGMLAWANPQYYDITGHPTNP KALYEKSFIDAVHEEDQEKGAAACSKAFDEQKVVSVAVRLKKKFRPPPSMFNGPDLEH TWILGNVYPNVESGVTKGLFACITDISQFKWAELVQARSAEAAKKAKRLQEEFIDFTS HEMRNPLSAITQCADGICSSIAEYKSALHGTQPGRLQDIIEDNTEAARTILMCCAHQR RIVDDILTLSKLDSMLLSITPVPVQPSAVVLAALRMFEAEFLSNDIHVDTMAERLEDD VDFDWVYCDPTRLTQIFVNLLTNAIKFTRTEPKRSITIHFGASASHRPKAVGRNIQWF PTGKSRPDLTVHPEWGFGESLYVYFAVTDTGRGLEQDETTRLFNRFAQASPRTHVQYG GSGLGLFISRELSEMQGGEIGVESRKGEGSTFAYYIKARRAPTSGITLSGPESSAVKN ASTEDLLSFRVHRRSSSMGKAVQARGVSKDEEKPSKEPLAKFHILLVEDNLVNQKVLR KQLTKAGCTVDVANHGIEALEYLRKSTAWDAANRQGPGTDLDVVLMDIEMPVMDGLTC TKKIRELEQQGLLSQHLNVVAITANARAEQVVIAREAGVDDVLSKPFRVPELIERMEA LGVQSSKTDGNP EPUS_08922 MDQQRFLEQLQIVLDPSKGNVKSATSVLQNEFYKQPESLLFLIQ LIISHDLTSLKQLAAVEARQLVPKHWTRISANQRPHVRSQLLQATIAENDGKVRHAAA RLISAIAKLDLNDGEWADLPSFLQKAATSSKQEERVVGTYILFSILETMGDAFSERFR DLFALFGNTIRDPESNEVRINTMLALSKMAMVIDEEDDQASVKAFQGLFPSMVGVLKD TIDAGEEDRIMQAFEVFQTLLGCDYQLMSKHFQHLVIFMNQIASNKDLAEDTRVQAIS FLMQAVQYRRLKIQGMKIGEQLVMSMLQIVTELGDSAADDDDITPARSALGLVGSMAQ QLPSSQVIVPLLKALRQFSGHSDPDYRRAGILALGMCVEGAPDFVGTQVQDFIPVVLR LLDDPEVKVRQAALHSVAQLADNVPQDLGKQHKKLMPMLLKNLTAAMQAYQGEEDGPN IEMMKAGSSAIDAMVDGMEKEDASPYLEQLTQLLQRLFQHPDFKIKALAASALGSLAS TVESAFLPYLDDSMHSMQEFATKKESEDELDLRASITDAMGEFAVAAGPEKFKNYVAP LMLACEEALSLDHSRLKESTYILFGSLAKVYEEEFAHFLPGVVKALFDCLDQEEADIE VDLGERASDLLGKEVTIAGKKVKVAAATDDDVAGEDGGIGDVETYDDDEDDWNDLTTV GPIALEKEIAIEVLGDVISNSKSEFLPYLEKSIEKILPLIEHEFEGIRKATVSTLHRA YAALWDVEEEAGHIQKWQPGLPLKVEPSPRLKKFGEVLMAGTITVWADEDDRTTVMDT SRSLAENLKLTGPNLVSYPDVLEKVVNVVMALITKKHPCQEELSLEAEADLSDIEITE FDWLVVDSAMDVISGLAVALGPDFTQLWKKFDKHILKYASGGEALERSTAVGVLAEVI TGMGSSVTPYTAQLGQILLRRLTDEDPQTKSNAAYAIGRLVEKSHDSATVAKAFPPIL SKVHNLFTIKEARCQDNAAGCISRLILKHREAVPTDEILPELIESGILPLKEDYEENE PVWKMIVQMYKWEDQTIIDLTPKLIPAIKAVLGEPEEQLNDETREQVQQLAVFLQQNM SL EPUS_08923 MPSSSLAFGQNQPFDSIAGPTYVTAQTLIQQVAYSLSDKLFTYS PDTFDLDVSASEWFAQGEKNANGYATSVHPIQVRQGAGSIALGYIFSKDFDLKRRHIP QGLLASSSSLRYLKSALEQLSLLYNVANPFVAHIAAADYMGSKKGGMVADYTNAMAVA EDLGYGMVSSTSAYESQHMALLATTMAQILPTFHIYDGVKVGRETTRVVDVLDRAGLR RAYHSVIAAGATTDNKHMDIQGRAMKLLQSFNDELGSDYQFFEYSGHPLPDAVLVTFG SIESSLARQVASVLSKQDTRVGAINVRIYRPFVEEEFLRVLPKSTKVLGVLGQVIDKN AVREPSIRSSLYEDILPALAFSGVKAQVQELKYSRSQNWTSIEIAAAFQTIIGKPVLI EGINGTASALELLDSSVQQYSFWDVDQSPLAEAATILARTLSKDSSNNVTTSSIYDNE LEGGSVRIDIRKSPKSLEASFSIDAAEAIVVGEVKLLAKVNIVKSVKDGGNIILKLPG VKDEDVEKKLPVSFRKVIAQRAINLFLVDPAATTLAEKDNVEPIIMETAFTRVALRDV EPTALKKLAAIVGQPELVEAVSKDLDNTLREIEVPKSWADTEPESEEKILSPDLSCNS FVGFDKDESEPLSQLRTWQKAAKGLLFKEAYNTKPALRPDLPIKTFTVHVKENRRLTP SSYDRNIFHIEFDLGASGLKYDIGEALGIHAENDPTEVMEFIKFYGLDPEEVVEVASR EDPSVFENRTVYQSLVQNIDIFGRPPKRFYEALANFADNEGEEKELRILGGPEGAKEF QRRAEVDTITYADVLLEFPSAHPSFHDIVRIVAPMKRREYSIASCQAVTPSSVALMVV VVNWVDPKGRDRFGQATRYLSKLPLGSPITVSTKPSVMKLPPKSIQPLIMAGLGTGLA PFRAFVQHRAMEKAQGKEIGSVLLYMGSRHQREEYCYGEEWEAYQDAGVITLLGRAFS RDQPQKIYIQDRMRQTMTDIIQAYIKEDGAFYLCGPTWPVPDVTNVLEEAIAIDAKAV GNKKVDPRKEIEKLKDEGRYVLEVY EPUS_08924 MASYEDDDLPHKSINDAQLMGSSGKFSNRYFAQDALSSTCVSGR EHSRSASPELTCSLTVQAPLFMLHPLPEGETKTVDFDDDDAYSVEALLIYLYTLEYPN RKAHKFEEPPPKAGGLSQKRRPRRFRLQSGPVIKDPSADPVPMIIVQKVPYIVDNERE TKSTRFKLKSEAPKETWQERLGLYRIAHRLNLTNLCKISLGIMRSEIDSALRATNADE FIREVYSLDQDEAQSIKEDIATKIAEAGPQTTCAFVLYSLLRSHPFLGCNLVKAMRAN EERRTEMTTKLRVTNDQQAKEIDGYKQQLAESWHEAEERSELASMAERRLQDEKTEAG RLSKTTTQLRKELRECKEALAKQETETAECREQIDQLEKEGKVAKKPNRLLRHGRQRP TNIIVGAEMYSG EPUS_08925 MSRTGPKRVRPTETSNSSRKRRKLVATSSESKNIKPSASTSVAT VSANELAWREVTPPERLDNAEGFLGLEEIDDVEVSVSQHPSSRTNTVFSKPNLSTESG VSLENEDEWSGLTDDDRSDADSREEKTQQRYEGNVPRKTSGKRKLEKSELVSGVPYSI LDNASEDKGVDTSEWSALSLSHKTLAAIAGLGFERPSPIQAAVIPQVLAGHDVIGKAV TGSGKTLAFAIPIFEKWLASKTSAHIPKPQRKVLPTSLVLTPTRELAHQLSRHFEDLV ENAVEKPRTVAVTGGLSIHKQQRQLVDADIIIGTPGRLWEVMNGSQDLIEDLKHIKFL VIDEADRLLSEGHFKEVEEILDMLDRQVISDGEVSLSNNADSNRPNRQTLIFSATFHK GLQQKLASKSRTAGGDLLTDKQSMDYLLQKISFRETKPKFIDVNPTSQMAQQLDEGLV ECSPMEKDIYLYSLLLQRPQAKILVFTNSISSVRRLTPLLQNLNFPASSLHSSMPQKA RLRSVERFSTPKTGGTILIATDVAARGLDIQNIDLILHYHVPRTADSYVHRSGRTARA SKRGESILLCSPDEVAGVTKLGAQIHSPGAAGNHHLEPIHVDRQLVARLKPRLTLSQK ITNATLAKEKVGSQDEWLRAAAEELGVLDFDSDEFAKEEAKNSRGRGATKKQQQKTTG AVPKAEVAGWRAQLKELLGRQINLGVNERFLAGGGVDVDSLLDVRDKSQFLTGRTMAD EPUS_08926 MGKSSKDKRDIYYRLAKEQNWRARSAFKLIQLDEEFDLFSYEDP AKCTRVVDLCAAPGSWSQVLSRVLIKGESFGRRAWIEKQSKLFGKQEDPALDKLEKLS VSEGTGSVVAETGKSSAASQDEKILLPRENVKIVAIDLQPMAALEGIVSLKADITHPA TVPLLLRALDPDYEDGPMTETHRVDLVISDGAPDVTGLHDLDIYVQSQLLHSALTLAM KVLKPGGKFVAKIFRGRNVDLIYAQLKLVFTRVHVAKPRSSRASSIEAFVVCEGFKPI AGWTPEIGASIRTNKPASTTTSGKQRRHVREDGITELEMETAYDYAERYIAPFLACGD LSAWDADASYKLPEGHVSLDPVQPPTAPPYKAALEKRRMDGGAYGKTKKAVKDPKSQS GDP EPUS_08927 MSYVISAGTCQDKLISNTDLSSDHHFIKAFVFLLPSKLQTSVTP PRASHTSPLSIIIATMGSDPQFAKYPNLGLAQSIFSISTNPTAVKSIQNAIQEHKMAP LYRHLAHPVEGMLNSSGEGSAQSPLKPPTRRESIISSNLLPAGRPRLTNVLPWDATIY EELKADNEKELAAIQKEEEAAEENAGETEIQAARGKRAEFWARVGDKDKAITAYEKVF EKAGPLGTKIDIVLAIIRVGLFFGDKLFVKKQVDRANMLVESGGDWDRRNRLKAYKGL HLLTIRSYNLAAPFLLDSLSTFTSYELCSYSSLVIYAVLAGSLSLKRVDFKAKVVDAP EIKAILGDGEEKVAALTGQISAGPAAGDEEMKDVSSSATPGQASTVVNLATLGTGSAT QAEEETPMDFTPLASLVNSLYTGNYRSFFVSLAAVEENFLSQDRYLFEHRAWFVREMR LRGYQQLLQSYRVVGLDTMAANFGVTVEFLDRDLAKFIAGDRIPCTIDRVKGIIETTR PDDKNKQYADVVKHGDTLITKLQKYGQAVRLRGSERA EPUS_08928 MTAMTAMTTTPLASSLLLRAQRFSLELSRACQAIAIVRQQSTQH NTASQPSAACSHSSPSKLSQKRNMSSSPRLRSLNIDNINPHVKEAKYAVRGELAIKSE EYRAQLKKAASQDPPTPPDSPLPFDKVISANIGNPQQLGQKPITFFRQVLSLLEYPPL LDNEEALRTSFGYKQDVIDRAKWLLEEVNSVGAYSQSQGVPTIRQSVAHYIERRDGYA SDPAHIYLSAGASSGVNTLLNVISASPKTGVLVPIPQYPLYTATLAVLNARVVPYYLD ESRGWTTDLGTIKEAYQKAKAEGIDVRAIVVINPGNPTGASLSADDVASVIKFAAEEK LVIMADEVYQTNIFLGTFTSFKKGLRDLQNSDSTGKYDNVELASLNSISKGMVGECGH RGGYFELVGFDAEVQAQIYKFISIMLCPPVVGQCLVEMMVNPPKEGDPSYELYNKEYN GIKEGLRERAYALYEAFKKMEGVELGEPQGSMYLFPTIHLPTKAIEAAEKADRKPDEF YCLRLLDRTGVCLVPGTGFGQKPGTLHFRTTFLAPGTEWVGRIVDFHREFMAEYA EPUS_08929 MGEEGLELGMKASDDQVRKWTGQKLIERIMSTDKDLKAQLQWPY ETSGACLQASRCSLWVHPNRRRLDDLGPWWLCILALKDHQETPNAGGTRTGGGQTPIT TQTATNPNPSINWNPAINPNRWISNDDTLSNPGATNANSFDMNDLPPNTHHQNPFLP EPUS_09501 MALGVGRVYPMARWHAADSSMPRDPRRWDIVEDLLEHAKKSSNH VIVYAYCSYKRQHEQTFSNLIATLLRQFLLQLPAVPDDFRKLYECHTNASSRPNIDKN ELRSLLYSATETCAKVFIVIDALDECTDDVRGELLSELFELQTKSNIDVSFLATTRYM KNILEQFEDCTELEILANEPDIGQSLGSQMRRLAPCVARNAELQELIKTRVISAAEGM FLLAQLHFDSLTDKTTPKSIKSALDSMQIGSDALNNAYKNTVERIQAQQTGFRDLAHK VLSWITYAVRPLTVKELQHALAVSLGDTKIDEEHIEDEEPMVSVCAGLVTVDASGKSN VIRFVHYTTQAYYEDAGKEWLPSVHFDIARSCIAYLSLENFSFGTCSTYEQLKSLMTQ NAFLEYAAQNWGWHVSYVEAQIIEDAESPLMPFLMKEDNINCAAQVRQGAVYYRNGVM VQPRVTPLHLLTCFGLRESINLFLNNNHHPNDRNELGETPLSWAATEGHEIVVKLLLD RADVETDPRDLFGQTPLSKAAKHDINVNSLGNYGTPLSEAAFRGHVEVVVLLLTNPDV DVNIQNFQGHTALFRAIRGEAIMGNNEDTVRLLLTRGDLDINLSDELGETPLILAMYH GLEDIVRLLLTRDDLDINFSGQELSSTA EPUS_01013 MASLIAGDKMLYSHRESDNGKIPAESEIADPKAYLHGSFSSPST GAPSAKRQPPPYVRSLSPDDRAHAEKMLVRRIDLRLIPMIIIMYIMNYLDRNNIAAAR LGGLEEDLNLVGNQYQTSVSILFVGYVLMQIPSNLYLNKVGKPSIYLPTAMVIWGVIS GATAGVHNFAGIASVRFFLGFIEAAYFPGCLYYLSCWYTRKELGFRTALLYSGSLISG AFSGLIAAGITGNLDGNLGLRAWRWLFIIEGAITVVIAAGAYFLLPDFPRTTRWLSDN EKELAVWRLDEDIGEDDWIDSKHQSFWGGMKMAALDTKMWILMVMLFCIVSSASVTNF FPTVVQTLRYGRVASLLLTAPPYVLAVITAFINAWHADRTGERYFHITLPLYIAVIAF IIAAATTSTAPRYLAMMLMPPGIYTGYVVALGWISNCMPRPPAKRAAALAAINAVSNT SSIYASYMFPLSAGPRYVVAMSVCSVTAFIAIIMATILRFILVRLNKKLDRGEHVEGA INSGKGIPGEAAQHGFRFLT EPUS_01014 MAATFERERPSTMPGQTEPIISHVESTFPPGVLPGLASRSPIGT PSASPPPYHMKDGRSDSPTTRLPEAIEPLDVTRSQIIAEPGRKPTNAYHQSISSGRVR TSDEDLDWPLPSPFRDQSPKKEAPNTPSLVTVRKSRPPSSNSESPWPLPSPPTSLGRG EALAGSSSPGPVLSRGARQASPSASERNLSRQSPFDDANASDSASEYSVSIRDKRPRS SMLNVPEEKHINDDGISEMSASSHGSNRRSRLNQRASDEISAVSSLGDSDNIGGQFEA GTGSQQSVVTALPHTDDT EPUS_01015 MGVGLTSEVGGCVDAGGGGAGEGFCIDVCSGKVVGGRGSDTVAG GPLCPWSGGGEAVGAVPVEDVLEGSCKGDGGAG EPUS_01016 MSNITGVLRGGWHPKGKDGGRESWRGDFKGVNQVAGLIGKGKNT STRSGSSGGSDHISRPLAALKDPAAFGPPPKNVNFHGGAALPNQTTPDRRGLGAPLPQ PVVESAERAVRVGGELEAGPDVKPTGPRLPYRANRTGLKTDHLPPPPLHRAANADSGT AQETGIAQAPATQKPSLPPRLPPRSNCSGLTSSVANISPPPTYDSAVNEPKPAVNSYI NQEAASGLGKAGISVPGLGIDQNQRTIPAEENHSASSPPPQFNELSSRFSRLNRTSTT PQPSSSPSSPAQGTTLAQKQSAIQTAQSFHKDPTSISATDAQTAANTANNFRERHQEH IAAGAQKAKSLNKKYNITGRMNSFLEQQSAPAQQQPQQQQPQPAPQAAAQVPSSIPTT PDLTNRKAPPPPPPKKPNTMHGQLMGVGGGQAPPPVPLGTKPSLG EPUS_01017 MKSSLVLGALVGLAAAVPTPQLIDLDGVAATDIPPVGPSSDVMV AQPDTFDAAAASKEAAASVEAASTKVKRAEGDCAPQPNGYGPKATPDTAEGFLAFPTY SDQSSGAPIPQGYARTFQGHTGSCEQGTYLGLHFLKTYDPYLCQQKCDSHPDGCTSFN LYFERDPSVDAGPACPNPSSITTIRCTLWKNTLMTVEGAGNVGQWRGPEDANGKAFQV VIAGSNGYLKDAPPPSYPGYTGPTRFGGAINAPLAAGNVDTYMGYKFYANGDYSQCVA ACSAQTAYNARHPPQDGSPPKRCTFVNAYLLSKDNVVQGIYCSMYTQVWGPEFATNYG QYRGTNRYTVANSYGYALA EPUS_01018 MSAPQDTWGNIKIPRIGALGGILKDSSRCIQVLNTNVDYSSLVA IPVTGVAQTGNSSFTMDSAYYDLTGEDPIEVLPDDEVDWQCQRGLSPCVGSIRLPNSS APFDSTFSMGTFAPNKNRFADLAAGSGDVVSDDHNCPRTINIQSVTGSIYS EPUS_01019 MISDDRAAHAAKEAEVGITDYVSSESPGFEGIVKKRYTDFLVNE ILPNGRVVHLQKLGGAAVSYPDETIQPAVPSAQNGTDIPSLGGLDSGPMTESSPTAIK PLETGGEEPRTPQNQAMEAGTSPVSVEDISRLMTCLNEKAAEELLALYNKILAFPTAR PRDHGVVTAAVPAEKSVRTELHENIRRIFGSRIASSTDKDKNLMTFSAAMPQQKGRSN QTDYHGNQTRRQGKLGWAERGGEYLHFSIYKENKDTMEVISYLARSLKTNAKSFQFAG TKDRRAVTVQRASVYRVEGNRLAYQNKTLRNAAIGDFEYQKQGLELGDLKGNEFVITL RECQWDHAPNLTNKEKISAAQQTVSQACQDLHEKGFFNYYGLQRFGTFATRTDAVGIK LLQGDFQGACEAILHYSPHILAVAQDQNSTEQVSTEDKARAEAIHTFQTTGRVNEALD KLPRKFSAEGNIIRHLGRNQRDYFGAIQMIQRNLKLMYVHAYQSLIWNLAVGERWKLF GNQVVEGDLVLMHEHKDKQSTAYSMSEEVDADGEVIIQPAGEDRAQAANEMFERARAL TADEAASGKYSIFDIVLPLPGFDVLYPANDIKKFYKDSMSSERGGGLDPFDMRRKQKD LSLSGGYRKILARIERGYDIQVRAYKNDNEQFVKTDMDIIKGESGGLQSSEAVSGDPD EGDKFAVILKMQLGSSQYATMALRELSKSGIKQYKPDFGGGR EPUS_01020 MAEDTVCAASSSIIEEVTGDLFDSPDNAVLIHACNCLGSWGAGI AKAFRTKYPAAYKVHNDYCKATSNDSLIGTTQLIPPQHEDYKKADSGLKKKHWIACLF TSVGYGKPTKTRPGMDKPDAILENTRKSLKDLKTQLSKIEHEAEGDGVGTIPGEMWSC KINSGLFAVDWADTRRVMEEELKSLGRTVTVVSPERS EPUS_01021 MEQTTPARDLESSPPQGSHNLCISDIFPKSPRAANNRSLSTQKT IVESQRAASTPPEMTNENYVRTSSTILARDLPMASELASPWDHQQLSKKRSQYYGGFF AYREPHNTAKDRVIRDSVIIAEIKLNCKLQVEQTFLSDISFQLSEIYQRPESCILVSV CTSQAMLFGGSSEPAYYLTITALASEIAPTKNKRSTALVQGFMQETLDIAPRRGIICF DSVLEENLATNGMTALQEIEELERHSSEDSRALRSISRNRSRKGKRGYAPAFMERVKT PMPHIITHEKFSSRENDDGKPSAVDSSEKKRLKRRKSFMAFFGR EPUS_01022 MNRLTRISRSKSSNNFSRVWKPRTYQIANMSDKSSLDKEHGSVG SAFKADGSVGGTAQKVGGPFDKEGAVGKQFTEGGALGGTAQSAAETAQGEKPSAFDAQ GMIGKQFTTEGAIGGMAQKVGGPFDKDGAIGKQFKPDGALGGTVQQNLGDKK EPUS_01023 MPSTSAAAVTKRKRPAFSPPRPKTSKATRNGASRPSTGKADGRA SSSKQSKQDPLTSSTSSESDSTAESEPQSASRSPSPHSEPDYILAEVTHAEGAEDEEP VIPLALVHTLLNYHFGDKDKTRIAQDAKAVIGKYIDTFVREAIARSAFERQGKGAEEE AGGLNGRGDDGWLEVEDLEKMAPQLVLDF EPUS_01024 MYTKSILMSSLLLAACSMAQDTTTGSSSQMTGPDGSMVSVQVVQ VSNMNASLKFYPEEIRAEAGSMVQFQFYPRNHTVTQSTFDRPCEPINNIMPNVTGINS GPMPPVNNMMKVFTIMINDTRPLWMYCATGDHCEKGMVMVINAPQGGERTLQSYKALA ARVSENGTTTSTGSANGTVPGGTTEPSTGSASSVVDSMVGATGVAAALFAGFALLL EPUS_01025 MFGCFRGPTVRIEKHTNSCGPPTRSYQFIRSSSTKTQCPSSTAP TPASVRVCRPPPEVQPVEDNFHPPEGDGDYPQQFRRHNVTGPFHPLPIIHQHTPPIHP HPPPSQQNDPPQPGGNEPIIIDDHHQPAVAGVAKHDHRGRRVLRVHRSHPHRRSLSRN TSRARSGSGKYYSSYYDGSGGSRLSRDWDGSDSWGGTVKSGYWSDGGDSWDGNHETIR VPRIGNGGRDGRRGYYLK EPUS_01026 MAPRSVIASEQAPSSPLFSQAIVCNGMIYVSGNIGFDNNAGKLV QGSVGDRTRQALTNMRAVLEAGGSSLQNIVKCNIYLTDMANYAAVNSVYLEMMPEPKP ARTCIGVRELPMRTDVEIECIAHL EPUS_01027 MAPVTRRKSASAPIKNDSHEAKTKKTRKSPLSPLKSAIKRRPQP PVRTRRVAFQAEDDEKTVRAHLPTTPHPILHQMQSPPSQEPSAWTSIDWSKRKEQEKL MKRAQRVLGARNKKNARLPTPPQSPSGGRASPFHELERNEKSAESAEQLLARLDTSTE AAESPREAGFVRPTSRHVDLVRDDIPGELELPATIDNILVEPTADIKMEEVCTAFDFL KTQIQRHAREVYGYHAPAKDQALPSLTHLKVKHRELFQYIRYVADGDQYGWDKLIQTG SQRENLVYAIVSRALISYVFDAELFGASQEHHEALLEMCREYLHYDAFVRNGHRAEII SSILLDEAKKDSDSGESPYAYFSTAIISLERRINLLLQPLRRARSAASPQSPEASLHS ILQAALKVHLAIRLSGSNGTVYRFQHPHKLQPWDAMNMNCINQRKTDLTVHDGEEPLV KISCFPAVFATVPSGPNLEHFTDPDFVEDWKNTADPDQEDGEGKPLITEYPITLADVV LENTPMTDRSGFVSLDQTMRREQLAMSDQAFLELTGINRKKVARINKMAKRTRKAMKG VSVGLATALAGWYLYRYKENIAPALDGLLKKIPAESLLGGIAATATATATATATATAT TTATTTTSPKPTITVIKPTTMTVTPETVVKGAAAANVTPP EPUS_01028 MTAQLLHPSYSTSVDPFSRYSTLTDLNALSHAYDPMPSPQAWLA SSKRRTMPALLDPPESPLDLLLPVTRRLEDSQWNKRLSLLAHRYLREFGLTREDDIIE KAWKTLELFCVGEEAEESLQKFKSVSFHLSPPWRGLQTRSLALDKSSSWLSFPSFLDD SFKLPYSNLPFLSYLAGMDTSFDNSADPNHPQHSKRPADQRGSFQSPLAFREERDQRK NIAARVNPRYMTPTAASRAQVSTPEPRASTPPTVSSTGRRKAWMTSAAKRVGIVPGIP RSKKEGRVYKLLSPQKSAAGKARNKLASKDTESPWSGSPNLPALASEEMHSINAVSCL TCYDAGSNQSQKKENGISETSPMVPAKDIHESVHQEKPTAPDKPLPSLPVATIRTGSP IVRRSLIDAGEKPLRRSLSPSPGEKVEEEWPTLSPSRRTTPITTPETARGDASAQAES LMANRSGPNPQFSHVEHQRIVESQHQPDIAGVEPHILRQGSHEDATSIQQLNSTVTAE FPKLAEKPAKDHAPVPELTSPNTVPKNTYTPAGVATTANVDFSSPLIQHAPSFQSGGR LRVSRLPKPLSTPGPVRSSKSPRRSASRTPSPYKPVSVHVQRSLRGKHDHLSSGVSKM TEQANVHTADHTIDVADVTTQANPSLIAIKQSSKSRHDGSRRSSIPRPRHKFQLRQDA ESESEIVVRKLPKEKRSKRLSVEHKSDGDLDTDEAPDNQEDKQVLWGSNFADQDKKTG QCIENGRSKKSLVVDAASPGEQEESAPPTTVDSRPATTVGNHQVDNLPAAKSASVDNI QSGTARQREGSNKSYNRVKRLSATAPEHGPILRISDSAENIIMGHGSEQEYDDDDASA RKHNSVPDLRRSALVKELRKSAEGLLNGNVPLSRSTTTRSLTSHERKVQVAETSNAKE LSGSSSQPQSGTAQADSSISEDPFSACDDKRKTSAHKIARKPTPSGRLDWPLTSLPQS SADLRPVKQYSNMGGDSWTPQPQGPHIAAFADQKSMSAGSNGRGSRSAAAGSMGPASK KQHFEPSHRSTRGDVGSVPVEKSSLPAKPNSLRSPVQNSAQFPPRTSSRNNTPDVSVR SRAQINYFSTRGLPNRFESAHPRRLSEDFSLPKSMTIDPETLRNSVHELKDPAQSQVS TPAAREGSRPQFSSAKGMLSNIKGLFNKRSLETSAAATVKTKVSDLQAKHATVTVNGS PYPNYHSRTLPARHGSNKGAHGGVTMVPTPKDAFPRPATCRVTLSQNPVLDTHEYRQA TDLAMRVLDTAREESDAFKRNKLMQYAELMVEAVTGARNAEKATEEAKQAASRAEMQS MRSKKSVLELTKLVGQELDGDWL EPUS_01029 MASINFAAAQERVLARRAAREAAERARLQAHQSHAFPSTISRLP LPISRASGPLQSLWTILQGREGTRPAYRVGQVDAELLDEELLDLLKGQAGEALKYFGT HLADDWSQELLLALRAILFKLSIWDHDASYGAVLQNLRYTDARSKSLVPPRPSRWQKS LYGALTVLGRYGWEKWESWLLDHGAGYSTPSDPMQLAVRLTSWLSTAHSVAAFLSFLV FLVNGRYRTLTDRLLRLRLTPPSTQVSREVSFEYLNRQLVWHAFTEFLLFLLPLVGIS RWRRWLGRAWRKTKLALRASGDDVDADTVLEKSGPLAHLPESTCAICYQDQNPVNTSE TELLGMNAGANGMGMTGSATTDIVNPYQTVPCGCVYCFVCIATKLEAEEGEGWTCLRC GEVVKMCHVWNGDVVIVKNTTSTGKKKTVGSVSNDQNGELEDELNEMEPWPEEDGLEN MGVTSMWSGTGNAPMTDLKDSLADTGEG EPUS_01030 MPHFTVEAAGEASPMTENLVFRTLERASGPNAQFIQTATQQLSN WETHAGYYSALQDVYANLNYHQNIRFQAIIQLKNGIDKYWRKTSSHVISKQEKLKIRT KAVDAGIREPVPQLALQNALMVAKIVRYEFPHDWPDIITLLIHHIRQPLPSSQNLSNV LNLTLQIIKELATARLQRSRTSLRQAAPELFHVLGTLYAGHSYRWIPFIQNGQDSGPF TTDSMVVSYCALKTIRRLIIAGFEHPHRDKDVEQFWVVLQQQLGEFWSIAQSDRIKEG ESPSKEIVMKHLLQLSKLHLEMARTHPAAFALLPGCVNLLQSYWSLVKTLGKQCVDEM STNASRDWSVRESGERVDEIPPLERLALKGLLLFRAILKLAFNPVQTFKYQHAEDKEE RKQSVDLIKSDILVDSFVLEVLEVLITQFFVLRPSDLREWEEEPSEWEKREEEITEAW EFSLRSCSEKLFLDLMINYKEVLVPRLLEVFYRYASLDNNDVFLKDSLYTAVGLAAPV LNDKFDFNDFLKSTLLPESQLSRPQYNLLRRRIAILLGQWTPVIPETMNKPIVYQVFA RLLGVDELNDQVVRVTAGRQLRPVLEPFEFAYDEFAPYATTIFQSLMVLIRETELVDT KMALLETVRVAVHKMEAHVEPFSNSIMEMLPTLWLASGDEHLMKQAILTMITAITTAL TKQSLKYQSDIIPLIRDSVQPNSESLVYLLEEALDLWSAMMQQTPSSNPAPSEELLSL SSCLLPLLDLGSDSLRLGLDIVESYILISPRTILTPPFLGPLLSSLSLLIGSQNTGIG SPRREIPRITQLLETLIKTLSVPRHFPNKAMQLHAAQHLISNTIETSFLQSFLSLLKE AYDYHQDPRPSRPTPAIIGPTETELFSVLARLALISPNLFLEAVTAAGGDRTVTWLIS EWITHFDNIGDVYRKKLHALAITNLFSISKPPPAFMLEQLQSMLSIWTDIITELGEDA PEETKRDYLWQPWNASEPSGPTGEWAEQNEAPEEERKREVARVDPTSMINMRDCVRET IEGVITGVGGSDTFRNEWLSRVDGAVVNAFAALGLL EPUS_01031 MRRIYEEEEALDLWSAMMQQTPSSNPAPSEELLSLSSCLLPLLD LGSDSLRLGLDIVESYILISPRTILTPPFLGPLLSSLSDSEGEDVEIPLQQGEGGLDA GEAMRMAEGDGDEDAEPTMEDVFGDEGGGAGGGRRGEDEDMLDA EPUS_01032 MQYVRSISGSVSKTWNSINPATLSGAIDVIVIEQEDGSLACSPF HVRFGKFSLLRPYEKKVEFKVNGVKQNYSMKLGEGGEAFFIFETTDDIPESLQTSPLV SPASSPPNSSTEQLIPTALPEPTFLDISQQDKKPRSNHSSTIELYSATTEEPSIFEEQ GPVASYGSFPEHQGLKSTSRSFPNPRLLPPAALERSASEEVLSISARDHLRAFESARL MSAPSSLPKDTLPIDREPRPSCPPSLQPREALNRAMTLSQKLSSSNIRSRVTDSGDLM LDMTGYKSSEDDALRAEVVARKILSEELEGNYDIGSLIGTDEHGNLWIYSSEEAKEAA NRRATTQGLGPTILTSDAASDPGYHSDSDQSLNNSQAPKLHHRSQSAGARESPAPVSS PTEQSRNYAKTLRLTSDQLKELKLKPGENPMSFTVNRATCQAYMYYWSHDVPIVISDI DGTITKSDALGHVLNMIGRDWTHIGVAKLYTDIVTNGYNIFYLTSRSVGQADTTRAYL NGVVQDGYRLPKGPVIMSPDRTIAALRREIYLRKPEVFKMACLRDILSLFPGRSTPFY AGFGNRFTDALSYRTVNIPSTRIFTINSNAEVSLDLMSLNKYRSSYVSMRELVDHFFP PVSLLVKEGGEDFTDFNYWRDTPQGLDDFSATDSEEEEVEVDEELEEDANGLGESYLS RDSIDQLTLEDSIRDSLEIDGPAITEKDDDLAGDYLGEPTPAIKKKLSGVASDSFSNP LNSQKDQKQQRTQRKS EPUS_01033 MESLAWRLEPPLTVVAYQPVVPRARMALLLILSVGWAFIWLLWR AYQVIQTPNEILVDKLGLDIPPAPELILEEIQSREVHIAWKQPELPNSIHKHIVQVNG KKGLIHYAFIAMGADLLPAVGETKRPEIAIAILDLVPATLYDIRIFTVSAAGFQTPSQ PLYVRTLPCPKNEVENVPGDILPTIRAFSTKVTAVVPPLSAPGMSREISGGQLTGRRP TAGRKQSTTGLGTEQSCATNAEESSRSLSEDECETTFAQLQERFQKVQQDNEAAEAQI LQEEKEFEAAMKQLESRRDELKQSLKERDEVSSDLKKQVHKLESANRTAQSERSKKEK LLHQRENQRKKRRDEAAKWEVQIATMTDEINGIETQKAALERRTASSVAELQQNVEDE RKEIRSIEEDNNERIAQIKALEEERKRLNQDEETDESREGDRLEREKDRQWQETLYKL NTTYATLANTVAHAKIELELVRERLAFLQRARHASTNATFSSVPPLDLDVVRQGGKQR RARQRSSLVSNISPPIGTFPNLDAFASPVPYNKTSNVSPTFSPGTTFFSSTNGMTLMG PPDAIEPTSNDVEALTGGAPMSPRADSLIPANLLGDESADELPSEDVNVPTRSSSAEG ASLRVNSSGQYTLANESQTQEKASPKSSSSRSASIFTSPRESLNNLADVDRRSLHSGQ VSVHNTGTSVGGLSGSRNFVSGIFGFNRQRGKTIADEPPMLGALKMGESQSFPRNFGD GLDPLARRRRLSYGGNWAAPVTNLFPRNGPGSDDKESGPARLSSSRRGFPNLFSSTKL NPPTLPGVGKPSASSSGYDQFGPRNDSTEFSTSVNVRGDASSSRPSSVYSFERLPRPS TDSQPFGWGALERSNLRGSPLGPDWLTSHTWSRTHSRRPSFSYGSTGNLSMQSPREED VYEEPKGPSRPLQDPIGTRPKSSQRPVTPKLNPAAPSFTTLFARNKDKSKEKAKSKDI EALKQGDHDLQHEDASPPESRKSKDSRSIVTAGSIADSRESLERTTSGTPSDTTPSKE TLIQKITRKSSSNKFNSWKEKGGLFSRKGEPSTPGEIDEDVSSDAQLGRSLESTSTNP SGEKEKEKGSRSSLSWNFMRKSKKGEKSDLAASEVSELSERASETGDEDVHECQSAIG EPUS_01034 MNAAASAVVKEIPDLCIAYGVSDEYSFIFHKTTTLFDRRSSKLL STIVSTFTAFYIHLWGVHFPPNIALQPPHLPTFDARAICYPTLANLRDYLSWRQVDCH INNLYNTTFWAMRQKGGMGPREVEEELKGTLASDKNEILWGRFGINYNDEKEIFKKGS VVFCQYELEEPAEGRADTLALEEDGASTAVPDTEPSKTQKERAKKVRAKASVVVRHID IIKDDFWERRPWISSGKPGKPLAVEDQ EPUS_01035 MDAHAHLLSLGWAGPGHSLDSRPSLQHKGRRGLAYDPSQNNHTG RGLVKPLLVSQKNNSFGIGKKAYEPAAGNEWWLKGFENALSNIGKNSNSEATSGATTP GTGNTSSYRGKHNGLYGFFVKGQQMEGTIQESAKGQGRGRKRKSDALDQEEDTSTSSA NHTPGSTVSIKTFASKSEATTDFQQISQFLDVRDKDRKQGMRRVKTSPIREFEQVGNF FKAGSERKQQCTPKIEDGNGPVASQGLDKVDVEEIKKERRQRRKEARLQGTEVPLDMA KKEQRTQGRKAAKVMARLSSINKKTFPSDPIEGAQIARVHADSSASGDEALRRAERKR RKEQKRLAKAQAAS EPUS_01036 MVHLAKIKNDSEVGKPPAKEFSELSLEAPGDDDFSTSVYGSKFA AEDLPSHEMPEKEMPKEVAYRMIKDDLSLDVWLASSRHTWSEDEAEKLMTEAFSKNFI DYEEYPQTAEIQNRCINMIARLFNAPTHDDSEHAIGTSTVGSSEAIMLGTLAMKKRWQ NKRKAKGEDWHHPNIVMNAAVQVCWEKAARYFDIEEKYVFCTEDRYVMDPEEAVKLID ENTIGICALLGSTYTGEYEDVKRLNDLLVEKNIDCPIHVDAASGGFVAPFVDPDLQWD FRLEKVVSINVSGHKYGLVYPGVGWAIWRSAEFLPTELVFNINYLGADQASFTLNFSK GASQVIGQYYQMIRLGKRGYRSIMLNLTRTADYLSAQLKSLGFIIMSKGAGKGLPVVA FRLSQTNEDVFYDEFTIAHQLRERGWIVPAYTMAPHSEKLKLMRIVVREDFSRNRCDS LVADIKQALKTLEEMDKKTMEIYENHVKKNTSTVGKATHNHPKYRREKHSLQGKHGKT HAVC EPUS_01037 MSTWSLELHTNLSDPELMTLLRSAARYKQRSDQTHTSAHEPLLS ELPPPPIWCLLLGDSVHERLQTSGTHTMLGQGQFPHILNAGLGGDRIQNFLYRQDTKG LFWDLRSYGVKFAILRLGTNDLEPKRALHAEAQYALVLETVHRAASAVKVLVTGSMPR KDVDLRVLIRASPVCSGSSEITML EPUS_01038 MAPSKLTTVDFNTFTNIVNGEPRSAKNKYNGIDPTTKEKLWDVP VASKEDVEDAVKAAHEAYRKWSKKSWEERQEALDRFKDIYASYMDELTELLMKEVGKP KRLGELEIKSGLAFTDWHMKLSQPEPEQYEDDEKTVTTRFLPLGVVVAICPWNYPVTL SLGKILPAVLTGNAIIVKPSPFTPYSTLKVVEIAQQVFPPGLVQALDGDDKLGPALVT HPDIHKVSFTGESASNSPVLFTPNKPLQLGGNDPCIILPDVDIAKTAPQVALGAFLNS GQICVATKRIYIHKDIYRPFVDALISFTEQLKVGTPKGENVMLGPIQNEMQYDKVKTF FEDSKKNGYKFVAGMDNLEEGKGYFIKPAIIDNPPNDSKIVTEEPFGPIVPCQPWEDE AEVIARANDTRAGLAASVFGKDLERCERIANQIESGSVFINSFAKPGPMAIFGGMKES GIGGEWGKLGMLAYMIPQAIHRYK EPUS_01039 MTLKNDAFPSSAAFDLISDSLSSSDAERKEAIQKGKAVLGFTLK NKEGKEDSWYIDLKDTGKVSKDVPKKVDVTLSLSDDDFGKLVSGKANAQKLFMSGKLK VKGAVTKATAVEPIFKKVQSKAKL EPUS_01040 MTLKNDAFPSSAAFDLISDSLSSSDAERKEAIQKGKAVLGFTLK NKEGKEDSWYIDLKDTGKVSKDVPKKVDGRLTYNI EPUS_01041 MADARIKPEPSLQNVQDDIEMYQVLLKSLYDSADPDETLKAEYE ERLANLQEELEKLQPMPKWDAMSSDTSSQQGETDAMSQSTAYIKDDLSSTTSDHNPDD PQVKHESFQNPGTESAYVRRETGRNYGLAGDIVSGSPFNRSQPNPTLPNRKRPYSGEY NYPYSKSMRASPSPAVSAASTPHSTGSEFDDMMSNLLGAEVKQEYRDYEREQQEWDAR QEQERRDAELARSIQESWNSPIVYSKPQTMSTFQTSLGSSSGSMLMMPPPSVPKLKAE TSTPNTHNSMTNEQIPYMPGSFHDTISHYGEDSSSSSDLEEIPPSSFVPRVKPELTSS SYYQPWNDSQSMFIPQSPGANVYNAWPGSEASAGHGVYQGFGGLQKLTGGTSYNDLLG ATSSYVSSIYKNTEDSLSGGYSGTSKVLDPVYNDPTKTHEELKSLLANIRPDEEVHPA NREGTPEAMKCTLMEHQKLGLTWMKNMEEASTNGGILADDMGLGKTVQALALIVSRKS ENPACKSTLIVAPVALMGQWAREISKLLKRGKHSLTVETLHANTRDLQWAKLREKDVV LTTYGTLASEIKRKHAWEEKLKRVPNAKPSGRGEHIPLLGEKSKWYRVILDEAQWIKN KATKAAIAACYLQAEYRWCLTGTPMQNSVDELYSLIRFLRIAPYNSFEKFNRDFSRPL KAKSSYAESKENAMQQLQALCKAIMLRRTKNSKIDGQPILQLPEKTIEQQQAVFSKDE QEFYQALESKAKITFNKYLKAGTVGRNYSNALVLLLRLRQACCHPHLIKDLEMETGAG PDNIDKLANAETLPADVVERIKQVEAFECPICFDAAINSLIFNPCGHPSCNECFDRLA TQAHANSDNENGSNILCPSCRGKVDPSKLTDMKSFKKAFGPDLVGEGDDEEVHERQTE DGEDDVESDTFSDSDESDDDIDDDGDLAGFVVPDDEIEFDSGGENDSKENGKGKNSFG QLPTKEHETEGPCSGKQGPRQRKRKGKGKASPTKHLSLAQLRIEGLKNKAAKKRYLRR LEKNFQTSAKIEKAVELLDAIGGRGTNEKTIVFSQFTSLLDLLEVPLSRKDIQYKRYD GSMKRNDREEAVMAFTDDPRYTVMLVSLKAGNSGLNLTAASQVIIFDPHWNWYTEAQA IDRAYRIGQMRSVQVHRLLVPDTVEDRILALQEKKKELIETALDENAARNVSRLGVRE LGYLFGVNSL EPUS_01042 MAESEDLSDVSIDSDLEWELEQGIPQVEDPFIQKYIKGREALIE QEKKKRHGKFCNLTTFLHSTPVFDYQEPNALFKSTFTPISARAAKTLSRIREKELATT WTPSYVDSISAASSKNGGNFFPGMMFTLARDRMEKESTVWRIIERMPKGALLHAHMDA MFDIDWLVEQVLNEEGLCILAPEALKDDATRQDGMIQIRYVDHPTGSTDGNRNGSIWS THYISMTPISITLAAETFPNDGIEGFKRWLIGRCVISQDESFYHHHGLDAIWQKFQRC FLTIGWMLFYEPIFRRGMQRMLGQLADDGITYVDFRIAFKFEFRPKGREYGEAGSYME FFRAFDEEIERFRTTEKGNRFHGARMIWTVIRSTNNRDMVPNMAECIRIKKAMPHIIA GFDYVGQEDKGRTLADLVPITFWFRKQCVEAGVEIPFFFHAGECLGDGDSTDQNLYDA ILLGTRRIGHGYSLYKHPLLIDMVKEKKILIESCPISNEILRLSSSILTHSLPALLSR GVSVSLNNDDPAILGHGKNGLSHDYWQTYMAFKSLGLEGLATMAENSIRWSAIEDQKQ GEWLKEISEGYQGHGAKAQRLKEWRSEFEKFCQWIIMEFPLEDDEYEDDEGR EPUS_01043 MPSAAFLRFWRTDLTRECLLSFMTKEDQASLRLASHECSLGTAA SLFKEIQVSFGTNTFTRPARMAALERIGGYVSNFTFSMPHSPDTFLAPLLDPITGEEQ VFVYEPYLALHRPSSSGSHSSSKYGSWEMNDLLVKQYSPLFHATTNIPSFVRAFNAMP ALRCLKISCPGQSPAQIYRRSAVDYALISLRMAIEQASLPRLECLNLDAVHTSTVFYL RPLLGIGASPASLRRWRQIKTLKMRIDCPRFGDGQPTDHLKFLHSYLQSYPLLEDLSF EWLNQKGPCPLSLSSEQCLAPGALDCSAACPKTFAKPPRALRFRKLRRMVLDNSVLDA SQIADFIMVHRKVLREFEFENVRLRTGTWDDALAPLTRISGSDSWKGKQEEVMDVPLM LSPVEEQECIKEYMWEDQKKHRPFRTLRKASMKTKEMLGALRSSVLTWR EPUS_01044 MNNQTPSKATPAQLAARKIREAKSSMARRRTPGLSPVPTGPSFS NTQPTPSSTNTQPTASFFPVNPATSAFGGNGVNGAQTTKPPQQQPFAAPSSSTFNFTA PTGTNPFAASQPFNPFSNQNSSIEFGQQAPGGVQRSSIFSQPSAPQQPSNPFGVTSNF SFGQSTGVNNSFPPTQNTSIFSKSTPAASSFTFGSTPSLSQAPPFGAAPQTSAADHDV SMDSSESPFKSPRKPTMADQTAEAKPAPPVFGGSNPFSFTPANNGSVEETDSKPSINL GNSMFRPKNTAAQQPPQTSASISSQSSQSAEQTSPDKTTQPSAPIFNFFPKSIESEKE ATEKQIASASTNTLNPFAPPRSGDTEKPQETKVETPFSFGASSESSQKQNHEQPSGQK AQGAAPFNPFQSLQSSNSASVPSQPQERTSSPAPIQSSQPSGSTSLNPFQPPKVDDSP QTQSPDSLNVLQPSKLASAATSQNASQSSVFQTAKPSEAGKSADGSSQSSGRTGSLFR TLQPGAEGFSKAAPPPLKSALKKPSYTQSKVTVTPQLAEANASPPKEDTSASASTPLF EPPQLAKSPETQGNANNTRPSIGASANVPEQPDEANAKGARQASETSNLFAQSLRQAS QKASQDAGIMTPPASQAGRSSASPTKPAAAPQKVDNQLPTAAASPSTSASDPIVVKIK SHGASAVPHELNNDEFADFDKSYRLHSLNSQFKKRIAELDPEKHDFEPIIRFYATQRD AIGHPIGGLYHRVKAGEKRKTEQADRDELVTNPAKRTKIDNTAATFDQQAYPPVLGFS AITSVSTAPQPATEASPEMEIERSVFMPNSISNGSITAHQAATSSNTSNVFKSMLSFS NQGSSGNSSPPRASPVSASKPAEQPTQLFGSSSFTPLNKTTSALQNDIQSNSNGTSFG SSSCPQKTSQLFQPKAAAGSILEPPKFTSAGGTDFMSAFSAQAKKNAAKMEAENKAKR KAEDFDSDEDDEAEYERKVAEEDRVKRARIESIAKAASGFTPVLSAASSTNGAGPVAE QAEESIRHDEANESDQSQGDEGSGSEASHDYGAGDDDLEEDENEDEDIEDSQEDHGEG DETDEDDDIQTAMTKSHAKAKNPFSPSSDPKSLFNRITKPAAPSEDKGTDGASTPVQA NSSSTFSAPPGTGLFGSRPTTPSLDSPKPFGSSIFSNSVSTSTPTADNTWKPGSAIKF GAPTSAPAVNITPATPLAKTNGYSTQGPLSTFSAEGSASTASKAGADNINNNNNNRSP KTTTSVFGAPSFPVQSAGSAAGSPKPFSNLFGDASKKTTVNQSSAASVGFTFGGPSKL GPSPFLAPSNPSSAITSRATSPGLTDNDSAAESVCLMTLRPGEENEDILFEVRTKALE PMTEKELTAIGSKDEAGWKTRGLGPLRVLKHSETGRARIVMRAEPGANVVINSQLIHD NKYDLNPSGKESASLKMGVFMDGKYKSWVFKIKTMKIAQELVDCLKENEPAARDAESD EPUS_01045 MASLLKQPLKLALVQLAAGADKAANLSQARSKVLEAAKAGAKLI VLPECFNSPYGCQYFPKYAETILPTPPPKDQAPSWHTLSSIAMEAKAYLIGGSIPEFV PDTKKYYNTSLVFSPAGNLIAAHRKTHLFDIDIPGKITFKESDVLSPGNKVTIFDMPE YGQIGLAICYDVRFPELATIAARKGAFLLVYPGAFNTTTGPMHWSLQGRARAMDNQVY VALCSPARDMDASYHAWGHTMLVNPNAEVIAEADEKEAIIYGELEGAKIEETRKGIPL NTQRRFDVYPDVSAGTAATPHLARRLTSILTPASKMPKEKPSTTPKVPPNKKTGHRSA ITDVVAREYTIHLHKRVHGVSFKKRAPRAIKEIKKFATLSMGTKDVRLDPQLNKKVWE SGIKGVPYRLRVRISRKRNDEEGAKEKLYSYVQAVNVPSAKGLATAVVEE EPUS_01046 MTERSVNAQEDHSPPRQSRPSSQRHPPPDVHDGTFTRTPRLSAA SVLTAGVEASPRSPRRLSGAATARERPLSPSTTPQKNSSATLQTAKDGTRSVLHIHSP PSQVQASPSTIFSTTIQDLERLLNEALHIARVAADDHDEAELPTTVPQSVDASAPLQN HDLAAQTGGVKADPGGQPSVPSHKLFSVPQGITTADGSRQFNKQDPVKACGPVNPGSP ELESSIANPQVPYVMQRDWAEPLRRRKSLQRVGGPARLSAPTSASVSLKEPFDHILRR KASKQDLPRQNRVAKKARRSIHIPTLPRSTSRHYKRLKGSEDDFETALELENMSRHQE EAASVHGDPSPISDVDTADRFKEMFGLESSYGSSPPAGPKHSQHIDLNGCRHVDVKGL HDFNVHHTWKHQPVARDWEISRKRFAATIACINTLLIGVVIGIYAGEVPAIQYMIVDL GHLTILGNVVLYIGLAIPTLLLWPLPLMHGRKPYTIMALAVALCLQIPQGIAVSDFRS PHISTYRRVLLLSRAVSGFALGFVNINLQATLLDLFGASLQSSNPHQEIVDLYDVRRH GGGMGLWLGLWSWCSVGSISIGFFIGTLVVDNADVAWGFWISLMLMMVVLLLNVIGPE ARRSAYRRTVAEVMRDGGHINRVSRGEVKMHLKSAGPYWWGEEVKAGIELSWKMFRQP GFAVLAVYIGWTYSHFTLILMLLGALTSKQYRYRPVHVGLCVLSIGTGALLATPFQKA SFFSRARRHRQRTDSMTFQKSVVWSSHLVRRVIFTLLLPFAGVGYALASRGPTISVAL PTVFAGCVGFLSNLAIAECQGLIMETFDTSDLQPGMIGRPTRRTTSREREQRTNFSCY PRVSAGFAVSQTLSFCFAAIATGVCGRVERRLGAMQATGVVAGVLLGLTLLLTTVLFR FRVVRMIPDPRPTIDYMTRTRSGWEPVVLGRPSGTTRKISILEAGKLTRWSEIRRRNR LTG EPUS_01047 MFDENFSFLSSTTSPSSCTSNDTAPSSPDVSPLSSRCSSPLPQP YKQFSSLAYRQRDNRFNIHPSLNQANVPRHPSITALSTGFESQVLDSSPDFSTSNPPY SNVSTPNTELDDLDEGFAELDHHDTPSLGDNTFDPSLWDLSMADLNTTSYPSDALPSF ALRRRQRQALVRLQCLARRTPNLAMLVEECHPSSLPLMDKGRSKSISSASGRIEKEKS SGGSVVKRMPRMRKRLTR EPUS_01048 MHSLEKNRNEKTSVDQVGDHTNSPHDIAVAELINASGHIQEVDR NFGLVSLTGYGLVSGNVWPGLGGTILVAIFNGGPPGTIYEFVAASIFYFMITACIAEF ASAIPSTAGVYHWASVTPGKRYGRVIGYYAGYWNYLGWMLASASVCAVVGNVCVQMYG VTHPEYTSKPWHVFLGYIIMLWRSCLFVCYANKLIPHLSTIGIFFIIAVQDTASDAFV WKDWVADLGYPDGFVFLAGMLNGAYAIGTPDLVCHLAEEIPRPHVNVPKAMGLQMGIG FLSGFAYLIAILYTINDFDALSSSTFPVAEIYAQATGSRAGTIGLLFLILVVFVLGSV GGNITVGRGLWTLARDGATPFSGFLSRVSPARGMPLNATVASAVLNTLLACVYLGSTP AFSALASAFVLLTTASYTAAILPNLLTGRKNIRFGPFHMKGWLGFAVNGIACTYMIVF FVIFCFPYYLPTNAKTMNYSSVIFGGSTIIITAWYFLGGKKGYTGPQTIGGKVYEADL IKKVAMVVPKV EPUS_01049 MADLHGSDRDERRSKRLHICLSVDFDAISGYLGTGHDPRNTMAD YSAGIFSARVGVPRLLKLFEKYGIGNKVSWFLPGHSIETFPEEAAAIVRSGAEIGLHG YSHKGAYAMTPEQEEEVLMKCIEIVVALQGGKKPVGYRAPLYQIQETTVQLLQKNGFL YDSSMNAHDSLPYILPNPFPTEPPRIPDYSQRASSWMVPSSVPQEPAAGTDEAKNGLI EIPSSWYTEDMTPLGFYPYTPNSQGYVAVDVVEKMWWDRFDWLWENECFVHEDGFGSI YPMVWHPESAGRAHVVGMIDRFIGKLIKKINEAEPGEVTFETMETMAKAFKAKNCYND DGC EPUS_01050 MYILRPPQYPISRTNSNATVAPGEPIPVVLRRDEEDLSEDEPEG IPKDAVLPAPPPAYGLWRGSTRMNPNLMHWRRVETLQREEAPPESNNRNSEQTSSPNR PPSYATEDRVRYVMDAELRSAMPEAGSGALPVHPSERGRIASGVL EPUS_01051 MASSTESYIYTGPWVNWSHGLIRGATLTLPRDSGGLLIAFLALF VGLAGSCFWYILCYFLHQSRASREKKDALHHQQQAIIRNNAGPAVTAWEFLRLGWYWR SAAQNPFWRSLSLVVLASLNLSVFGVASIYSSEITKAAGNESLIRGSTCGFWLARPDG SVEGEFGYRSKSLGDAISAASYARACYNNTRHILECNQTVNANASCPFAPGRCMMGAN AAYEMDTGPIDSHLALGINAREKDRITYRKRVTCAPIFGRDVGRLENATDQNNGFPGD QILRYYFGSTGVANHTYQYNTRSLVGRWDYSLYNVESGDPSTGQGWQPIPQLSRTDAQ VSLYFLSANAIEYTAPVDDPWFSAHEEVLFDNITLWAPDSAVRVLGCIDQDQVCSATD SKQCTPLGMLAYADLNALNLNSAQLETARRVLLTILHIGIPKSTDGRGGLALRAQEKV SLGFGQPLPNNQWQIEVSHWMGISMAKLQQSVVEWASGPTNLPAGVEVFLPSDISIAT CLNQKVRHSGDHVSFSIVGMVIIFVVGGAFILLSLVIDKIGGFLQWLSGRGRHRRLQW IANEKLQLLRLAYEGAGKGAWSGAKDIVPLTEPGQLFGTLEGEDMDGRNQRVGLMTAS QQGGHEEYPPDPKWKNAVSHVAEVSL EPUS_01052 MEGLGNLPPPSNDPVRQYMIDHAGQIDKLSFKASILPVITGVFC GVAVVAALVRLVARAMRRRTLYRDDYLVLFAVLSLGAATGVLYHWCDALFLVQAMSME GFIPTRTEIMPILNTMKWVYIYVALTWSCIFAIKLAFFSFFHPLLLGMARKFLIYYWF AVIFTICCWILFLLQPLIPCRNFGLDAVNTCYRNPSLAKNMAPSIVAAVLDIITDAMK TSIKDNEPNEPSYDPKLTGFRLLPIPDDSYSVCVHLDALRSNNQTGKLDRKRIELALF NMGLDLALTEDFWNLSYVILMFLTRATKDQDIIQVQHYELAQHLYKPLVYKALKSR EPUS_01053 MTRIFNPWPSSLLLSLIISVHAINNRHSHGSGLATPKIDTHSHI YPDFYRQAVIAAGWTPGPDGNPAPPNWTLEAHLSFMDHNNIEKSYVSCSSPGTFLDPE DLAAGILLTQQFNNFTADLKRQHPNRIGFFASLPLPSVPDALNEIDRALALDADGFVF LSNYYGLYHGDPRLEPVYERLNQRGAVLFIHPTTPCPRNAPDVSGTSRLDYVAPLMNV FSAPTLEFIFDTTRTVADLILSGTAAAHANLKWIVPHCGGALPSVLDRFLRISSILGA KPGSDRPAVPYNITTAVALMNRQFWFDLAGFSMQDQIWNMKRLFGSDKFTYGSDVPFT AYSAAVALTGDMNATLPQLFSKHEIEMIFRGNALKLLSK EPUS_01054 MALPLGPRNLATVTQPPAHYPEIDMNQNTRAFIENPLRKYTPEA LDALVNSFIEEMGLHDLADSLHKGAQTGRDPFAPQVARDLDENELEALKDENRHPFRH PPKFWLTISLLCCGALLQGWSQVGINAANIAWPAEFGIDETTDYGNWVFALVNSAVYF ASALFGCWLSDPLNNIYGRRGCVLFAAVFSFAASLGAALTQNWWQLLLCRILLGLGMG VKASESPRYLIRKRLFPRLDEGLAAKSPCLDLAEADSGADEYRQAYQSLLLLRNTPLQ ASRDLMLISAQVRVEQELFRASGATGRMYAKRFFQLFSQPRIRRATMGSAAVFVAVCL PIESIGRFVANLLPFNCIIFYSTTLYVNANAGEFTSMFVSWGIGLVNFLFGLPGFYFI DRAGRRPLLLHLYPHLAWTTLAAAMSYYIPENDIARLRVIIMWEFLFVAFYSPGQGPV PFLYSAESFPVTHREVGMSFAVAVNLFFAGILTLLFPRMDHAIGAVRSLATFAGLNLV AWVLIFFLAPETKEYTLEELDSVSLLSDAVELIKPAIANLGVVELLRTGKEVYSESDP QNTEGLSRNSAGPVRQVVEYDSVRAAFRRDFWPGE EPUS_01055 MSGLDIAAGAASIVALCNLVVCKLVPLLRDSAHTDETVRKFHRE ICDFKDVLVKVEANFGARTDFRLQRSFDRSKSRAMKRHVDECKRTIEDIERQLPEPRN VNRNFVQQTLTQLHTLLGSSVLSKLREDIPALKATLQLWLQMVQLDGLRGIGEAQERG QEIIEAGITTLNQKMDNLKDALENRDHKLEGSVFRLEEDTVKLSGRECLESAGSVREA YTARYRPTPSSLAARHCSPGNIPAWHVEVMRQTSWEPVRLTEPDAVTISNTNETRSQS RGDSGYDSDQITRLQTIPDRQEGFSKNFLLDLINTSVNEAQRYLQAKDYDRAAEAQEK AIRWGREREQNHGVEFKDEHDMLRTLAKIQLERRMYKHAEEILLRLLGSVEPDSPQAW TFDYDLAYTYLAQGMYESAARYANRANNGRQRCFGVGDNKIIEALTLLSNIYDKMANR TEATVFRLEALKHLIRQEGPSDEKMLMTLQHEPTEKDVQNVIDELEDSKAKDILALKT FQWAVALDLPAIVFFLWSDYEVIRINVDAQNDFGMTPLISSVAFGHEEMVRFLLGKGA DVNARSSSDSNNDTALMLAAEKQSTSIVKQLLAKGANVRDYNTHGRNALHRAQGRPLD NVDAARREIVAMVLEKDREGLINAKCTAGKTPLHLASESGNTPIMDFLLTQGADLEAR DSGKRTPLILAVDSGWPRAVKLLLDWGAEQEVEDLMGRTPGSIARRGVGGSREIKAFL KEAKKSPNVRGRSSVKATSPPLSQHHNSSLVSLGSDSPLRSSAAILSPTANLPPAFPT QRSNENGGFLLSNLGLPLAAPSVTSASSSPREKPRAWSIYSKINKVGKELH EPUS_01056 MPFDFKQFDVKCSAMTAEELQKEWEHYTRLISGAATSTAVSGVA MPLTLGVSAIGIGLAAPAIHNARKKREIIEMHLNRRGTKHSTRKRDVLTPMAISGTVG VVTLGVGSMGADAITNKAVEHGVCAIAEQELLVKATTHLAVDAAAMAGEEAHMKHKKA AEAYKPAQMPVALSTYAGNAPSVSQGAPNLWNSLPAQQSTPLDSKSPYLDPNQKATPH ISMPSTPIPPPYMPDRPDLEIYNAPKSDSISYFPQPPTSQQPGNQCYSTPTFTPVVRP SFLNGDSTSMNYTSSISSQMSQLHLGNTNYPPPPTSQYSEHQLTMGPSPFSPSASPFS ANSNLSQSYRPPFQTYTLSSPQSACGSQAPQDSYTSPAPQPFYPTPVPTPQAESKQYF PPPPQSPNPTPRTDSKPPYLAQPPQNFYPTPIATPQTDLKQYFPSSPQSFYSTTGPTP ASSRPTESNPYFPPPPQSAT EPUS_01057 MALYSGVAAVHQILIFDSAPEHRGTFNLRGISASRYQLHFANIH GLDDQDRDTACRVVGAALLAILHMAMWSMVFKKAAARPIFVLWMPLMASSHVFCADLP GLAYQATEQTDVFRTTYSDIASNTQKQLREQK EPUS_01058 MPRLPPLLLRLANRESYLLPALLRECRDLPSSRNELRWLTEHAT EQAEKLRTTDLASSPSRTPDSRNVSTPSIPSKNIPGWRNLLRDYVKRREKGEPLQYIL GTQPFGELEILCRPGVLIPRWETEVYTSKIGLLARTLLVEQVWENRDQELGSYERPLR VLDLCTGTGCIPLGIYGILKNLKIKSDGKSESRGGGKLKLEFLGVDISTTALNLAKEN LRHNISLGYLPEQAADEIVFLHADVLLPSPPAPRPAAPYLIDVIEEVLGKGIGKPEID ILTANPPYISPIHFSSGRTTRSVRQYEPRLALVPSSCSPQSSTSTRLGWSTLTSSPSP GDEFYHHILPLAKHLNARLTVLEVADTEQAERVAEITRRVFLKEGKMVGESTHPEVLI EIWFDDGGSKVCLPPDGVGLGLFESNEVSARAVVVWTRCWATSRRQQLEYSRR EPUS_01059 MHSVALNGVPSNTNASPHSTWGSKYLGMSLILKQLHESKTNNEE VIVAVSTANGLWTNVYGFVNFFAPYLDLNLHYFIHTQGSPDQCYNIKKLFLAIHGVLQ AKGESDAHAFIRQMISISYSKIMVSRAKVSVNATVEGHRNTSVIQRQDPADLRVRSDP ALQVVLVFGMVAQIFTHGFTK EPUS_01060 MALAAEAKRIAAEFDYSTEEVGKGVKEFIREMDEGLEKQGATMS QIPSYVTAVPNGTEKGIYLAVDLGGTNFRVCSIVLHGNQTFSLTQSKVAVPHALMEAK KAHELFEFLAKQIEIFLKTHHNDKFEAHRRRPSQQQYLDLGFTFSFPVNQLGINKGKL IRWTKGFDIEEAIGQDVCALLQKEIDALNLPVIVAALVNDTVGTLMARSYTSPGKTGT LIGAIFGTGTNGAYVEKLNRVKKMAQIDAELGSAAYDKSTGLMIINTEWGSFDNNLSV LPDTPYDRALDAESVNPGIQMFEKRVSGMFLGEILRRAILALYKHQDENIALFKDTSS ADNDTSSLTTVAEDSPLYKQWGIDTSFLSIVEEDASDRLRITKQTLEKDLGVSAPSME DCVAVKTLVHAIGKRAARLAAVALGAVVLSTNKLQEDDLVDIGVDGSLVEYYPGFEDY IREAFREIPEIGVEGEKKIRIGLAKDGSGLGAALIALTASKAEERGEFSQELVDSLQA SSETNASRAKALELHIASRVAAELAALERKEDETLRSLRESLSSELSSSSTTPSKDQS NESRLLDLPSIPAPADAAAREDARQAQSSAKVFEELEKLRKGLSERKKVREMPREVER TREDLVGCLRKNDRRPLDCWREVEAFKAAVAKMEEDFIGRVL EPUS_01061 MTSNLTSWALPHLQRLLPVDEESLKETITYSASLSKEAAAEHLK NLLGDSALALEFISSFNSRRPETTYTNSSQPSEPAEPSRPQTSHENGPSPRKRKNKKP KAPLHNAGPLRRPDNYGDVGGGYNKSSTEQDYMPKALRATTTGLSHSLSLSQEPAALQ ISDQTHQIASSPTHPREHSPTSQPKLPPSASGSLISDLPNVKSKAAKKQAHSSGSSTP QKTTTTSSINDLTSAIALLELSTNPSLSSERRRCPCNASIHPLFETAPNCLSCGKIIC ALEGLQPCSFCDNLILSKEQVQTMIRTLKDERGQERMAAHNAAASHSGRGSPMLGSRV ATPESSGDEASAAAAKARAHRDRLLAFQRDNAQRTKVHDEAADFDMTVTSGATQWMSP VQRAAALKKQQKYLRELGEANKPEWEKKKMVMSMSIRNGKLVRTYENVRSTAPPEADD AADAADEDQEVDSSMSQTGQGLSRNPLLAGGGLIRPIWKAPDEEKGKGKVREPTERRE TWRRVQDDNDDNEHWILDGGIHGFEDGSNQGKG EPUS_01062 MSNHYQYPLSPIGQPASHEARVPATSGGTAILMRKLPRNTSREE LRSMLLFAKDLKEVDFIPNEIEEDRGFTTAIARFRTAAAASEARTMLNGKSVTAGQVM IVKVIDTSSGNPLSRRNTVDNPGHRNTAHSVSPPSNPQLLRHSSRFGDTFHHMEKPTP SSADASSGRDLSSTDGSSHLQTIFSPQSPLGNGVSEHSRISGKSVISQDSNDDDTGEL LKDPVAYMNNDQANPMSPLSRRPTNPQLSVSRFSNLSLNTNMASPPPTSYISPRSNLN LRTPTSAMSPNIGGMGPNMPYQTNHQYPRLNYPPVNPADQNPPCNTLYVGNLPIDTSE DELKAMFSKQRGYKRLCFRTKQNGPMCFVEFEDVSFATKALNELYGVQLHNSVKGGIR LSFSKNPLGVRAGQQGGGHPATPLSPQGPMPTSSGLGPMSPGGFPTAHGPPPGLSVPP GLGMPAAPMTPSMMNGGFSDRQAMTSMRGQSMGGTTSPPGVGGIYPDYMMGR EPUS_01063 MADRSNISSDLIWEIARNQNAYLVKRRNGSHAYYFSRDPLNLVN QHSRTHAGFVNEKAVGVHAGPNGEGVTLMTKKAKKNGNGPASSMNTVSWGKGTPGRKI YKGIANYTAKNGYRSDLRGPAVSRASAIRGSQKAKKETPEKKLRGSKAKKAVKT EPUS_01064 MGYLLYAVSFLFLMAGTLLYATRSRWFHLLPPNITEPFYSRIPT SFMSDVESGFTSNDFDLSGNVMDGDSRAGLDVRGKREVQRIMKNRGIGFDEARRVYME QNFKKNNIGEDGLPRDPKFVSFS EPUS_01065 MTGEAASSPVSRTRIKNRRKRYLDLHPEYFTSANLELADPLLYD RLIRRFQTPAEREAEGRQKGYSGTLEADLLRSEAKLNALAHPDPNQTFSYRRGPDGEI LAEEKDEVPKDKEEGYAKWKWEMEMRFVRGGDADFEYEKVDESEEYDDRGVEEQEAEE KWFDEEESNFVNEEGLRRSKSRELQGETGIQDF EPUS_01066 MYKLILAAAALLSLTTATPLAERQDHPDQIALGRLAYSRGHQFV AWAPSRTTQQEACRTHATIQVNNSGFPDRPICGSPFSVGNLVDVTLACGTSPVTPTTS DVVAVIDASGARIENCVSAVSDYVPCDNVGSGLMQQFICTWEA EPUS_01067 MAEVARPKNEQGLSKEDPPASVPRIPILSNVSFHKALQLIILAA VYSPVSWLSLTAVYGSVPSALYHNLGKYLSSLIGFLGWKQLRKILPPDIARWLPAFAF WIPTLQFSLFKYSSALGPLYGPVITELLTYYPLTLLSLFAAGTFLDEVDFSGLGSTVA EQGPSVGTYFVFTTIEKIAKSILFRNMGSNIVMSRIGLQVIIAIMYALAIPKAVLWPI IPSIAFTMTYNVHNPLQRTTNVLNSTLQLHNFTLIDRQESITGYISVLDNSAAHYRVM RCDHSLLGGEWKIPLRKGSKPARAQEPIYAIFTMLEAVRLVETNNGQPRRPDPESTAL NIGLGIGTAPGALIAHGINTTIVELDPVVYDFAVKYFGLPSNHTPIIGDAVHLVQDAQ ARNITTKYDYIIHDVFTGGAEPVDLFTQEFLSGLKYLLKEDGVIAINYAGDLSLPSAS HVIRTIFSVFRVCRIFREALPEEAPSEKTEDFTNMVIFCTHPSSENKPLTFRQPILAD FLESGARQQSLFPRPELEIKKEVFELEGPVLKRGQTRQLERWHRRSAVGHWRIMRTVL PDVVWENW EPUS_01068 MDNRRGGKFSFGHIIGDPFALATISIAILAWLIAFISSVIADIR SPYPNYAWWAIAYMLCAIIGVTVVIASDAANTYSVAIVGYLAAGLVFTTSAVNTLVYS PEGPKEAAAAGFILLSMIAIIWMFYFGSAPGASHRGYIDSFALHKDQRTSMRNSRPVS NNAYGNFNHPETSGPGRQPPQMYTSAQLNGFETSSPVTGFPGGAVGADARNSSQPRLG ATNGLGTTAGAAPQTEQPTAEVNQPTEYPYRAKAIYSYEANPDDANEISFTKQEVLEV SDVSGRWWQAKKQTGETGIAPSNYLILL EPUS_01069 MEAATDSASALATLTMLEERLHRIEFLLHGASNALGIPDSAPAQ SAGNDAVSTRLANLENHLNRLASRNSIVQDVLDIYKHYPDLFVPAAETRPPSTQDIST ITSIVLAHASSFSETVSRLMSVQELPLPAASASADLIAVQPRLKKVQEVQAAQLREVS DLRARSARLAERWLEAGVVGQAETWAEWEERVRVVERGVRRMEFQRERQKV EPUS_01070 MSCSSLSCRVCVRSALWFNELLDRSVSSGPAQLLLLDHRVDSTE ELRLGVEADCLEDEYVDALDGTRSISVLLVEVLEHDVVQLTAPEIPGVDDSLGALIDT ALGPFLGEGPRTFSDFLTPERQQQLMVAQKPNEQKKPTEWQTRGLALRELANGTFSRA GTFKHQRGVPSSPKDGNLRVAARAPPPPTYSNHVGDAGDNSGAAEERYIAAPVLTTGS QSLFAGLAKVDLTIVWISSRCIIIL EPUS_01071 MPSTIHDLTAEAIGDLIKSQLAAIGEKTSNEVTKRLIHEITPRV SRHVQLGGPKTFREPDKQFLIKGFYYPGIIIEFAYSQSFEQLRQKAYDFTVRSGGRVQ LVIGLETGNKKLFKISAWRPEFCRSENRDAVRMKTITDQDIIRDSNGTLKPGCLRFHL RDFGRDLATNYPGAHLAEEIALDYDVLAGYLIDAEQCDVPPSPDADIIMSEYSFSSEE ELTPEDEKKIILKVQEWCSIMAHDQQRIMVVVEISVSGQQLPQHITLAALFFKNPPRF AMTVGCIESESA EPUS_01072 MASKNITIPERISSKFVLEKSIRLRERRSELKRKLASTSFESSP IAYQKLKIEEIETEVDEEKLNRLSLDIEHGEKKLTDNDYRKAQRNTNVRIISLGEDLW QHKRGLREEEEKSGVIPPIGPDMQGAFVHTLLTLCKEPNTSAKRSSSKQSAMRESAIQ VYESRKDAPEGKLWCSIAQDYFNAKQMKAAHIVPRRLGSGLVEYLFGPGSSSRLHTWD NCLILCSFVEESFGNGNFVLIPAYPNESPIKTWKIQLTNIAANLAQLDGKNVLFKNDS RPAA EPUS_01073 MSRKVKIVCLSLLSTTPVVTAAISTLDDIRRSNLTWVGGFNRTV CYSRTLDYLNKNILANDSEVFFRDGSGRPMSGKQDITLTLPGCQALCGERQAWYWDIG PRITTWLIPILLLISNVELSPLDKRRFFAIIHLMGDPIDSIWSLVHKIDAWDRCYRLA AEQYADDPDRVQRVIATVFAGFEEIKGPDITLNYLLQTLIMTANPFQEAIYRQWRLAA VELADSRSDEILRTTLAVILYFYHVVASFVEEVGGDQSSPPGGRIGTAMFISWLVPVV LLSNSIGGFTSRRSASSILIRFAQSTGLELDFASRQSTRLRQSTPLEMIISNPLAAFI FCISIAPIVIGMTGSFVIMWHTLPVGFACRHLWIIAVFTLYFVSAFITWASHSRHFAT GAYHWHFVLYKDGLIGVTSLLAIFLSSSGAFNSCYCFSGNLYYRGRGRVLLNSDPFYH RKNDTVYPAVVAICLFLELAVFAAMAWIWWNGLQVMRWSEKARAEEWEAAEGGTRPIP GHAARPQSRQQLIHRLVGEEPKK EPUS_01074 MVKAVVLGASGGIGQPLSLLCKASPLIDELALYDVVNTPGVAAD LSHISSIAKISGYLPKDDGMKHALTGADICIIPAGIPRKPGMTRDDLFKINAGIVQGL IKGIAEFCPNSFILVISNPVNSTVPIAAETLKQAGVFNPKKLFGVTTLDVVRAETFVQ DITGERDPSKTVIPVIGGHSGETIVPLFSQAKPTVEIPQDKYDDLVHRVQFGGDEVVK AKDGAGSATLSMAYAGFRFAERLLKASKGESGIVEPTFVYLPGIDGGDAIAKETGCDY FSVPVELGQSGAEKATNPLGNVTEKEKQLIEAAIKGLKGNIEKGVEFVKNPPPPAQK EPUS_01075 MSFDAPLPPYTASDSHATFLNVSCLDLLLIEMRGEEPREEDDGG GGVGGTKGDGKKGRVEAKREEVDGGAVLDEEVYRDAIFIRLDGLGYRVGQGLSERFSR DRPRFLDNLDVIKFLCKDLWTILFKKQIDNLKTNHRYLFFPCGIIRGALSSLGINATV QAESTDLPIAVFQIKTLATAAAAKP EPUS_01076 MANATDLRSVEARIKLLLNAQLKAVLRDQHLAVSGVKSELQIRL LAHLNKLAAAGDVARIDRIRSLVNGSADANAPAPSSSLYTPTPPSSSSPYRPASLTQQ SPPTGYGMPPNPSFGSGQVHFKTSPFFTIVQPLTEVVECKARESTRDHVDVSVTLSAE VATQLQNDELYRVMVFCAHDTGLNHFSASEIAFPHQVELKVNLDDVKANLRGLKNKPG STRPADITSFIRKKAGYNNQVTLTYALTQKGVAYQKFFLVVNLVRKTPVEMLVEKLKA GRLISKEQVLREMRTKAQDPDIVATSTIMSLKCPLSTLRIDVPCRSVSCKHNQCFDAS SYLQLQEQGPTWTCPVCNKTATFETLLIDQYVDDILRSTSRNVEQVTIEPEGQWSKTA VPDTPAPSNGSASTAEDEDDFLEIQDTRVSSMKQESAPVTATPPNPFATSASARTPPL SSREQSVVSSAHRATKRPASTVIDLTLSDDEDEAPRSKIPRPSLRGGGGPSMSPWNGE VHFQLPRPAPSTSAYDPNYFPSPLR EPUS_01077 MAKGARASTRKRNNAALRAKIFGPAVDARTERLSAKLQELVSRS KPSVERMMEVDSTGEDVKEQRSTGDTNIGDDAQKTALKKKHSHHGSQIEKKKAHRKAR NSIVFPSISRKGKGPGMLKAKR EPUS_01078 MAFAVKALLWLVACASFTLVDAFWRMNCAIIQTGRVDPIVNPGA IASHAHKIVGPSNFGLSSTYDSLLAAPCTSCEVQKDKSAYWTPQLYYKHTNGSFQEVF ASGTTVYYLSRGDNRRNIEPFPPGFRMLSGDNAARRYDNTTRTYLNDRPIADRVSFAC LDKDPMKEQPYLFRTDCSNGLRAQIHFQSCWDGVNLWKPDQSHVAYMSGIDNGRCPPT HPRQFAHIFLEVLYGVNDIDKSKGGIFTFANGDATGYGFHGDFQNGWDMDVQTAALKQ CMDDGSSGGISDCPPLEASRDPYFPWNCPERPPVVNENAKGMIDKLPGCNPITGYQNA RAPSTNCPANLNTIPPQSKASIFNPTPGTMLGKWSYLGCAKDAGADPALTGSRFNDLK MTIEGCQAYCTDQKYPIAGLKYGRECWCGRSVSSTNPIQPPASCAATAQMICGGNSTQ YCGAPNLITLWNSSAIASTQAPTTTGTGSGTGTSTTSKPTAATTSSAPANIPTAGVTT IANGQALYIGCYTEVSGGRALSGVSFSNTTGMTNDLCASYCMSRNYALFGTEYSQECY CASTLASRSTFSPQSDCSLPCKGDPSQRCGGNARLSLWNNTNYIAPRNPPTPNNQFSY IGCFTEGKTGRALGATARNTAYSKSDLTAMTVEVCASICFNKGYNWMGVEYGQECYCN QEGPINGAAVAPNGNRECNMVCKGDNKEFCGAGSRLNVYRRIGSTSTNKLVNGGRVAR FVRSYQA EPUS_01079 MHGPWTGRLKVGPSLTPTFPKTNVCDRTQPFHRKDRTVINCKTY RYSTFTNRRLDTNERPRAHAAHLRITSSHVPTPQSHRIASHRIKKLYAAPLSSSPKAM LLPSALPIDTFHQSHRPVCISTPPPCNPGGFSSLSSCRALQAILGSRPASPLSFILST APKPIKEKTASYGTRNEQLQRPPLQPLTPNIKVPTRSDIQPHEMKRPPVSEPCRTRKR TRADFETPDENNRIPNFKSESKSKSGKIDSNHFSFPHSMSTTTYPPPPPLYRDQNQDQ DRDTFSTPKRPRRIPLLMPLGLSASDFEGLDPTPKAHSKPGVVEHNIHLPPTTAAQAA FITDDEWSTADDRLLVSTVLDKLNLSRKVWNECGRENIAKDGDSLGKRWRVLVRDRGC EAGLRRGSGGRCRGELREDWRA EPUS_01080 MLSGILLFNQKGENLIFRAFRSDCRPRLADVFRIQVISNSQVRS PILTLGSTTFSHVKHENIYLVAVTKSNANAALVFEFLYRLTALGKGYFGKFDEEAVKN NFVLVYELLDEILDFGYPQNTDADILKMYITPDNLSSAIRSSPSHSNASSDSSKITMQ ATGAQSWRRADIKYRKNEAFVDVIEDVNLLMSGTGTVLRADVNGQIVMRAYLTGTPEC KFGLNDQMVVDSGSSTDKEGSMGSRGGASKATRAAAGSVTLEDCQFHQCVQLGKFDTD RTISFVPPDGEFELMRYRAIENVNLPFKVHPIVREVGTTKVEYSIAIKANYGNKLFAT NVVVKIPTPLNTASVVPRSSQGRAKYESEINCIVWKIARFTGQSEFVLSAEAQLTSMT NQKAWSRPPLSLNFSLLMFTSSGLLVRYLKVFEKGNYSSVKWILNSKYDRERVRVSSA TRKGP EPUS_01081 MTSGDLMEWKAEMEVSTSLLAVKGKWVSVYCLMLQTQHVVRDAV VSNKHLRIYTIIFDEDHSDGIAPLVYAEDLSRNGTYWNGSLIGKGNGGVLLSDGDTLR VSPRIYYQFRQSTRQDAERQFDLTQECEMKVGEDGRDFTFDANVTQHFEKEYNVSDRK LGSGACGAVFMAIEQSRRTQLACKIVDLRRLGVAPRTQTSRCETAAATEEVDSRAQMA KIKLWAERKQNEYRLEQQLNAYYREASILATLSHPNIIGIEKVYITDNSIYIFQDLIT GGDLFSFLERKNGKLSEVEAAVIVRQIVIALEYLHDQNIVHRDLKPENILMTSLANGC RVVLTDFGHSKKVENDRTRMTTMAGTEQYIAPEISGLGRHFNGKNGYTKAIDMWSLGC VTVVLLTGGSPFINPKTNQYCQQLAQECSLQQLECVAEWQFVGKRPKDFVRRLLVLDE EQRMTPSDAKKHCWFSNDSHRLDFEAVYQRAIQHWRPRTLKPPVIDVIDAHHSTEQSM LQKSDLGQRISRRKNPVPIDPPYKPYPRRMSFLLLSKRRPFLSGVMSEEVRTAIQEKW SPEKMRGRAPDPEEDKVPALVPDGLSQCSETRNDQALMGKSEGSEGFAPTTNPAMAED RAPHFRDDATVLDEVTFNRESEDVAAKMSPGLAMHNAGEKPKPSLNVKGGRQTPFTST LSLQEDPNFLPANHTKPFTYRAAEASEGKSGLTKLQRPLRSLNMRFKQTSKSKRRRGS IYDIDSDDESEQAHCDLSTFRVNPEGRSVRTGTVWKKARTSMHEREH EPUS_01082 MSEKKRKAQADLNSQPKRRSPPLAATKPKIRVRYHENTDLGRCI IASSPGTSLSTDLEFKPYERKTQDVSELLLHSSNHPTIDFTATEGGTFADNHLKHYVA VFDPIRGQLDITEAKKMTVRRTIRQAGAVEEDEAPVEPTSSYSSRSVLTHTFGTKKSK KLVQSRAENVLYSRDADPNAVNPLSEALLSSMPEPEALPTTSDGRTIDAAAAIQAGKP LPKPDLTATDVSQAYPLSNLVFPSPYNSTLAAMPISEWRKLISEGKRVSCSSRFVAER VAYITQAANTHPSPETPQTITLQLLRYIHLLIEFSRAISKFRPDKHITAITKWSTGKI TDQPSFPTPLLNALTTKYCPGGKGPTKSDLILLHTTILALTLHIPPPSGTHGLNVLAT DPTDIQQDLLLSPHDARKYFRELGCKLNTGTETELSTWGIRKPSEKAGVKTQYAKLRL PLVFPQVSKGPPSGRR EPUS_01083 MTKALLAFVWIAGPLSGALVQPYVGIRSDNCRISWGKRKPFMIA GGLATIISLLLLAWIREIVGGFLGLFGVARNSPGATGTIIFFATISMYVLDFSINTVQ AGIRAFIVDNAPAHQQESANAWASRIVGIGNVLGYVFGYINLPRYFPFFGHTQFKVLC VIASISLGSTLLISCLAIKERDPRLEGPPENDNPGVLAFFKQVFNSVKRLPPQIRKVC EVQFFSWIGWFPFLFYITTYIGQIYVNPFLLPDLSEDDIDRLWEKATRVGTLALLIYA IVSLLANVILPFLVEPTYVAKQPVDKAESIREPISPTLSHRSRTSSLGAIPFSASTTN LGSYPPSSPDDTQLSTSRTFISRYLSKLRIPHLTLRRTWILSQLFFAICMFASFFISS PLAAIIMTAFVGISWSVTLWVPFALISAEVAQQEESRREKLRQTRRRIGRQARQERSM RRTDMADGQSTPSLNETINTDTDDDDVDDTNGSASKSNHAFLHPEEADLDSLPTEDIL SEAEEGEQHQDQAGMILGLHNVAISTPQILATLISSVVFRVLQKERSVPGDTSVGWVL RIGGVAALIAAWWARGLGEGGS EPUS_01084 MKPVVSAFNAWSCVVVSAFAIIILSILGALFKTDHHSMMGSTSS PEDGGAVAASIFIAVAVYAAFLVFCGFQALLHIRHSRRGTISLN EPUS_01085 MQAFRTCASSALRTASRRQGYATASSPYAATAENLRINSDTKLI YQGFTGKQGTFHAQQAIEYGTKVVGGTNPKKAGETHLGKPVFASVADAIKETGATASA IFVPPPVAAKSIEEAVAAEIPLVVCITEGIPQHDMVRVTNMLKTQGKTRLVGPNCPGM IAPGQCKIGIMPGFIHKRGRVGIVSRSGTLTYEAVNQTTEAGLGQSLVVGIGGDPFSG TNFIDCLKVFLEDEETDGIIMIGEIGGSAEEDAAEFLKSENKKNKPAVSFIAGISAPP GRRMGHAGAIVSGGKGGADSKISALEAAGVIVERSPAMLGKALKEEFMKKDLI EPUS_01086 MATQTMTVPPQMRVKIGKNEKLAPENERYMSACAEIVSTLIQEH DAQYDESIPKKDINLNKLRGQMAKKHRLSNQPPLTAIISAVPEHYKKYILPKLIAKPI RTSSGIAVVAVMCKPHRCPHIAFTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIR ARYDPFEQARGRVDQLKSLGHSVDKIEYIIMGGTFMSLPQDYRDNFISQLHNALSGYP NTTNVDEAVLAASQSTMKCVGITIETRPDYCLDTHLSSMLRYGCTRLEIGVQSLYEDV ARDTNRGHTVAAVAHTFCLAKDAGFKVVSHMMPDLPNVGMERDLYQFQEYFENPAFRT DGLKIYPTLVIRGTGLYELWRTGRYKNYTPNALIDIVARILALVPPWTRIYRVQRDIP MPLVTSGVENGNLRELALARMKDFGTSCRDVRTREVGVNEVKNKIRPSQVELVRRDYV ANGGWETFLAYEDPKQDILIALLRLRKCSTATTFRAELISQPSSLVRELHVYGMAIPV HGRDKAKFQHQGYGGLLMKEAERIARDEHGSTKIAVISGVGVRGYYERLGYWLDGPYM SKMLENAQDTGGDSESDDD EPUS_01087 MYPSNGGPMAPPQKPETFMLSNEAQQSLPQDAQVALQQVDNLKY FLISAPVDWTPDSLIRRFLLPTGEYVSCVLWNNLFHISGTDIVRCLSFRFQAFGRPVK NSKKFEEGIFSDLRNLKSGTDASLEEPKSPFLDFLYKNNCIRTQKKQKVFYWYSVPHD RLFLDALERDLKREKMSQEATTVAVSEPALSFEFDSSQSLFEQLTKAQQANSSSFSAN QSYSQSTSPVMRAVDSMPPPQMIPQSMPPVPEEQNQGLYGAMTMQNNMNPGMMKREQE YSQQLQYDRNGIPLSRAHQRHTSMPTYMEYSPAPSFVSSQMDDYSRGISFEPVTPPQH SMTLGSEPTYVANEDTGLYSVLPDMNTTNYNPMAQLPPSNLAGPQFTAATRPFPTNNV YSVIEGSPTYKQRRRRSSIPTAIMNAVAANAAAAQAKVHAAHRPSDLRRSMSSSVLPV AEGDETPEDSPPGLTHSYASTIVQQKDMQELSRHGTPLPSLEESPTQLSMSLISPQDD LSNLINEDMTCQSLDTSPALRQERPGPIRRARSATMMELGPYPHKSHSCPIPTCGRVF KRLEHLKRHVRTHTQERPYVCPYCSKAFSRSDNLAQHRRTHEVQADGQPAPSFSDEDL EAEENEFGSLDESSPENPYLPPMLDMPSMNNTSSSSGLNLHMQSGMQHMEQSGMQHLD FSHGMIQPQLLQQQM EPUS_01088 MIGKRKRETRGIARSVEAHSLVIAQTRDRDIFRRCFESRFEPLQ EVCTQIDGSLKTSDDLGTSSDAASLSDWDGLSEGDSTPGIEIIEHGKREPPTSANDGQ HAKKFMSSKPPLLGASAKINGKRQDQPSAEETAAEAINLKHDIELQRLLKESHFLNRS LGSNLTPSSRHKAIDVRMQSLGAKSSLFKQAKMPLSHHKGIIARNNQRKASRRTEAKE NGIVLEKDNKERDAKNVRRERGVGAPTVGKFKDGTLKLSRQDISAIQGTQSSRYVARR NAR EPUS_01089 MAAVVLPPTTTGTLGLWNGTKDGEAGYIDYATGNTNMNRPKEVD IVAHDIRHISPQPTLLKNGYQLTDIPTSVTTEEFLNSQTPEGKKHIEDVYFPECAKII EKITGGVGLVIPTSFRLREQKGNTKQSTNEKLGEVEARYAPRPVAHLDRDTPTAIMLL KETVGAEKADELLSKYKHWAQVNTWRPIGNPATKWPLCFINHDRIPNWDYDTHVGHIF SKNDPLVADRGAKPYVCVVKHDDRYDYHYVSSLRPAECLVFCSFDSDPKLAMPHSAFW DNSTPDDAPNRRSIEPIPIAVSGAATNLKMNGVKTQANEENGVATEIIGDYLHVLDSR TWKTYKIPISDNFVRASDLSVISAPEVRQSKESGAERVRKLAVLDPGFQHTACKESAI TLIDGEKGELWFRGMRIEDLFRHYDFDTTMYLLIWGHLPTNEEKERFEYSMAAAASPP RAVVDAIQALPRETDMYSILLTGLAAYVGTDTEMITSRHNPVLTYHNNMAHTDAALIR TIAYTATATAVAYCHKRNIEFTQPKQGFSLVENFLLMIGIDDLDKKISRTMDRLWILY ADHELSCSTAASLHTASTLTDPLSCVLAMMVAGAGPLHVGAIELCYEGLEMLGTVDNV PAYIEAVKARKFRLFGYGHRVYKTKDPRATRIEELMEEHKEAIAADPLLQVAMEIDKQ ANTDPYFVERELKLNADFYSCFIYTAL EPUS_01090 MEPSSSPCGALLIANVLTGCLVANAALLTDINRESAASAGAAIN LATCLLGAGGVGAATPLIDAIGIGPTATLTAGLWIVTAPALWSVYRHGHRWRIAKAAK RKKKKESAGDEPAADQPA EPUS_01091 MEMATRRISAPGDESQPTEADAPYSALPEVEKICLLLASSLAAI ISPFSTCIYYPSVNALSRDLGVSISLINLTISTYQVFQGIASSVTAAISDTYSRRPAY LFCFIIYLGANLGLALQDVHAALLVLRCVQSSGSSGTVVLGQAVVWDLTTRAERGKYI ASTIIGYTLGPALGPLVGGLLSQCLHWRAMFWFLLILCSVLASVMFIFFRETRRSIVG NGSVPPPTWNRSLLQMIRPDSTTPEAKTLEKRRPVVNPFRSVPILGDRESCVIIMYGG LLYAG EPUS_01092 MVGNNSKGVQKKRKLAPSRKNTRSKAVRSPSTSAINSSPPENPS EPSAASQNLIGPPPPRGQKRRSVPEDKQSDKPIEESIEEHPDKQLRKDPQHTSKSSKQ LSKANLEEHNRLLRSGTADETRRPAKRRLQSSSNTSMTRDTESGRTYASTAAHYRFGT LEYARIFIRPGPPPKKIQSLVDAVIQRKINEDRKRELERIAQSLHRDIIDCLCGAGRE DDYIEPIQRALSSMDSDRKFHFPRKTDWEASLKPNIEPSSLSLNLNFLAKFKKVANNA ITSSKKRKANKSTLSSNASQLAVEPSCTPVQSEPKVLAVKTARPDITVGLLHETVLEA LAARGLGSLRATLFLKNLQMQQVLYSNPTQHDLPIRFPTMVIEGKSYATGKPIFDAQN HAAVSGSCMIKLQQDLAYLAGCTSSESSSPQKAPLAFSICTEGPHMELWVHHTTSEER ICTYNMNILRTCHASILKGVTEFFMVVDNVMRWTSGDFLNDIAQKLASAATAEREHQ EPUS_01093 MDPLSIVGAVASVSKTVYSASTTLYTFVSETRNVDQTVIDLATE VDALNSALKEVEAVLKSPTIIDAEKRGSAEDNKQLWQTIYGALDECQITVVRIDSALD GVRKKRSNWATQTFRQMKLNLGKDEIDSLRAQTHTHYSALKMALAMVTIRAAYNAPVV VIADLGPRIENLQGSLDLLTTSLEGQRREIALMSGKLTNADSANTERLRLLARHILSK ATTVHGSTVAGGSICGDPAADEIRARTMNWIPAPVVNERASSRSSVTQSRDQTLFSEP DGTVDTDLTEGTVPHNEPELADSDSDGEFELEVTRRLLDKGKKLFDLDNYAEAVRFLQ NSVASFNRLPNSQRTQLDLAEVPIMIATCKYHLKELNQAEGDLLLLVRQESTSDRDAI RTCQISHLLSQIYLIKNQLEASEDYCRKSLKGRRRLLGKEHQDYFASLRLLSDICNVR GKDEEASVYQDMIPPEIAQLQKKIRPEQNCPNDAALITNNPPETAQLQKKMRPEDYHP KDTAFFANNPEMNQEILQRPRSTLRGHTGRVYSVTFSPDAKLVLTGSRDMTARLWDVA TSMKRVTFEGHTHGVRDVAFSPDGKLVVTGSEDHIAKLWDATTGAKRVTLKGHTRGVL GVAFSPDGKLVATGSGDKTTRLWDTATGASQLILKGHTVGVNCVVFSPDGKLIATGSG DGTVRLWDTVTGTRQIYTREPYRWSGMYCFLAGRQARGNRIRGQNSQNLGHNK EPUS_01094 MRQAERAAIPLLLTDWYHRPSDVIYDAYFTTGAFPQCVDSLLAN GFGRVQCLSESVLQAGRGLGLDSIVANDPHDPLTTAMPMASDSMSDMLMDTASGSKMP MEAVSSSSISTSSMSMDPMSMDSMETDMSMSMRKRSDHATTTAADSTTSGMSMASASS TSMSPMATMSGMADMPNLGPNGCSMPMMCRPGFGASSLPPETCSNTMSELLMSSVTAS QGWAAFHLVNAGAVSRLSSSLDGHSMFVYAADGLYVEPQEGLHLSFGQRYSVIVGLDQ TPGNYYVRFASYPYGDMQQVIEGQAILSYQTNGTSMTNMHTVDDSGLTWMLVNGSAKP NATELDDQMLAPFNGNAPPSGQSDVTELFAINQTDIPHNIGNASDGWNAGTTLYMPGN STVDLIMYVANDSMDTMGHPMHLHGHKFWFLGSGEGVFPHSSVTEAPSSMINLQNPPY RDTKDLPPSGWAVIRYVTDNPGAWLFHCHVQWYQVIGMAVVLVEDEEQMQRTVGSIEA AANNTDMTALGTSLARLTMIPTFSHSVVLCIVVLSLFLH EPUS_01095 MAAPSISPAPEQIQVLLNGPAAPPPAGVSPNFDNPANLHSVIIP ILSLCLLLATLAVLARAYTKLLIMRSIAYEDYLIVVAWVGLLGFTAPSVCDFTLGEGI HMWDIKLKDFFILLYWFNVTAILYGVIVCLIKVSILLQFLRIFVPIRKENMLLFVAIH FLIWTIVLFYSIGTGFDIFLCSPREKIWNPLMTTGRCFDTTILYQASGLFNIISDFLI LLLPMAPIWKLKMPLKKKILMIAIFATGLFACLTSILRTYYTSKIVSSPDITYNVIIM GLWTWAEITTGIIVSCLPVIPRFFQHVGPKVSQTLLSSKSSLETKPVLKPGATDTKKQ IEISVLGNRWSNEYNSENTSLEIWNGSKSPPGHQRRDYIVLDEHDIARSQNDPIDQLH QALALIGAPRRGDLETGHYAAQDREGQ EPUS_01096 MNDVSEYEVIKAPLTSLSRRRYARIPNTSEFWHDLFLAKSASRS SSIEVRAACLYATKWRAQDDTGRPRTIWDDILVEVKWLREDYKCDIERKKDFMREVAN PCAEEALQRLQRICSRREEEDSGQNLRNESTIPEWAKEEYSAQRVLANEHRPEPLSEE GVSEHDIASQSPNQLPAGGKRKAATLRGGRKSKRLCYLADAGSR EPUS_01097 MASWFQAEASDLLRRQADEPDPDAPAEAGQKEIFSSWALFILIT LLILALFTSYILQSKKIQAVHETVISIIAGMFVGLVIRVSPGSAIQDSVTFNYQFFFN LLLPPIILASGYELHQANFFRNIGTILTFAFAGTFISAVVLGVILWLWTRVPLDGLNI TFVEAFSVGATLSATDPVTILAIFNLYKVEPKLYTVIFGESILNDAIAIVLFETAQRY KEGSAVGNLTFVTLFEAIGIFLLVFLGSLVIGVVVGISTALALKYTHVRRFPKIESCL VVLIAYASYFFSNGVHMSGIVSLLFCGITLKHYAYYNMSRRTQLTTKFLFQVLAQLSE NFIFIYLGITLLTETDLKFQPLFILITVVGICAARWLAVFPLSKAINWFIRYRAKRRG QDVADELPYPYQAMLFWAGLRGAVGVALAAGLEGTNGPALRATVLVVVVLTVIIFGST TASMLEILGIQTGVVDEIDSDDEFDIETTNGGTYYKRTGTGLGHTPRRDTNIALNQVD RQANGIPKSGVGYSSGNRHSPRTRPLNGLSSRKNSSFSQREREAAAQNLLHAGSNTPS EDDEAFSDGDLPPAAKKAPRSKPSTLPGGDMVDSSSTYVPPDASSSAEGTDHHAHAHG SIRDLFSRSAGDHAAWFRQLDEDFIKPTLLLDQNKGGSV EPUS_01098 MAGGPPFTMQSPTQSARFVPYSPTSKPNNYTYDSYQPPPQTPPS FPPGTAAQSPRFGHPGADSSPSALMNGNGHQHTATNAQYPMNSTSPIQQHSRTMSNSM AGSNGYSSYGSNIPSSHAHPSSRQGLLAISPKQEYNSAINNQMLNGINMGDMANIAQP AKPSSQERPVRANDPMSFASILSEPATRAIPAQNKIPLASKSSQITTVESSFVKGSII KMEIEQTTPSAMSPALAATKPVRDGADQTIDAPILKPLAKPRKSLTAKENESISRAME AIESQPLSDVEGSEFATEKGRYAQKCRKRALELEEVENSKRKRRRTHLLDQLLRSFAI RNSGASDRFRAKYEAAAQNEIHEKEIQEEKERKKDMQRKRRRENTVRAEKLKLAEAEE KANRTEDVVEKERLLREIEKSQKKINHTQSVMERGTAPDEISEVTPLAPNYEGGTTSS FHLAAQASPEPPKKKARPSGPVTRPKKSKEKKQAEKDAAEAAYAAMEREELVRIAPKQ DPRKESIKKEAKASRSKEMTPISPVTNYESKGYQQIYEQIWRDLARKDIPRVYRIKVN SLSTRQENLRKTAQLAGKQAKKWQERTNKSLKDTQARAKRTMREMMSFWKRNEREERD LRRMAEKKEIEDAKKAEADREANRQKRKLNFLISQTELYSHFIGRKIKTDEVERATDD PEVAASGEKMPAGKDDAPTVDLPDSVADLRSKVTNFEDLDFDAEDDAALKEAAMANAQ SAIQEAQDKARAFNGDNQMAAFDDTELNFQNPTSLGDVQVSQPEMLQAQLKEYQLKGL NWLVNLYEQGINGILADEMGLGKTVQSISVMGYLAEHHNIWGPFLVIAPSSTLHNWQQ EIARFVPSIKVLPYWGSAKDRKVLRKFWDRKHITYSRDSEFHVLVTSYQLVVQDAQYF QKIRWQYMILDEAQAIKSSNSSRWKVLLGFHCRNRLLLTGTPIQNNMQELWALLHFIM PTLFDSHDEFSDWFSKDIESHAQSNTKLNQDQLKRLHMILKPFMLRRVKSHVQKELGN KVEKDVFCDLTYRQRAYYSNLRGRISIMDLIEKATLGDDQDTATLMNLVMQFRKVCNH PDLFERAETSSPFSLSYFAETASFLREGPFVNLGYSIRSMIEYDLPRMLCSPAGRLGV AGPENPRAGFETKYLGHLMSIWNPQHIAASCHNAGGFSFLQFVDTSYSQASRCARLGF LERAVELKGRAKRHHFMSSLIYEDDEETPQATHNMFNIVGGHDRPPPAEIQHDGHLGS LMNISSQVFEEDGFAKMEPAARPGACAPPIQISCSDQSSITERQQCLFHVPTRQALFS LPTQVEEAMLENDVEPSAIPPHDMLPAPALEKARYTNISVPSMRRFVTDSGKLAKLDH LLAQLKNGGHRVLLYFQMTRMIDLMEEYLTYRNYRYCRLDGSTKLEDRRDTVHDFQTR PDIFIFLLSTRAGGLGINLTSADTVIFYDSDWNPTIDSQAMDRAHRLGQTKQVTVYRM ITRGTIEERIRKRALQKEEVQRVVISGGASGGVDFNTRHRENRTKDIALWLADDDQAA LIEQKEKEAAEKPEEESKGKKGKRVTVSAKRKRGEVSLDDMYHEGEGHFEDASAKPSG AATPVSAVEVAAPGAKKKGPRGGRGVSKKAKTVQERLRVIDADGDLGMGGI EPUS_01099 MEALLHQSKAMCPFLKKTSPATLRSLSTATHHSPGGGTITNLQF IARRCPVMNKALAVQSARMRTSNYTSAAPSIGVVKSMLAKKLHTSAEKKANVDTRIYR KRDDVLPGRVESAFAKSKDTPTPGPKPCAPTASKFDYEGFYNKELDKKHKDKSYRYFN NINRLAQEFPRAHMSARDERVTVWCSNDYLGMGRNAEVLKTMHHTLDMYGAGAGGTRN ISGHNQHAVALEKTIADLHGKDGALVFSSCYVANDATLATLGSKLPDCVILSDSMNHA SMIQGIRHSGAKKMVFKHNDLVDLEAKLASLPPEIPKIIAFESVYSMCGSVAPIEEIC DLADRYGAITFLDEVHAVGMYGPHGAGVAEHLDYDVYAAAGPGASASPTKKGTVMDRI DIITGTLGKAWGCVGGYIAASASLVDTIRSLAPGFIFTTSLPPATMAGARTAIEYQAH YQGDRRLQQLHTRAVKQDLAERDIPVIPNPSHIVPILVGDAEVAKKASDMLLSDYGIY VQAINYPTVPRGEERLRITPTPGHTKEFRDELVQALEGVWQKLKIKRTSDWRAQGGFV GVGVEGKEMQVEPLWTDEQLGLRQGVDGEVLQEALEQENRPEMPAVVAKAAAAA EPUS_01100 MAAVQSAPAMQAGAHSLPANITQKQVQEVYAYLLQNFKRMQSQN VSTADPEYIKAHQFLSAVQQSQMFRKMTQQKAQNAGVNAPNTNGTNGSTPQDGGSIPT ANSPATANGSNSQAPNGNAARMPPPSGSFSAEQLAILRNQIQAFKLLSKNLAIPLKVQ QQLFPTPKKQESAHPENQAQDSRGGEKTAEKESAADTPALGKTSFSTFESPYTSVPTT ISFAEHSQRAKRLRIPSLMPIGVDIDQIREERERILYNRVQTRRAELAALPANIGSWD TNKSDKPDGDDKLKLKALIEFKKLSLLQKQRDFRRDIQQDLFTYNNLAMTANRAQHRR MKKQSLREARITDKLEKQQRDARETKEKKKQSDYFASILQHGNDVRANASAQRGRVQK LGRMMVSHHQHMEREEQKRIERTAKQRLQALKANDEETYMKLLDQAKDSRISHLLKQT DGFLGQLAASVRQQQRTAAERYRDDDMYEEEEEVGDGSEDEEGDRKVDYYAVAHRIKE DVTAQPKLLVGGTLKEYQLKGLQWMISLYNNNLNGILADEMGLGKTIQTISLITHLIE KKKQNGPFLVIVPLSTLTNWNLEFEKWAPSVNRIIYKGPPNTRKLQQQKIRYGQFQVL LTTYEYIIKDRPVLSKVKWVHMIVDEGHRMKNANSKLSSTLTQYYITRYRLILTGTPL QNNLPELWALLNFVLPNIFKSVKSFDEWFNTPFANTGGQDKMELSEEEQLLVIRRLHK VLRPFLLRRLKKDVEKDLPDKQERVIKCRFSALQAKLYKQLVTHNKLAVTDGKGGKTG MRGLSNMLMQLRKLCNHPFVFEPVEDQMNPGKGTNDLLWRTAGKFELLDRVLPKFKAS GHRVLMFFQMTQIMNIMEDFLRLRGMKYLRLDGSTKSDDRSELLKLFNAPGSEYFCFL LSTRAGGLGLNLQTADTVIIYDSDWNPHQDLQAQDRAHRIGQKNEVRILRLISSNSVE EKILERANYKLDMDGKVIQAGKFDNKSTNEERDALLRTLLETAEAAEALGEQQDEMDD EDLNEMMARSEEELVQFQKIDEERIKNDRYGPGHKLPRLMCEEELPDIYLAEDNPVAE EVEEYAGRGARVRTVARYDDGLSEDQWLLAVDADDDTIEDAMARKEARIEKRRTNKEK RIRKAQGIESSPEQSVDGDSTPQPKRRGRKPGPAKRKIEEIEEELPASKRKRGGPSRQ STKGTTSDPLSPADRNTLQKSLRGVYDMLKALEVEESDDPDESQAESDQGPPTRLIIH PFLVLPPKKDYPDYYHFIQSPIAMAEIEVKLKKERYNSFREFKDDVALLARNARTYNE DGSLLYQDAGTIEQASNQAIKDLSDKYPHLATSDPDEMDNDGNSYMNDGQTSTANGSS VGTPMTGNNGHGGGSASTKLKLTFNANGGGSNGRGTMTGTTDSGVGSDED EPUS_01101 MNVNKKLGRFKQWAGERMGGEVKTNVSDDFKTLEVEMGLRHEGM EKLHKSMNLYVKAISKRNETEDKEKSLPVGYLGRVMINHGDDFENDSEFGQCLIAFGR TQEKVARIQETYVVSATSTWLESLERSLAQMKEYQTARKKLDTRRLAYDTSLTKLERQ KKEDFRVEEELRNARAKFDETSDDVYRRMQDIKDAEAESVIDLEAFLEAELSYHEKCR EALLQLKGEWPAAKQRPQARSSRPQQRSRTNTGNSNPYAQRYVLSEDPSPPSSPEPEQ RPSIKSTRAVSSRIAPESAAKDLPSASNSRPPISRSATFQGPTQIRRDVSPAANMPNL ARIPSDSLTVRTQRSQLRNVNNAVAECDVFDDQADSPFSNSSADRSYGDASVSPATSH GSIAGARIKGPPPPPPSRAKKPPPPPPPPPAKRSLLT EPUS_01102 MGRVIPAHTRLNKAPAKLRTLDYAERHGYVRGVVKEIVHDPGRG APLAKVVFRNPYRFQLRTETFIANEGMYTGQFIYAGKNATLTVGNVLPVGAVPEGTVM TNMEEKTGDRGTLGRTSGNYVTVIGHNPDEGKTRVKLPSGAKKVVPSGSRGMIGIVAG GGRTDKPMLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQHIGKASTISRYA AQGQKAGLIAARRTGLLRGTQKTKD EPUS_01103 MSISDSSELSSPPTTDDEALVQNSTKPSGLDRYFKPAPKGTPKV PSSPPPPKRPASPPHEYVLADNADIAFIVMFRSRFHNVFPKSLPHYGPQDIERGVAET VPGDYIEKLLCALLGLVLNRKKDIERGHFQRALEEAIQTHQSQWPPAWKAKNPTHGGG TFTSMTPAERLTLLKTLILWALSSSEAVQSLIKESYKQTRHEDDKNQPLSIQPWGRDG YKRRYWLIEGQDDTHFRLYRENNGITPKTNQWFSVAGSIEEVNIVANKLDEDGTPHAR TLRDRIRAAIPRFETGEEKRRRRDYRLARKAAFTRPLPGFSLYEGRTRGKKMRYTFSD DEEDSDDFPSRRSTRNSGVSTPAEPAGPTVTASGRQVKSRLGGSMYGETMLVDQRKEL EIERSAAGDADGFNDGELGELTDRPQRSTRPSRKTRAPRRIDDSSEEEMNMKSDGEEA SDNEWSGNEEEPDAEEFEAEGVDEDEDDDDDEMSAHDLEMNDAPPPEQDSLVVQLRYK KGFEQSKQQQRPGLENGEGAAADCIAVDSRQPMLDKGKALGSTHDAVHLNGRLFKDKD PRLRAHGSAEALPPDGVNGAPPD EPUS_01104 MASDPKETIDLTGDDSDVTLSATSEDDDLHRAIAMSLQPTPTYL PRDSIVSTTKGDDSATLEARAESSGAGGGILGMDRKRQEEERLARLKRKRERSVSPPA TKRGLRHGSDLETASAHHGGLLKATPTELDREEASAIPPSSNTSKLEYPEGTVRKTWA FGFERHNDIKLAEVLQSPQLEAAVLSSFQWDWDWLVTKLDTGKTKLVFIVQAKDEEAK KGYISTFSRMWNVRLCFPSMEGQINCMHSKLMLLFYPTHLRIVVPTANLTPYDWGEPF RDLPGGIMENTVFLIDLPKSDSKSGERQDAEVTFLKSLVLFLKAMKLQEDIVNKLKMY DFSKLGNHGFIHSIGGTHYGDAWRETGACGIGQYLNNLGLRTFDSVEIDFVTSSVGSL DDQFLRSLYLVAQGDSGLAEYTHRNAKSVPSSVSQDLQRRLGRDFPSRWKQNFRFYYP SDDTVRDSKGGPRNGGTICFQPRWWNGPKFPRDLMRDCQSQREGLLMHNKIWFTRYVK PVTMNNGLRTIGWAYVGSANLSESAWGRLVQDRTTKTPKLSCRNWECGVILPILESKA DQAKSLTDRQDSNGLNIFKNVVPIPMKIPGETYQGTKKPWFSSEQ EPUS_01105 MSSGPAALQPTFTGHVATTNDALILFEACLTGQLSHVPRRPHDR ERNMLIRSGCVFIYEENASGIKRWTDGVTWSPSRILGNFLVYRELDKPFPPGEKKRAM KKNSRTRPSRPGEPYPRPDSNNSATYSPTTPASATFSPERAPNEMERSLIGSLVDSYG FKSDGLVKKTMSVTVQGVTHHLVSYYSVSDVINGQLRTPSQTESLNYVRPRPELTTKQ SFRSPLEETEDMEGIREQSMAVPAPYGYRAPQVAHSGYMPPSGPFYNPGIYPPGANHP VTTAGYPTGTTAIAGSYIPAPMSTAQMGHRGEDYGQYAPPAFSRPYEPLNTNLTSNSH ASNIGPPSASPITSNLHVRNSNQHLSLYPQASTQTRTMPTQSPVALESRTPSYNRNNY SLPSNTGQPPPNPDHRRSIQQSPILKHETRDADHAANVAGATNPGPVYAHERSHFYMN PNNPPIAHGTYHPGSNISAWSASSATQQHI EPUS_01106 MASPLRVPLPRQLNLLQHTSPRLMFRTPTIASRRLATRAFPPSE RASYLRDPRGACIGLCRRHHHHHSSCFKSGRSATGLPTVATRRFFVSHGMLLDKKPET NSPSPAPAPKTPTIRAKILTTLKSSGGTAEPPTDLSFCARYPPNPSPAPAPKTPTIRA KILTTLKSSGGSRTPHEKIWNLPNSLTFSRLLAAPAVGYFILSSQPLVACGLFLYAGL TDLVDGYLARRYQAQTVVGTVIDPMADKALMTISAVSLAVQGSLPVALVALILARDAG LALAAIYIRWISLPPPKTMRRYWDFSLPSAEVRPTEISKLNTLLQLLLVGTAMLMPVL PAALVDAWHLIAIFGAFQYVVAATTVWSGASYVFSKDAFTILTREEIERRVAEKKKKK GEGGKRAKDKKHRHSEPHQIRGPRF EPUS_01107 MASAGSDARALKSWEEAFTYPIATTRQIEKQLRNDIAANKERLR TLVGSSYRDLLGTADRIIQLDHRMQETEELMGEVSLQCNSDVIDKKARHLAQLQEQAA QQKSGGRQLAAQLSLLQKCHKCLPRLFRRGGSVLTAAKVLAVSRLLTKRIGQEDNAPP LLNLIKEQLTSQRRRLLRRVDLILISPESSLHQLIEAICAFCIVTSSSSNDAIRHFQD LRLDEIRRLSDASEKGREGVLEGFHYYLQSLRTTPKLFGRQFSDAMRDLRGQPLLRST DIESLEELDLAKVQQFIPTEILSFVPWIKHTDSPLAEAPSILGKWSNAAFEDLCLALK RTANELSDTSELLNFRKQALEVWLPLSSSTSTHSNAEIFQALRSIFNTRIKGLLHARA GSLSVIASDIESVLEEGGDDTTPSCLSIWDHDLATASLGKGASTFKRRLIDRHLGHSK TTTLILASLQRWTAAMSNSLEQIEQVRTTRWIDFIEEDEDVDDDGDGDDKTETIERTL QKDDPDQYEHEHKSSLDTATLEFQSRIKAAVETLHESETPKAIFLLRTIRGIYHHMTS SSIQQQDLPILASAIPRLHHLLATNTTSTLLGPIHTSSSLVQRHKIKALARLWEGDPP LPTHPSPPVFKLLQRLTGIMADQGPDLWSGDAVEEVKRAVRKEIVERKLLPLETKVWV NGTEEKPKLANGTTDDGDGRKADRQTSTQVLFDVFYLLLHALELRGESGSDAVTSETL IRHLKDSVSRHLDAAAMTALESRAKDYWARTSLLFGLLA EPUS_01108 MGILAAYRPFVYRCFSSPWQICPQRAQRAQRGLSCTALLSSGHN RWSKIKHDKGKNDAAKSKARSLLCRDVILASKLGGPDPAFNPRLVAAMANAKKGALSQ ASIESAVARGQGKSTSGAALESLTIEAMLPFSVGAVIECQTDGKLRTLQDIRAMILRR GGSITPTTFLFERKGKVVFEKRDGISTDEVLDQAIEAGAMDVDMDQDGRLVVETEPSE ISVVAQRLSKSLGVKVESSDIIHDPKDDTTVSLNEENMAVIEDLIAQIEEEPSVQDVY INAA EPUS_01109 MFSSILRTLRGPNLEVFKFGMYILFPIGWMYYFGTNLEDRFTVE NFWPSQENSHRIPFERDEIRDEVRRIARETREKENRRRLEDLKLGGGAIRKTDREVDG GLEEKS EPUS_01110 MAHTSTSTDRSQRRPSEPYLSSLIRWLQLKKYQYEVTFSLYMLT STEKFIFNFVLFVLISMLVAAASMYLPNHVVIIYRRIWYYVHGEIASITKSASGGGGG VGGVGDVVKTSIDGLGTSRGVDVVTATSRGLGEL EPUS_01111 MDFDPKIAKFQTSDPTSFAYITVRKRWPNILTGAIDDVHRAVGE VEASNNDKIKDGKSIVEQLAKLKYELQHNRQLSRLEDDDEADVESYNAELKARGDPTW HNVEWLYSECYLYRRISSLFRRSKHWKSYDVFGRQKMSTFKSSRPAVLELATKYKAIV EQTRQKSTIVSSNEPTEIEEAEKVLFMEMCEICLWGNATDLSLLTSLSYEDIQKLQGA NARKESEKNILVNDLPAAFATLQKAKKAGRKSRVSIVLDNAGFELFVDLILAGFLLTG GLASEVILHPKSIPWFVSDVVPKDFVDLLNVMADPQAFYATDIGTSSDTTPMPLMEEE VGNLRFLFDNWSNLHREGKLLLRPSRFWTHPGSFWRMPHTAPEVLEDLKESELVIFKG DLNYRKLTGDAAWDPTAPFADSLGPLGKGSGVRSLALRTCKADVVVGLPPGRDEELRH TEGGGGDSGARKWAWSGKWALVQLCDGKI EPUS_01112 MPDSITPNGQSESPPVTPDVELDVQKLHSLPSEQQDLFLLTFLS DLVRHVQTLNNDALPTHQASIKKQLIIILNLSSPIPSRPIRETLGIVYAEIFARGSRS LLYESINELLDIINAGKAEKDLNNKHAAVVCLGRLLQTAGDSAVSLSGLVCNSLLKLL KHSQNHAGLRAAIYKALGRAVEGLGGSLDEHSAREVWKSARAAASNEKASLSQKTACY CLERLVSATVHFENANDFDALKTSVWRALECPVASVRHAAASTLASAFIKLFSDSESF SNSHKSTKGLRKGAAVIDGQEEIERPSSPASKKHAVSLSLNLHDILRTLASHYTKSST TNRARAGIASCYRYTLLRLPEKVIEERYGVIANHLFNDILNHPTISYYRYRLLLSRRM VRAILDTAVGFKVLSGSAQLSAAQWLVNDVLKNFPQTLPDRREPPKQVIIAALNSLSN LLSCLGPAAGTLGDSCRETLLQVLQHTSYSVQIHVAYCLRALVLACPQQLLVCANSCM GFMMKNVSQLNESRLYQRRSFGYAAALAAVISTARALPLYGSVEVFSQVLSTATDLLK ASSDTELRVSATQIQVAWTLIGGLMPLGPNFVKIHLSQLLLLWRNALPKPLTQNNATK RGSLEMSFLAHVRECALGALLVFLEYNGSLITTDGSKRIATMLQNTIAFQESVPAHRQ PEEVAHRLTPALQLQDLVIMVQRRVLQCFTKLISLSHLEATGILSHSNLLSLAVIAFA EPPSPSDQSIELSIATSPSNFESLWELADNWGYGINGLVCGHRVEVLGHDRGRKVLED IEIIMDEEEGLDDTLSTPVCRALEHDSLSLYLLGPLDSSTEVDPPPTQVVNAAIDLFA VVLPLQPQKVQEGSLEQLATLLTRPYSREPGKKAALCVNVATAILCTMVVANRETRYS PGKLATSSIEKIAGDVVQVSMFRRPSQQADLEQESIMHADPFVRTLGGEAIGRMCNLF GSPFTNSQVKQLVDTIVANRDPNIRAGCALALGCIHAQVGGMAAGFHLKTIVGVLLSL CNDPHPTVHFWAMQGLTKVADSAGLNYSGYVASTLGMLARLFTSDSHTEEAMSLATSN LELEYSTPLLVAKGIDSLINVLGPDLQDMRNERGLIEKLVNYFRCEESIHMVIEGSMC SSHLSLYTPSHLDFPDHVRNLQKGLRSAEERIRDISVDSLSDLVKRDVKGVFSASGSS LADDLWLVLDQHPDHTGIQHILQDWLHQTYDEDTIEWIERYQDVLSKTRAKPENINSK ADKPAALPDLQDEEVAGFAAAAAAAQGDNAEGSLQGQEFLKWQTRSFAMSCLSRLISL ISQAALPDQAIPAEVALQSKIADVIRMAFSASTANVVALRIWGLRIIDQILKLFGKTP DPDFLEASLLEQYQAQISSALTPAFAQDSSPELASEAINVCATFVATGIVTSVERMGR IFKLLVTGLESISETSASTSIGDLRVLSTNAQVMLRLSLLSAWAQLQIASTEQRYLQS IVEPYLARLTPFWLSSLQDFAKLRFEPDISSSLGGTSSGQNLNELYAALNREILLQFY EDTWLSLIDAIAILVDKDSGFVFDALDNRQSALASDSGTIEPAGKEISFREEPVAFFF ILYGLAFEALVTQSRDNPILTLKVLQALQKILRPAVSGNAIYQDTVFDETMDILDRLA LTEGLGIQNALIEITRSLALDHQAAESGVRREEKLSDDVEQLFELTRVMILILAGMIP TLGQSSSQQSRPHGSEAVALICTAMDALVDVADVFPTVIKSDLYACITNTFCSILACG TFQSTVVPSTFPTFKRFLQSIISFGKSHNVERLLRGCLWQFLQILMHAQRRDTEFSLP CAKNTLLAITILLTSVGNIFSPREELIIKALEEILSCLHDVGLAKVAANCVRSLLFTP SKTAPDEAIFRYLLPRLLHFLVDTSTEDPEHVRVVVSQVLASTVKTVPSGSRSILSAM IVPTLLQRATIEGSTVHEETAMRLLEIASADQAAFKGLVGRMGGEQRELLEIILRSQR GEGRQDQVDSDDVDKKPSIALRMDF EPUS_01113 MASEVVTLIKKGRIAIITLNQPKKLNAMNQDGYYRLSCLLQEVA KMDDISITVLTGTGRYFSAGADVTSIRPGRDGNVDKGQVRRDILRTFVSNNLDITRAF YTHPKILVTALNGPVVGLSAALIGFSDFIYATPHTFLLTPFSSLGLVTEGGSSIGFVQ RLGISKANEALIMSKKITSEELAQTGFVNKIIQGKHQNDHEDFLRQVLDEVEDKLGEH LNQESLVKIKELIRRPYNEVLEAQGAREVMEGMARLVSGAPQEEFRKLASGEKRHKL EPUS_01114 MVLTKTTPDPYTLVSSAHHDTVYSDFEDVASGKYADLDAQLIAS IRNHHPGMTVTVIPTSYANLAAYAAAGYARAELDTSEDSLLRWRYYQTSSTRGGQGHL GEVFFFVRFKYTWKNIEFIVYTVREGMITLNYILFPPDDDETVLSHSKVTDALLQAVG EIQFAVEGTILVFERYWTRSRALYEQVQKASWDDVILDKKMKKTLTETVVHFFDSEKS YKDLDVPWKRGLIFYGPAGCGKTISIKALMHTLSIRENPVVSLYVKALHNTYEIGSVF RMARTMTPCLLILEDVDTLITEELRSYFFNEVDGLDSNDGIMMVATTNHLDQLDGGLA KRPSRFDRKYNFPTPDREERILYCDYWKQKLRHNKKVEFPEELPPAIADITQDFTFAY LKEAFVASLLTIARQDEERDDEDEDGDRITSPRNDDPGFHDKLINTEKDISNLPLWIE IQKQVKMLREDMDSEDKEVKKNTLWGFRAPSTRPMPPRANGCFPSASARRIPPLQADT EAVHIMPSSETPSEEDQTVIRMRAAFNRKTDRQILGLDKELHRST EPUS_01116 MDVVRSIGTSLARLVSTQKILLKPFLLHAMVETPASLNFFLNPS GQLPNCTPQVHAVIRQYAVLLFSSVLVALSFAFKDLDESSGQAAGALALYHLAPVVRA TGRLMDRQAVWQPLLFVTVHGVCLAGLLSCCWELYLKNHFAT EPUS_01117 MSPSVVKPKSPPPYQRPNLYIHGIGAAYPPHSVKAEELSIIARR FHPSTPALEKVLYINEFTGIETRSTIATIDHPLANRPEAPNISELSKVFLDHGVRLSV EACRKAVADWGGDLSDLTHMVAVTCTNSANPGYDHHVVKQLGLNTSIEKVLLHGVGCS GGLAALRTAANIAQGASFRNRPARILVLACEITSLLVRSELESIAQLQETRIGVTLFS DCASAVVLGNGFGDDPMEEPILELLGWDHRIIDDTEDDLGFDVDPLGWKVILTPRVPK LASASVAPVFTDLVTSIPELTDADKVNATDFDWALHPGGATVITGVEQAMGLTPEHLR ASYDIYMNHGNSSSATVFAVIDRLLRMGEGNQYITSCAFGPGIAIEMMMLKRLGSSRA GTESPGTASFGSESPAEINGEELLDVPAVD EPUS_01118 MLSNSSSQDTSYLTGYGIINSDGELWKTQRKAGLKFFTGANLDT LIEDVLPNAYMEIRAVLLGFAGSGQSLDLEATLLDLTTAVVGRMAYDMNISASSPFSR AFDYASDRTGRRFQNPLYLITELFVGSKFRSSLAEVKKFGREIVWNAKVNRDKRKPEA SVSGFGSLVISLMDAFDDDPNLTADAALNFLSAGRDTTAQSLTWTFYSLMRHPSALAI LRKEADSTFAQRPPDHKSGKEEHSNTLLKLSVADLQPTNLPHAMSIFNESLRLYPPVP FEIKQCQVDTTLPDGTFLPKGSIIVWCIWAMNRSPAAWGDDADSFRPERWLSNPATSK DGHDKTAKLITKSAFEFPVFNGGPRSCLGKKMAELMACWVLVQMWREFDFEEITGTQG AEKERRSKNSLTLPMEGGLPCYVRLRARGREEEK EPUS_01119 MPSSSSDQQPRQSSTSKFFGRKLYKGNKIDSRYEESVGFESPNA ANINGSKSSRHSNRASVHSLENDGDPAGLAMTAGVITSIPYHTTSADARTPVSVDHLP RSDSTSSRQSPQPHHLNKGADYHQYPAWNGSNQSGAGASHPTGPRPPPHGGTTSDRGT KAQQLGRPGSSTAQHASYTSTSSSEPPPVPRKSFDQASINSTVSTATRGSSLFSDAYS SRTVTHAKNDEQDKVTVTGPSASRLSLLSSAGWAPQHPSGFNSTASFSPDGFQLPKPD DDRVIEKQFIELMHKRGWQNLPDQAKRQIVAYPASKKWTLIHQDRLTEWQGEQKRRQH ARQTGVPDGNLGHLTRVDEEGSPEWYVKKIMDHTIDSKQLGSLSVSLRTQPISWVKAF VEAQGQVALTNVLLKINRKQVTGPAPASGLTSDKDLDREYDICKCLKALMNNKYGADD ALAHQQVPIALATCLTSPRLTTRKLVSEVLTFLCHWAEGQGHLKVLQAMDYCKNTTGE NGRFDAWMRIVEVTIDGRGKMGSLVGASEEIRSGGIGMENLLMEYAVATLFLLNMIVD APERDLQLRCHIRAQFIACGIKRILLKMEGFQYEVIDKQIERFRENEAIDYEDLLQRE ASSVQSGVEGDVKDMTDPVQITDAIMSKVQGTRTQDYFLSAMQHMLLIRENESDDRLR MFQLVDAMLSYVAMDRRLPDMDLKQSLNFTVQSLLEKLHTDNEARLAFDEATEARQIA EAAISERDEMKAQIELGADGLVRKLQKQIAEQSGIIELQSRQNESLRSEMAELQRIRG QELQRNELETRELYLMLRDAQDVAASHAKNAGKDGLGVGDPKQMQGILDRERLMERLE RSLERTKTQFKLEGKIWSQAGPSDRLRELREEMDDQADLDFQEKTKRHLTQTKSTLGS VSRSNAHRSQKGARRAAADALTISEELDELEEEAADGPVVYEKPRIVEVKRPKLNPEQ ATGLLGEIASRVKKYDASDDEGDGVTTGPSHPSLESQSPKTPSDESLPKEDAKFTGPP PPPPPPLPSTPGVLPGFLASKDAKFTGPPPPPPPPLPSTPGVMPGFESGPPPPPPPPP PPMPGMTPSTPVMPGFETGPPPPPPPPPPPPPPGSVPMSPAGAAAPPPPPPPPPPPMP GPPPLPGALHGHFLPRADLSLVPTLGYPGMRPKKKLKALHWDKVDMPQVTVWATHAPT HEAKEEKYMELQKKGVLDEVEKLFMAKETKILGGGSSKKSDKKQIISNDLRKTYHISL AKFSQLSADEVVRMIIHCDKEILDSNVVMDFLQKEDLCTIPDSTSKLMAAYSKDWTGS DALNTTREQDPAELTREDQIYLQTAFELHHYWKARMRALALTRSFEPEYDDISERLKQ VVHVSEALRHSTSLMSVLALILDIGNYMNDTNKQASGFKLSSLARLGMVKDDKNETTF ADLIERIVRNQYSQWEGFVEDISGVVTAQKLNVDQLRIDAKKYIDNIKNVQSSLDAGN LSDPKKFHPQDRVSQVVQRSMKEARRKADQLQLYLDEMVQAYDNIMTYFGEDNTDDNA RRDFFAKLAGFVTEWRKSREKNMTAEENRRRMEANLARKRAAGGPTNPSPGNATPGDG DAPSSPSSSGAMDSLLEKLRAAAPQARDQRDRRRRARLREKHQVRIASGQKMPDLPLK DDVEADRGLLLSPETVAEEGGAAHCASSPEQRETSGNVSESEDVADRAATLLQGLRGD SALGDAADANDGLRVRRRRESADEERRNRRLKRRTAQQSSSVGEVSAAAAGLATVEEQ LEKKDQGQDKDGSGGGVARIPSPPLSERADTPSQLPPPPTIVVSPTEPNASSPFSSGM DTDSTVTDTAEKADEID EPUS_01120 MSSDLIRLCPGRVSDGEPSHNGLRAASLHESHKEMFRSEGQQAL RENTSVRPSSTDRIDQKDCAKPALSSQHSRTEKASCPKSEENRTPSASPRKRRRPKVP TGPTAPIPRRRSSVPVRRNGPDLISFHRRSCQLFQSLEGTLALTHEWNVTDQPCPRYS FTPDTRHTSPCIIKTENGFAYLASTTSTPRFGSARSSRRNSSAIVTPLPSLYDRSGPN ATATTSSSSSTLADTSSSTLEEKLIASLESLPPRPHPVSIISWTSTESRRREYEEIHQ SYSGVRGLWKKITPRWCRGKCERKGFFHEKKDGEVDSVRRYRMNLDDEEDDDNEKNAR IDDGADDDRGRKKEKRDKKRDSRWTWLSLLR EPUS_01121 MSPFLEVKTNSGSITCKPICENGENTLLQRSEHNGFLQKPKLCP VEPQEIHNLLCIGFGPASLAIAVALNDALDNANCIDGKQPKVCFLEKQHQFAWHAGML LPGSKMQISFIKDLATLRDPRSEFTFLNYLHRHHRLVQFSNLGTFLPSRAEFEDYLRW CADHFTDVVEYGQEVLEVSPKEVSEPSTKIDYFLVSSRNIHDGSVSMRKARNVVIAVG GQPHIPVSFPSDEPRVIHSSQYRSRVPTLLPDKTSSYSIAVVGSGQSAAEIFRDLHYK YPSAMISLIIRDTALRPSDDSPFVNEIFDPERTDHFYNGLPAARADALRADRGTNYSV VRLELLEQIYHDLYEQRVKQPDEAQWQRRILPSREITHVTKDEGSKCGKLSLTIKNIS PLGSPPGSDQEMLEVDAVILATGYVRNAHEKMLKSLEHLRPQADNNWRVRRDYKVEMD RSKVSADAGIWLQGCNESTHGLSDTLLSTLATRGGEMVDSIFGTAHANGE EPUS_01122 MDHRVIYTKFPNLFDTAKTQSGRVALQTKWPVSLSQDILILSWA SLLQSYTSITEPVFSFEGHAVQANAFLGSWNKVEAEGVNERDDHHTSIALKPLYGEPI LALELWYDSESGTTALASAGSVPYSFLPQILSQLEHKMSNQAASDDFSPPKAPLLSIV NPERQLVPGPHFLHQLVHAASPSTTAIDFLSSNRKRVQLSYQDLHRLSDCLAKRLDRA INRSYGQHEACTDSITVPILIPQSPELYISQLAILRTGAAFCPLHLDVPQERLKFIME DVGAKVVVTTQECRHRFSYSNLGFEIFSLVIDEEDESHIADLCVSGDFPAQKHAEKLA YIMYTSGSTGLPKGVGISHRAVSQALLAHDKYVPQYHRFLQFAAPTFDVSIFEIFFTF YRGATLVCCHRETMLNDLTGVMNALRVDAAELTPTVAGTLLRNWSTVPSLNLLLTIGE VLPTSVVEKFEPCPDRGRVLLPMYGPTEASIHCTVAPTINSESKAGIIGQPLSTVSAF VIAEEQTLEPHILPMGFVGELAIGGQLAQGYINRPEQTRAAFVTLPSYGLVYRSGDRA RLLPSGQLEYLGRIASGQVKIRGQRVELGEVEQIICQVESVRFSVCSIIEGNLIAFCL IDNDAQISTSRQALQEKCKSWLNPIMRPKDFVILTGQLPRLPSGKVDRRSLESLYLSQ RLAVDSATPNTGSELESFLADVVRKELSIDTTCSEDLWSKGLDSLRAISLASKLRHSG IEVSATDILAADTIATLSEALQQRQTSHSVMVAAINSRTASPETMKDMLKPRFSTAEF LEIEDIVPCSKLQSAMLAESVIAKHVNFNGLKIEIANSVSVEDFMKAFRRLAELNEIL RSGFVQFDEQESGFARIVWKRLDCATRIEFEQHDPGNACGLNEVSDMSLLQPLRFKIA SVMNRTTVSVYIHHALYDGWSWDLMMTDINLLIQGKEPPKRPQFQQFVQCEEAFLSSE IASTAQDYWMDHLRGAVPTPLPVLNMRKCTAEIRTFRRAIEINLSLLNSMSKGLRISR HSIASAAFATLLKFYCGTSEVVFGSVSSGRTLSIPGIENMIGPCISTLPIKINFDHIR TVGDLLLHTHQLHHNFLHFGQVPLRDIKRAAGITGDQVLFDALFVWQESLESNKETKS SLSVIDGTDFLQYALVFELEPGSHQVQVKATYDTSVLCTEQVELLVNQFEAILDYYTL ASHNLWEEILDQFKPQDLSIANTTCSSIDCTITISSTIDRLAREDPTRVAIDFVDDFD PLTAVLKRSRLTYCELSERAGLVAQFLLAKGILVDEIVCLFMNKSIPLYVSILGVLKA GAAYIVIDPQAPPERTRRIIEGVKCRHCLTSLGFQGHDLLGKIQRVLFFDEIAKSAQH DAVPCTVDDTNLAYAVFTSGSSGIPKGVLITRKNILSNIDVLSRLYPSVESGTLLQAC SPAFDVSVFEILYAWHMGMRLCATSNDILFRDMEHLIGLLNVTHLSLTPSVAALIRPS NVPNVQMLVTAGEAVTSKVFRDWSDRGLYQGYGPSETTNICSVRCKVSVSDHINNIGN PLPNTSMFISNSEAFCPLPKGALGEIWIGGDQVGRGYLNDPSLTAERFIDHAEYGKLY KSGDLGRMLPDGSTLFHGRQDDQIKLRGQRIELGEIEHTLLRETAVSDSICLVTEQSE QTPSRLVAYWSSLPSSKVDTMSYNRSLFEKLQSQLPTYMVPDYLIPVEMLPLTSQGKA DRKVLKQMYLNMDPAALKKYARQGDNMQEWEPMDINETSVARAIAEVTGSPLSVIDRN TSFFALGLDSISCIGLSRKLLDSGFGVVDASLILRHASISRLLRAFSAIQVARSTKYG GESKLRQIFDEEWQETVRLRYARKGYSVQKILPCTPLQQAMLSHAEGGRSGAYQNRLT FTVFGDLQQLQDAWSTCISSHEILRTGFVLTSSSQFPFAQIVLADYVAPWHNGNRADQ AETEWERLMVPPYSFTVEKQSNASPPRLILQIHHALYDAQSMSLLFHDVERAYRGGSI EAVVSVEPYLDYMVGLAEESADQFWRAHLGDYEPKLLSPPPQEQKAGSRLQESNHHIC SRTSLGALLDSSKQMNVTLLTLLQLTWARLLAKYSNGTDICFGNVYSGRNLPIHDIEK IIGPCFNTLPVRTTFGRHDTNQQVAKRLQDHTLAALSFQPSSLRRIQQSLGVSRLFDT MLLLQGEPPKLCSSIWALEEDLGDMKFPLILELVPISHLNQLDVIMHVEQDILPLGAA DLLLADFDSLLGHTIRYPDALAIDCSVLGHREPLMLISPTIMSGEVTATQTEDCRPHH ERDWSPLERHVLEVFSKISDDHVSSVSRDTTIFHLGFDSLSAIQIAAELRKEGYRLSS GDILEAATVPGIASLCETHLLNEQDHLLFDFDAFSDKHINEVCERHHISRQVVEEIRP CTTFQSRVLAGFLRSTGHDYLNSVYYELDGHIDTRLLRNAWEAVSLRHDILRTGFVEI EDIQCPFAMILYSSSAHALPWLEDDGTAPNDSAYARSLLQSLHEPPWRVVIGNLGSRR TMRISMLHALFDARTFELLLDEVTTAYRGERLGSVNPIKPCISRMLHKSSSQPTDTKA IIGDSSIVPSARFPDLHSHTPEDGGFHTIEFCCSHSLAQLQASCRNAGVTLQVAGQCA WARLLSAYTGEKAPTFGVVLSGRSVEDGEDSVLFPCISTLPVSVKVEGTTNRALLAAA TRQNSALLKNPFALSQGWSSAQGDLFDTLFVLQNRGTVASSCLWHLLAEDARANYTVS VEMLINDLEKLCFRLTLRKDIVPIEQGKLLVKQLDASLLDTLERLDDEASKFIHVGKE LISIAPAKESMIETRVQYLHQFVEIMARDSPKKIAFEFATNIVDGKAFNMSWSYSQLD EQGNRVAHILHQNGASPGDLIGICFDKCPEASFTILGILKAGCGFLAIDPSAPEARKR FILHDSKCRVLCTTQDKASSTALIDKLQVLSIDKILQDKGLPCNAVSLSRKLVGEDVC YCLYTSGTTGTPKGCLITHGNAVQFVLAFQRLFAGSWDADSRFLQFASFHFDVSVMEQ YWSWSIGICVTSASRDLLFEDLPAAIRALQITHIDLTPSLARLLTPEECPSLCRGAFI TGGEQLRQDIIDAWGDVGVIYNGYGPSEVTIGCTMYPRVPKTAKPSNIGCAYDNVGAY VLEPGTEHLVLKGAIGELCVSGPLVGKGYLNRAELTAEKFRFLNNQQAHVYRTGDLVR LLHDGSFCFIGRADDQVKLRGQRLEIGEINHIIHQASKMIKEVATMVLRHHKGSKEQL VAFVALSERRADAEHAAIDFNPEHRALLHHIRSSCNSSLPAYMIPTFIIPLVAMPLST NNKVDTKPLRALFEATSATDLQELSGMGTSLQHIDPKPMRTIVESISRVIALPVEAVL PSSRLFELGLDSISAISLSRLLKRAGFDAATPSMIMRNPVVGDMMTTLTENVPMLEDN VTARQVARQRIVAFEKTHRAAIEKASHVRDHEIEKIAPCTPLQEGMIARCLSSCASVY FSSFIFVLDADLDIVRLQAAWTRVEEENEILRTKFVPTNDGYAQVVFKDAATPSARFQ HLPIVQKAGYEDALNQNFEKWAERVRTFEEELWGLDLYHVPEHRLLHLKIFHGLYDGI SLGRMLDEVACIYAASSTPSPKPSYHDVLPLGPLLLPAGAKDFWTQNYNEVRLLDLPL KHDPNTVIAKSSNIALSNNMRQLQMQLCVTEAAIFQACWLMTLKKKFEIFPAIGVVVS GRAIDADGMENVVGPLFNTIPCQINADASSTLAELVKTCHTFNVTAMPYHHTSLSSIR KWMGFKSTEPLFDSLFVFQKAVTTFTQSRELWTQIDSKSQPDYPLAIEVEQGPDHAFT CTIVAQGQYLCCEEVQELLDLFGTILIDLRNNLHKPSRSLRAVLSRPLDAQRSKIGSA GEFCSKELTNGPVHCKDDFRWDAMSDLMRREIATLSRSDIHEVAPYTSIFELGLDSID AIKLSSRFKAAGTPISVSSILKAGSIAEMVKRISAAGASTGSTLKADFDQICKDLRKS LENQAVPVENYLNVLPVTPLQETMLANYQQYYSQDVLRLSGDVHTEKLKSAWRVAFAS HDILRTVFVEVEDPRSGSIYAQLVPRTIDFECISTSVDSEAQLQILLSDQESQAVQGG ISSVALRLTFVDIGPTTYLIVGLPHAVYDGWSINLLHQDVARCYNGLQCERPNYEPVL EHILGSVSEKSCLFWSNHLSDLHTKPFGSSQAERPTYGTSNRHEISSRVAVTPVVAFC KAAGVTLQSLALTCWALVLARYTNSRDVCFGVVLAGRDIENADQMMFPTMNTVPFRVV IQGSKLDTVKRVHDLILAISEHQHFPLRKAKSLAKGSAGHLFDTLFMYQKKPSQTEQL KPLYTSVGGSSNPEYPINVEMELLHEDIIWRTACKDHVLDMRGTQALLHDVDSVLDSL IMEPSTSAFTSSPDGSSNCNLPALTNGVTESHSHGASTTVSKKTHMHQPGILLYEEAI REVLSNISSVEEGKITESTSLFHLGLDSISAIKVSSALKKRSISLPVSEMLRTLTVQS MAKAAKPLIASSGRELANHRKSETWLLDQNCVRNSLKEAGILEDQVEDIFPCTSGQEF FLGLWQASGGKLFYPEFCYQVQGRSVSRQTINSAWHRLLQAVPVLRTVFVSSSNPYAS RLQIVLKDVLTPVIWAGERAPHTKATNELPAHYDHPPVFLCATETPTAIFLKLRIHHA LYDGVSLPRIMQAFRSFCDSSSNPLNLEIGFQNHLNWLQNGTTKDQRKTFWASYLNGI STFQPRRTASFKAERVEIFRPKLVDGLGPIAQKLKENAISFQALFFAAYARAHSKILA RLTCTIEELGELVVGVYLANRSHDHEGLSTLLAPTVNVVPLKIPVRHESSLFETARAV QEDLAQIGSIEQCTVSLSEVYEWTGVRIDCFLNFLKLPGMENTSSSPETEVEVQEVGL EDWPQHNIIDHDPPLPLADHGICIQKDSIYLPSIDIEAAIRDDALDVGVFAPSELLDR PGAESLLAELHALLRSCQH EPUS_01123 MVRKLAYHERKLLRKVDFLTYKSDGPDHRSASVRRRYHLTDPLD YSKYNALCGSLRQLAHKLADLDPSDPYRLETEVALLEKLHQMGIIKQSRSQGAGLSAV EKEVTVSSFCRRRLGILMVRIGMVELVSAAHKFIEQGHVRVGTEVVTDPAYLVTRGME DFVTWVDGSKIRRTVERYQGREDDFDLL EPUS_01124 MPRPPVKRSKLNNSNQAAKRAPNNPLSSSPAARRRQLHEKLAHK SVGRVPDDSDDSDELVVKSSTPRNRRGVPRQEIYASGGVAKGDKVAAHKSSSHKRERV VPEELSRSEARLNGVGKNAQAKTRGLRPINRLSTSQTARPTTSKVPTSVIRSPSEYAP VDASREGGRKSPAAETSILGPIRTRKRQPSILRSIDGPDSTVLDPDLDDFLPDDQSTP LDASRKRKLSRSPRASTPVQSPPQADILTTGNKDATNGEPDLPPLLSSAISQRQQSPP EIDSDTMAPPQSSSSGPSPVKAKTPLPSTTKLNHRKTKEPKSLSTAALQALMPTRRQQ RARRERAAKATSDFDIPEDSSDPPNGAAENQAADPDAEESYIASPKPAKKGKKTTLHQ TMKLQKTNKIPALHKSNPKSSQTSKGKEGGGAEGGRSIHGKPKSHNSSTQSPSPSPPT SKSISTPRATPLTAGAKTSSQQQQQPKQQAPPPPPPPPPPPPPQSTRGKSLRSYSKAA REAEQGSAEKEQGDKENRPSLSSADSSRRGKVTPRQSRGEALSPDDTDDISIEIGRPY RSRTHAAAIEDADADDEGGADILREEQARLAQKFKEVDEWDLEFEDVTMLDDDDAGDP LAR EPUS_01125 MSVIAAFRKGQYPLLKGKYPAKDHARRVADQIQASGFGSDGVIY LESQKTRLIEDNDTPQKFRQRRYFFYLSGCELSDSCLIYDMATTELTLFVPPTEPEEV VWSGLPLSTSEALERYDIDKALPTTELNVTLASYTNSKKPMTVFAIPEQVSEDVTFLG FANTEFCILKKAIEEQRVTKDMYEISLIAKANAVSTLAHKAVLDQVKTASNERELEAT FVAKCIAHGCKDQAYPSIVASGTNAATLHYVKNDEPLRDRLNVLLDAGGEYRCYASDV TRTFPISGSFTKESQVIYDIVDEMQSSCFQMLKAGVLWEDVHMNAHRVAIKGLKAAGL LKGDDQEIFDSRVSVAFFPHGLGHYLGMDTHDTGGKANYADPDMIFRYLRVRGQLPEN SVITVEPGIYFCKFIIDPYLKNPELSKYIDQDVLQRFWDVGGVRIEDNIWITKDGYEN LTTAPKL EPUS_01126 MSSLSPHREVPKGTSLDSQDPRHDTSGPAGAGPETPTSAPKETR FDKLLAAQARQSRQLKRKTSASERATNAEHQTIQAKPGRSAFPLPTRPRTKDAAGTEA GPSTFAIPAARHTAAPTSVPTQVARTLGTPNAGYNPSGGSAAASVMTTRELELMSNLY PLTLLGRPVSTIAGNDVGWAVSIPDIDQSLAQNYSLLNPPRHQSSPRIADTTGISEQT LAQQKARGIAREKVGRQRVHGPYDNQDSRDDVYARFNAGQSSRMIAAATNVPRHVLTQ WKADGIAAGRVEPEVISEAHRSYEDKRKHAYALFQQYERISLSEVSRITGVSISAVNE WKRTWTSKEVLGPERSDSRKFTRHVEDRKKAEVYELLIQKPNMLVTEAAEKLGVSERT ILCWKNKDLAAGTLPSGLDRNRFRAARDPETRKEVESLLRQNVSNKQISELTGVSGST VKRLKRRKKAAALVHPEEPASARPAASIHPEAPASARPAASPATASNSSHAQEVSETS SDVLLYTPSNMHAHFDEVSSESSAWGNSSTLRR EPUS_01127 MKAFKTLLATFLAFCWLVQARVVHVGVTSEGDVTVDIESKSEGQ RGQSHGNGITDNPRHRENTQRAEIGPKDVDLESYWHRGFLSKAWSCIVGTVRTAVPYH CTIGLMHKTGEKGVDIVLNSLSGELLHACWKCVAEFGKLVELGKRDLVACGQLDMQPF LANRSYCCVDITQFIRDKPEKRGRMLERCLDLYRQKKPGPFSQLPFSTHASRHQDARR YSQIKVRPRAHPISFDPQASYILTGGLGGLGKAIAIWLVHRGARNLEFLSRSAGLTDD SKEFFAELESMGCHVPAIAGIPQSLADVEAAISKATGPVKGLFHVAMALQDAPILDMT QADWIAASQPKVDGTSLVTVVDQPGQGNYNAAITFLEAFCQYRHSLGLPASVLNICPV DGVGFVAENPAARRNMKAQGLYFLGEEELLDFIELSIVNSTPIASSRSSQTSSTVPGL WTSTGQLWMGLCSELHLDYPNNRTNWRQERRMGTYHNVRDMNKTSDASTSSLSSLQTF LSLATEEPDLLKGEDSQEYLAYQIDRKVYDFMLLAQLGLDSLMTVELKRWWKQALGLQ ISQLEIMATGSLMGLGKIAAEGLQGKIVGTS EPUS_01128 MDYMMQSPYNGSESQQLLGLYRDPSPAISTNTTPHTSYYPKSYF FSTTTFINNNDNPAYLGTSTPGEAPLRWRPYTMHWAYLLAHVLLNGTVCVSLFLALRY STANNGFSETEFPINSAKGVLVFQIIPAVIAIGFQSAWLNIDIDFKRLMPFLNLANPS PARRRCIGRKSGVPGSRGNDSLLLNYFPYTRWTADFRSPFRGHWVVATTFWTSFLTLT LVGYHAVLFTPQEFLVSHPLNTTSGLQFRRLSNWRSLIEDVYMSGLGKELAANDLRLW LDPSVDVMQSLRAWKRTFWSAVPFMLIDDVNGTSALLPPKDAIWQAETAVFYASLDCQ PADVQFTASTQNLNVTFQDAMGCAAQHSYPLPSAGQYLSFWDVSQSYEIPACIDTQLL AWGKAMPTSEVIWTGLSCIPKYRMANLQVAVNAYGVVFLLDQDGNMTPYLTESLFDSV ATDFISSNLTALVKSVFFDTGLASLSSYPASQVPFFAGLPQSSLMVDEDALLRPKNLT LTVQRTFSHVLSRLFTTSLFAASTASEPETFVTMDGIRFSYINRYGIQPTAVIFLWFN YAVMACSALGLAITVAFMKKYRMTGLKFDVTKAGIAGLMLLFHRSRQVLEQDFKDLDQ SLRRSDLKKRLGEKIYRLGYWRDGQGVYWGISRESDGPTNQEQSLIPRIAPEDLPSFR YRSGKSDVHIVYVAVMVLLMSALAGSCLWLAISSSRNGLDPTFGTMSLTWAIIVWRLV PVLLTSYCFWWWKNVDMYCRATQPFGGLNKPHSADENICLDYRTDMALLLPWRAASNK HSKLCLVATGSLLSGIMLAVAPMLIVFRDVTKTTTMLFDQPINWKDASYTTPALEPYI YQTANLLLGSDAIASWTDGTSLYLPVAVDNSTNITGNSSIAMSGTWTFDTQRVMANLS NCVNLQVGLDLSVDVRDVQFDGQEGRIFNAMNLESSDCTAGTNINSVCGRSSSNSTTR SEHPEEVCGSWSLLSATGSCQHEGKWWIYRISGERLGYDAAGQTVFSARNTPVVTSLL CDTSIWLSEVTLVDFDPNRPTIDPTTVVVKSSEPFDKRTSNSTFGHLFNSLLNQTLAE ISSNTSTASTSSLMTVLTLAALPNANLLSTPLGFAAASSRAFSTLFTLYALNALTLYP PSPTHTLSFPGTIHWAQTRVFVRVSTFSIYLFCLLFLLLTYATIWSTLRDWRLPRWID TMADTVSYFYRSGSGILDHDFQGMQDVQDARELERRLLAKGRRYVLGEGIGRDGVSYV GIGDEREVRTIPRRRRRPWLVNNNAERPRWERIPSGP EPUS_01129 MSTPRPDAQLIASLPPDLLRTIPAGQPPPGIQPNFADPPTRVPV ILGVSIPFLVLALLCFSIRIYTKLAIARNWKWDDLTCSLGFMCSIVYFGSVVNGCING AAGRHIWDVHLDLVLDKSSLYQSYITTIMVTPALGFIKISLFIQFYQLFRTLRWLRIC VWVGAPISAAFYVAVTITAFVLNSPWPGESFLEAILSWHYLKFAEFSIPTGVIGMVVD WYLLILPIPAVLGLHMSTAKKLGVLIVFMTGSLAAVASTVSLYYRVYLDTDLSDPTWG VGYVLLWAQIEMFAGVAASSMPTVNQFCTHQNFSLTSRISSFRSNLKHLLSSSTRPSR SAREKLPDHNPSFTDWRGVKTQTMNDREVGSMRDSNSDSYERKVAEAPRMTDPQIRLT QAYSVTHEQLDDSTSRQVVADRHL EPUS_01130 MYVETFCEQFVPAPLVAQPSISNLSSVQMTVASTVLPSTSNLSS VQMTVASTVLPSTSNLSFATASHDLHWRWYYIIYLLLAWQIAAVFWKFWWKAPSNVTI AAYPTPLGRWLTALRMIVDSETLLQKAYDSSHGKPFAIPMTDRWIVFVSDRDQLKQLE CEPESLLSMEEALHELAFTEPILGHLQVPPEHKGPKSEAFRVMIGVLKNKLRSNVPAM SNAFQMRIRDAVALEVTPPNDKCVQDHGDWQKVRLMPALLRIFTRVNLLAFIGEDQAD RLEVYDNVMSFFWSCAKAFPILNLTPGFLLPLIGPIAMGWGVTRHKVYDLLLWLTCQT LEGEDSCDGKTQAQGHITQWTAEMTRLQDAAAVAKVTLGLLFASAFQVPMIAQFCIYS FCKHPEYHERLRAEAEECKDASFGSLNQEMPYLDSFVKETARLSPGPILSAPRTVMAP YTSSDGYHVPTGNWLAIPQLSLMRDESIWPRAAAFEGFRFVDEKNGTSETRLTHPSYE FPFWGSIRHACPARFYVSVVMKMILSHLIVDYEFKLADPTGRPYLTFGKTRLPNPFMT ILVRKRSGGN EPUS_01131 MVSRLLSVSVTTTLLIIISVFIMRRLRSSLSLRDTKKPDRPDRP DRTGSSPERAMLSRRLASALPDSVILPHDDAAFKQSMGSYWAQQECEVIPACVVRPRN VQQLCTAVTLLKREYDERGKQADEGEAQGLFAVRSGGHSPVAGAASIKGGVVIDLGLF SEVTPSEDGSSVTIGAGAKWMDVSKVLDEKGLAVIGGRNSAVGVGGLTLGGGLSFFSP RFGLVCSNILSYEVVLASGSVVNASASTNPDLWRALKGGSNNFGIVTRFRFRSFPSTK IWSGFLYMPAVRPAKVLAAFHEFVNRADSRDPSTAYDDHAAGPIACFTYIQRVGAQVI AVNLVYTKPLENEKQWPTCWRTSSFRSLFRFWSTCKVRTLTSATDEMNALNPPGRRQV FATTTIKNDPATLAAVHAAYRDGIASLRRVNVRGLVWTLVLQPLLPDWVRKGDANPLG LHDCADEPLVIVSFTINWDEGRDDEFVKTTTRRTVEQIDAFAAAHRTGHRYRYLNYCA EWQRPFKGYGAENWQFLRRVSKSYDPEGLFQKACVGGFKLDVEDGEA EPUS_01132 MRLNALLTLFLSYVSSVHAILADEAYHVDYHHALLGIPQAETTF FHQPSSSSNASLLYTISEKAIIGAVNPKDGSVVWRQSLADSLPEPPTVVEDVVKLPNE EQQRALRGIGPAKAGLLAEEGSGFVVSYYGSAVSAWDAMNGKLAWQRFMPQGQHVKSA QLIPSGRDVSSSSAVDVVVLYGTEGGTVTRLDGSSGAVVWEYEDRSGASPRYLASTKK SIYYVSEQSAVLAANSMKIVSLNTENGREDKSHVLKTDEVVTVSSCPNVAFISWHERS SRSMKVNVLGSNKVNTLNLERSNEEEIEAVSVLPGCGDSSPSHILVHVQTRNGVWAEV FDIDSDSAEVRKAYSLLYLKGKGAFAVSIVDEKPHFTRITDFEIELYSFAPGIMVARW PRNQPSFGGPGHAQAEVVLRGQSNYAIRISEVAKGGEWTLMRNGELVWSRPEMLANVV AAAWVEDVSGEALAHELDFEGHENPLRAYTHRLKRHLRDLEYLPAWLQQLPSSATSGL LTSKAETEKGLLGHKLLVVATSTGQHVALDPAKAGAIKWKRLAQEASDEARAVSLYVH DGVVTSYVNKWGIMTINATDGRDISFDQSDAHFTGVIIAPGPVAPVAYRISRDGQPKP TAIDDVAKDGTYLVTRSASGQDVQGWMVGRSNIKLWTFSSAAGSRITNVVARPAHDPV SSIGKVLGDRSVLYKYLSPNLVLITSAKRGSFTIYLLDSITGTILYSATHEDADTSSP IPSVLSENWFTYSFFGTSDAKSPSKCHQLVIVELYESPIPNDRGPLGSSSANYSSFYP GSITKPHTITQSFVITQSISHMSVTQTAQGITSRQLLCTLPDLNAIIAIPRYLLDPRR PVDRDPTREEAEEGLFRYSPVLDLDPKFFLSHSREVVGIQKIISAPTLLESTSLVFGF GGLDVFGTRVTPSKAFDVLGKGFNKLALIGTVAALGLGTAVLAPMVRRKAVERGWKL EPUS_01133 MASKKVTASMLRRTASTATKSTRPAGDISSVFPSLSGKKTETLP QRFADLKLNYLKQNQDAIQQSWTRLLSSLHEEVAMVKSKGSSLIPNITFEDIASKNVS KAIADEVRRRGAVVIQNVLPQDEALALKAQAREYIKASRGRVKAFPADNPAVYELYWS PAQATARSHPNVLSTQQFLQTLWHSSDPDSEISTTHPLTYADRFRIRNPGDGRFALGP HTDGGSLERWEDPEYSRVYQKIFGGHWEKYDAFDAKHRIHAKMDLYNGAGACSMFRFF QGWLSMSTTGPNEGTLKLCPMLKHATAYLLLRPFFNLKTSQLALDSSFPGSVPAACQE YNDETHPHLQLEHTMVSVPRVEPGDLVAWHCDTIHSVDKEHKGKGDSSVLYIPACPMT RPNVEFLTRQRQAAVAYSPPPDFPGAGGEGELGFEGAVDWNKLPSDGLRAMGLGSQKW HIKDNMSKGERKVVEEANRMCF EPUS_01134 MAQDLNGKTAIVTGAGSGINFCFARLLLSRGVNVLVADLALRPE AKELINTHSKDSPRAVYLKTDVTSWLDLSRTFQVAHQEFGGVDIVCPGAGIFEPPYSN FWRPPGQLPSKDDPQSNHYACLDINITHPIRLTQLAISYFVSAKPKVSPTNPKSIIHI SSITAQLTLLPLPLYCASKHAISGFVRSLAHLESTLGIRVAAVAPAIVKTPIWTSEKL VMIKAEDEWVLPEDVADVMVDLVEKTEISSYFGENAEQGEMIRIGGGSVIEVAKGRLR DVQTLNDPGPSGPGTTASGMAELYEGVYGLLQIEGWGNPS EPUS_01135 MHSTEDEGQSSTNYSHRGRRRPPSHLKRDVGGTIGQASWISSVV NLLNTILGAGLLAMPLAMSHMGILLGTIVILWAGLTAAFGLYLQTRCAQYLERGSASF FALSQLTYPNAAVVFDAAIAIKCFGVGVSYLIIIGDLMPGVIDISGLIVIPLSFLRRL DSLKYTSVIALISIGYLVILVVYHFCAGDTLRQDRTPIRVIRWAGPIPTLSSFPVIVF AYTCHQNMFSVLNEIGNNSHFRTSSVAIVSNGTAAAIYVLVAITGYLSFGNDIGGNIV AQYLPSVSATIGRAAIVVLVLFSYPLQVHPCRASVDAVLKWRPKSLLRSSGPSSLDSS PPRSTPLLLTGRKRNDGSLSETRFAAITTAIIILSFIVAMTVNSLEAVLAYVGSTGST SISFILPGLFYYKISSLDSALHQRLLKEEDDYDADIEDNHSDADAAGEERDGLLSGSG ILSSSMVMLRNNTKAFRRKLLRRLSLALAIYGLLVMVVCLITNTFFIVAH EPUS_01136 MAANTRYERAPQRDSLEENYTQPPPSYQNASSSDGLLGAPRGED DNVPDDFKFGGSVSEATLDIRMAFVRKVYAILTVQLFLTAALSCVSFFSKSYRHWIQT NSWMMWTSLFGAIAFMLLTFWKRKSYPTNLLFLTGFTVLEAYSISVITSFYESRIVIE ALILTLGIFVALTLFACQTKYDFTSWMPYLFGSLWVLIIFGFMAAFFPHGSTVELIYG VVAALIFSGYILVDTQLVMRHYHVEEEIAAAISLYLDVINLFLAILRILNSQQNN EPUS_01137 MASNGVATTARFNASFPAVGSTPQRSITQNGFKITTQKLPILKA EPIEQMTSALGIAPPEMIFGDNYVAVEHAASGWRISFNAFDALDRVDKTGEKRLKVAY SKEWQQSREDTHDIKEVVKPFDWSYTTDYKGTLSPPSPPFRVSSTPIPVELLKRPDPI LFFDDVMLYEDELADNGIAMLSCKVRVMPSRMLLLCRFFMRLDNVMLRLRDTRVYVDF DKGEVIREYVAKEDEYEKVREVLAGRRDDAAAQLRDPNKLVDLLPIVAKSLESVTLGS EPUS_01138 MACTIVALLCVFQPILASPTVQPDPALDAVVAQITAGPTYPPPT RTVDRRDLLSDAASYANSLLDGAPSFITDGVANFFQGFPTGEAVLKSAGISSTDLDAV PTQALNIPGYGNWTTAGWNLRVHGNIFKQPNISDDKINDLANVFLIDTSVQELPPSQL AQAVNLTRSIYVVQQGEENVTVDIGPAPSAGTNGEPGGGGGVTPPGGSQQVTLPYPTT DQGDFDVFVPLSDQGLEDGNTTGPPQKINVYVNGTEAGNATSYLVATEGLTIISDIDD ILRVTKIYVPKEGLLNSFARPFTQWMNMPDIYANWSTALPDTHFHYLTTTPEQVTRNY MDFIYKTYPGGSFDTRPLNFSDVSATLSIRRFLLDKIFLTYPKRKFVLVADTSNSDVM KAYPAMAQDYPGQVQCIFLRNTSATDEENRFPYDTSGFEGLNQQMYMFFRVPDDLTNL DISNGQCYNASIPQNVTFGYQGLPFGIGESRKGAAPRLVAVSENRLGLSLGVVLAFMG AVALFGS EPUS_01139 MFLLPSLVSTLTVLLLLSTLLLAATEDYYNLLGLSKTASDREIK RAYRTLSKKYHPDKNPNNSSAHAKFVEVAEAYEALSDSNLRKIYDQYGHEGLKQHQQG QRGGGGHHDPFDLFSRFFGGGGHFGHGGGQRRGPDMEVRMQLPLKDFYNGRETEFTVE KQQICEDCEGSGSADGVVETCQKCGGRGAVIQKHMLAPGIFQQVQMQCDGCGGKGKSI KHACPVCGGARVVRRPVTLTAVIEKGMPKGTRLRFENEADESPDYVAGDMVLTLQEQE PKLGEEESAKTDGTFFRRKDNDLWWKEVLSLREAWMGGWTRNLTHLDGHVVRLSRERG QVVQPGQVDTVKGEGMPIYHEGHLHEHHDGDEFGKLYVEYMVVLPDQMEKPMEKDFWA LWEKWRLKKGIDLGKDSGRPSAAPHDEL EPUS_01140 MKSFLLAALAVLITFATKVVSAPTPASVDNACIGTSCVYPAGGA DHSTHQPSVFKNVLINAGDHGLEAMPVKTLDQDTAQADNSMWAYPCHEGCPRGFEVIN LGGGWCACRGGIRSEKRDADLAPQVMKDPGRFPFDPRVHCTNTLKGRCSNGQAGLWDR RNGRCFCGSAKTVVDLVTRKEQSVSQDAVDRDGTCADTMGVHCANGTVGVGDNDRQRC CETTSTNSTRPDGNATTSDTLTSRLLPQPLKYPTGISLADWNAIIVTLVLLDETLKSQ TDFGQVCTGEKDLQAFGFQLEIFSKICQPEITMPVAQPEIAKAQKRVYSALWIDTILE RHHNGGLYDFYGACEEAKVPDAVPSTLDKEYILSQLCYMGH EPUS_01141 MASQKAVVVQAPKQAAVVTDRPLPKLRPGYLLVKVCAVALNPAD WKRIDFVGPAGALSGCDYAGVVEETGSGYKKDWKKGDRICGICHGGDQMQLENGAFAE HIVVKADVQMRVPDYLGDEEAATLGVGFITVGQGLFQTMKLPLPTEPAEVPETILIYG GSTATGTLGIQLAKLAGWRVITTCSPRNFALVQSLGADEVFNYSDKDCGSKIREYTSN QLKYAWDTITLPASMQICAESLTSGSGAHYGCLARPELPRKDVTVTFTIGYTCFGETF RIGGKLWEAADLQDDYEFAVGWTAMFEKLLAERKVKVHPLKVMDGGLDKLLDGLDLLR NDKVSGQKLVYRVG EPUS_01142 MPPKTKSSKATGSARQSSQAGPSQSSHATPRQPSQPGASWSSRL DVRENRQPNASQSSKDAPTFVFRGGGDVFPGHREAGSVELSGSSENAATASNPPGSRD PPGTTTPLPSDLNVISPAPTLEEWYAAEQNPWSTSVISKPEMSGMVSIKRQASAMSAS IDCQYDAMLKVQTSIETTEKIIAIVKAKLQQLESREKSEANELQIQSFKKQIVALQNW MQVRKETMTAWENNGKPKWVAIDKLIMQVMAMAQCWAHCQPPEDMRDFIMREKTVLDR QQAEAVQQRRVMEELEQRVRQLRRKMVQHLPKDFDTGWATYEVQALEINNAIGDLCVR KKQAWRKVADWELAERQLEDLRHHYNSMLSQAASTATEHAER EPUS_01143 MSHHGASQSPGLQHAAYNNNPGRPLSSHQHARTPAAPSPAGYQQ AGSFSGQSNPGAQDGQNGAAAQQQYGGDPNASYFPTQGSDASAGLVGQMGGLAISGDS YAPQKAHKKKQRHAYHDLGQPSAPSQPPPGIAQGYGSSQGQFLNAGQLQPQAPGSPYM GQSSAQPMAHMSTADRLRSGEEMVATQGRVDPEQIPSIPRSRDAAAQYYLTQVYPTME RHLPPPAAIPFIAHDQGNSSPKFARLTLNKLPSTSESLASTALPLGMVLQPLAPLQEG EQPIPVLDFGDAGPPRCRRCRTYINPFMTFRSGGNKLVCNMCNFPNDVAPEYFAPTDP SGVRVDRHQRPELMLGTCEFLVPKEYWAREPVGLRWLFLIDVSQVSLQQKFVESVCEG IRHALYDDESEETSFVQNGTEPPAGRLSAGSKVGIVTYDKEVHFYNLSASLDQAQMMV MTDLDEPFVPLSEGLFVDPQESKPIISSLLNQLPTLFSAARAPEPALLPTLNAALAAL ASTGGKVLCALSTLPTGGPGRLIVRDDSKGRDPDDEKKLLTTDHPGFKKTASSMVSAG VGVDFFLAATGGQYMDIATIGHISALTGGEVFLYPNFYAPRDHVKLANEIRHAATRDT GYQALMKVRCSNGLQVSSYHGNFLQHTFGADLEIGTIDADKAMAVVFSYDGKLDTKLD AHFQAALLYTTASGQRRVRCINIVAGVMEGAMESMRTVDQDAVVSIIAKEASSKLAER SLKDIRAGITEKTIDILAGYRKNFSGSHPPGQLVLPENLKEFSMYMLGLIKSRAFKAG GEPTDRRVHSSRLLRSAGATETALYLYPRIFAIHNLSPSDCFPSSDTSQLVLPPTLRA SFSRVEEGGAYLVDNGQGVILWLHSQVSPNLLEDLFGPGKTSLQELDPWLSELPVLET HLNAQVRNLMAYLSTVRGSKAATIQMARQGLDGSEFEFARLLVEDRNNEAQSYVDWLV HVHRAIQLELAGQREKSAGDGDGGAEGILSNLTGLKAPYWN EPUS_01144 MSRRYRYMTRDGHPPNQAVPPGVPHRGAGPQFIPVFTQPASGYP QPIYVAPPPGAPPPPAGFCWLPTPAPAPGPTYAPPPPPYQPCAGGPPVYGGYPPTEPA AIPGPIHGAAQPAPGVAGGTGGTLPPGARVRGEIPVFPNRNSGYIFSKKQCCFNIIEG RTKPWKEPGCEIKFTTARADCRMGIKEFIEQVGAPARAPARASEEEVGICEVIETGDG TWQKGSQFSLGDMVARLEQSLADVGWDELRGEAGMGKPVWLVLLP EPUS_01145 MFTFTPLLGAQTNSSALQSIIELDGGVKILVDAGWDEKLDVSQL SELERHLSTLSFVLLTHARISHLGAFAHLSKHYPLFSQIPVYATTPVISLGRTLLEDV YASTPLAATFLPSTGSATEGTLPSFSKEARSSLLMQAPTTEEITRYFQRITPLKYSQP HQPVASDFSPPLEGLVLTAYNAGHTLGGTIWHIQHGMESIIYAVDWNQARENVMGGAA WFGGFGGSEVIEPLRKPTALVCSSKNGNSTPLVGGRKKRDQSLLDNVRSSLAKGGTVL VPSDSSARVLELAFVLEKAWQEGANDPTLKNSKVYMASRSAVATMKHAQSLLEWMDES IVREFEGEEENTTKTHKRAGSKHTNGVAPKPSKPFDLRYIKTIERPKQLEKALKKSGP KVILASDSALSWGLAKLALEEIAQGPENLVILAEKSNPASEAENPTLAQSLWQVMENK EAGVALETSSDGDQIEQIHGGGKLLEYYDVRRMPLDDNESQVYQQYMAAQHQLQSSLI SRTEAGTDETEIIADDSSSSSSSEDSDDEHQGRALNVSAALGHAGRAKRDLSEKDLGV SVLLRKKGVYDFDVRQYKRGRNAIFPYIHHRRRGDEFGEYIKPEDYLRAEEKEEADSA NAGEAEHRVGQKRKWHDVADEKNEMRENKRQQTKKTERGGEKQSARVASSGDADGNIS DDSDADPEPERFEGPAKAVFHRRSMTLNARLAFVDFSGLHDQRSLQNLIPVIDPRKLI LVGGSKDETQALALDCKTLLAAKEGDMGGNPTVDVFTPVIGQVIDASVDTNAWTVKLS RDLVKRLQWQNVLNMAVVTLTGELKAEESATEQSNSMGNPSKKQKLLKSDTQETPASS VVQKGSSEIRPVLDLVPASMAAATRSLAQTLHVGDLRLADLRRLMGTVGYTAEFRGEG TLLINGNVAVRKLGTGKIVVEGSALSTTTMRAGVPANTFYDVKRTIYEGLAVIAGG EPUS_01146 MAASPRRGDVAVIGMACRTAGANSPSELWDILANSRDVQSVITR FNTEGFYHPEGGPRKGSTCVRNAYMMDDEAIDKFDHAFFQITPVEAMAIDPQQRMLLE VAYECVENAGVPLEDFMGTDTSVMAGVESCDYHDVISRDIDATPKYLATGTPMCMAAN RISYFFNLSGNSIAVDTACSSTMVALHQAVRTLQHGDSGMALVCGAKLIITPNMFVPS TELGFLSPSGRSRSFDADADGYGRGEGVIALLLKPIKNAIDDGDPIRAVIKGTRLNQD GRKQGITLPSASAQKENMERLYTELGLSPRDIQYLEAHGTGTAGGDRLELSAINAVFG HSCRDRSLVVGALKSCIGHLEACAGLASVIKTIECLERGKIPPQMHLKKPNPEITFQG LQIPLTMLDWPAPPSQTRRAAINTFGAGGTNAHAVLENYNHASSKPLTRRHTYLFMVS ATDDDALSRLSLKYADYIEHHKPTLSDMAHTLLAHRSQLAKSVFFASGTPEEAMSRLR TRTPSGHLNTTRSIKGAVFLFTGQGAQWPMMGKVLLEESRLFEEVIMRCDDLLSSLTD GPAWSIVDEMMKTEESSNVYQSLYSQTLCTALQLGIIILLESWGISPVAVVGHSSGEI AAAYTAGLLSFEDAIIVAYYRGMYLNRSMLDTGSKRDGSMCAVGMCESDAVCLLEQYG DRVQLAAVNSPGSCTLSGDTDAIKEIEMECVKRSVFCRRLRVDMAYHSHHVVPMASAY EKALYTVMGSNDPLDQKCQMFSSVTGEKMILNRLSPHYWKDNMISTVHFDSSLTKCIA DLPHDIVMVEIGPHPALKGPTREILQSKDRSHINYFNSLFRHKNDMKVLLENVGEMIA AGVPVAKRNINGIEIVDGLQCNYNLPAVLKDLPSYQWDHSTPLWYESRTSHNQRFRCF PRHDILGSRYLEDSPMNPSWRSLLNPDDVPWLAKLKSRGTMDIPTAAFLLMAAEAARQ IFVAAERIQGSIVISDMSFDCNLPFSLFGTTPNVELHLITRSAEGETAYSFEISYLLS GHGVRSRRLCSGMFKWDETSIMSKDQDNQQVPRDHDPWLPEQSQAIGEHISRKILDLA LNSRGATGRFDNVISPSDHYFLDPEILYSILGLASVSAFRWSLPSVQRIVSVGYLRLP MVPQLEHASGIFTVDVRPVHSNSIQADVGISFGETHALLLSDIRLLHDRRIDQTPAPR SLFFKPVVLPDITTIEPTTKPKHIFEFLKLVTHKWPMCDIAISGITSENIDIIIESLQ RSECGGRVSFRSIVIVGNPEDRPRWSRVRVVYELPSDRQYHLIVQGSEHVDAENARKL VLPNGLLCIGLAEKQKSGLRKFFTKVCDIDGINNEYIWSIWRGHNHKCADRLSASHPR LTIFSSQLQPAGFGEAFPGAKHVLLDPVSMKSFIEEDRALHQRSDAIIVDSIENPIIT TWSGCDLVPWFQILLASYTNILWVTFGSQDCSPHHGIAGNLLRSLQAEQPSIRTASLV FEDATTTKLHDIIGSVYQKLKSGCAENEVCLEVSDSGSKIVRYFPDDQLSVSTGVALP RDAVRGNVLGKNYEISLTTEAATVLVHNEHGERPLKTGEVRVNIEASMIDVSDVWTFQ RKCDIRPQPLSCRFFAGKVVSSGTADFVIDGQVVGWYQGPHRSQVDVLSACLYECNAT VAPSVSAAEFARMCVAFSTVDGAARARKGDIFEIRLKGPIGEQLANVCRDLGAKTLDK DVADSPTFIVDLILDRGLLLNDAPVHIEKYLTSCRGIDMVRQQWKCEGHALPAKQVTL KEVKESFQQLGLQDIYSTVLSHSDKHLVQGVTVKTKYQSLFTSDGTYVLIGGFGGLGR FICSWMVKNGATKLAVISRNGLESQEAKDTHAAINCSHASLEVIRADASDRTAMQNAL AQIRQTSQIKGILNLAMVLEDSQFASMTGEQWDRAVGTKRDSSWILHEETVNDELDFF ILLSSIASVLGNRGQGNYNIGNTFLNALARYRRSLGLTAISVALGAMTEIGVLHDLGK EDLISTLTRSGLSPLGKRELAKIMEAAVLESRHSDRFLILTGLEMFDRVDGKLVGSRD QVQLFWTELPEFGFLQNHKLGDTEVKPDDAKLSLREKVLSLPDKEAQNLLQSAFIGFL SQLLGFDAGKLDSSSSLAAYGLDSLSAVSCQYWIHRNLSVNISLSTIFESSSINHLVE ETWKETMDHNFDDETTGQRAAYV EPUS_01147 MAGDNTIAAAALAVALVALLSTVGQVLQQYFGTADGFRRCQDSV VGPWAKRTRLRFRWSEFRFETLFTVPHIMLQRTVWVSSEMPACPYPDGGWILGRAEHQ AGADEVNLLISGRAAASPELVTWLRFLRAIQWTHKRMLFKLSRVTQAGGEKNDLKLAE YENRNLTMPLVKFEERSWDFMPPDIVKPYARINIGDLGILARRLGMEWDRFEPSDGIL RAQGNGYNLTSVLVRSVGTMVEINEILGSGAQNAPLPWLTPVIPEHVERKMLLIPCAA ADKMAFGILPGVNSGFLHRDFDVSSLDRIPALLRYFTRNERLVAAFTSVYWQQAGWCP GVSDLLSIIAPMMYRPELGILGVPSPDNGLLFHGVTAASADASRMSSVVWVHEKVSTL SAASDEWTNEIDLLARYWVDGSSRSVRTPPQEEIYRAYQTSTSLLWDIPKLAGSEEVP RSPVPRSPSYFSLIAYHLRHMFDHQNITPLYNTGPQQVLHYGHPNYPYPQSLTSESAL WAQRMKVYFQRLPDLVSNMEQDGLGNKEQITSGWVLMMFRGMCWSRCHVLISGKTIPI QYCGSQLPVYLG EPUS_01148 MLSRLLRQHVCGQIPVKSLGNLAGRSRFMATVADATPRQMPTPK RTRATPVSYDLATLTIRDGPVFHGKSFGAKSNISGEAVFTTSLVGYPESLTDPSYRGQ ILVFTQPLIGNYGVPSSARDDCGLLKYFESPNIQAVGVVVADVAEQYSHWTAVESLSD WCAREGVPAISGVDTRAIVTHLREQGSSLARITIGEEYDADQDEAFVDPEQINLVRKV STKAPFHVSAPSPIAHVAVIDCGVKENILRSLVGRGASVTAFPCDYPIHKVAHHFDGV FISNGPGDPTHCQETVYHLRKLMESSQIPIMGICLGHQLLALAAGARTIKLKYGNRAH NIPALDLTTGRCHITSQNHGYAVDASTLPSDWKPYFLNLNDNSNEGLIHTSRPIFSTQ FHPEAKGGPLDSAYLFDMYLENVHKYKQSQAIFQPQRDSRPSPLLVDLLAKERVGVQP TVGMSNMMQAQQQQQPVYAAGAA EPUS_01149 MDNVNIKIVSYDWLVKALSSKKMVDDTSYLLGVGSSATTDGTGA KESKASKPKKRKRADPSDDEEETVVDSATRSKKQISNSVSASKKAPVNVPVDDWCSLK DSHSVHQADDGTIYDVTLNQTNAGRNNNKFYRIQLLVNGTEQDYRTWTRWGRVGEGGQ KAMLGSGSFDDALAQFEKKFKDKTGHTWDSRHEPAKKGKYTYIERNYEESDDDGQDDL SGAGTRRSSKQSIDGNEAATVESKLPKEVQNLISFIFNTEMFNQTMASMDYDAHKMPL GKLSKRTLTQGYQVLKDIAAVVGDHSLAQSQYGQSLGQTLEDLSNRYFSTIPHAFGRN RPPVIQDDRLMKREIELIESLTDMQLANEIMKTAKGDKVKNDIHLIDRQYEGLGMQEM TPLNSKSEEFKQLQDYLIKSAGRTHDIKYKVQDIFRIERQGERDRFAKSPYASIKNSD RRLLWHGSRSTNYGGILSQGLRIAPPEAPVTGYMFGKGVYLADISTKSANYCWASSSG GTGLLLLCEVELGAPPLRLKNADSNAGETAKKDGCISTLGVGGIAPKGWKDASCVHAD LKGVKMPDTSTEPGPTDENHAYLLYNEYIVYDVSQVRLRYLLRVQM EPUS_01150 MLRPVLRTLQEQQQRAIKQQRAIKQQRAIKQQRAIKQQRAIKQQ RAIKQQRAIKQQRAIKQQRAIKQQRAIKQQRAIKQHRTQGLKRVGTAPLHQQQQQEEE EKRRRPRREP EPUS_01151 MHTRYTLISGLLATNALAYNAVLRGRTQALAVAVASLAGAPAVV NGAPLADSIGVLIKRHGAAEGAADEDAADAEADAGAEAGDRAGAGAGAGDGKRGGNKG NKGGNKGAANGGAAPPVELPTITTKL EPUS_01152 MAGGVQESNDLLRRPLYLFDLPPELLLSLRSRDLTETSPEPGLG EQVPRSIVDSVGVPDDALPSSTSCALCRASFSTVIDQRGHVKSDFHRYNLKLALKCLP SVNESTFDRLIGDLDESLSGSDSSDSESEDNHEDGKPSDSALTALLKRQAIITQQEED NGPSLQQKKRGSGNPPLLWLSSSRLPEVVSLGIYRALLTEADLRKDLVQVIKEKQLKP VLAKHSGSGGQTTPQASGPPTPHYFLCMIGGGHFAAMIISLAPQIRKGPGGHEERHAI VKAHKTFHRYTTRRKQGGSQSANDNAKGNAHSAGSSIRRYNEMALEFDVRGVLAEWKE MIEKSELLFIRATGTTSRRTLYGPYECQVLRATDSRIRGFPFSTRRATQAELLRSFTE LTRLKVSTISQSALSVDAADQEKASKLIKPKAMAREPPPKPNKEEEVALMHTSQIQTL IRRNKAPALLTYLMNNNLSSDYTFFPSHASQHHHAPNALHLASSNSSAAVVLALLTKA KADPTLCNGDGKTAFDVAGDSKTRDAFRLARHLLGESAFDWKGAHIPSALSEKEVEDR QQLEKAHESTAEAERRKADLERLRHEEAAQRIGRIEKKAGTGKSLGSRDKTGAEIREE EGRGLTPEMRMKLERERRARAAEERMKRTQAGR EPUS_01153 MIAGSQQQTFSGAQLTHKDKLWRTRQQPLCGDPEGWGPISPVRY DFTPCFVDVWIVFVATLGTVGGAGAIWFLLKRRSAQPVSKDWHFYTKLIVIACLVLTT ALQASLQIESFPELWAKDFRFWTSVITLASLGIVFTVQYLEHWRSRQPNGVVLLYWLF FVLAYGVKLRSLISRQLWKTRLPYFVTFNASLGLAIIEFVLEYFVPKKQSAWHALKDQ DECPMEYADIFSILTFGWMTPLMKYGYKHFLTQDDLWNLRPRDTTKVTGAALQEAWTN ELEKKHPSLWLALFRAFSGPYFRGALIKTISDALAFVQPQLLRLIITFVDSYRHGDEP QPAIRGAAIALAMFAASVCQTVALHQYFQRAFETGMRVKSSLTAMIYSKALKLSNEGR ASKSTGDIVNHMAVDQQRLADLTQYGQQIWSAPFQIVLCMISLYQLVGLSMLAGVAAM IVMIPLNGIIARIMKKLQIVQMRNKDQRTRLMTEILNNMKSIKLYAWTSAFMENLSHI RNDLELNTLRKIGAAQSFANFTWSTTPFFVSCSTFAVFVLTTNQPLTTDIVFPALTLF NLLTFPLSVLPMVITSIIEATVAVNRLTTYFAAAELQDDAVRVEDPVTEIGEESVRIR DATFTWNKEEGRDVLCNINFAAHKGELSCLVGRVGTGKSSFLQSILGDLWKMHGEVVV RGRMAYVAQQPWVMNASVKENIVFGHRWDPYFYNQTIDACALRDDFLTLPDGDSTEVG ERGISLSGGQKARLTLARAVYARADVYLLDDVLSAVDQHVGRHLINRVLGPNGLLSGK TRILATNSINVLREADFICLLRDQRILEKGTYDQLMAMKGEIANLIKTSSSEEEGSSS PSSASKLSDYTDESVTIIENGAGYDEEAEEAQATIGSLEAIRPGAVTARKSSFVTLRR ASTASFHGPRGKVTDEEAGGIKSKQSKETSEQGKVKWSVYGEYAKTSNLYAVAIYLLC LVAAQTAQIGGSFWLKRWSETNEQMGGNPQVGKYLGVYFAFGIGSAALVVLQTLILWI FCSIEASRKLHERMAFAIFRSPMSFFETTPAGRILNRFSSDIYRVDEVLARTFNMLFV NTARAGFTLAVIAAATPIFLVLVLPLGAIYLAYQKYYLRTSRELKRLDSVSRSPIYAH FQESLGGVTTIRAYRQQARFALENEWRMDANLRAYFPSINANRWLAVRLEFIGSVIIL AAASFAIISVASGSGLSAGFVGLSLSYALQITQSLNWIVRQTVEVETNIVSVERVLEY ANLPSEAPDVIFKNRPNIGWPAYGAVQFNNYSTRYREGLDLVLKDICLDIKAHEKVGV VGRTGAGKSSLTLALFRIIEPVSGNITIDGLNISSIGLLDLRRRLAIIPQDAALFQGT IRDNLDPRHVHDDTELWSVLGHARLKDHISAMPGGLDAIVHEGGSNLSQGQRQLVSIA RALLTPSNILVLDEATAAVDVETDSLLQSTLRSSMFQDRTIITIAHRINTILDSDKIV VLQQGRVAEFDTPSELIRGKGLFYELVKEAGLLDSSGPLH EPUS_01154 MVHTEHASDQKNDLTQLRHTVRDAQSVIRRRKGTKVMASVKSYP PVPVTYHQLRSAEREPRSRQYFHQRTVITRFHFKSNAEGRTSHVGMPYQPKCTSPAPH SGHTASKPPSVKPPRKRARASSEDRSSWLQDDNSSHVTERSDSAETVENQTQLIGIEV RESEKLKEHLFDAFTSFQQINCRTLCKSWIRTIEPKKQVNHPYNGNLKVKGAQKFSSN DLSTQKDSDPEETKPKWWPSKEECPHKEPDHIKKEQRLALLCHILMNTHRLHECSTDD LKAALESDKAKIKPAGKEAILEDVWKIGRALENFRCGGKDGTAMVYVNARREDARTIR GGGRSKKSLDAKDESEVEESSPDPEIIPAEAKILTPTTPSALISSVPYLHADQATMVP QAHLDECSYVENRSTHHFRPPESKDSYQDHQAGYSMAAQVTSSLGDMSQHGSSEHGFD GASFVDNSYSNMQDIRSHSEIFSPDEQIIGQWPLAATPDLYPMRYTGEPSQPPAINPA DGNHLFGHYPYPGTHKQPYRSNFNGYSVDIHGQFGAGYEDDLARFQRTHTGSFPSQST PRFQPASMMSQHSYDLPSHVRA EPUS_01155 MSAPPRRPRGGGQSRGRGVTPTAQRGRGRGGTQSVKVGRGRGDA IVSTNSKAETLLQGLQSGSLNQGTGALRRGSGRIPRHRQRELNSGHEGRSTQPPFTSS KPPPPSSQRDYMNHMTTKFQHLKTKREIERAKAIKDGFLADPEKKTSLDKAITPVGTC TEMCPEFERVERIVQNMVDKVEKVANEETGRDMPSEERMIKRFRRSAAGYDEQLPSDI RTPETLRETLNYLLDNVVGGGERLATVHKFVWDRTRGIRNDFSIQQVTKEEDVRTAID CFERIARFHILSLHQLSNPENLVGEHFDAHQEREQLNNTLLSLMYYYDDYRDRQDFAN EAEFRAYCVIFELQSQQPDLEDRMQSWPQELLRHPRICTAFKLYSAAGNTLYDQGPLK PQAAFDIAQGNAVGFWNVLKSKAVTYLMACVAEIYFGQVRLMALQGLWKSIKRAPTSM QAKRQDWTLEELTKLMGFDDEEQSLEFCREYNLGFRYNDAGEQYLDFTSQPEMILDKS STPNKQVFSFGIVEEKRYHRTLVAVINGINVAQAIREGLVVEPEEADDEEAEEDLAVN DEDALFVRQTNEQEAKPNQDNPFLKLNQQAPAFTPRTSISQALSPPPTSSAPSISNGW DSQPATAPCSLSASANDPQRTGIFENSPSLENPFKPQPTTSQPTPSAFMFPKAATTTS SSFGIPSFPANAPSPPAFSMAPSAVNSAWPSMVVNGIVNTKARDFGALFPSALAASLL QYILLFLYRSMDYDVDLLEDIPDSFEVFRPMPSALSAPGLPPELRRSSLTFFVLDQPG NTPELVPAQELDTKPLKDPPPIPLTEKTNIASPKADDGVSSFTKPPASPRPGHRSLPP ITNAERTTPLFPELAQINTQAAMEIPTSESSRAVKSLTESPPIPNSARDLFPASNTQA PISFPKSANPSNSKSTTQDYSTFKTPQSSPFTSNAQTTKQPATSKPSTVPPITTNKST IPPTFTPNASPSFTSPANTKPKHKSPLGSQPPIFATDSSAKRPAIQQPIANVDHAAEN LATIGFLEPHGILQQYVEHTATALVEEALHQFEREKPLRAAQSARQQLLSRKYFMRWK SIYWRRILNRNATSRRSHLAKSIRRESQRKARGDAELEAILQAQKQKEVVQAEQQLRS QAGRVKDPVSEMRDGQPNPSPAGLKRKILHSDDLNPVTNGNNPRKVAKGETARRSKVM ERVSAPLRASLSSKPVTSPSPPHLSTASLHGRSLLLDRNNRTILCRSTGGHKVDSTHT DYFRLKALGVDPDTPIFPDTKSSLERRRRSQEELSHPTPRKRASTLSSVRVRTSGRDD ATSAMNIQAPINITTPKMSLPVSKQIALEATSRLTDGDDDDDFLRQIREFRAAMSEDT EWFRTQAAQIEKEVEQEELRRSASQRSSTHSDGAAASGLHRGLSRVNGYDYAPSIPQS DTQLVLSRTEQRIRATGAHGLATKPVSDYLPVAMSKSTRAALINGKVPGRAKKRKGKI KHSEKDSKYIYESDNNVEEDGLATERDVLARKHVRQTHQKRLAKASSNVFEASKGRII NDAKNGDGAAAIAHADAGQHKALGFDEDLYDEEDEEKGFEEEDLIEKEEVVIGVVEEE EGYAQPTDNGIDEGDEGEDEAEEYDEDDDGSVVNENLSEAGGSTTSSNLNSSQGEQPG RKANPFHMRLRSATPESQTNPDQVFTPGGTQMSRATSGTGVSADDALVLSD EPUS_01156 MPRKSPLSVNPSGTVRKRSLTASIWRGQTELAFTLAGIAASSTA AKRSHADSSRERRPRLSGAVCVCVGVGVGAGAGAGAARGKIADKKEAKINAFTDIITK TIATLDVECDQDAVGEMRKRFRMAIREQSQEPAAGKMQIEEKGDEEETEVERKRKASA PRPETGLEAPMGNVRFESPRKP EPUS_01157 MSSRHGPKLPPRASKGGQARASDTPTPGQDSRPATLSGAPGITR QRTRESEGAQAIPAASTKREPRASVPTQLASAAAGINDEAVLESLPSDVKSAAASLAL RPPVSRRPSKPRLRRALSAATESLLTPEEDNTSKLSGASSLRSTGSGLSQGSGPSAFI DPSARPSAIPSRKNSDHRAQRARLTETTQARLISEGNHDNRPTGAFTGSVPSQYPAPS AYTEPRLRAHPAAGEATSAPSLASSQRPGVMYMDRGAAPQINLTPGRTQAVHPGLAAD TPVGRHQQMSHLPSHRAVAQEDRIPLPQTGIPSLPPSYSVPRRRRPIHEHQQGRASAR EGSEDLNTRAARDTQFGREAGLQSRRDQKQDILKVKIAMVKEHIRLAENRLYHHQNQV HPPGAVDQQAQAEFYRQTEHEIHTMQRQLKELERDYRRGYGSAGSGAAGSGASEQRAG PSRKRSTERRGARDSADNPPACSAPGRSMTREDAGAPSNRVTVTVTTGLGGESEHTAS HARKSHRKWKPCADLPQQFE EPUS_01158 MSSKPPAPSRVGIIGQKRERTASPPKASAHSPTPITGSRLPTDV TATEQRPVDMTVDEQSPFPPQNTRPALTLNLPAEEHRATHTIPTEMERLRRVSISSNQ KRRLQAREARPSLSTPNPSTKVRPPRPGSPFLPSEELVVPHTLSVERSQQPAPVRGTS LSRGVGVEQHIPNPRSREHVERSSPPLDTRNTRSAPSPGASPSTRRPDPDRQESGESA RAEVDSQTRQMVKATKELRKLNELFDCYSTSSIDTGDYNSRARQLRQRVMIRPDIIKE FERQLVHANYLIWNGAQVPSKFTSCAPYNEEIMEVLRTTPNLEERLATFKTMLHSLQQ HSSSGHSRNAAREEKIRQLELVLQDVSIDLTILEHEQEGQGMEHEATSSTVSSSASAS HANRVGSRSRRAHTPRTVHVMKPLEEFPSLPP EPUS_01159 MSSGSPSPEQRLPLLNPPGTAAVPAPTPASASASDPALRPALAL RNAASAPNSRTHLHTSYHLRPPPLPAVLPAPEAEPGPAAWQRQMDIVTAEARKMKQML QQSQRRHGQQQQQSASAAASSSSSSNRGDDAGPSTKRQRQNLGDPGGETMGMGPPTKS DQDRFDEMTREIEAVHSLLGFHTAGAVGAGPGAAAGAGAARPSSSASPRSYLPQIKAV LRNHTDPMERRGMLLEQLIQLLHTRETHKRSSSDDEMIKQLLEVIEEQKCSAEEGASP QARRDEARRHGETRSPAASTSAMSSGSKPTVPGSRNQEGPVSTGDSNRSLAVVSAPVS VGPHRSGNPHNRRSTDSVGSHAVRSAPARLLR EPUS_01160 MSLSDPDPWCFRPAHPVQQRSQSSRRPRTFAAHTSTLPSNTPSS SSGSPSRTVNTSPSLLTQQLGMCDASSAHQPPPPQYFPMIAEVLESCASPYQRKEIFV HELQRLQRYPIKQSDRDTSTPEWISQLKRVIWDQEMEIARTERAPEQGHIAGAPQPPP RYVPMITDIFNAFPDPRQRKEIFLNDLRRLERYPIRQNERDGTTAQWISQLKQVIQEQ EIEMQKMDMIPEEDKQMAVAFAQKMAISSPAADMGTGGAGSAATASSQNTSAVRALLG FGGSCDVGREVERPAIGRMANIATTRTDSASSGDAARPPAGPSINRIIPSASSGRPPR VPSIEKDPRRNHSAELGAARSSSGRLSTGKRCLDEQAVGPSTGRNIRRDETAGATNRS TQPVSENDVRRAMCFRTDQERVALRTPSQSGFGSRNEEGSRPVDERPFSSAPRQRLNT PRLSARKMKRKSQ EPUS_01161 MVKAVAVVRGDSNVKGTVTFEQTSESSPTSISWDITGHDANAER GMHIHQFGDNTNGCTSAGPHFNPFGKQHGAPEDDERHVGDLGNFKTDGQGNAKGSVED KHIKLIGEQSVLGRTIVIHAGTDDLGKGGTEESKKTGNAGPRPACGVIGIAS EPUS_01162 MTSSLDKLKATGTTVVCDSGDFATIDKYKPQDATTNPSLILAAS KKPEYAKLMDAAVEYGKDHGNNIDEKVDATLDRLLVAFGCEILKIVPGKVSTEVDARF SFDTKESVNKALHIIDLYKQLGIGKERILIKIASTWEGIQAARILQSQHGINCNLTLM FSLVQAIAAAEAGAFLISPFVGRILDWYKAAMKKDFSPSEDPGVKSVQSIFNYYKKFG YKTIVMGASFRNVGEITELAGCDYLTISPNLLEDLYNSTEEVPKKLDAEGATSLDIQK QSYVDDEALFRFDFNEEQMAVEKLREGISKFAADAVTLKGILKEKIEKA EPUS_01163 MSTVEEQRVIELYKYYLSDHVSDEELVSQPGETDTSPSKPIDFG AQVIGTGIDETSQISSPHTTLTALCQLAAIRLGVQRVGISLVAQHAQYILAESTRTLN LRDSTKSDEAEDSLWMGLTQCNRIGNLCENTICLPAARDADVPLCFVVPDLRQDPRFR HAPYVAGRPFLKFYCGTPITTASNFNIGSFWVLDDRLLSEFTSDQKRLFGTISSLVMR QLEMYREVAERQRAMKMGQALSSFVEGKVPIPRPQTHKQESPFDEPSKATYDPADDST SRSAITAPQDHAYDREQVQNSRLVTLKEDSSMDSQDTSSTSVHSSFSDESEPEENIDL TFARAAYLIREALDVQCCVFLDSASSQVTDSTGDSNKGLDRMDSISKIVHARGRRVRE AANNGAATWSTANDGVSAEVLAYAADSGSSLGCDSPASGNDFQPIDAGLLDGLTKRYP NGTMWTFGEAGLITPPENIRPAQLSGRRASSSSVKAWKRQRESDAASLKPYFPHARQL IFAPLFDAAVERLTAGCFAFTSRRSRVMTVESEVVFLKTFVNNVGAEISRMNTIAADR SKSDFIGSISHELRSPLHGLLAAAEFLEETQLDSYQKSLIATQVSCGKTLLQVIEHVL DFSKINSLAHDEPTTREQDKKRMLGTKIQALSVVTDIAELFEEVIEGSVAGKDHIVRD TAALNSRPSSRSMSTDDLAQKLQHNSLQIPSSKDVYERVAVLLDFDYQKDWTFTTQPG ALRRILMNVLGNALKYTDSGYVKIRLSMAEPEIIENADSPSMITLSVADTGKGISKSF LRTRLFRPFNQEDHLSTGCGLGLSIVKSLVASLKGTLQIQSEQGVGTTVSIKLPLIRG KQNSARHTPLGLSHSDTQFVSPLSIISPTVAVLRPVKRGSAPGGHVVGNDAAVREEIR TSVLKTMLNWLQMRPFDPTEETGYAEYVIFLADEISAIEIDQSMKDSKAIALLPHNLT KGGTEDWLARNFSSFETITAPFGPRKLARTVAAYADNTPSQSKSPRRKFGACVLNQAE SDDAETPSAAGRSQKTLFGKTQDVDAINPNPHSIQAVRGLTEITNCAHTEYEKVEASD MGRTSDQSSSVTQTDGVENLLPQTKQEDISDHRAVARKDCARLLLVDDNKINLNLLET FVKRHKRKPAYDSAENGHLAVNAARQNHSGYDLIFMDVSMPVMDGLQATREIRKLEKE RVAEMGEAAAPPPALIVALTGLADGRNQEDAFASGVDLFMTKPTKFKEIGSLIEEWYG KAAQTTRVRSSESPND EPUS_01164 MASSIAVSCQQTRFDISDSTVFTGLDIKGLNITIQAPVPSSDNI EASKAKSARKKALADGIEILSNAELKLNPGLRYALTGKNGSGKSTLLRSIAQKLIPGI PNSTRISILQQTSTSDHRHAENEPFLGIDIGGDDGREKDRASQTPLQRVLSSDSFQQV RQAEKNARLRSGARGLQARKELRLLEEKVVNADAEARITTLADIDAVVIAAETSAALD LWTDLQGQIESYSGNAAADAEKRAISILRGLGFTTEGMMKNKTFAELSGGWRMRCLLA ETLFQPSDMMILDEPTNFLDLLGIVWLQRYLLFGNDLAQEDKDTTPSRVVVVVSHDRH FVDSVCDETIVLRDQTLLTFKGTPSAYEADLRSRILHLSKVKEANDRQVKMMERSIGE NLREGKAKGNDNKVRAAKSRQKKLEERMGLQVSASGGRFKLSRDRVGFHDSLRDEIGV PTEESGRSIVLPGVTGLRFPGSLDINLVVHMGDRIGIVGLNGCGKTTLIKLITDTIRV PPASGTMTKHPQLKLGYYSQHAVEDLQQHADPNSTALSLMTQQVASALSEGDIRGLLS SLGLPGRTASDVPISKLSGGQLVRLALARVIWNSPSLLVLDEITTHLDHYTVSALIDA LRDYEGAVLLVSHDRFLVRGVVEGQGGSGGGECEEEDMERGGSGEKEIERRRIVYLLK GGRLKVLNGGVEDFERSLEKKLESLSL EPUS_01165 MAPSKLFTVFGATGNQGGSVIASVLSNPQLSSEYKLRGITRDPS KPNAKKLSEKGVEMVSADMNDPDALRKAISGSSAVFCVTNYWESMSKETEVKQGKNVA DISKEAGVKHLIWSSLPHAIKLTNGELSHIEHFDGKAEVEEYIESIKPGSGMVASYWR PGFFMSNIKGMIQPDQSTGLATWKMPFNAEKTQVGMLAVVEDTGKFVAGLLLADPKSV DGFQVNGVSEWMTPKQIVDTLSETSGTKVEFQEISGDEYESYLPPPIAKELKENMLLV RDYSYFGKGTEKTQAESNKILGDMKLTSWQEFVKHNGPWEWK EPUS_01166 MEGRAVVEVGQRPVYIYNGVTDLRELKDISLNGASLFFNGTFSV DGLRHVNLQGAHVFFNGKLQSGVTRGVSQDAVATTVSSPLSKSDGQVEASSHDGSRNS SPHVDPAVRRPSKALDSGRMECSSERTDSKPTSAGMDHLNPKDAEIRPLHNEHPTSER IESVTRSGNSLSHNANLTPFGLNQKVAGRQQTRTSGEAVDRSMPPARSVRFNVPAVLP TPVPSLPLKHMQCTNPSPRRRGSISETVHKSTSHTSVFNRPWEHDRADVHLKLPPQKR PSDQDIWNSSKEEKKPRLEKHNGFDLDKQTNKLIQEEVVQRSKRAKQLSDNDNGHDAT AEHEKIRKLSTQRNEMRSQLLGKPHRPLLASTIPSGPTRDRLAVNVPQQMPRLPQSSP LNNVINSATLNEEIVQTRIRFNLAQKDLIDERARRGTASKALSAQVTALNKKLAKLHR KRTEINDDTDRMNAFRRCDLEGYRLDVGALIQTTPKSPPVCPLSPSDSEWKNRLSLCY EALERTKLENIEVKVAKRELGTLSGEENPVIKQEKCDQNILWRVEAAGDKVFPMPSRR QVHFALTDIETCL EPUS_01167 MAAELTTTKLNAESHLLLDQPLLRLPHELVRRNFKTSQRYVERE KEYLLPALKDSANASLASATTPEQTLASLDAMISRMQNFKRKLQTLHDEEQAIQAQTK KRIQHLQDLYDIPSLADVKYDEWSRIRLDRLLVDYLLRAGYSKSGERLAKEKGIEDLV DLDVFVQCQKIADSLNHGETKEALIWCSENRTTLKKLGQNNLEFELRLQQYIEMIRSR VPAKVLEATLHARKYLVPYQATHNEEICRASALLAYSPENFDAMPEAYKEMYSHARWH HLSTLFISTHHTLISLPTRPLLHIALSAGLSALKTPACHSAYASSSSNSHSTTTKVCP ICSTELNELARNVPYAHHTKSYVESDPIVLPNGRIYGRARLEDLQRKLVGGAGEVSGA DVRDPTTGEVFKWEEVKKVYIS EPUS_01168 MSYRPSYPESWRPPPQCDSWRPSTQPPNCEADRPWSNTRKRYGG EDPPLMVRHLPFTRGDSWRPYTQERHTLPKREEGHDHGGKNAAARTTSYRSLGSPRQT FSLPTRQAISASGRPQSYLDPSVTDFQPGDIRPNSNFNHAAPAPLRSVQNLARYSPYR VNKPYRSAEPSSNPTSRVGEDNLDILKQNTSPSHRYALRSRRSDEAPLTALDDVRIPS DQFHQIISQNGRGPTRSSAILAQHAKHRQTKPQQIHPPPAPTATDLYLAQARLPVIRC AAPKKLLVVLDLNGTLLVRPNRMKSREFNIRPGVHQFLDYLFDHHLVMIYSSARPENV EAMVDALVSKKRAKALAAIWGRDKLGLTPEQYNEKVQVYKKLDKVWEDDRIQATCPGK QPWNQTNTVLVDDSHLKALSHPHNLIQVTEFTKKKLDPQERKREQEVVASVRAKLEEL KWTLDVSRHILRWQTGQMEPPLATKLSPLPKSGEEGMRTQPSQSANIVGDSGEIPDEA IVQEGLEKDMENLTTNTSSKDDNGHMNEPAISANEWKEFLK EPUS_01169 MSQAMLMKEYKDLSKEKWLKIELDDENIYRWNIALIILNPDSLY YGGYFKAIMTFPKDYPYIPPDFKFIRPLFHPNIYEDGKLCISILHAPGEDVMSGELAS ERWTPVQRTESVLISILSLLDDAEVSSPANVDAGIMLRKEPEKYKARVREEVEASKKD IPEGFVMPTHESTKPPVIEKYDDDFWADSDADNSFGGSDSDAEINHDDDDDDDDEDED EDYMKGEESAQGDRTPLGEEVEDSEMTDHEQI EPUS_01170 MTLINAFKGSAFLQTLFPLLACAISLLLLLYQAGYQLRHTKRLS IYKPINIEDVLENEESGRDDDSVAELTLQNTLSRTVTSVREIDEPAGEVVFVVAEVVA LLGQVIVSVIGVLSPHMPPSHGPYGDVAIWSYLLLLAATRLFLSTKKWRLSSLKLWAH SAFLYVPQWLVDFVRFRSVLVHPEVVSSTTRVATVIGFALSTALLLIVLLSRKGNKTV LLEHEGNLEPSREPLASLLSLAMFGWVDAIIWRGYKKTLELSDVWDLHMRDKAATVLS EFRQIKKTHSLAIRLLLFFKRKLLIQGIWAMFANLFTFLPTLLLKGILEHLEDPTATP ASAAWLYVMLLFISGVVKTVGDNQALWIGRRVCIQLRAIIIGEIYSKALRRRAAASAE TELGQDKKGQTPIPSAKRMKRKFLSISRRKTQDVGKDVNVDRSPSDTQANNGTIINLM SIDSFKVSEVGAYLHFLWASVPVQIILAVVLVYRILGRSSLAGICIMVFIMPLNFFIA RSFQRAQKKIMASTDARIHSTNEVLQNIRIIKYFAWERRFSAKVSDKRRTELKALRNK YILWASAAMIWSGVPILTTFASFFIYTVVEKKSLVPSVAFPALSMFALLRVPLDQLAD MIAHVQEAKVSVDRVEQFLSEEETEKYVQLRQSRLANEETPRIALEHATLSWTAGAET SSKVCTAGAFHLINVDVSFEPGRLNVIAGPTGSGKTSLLMALLGEMKLLNGRVHIPGG SIRQTLRPDPRTGLTESVAFCAQQAWLVNDTIKENILFASAFDSTRYQSVIAACALER DLEILDAGDQTLVGEKGIALSGGQKQRISLARALYSNARHVLLDDCLSAVDSHTARHI FENAIQGDMMQDRTCILVTHNISLALPSSSYVVVLDNGKIAAQGPPDTVIQSGVLGEE ISRSRPASRGTSGTPSRVASNLEAMLTNLSSAQDVPNGAANGHIDMQGTSKHGKKIEV PSRIESKAEGSVKTATIKMYMKAMGPWYFWIAALAAFAATQIGSVATNVWIRQWANAY HVSKPSVHYSVTAGLQPSVNLRDNPISRRFGIVLPPSSSHEISISGSAQDVNVSYYLG IYALIGIAYVLICFSREILLFAGSLHASWCLHERLLEAIMRAKFKFFDSTPLGQLMNR FSKDIEAVDQEVSPVAMGMVSCLASVITIVILITAITPGFLVAGFFITCIYFAVAMFY IHSSRDLKRIESVQRSPLYQAFGETLSGVVTIRAYGDESRFISENHHRVNTHNRPFIY LWATNRWLAVRADLAGALVAFSAGVFVMRSSGIIDPGAAGLSLSYAITFTEIVLWLVR LYGANEQNMNSVERVKEYVEVEQEARAHIPETKPAANWPSHGAVQFIGYTTRYRADLA PVLQNVTFNVKASEKVGIVGRTGAGKSSLALALFRGLEAEAGKILIDDVDIGLIGLQD LREAITIVPQDPTLFAGTLRSNLDPFGLFTDEEIFTALRRVQLISSIRPESPASSSAS PTMSSAAPTLNAISAAHTGTLGSELSDSTLVRFVSNTRENKNIFHDLSSNVSESGNNL SQGQRQLLCLARALLKSPKVLIMDEATASIDYATDAKIQDTLRELKTHTIITIAHRLQ TIIDYDKVLVLEKGKVAEFDDPWDLIKREEGSFRAMCETSGDFDTLYKLARKSWQDRR LVDDE EPUS_01171 MASNQEQLIQQALNDLDIGAEKSIRKVAAKYRVSKTTVAYRRRG RNPRTQANRRTQRLSLEEEKTLIQWIRDLQRQNLCPNYPRIRSFVYEILRNRGDSRPL GKNYVSRFISRHSELRTSRSRAMDIKRLSALDPTVIESFFSEFEQLRSQYGVEIENIW NMDETGFQMGQTTSNFVAYDASIGRPVAPQPDNTQWVTIIECISYHRALKPYLIFCGK APELHMFPAIDELPDIIWAFSLKGWTDNELGIDWLRRIFIPQRPIGKHSILILDGHDS HSTGLFQYLCLQNDIHPLYLPAHASHKLQPLDLGPFSPLKAAYGQLVQRFALTGLATL NRRVFTKLYIEARQTTFTERNIRAGWHRTGIWPLNKQKLLNDPEIRNFGRTTPEYQPP ATSDGLYSTPKQSDNLRALIRQIEAKTTPQTRRAVRKLGHSAIQEHTGAQLLRTQLRE LRQLALKQELTKRSKRIQKETKQRSWNLEQVRAALAPKKVHFVRKEGGEKRILRTVTL E EPUS_01172 MLSNLPPLPVSAGITSRLVQTAPHSLAFHILEAGSVLPARPLII LVHGFPELAYSWRHMILPLASAGYHVVAFDQRGYGRTHSPDGPTPLSDFRPLTLVQDV VVLAHALGHTKAKCIVGHDFGAVTAALCALIRPDYFESVVLMSHPFKGPPELPLRPAD GLLQRSIAVDMEAELAKLERPRKHYKWYYCTPAANREILEPKEDLQEFLRGYFHLKSA DWDGNDPRPLTAWKATELAQMPRYYIMDKGDTMREAVAKDMAEEDPQVVRERSSRWLK DEELAVYVEEYARNGFQGGLNWYRIQTRPEILRELEILAGKKIEVPCLFVSGKQDWGP FQEPGAVEKMHKACTDFRGAKYIEGAGHWLPQERPQEAAEEILSLLDTI EPUS_01173 MRCIDTNATHVQRQNVPPSAPAQTAAAQAFLANRQSGTNLSASA AAAALRAMSPTPTPVAETQTKRMLQRQSSTASRGDGAELQRRNSGGSMTERTFRSPSP NRPAQNPSMENAPPVPPVPKSYNDNHNHRRAASVEPWMRVASPLPTKKGSRVASLDRA PVFAQKKLKSQHQTSATDENELEKSGSRASVNFSYPTGARPNSPPVGQHLSQSQNQAI NGILQQAVDDIQNTLRQTADEPVRKRKKRVTNGTTEGSHLASGGMGGKASGAAVATSS TIAPNPGKTSTPPAKRKKKANSSTTPEIDSRRETPAYGSDSDSTPDTSKGRRAIRASG LLNKQPSVVREDWEGERGDSSQLGLREEQGSNAGPSSISSPSKVRGRKETAIADKSST IMDTSDNTIREARPTPHLITTLPTPTTKPRAETPSNGHLEVSKDSDRPTRQTSISPSR STRFSTHLASEFSGRPTHEPPPRSVSPAKSALKHHSPSPQSSSPIDGARTSGWKRTSQ ASSEASDNASMTSADGFGSKPQRKKSARVSFEAAPDVVGTAADVTPSDTPVFVSPQHK DSSKKGWFGRSKGGHLDAIPAEDDMEEVMKPRPVLPSFGSVRGRRDGSAEGTVPVAEV VSPSSSESSSSSNLATIETSISSDHAIGAIFAREALKTGHDAKSNSTSSNHGPTTRKT SESIDQAGKSVTNGTVHASSDEKSGLFLTPSIPSIAIQPATPGIGETEAQDEWIVEVP GGFPEAFELEGDAPTTRQRDAVATEDRKITTSSPAEAGISEPKPPQLVAAADPSVPTV GSISESFRHQIEPESDTESGGSSIYSDAAEDLSDLEGDGFGSINAIVESPVVGLPADH MATPPDSPLAGIAGSGPTAASTRHGSWERAEAHWRKVAERQRNIDEDEQREQYTVQPK PRKRKNPRKTGQAVAATQPLNSSSLDPDSPQRNQPQTSAFPATSQGRAVSGQGEMRRS MRGQPGDTSSAPGLKTSMRTNRPPEPQARSVPPTSLPKGALQKKRIPASPATSSPVAG KDTKSSPAISPSSLTPLRRTLSNGSDSSSSFKRSRRRPASSTNRSTMRTSMRSGADRP VSPPALAGRGVRSLSPQDRRPFSPMGQGTMRTSMRGSGDAGVPSLRKQDQQRPSSTIS GFGKPRSKVKATPSKALTSKLKSRFADSDEDVSEPQTFRSRFEDSSDEEAGVTKYRPV RGIPTRPDEGDSTDLEDSSDEAEKRRAKSRRQGPKSPDAVNGARTSIAKEPDISSPVE SLAPPVNGKKKGIFGRFGGKKSKDVTTDAQPTKAERDMHSPRLRADLDGGRSPEMPAS PEGRGKLQRRHTPQRLTSDSWPLPLKATADDDDRPQTSNGTGTAQDANGRPGWGTRQD TSGTVRTEDGTPVLGRTGKKKRFPKLRKAFGLHD EPUS_01174 MANKSCSKTSVIDSMRGQTVRIPNLKRVFDGFPAGVNPHYKRMI PMVNRKLESCVSDERVITEMKKADFALGAASIWPRAAWDEYLVLTYLCLLVFVWDDEI DMPAAPLASDFQAAQSFRRETVNFVKSSLGFGEKGVVSRSRSATISSFELISGPLRKV YTRDQLASFLHELTFSLEMSQVEQEYSLSDRIPTISEYWAFRMGTSGVGPFLAMAEYS IRSRLPRPIINSPEMKVIWDETNLMMSLINDILSVKKEVVSPARPCPSSTNFVLLETD LPLLQRQVAGSILGLVPLLCMQNPDAQAAVDSAVKALHDSVKRFRNAEGQLLRRTARD AKTQETLRNYINVCKENVTGFLNWCSRTPRYGMAGNVEKDGSMLITL EPUS_01175 MTVAVQNGPHIILSGEPFLNKKTSFIESRMEYYEYCLAEWMASS WKDRKLARITIQTRPFYNMLLTLEALSFIPGQGIIYRLLLPQFIGYNTDDHFVLTDFS HAMYSDNRTRNPSMPIASGFMAPEMFQKQDPTTAVDIWAVGVLFLELLLLLPVNKMLS QSSESKARGSSQHTFQSNEKRDSRARSQISGSLQTNS EPUS_01176 MSGYEKLSRLIGVYPELAIYRKFSTLCAKMLLYKQAELQHLENE LDIISQIDSCNSEKSVFAVSWRAIDKGLMEGGDNLQKQKISEINEKINEYYSALLKAR QVHTLAKPGDSDINFLREWLERPDGGDYSIKGVEAEPWKLCRAADLVTLSQSDGRDVF AQSLSDNILPWYHRYFGHRQKGATKSNDGLDNIWEYQVCSLNHPVLPQGPPTDSAT EPUS_01177 MDCIDESTIEVCSNNDSCTYFSNLPTRATQSMFPCAISSLDAVS IGFKFRYELILSTRNSSYQKGSCTQAVKIDPHLCLYQSDDGDTYQATKCRVQYHAPPK RFRVVAGIEEGITRKKSPPVSGFERIIGPTTSDNPTTEQTVPPNDAEDRNPARYPSSD ASALAGQASPVVQAERTPAGMANENITALESSGLVGSVNSEAETWAATMHIFPDRHRR GQTRIRRCFVDTCSDINLVSKKTLDDLQLRYDPNVEDQVYGISGPPLLPIGSIVLTWH MDGQEVIYSQKFLVMPDEMPVRFDVLLGKPWINKTGAVRRNSKVMMLTRRLGLHHYHN P EPUS_01178 MGLSHQPNTSALRACMIDLEKFKYIAWVLTNQHLFATNPFTYMP YGVSIDITVQANGINGGGIPICNVPCEPSLRHSLITPQLARASGGTVVRTEAVFSFQD TDGNEYRSSSYIALTWWQTLGEATATCKEEDFYITEDIPAEAMLQQLPDVAQVRPKAY PLRHKPLTPEEQRRAEDRRKQEEAAHNVIRQAENHKVENDAKNSGSSRSKQRSNYYQS SQTSRKQRYGELFLG EPUS_01179 MAPAFSERALKEAEDLISISARKLVEQIGKQQPKSSDGGAHSED SDNDTWTTPQNFNNWSTWFGFDFISDLGYGESFAGSSTTSAICPLSI EPUS_01180 MQQAGEKPNRRDTFHYLLNSKDPATGKGLTREELQADSALVIAA GADGVGLTLSATVFYLIRNPAVLSKLTTEICQAFASLAEIQNPKLNSLTYLSACIDET LRLCPPKASTVPRQVLSGGMEIDGHYIAAGVDVGTPVYVLHHNEEHFPQPWSYKPERW IVADPDGETVAAGEEAEGVTRESVLQARAALCPFLIGPMNCIGKNMAYFAVKVSLAQL LWRYDIRASREGEGIGRSGGGAKDLEWGRQREDEYQITDYITGFRDGPIVQLRARARG EPUS_01181 MTAPSLKRKTLHEYFQSQSPSQSASKRTLHATKCPISTATTTTP PNLPLGLSIIPDFVTTDEQATLLSFLEAETWRTDLSRRTIHYGGTYCLMPPKTASAAE RAAISKRIITAQPIPNSLRWVIDRMIATDLYPAGFPPRFCIVNEYCPPHSISAHVENF RFGEPVCSLTLGSGDLMRFHELSAPHDGSVRSFRAMQAPRTGRKVDVWLPSGSLLVLK AEARYMWQHEIVGGRKKEKERREWGGEGWRRVSLTFRVEKGG EPUS_01182 MEPPIEHSVKLIGPNRWALGHNFVCERAQGDVQDALAVWEIKGE AYHVRKGDFEAEWKAGSILEPFHRAVGPSALHLLGENMVCKIVSMIPNQPSEMDIKRF VRQIEPDIPIPDDYFYQFDEEWERAFFIMERIPGPTLAEVWNDISLAQMDQLVTELLG HVQKMLEHTAPLLSSPGGYGVCDGWLMGPHPEQEGLPHWKPIIAYPVCTAKQLRCHLE KIDFAKKNLTWDPAWQRDNTIFNFGHSDLTPTNIIVADTIASKEHRGPRIKSILDWEC GGYYPLWWLWYKPSFCWTMDVPKLPRPYPRDKHPWMFRSTMAGCLKLWTDFDESERIV REQENALAAAREEEKSSDPSDPEALPDIGSLQC EPUS_01183 MDVKDVSEIGPYFKLLEQQKKTLQAAQKRKGERPRDEKPRSKII DDHMMQSMTLHMRELSPSSKDNHMIHSSFLARPYTPSVAPFKALKKMPLRDLRLETHH RGLYVLLRVVTPPTRMTAIMAVMEDEADQAVMVQLYQQEEEKYRPARVSVRKNGVCIV KEPYFKVMGDGGYGLRIDHVGDLIWLSETDDRVPLQWRPRISQLDKTADEWKCEGNSA LKSGDFFDAAEKQVLCSLIMPLCSRTFRYTLALQCPTTSEEARIIKMNRALANLKLRH YDAALLDAGCGPPDVKPLEKGLYRAARALYELGRFQDCYDTLNVLLKEYPGNEEGRKE LSRVKNRLTEQKHGRYDFKAIYAATKTRPLYLDHATYIGPVVVKPAEGRGRGLFTTRA VKAGELLLCEKAFSQCYAGLGSSSESSSSKISLLINTHTNRMVVGTHGDLITKIVQQL WRNPSLAPAFTSLHHGSYNPVQVAYVDDVPVVDTFLVDRIISLNAFGFPLTSLSSHLD KGSPDDEQKGKNYHSCGIWLLQQRATQLHRRHADHRAIRDIPADTELTFWYHVPNTEG YDKTQEKLQNWGFQCTCVMCTEEKNTPKNTIKKREGLLGDLKAAFTAPSGAQLPKVER LLTALEKTYQASAARVPRLALWDPYLLLTRTYAAQNQLEKVIATTLKVLACLGFVIKG AEMTPSAKSTRSTLADAAFVVEQWGLANDFVVEAWVHLWMAYRHVAPQLCGQAEECAR TAYRICVGEDVTFDQHYP EPUS_01184 MVAMYTVFGRQVGSHYLAMATLGAMFGSSYLAMRGGEKKTAQGP PINASSKDEETFIQDFLKSVQDEEAKAKAKA EPUS_01185 MAWGKNDENVPRQRTEERPSSLSEPLPRGKLPQDLQKIVDREDD FFDSLYEGQTADSTDTNYRYAAYASRARTILLSAHRYVAYTSDIGESFRPVAHPWLVR GAYGISWAYLIGDVTHEGYKAYCRNQQLLHPSPEVVKQATGTKSNVTPDTVSAMSKNV HIPAIEDWRAVMVQRAVFQSIASMGLPAFTIHSIVRYSGRAMKDVKNARLRTWGPIGL GLAAVPALPYMFDEPVEKATDWLFYNAFKAIGGEQAAGEPKHGHGHGHVQRGSLKTSN EAKKEFSIVHKASTGTSFRNAFLHSLQPLLKAPHHFLVSRPFLLIFGLYSSTYFTANA IDTITSTLCAQSSTTVTTGPTKFLATSAVNMTLCVYKDSHFARMFSTAAAATAAATGS NPATCTIPKISYLLFSLRDSLTIFASFNLPPLIAPHLGPLSAHLPSLFAPLLSTPSSR TNTAQFLAPALMQLVSTPLHLLGLDMFNRQRRLGSQQRWSRVRRDWAVSALARMGRIV PAFGVGGVVNAAARRGLMRKIEA EPUS_01186 MWDPDTAQGLRDQGFVFHVRYLAGIDVQLIGLDEFGIASRCGSA LFHWLIDAELLYGGREEVEMRVVARKTKRERVRAWKWTSYLGSLFRAGTYE EPUS_01187 MVLPFDLLKTPTRPPASQRPSSSSSVLQEALRLNPFASRTETNV LTKTAKGEETDRVDLNNDLITLSGLFPDLQFEVLREVLVKFPGDSRLRICVDQLLTHR TQWARGRWHAPPRDIEHDVPLHASFRSTEYKSATLQLLSHEFRYLSKSTIEGVLAENN FSYTGARPTLKDLSTRTWRATLGNLNIFKKRRENDVAPTALLGKSVRADGSLNTGSIE LDEELQESFLEPAKRKENRRQEEQDRKVAEELNEAEARSVGALHECECCCGEATFEKM SSCTTGGHLVCFECIRRTVHEALFGQGWGRSIDPDRGALKCLAPIVDDICTGVISQPA VREAIRAEGPGVESWTMFEDRLAQESLLKSQLTLTRCPFCSYAEADPSEVSHRMPTPA WHLKSSRSITTLLVMVVIVDLLPVLLFVFVMLSVSRLIEPIGVFHASLHRLALRNRSP RFKCRHPACLKTSCLKCQKAWHDPHTCHEPLLISLRTTIEAARTAAIKRTCPRCGLSF VKASGCNKLTCVCGYSMCYLCRTALGAPSLFDYPRARRPVEDTHGYRHFCEHFRVNPG QPCTECQKCDLYRSEDEDAIANRAGEIAEREWRVKEGMVGVGGLGEMPGHQRNRLPMA AMPGGWTLQSVVDWTVAQLIEVEV EPUS_01188 MEISTADPRNLRIGTGPGIRGKTCDICMEALRDDHASISHVACQ NTFHKTCFDAWARCNFSQSVPITCPKCRATLSSPAPLPLPNPLAGSLFYAEAPWPIYP QEVRSFSERCLATTESEMYDYFVGAGFPTTAFLDRVGRDNERASAWIADYFIRLSCAQ NLNDNEAFARVARAGDNAREVRFTEEGVDTFLEEVGPGEVATDREIIDSMGTRRPRPS VARFFDNNPGPAYRWLTSNMAHTQRELGLDIDQMNVVKRAFLASVRQALQARQQNA EPUS_01189 MTKEMLSPINNQKNTSLQTVRVKNSTYYYNKQLLRTGAFADCTV TCRGRSWPAHRNVLSPRCDFFKCCFDGRFTEGQTRVIVMEDDDPVAVDGMLFYLYTLD YPVELYQRLLGTETSSGSDSGIEDEETTGQDAKVYWSFDLSMYTIADKYGLTELREMA ENALLGKADLAGKEQQLLTNMDGFVALLEDLYGLGETSDQMSQLRTQIVSSTCEAITH HVRDQRISTLISDVPEFAVDLVEAIGKKRDERRMVQREEDKRQEAARVRICHVPMNEE SDWED EPUS_01190 MDYRPPTRQARAILEKAPAQETEYMGMLLHMSQVIEKRPYWNIL AAACTWILLAGFIVLPGTYTNFQNSDIIEAAKKDQSNVGNKILASIANIGLLIVAAIL CGVGVLGVTGLWLKWRANYIWLINKVLLPALLNSVMGLLSTLVNVLSKPDKTFSLTAK VTTGVTGGLTLIWTFMFLYYNNYLLDAVKADHRRAYGDQDAKDAIANSNGQPVVRLID VAEVNAPHTTEEMFHSLDLVTLVDAPNFEIRLVLMSRNALSSVRSEDCILILMSESAL LLAPLQPKGNRFSVLETFDAGMPTQPATPPQCPFTFSLPLPDSSPEASIAVKDSPCQR PSRQVETTCRPVQRMPNNTGFDLNSPSIPMTPASAPEVRLPDIHPCESEDAHTQPEPP SLNDFTIPSPLLYHSKSREDLLGDSKEIDSSKNGKIVIPGHKRSDPKFESFKLGSPLQ RPCDDRQSRSLLNTRLNRLDNMDFHSINDAKFYQDTRTYLTSPYERKTKCTVEATSED EPPILTPTNMLSQRPTHIYPGEFAVNPPIRLPSSNRNTDSPATGVAEQPSPAPASAVN LDDSWGCKGQIYLNAGLATPPPEKRDEQSPAPLSETIKNECKERRKRRRRRRAAKRTN CAHARAQETSSEGNNTSVSPLEPIRSPLDEKITQTFPSNNSYPTRPDTSKASATPSRL PRAVTSPSTCESRSPAASSPPPLSSIAYSPSPPGKAYGDQTPDMVKLQACPTTTCTAL VIIIPPTTEELVSESHQDRCRWLLGRRGPVEVAAARFAEELGLETEHAQGCAFDGKRR IRDDKHEDEDMGGETGTETVVDQRNGAGSSPAVEEAKEDHEDGVMVVGSASASADGWE DEWVGAGKSKDFWI EPUS_01191 MTLASTAETQWLAQLAAMRAAMRPAIAELELPPLKGEIYGADIV DEISSEDDPEEDIWEVSSEHDESPPSEDGLLESNGFSWRDRSHDQNWLRQRCLQYSQW RPGIAAEDVFEQILSTLAAHLSEDELQMALADILGLDDLDFVIEIISHRQELLDKPRA ADANGVLGRLQTKQERDAALRQQDYEHKHALLAPALNRGEEQLPHVYKSHSAGNTLDA AGRKYALPVGSSRTEHEKYEEYSIPAAKVGVLAAGHKLVQISDMDGLCRQTFKGYKSL NRMQSLLYGVAYKTSENMLICAPTGAGKTDAAMLTILHAISKNISPDPFEEPDASEFT VQVDDFKVVYVAPMKALAAEVTEKLGRRLQWLGIQVRELTGDMQLTKREIAATQIIVT TPEKWDVVTRKSTGDTELVQKVRLLIIDEVHMLHDERGAVLESLVARTERQVESTQSL IRIVGLSATLPNYVDVADFLKVNRHTGLFYFDASFRPVPLEQHFLGIKGKAGTKTARE NLDTVAFIKTKEMLLLGHQVMVFVHSRKDTVLTARMLHQKAMDEQCDDLFMPEHDHPS YVTALKDLKNTRSRELRDLIPKGFGAHNAGMARADRNFVERLFAEGAIKVLCCTATLA WGVNLPAAAVVIKGTQLYSAEAGKFVDLGILDVLQIFGRAGRPQFQDIGIGMICTSQD KLQHYLSAVTQQQPIESKFSQKLVDNLNAEISLGTVTSVSEAVTWIGYSYLFVRMKRD PRNYGIDWSEIMDDPQLVERRRDLVVKAARTLHNSQMIIFNEKTDELRAKDVGRIASQ YYILQTSIEIFNTMMTPRASEKEVLKMISMSGEFDNIQPRDSESLELHRLVSEKSIVP YEIEGTNDQPHAKTNILLQSYISRARIEDFALVSDSAYVAQNSARICRALFMIALNRG WGYQCIVLLSLCKSIEKQMWHSQHPFHQFDLPQPILKKLDEKWPASAVEELREMDASE IGALVHNFKMGNTISKLLDNFPTLSIGAEIAPLNRDVLRMKLFLFPEFTWNDRHHGTS ESYWIWVENSETSEIFHHEYFILSRKKLYDTHELNFTIPLSDPLPSQIYVRAVSDRWL GAETVYPVSFQHLIRPDTESVYTDLLDLQPLPISALKNPLLEEIYGQRFRFFNPMQTQ IFHTLYHTSSNVLLGSPTGSGKTVAAELAMWWAFREKPGSKVVYIAPMKALVRERVQD WGKRLVSQMGLKLVELTGDNTPDTRTIRHADIIITTPEKWDGISRSWQTRSYVRQVSL VIIDEIHLLGGDRGPILEIIVSRMNYIASQSESGSIRLVGMSTACANASDLANWLGVK SGNNQGLFNFRHSVRPVPLEIYIDGFPEQRGFCPLMQSMNRPTFLAIKSHSPEKPVIV FVASRRQTRLTAKDLINFCGMEDNPRRFLHFASEEDLQLTLSTVKDTALREALSFGIG LHHAGLVESDRTLAEQLFANNKIQILVATSTLAWGVNLPAHLVVVKGTQFFDAKLEGY KDMDLTDVLQMLGRAGRPQFDSSGIARIFTQDAKKAFYKHFLHTGFPVESSLHNVLDN HLGAEVSAGTITTKQDALDYLTWTFFFRRLHKNPTYYGLEISAEEHRDNPSTARQLAA DYMIELVDKSLHDLAGSDCVLVHSNGDVDSTPFGKIMSYYYLSHLTVRMMLSKARSTH SPSFGHVLAWVSLASEFDDLPVRHNEDLINAELAKNLPLGTAGLMDDLPMWDPHMKAF LLLQAFMSRIELPISDYVGDCNSVLDQSIRIIQAGIDLMAELGRSTVVQQMVCLLQCI KSARWPQDYPLSILPTVSESLSDELAAQAPKDLVALSEMPATRLVIVSETLGVLAAQR NTFAKVAGTLPRIRLRVSELNPDHFVVELLRQNALVNANGYIYAPKFPKPQTEGYFVL VYKDGTVVDLVALKRASWSLHDNVGPKQGGRIAPKMESRVKIKVPASKDETKLRVVVM SDAYPGMTWELKDIQIPAAQPETIAIEEGSVQKVAG EPUS_01192 MASAHGLDAQSETTLGRSQTGSDHTLDNTGSCLLSLDGGGVRGL STLYILQGIMNRLNYMREQAALRPRKPCEIFDLIGGTSTGGLIAIMLGRLEMSVEECI TAYTKMMKHVFEKKANHSFISILGGVKPRFSSKALENAILQVLKERGIRIDEKFENGT RLRCKVFVCTKFQKTNTTTRLRSYRIPAVSDFNPTILEAALATSAAPTYFSDVAIEGS RFVDGALGANNPALEVEEEATDLWCEETGNLQPLVKCFISVGTGHAGIRSVSDKGLKH LLETLQKEASETESTNQKFLGRWRNHVEQGRCFRFNVDHGLDNVGLAEFEEQDLLRAA TSTYLQKRAELISLGNTHLKLPPNRITRHDLLNAQQNFSQALNYLKNNPSTPPKQVSR LCHRLMETSIRLSMIARESTERKQHADQGREYAEKALDNARKCEDDCMVAQAEFMLAC VGAWKVYVAARMSRVEPSTYPKREGAEVLLEQRLEELGQFPHLDMEVYEAQARKYLGY LRPR EPUS_01193 MTHSTIRNLLHLSLLTTTLLLQLTHGQVGTITQDVYTLSAFPLQ KPCAQSCFVATGFCPNDVLGGEIGCKSHSNCADSNWQATNDCYCRTDLQKPAQDYLTS CIENKCSVGDVRIDASSAGSIYAQYCAEKGYSPAALPATVQATTTGPGASTRTVGGGV GSGPTASSTSDSPGSSSESSRLSTSTIIGIVVGSLAGLAFLAVTLKIVFKWFGFGRGR KAQYPQQPPPIYQQPVYPMKLYPETYYQQKAESEVTPDDSVSMISEMPRPAPTLVSNV QSYLPHRY EPUS_09462 MGPIDPNITIEILFRSGIHQKRGPLYLADSLHLNPTKRGPKAKS IPKKWAEKQPNDHTNPSIPDDSTADTQLDKLLIPLEEAEEHFKGRQINEIL EPUS_09512 MPANLPPCFNPTAQDVEMLLAAQCHLGSKNLQVHMDPYLWKTRP DGINVINIGKTWEKIVLAARIIAAVDNPADICVISARPYGQRAVLKFAAHTGAVAIAG RFTPGNFTNYITRSFKEPRLIIVTDPRTDAQAIKEASYVNIPVIALCDTDSPTEFVDV AIPTNNKGRHAIGLIWWMLAREVLRLRGTLASRDVEWDVVVDLYFYRDPEAEENKETG EEAKVPGADEVGETAIATGYAGNADWEVSGTTAQPSAAFGDGAAAAQPATNWDTNEQT GTDWATGGGAVQAAPDSGW EPUS_09513 MAVSPSTHAKQKTKRHSRPFKELISKLGQSTKPTTPKYADSTKK SYENAWIRWILFCQQVYEESSYFPTPDPVKICENHLSYEVVRSFLEWSFHHGSLLIDE FELRETGRFRAVMNADDVLDILHHHWVLSDEYYPEERQRVQHAALNLFCASTTSRAGT IVESTGYLEQNEAVEYRDI EPUS_08377 MAGINIGRPLGQELQPWQAFDIIGGTSTGGYLAPDQSKICLTPR LTDILRHSIIAIMLGCLRMTIRECQDTYKSLSEAVFTPKRGKYNGWRAVDFLRANEKF DSKILEDEIKKVIAEKLQNDETLLSQANEDCKVFVCAVHEENTSAECIRSYKLPGKDD RLSEECKIWEACRATSAATTFFAPCTIGPFGQKFVDGGIRHNNPVNLVAKETAKLWPN REVLLLSVGTGVPPDLPFQGNLISIAKRLKDIANETEEVHELFYNSHKEMVRSKQYFR FNVPGMGGIGLEEWQEIPRVASATQKYLSRGNSETDLGECVDTIVRISQVSKEALELI DTISPLTFSDKHDELLSKRTEGTGNWFLESPIFTTWASASQSTLWCPGIPGAGKSVMM YFPRNEGCRARVPLIQDRSTAANQLSQAYETDPHVGIAWIYCDYKDAQTLQTSARFLL AFWKQLVLKRGTLSDDAKAICQQTRGGLRAEEALRLLDSECRKNSKTFLMVDALDECT DQIDTQNEMEQGKPKENSSGKIDEASN EPUS_08378 MVVNHSPKLAEEIKTTVIEKSDGMFFLAKMHMDEIVKTRTARAV YSALHSLPSGCNDYFQETLKRIETQGDIDRNLACRVWSWVAYTTRKLTIPEIEQALAV EKGDTCLNKDGYINPDLAVETCAGLVVLGNKLEGLQLPEGQDPRGLELVHASAKDFFQ QLPDEEVRRAHSRIAQDCLTYLTFEDFATGVCQTGYEVWYRLKQYPFMWYAAHHWAMH FTKSQDPELVGLVLTLLKQSNKVAALTQFLIQPEEAMRLDSKYWSSFQNSPSSVSGLH IAAYLGLAEVIRTLLRQGISHSVRDSEGSSALHWAVRGSQKQTIQLLLEEGIDKDSAD DKGNTTLFLALKSRDEEVVANLVHCGADVKGQGGCPNKALALAAEENLSDSFCLLQEA GARIWSDDSNVELTEEELEAPNTKVLENICTWKMKRALHQTLTKELRFAHSDSEEFLS ALWPPEASNPKSRELDLLRGASEATSTFDHVESTNRKADSTPLFFAIDQFSKSEWLEK EYVFFCAAASAGHQQLCRWLLQRGKPSACSLRRIDLRTWTALHHASATSHIAFQLLLQ NGGNPFAYSNGGDSGYGNSILHIAASKGPLSVIEAAIRVGIDVNVRRVTGLQINWVEY CHTPLNEAIPDQNKVKFLLQQGADVHLRGDGRATVLHFATQHPLSQLELFELFIDLGV NVNARDSYGRTALFIAATRRTEIAIDALVRGGADASIPKSNGMTPLYSLLDGWDPSEL SKERMCGMVENLLKARADAKSAESCYPCNSILHKAAYAGAHSVVQLLLDAGADPAAEN SLKKTPLDTVLMRLDKLDDNDPPSLKLGLAATYRLLSERTSKSRMDELGGLLSDILHL SSQSTGGGNYNRTGAS EPUS_08379 MVFTRPSRLAYTTSLHPAQALDRPLQNHHLKSCGKGDLPADLHL KVMDCVNGSIVTLEPQMEYVALSYVWGNRDGQQRVSRRDVSPREPSEYPKLIQDARTI VRELRFRYLWVDKYCINQEDANELHGLFGRMHQIYEGAAVTVAAAGSDDAESGIPGVS DVPRRPQPRAYISGKWYVSTLSDVGSALSSSRWKTRGWTYQEFVLSRRCLLFTKSQVY FVCRAGTQCEAVGGSLGIVHAKETDRGAISSVFLGRTREPPKWNFLGERLPKPNTEFW SRVEQYTARQLTYPSDALNAFRGILARAAYFSYWGVPIMIKPCESEYAASSDLNAGFA FGLAWHGAMDKTWWDGRHDGVDEQEPGSATGSYSRCRDFPSWSWAGWKGARVLMQEYI AWDRELASFNDFAKFWTEDGAGDLSTLLKVAPTKCLHESSHVIQLENTVVRLRITWNG TRYSVWHPDKWHTEPFLRPAATICQGYWAERPASPWPAGIEFEAILLYHYTRFIGSCT LLLIEQHETAYERVGLAWMDSDSFATLPATKRRIRLG EPUS_08380 MTSFLPWSWTITSTDPSLQPCPSASSILATFAAVNAVASALAII FGHQSVISRISCKMCGGDSGSKAWRYMWIVPLGLQLAANAVIALLIKRTAGFRADFAI WELVLFFAARPRLSWITLGLFAVKSRKKSGTRDFPWWPSFMSQFVAELILQLIALYIM GRTAHFAASRGFYLVHTDLYRSLPSGARMMYSGALYYLIVGTFAWFSAFGLISKARSP TRFAKEASEEGDSTDTNASSPMLNWKAGSKVATGSVITALIFSLTSVWLASWIFWAGF VRLADDS EPUS_08381 MGPPPRPPRLPQNLEVLVLTRPEGKQDALTSSLLTAMPLKRVLI VNTARGQYHRPIFIDYPERLAEGGSHDNELAIVARIYLTIDEEPLDQSTMLPEELDYG PSIAEARMCQGWTRDKAINGQLWDFA EPUS_08382 MTKDEMCNKIQVILKYHEFDKPVLVSHSYRTLISTQLLKSPKLA NQIGPVVLIDPISILLHLLDVAYNFTHRKPQRANEHQLYYFGSMDMGVSHSLSRCFFW SENVLWKQDIGERAITVSLAERDLIVNTKAVRNYLATYDEETAARKEGSASDTTSGDI HMEVISENGVGSTTLHPRSNGNANTDISGPPSSKTVDKNVSNGRLRVGWQDRKWRGKG VDLIWFRTLDHAQLFDKAETRKPLVEAIYQYSFQSY EPUS_08383 MIGTSLLEYIFIRACIIGLQSVAPLSIIYCSAWVVSQVMNSLVP IEAPLPFRVWTLAEVVFYIFVNFIYRQKLQYEAVHPAAPSRNERKKLFELCNSNIPDP EAYFKKWFLGATTDEIKRDNIKEFFL EPUS_08384 MDGVKVRQSDSDVSASSCNTSTPDTKHALQSNILSAIKQRLAEL DAADDILVTGKKKLNAERELEDASIRKRREREDAEYQQKEEAHDHEEDELRRKLKNLK RGLPVDEKMTENHHASTVSESMYPPAKKHQPNPAPMPALNPPSRPNAMQPLQPTQQDK QPKPSYYGWNAYGPGETYAPQNQAHPPQHNQLQPSPLPNGGQPSFNPPAPPPEYGPSP KQTTPLSHAQSPRQQAPTPAPPPPPPPPLGSPSVNPPPRQTIRTPIQPASQPRRGHQS SAKAQALALEAVGSHPPVNSPTASTSTLSGKPKAFITHSYSRSETFANRYHYCERTDE LDRGIWTYFGPGGTKEAPTVAGKEMYLRCNHDDCMRIDWKTVQGLKSHIVKHHGIPRG TIDSLELALEKYGVPVQDIEDHEKKHGLGFAGTMAEKGTRGSPRASRPSNEIVFDAGV DHSPIHPAVNSPTRKRRHTCAYTDNLGRGIWTYLGPGVPNEAAPVAGKKKMYLRCNFD HCMKIGHKTVTGLNSHLWKKHDAPAVPMAGGLKAIFKTYGVEVLQIEKYEREHGHGSA GTTTVSPKAVSVPAAGPRTILPNNPLPADTRVASSPPAVSLHFSPSQEHGIDTTECSE SGIASDSEFSTPPTSEAGDDE EPUS_08385 MPSTPDLSRELIANPPSTRNHAWKRRAVGHTQSDVTDRMIEKRN LADLSKRFSYSGSPAPRDRLRATLQGYRIFTCNATMCEKPLALHKLPIKKPSGFEAIV QDNEVRAVKWISTQPPRGISLIGSIRRPYKAPDRSKLGFRQLEKTSKADLEAAPLRCF SYWLYRILSWTGDLMQPSSESAIEADSNSSGFQLDMKAFSGPALSPLRLSSDVAGTAA FSLLVQSQRHVSRHRISEGRHVTIIEEEGARPLTRDAAMLLPVPQAREVLVEGSMKR EPUS_08386 MKAAYLGPKASFSHQAALEIFRDTTIQVEALPTFADIFEGVQSS QHQYGVVPFENSSNGSVVQLLDLLADRQMKYNDVKVCAEHYLPVHHQLLVRLSGQDAW RPSGLEEALTHVKKFYTHPQAWGQCSAFLSRNDCKHIVRQDSSSTSEAARVVSENEAG TCAAIASNLAAEEYGLVRLADSIEDKGDNTTRFFIVAKADISVPKSALQNGIGSSRIY KSLVSFTIDHDSPGTLADALSIFKMHHFNLTSINTRPSRLRPWHYIFFAECEQKHHQH QADAITNLISDLENVAMSCRNLGSWEDQLMPV EPUS_08387 MSAPQQNEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKD QVSRAAKMLADEFGTASNIKSRVNRLSVLSAITSTQQRLKLYTKVPPNGLVVYCGEII TSEGKERKINIDFEPFKPINTSLYLCDNKFHTEALSELLESDQKFGFIIMDGNGALFG TLSGNTRDVVHKFSVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQNFISND KVNVAGLILAGSADFKNDLNQSDMFDNRLSTKVIKVVDVSYGGENGFNQAIELAAETL SNVKFIQEKKLIGKYFEEISQDSGKVCYGVDDTLKALELGAAETLIVYENLDVTRWVL KSSDGVERILHTTKTQEADREQFMDKETGQEMEIVDQGSFLEWLAEKYKDFGANLEFV SDRSSEGNQFVKGFGGIGAILRYKVNFEQLADFDDEDEFYDESEEESPKIQHTASLTA LPARQNASDSPGSPSAGTPILPGKRTVKPPATQELDLVHGRSSLRHVEMV EPUS_08388 MSKRSSNHPITWESYNHGRTSSPGSVVSGTSPHSTARVSPSATS DSRTIRQQNEHDGGDGLRRRRSSISIRISSIRQAGGVNSIENFARSWQRAAGFHEITP VRRTSFIGHDAEDDDYAELGGAERARPQESLLRQQFESAGGHGAVDSGVDEDSAKDPG RREGSRPLDGRLPSDANNELFLQTTHMATPIDPSFGTSYGTLSSRINESSRRHAARLF QEQQLTGAQEPDNERAPLLVKQVENEDGTVTNVIIGQSTLPQTVFNSVNTLIGVGLLA LPLAINYAGWIVGLLFLTFAAVITSYTAKLLTACLDIDQALITYADLAYVSFGPRARI VTGILFSLELIAACVALVVLFADSLDALVPGWGVIEWKVICGILLIPLNFMPLRLLSF SSILGIVCCTMLVAVVSTDGLIKPHCPGSLREPEVTRLFPENWSTLPLSFGLLMSPWG GHSVFPSIYRDMRHPQKYGRSLRYTYGFTFSLDLTMAVVGLLMFGDYIHDEVTSNILL AEGYPRFLSIIIVVLIAIIPITKIPLNCRPIISTIEVLCGLDPRLMSPNAPLQGMSGF TRGIFKITIRILVVVLMVVIAVVFPHFDSIMALMGSTLCFTICVILPVAFYLKLFGKD IPLKERILDWMLIISCSIMAVVGTVWAFLPKDLIGAN EPUS_08389 MAYSKGSLVLSEATIDDLPVLSAMFPRAYHNTPFYAKMMPDTPA IEEWWKESHRNALLDPKTRFAKVTDEDTAEVVAMVRWVLPRDNESPQPGPEKGRWPEF PDDVDRSLADPLFESVARFRKENMEDRRHYRLEFIATAKEYKGRGAASLMMRYGCDMA DNDGLEIYVAASMEGYPVYLKYGFVLKGEETMPGGYGHIQRHLVRPARKH EPUS_08390 MSDDKKRDEYDIELGEKNGKVFDAPTPPPQPRFTPAPSSSLSNN PILPILSYCASSILMTVTNKYVLSGTDYNLNFFLLCVQSIVCVVAIQTCKSSGVINYR DFKVDEAKKWFPISLLLIGMIYTSTKALRFLSIPVYTIFKNLTIILIAYGEVLWFGGS VTSMALFSFGLMVLSSVIAAWADIKHALESHGTSTSEATARISTLNAGYIWMLANCLC TAAYVLGMRKRIKLTNFKDFDTMFYNNLLTIPILFVASLLVEDWTSANIAKNFPVETR NNIFMAMIFSGLSSVFISYTSAWCVRVTSSTTYSMVGALNKLPIAVSGLIFFDAPVTI PSVSAIFVGFVSGIVYAVSKVKQSSKPKTGILPSSNTAPISASSQSMRDGFKS EPUS_08391 MGKQHGKPRQAHRHHHDFSEAKNKFSTSGPVKKSAPSNPSKKGK QPQHVKAVVPFRPSDHILLVGEGDFSFALSLIEHHKCKYVTATCYDSEEVLHQKYPQA GEILQKLLSHNGLDRSADQRYLEPTEKQALLLEETIEQIGVDGKSSSEWEGLSPSSSS DTTHPTTNIASSLTNELESYYRNDSAHSNISFHPSISANNLPKYKPVRHNAPYNKIVF NFPHVGGLSRDVNRQVRANQELLVAFFESCRSLLASKSKPVPSDRYEAFERCNHEELY EGSNSDQDQEESENARATGEVIVSLFDGEPYTLWNIRDLARHSGFQVVTSWRFPWKAY PGYRHARTVGKIRRKTNGSEVADQDGQDHKKRGAWRGEEREARGFVFKMVEEECGTVG ATTKGKTKPQVQSKPGPSNKRKRSSSSDESDV EPUS_08392 MSFGKLYGYKENGRSTVLLAVAKENNLDIELVETKPPVQDLEYF KLNPLGRIPTFVGANGYILTETMAIAVYFTSQNEKTTLLGKTKQDYASILRWMSFANT ELLPNIANWFRPLVGLDPFNKRNVDDAREATLKTVMVLEQHFLVHTYLVGERPTLADL FVTSLISRGFQFVFDKKFREEHPNTTRWFETIANQPTWKAMVDKPIMIDEAVKYTPPK KEPKPAKPAPAPAAKPQTKEKKEEEEEEEEEEEEEAAPAPKAAKHPLENLPKPTLILD DWKRKYSNADTRGEALPWFWEHYKPDEYSLWRVDYKYNDELTMTFMSANLIGGFFNRL EASRKYLFGAASVYGTSNDSIIRGAFLVRGDDAVPAFDVAPDYESYEFTKLDPAKPEH KEFVEDQWSWDKPIEVDGKTYEWADGKVFK EPUS_08393 MASNQSGLFLLPFQSICRQCRQRAARLPPASFLLQHNPRQQRKP FSTTPSYPDALSTYVDAEASAATARDSLIITAAPPLDLDSQFSVPPNRPARIIPASPS YFTASPQFNDDVLLLRSLLREYESLPTVSVDQVPFSPWLKLATYRSNLDEPVSSSRYS KVLQLLTRLNQIHPKLQTTKLQEVLDRFRRPGAVGIQKPPPGKLDAEGRAYGVGRRKE SSAAVHLVEGNGEVLVNGKSVLQAFPRLHDRESALWALKVTGRMDKYNVFALTSGGGI TGQAESITLALGRALIVHEPALKPTLRKGEHTSPFIPNVMFSTKLILQPDV EPUS_08394 MAEANNAALYESRRRQMGGSEVIDNIVSGSNFDRDEVDRLRKRF MKLDKDKNGTIERDEFLSLPQVSSNPLATRYVSFARAPSSMPPRFPRHYSAMRDMMDE RILTLLLPICRMIAIFDEDGGGDVDFQEFVSGLSAFSSKGNKEEKLHFAFKVYDIDRD GYISNGELFIVLKMMVGSNLKDMQLQQIVDKTMMEADLDGDGKISFEEFTRMVENTDV SMSMTLDQF EPUS_08395 MSTRALGRHTRPKKLSPKQNVQIFRESDVDLQPDLDASRGVTTV ETGVEKAEESEYHLQQAINAAQAAVTGGRFKEAHIPTPPTIASNVQYDVLYPARFEQP ATYIRSSSTVEDHCNGSLYCMDEADEIALQKINLHLPSELKPCTEDQFEEVMGFFEET VHTKQPYASVDNAPVLPLEEFEEQFEESVAPTVRRLAKFIYDHWKSRRLDSANHNLQP SLKDTDDADPYVCFRRREIRQIRKTRHRDAQSAEKLRRLRKELEDARHIMAMVKQREI MRSEVLSIDKLLFKQRSDVKETKRKLGIKGDDEDLINQKPKKKIVDSPGQGLSPQMRF PMSGRGGPGEDMRLLEDWQAERAKAIQREIQQNVEKHIRWNEGFVDKTRAPLTPVSPK LFASNSDFRQAMPATEYLPTPPASVASESPPVDSVADVDSPSHHLSPPLRYASPAGDD SSVPMPSFRRRVGRGGRIMFDRRIPFRSKEADDDRVAERYKFDSDDERVEEDPTDHQV ELMKQRAFLFSRARETDSANTQASRRALVDAAPSQNVQTATASVIGQQSSNQHQ EPUS_08396 MSLVMAEEQTENAADHFQGLSSNFLKWFKSNPGTRLSTKITLAD LRSRGAGRGVFTNAAISTGEELFAIPRNLVLHTTNSELYSKIPDLFAELGPWDSLILV LIYEYLHRDSSPWFPYLQLLPTTFDTLMFWTPAELLELQGSAVVDKIGKESAEENWNH TIFPIMMENEALFPLSTENATDRQRQLLQLAHMTGSMIMAYAFDLDADSYGNSDQNAA DEGDSDLTEDEDNPTKGMVAFADMLNADAHRNNARLFHEDDFLIMKATKAIGAEEEVF NDYGPLPRSDLLRMYGYVTDNYSTFDVVEISADLIENVAASSGMDRSYLIQKRRDLES LGLIDDAFVIVRPESGSTLDEIIPVDLRMLITAFCQPGENFHPSKLSQNVKESSLALS EACLLFTSITNRLGDYKSSLQEDLDILEKLKSKDNHPLPDGVSHKRYEMAVQVRKGEK EILQQVMQQLRDFVDAHTRQIAGDPAKRKRNEIETTGLSKKRLMPEQFNSFSTSEAHD Q EPUS_08397 MIALGLEGSANKLGVGLILHPNPTNAKASAPAQILSNIRHTYVS PPGEGFLPKDTAKHHRAFVVQLVKQALREAGVSVQDVDCICYTKGPGMGAPLQSVVVA ARMLSLLWGKALVGVNHCVGHIEMGREITGATNPVVLYVSGGNTQVIAYSTQRYRIFG ETLDIAVGNCLDRFARTLNISNDPAPGYNIEQLAKKGRFLLDLPYAVKGMDCSFSGIL ARVDDFAAQMKKGELRDAETGELITPEDLCFSLQETVFAMLVEITERAMAHVGSNQVL IVGGVGCNERVQEMMGVMAKDRGGCVYATDERFCIDNGIMIAHAGLLAYQKGFHTRLE ESTCTQRFRTDDVWVQWRDD EPUS_08398 MGNQPSKPPSRSTTHHEKDEKQQRLEKEKEREKELERNRDREKE REREKEKDRERKVNRRISFQALSHGKATAADPSATTTSALAQTISQPPAQNQNLQQHL QATQSHSPDQNAKSAASADRSISPGVIYEEQKERSYRLKENPVPLPTPVANAEPSIPM DVPGSTTTKIARDSREGSAASKPSPPALTPQYTPISSMIRPPRLPLAIADEVRAPDSP PLEPAGTTDEEISIFDDEEAELPRKNSTLSSATADDEDVGHELQPYAVDTGGVNVVPT RIDWKGDGERVYVTGTFAHWDRKFRLHPSERGEGMTATIGFPPGTHHLKFIVDGEMVP SPDLPTTVDIHNVLVNYIEVVADEPPRGRRESGQKAKTIPLDLYHPPIALPDEEELDS GEETPEREEELSEPSDEEIPIGDFRQLMPQALMDMDLSEDNPKFRQAYNIINDTPGPP SLPLFLGKSILNGILPHKDDNSVLTLPNHTVLNHLATSSIKNDVLATSVTTRYKKKYV TTIMYKPTFEAIEA EPUS_08399 MAGMRLLDVAVLFNASRAVASKHFSIRLKQLDVYSKTSTLAKAL RKQTKSTAQALPPSGLGPSLNSHAASQRQTYSSKSGSGVGPIPSSETVQDTSQLNKGS EGLEQDHSYRPHDNAVLDDVPNGELNVQQEKAKRHPLPDGTIPPEKAAIGKSKTDQDA YSQRPATEPLKKPTEQHESPSKSLKPESSGRSSIPDPDRVTLESSGLSSKDARILQRR SESQIPSKAGEPPRAEAYEVKASQSYDGPEMGVNQESDTFYRAPDSASPVLSALPRFK LPKNVGDVQGGVSHLKEDVNADFFYSSGGKEGSASASEQESSQGPEEPSEEMVNQIFH SPRVARILRSKGQFGSLKPGNTGSPRRKSTLAGQGDHSSATNTKIDGFGDGGFNTTRG PKQEQDDITKLAADIQKDAQTVAYLRSGENAMGSRGPFEMRESRVPSSRFGRMWQYGG LAASMAFGAAGESLKRATGSATESDGSLVLSTGNMERLVAKLSKMRGAALKLGQMMSF QDSKMLPKPIYEVLQRVQDSADYMPPSQRNSVLASELGSDWRSLFESFEEKPMAAASI GQVHGAILKSNRQRVAVKVQYPGVAASISSDLSNLSLLLTASRLLPKGLYLDKTIANA RTELAWECDYIREADGAKRFKELLSDETDTFTVPKIYDEASGKQVLTMERMEGKAVTK IQNFSQEQNDWIGTQILRLCLREIMEFRYMQTDPNWTNFLYNSDTNRLELLDFGASRE FPQKFMHLYIKNLIAASRKDRDACRELSIQLGYLTGYESQIMLNAHIDSVMTLAEPFM ESAPEIYDFKDQTITDRVRNLIPIMIRERLAPPPEETYSLHRKLSGAFLLCARLGSRV KCKEMFNWALIKSHAQRE EPUS_08400 MVSVSDVAEIPNIYGFNGKYKKSDFYWVLSFYVKGKAIPGLFAT QNEEVHRLLKRPIAGIYSMSNLISFEPYVDSTIREFLKQLDSRFVQTRNVCDFGKWLQ MFAFDVIGEITFSKRLGFLESGDDVDDIMENSWNYFYRAAPVTQMPWLEYVLAKNPIL QYLRITKISPIVAFARTRLHEKEEAAKTHQKSGPDADLHQNRDFLTRFMEVASKDPAT PPWAINAWATSNITAGSDTTAIILRTIIYNLLKYTESMESLRAELDSRPELSDIVAWK EALTPPYLDACIKEAGRMHPPFALPYERVVPPEGSVISGQKLPGGTVVGMPAWVVHRD YETFGYDADSWRPKRWLECDALQKRKMENALLTFDAGHRSCIGKNISYLEIYKLIPTL LRDFELQFADPGGCEWHVENRWLSRQTGLNVKIKRRSPAKSSEEKL EPUS_08401 MNERADALSRQEQDMPHGQDERTDYRTMQLLRLDMFRTKDTISA ALVRTMRSSSEDTDDLRALWNEAMTYDETYEAARDAIRTDKAKFPRELNLKVSLSECS LDSQDRLLFRRRKWVPDYNTLRTKMIQRTHDDKICGHPGRDNTAQVLSRNYFWPRMSE DVQRFVRNCQVCRRSKSWKDQRQGFLKPLPVPDQVWKEISIDFIIDLPESEGCTNIIV VTDRLSRGVILEGLPNIRTETVTNWFLKTFYQRYFLPQAIISDRGVQFVNRFWKRLCQ QLEHGYSADPLDLEDTYEDNMKRDSPIAKAERVVRKLQDAREWAQSAMAATQQIVEKA VNEHRQEGPKYKVNDKVWLDLRNVCTDRPSKKLDDKYAKYTITEVIGSHSYRLDTPPG IHNVFHSMLLRPASYDLLPGQKQDDTHPDPVMQPDKENEWEIDKIENEKGTG EPUS_08402 MILGLAWARKQQAVLNAEKSECLLRTTQVTIRNQAHLFESPYDY VHVSAAAFTALSRRTKKKKQDGAVQIFAASIKDIKKALRLKTTTDPRTKLPIQYHEFL DVFDKKEADKLPPIRGNGVDHKIELVQQDGKKAEAP EPUS_08403 MSDEVPSEVPIWFSQILERQIQTMNEMAANQQASLATLNDRISL MEQEQALPVKALDQLNRTKQGKKNFSDFMNEFNRLLLEAEGWGWDDAVKKGFLKAALT LELRRAMLEQIKEISTFRQGWKKRTDDVRSSATADEMDWQPTTVLVAKIRMKEPRWAS EDEIARRREEGLCLRCGRKSHRVKECKADLSKDKKGGKEVRVAPVRAEPPDQKNDSNS SDVEESGKE EPUS_06666 MKISDLFSCSTSPASHPVGTTVRVQDLLKTIPVRRQTALKATSR TLSDIKKILQAYAFARSNVRISFKVLGGKNDKYNWTYGPTPGTSLLAATAKIVGQEVA AQCEARSWASNPGNEGEKSYIIDAVVSTGEGDPIRVGSKGHFISIDGRPISTTRGMFK DFVKLYKSYYNNWISRVRSTDCSVDPFLCLHLLCPTGTYDVNIEPAKDEVLFTGTKNV GDLLEDFLKSVYGDLPEKINQLGPKSDGGILNTSDGQSFQLLLAKKDPSSINRLNHAF KRNDSADVVKETNGEQKLELDNQSSAAYQVLESDHARQDKPPSDTVSGAEARSHRNMY DFDEDDLGTMEPGLSPEQSPIDEADEAELRKASVTNPWTIAKLNTPVTSTTGFTTNMS ARNSNEQLMTPGPERRSNNLFSTSHRKPLVTPTNLPSPARSESSRSPPFYQNPGPPPR RRAPIHRVEEDEIEFTQEPTTEDRARPRPSFLELWAKKKPHKADLPSLQQAPETTNVN HCLVAHHDNEGSDHTPTELQSTQLTEPVDIAVSIVQSGSGLLKPFIPPFRTPERSPTL HPPLKLTPTGPTHPEQQSPTRQPWGWSQDDRRSTSPVSHGHPPPLSQSHQHPMASPPI LQPSPPQLLRSSRQAIHTPHPDLEEIMDFEHRKKAVNAERRRQTKLTNRYLNPGQLAQ IQRDSTASLPAPDRDLSSPGPSRNHPASSVNLRDERKISYNPAKPISHSPTKPPLPCD QELAPDNPAQKQSPHQNRYQAAKAVLAPSKSPTALSHAHALEEQNSIREDTDSAETLP PLAEDDPRAYLIQHRGATRTHSNGHNPTSNPEISRTGLKLKRTKTSKLPLETIPSKSA IHNISAKPLILFAGLQNLKAQIRELGKVDAYPRTGNNEFMIWNANSKDVDAWEDKMRD LVRERYVVRLGGDGEEVPANLQLRLGTVLRAHCEELT EPUS_06667 MLVRTTWSLGGNVTTIESWEIARHTKLLRRARIFGGYLDGPDGI KVKIERQEFPYDIGIWANIKQGMNSGNIFAWFWPFATTPSSGGLGFEVNGFDEPMHSW PPPDPDRIPRLNRSLNESKPFVYQQTPLSDREEIQAFKKRQEDDLKRRIGNVGIIRRK PFHNRHASGSYVEQSDVETDDQSTDSRTNSGEEGWRSPEGDRLRDYGVDEDTEFYDQD DIPLSELLRRRREKQSSE EPUS_06668 MGGGMPRENLLIILPFPEDKAITENIRKRFPYVDVKYHQLTQTN WSFEAEQGLPKGCFKPREEAESSLTQQSWPDLFKDTTILATFGSFPSTPEDAPNLNLI HLLSAGIDHFVSHPLFTQTNIPITTSSGIHGPTISEWILMSTLVLSKSYKKMYELQKQ HKWGTPHKDFPQASDLVGKKVGIAGYGSIGRQFARVFTSLGCQIYAYTSSPRPTPSSR ADKGYHLPHLGDPDGTLPTAWYSGTTKSDLHTFLSQDLDILAISLPLTPSTRGMFGKE EWDLLSHRQSHLIHALKTAQLSGAALDVTDPEPLPPASELWDMENVVLTPHMSALTAD YLERALGGVLVENLRRRESGVWLVNLVDRKHGY EPUS_06669 MSSIALKISSLVIRTLSKPIANLIKRQAREHEGFRRTCISFAQA LHRVDMRLRLGVLRDTAAIEKQAAREAADAAAKKHKHSIPTVKTEAQTLAEEKAAKEK TKSPDLPKPASILRIRPLSEAKAIESGATFISEAFLFGVAGSLILFESWRSRRKENTR REDVAERLSDLEESERTARRALVELEREVLALRAKHGKPSTKPVKRILPAEIWMQEEK DDEEDVTKSQGWLSRISSYIFWKRDGAGEAEGMRETGPAEKILAASDAALAAKHQQAL EQAAAKAVSLLSCSALAFANVHYGKLLGLSWLLLHPPGSKVMVQFGYWTLLIAVESNL ETHDLALPLSLGHQESHCPILVLTPANMVEADLSDTKARIQQFVAVKKEQHHIITLIL KVEHDEHSFPNGLEGFTQLQTLLFEINISCPVLPVLDVSSLSTMLKEYLNGIQPATRP VYRAPVVTNLIAQAAASAPAKPLSEHDANVVSDIFSSLQDFEEATRTQQGHAKLYNFL DRTTAEDVIDFWADEWVQTKRREGKSSRTPKASLFGGGKSRGGDGGQPQIKKATFEIT KKKEVGVSDLTLLSKVSNEAINENLKKRFEHGDIYTYIGHVLVSVNPFRDLGIYTEQV LDSYKGRNRLEVPPHVFAVAESAYYNMKAYKDNQCVIISGESGAGKTEAAKRLMQYIA SVSGGSDSSIQRTKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLELEFNEQGEPVGA KITNYLLEKSRVVGQITNERNFHIFYQFTKAASKEYREIFGVQQPQSYVYTSRSKCFD VPGINDASDFQETLDAMKIIGLSKAEQDDIFRMLAAILWIGNITFRENDQGGVDITDQ SVVDFVAYLLEVESANVNKALTVRIVETARGGGRRGSVYESPLNPVQAAAVRDALAKA VYFNLFDWIVQRTNVSLQAQGTVKNTVGILDIYGFEIFEKNSFEQLCINYVNEKLQQI FIQLTLKTEQEEYAREQIQWTPIKYFDNKVVCQLIEEKKPPGVFAALNDACATAHADS GAADQTFVRRLNFLSQNPHFENRQGQFIIKHYAGDVSYAVPGMTDKNKDQLLKDLLNL IGESSNSFVHEIFPHQVNQDDKRRPPTAGDKIKASANELVDTLMKAQPSYIRTIKPND NKSPSEYNEANVMHQIKYLGLQENVRIRRAGFAYRQTFDKFVERFALLSPRLSYAGEY TYTGDVKSASKIIFKDTSIPEEEYQMGVTKAFIKTPETLFGLEHMRDRYWHNMAVRIQ RAWRNYLRYRIECATRIQRFWRRVKGGIKEIEFRDQGHRILQQRKERRRYSLLGSRRF FGDYLGLNMPGGTGRLIRDSVNLGGQERCVFSCRCELLVTKFGRSSKREPRILLLTAK AVYIVVQNIVNNSLNISSERTIPIGAVKYISCSTLKDDWFSLGIGSPQEPDPLLNCPF KTEFFLQFRTVSHGSFNLRIGDSIEYNKKPGKPATVKVMKDPAVPRDDVYKSGTIHTN QGEPPNSVSRPTPRGKAIARPITSGKLLRKGGPGGQTTKMASRPRPTPAATTQRAVPT PAAVNRPVAQLNGDPGHSRTSSAVSASRIPPPPPPAPPAAAPGKPQAKVKYDFQSPNS NELSIVAGETLEILKKEGNGWWLCLNPATSDQGWAPSTYVEEIAPEPEQTRAPPPPPP PPAAPRPTPNNIPSAHSAVTNGMPKTANPPLTTANTKAKLKPTPPAPPAKRPTGAAAA AGGRKPLPPPTPARDSAVSLTTPSGGDSGRATPNSMKGATGGGSLAGGLAEALRARQS AMNGGGAGRGTRMMIGSRQSCGRFL EPUS_06670 MLESSSSPIDDGKPGPGPGLGRDPDGSPPLLGIDITCPFEPSRG TSNRISTPAQFNEWVDIFAEVFSTAEVDDMKSYVPPRSLPVGEAAGIAAKLRYFYTFW ALKEAYIKMTGEALLARWLRELEFRDVRVPAVAEEGRWGVVESGVQVWMQGRRIEGLR VEVVGFGSEYIVALVGRGLHGNGDGGGDRDRKGGLLLGEGMREVDIEGYLRDCAGERC GCLEKGDR EPUS_06671 MNVLKLQRKYPQFPQNEIFSLQDAFRKLDVEDKGYLDEATVIKA TQQSERQSYDVVRQALKEVELDSSRRVELEDYVDLISKVRDSAQQRVSAGPAKHAALG GQNASAPAPGHASKGSLGGGAGGRIHVQGSSANVTHTINEDERTEFTRHINAVLAGDP DIGDLLPFPTDTFEMFDRCKDGLVLAKLINDSVPDTIDERVLNRVGKKIKSLNAFHMT ENNNIVINSAKGIGCSVVNIGSEDIMAVREHLILGLIWQIIRRGLLGKIDIKLHPELY RLLEEDETLEQFLRLPPEQILLRWFNYHLKNAKWDRRVNNFSNDVKDGENYTVLLNQL SPENCSRSPLQTQDLLQRAEQVLTNAEKLDCRKFLTPTSLVAGNPKLNLAFVANLFNT HPGLEPLTEEDKLDIEDFDAEGEREARVFTLWLNSLDVQPTINSLFDDLRDGSILLQA YDKVIPGSVNWRHVNKPPPNGGEMSRFKAVENTNYAIELGKQNRFSLVGIQGADITDG QRTLTLGLVWQLMRKDITNTLSALAQRLGKREMTDPEMIKWANDMSRKGGKNSSIRSF KDPAIGTGVFLLDVLNGMKSSYVDYDLVTPGKSDEDAYANAKLSISIARKLGATIWLV PEDICQVRSRLVTTFIGSLMATAEKM EPUS_06672 MASTDPKSSFLGSISPWNVSRVTTPKPKEDNPDAKRREEHLRKS SGQDHTHSNRPLPSPRRYPADCPPLKSRWFYAVDVPKRKPLSGEQSADGESKPAPVPK KFTAFSASDSASIETAFQKLADPDPSPNNAGDHASPKVTRVPVNEDYLFDVVIEKREL EPAYWLGPVYEVRRGSWFFPEGSGLRPCDENLANQLEEGYLKIAPWRQTAGASNRSSS QPRSRPASMLVESTKQSSSRSESTSPVTRGSPQLLPADSGKVDQAPNSYRLFGTHMNT TVSYQDKNTAWLVTDDFMSRMSSTLVGYGRFGGFSGTKVVRGFSQASETKTVDGARGS EVDTAAAERRSLPAETLSKMGNERDKALEKEEETAVPPRRSALERQLSSLAGAPGNES SEDLAEEARQQEEKEMEDYKETDGDDQGRSIEHLVLVTHGIGQRLGLRLDSINFIHDV NTLRKTMKAVYANAPDLQALNSPLKDARTNSRVICLPIVWRHLLDFPKQSVRQNKQEF DLADAEAIDEDDYPSLSDITVDGVPSVRNLITDLAMDVLLYQSAYREHIAGIVQRECN RVYNLFKERNPDFSGRVSLCGHSLGSAIVFDILCRQRDAANVRSHNLNKTSTDIEREK GNLQLDFICENFFALGSPIALFQMLKGRTIAARRRNDDSKPTHSPFDSDPESLFANDS KYTHQQKSVIPISVSSPKCDQLFNIFHPTDPISYRIEPLISPAMAALKPQLLPYTKKG LFGTPGIANIGALVGQSVGSMWSNFTSGVASSLLNRSLGITGEEQALSQDNKFHGNLD KKSQQQIQPGTQMPIADSKRQQALADATLSEPLSDHLPTLIDSDMETLYAGFQKRRLS QKSSDTNPLEAQSDEAEEKAKKFRREEAKVRALNGNGRVDYSIQEGTFDISLLASIAS HLSYWADEDVNHFMVGQMLSRSRKEE EPUS_06673 MADKPGSTGGRLLKATERNQGEQLPRHPGFEAGKNSKFDSSRSS STSRATAKPQEAFDQQTFDLGEYRPWGNPLTWKRRILQPAPLNVCTKSYKSFSLADTM PLEEFNTQATQPYTDPRRLGLHGSGISEEDAADVICILHPSTPAANEAVEATLKHNPN HIIPNADLIDKNDDALFDPAAKVDPLNREIALRISSHVKKSDSGFVFGRNPDKSDILL TTDRNDTMVSNRHFRIYCSKDGVLMLEDNSTNGTVVDDHHLYWKKSKETDESSSMLQN GALIYIVGHDGKQIKFLVRIPNRGDQQGAYNANLARYVSNMPGPKSKIVLSPAEHPYG MVWNGGSIYNVIGCLGKGAFATVYKLATKKDGHVYAAKELDQRRFRKAGISDIKIDNE IQIMKDLRHPNIVQYEDYHVHEDHAYIIMELITGGELATYMLKNGPVAEPMVQTITRQ MLHALAYLHGRGITHRDIKPDNILISEMDPLHVKLSDFGLSKCITNNETFLKTFCGTL LYCAPEIYPGYGEYDKGGTKKRARSGDPSSKRYSDRVDMWSFGAVLFHVLCNKAPVIT DSENTNKAAQMLSNIMTQDINFDPLYAVGVSEEAVDFVSCLLKRNPSLRPTPKACFAH AWIAEEADLIDYMNLDDEMPAYRGQGLATVEEADEDLLSPSNNAAYDQVFGATGGLSF GYLNHAGERVSKRQRFTLKGKTKVTAPPSSDIAYPELPQVSNSTTSPVNARGERLFGE ITSSALRSSGVFAGATPPVSVPALQQEVERISVNDFVTFETSVPPPSTGETSAQPLQY PRTLAIPGALPSSAPSLLGAEAQIRQLNMASPESGHSEGTTPEAANPMTPETREMTPG LTQQEKSDTTTSQQEAAPQPSEEPVFSRYIDLKVPESLAHDTEFVEAFNARQAEAKER RARLAKAKAAKANTFSEIERTSQETEELAKTMDVRASKKAGSGGSSSQKTKQLFSRRT DHSPRESLYPTSRTTPDGFTKPYRRFGKLTTVPGSFTDQTIYINSRMTSWGRGISCNV RYEDTTDVRIPQYAIQITYHAPDMETRIKKEQPWENAPGIHTVVSTGASHCIWVNDVE LRKESSKEKCRYYGKIYTGDIITVFQSADPKGPFLKFKVEMNYGDSARQRPEKEKGFQ VLKEFRHHRREMERRESEKSKSKETIVSDSAQKTT EPUS_06674 MSLSRSPSPQQGGGWSTPGLTDASDYDTPRRRDYGDLNGSALND TAWAAAKAKSQRTKEEDISNAAKIQQLHFRSERLECRETGKGSVETCWLWEMVKGQNI ALTTVLWSKSNMTSRYRRAAHFGGGSKFVMILAANQGGGVMEWKGPREWAIERDSVRN KKQYAAKWGYELEIVDMSTKKRYAHEWRESWEKVDTIRNCLRKYPDAEWFWWLDLNTF IMEPSYSLQTHIFNNLADNTYRDINVYNPLKITHPPVGDFLDPETLSPVGDNKSESIN LVVPQDCSGFTLGTFFIRRSQWTDRLLDIWWDPVCYEQKHMEWEHKEQDALEHLYTNQ PWIRPHTAFISQRKVNSYPPGACGGDDGNLDRRFHYHEEDRDFMVNMAGCEWGRDCWA EIYNFRELSNKLNRSRWEKFKDSISDRWKKFKESLIKKADAPKEDAEKHG EPUS_06675 MPPFRHASKPARETWHPIIVTTNSQRKKRCNFVIGELTMQNPMQ DVQIESRQFDRDNCSGSALDLEGLIMSIGHEEERKKSTEKESKKRKAKRGTWARRTRQ GDGKESRSAN EPUS_06676 MAPVQSELKAKQQEAISKINELFHEQESERSRHEALLKEYAKLK DYLADRAVAYQTSLQQAKDLTEENDRLKMSLSSLTAVLSHEQAALRKESQKLKDACAQ MESKVRNLGKQAQGKDDEIANWKQDLAGKPLEADGNSNTIRDSITIPLLHQMVPHEPE VKGGKGLLQFLAILGSKEQELCDKLLANITVLYSVAISQQRRCLSLLESVSRRPPNGL FRSYRAQFYAVRQFLFSYQLDERSIFMHSLARKLILNFYTQREELQGRSGGKVFPNLS GTAEGNRKRAMAEEHNLASRLKRRGELEKLVEDQKQEMQSVPN EPUS_06677 MSSRASSTTLFSDSDDYSTLSESMTRDQLEGFSFYQHDVILQQH QMIRDLDYQLDAEDAFRDHQAGIIEQQQMILLHQEAELAEAQRTLQAQRQLVTTCTDN MMYLIQMIEERDQAIQDKDWALASLRHPPISPLILPSPALSISSSPISSLSSSSSSSS SSSSSSPLLPRRHHPTHDLPTTRATSTRKRDRPAAFNGPIEPPRTAEQNGRPSHRSKR PRHHTGGRLGHDGSSSSLSPSEESVYPASDASSEPTVEGTAGQEWTERLRRAVERCFQ PVPDGF EPUS_06678 MSSSVHFKFKSQKEPSRVTFDGTAISVFDLKREIITINRLGDGT DFELAIYNEGDNTEYDDDTTLIPRSTSVIARRLPAIRPGRGGAARYVSGKAPVNARHT SANDTSRSNATSTKAKGSDMSTAQTEEERVKAMFNLEGEQWRQKQQEMATEQRVPFGA SKAFPKKSSNIPEGEPPRGYVCYRCGKKGHWIQACPTNDDPTFEGKARIKRTTGIPRT MLKTVDKSELENLDEATRQQVMINGDGEYVIAQTDEKEWKKHQEKVKASAAAQERASK GDRELQTRGLECPIDKRLFVDPVKTPCCQRTYCNECISTALIDSDLTCPSCSTENVTL EELVPDEETQAKVDTFKTEKAAEKKQDDESKSVNSSPSVKAATPVKSSASNHSGSQSP SASPANGEVGSKKRGANQMADTKTLTATAPEMKRQRSGETPANSKPSLQMPNGTPAPP EASSTPSLAFNQMMPPDMSQMMQNMGSQNMSFPTPMPMPMSMPGMPFMPNMDMMNPGL MGMPGNFMPPNFNMNGMTGVNGMNGMNFMPGMNFAPNQPNGSFHNPNMNGNHFGQPKT YSNHHQPNMPGVPTGPRKQNVPTAPSIANRFSNQQRHLGKEEDNAYMRQPVNPHRHQN RQKRVRPSDYRELGA EPUS_06679 MSFFRKPSDPHPTPTLFPTFLLPAIPFRRRSRQSSTDSDTSILS SSTTATSPTATLPDLDPTPVISPEGKFLSGHASHLRCSKCSTDLCLTSQIISKGFTGR HGRAYLVQGQPSCTTLHSHSGTLPNTYLDKAVPRQLISAGMEIRRGTGGKPAIQSRQI HPRDEENHGRRGLRRMWYDDFDYAGARDDIASDRMYGVKGADEAVEFDSQDEDECEDL FAGVWSPELALKRRRGRKFGRADGGVGGSGGRAKGEDVG EPUS_06680 MASQSTTDQSLVTTRTLEFRLQKQGLTNKPESAFKNFYTPKNDF FYSNSPYLDLDSKRREIRLLRVLPPRSYAKHLKAKPWWIPNNAKDGQPITVDKRTIYN MRFLFQKYGIHNPNSPLIACEIVDKVPLSRVDGDYCAISYCAGKPTDTAVILVDGLPF NAFANLEHAIRRTQRSWTSRYPKKELLLWADQICINQRNDVEKTRQVQIMRDIYQRCN ETFICLSTPGCENQLLWVPRSGKVPAGAS EPUS_06681 MFELRDAASTAQQTDMKVVVDDISSSSRLPMSNGEIKTLIYCSD VENETRNERFGNSNCFRCLNSSSKDRGSGYKATGCGRIKLKCYYCTKLKLEWRNYQAI RDANLLIESNFADILPSEEEILSVQKRSSRLSAKDHWENNGILDNLRVWSDLKAPSLL WIGGQSGNQDPWITAFSADLTDALGSQEIDGLQIPVFLQYDQVWRKGPTDVLHIMIKR FVEKRPTLLMELPHLLNSRTLRRTGSFSNAVRMIRGIAEWLEATFIIIDRFDLAETDE DDVSVNNDLLSYLLDLVELGSDKIRIVVTSTQMPPSKWQDDTRLTSVWLDTGIRPGKR DRR EPUS_06682 MSSSDLKNASLAEDIIPSFDSDQERPSNSSGSMESRWPDEIELL KRIRRSDGEDVQDESQFLGINVGTRNTSSGLAEQDSTTEYVLSLETYGQTEELGRRRG LNVESFDWERGQMKRLTILSNHLRRVFQEVVDFYPAVDLTQAPLVFYSPYSFLFHFLP SLEGLSKKIDDRDCRYTDLRVLLLLCDRHLSKPFGLLRSTLDSGRISFFALEILFRPG VKLLARDHLCQWQVFMCVEAKQEPKGMTRTIRSGDDEMAVTAYRVAAWHLAWNPVLNK FERQMIRFRVANFDGSRAINTLSVFPLDFFGDEPSRDALIESLVQRGHRWHQLASRKP TCWLHEGASLVTPGRLYDGGYETVEPKHHVGRVIVDSTASIDYSWVFSVNPWRSTGGA TFWDNRPEFFSYDDLPPEGDFVDEQALFCPASISCFEVVNQVQLVLAISHLRPVQWNK AALDQLLLHQDKKERISSLIQNYAEKTVKDLTNTIAGKGAGLVFVLYGPPGVGKTLTA ESVAEFHEMPLISVRLTDLVGGYDGSKSSVWWIIDKADELGAILLLDEADVILESRSY EDVRRNTFVSKFLRTVEYFNGILFMTTNRLETMDVAFRSRIQMAIEYKSFSKATRRKI WSNIINRVKDEESREELLEELDYLKGLDLNGREIQNVVKMAQSMALGISHSSSGKIKG TVERKQACLNISHIKKAADEALSFQKYFKDQKEVSRSHLQVNIQGKRKGQRNNHESDD ESDDE EPUS_06683 MGRQAYITRLALGRSPYEAPVLSESGEYILGPYAHEFENTDNYT QQYDERGHPENRESRVAARDLRRAKNDVLSTVGVVYKKAEPRNPKNDGELLRTVQSEN EAGFLLSSMDNLFMFCALWWLFSLRMRVEAFKSYSIPLLQIAKAELRVSGMKRFLFAG LPACLVSAMLSSWRRYWMSERLSRWLAESRTSQIFNFAYFLLFSSFEILGTLQCLHLT PTGQSMSITSLLPSSRQSPIQLPTSVFGALTSPILLLWAYTSVNQHLQSMLWYIIRSV TVKPDKADTISYLALEGIEDAEVKVPGLRERPWTLRDEVRLLSSKLRRWFDDIVRPFS EQRRTSEDEPLHLHTESGNARSPGPGEGDSERASTATPDPADLFNPNDFNEQLIPQSP SAESQPHPLSRANTLFTPLNQSPATTPPVSPRVRASLIHRDSQTVTMQLELLESQHEA FEDFHNEATAALQQIDNHNNNNTHEIAEQHTSTEGEVAGAAAAASPHISPTALQPARA INSQDQSSPQQGHQTPAPRHRVTALSNFPSDAFASHAACLLATAALIPLESLFVRSLA STFLRSCSSPLARDMRPLFEWRWRGWRYHGTLLALVGLQALVSSAVWGVGTAVAVGLG RGRYRWVAAGGGPVM EPUS_06684 MSSRISIKTTFEPAKTIEPIYTGGDVSLDRSGRLLASCVEEDVL ILNIQTGERVFRVENDGEAITSLALSPSASHLVVCSRSLSMRIYNLNSPKPELQTTLK PHTTPVITSTIDATGTLLATGGADGSVKVWDIKGGFVTHTFHGHGGLVSALFFFQITS GPMSKPKAKRKSIEADHASIDALSFFLASGGEDGKIRIWNLRTRKSVASLDSHVSVVK SLDFSEQQQTLLSAGRDKTMILWDFKSWKPRKVIPVLEVVEAAGFASDGKYCYSGGEN GKVRIWSTSSGKEVTKEQIAGSESDSIVSIQCGPTFLLSVHLDQTIQLHNLQVLDDVV PGTSIDPLPLIRRISGNHDEIIDMALVGPDQSLLALATNTESIRVVSIVESTATPSRN FGADVALLSGHSDIIICLDVDWSGHWLATGAKDNSARLWRLDPSTSSYTCFASFTGHA ESLGAISLPRTPSSPQAASDPLNHPPAYLITGSQDRTIKRWDTSKLNSSPSPTSTHSI FKSLYTRVAHEKDINAIDVSSTSSIFASASQDRTIKIWDLESGSVAGILRGHKRGVWS IRFAPKDTPPISTDAGSSSKGLLVSGSGDRTVKLWSLNTYTCILTFEGHSNSVLKTTP TTSHQPKSHRRPTPSSPRPHQIPSSNSGLPTLPPPQLQPPQSISDNHLLTTLDNHTDR VWALATPTTVSHPSNPTPKFSKSPLSSLSSIQDAYPLLSGSADATITLWTDTTTRTFH RASAAQTLRIEQDQALQNHMRARNYREVITLALQLNHPGRLLSLFEDVISNSAPSASG AAADKQKQGGEGGEKSSISGSSEVDDVLAHLSQAQLYALLLRVRDWNTNARTAPVAQR ILHIILKSYPVSAFVDMARHQRPYHGDLAASAAGYSVVADPSTAGIRGAGGGAGARAG ATAMKDLLRALEAYTERHLRGAWRICWTRAS EPUS_06685 MSKPISISFGKPKVKVSASASTPTPSGTHTTAPAPKRPAFTADP EDDVEDERPPAHESVLGFAADGGAILSLPVKATAERVIENKGNADWRTRGRPAAASTK GGEQRGSDDDGKRGSEQESWPKLDRTPRTADEAALSALLNGDASSPSSSSNLIIEPQP QNHNPATVASSSAADETLSFLADVASRPDPASLADYAALPVEEFGMALLRGMGKKRRA NGEVIVIKNPNKPDDGAGDERRNREAGKMKTRDPNAGYLGIGAKAVKIGSASADGKGG RGAGEDDGLGAWGKADMRRNKKGEGLYTPVMLRDRRTGELISERELEERKKATIVMME ADAAKRRAELGNTGEDDWRHRRDRNLLRHPARQQQHHPEGRNGDYREKTNGSSPPSTK MIEYRDEESRRSSSAGSRRRRRSRSRESYRDSKRERDRDRDRDGYHDSKYAERDREED KYRERDRSRRDRRRDEEQQQQQRYDSTSSSLARKGAHGRDRYEDDDDRGRGSRVASER RRERY EPUS_06686 MSRSKLIRSETSSEWQSCSDESASISGDPSAEASTRTLNFSPVD PPAHKPSNVVCPLLATESIDSSSNSQSTCITLLQSLSQSNIKPPTSCESDSQCDKSCA FATRDASRPVFLLPAPTYNIASVRYPANFAGMDTVDVPELVERLGSEEDAVRKMAVFK LQSNIGDPSFAEMFIQDGGLQKLKHLTMNATGNTLAYSLTSFSRLLEVDKGWDYVEQD LIERVVELVVTHPLVNILRGAMSVLVLIVSHPYNPNRSSESALFGFKALKPAIAIYPQ FLEMLVSRLSSADHALCANALQLINGLMRDAITNDEESEWPKFIKRLQDLGVIKAVYV LMQSSALQDLAHPLLEFQALTKVLLRKWKEVSVDLQKHEHRRALKGIHLASNPERPAG VSSKSSEDAKPKHNPEKWRRLGFETESPSVEFQDMGFLGMMDLTDYVRKHQDEYQRLL LEQASQSLDKRCPIARASLATTAILYEHFEVDKSDLEDAKSYLALESRTNFDKVFRPL LLHWSRLHVAGLHAFFRLWKSTGAEQEDLGKIFELVRILMESIVGGASRTKDVQDVEE ELAAFEYQRLRELQMELLELTYEDVWGQHLRQVREELQHEALQFVREQRIRCLLQGAW FPSGLLYKGPEPGGPVQKEDLKRSVPSSFRYVQLSHNRRFLHYADFESMLDHEPELDT LPDKIDLGIVSSVVSNVSASSTDSTNSGDSVKSATHDKAATTKITIHGYLPLDDSGPA SRTTNHTRQTSRESNPQQRGQKESVLLTLHPQSHSIASEWLDGLLMLLNQQPITTETN KLINMVSSYGLKIRLLNVRFDERGMMGEAPEMPSREGLDEDYYYDVFGGQ EPUS_06687 MHEKLYSDDALNANLSALSVDAQKRAQKDAAKKEAKAALNEQRE SERKAAAKVLIKRVERNKRKYVTVVSGLEEHGLDLKKIAKELGKKFATGSSVTKTASG GEEITVQGDVSDDVYDWLTERYEDIPEDNIDCIEDKKKKGAG EPUS_06688 MTEVLSAPGDGSSHFASSPLHRSPSHSLVSLNTSSRYLQSSNST YPHSEYGAECSASAPSSAPSSPQTEHHGFSRQSSYASTPASSLSLDTRVDLDDEDVDI AFPSFEGAKQAEHHLVRVEEPNSYAEEDTLARPLALQRIASSDLPHTIHDDQAVEDQP TRHVDYLSHEWREEDIWSSWRYIVARRNVYSNSVRLENASWRTWTKAKYQLKTVTPEA LNWLKDCDVTWLYGPLHSDVRKSLNPSNSPPPSRLSTSSSFLDKKPILKKKSVSQVIL QRSISSHSLLQQAGAMLQAQQAGPTKGRPSYERSTSDFPVATFSRDSVANTPAGEESV SSASTRHSGSSSGLQSPSERRHIHFNNEVEQCIAVDSKEDDEVEEEGPQSTPSEDDED DDEGIVMMKQVPSRAKISNRSTPRNSFSNESKTIKPLPSTTLKYRGDTPEPNEAQQSH GLNGFWPTRKTLSPSPSQETLRPPRPQANFLLDDDDEDVDIQWQPSSYMNTAPSYSDT SSFHYTDPQSEDEYDPGPGLRRTPSGMFMPYDEDEEEAAMNNSLFGRVVDTVNTARDI AHVIWNVGWRR EPUS_06689 MGSCSTKDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE EEEEEEEEEEKGVWIVRLGSVVYARKFSDFHQRRMKRRTFYEWWFRESLEVVGSILNP KGRDAHEASMT EPUS_06690 MPSISLADTIESLSLDDEWGPETVTSTTLDGVPYAPYSKGDKLG RMADWTQEGKDGRDGRGGRQFNRNYRDQQVYGAGTSSLFAVQVAEDESSFSVVDNTRA SAKTRGFGRGGGTVFRGRGQRGAAQRGGRGAFQRVGQGRGAAQTGNQYYDNRGGRGGR GRRFGWKDYDKPQRNRDSSVNIRPEWSMKEEIDFNRLLKLNLETSEGEDIDSYGFLYY YDRSYDKTQTKMAERKLQSLERAAYNVTTSQDPIIQDLAEKDEATIFATSDILSMLMC ATRSVYSWDIVILRHGNKIFLDKRIDSTIDLVTVNENAADAPLEAETGQGGTNQQSTG VKADSINTPSNLALEATIINHNVGLQTVIESDSARLDFPHPNPFYNPAEETEPLASKA YKYRRFDLSLEKDEEPLHLIVRTELDAVVKNNISGEDQFLTIKALNEFDHKAQGSGGA LDWRTKLVSQRGAVVATEMKNNSCKLARWTTQAILAKADQMKLGFVSRANPKSNTSHV ILGVVGYKPREFASQMNLGLSNGWGIVRTIVDLVRGLSGSEDKKYVLVKDPNKQLIRL YDVPLQTFEEGDDGSLGALAEKGDGKADEDEE EPUS_06691 MDLLTPATTGNISPTSQTSPNPLPMGFLKTFSGVQKKVTRDGQP PKRRGPKPDSKPAQTRRQELNRQAQRTHRERKEQYIKALETEMSRLRERYGADTIVMK NTLDQHRSALAEQQTENIILRDILASHGIDFQAEFQNRKVAMMMQPRNGSFAQPNTGS GSGSYAQISPTSATVSGRSPRSAIGQKYSNGRLASATEAPMSGGAFHGHSQAEPGISE RAIKQEPTGIPDMPGIFERNQQLGIDFILALEATCRDHGEYLVRRSVNSPDNPEEAQF SGHALMACCPPPTHIDTVPAQQGGLQPTYPHQVPNIPADTLNILLNLSQQIAGEGHME GGQVTPIMALQQLKSHPQYLSLTAEDVRAMTESVKKKIRCYGFGAVMEDFELRDALQA IFASKYGYYDQGYGGDEQLRADDL EPUS_06692 MGIPRYSGRRNGYPTELDPVIESFSLAHVKSARYTSHKRFETVK WCKRLDKPVPKHILFPQIGGLMTDNQRDPGIEQHQRSQRSHITYAYRDMIMETSFMWI ILSEHRLDRAWRFNAPAARFGVEDFADISDAQTAL EPUS_06693 MGKIEVLAYIAAPSGFKDDARYLAQAGATVDFEPCSRLQVYDLE DHWQEVVEDQESSYPVSGETTFNKSFTLSAWHHTDAVCETPAQKATRHLLRQDSRHGR QASLTKTGSAAGQEGMDFTRSFNWKITKQAAMHDPPSKVPSFQETATISTIQTARTPE IPRPKTAPAGTVTSRPRGLRKRTRSESSSFGSLKSVVPDSQENQASIAPEDFASSTVN TSIPRGFAAHLLSKAAVQEQPAKRPKQSVKRLPTDHEGDLSQLSGIPNISQQPRTANT MLSSSPQDRRTFNDLTERAMISSDSATIWPPNPRGNITYAPSPEQKTSPRNQPSAFYT IPPPSSQPESRDDWLFSSAPITGTTSRTQPTEHNHPSQPFSSICSLPPSIHAPPPPVG HASYITHLTPSLRTLATRLPLVTSFRPNLVKRNINDLERGYWFLRIPIVRTPPLQPET ESLDPSRAGDSSKDRGRGRGKGKARIRGQPWTASSFLSFWTTLAQFVQSGQAGWGVSV YREDPQPALPKPSTGRAMSELETKEADPREAEETGKTAVVLKVTCWGEILPHIYLLLW VLSDKRTEGVKMEWRDAAEEAVVRMGSDQGRRSCC EPUS_06694 MSKPRAIRFAFQALEQSEGVGARVRRSIGTPKLRNLSPFLMLDH STVYPGAGFPDHPHRGQETITYLLSGALDHEDFAGNKGTIWAGDLQFMTAGRGIMHAE MPRDMGDGSPNIGMQLWVDLPKELKTVEPRYRDLRAKEIPQVETEDGKVHIKVISGKS HGIDSVRDLAYTPVWILDVTIKPGGKITQELPKDWNAFAYTLSGVVSFSDGPNPENTK TITPYHNVVFDNDGKSDVVTAEVEEGASEEARFILFAGQPLDQQVVQHGPFVLNSREG VMEAMIDFQSHSNGFERAKGWRSEIGRSMIH EPUS_06695 MASNPPGSCCYHGVKHEGEAVGQMGKIGDVETYFSYPSNKSTEK AILLLPDVIGHGFINAQLIADQFAANGYFVVMPDVFHGDPVPLNKAEDFDFMQWLKGH QPSTVDPVVEAALAEMREKLGCKRIGAVGYCFGAKYVVRHLKPDQGKIQVGYCAHPSF VESQELQGIQGPFAISAAETDTIFPAEKRHESEEILKKTGQPYQINLYSGVEHGFAIR AALDKKVTKYAKENAFLQAVQWFEEHL EPUS_06696 MAARTPLSTVSSFEGASHLIGVLAADGTASLQPDSLNPNGGTGT PELYSQDSTVSSEESVHGELHGLVPEPVTESESAVLRGHHSQNSEDPDDDTDFKKHIS QRRKSLTVRLEKTDQAGRYQLTAEDPELRDLLKQGFERSKDGGIKKKRSRFSDLVFTR QFTAFDRHNSESASSPFHGFFSLFWMGVSLLLIRISADNWRTYGSIFGGNEIVRLMLS RDIVVMGFTDGVMCASTVFCLFLQKMILHGYLTWNRSGWIVQNTWQLVFLVAYLAFPA YRNWPWTHSVFITLHCITMLMKQHSYAFYNGHLSELYKRRRILEQKLEALDDPEVMSP TNHSPSSDVAYVTSYLDTKDLDQLSRRRKSIPEKKMQEVDNDISSIADAIASDAPLDF AQVRSLRKLISFEIQSITEELKGKTSTTQNHYPRNLTLRDFYGYIPLPTVVYELEYPR QPSINWSYVAEKTIATFGVIGVMIVVSTAYIYPVVAHVVHQKEDGMPLKDRLKEIPWV FSDLLFPFFMEYILSWYVIWECVLNVLAELTLFADRGFYSDWWNSSSWDQFARDWNRP VHNFLLRHVYHSSIATFNLSRSSATLLTFLLSACVHELVMAVIFQKVRGYLLMAQMSQ LPLVVLSRTRFLKGRDILGNMMFWFGLFVGPSLLCTLYILL EPUS_06697 MSANQHQVQHAARSLVCDRCWHDFFDTTDFQLVCLGYGPSIHIY SRARQIASMRQINESVAKGCNWCRFIQTFIKRAQKSKENDGLLEVSLTPRRSASTPKG NNTFYLNIKAEQHRLEWFDSFLITIHAFTDEMDMAAAFVTARKVQTDINSDHAHQQIK CWLEECGSHECCVQQPGSTLPKRVVEVAPEKCPDTPRLLITEGQKGRYATLSYCWGVE PYGLLSMSNICTYTQGLDVEALPQTFQDAIAVAKTLRIPYLWIDALCIIQDSREDKMQ EISVMERIYRESFLTVVAASSANTTDGFLQPRPPPWKSYTIPFRLAGDRFGSMSIQEF EFVEYDERSEPINKRAWTLQEQIIPNRCLIYASHTLQWRCDAGVKNLGNSLHYTSSFE HGKYCETISFLRRPAAKREDQFTRWLRIVHIYAARRASLVTDKLTALAGITKEFSDTL GPRYYAGLWEYSLLPQLTWRTLPRSYHSKLSCTRPITYRAPSWSWASIEGRVWFPYDI FREDASDKLYRCSLIHCETMVKSATSPFGEVVAASLKIKAVLRQAWLIPSREAVLWLA EDNPSLAAAETLHLANFRQDNPEHAHDLQYASEGVSGMEGKYDVSGDWPPALVFCLPV LTWGSKIHGLLLAPADRGTFRRVGSFDWGEAAGFDHLQRVEIMIV EPUS_06698 MPEYGKKTVVELTEILKRRSLPHTGKKAELVARLNEADKTEGAK TTDTAPSAATPASESTAEKTVPLAGPHSTEQPVQDGDAPANTTNTSSLAPPPATQAER EGSATTDAKLATAVDYSMGLNQSSFDDELKKRKARAERFGAPVKEVDAEAEKAAERAK RFGTDSAADGGVGKLDEALPEERERRGKRGRDGESSLDDPGLKQGRGGKRRFQGRGGR NDRRGEKPVGVQKSVSKASSTFSSEKDRLAAEARKKKFATA EPUS_06699 MRAGSAALQHMSARKARKDLANRRAGQLRHLEDLVIQISSPTIP LGLVEGQISLASEQKETAQNPQDAAMNGLTGVASYVGPLHWSAVLENIQELKSAMASE YDKFWRKPKQVSPIWLGQLFAILSISVRFHEEPVDEIPASTACHYMSNLDSVQEVRNS LGIVVRLAMRMGYHRDAKQIPGITAFEGEMRRRAWAVIQQVDLLLSFQMGLPSLVQAD TSDAELPRNLLDNEFDELTPHLPASRSDTGSIERRSNGSGRSTSSCL EPUS_06700 MAVASERIPPQPLRALRSLPNEPEVVDWSLLDQYLNIPCSTNDP DTAWTDLLDQENVELERDLFCS EPUS_06701 MSHRSFAAIWTQPEGRPDIANIVREQIGEHILDSSDAPNLDRPL TSSLPLIALFKVIFDLQRTYYQCSTAQVESAHLLPTRLLRLAIIYLLRIKCKALRSGS TLYAAQRSFLARVLISGLRALWLALGFHRCDLPDESVKELSIAFDQAWKGEELVELVE LDRFLIRIQHIIISAELSRPTSDLSIPARGKVRLPNHSTGLYPLESRPDELLAPVRDA TASFDFLSDWSLSYWTLFDITWTTEAALIQLVVHSSNVSGKGKSAAPTPDDIKQVCTT REKVLHTIFQSGNIPTVAKSSIAAILLAPWCKNTCSCLERAGSPLDYSGSESAKLPSL GVSSPEPPHLELDQCLNELARTLESRKEGLRDCNGDMKSLSDNFDDCMNAWYSETSSI RLASSSSRRARRSAYIFQCPKLHPIPYDILRGLIIEDDKRTGITDLENNSPFFKVDIT CSQCEIPYPVVWVRRIEPLGHLSAMMTDKLRKQPTRQESFQPGINNGDPLRANSSGNS SSRTDTNSTSLSKKEPSRHGKLSEVFGETDKKPFYSFSADGQCVILWMKKGSYVVLYD IDSNKFEERPAKDVSLAAQGAKRQEHHLHTRWRRDGQELFSRTISEPVCGIAVSRNDK FVAVVGTSSVYVYELGNGALHHHSLPPLIPPNRLDSQRIDFSADCEEVVVATRGSERG VVQVYDNKCTSPNTSHSVFSIEVPRESGNDFGLSSVLCVNPSSICITAFTRKTPPVLF SRLSPRDRPATVGTSYDPHLGTQIQCAAHSPSGHKLVLVNNENEVFSGQQRQDARWHF EKIWHLSSLKKPVRREDWMAVGMPDEHTIHLFWVKRGNEWGLKTI EPUS_06702 MPPPANGHELPGEVEDDPNGLRQDLDERHVNMIAFSSVLGIGLF LQAGRVIYYAGPSLALFAYLLTGTVMWSAMACLGEMTALFPVKGAVFEFPGRFLDEAV GYAVGWMAWYFYVVVVAAEVTAVSQLFKFQFDPSYLAQVGYPEQTLQWGVGLKTNPAV WATILLIIMGVANLLPVRAYGEIEYVIGVCKMLFICMLIILNVVINTASFGTNEPSHF KYYEEPYSFQSQNFTLHGNTITGGPGHLASMWTAMTTTMFGMAGFDASIKLATRKISL RIILLYTLATFTAGLNVPYTDPNLKSLAAFLNAFYVFSATSAGINALYLASRLLHALA CIPEAWPRWSLTMTLRSKLQRTSYGVPKAAVFASWLFGLLGFLAVKPTSAEILGRIAI NSVVSNLIVYCLICASYLRFYKCINRAARGVDPEVDQNVEAYNRENDSMYPYKSHLQY LRAWYGLCGTFLFALFNGWRSVVSPMSISSFLASYFNIPVFLVLILAYRIKLDSWDPR QWIIRANQDLRNPVSVTEQDPRLRRGRLRRRDRNVYWSRENARGFLQWIWVWLL EPUS_06703 MLMGQLTEPGLNSPQDESPENNQIGNLEYLLSTRMPGPVTRTAN INHLMDPTSLGVHLYKDPTAPDQNRGLPSHHRMGTVTPILFADCEGFQGSISTTNSER DRPVGANSNLEELNPNLILDEPIISPEYGSHGKDGVELFYARFLYAFSDVVVFVTKKD QIFQKEMQRVLEWAAAAVDKSINHLAQKTLIIVRQMPTKHVPQFYENQFLKESIFDSL KPLWHGSTALADFMKRYNNKHPVKQRTIHTNPDFFKVFFHEVHAYYIPDKDKAPVDQI FLQYRRLRNEIVRASKAAQAIRAKSWTQYNIPTLSHLLRRAFEHFRVLDTPFDFFTAA RKDNPNPVSVSDHIANFLRHTQMLNFRVTQKFPKLFPLMFPQIVSICLVSCAIRTFQQ AWEPEEIFERDLRSECEKGIQIYCDRTVNEIQEQFIQIYLELCTDGQKTQSHPDSATI QQRREEAFETFEPLWKLTYSNKTCFTCLQSVPDHVLSCGHSYCPTCVKEFGQPSKYYE YGVVMDHCILCRTAWRDEHSQLIRLKPRCAGVRILTLDGGGVRGIMELAILEKLEARI GLEVPIREFFDLIVGTSTGGIISLGLVMTGLSAAQMKEVFAKITKETFRLRREGLVTL IDPFQITSKAFMLLRIYESIYRTGPLKQGLIDLFGENVNLFSSAKVQQHQRATRVAVT STKDNGENRCLIANYNRPDLSNGDDFEREDDDEKEMRVWEAGLATAAAPFHFRPFEKV QTMKNYVDGALNDNLPITYALEEMAHLWPNSDVRTSLDALVSIGTGIQKKEVNLPKIM EIGGFKQMCDYVDLTEYGKMKDMADMVTEQCKEVDHGASELSKSITRVVDTLTASLFF FEPDPSSLAKLNYGDPTRRGRHELKGAIRCRLANNSQELKKLVNLLSGVCQREVPETT QNPDVVGWTEISLTSQFRSGIGRGAWFNLECTISTSNPADMLQVIAVAWKPPMQEGSY YLPKPFPISGFPVSFNDLRRQAMYN EPUS_06704 MAFSPGITQFLRGQLLQTPPLPTTDLTGQTIIVTGANTGLGLEC AKHMVRVNISTLILACRSIDKGEAARELLLSSKRTTEKATTKIEVWPLDMSRYASVLE FADRCERSLTRLDAVIEIAGISQPTYQVAEDNESTITVNVISIFLLTFLTLPKLRESA ARHGKMGRLSIVGSAVHFWADTKDLDVPEGQSILERLNRKETARVSIKSNKPNLDPHL IC EPUS_06705 MSDGTLYSGPKPSTMLTAFDVADFDTIRRLQAERNAQAGGKKGS RTFDLTSQRTDTSTKASLTESFDTTLYEPNGSDKFAGYSTTIAADGDDEDMADTDDSR RLVGQYTASKEQMNEFSSGNGVEEEDILLGREKSLRISDRETDYQKRRFDRGPLTPTR ADPFAANKHAGVEEDGQTYRDVMQLQELEREEARVQKLLAEKQANGENGVAEHKPTLK DEADKENTEAGSTVEVVSTRKRKKRWDVSSEPTAGSEAPAADSKTKRSRWDQTPAPPG AEVIPSKRSRWDQAPVMGGATPVGNQGLATPMHPSQTPAVLAGFGTDIGGRSGPLTDE EIDMMLPSEGYEVLEPPPGYEPARIVRKAMATPTPAASANGFGGFMMQEPENPRAMGK QLPTEIPGVGDLQFFKAEDMAYFGKLVDGSDENTMSVEDLKERKIMRLLLKVKNGTPP MRKTALRQLTDNARQFGAGPLFNQILPLLMEKTLEDQERHLLVKVIDRVLYKLDDLVR PYVHKILVVIEPLLIDQDYYARVEGREIISNLSKAAGLAHMISTMRPDIDHVDEYVRN TTARAFAVVASALGIPALLPFLRAVCRSKKSWQARHTGVKIVQQIPILMGCAVLPHLK GLVDCIGDNLSDEQAKVRTVTSLAIAALAEAANPYGIESFDDILNPLWTGARKQRGKG LAGFLKAVGYIIPLMDEEYANYYTSQIMEILLREFASPDEEMKKVVLKVVSQCAGTDG VTAGYLKEHVLQDFFKSFWVRRMALDKRNYRQVVETTVDLGQKVGVSEIVERIVVNLK DESEAYRKMTVETVEKVIASLGAADIGERLEERLIDGVLHAFQEQSIEDVIMLNGFGT VVNALGTRCKPYLPQIVSTILWRLNNKSATVRQQAADLISRIAMVMKQCGEDALMGKL GIVLYEYLGEEYPEVLGSILGALRSIVTVVGINQMQPPIKDLLPRLTPILRNRHEKVQ ENTIDLVGRIADRGPESVNAREWMRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGP QDVLATLLNNLRVQERQSRVCTAVAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVL KSLSFLFEYIGEMAKDYVYAVTPLLEDALIDRDQVHRQTAASVVKHVALGVVGLGCED AMLHLLNLLYPNLFETSPHVIDRIVEAIDAIRMAVGTGIVMNYVWAGLFHPARKVRTP YWRLYNDAYVQGADAMVPYYPNLADEGLARHELAIVL EPUS_06706 MAPLGLTADANHNGSPISLLWAHQLRREHAAIVAQLDELKRLYP PSAASELDKLVARTERAEAAYAEIRKELVALKGAQQETVRGLEGLEGRREEEMEGGKE REERFRVEIEGLKGLLMRQGGQLSALVDAVRKVQRRVEERRDGVVEQKLLRNEEEISE LRRLVQALEQRVGDAVTVVRDSVGCRDSDEGPPAAVPPPPAEDVSDAESFDLDANVPL VAPAAASGPVLGPSLAMIKEPTLPRHSQRLRPHQQPEKMGDSMAMLPEPSLPPLPPQQ PLMPKTRLPAPQVAKPDINLIQGRSALSAYIQIADSQFEDTPPAAEAVFVDAFVHGLR DKRDRKKCDKKFRDGVKTWEGLKECFPVASQISQKAAKRKGELHVRKRRVVNAMETRA AAGGGPMPSACESGMENGGEDAMEVGDIRDGDPVPSAARKRMDIRKGQAPPSARAEQN NNEAKQDARDAGNAQAGADSTAAAAAARKRPLAERKTGQVESTRDEAEPAAKRRRTKK RGARRPRERPQIPILPSSDDEFSRRCRRR EPUS_06707 MASGDKGLEDLQDSQIESNYDEITDSFDSMNLKSELLRGVYAYG FERPSAIQQRAIMPVIKGSDVIAQAQSGTGKTATFSISVLQKIDPQLKACQALILAPT RELAQQIQKVVVAIGDFMSIECHPCIGGTNVREDMKALQDGPQVVVGTPGRVQDMIQR RVLKTDNMKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTK FMRDPVRILVKKAELTLEGIKQFYIAVEKEDWKLDTLSDLYETVTITQAVIFCNTRRK VDWLTDKLQSRDFTVSAMHGDMDQAQRDVIMKEFRSGSSRVLIATDLLARGIDVQQVS LVINYDLPANRENYIHRIGRGGRFGRKGVAINFVTQDDVRMMREIEQFYSTQIEEMPM NVADLI EPUS_06708 MRQTTSLTPKRFNPCPEHLDEELTPSEPQIQAHPLGVRPSGNAW TSSSVHARDCIGTLGTLPDELILVILEWLEDLDLLTLGRTCRAFFAFTSSDDLWKALL LRDGRLRPRWRGSWRATYLERRSPDVPPIDCRLYSDTIYRPFFCSQINLSQFASGIPS SNRIPRLPDLCQADFSISWNDRPFILTNPVKKWPVFSKWCQEALLDRYGHVEFRAEAV DWPLSTYIEYMNNTHDESPLYLFDKDFIRKMNLSVGEKGGAYAPPECFGDDLFAVLGE QRPDSRWLIIGPERSGSTFHKDPNATSAWNAVIRGSKYWIMFPGSILPPGVFVSEDQS EVTSPLSIAEWLLTFHEEARRMGGCVEGICHEGEVLHVPSGWWHLVVNLESAIAITQN FIPRSHLKSALDFLEKKATQVSGFGNDVKDPYRLFLDKLKLNHSEMLEQHEQPHVVNT KKRKWEQLLERGDKTSVAEKGGFSFDFVNDEAEDIP EPUS_06709 MAPSSDRTIIDRANGSVEENQSKSEDKMNDVVEPSEASKSSAYA VAHVTMPEWINIIAMISLIFGGCCANAFALEAIVKEAPGSGTLITCTQFFLTSLFNLR RHVDISRGIRNLYLKPRAIPLQRWLLYTVMFLTINMLNNKAFDYKISIPLHIILRSAG PVFTMAVGYLSGKRYSILQVVAVTFLFLGVVQAAVADAASKGAQISLVQSGTTSQSDF IVGFGILYLAMLCSAVMGVYTDRTYAKYGRDHWRENLFYSHTLSLPFFMLYWPDLMTQ SQTLLSSPSMTNFMAAQHQVLVPDMFHQLLARTPVQLVMLLLNGMTQYLCIRGVNLLS ARSSSLTVTIVLNVRKLISLILSIWLFGNSLAPGVVFGAFLVFIGGGLYAVPAQRAGS KPKHHAKKEL EPUS_06710 MHLLHYSIILPLSLSQYVSSSPSARTQQITLSGSTGTVSSIHDA LTYSSVIPDVLDEFEATYSVSIEYPKSHESVQLGNDIPVKSVSSRPAFEFHSISAEGG TPGSSHKSFTLILTDPDAKSRDKPKWSEMCHWIVTNLTAPVTGATGVSMKNELVEYLP PTPPPKTGKHRYVFVLLEGQQGQALSAPKDRKHWGYGKKRHGVRDWAEENELSVVGAN FFFAEDEKQ EPUS_06711 MASLGDDLLKVVNKLQDLVFNTIGNDSLDLPQIVVVGSQSSGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINIPSEKDDAPDSDEVHIPHTPASVAEQGE WAEFHHIPGRKFRDFRQVKHEIENETARIAGNNKGINRQPINLKIFSPHVLNLTLVDL PGLTKVPIGDQPTDIEKQTRTLITEYIAKPNSIILAVSPANVDIVNSEALKLARYVDP MGRRTIGILTKLDLMDHGTNALDILSGRVYPLKLGFIGVVNRSQQDIQSDKPLSEALK SEADFFRHHPAYRNMATRCGTQYLAKSLNTTLMSHIRDRLPDIKARLNTLMGQTQQEL ASYGSKQFSGKEHRGSLILQMMTRFATSFITSIDGTSSEISTKELCGGARIYYIFNSV FGNSLETIDPTQNLSVLDIRTAIRNSTGPRPSLFVPELAFDLLVKPQIKLLEIPSQRC VELVYEELIKICHTCGSNELSRFPRLQGKLIEVVSDLLRERLGPCSNYVESLISIQRA YINTNHPNFLGAAAAMSSVIQSRNEKDKKAAQLDERRKRERRRMKELGVNGAATPEEE EEHEDKVQNLPVRGQPARAGRSMSPAVAARENGHANYSGAAGQAPGTTRDSFLNYFFG KEGGLPQPGPPLPSAASMGARHVSHSTEPSFAQSIRRTEGRSSLAERSPAVPNFPTNM DDYPANSEYGDSLFPEQSAEPALTEREALETELIRRLISSYFNIVRETIADQVPKAVM HLLVNHSRDEVQNRLVSELYREDLFGELLYEDDGIKKEREKCEKLLATYKEAAKIVGE VL EPUS_06712 MAPTEVTQALSVWAAHSETVAPRKATEVANPRIVLLDVRISTDG SCGGETGSTCLGSEFGDCCSAKGWCGGNSSYCDIGCQGRFGKCSPAPASTNSATSISE TAAAATAASSPASDPPVPTVQSADFKAGIAVASTVASTALLSLALFLFRRRQRLNNNS QKSVDAVEAPSENGTGSSGPGGKVYASELSTVGYYQEMPNNEKARTGC EPUS_06713 MDPTVLAATPAGTPPPGVVPDFANAEKYGMHWWIVGVVVASMIV STVVVALRLFARTSLFLDGYILPELQNFSYCDEVSTQLTKHQIFTMCFMGGSLGIDDK RRGRHQWEMSLQDYLQTTELENISSMLYCIGIMFSKLAILFLFMKVLVPVHEGLIYWV NMTLIWTNAAFYLGGLGGLICRCIPRAKISQPWLPGRCTNVYLAFLLSGYWNVMSDFF ILAFPMWAIWRLRMPLKRKFGVTFVFAVGFFALLCSIMRLHATSQIPNNSDLIWIIGK VGMWSSAEMCTVLLCGCFPFLPKLVRSSEDSGLGTTARPSVENLNGYICNKAFSKPSI GTISEVEYTTSGEVGHVLPQLMPTWKSPNKRDDIYSPV EPUS_09478 MSNPARRRGRGGNPQQQSDTSSARGGGLAPGAFDGPASRGGASS NTGSAGRPASTAQSGISDPGSQPGSPSTSPRAAQPGSFAPGPASPRSPSSAVAPAPLM TDPARDPARQARLTDSLRNIDLPASFYNMDNLYQLPTDFKTRPGYNTTGREVSVKLNS FPVVQFPLSPVYQYDVQIGSGAEKRAVILKAWASKARKAATGEWFIFDGNKLGWSGQN FDRDIHVMADLDAEEGRSSGRTSNTFRIVIRKAKTLNLSLIQAYFNRQIQMGPEILEG INFLDHLLREGPSRNPRFIPIRRQFFIRDGQRAALGGGVEVFRGVYQSLRLAEGQRAI INLDVANCCFWQPTSLIAAIIAKMRLRDPQGIQQQCRPVQDNGTVKASQFQKLMSKNF RKVLVKARYEGCPVADKAWNIKDFSVHNAQQHMLDMKDASGNLTGQQQSVAQYFRTKY NVTLQYPGLPLVEMTKKGVFYPMEFLHVIENQRYAFKLDETQTANMIKFAVTRPDVRN SSINEGQGWLNWSEDRFLKQYGLKVNPTMIRTKARILPSPEVQFGGAAAKPGTAGRWD LRGKKFLTTNPKELAAWGIGVFGNADKPMLEKFAKDFAQAYRQHGGKVSSAPPNLMRL PADPAQAVEQLHNGTGNMFKQRPQLLIFIVQDKNSFHYLRIKKSCDCRFGVVSQVLQF QQVRKGNPQYYSNVLMKVNAKLGGTTAQAKPDRTSGFKSFPGPTMVIGADVSHASPGS EQASMAALTVSFDKFGGRYAAACQTNGKRVEIITEANWSSMLTPLATQWVATIGGGRV PGTVYYFRDGVSEGQFVHVMQQEVPHIKGVLSTIQGSDWKGKITVIIAAKRHHVRAFP EDKAGADKNGNPLPGTLIEHDCTMPFEWDFYLYSHIALQGTARPVHYTVIHDESNHPP NVIQNMIYEHCYQYMRSTTSVSMFPAVYYAHLASNRAKAHENIPSSEGPQGGPGFKQN QPAAQSDVSDSEVRPLMAMFPINGIQFAMWYI EPUS_09019 MTEPSTSAGARKGRYRQDSQEQTILREGQNVQVQPQASQSNLMG IQQQTSTTTRPAGGFERLIMQDKQQKPHPHAHPQASLSNLPQLGTGLTPDTVRRGRKW FKMALPSYDPATKAIKEDVKDTLLPGSRSFTERETYSSLHGRRTAGSNLDVIIVTEFL IYPKDPTVPFQRGFEDSISRRYNDEVPIEYPRMTRKEEKVYPGITSKWSLFASHWFVM CPTTRERSKVRLVSPRLSTSTNTSSNELTTSHPPPWHQAIHIMWRHLHSNYTVQTDST CRTSINLIYAEIYTSSQLKQITSAILHFEPVMCVLTNQHHHHHHHHEEPNLWKSPILF PQLRVPKRNYRDNPRLGARDPTPLSRTESLALIASSEPNPKLGLPRYLIDLLNPPSQD NREYRWALQGIAQSGLIRHTQLPACEESEDAIRWAELTVAFIQGALACRIQELERIRP DHEGLRAFMSGVRTRSDGSEWRRGSG EPUS_09020 MPRGADGGGAKYPGPFFFKISILPSLYLAWKGTRHLVFDELHKK YGEAVRTEPNFLSLITPNAIRHMYGPQTKFQKACEYPSTIRMPATDGTLQVMYYTRGP IEKQVLVNIASTSTITLPTTPSNSTSLLGPDMNVYSGSDDFDMDFYSELFDATMLPTA VEQKDPSPAASLADNSLGILTMASDCNFPNLTAHDQASLDDPCPMFPAAGATVSKARS NTSIGAISLPPTKNSPLSIQSLQPSLQDIQNNAHLRRAHIPVDEAMRTNKVCMSQISR TMENEESLKSNSCSLLVATAMQMVILLYEKALSTSDQGHSCSVKDDSNLCGGTMLTRS PHSTMSSTLTTTNNNSSESDNDQQHALSSFSTSPSRSRMPDLQFGVFQFEPEEQTWIR NHIIRKELQRCIQTLRACHSDEHQSRQQSAPTGGPPDLAGGDGAARKCVDCLFTRWPG EPUS_09021 MLTPILNWSTTVRKNNAGVIIKYWGMLMFTAMIAVLSKVLTLRV LPFMTSGSASCILDPKINCTIDEIIEPNGTYKATPEYGSKRMSLQYSEKCNCNSNCGS IDLFVPFRKSTNMQAYLVRDHITKILRKEEAYWVYLINILCLLAIIGQGIFGLVVGRW HPAVVRNWIFRLLSGAQERPRRSTFLWKCRYYISKITAGIIYMGAIGVAIISPLIFIS SVILNEFIVHRYPEGERYDAVGQWSTWVGAGAVVLAAVIRQYHSAWVHSAELGLSTII RIVKWIFGKAELRAEPARAERNKNNSAIEDLKGFFKQCMRPLKHVWRSMCNACHRIVL EWRDFKAWHKDPFERLQQPPMHKDIQTDSGGDVEPEFQDKKNSA EPUS_09022 MSLCQACHSLFSRRHIKVGKVYHVRPSAPKFSRGLETPTGNHVC SFCAYLRNLFSTPVDPQYETQATRSEGVDRSYTVSFDDRQYPLFTLNGRKEVRFKGGA TVFTHQEALFNDLFFTPKSQLNTVGIDLDSQNTDSVQSLIVAEKWIRHCLSTHKTCNR WSETVEGSHLWLPTRLLDVSRQDKEFEDKIRLISRSSLQESKGYVTLSYCWGEDNSFT KLVSAKLSAFQSGIELTQLPQTFRDAVQVTRRLNYRYLWIDALCIIQDSPQDWLQEAL SMAKVFAFSGLNLAAAASESADGGLFHDREHGRMNGCNISIGWENIGDLAVEKGIYHI FRLDTFRRFLGHSKLEQRGWTFQERLLSPRNLHFGEDQIYWECFESSRSEVFPDMEVT AGHFGSLSLKQRFERVSRDGEALRVWDEITRIYSRRRLTFSSDKLVALAGIAARMTGK FKPEDYLAGLWRPSLPKSLLWYTPKGGVLARQYRAPRSTVAEVLASTVSTNDGPFGPV TDGSLLMKGFVCGAASISPKLSRLCTRGVSCTRGVLCTREGEDCLCKWLASRLELFPD ADSGPSDVVSIHDNLFLFPVVEKGLRRYGQMQGYWTRCGLVLEPIQNVKGRFRRIGFF EFIGVHRWLFWKHFRRRVRERDYRILPHLMAEASKSWVRDRLFHTTLRRAFTTPTLDE SNYQDFDGVSKYTIEIV EPUS_09023 MLDFIKSFSRDNFQLDIVGFLAILGEGSLEPIAQVATLSHFVYL PRLLPAPQVFIRPSRPEKLEGVSTVKVVGIESGNTATEIHHVAHALHRGDKLPHNSVK LVRVREKDELPRPSVRTLGPLTALALLGFSMSAALFGLSIYYNDGMALLATIFLSLLG TLTGWSNKWHPTPNAPKPDPNSPPGNVVIRYPQGAFIVVLCDERTARYLYFNPSEKCV YTISSGPTYRLLSLVGTLLLMGGVICLANSGIELQSGFAASYMLLNIFYWIVAALPPA RHWDLSRLEVSEINVQGGFPTEGRDDATTPTTYTEALWKAIAITGFTGWVKEGVLAPK TPAWEEWLIEAKEAANREKIERSPAFNSNTGNQMETWSIPSWDAKGALGNLLQTEKKA NPV EPUS_09024 MPHDERRLGANSDPATQEFQGDVKVSTALPSESTLERIADLPVF DVDGKTVSFKSLYWSNGNESKKVMIIFIRHFFCGNCQEYLRTLAAAIPPSSLPQDTSI RIIGCGSHTLIPSYIEQTQCPYPIFADPSKRLYSLLGMARTLSLGNKDPDYIRHTLVS GVVKSIAQGLKRIGSGDVLQAGDLKQVGGEFLFEVTGGGTSLTNSKTGNGALQQAEVT WCHRMKNTRDHAELPVLKQVLDLGNAKGDDRRRRSEASWRTSGLARSLSSKRQSLSWS QTRRRSRSTGRKSSHDPKHIHMVNEEARSGDGQTACGHKP EPUS_09025 MEAFSNLGNHMVSNSAAAINATDDASTVDPDESVLNLAKGPRRR RHDDDGSDGLGEDDLESLASMQVDGNGANGQARVEEEKELPPHACAYCGIHNPSSVVR CLTCSKWFCSARGNTSSSHIVNHLVRARHKEVQLHPSSSLGDTVLECYNCGTKNVFLL GFIPAKSDTVVVLLCRQPCAAMPSTKDMNWDTSRWQPLIEDRSFLSWLVAAPSDQEQL RARHLSPQMIAKLEEMWKENAGATITDLEKAANIDDEPAPVLLRYDDAYQYQNVFGPL VKIEADYDRKLKESQSQDGLIVRWDLGLNNKHLASFVLPKLELGDVKLAVGDEMRLKY TGELRAHWEGVGYVIKIPNNLSDEVTIELRAKGDHKSVPTECTHNFAADYVWKATSFD RMQLAMKTFAIDEMSVSGYIFHRLLGHEVAAAPMKTQMPKKFSVPGLPELNGSQINAV KSVLQKPLSLIQGPPGTGKTVTSATIIYHLAKINGGQVLVCAPSNVAVDQLCERIHLT GLKTVRVTAKSREDVESPVGFLSLHEQVRMNDSNVELTKLNQLKSELGELSSQDEKKF KQLTRAAEREILTNADVICCTCVGAGDPRLSKFKFRTVLIDESTQSAEPECMIPLVLG CKQVVLVGDHQQLGPVIMNKKAAKAGLNQSLFERLVILGCAPIRLNVQYRMHPCLSEF PSNMFYEGSLQNGVTMQQRLRRDVDFPWPVNDAPMMFWANLGNEEISASGTSYLNRTE AANVEKIVTRFFKAGVQPQDIGIITPYEGQRSYVVSSMQATGTFKKETYKEIEVASVD AFQGREKDFIVLSCVRSNDHQGIGFLSDPRRLNVAMTRAKYGLVILGNPKVLSKHPLW HYLLLHFKERNCLVEGPLSNLQVSLHQFSRPKQSYRGPQRYQMAYNHATNMASGMMNG RNGHRNEYHDPGSVVGYIPDDVSSVQSSALGGVGVPAGFPPMFHGFTPDTWPSLQGVQ GRRVNGTKAKGAAESVAGESVTATESDITSSIVGDGRGQGGVSLGSLSIHDIHKQPSF NQSDRLKRYVESGGRPVDNSSVFGGSSASLRAPRQPGHEDDDSRSVSTAFASQVGGNY D EPUS_09026 MIRRQNRERRDYIYRRALLLRDASIAEKRALLKKSLATGKPLDP AIANDKSLRTDYKYDESLDQEAAEAQQSIDDEYSLLSGLTDPRPLVTTSRSPSTRLAT FSKEIRLLLPTSIRLNRGNLILPNLLSSAKASALSDIILLHEHRGTPTAMTISHLPHG PTASFSLHNVVLRADIPNASRGTVSESYPHLIFEGFRTKLGKRVVQILKHIFPPREGP HKVGNRVVTFKNVEDSIEVRHHVFVQTGYKDVELAEVGPRMTMRCFEIKGGTLEKDSX XXXXXXXXXXXXXXXXXXXX EPUS_09027 MASKEEPYLPSLELCLSGNSKLITWSLAYQWLCDLPPSGDDSSL VAFLSCESSVRLLVYAIHGLPKRSTQSKSDFETRTAAINVTPASNGHYNIEEIKEDAL WLSREVDLNEIEALRIATVEWQNRPRDMLREGLSETEQASLHDVLGALSAAGPLSRCL DASVGHGPSRSFLSAERRKARLLNIYLEETRSLLGSCEKLVCISLSEEALPIASEVGS KETSDADRQIRDLGKSVFEKLTKVGTEDGFESHVTSCIEAMQSRLDSVAHGNGWHKPE DKEVEIERNWVTTNIQELILLSDFILVNLRTSRRIAKSSIILLWFRMVSKYDFFGTFQ PQSEEQASLIGLLQVSASITSIAILDPATSITYLLESEVTLQVEQDTDENVFYFFDRN NIGEIQEIFLNLAGACIPLASPAVFAWGIVLYTIRELGLSAKDTREGQQVQKALDQGV TNEQQQLSRRLSSSSLGSFQQSFYEDVVEQARAFSFGEDPVVFLTQSATDGCHVFDVI VNIATTVASSTSSLLAFWKDMALLDLIKVSFDPMGYAPEILTAVLAVLQPHDQATNPS LTTPALEAKVAAAFLSDDFLMSNILDISMARFPYEALPFLRLCRALASAGRATDTDEH PIVERLLSMKAFTQVVPPGFSGYRTIREEENANLVTLEQPLEYSVSSKSTIRSSGTET TKPQDFYHFPQGTIGRVISDSRPTVITWYHNYSGLEFLGRWLELYHNGQEAEFIFQAE PAELIVSTIIQLLTTLVNSAKQEDPSRILEEASNGLSRNSDIILVIFEIFEQHIQGLR IKRAPDGAVGTAGACVEFMSAIVNILPGRTWPLLARSGFLNLDGAGSLLLAIASTEAT VGSFSFLETSVNLYGKLLDNVIAHSIHQGHQRKVREYKRLPPTTDPGAPAHVRTRVLL AFTQAITEIYDSLSSWQFRSITNQAHIRTNITRGFRDLLQYAFGIDDSDNLSAKLTSI TSLAAPYVLDFFRPDSPSDTNLGTLIRVLANGLLEQDPLHQEVVFQAQVEQTENSIWL CHDLIRGARLKHNVTFLERQLCQVFPVLVRLYGMHARYQIPCLRVMSELVTVGSVSES DSSSLLGFLGMESSRNLLEMLSTLDRPRSDSGLYVTIWEFLTSLLSVRQQWFAIYLLT GSSPKEKLQFGKHDENRQSAVVRGKAFLTVALDNLSHIENVEGQRATAMLSFVCRAQE NWSWATSSLQSHPDFFAGIMSYVGKLNVKQGSSLHECYQYKIAALVAELSIVYLHYAR SNRDFSIMKKMLPAFKWYTTYAVGNTTYNNSLHTNLRKNLKARYPNCDTLNLKKTAFS PPKYGPNFFYEIHIAAKMLSSDSSWIGSTRNPGFSNEFRLANLNLSFVDAQLSLLASF KALCMEHSAFFVQDREVQRLMAQIVRNSLTANSQPCPAEKIFDSLFENRIELAVGLLQ GLVDVKARGSEFTTLLVSAWETTRFRNSSYDSAISNNDLGYYRSCLTALLLCIQLHTE KYEKSQSTNTGRTSHTSTRSMILEILSNIVAQGVGQVCSALCDQAQPQQSGTIESDSG STSEVGIRDFSLLLSILQSSLRVPWLSQMVAQVSSVFISSNIIDSVLRLYSWSHRLLP PNADPIYSSYALSFLVALSPLPPVAEELGIEGVLNRIGTSRINISLQAIPGGVGPFEP PRRPNQYPHQQRLYIVWSEGILPLCLNLLHSVGRPMASEIAVFLNQFPNQLSRASTAF IYNAASKDPTAGAVSLSLAAEATALALISHVLSSYRVAGASAGVDSFEIPILTHYDEP ENKKALKADLEELVGRRAFLRSRIVATSERELVWAKTKMKDKDQDRSDGDAENVLEAK IVAELKSAVVCLRGGDGGEEES EPUS_09028 MSGLSLIDHSPHHPSPAPKLQTASNLILIDNYDSFTWNVYQYLV LEGATVTVYRNDEVTLDQLISLQPTQIVFSPGPGHPDTDSGISNGVIRHFSGKIPILG VCLGAQCIISVFGGQIDITGEILHGKTSPLKHDGKGVYSSLPQDLPVTRYHSLAGTHA TIPDCLEVSSWTTVGQNGEKGVIMGVRHKEFVMEGVQFHPESILTEGGRTMLKNFLSM AGGKWSGQTSCPAEAAPGNGNGKTSKNSDKPSILEKIYAHRRAAVEAQKLLPSQRFSD LQAAYGLNLAPPQIAFPKRLRLSPFPLALMAEIKRASPSKGIISVSTCAPAQARQYAR VGASVISVLTEPEWFKGSLEDLRMVRQSLEKMPNRPAVLRKEFIFDEYQILEARLAGA DTVLLIVKMLDIKSLSRLFHYSRSLGMEPLVEVNTSDEMKIAVDLGAEVIGVNNRDLT NFEVDLGTTSRLMDLVPSSTVVCALSGISGPQDVASYSRDGVKAVLVGEALMKASDTG KFVRDLLGASQDSVITSKRETAVKICGTRTPEAARVAIEAGADMIGIILVPGRSRYVS DDVAAQISAVVRSTPRPLNQESTSLRDSATATDPFQHWQKVLLHPKRALLVGVFMDAP VSHVVAQIQKLDLDVVQLHGTEPLEWAHLLSVPVIRRFKPGEPGLWTRGYHALPLLDS GSGGSGTKLDHSLVQSSLSADDDVRCILAGGLDPTNVQATLSALGRNQDKVAAVDVSS GVETDGKHDHVKIRSFISAVKYK EPUS_09029 MRKKSVGKSFRKINLASTDDFGPKNDKIRLWVINNGGTFSTQLN DAVTHLVCSKKAWKSYPDIVRDARRQHVKVISLDWLEDSLLSSSRRPKPEKEYEWETI KRRQHEKEQAIQIKKDNAIKEAIAKFDEHCIDFEAQMQTSGYHPYTDADGFCYSIILV RTFLLENRLEKLTLKVRQPSTPRTNWPATSLYPCPLPMYLFPVSAGLSLHPVIRYPDC FPSNPPLKVPIVRPWAQVLQLFESDAIPHTYACYVKYTRKGASGREVLAIPGSTWEVA FDAFRKFFKTKTRKDWNDRLDGKPVPISSDGNADDPFRYEPPQGRHEPRGLVEGKTRL ERANGIRPDGDDSVTMIVPTIEISDDDDVGEESHMDPHACTSPRTGW EPUS_09030 MAGGIFTGANPSYVARELAYQLKDSESKLLLCAESSMDTAMEAA KLVGMPKENIFIFNGAVYDGDLSPSLEQQEGFVNWGRLLAAPEDAREFAWDDSSSLQD ISQRTIALNYSSGTTGQPKGVEITHANYVSNCIQSVHLASLREDDAERTQRARWLNFL PLYHAMSQNINIACALLRGVPVYIMQKFDFIQMLEYVQKYRITALGLVPPIVVNLAKH PAVKKYDLTSVEAAFSGAAPLGHEISLEFNKLWPDGQVNLVQGWGMTEATCSVLGWEP NEKPTSHSVGELIANCEAMILSEDGISEITARGPNARGELWVRGPNVMKGYWRNPQAT AETKTPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVAD AAVIGVQTEDGDERPRAYVVLRPDEKSQNTPEEDIAKFIEERVSRHKRLAGGVVYLDA IPKNPSGKILRNRLREKAKEETKVVGSKL EPUS_09031 MDLPDLSLGLNGTHVLITGGAGYIGSATVESFLAAGAIVSAFDI NDEKMKAFPSHPNLFWHRVDISSECGLESAFETIRQQHGLVQVCVALASIDYSYLAHH ASLADMPLAQWQETMRVNVQGTFLTARTWLRQLRAHATATARNLSLIIIGSEAAETGV TGNADYSAGKAAVQIGLVQSLKKDVVTIHPRARVNAIAPGAVDTPQFRKECEEDPDEL WRTAQATTALRAPVAVDAVARSVVFLASENWSGNVTGQVLSVDSGKQGKVHWMPGEVA EPUS_08164 MPCLDMIKAFAVVLLIRLSLSFPTSTHEKVKPLLPRAEGCFAST FSLKDFKTFSGSETLPASMSFGLSADNAPGAFSCMWNGGPGSTSPYFNDPIPCNMTTL PIFSFSYPEQGRLRIAEVEACAIRGSVADGNIALFCYPIHGGQTCMTPTGQASLKVNQ KEIVS EPUS_08165 MAQAGVGSYSNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDTTY QATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVTSMKT FQQTKKWIDDVRGERGNDVIVVLVGNKTDLGDAKREVTTAQGEEEAKKAGAIFVETSA KVGHNVKALFRRIAQALPGMEGDGEGAGQGQQANSEYQRRIPHDQGNPLIRSRSSDRR RH EPUS_08166 MSLYYPAGGATAALGVIGLYMLFNGEGEAFNVGRFLDSVSPFVW ADLGIGLCIGLSVVGAAWGIFITGSSILGGGVKAPRIRTKNLISIIFCEVVAIYGVIM AIVFSSKIQNVPTTELYTPNNYYTGYALFWSGLTVGMCNLICGVSVGINGSSAALADA ADPGLFVKVLVIEIFSSVLGLFGLIIGLLVSGKALEFA EPUS_08167 MQSNASPLRPAILIISETAFKDPATDKAGNILRETLKAEGSDKW TEPWIEIVPDDATRIQNAVRKWTDDEHNNANMIITTGGTGFAVKDITPEAIAPLIHRP APGLIHAMLAASLEITPFGAMSRPVAGVRGKALILTLPGSPKGAKENLQAVLKMLPHA CLQAAGADSRTMHTGGVKKLEEDAGVSTRSENVSIALPHHHHDHHHGHGHKIPQAHTK PEDRPPRSNDPTLGASQRHRSSPYPMLSVSEAVSVILERAPAAVTTSLPLSPAPANHV IASDIHALEAVPAYRASIVDGYAVMVPDKASQKHVGIKGTFPVAAVSHAQASSMPPPL VEGTIARITTGAPLPANANAVVMVEDTAVVSTTANGFEEATVEILTDAVEAGENVREP GSDIQLNSLILPKGTFITSLGGEIGVLAAAGIRTVPVFTKPRIGVLSTGDEVTDISDP IPLSGGQIRDSNRPSLLSLLQGWNLCSAVIDLGIARDTPPGSLETVLRSGLANHNLDI IVTTGGVSMGELDLLKPTIERSMGGTIHFGRVSMKPGKPTTFASVPIKDTVSGDRREK LIFGLPGNPASAVVTANLFVLPALQKMVGLGGKRGLERVMVRLEGRVKCDKARVEYHR VVVSCGRDGGLLAASTGMQRSSRVGSLASANALLVLPQREGWLEKGEMCEALMMGPVI GFG EPUS_08168 MSAAAHTLGPTCILHDDEATNGPSNSSDPPPIKTQFFYVSSVPI DDPLSPLPPVSSDTKSSQAHQPKPFSARDNAALIDAWQGIEQVLASTSGKIIESRSRP ELGERGRTLGELVRFPRFKGDGKTPVLGAQSKDGQLVTAAKDLADRASVQSLELPPST NTILSSRRESPKLPSTEQGERSPVDPVERRSQRLRRRLSPFRKRKTLEEDLSSSSLRS PVPQLDGAADTDISGRPFVRASTLDSLDGQDDHRFPVTPGNSDTPGKDITGGIGSPPV PSELHSVFHAHKDGDENRQDQEHAEEKVFVPVGISRLHLVEMPDLLMKPIYWSPVNDI STVLRGTWFYATTMLPVEPDVANRLEMGYQELKPWTETWQDELNSCVEIGAAAEMKIV HRLFPERTSSRPGSGAETKPDEMDASAKRTLLAEGQTLQHEYLAAGPQDEKFRTWSPD SSQRYKNHRVMYVNSNQAQILRPSLAPSITRNRRPLAAVRKGRDIGVAVVRGFNRRAW EKIHPPNKMDMRAAHAKVGAYMSQSGNADMQYQHNSCPICRVEEQQHVPQVTDLVLVI HGIGQKLSERMDSFHFTHAINSFRREVNVELSSEIVQGNIRKEQGGIMVLPINWRLTV SFDDEVETKNGNGENKFQLEDITPDTLPAIRSLISDVMLDIPYYLSHHKQRMTSAVIR EANRVYRLWCRNNPGFHESGKVHIVAHSLGSAMAMDILSNQPTKPPRQTEVSSNQIDE SMFEFDTRSLFCCGSPAAFFLLLNKAALIPRSGRQKPGIDGADERGVTGEAGTYGCLA VDNLYNVMAPYDPITYHMNAAVDSEFAATLKPAVIPSIRQGILASIGLKWGGPSSSRP YGPASASTQRPSMNKMPSTIEMDTHDFTREELAEKRMFLLNDNGQIDFTLSIDGGPLD FQYWNMLSAHSSYWIRQDFVRFLVIEIGRQQGREGTLAALTAQKKRVFKAGKIA EPUS_08169 MPRKPHKKSRRGCKDCKRRHIKCDENRPVCVNCVTAGLQCAFLR EQQTLLSNDEATIVGSPGTSGSGSSTRNSGPCVDEGWRPQPPDVPPPSPPPFPPAQQL HSPLKTTDPDLNLDHLELLHHFSTVTYQTLTPDPAQQQIWQLTAIQLSLSFPFLMHEI LAIAALHLAQCKPERRSHYYTKATELQSHALNEFKQVREHVEASNCGAILIFACLLAL HVLADPPRRQGLNFSDYLDHFLGCINLMRGVRHMVISDWWSYLHESELKPLLVVEQPE KPYNIPDECRDLTELTTNSDLGPTSIKAYDVAIERLHWNFAASALGEGTSSTIRFVMA WPVQLKDDYFELLNERRPEALIILAYYGVLLHFYRSSWAIGDSGAFLIRAVGAHTGPH WGRWMAWPDRVLRGSSMQLE EPUS_08170 MSSAGESSDTESSSYLYQYVPSLAAAAVAIAIFAILTIAHFYRL IKQRAWFCIAFAVGGLFETVGYVGRAIGHSNKDDRDPFIVQSILILVAPALFAATIYM TLGRLIRATNGAKYSIIRVTWMTKIFVVGDVLSFFIQGGGGGIMASGDPEKLKLGEKI ILGALFLQIIMFGLFVLASIIFHYRMRKQRTPQSYDPDLKWETTLYVLYAVSAIIMIR NIFRAAEYAGGHNGALLRVEWPIYVFDALLMALTMVIFYWRYPPAKQLKVCKSEFSIE VPRAQMVDASGRFKEEISPEAGH EPUS_08171 MAPPQPSSIPNYPPPPFFNRNRRLFLATLTLTPIGAYVYLRSQH NDNKSDILRAEEEGRRAWLQRGRGGDSNGGGGVGGEEEKLGVDARNFAVRVGRSGGGV EPUS_08172 MTSHSEWGFETTASEVADTFAEGIRGRTILITGVSLGGLGGTTA KALAAHAPRLLILTGRFRDKVDAVIHEIRHDHPRINCRFLQIDLSSQSSVRKAAAELL NYDDVDGIDLLINNAGVMDVQERTLSSEGIELQFATSHIGHFLLTNLIMPKLISAAAQ TPRNQHSANVRIINVSSSAHVFSPIRFSDHTFSKPVEAIPEDEWPDFDRFRAINGMPD DSSPYIPFAGYGESKTANVLFSLSLTSRLASRYNITSFALHPGSIPTELQRNSDQEKL AESRRRFRLTKRRNLEQGSSTTLVAALDPGLLAGNIDEGSGLYLDDCQIGKQADWAKD PRAAERLWQLSEKLVGQTFDS EPUS_08173 MFSVIPANRPCLTNYITISPTQFAFSFPSRPHFSHLVVFILPGN TLPPNTAAGIHIQLPGSPEFRFLGAIGNEKPSAIFKINLPGPKPPPGDFDAMANSDIS GLPAAMAATASTAAEPDIGDINIGISVEPAANIQAQLAALQSQTQSQSLSSTSADLAL VIHRPPPQTKELAQRIIKNAFNFLASFAGEAGPGGQEVVPLRSFQEWWTKFERKVQND PGFLERDEGD EPUS_08174 MRPPLRLAILECDSPPPNADAKYGGYGGVFTTLLRYGAELLGKP DLEAMLQISKYQIQLDPDNYPKLEDVDAILLTGSRYNSFEDAPWIKKLVDFTAEILKQ DRIRLIGVCFGHQIIGRAMGVKVGRNEAGWEAAVDDFDLSERGKQLFGKEKLSIHQMH RDIIYYYPDGVEQLGSSPVCAVQGMYKKGHLITVQGHPEFNEEIMTELLRVRHTMGIF NDDEFDKYMDKAGKPHDGVVVARAFLEFLLDD EPUS_08175 MARDGDEIADSDGESDVNDAPSPPQSQLLPKTHQGQGQTVPDID LGVNFSDFLSQSQTHHMNATQQSVRQEDHPEGIEKSTGTTASLRRQIESEQRKLAEQK SSSSTRLSDIKKRSKSSSDSPTVAKTKRRHSELGSTSLMEGQESRQKRQHTYGSSSSR LRSSQSDVFAEEHRHQLEESLAEVASSRDPGHSSYQPEDDGRTCDQQTGLTAGVGQHH IGSHDAAVNEESALQCQDGIQADHVRGTSDNADAFEEYMQMSSGRISKSRSLMGNYES ISLDYSDSGLGLNVNANPFGDASQQSVEDITDRTQPVRREAIFTPSKASTLDTIPLSG NRDSLANHVLHPDISGIDGASSLRENSYSRIESGRSRSFIDPSVLTKYPADNSQELAD SNSSSSRKRRKTADGFALNVASTAHDTDIPPILLAATKETPPPKTETQGKKRGRKPKN QNVESSNGHHSEHSEEHNEESVECAAKHTSSELHFDDDSIIGLPKEQYKPRPSRSRSK RNAEEEMPPPAQSPTKSVHTPTKQPQTSHSKDTAQPNDPEDTPLTKLKKEKKKNKMKR AKTSAAALLKKSDRMLSDGEEDVVWVDSKPATVKMKLPDPVEVKEYLKTEKVPPEDNE VGPAGAAEISINLPQTEEEPHKPPPKKRGRKKKTATEMPVANEIENGSNGTTNASAEA EAEEPTPVGEEATSNQPRVKASALPEALKEKDVNTPRTATSQPINEDTSTPQEIKASE DTHTTSAGRQESPENQQQPASAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPA PAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPA PAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPPTPQPKSTENSSEKG PTKHSPINPTGGKVKYRVGLSRRAAIPPLLKIVRK EPUS_08176 MEVLDAVALSRRQVNENNDPNVGSARDDPNNSNSLSGLVSTLVP SLLLAAAFTIVFLILRRTLIRQYAPRSYLGSLRDHEKSPKLPKTLFGWIPAFNKIPDT YVLQHNSLDGYFLLRYLKICVVTCFVGCCMTWPVLFPINATGGAGKQQLDLLTFANVI NKYRYYAHAGVAWLFVGFVFFMVTRESIYYINLRQAYLLSPLYAYRISSRSVLFSSVP DEYANERKIRQMYGKKLKNVWVATQTKDLEDKVEERNKVAMKLEAAETKLIKMADDAR RKSLKKGSGDVEGAAAHVDISGESGSAAARWIQPKQRPTHKLKPLIGKKVDTINWCRS ELQRMIPEVAKEQDLHRSGNAKSSNTVIVEFWNQTEAQAAYQMVAHHQPLHMAPRVIG FSPHEVVWSNTGITWRTRVIRNILCIAAVVVTIIFWSIPVAVVGLISNINSLTERIPF LSFINSIPSVILGVVTGLLPVVLLALLIAMLPPWLKFLAKTSGLPTLSQIELRVQTSY FWFQVIQVFLVTTLSSAVSKAIQPILSNPQSVTTLLATNLPLASNFYINFFILRGLTF ASGALLQLVALILFNVLGRILDKTPRKAYQRWVTLSRLGLGTIFPVISNLAVIAITYS CIAPLVLGFATIGLYLFYFAYRYNLLFVNTTTVDTKGLVYPRALQHTTVGCYLLILCL LGLFGIQAAPGPLVLMVVLLIVSVLYHVSLNNAIQPLLYYLPRSLEAEEETLLRAEEG VVHSSGARNGDSYEKPGVDGSNAIGIDKGLPTPPPHKRPNLLSKFLRPDLYTDYATMR RLVPQNFAPAQYEEETAREAYHHPAITDRPPLLWVPRDQSGVSRQECMHTSHVIPMTD EGAYFDEKGKLVVVKDDTAPIFEPKIYY EPUS_08177 MSLRQTSLKDFFPVVAHSGQSYGAVQGKYPGNLHKAPEPGPLVA TSDDLAKQTAEHGAFPFGPSDLVMTRTAMSTGMDIITSATQNNKRKEEMDESEDEPEV KRLKASDSVGLDDAIDADDEPVYEPNPKRRKLSRLNNLNAGSALNDDDESFADDEGNF TGSEEDDSTVVAADTNNTNVDAVFSSDSDDESFIASEVDETESEEGNSTVGDGNESTL SFASSSTLRPSTLSATVVPSMTAASETSQHAHVPQSLVSSFSNTHISTPQVPTALDPS LPWPPSDPNLRGKAARRRRQPANFTIYEDETATPPQFFDNDATDQIRMPAARNRVLNS LDQGQENRRPVLQPVEARVDMAQFLHETEDGSEEEVDQMTALEMEDPFEW EPUS_08178 MASAPMNALASFMYLTDMLPTWITQINTLGTHVVTKREEFSAEY KRALEHARPRRKKTPSVTSLQTNQKPVSIRSQKSNTNAQDMLSIPRASEISPLDPESK YLFANARRGKRKQGASFRSGASGPQAFRNQHQVIIYYDSVLQDGFEALVKEIGTARNN VRKGRQARELERGLRLPSFGMGNYTRTQRGPVMPSPPKSRSPTDLTVDPKILLNDAPP NEDASFTEVANQLEAAQALCETAAHQFLRDGDCTLEIDRIRSYLENVLQVAKRQIEAW EQEEDGARTEGKEAAALVKKENHDVATVVAEKLGVNITPMVNTVTQTTEIEVDSDDNS VEEDIVVDITKFRSARASGIRA EPUS_08179 MSGKLDQSLDEILSTRRKANRGRRPHKPAKTTGTTAKIAPVGGV KKSVKSAKSATKGVPSGPSAPPKESKIIVTGLPHDVNEAQIKEYFAKSVGPVRRVLMT YNQNGRSLGVATIIFSKADAAVKAAKDLNGMLIEVIVDASNAPAPPTVKSLGDRVAYA RSNKSGSSTPLTKASANPKAQPRSATSTKATNGAGKKAANKAKKRGKNATRSKPKTAE ELDAEMTDYFTNNNGGATTNMTDANGTASGNAQPATAGGEDLGMDEISVSSSVEFIT EPUS_08180 MRKCNGIIRKNVRQLDRDINQLKVLDQKTRQYILQASKRGQKNP SHAQQAAKEARTFARELIRVRKQSARLHTSKAQLQSVQMQVTEAFSVRKIEGSLKAST GIMRDVNTLVRLPELTGTMRELSQELMKAGIIEEMVGDTLPDNELLEGEDEEAEAEVE QVLGEVLHGKLGKVGAADEQLPQQPVEEEESFEEQEVTLEQMRGRLEALRS EPUS_08181 MSPTTPEVLWAQRSSASDPEKNYIYLTISVPDVPQSSLKLDLKP TSLSFTGTSDTKKTTYHVDLELYGEIDVENSKTNHTAKHIEMVLRKKEMKEEYWPRLL KDNVKVHFLKTDFDKWVDEDEQNEVPDDDYMNNFGGGGLPGGMGGMGGDGGLGGIDFS KLGGGGGMPGMEGLGDDGGDDSDDDMPDLENEDAKAAAEAPEDEQASPDKGKAKIEEV A EPUS_08182 MSARQAAGAASATASLVSQALGPSALNVTSTRSANKTLSLPLRA LRYTVRTEKLLLRKAPLFFLRLSGLPTLAHLVSDALGMPAPLVGVAGQDGMEAAARQA GPQTWTAALLEAAELSNIRSLGGMFNFLFSRWAFACLAMALILNRVNVYASSRQRIFL TWDKRLALRIIPILLFVSQIRQLLQAIRCQTAPEFSQFRYGKPSKSVMLDWATSGGWL HTLSSALLYGSTDQDACTAIGMGRPSPHVRAPYGSFSLLWPTFLRICLSQLVETVSCS LQQLPLMTETGMSVFEHSLAFAEAETMLYSALGLGFLGSSKSATVTTISAASQTATTQ PAATFHASATVLALTDAATSLSGPHVLDRMNVPTEVLLIALLSCCNSLSSNIIAVFNR QRSLRLINTAIWAICFMSAFVWGFLTVSNLARVDADGREGRLVSGLLHFPTVCILGFT PHLLILLGMSACVGIYLLALLLTALSLGSNVEIPQPTSLRQRFVIAHENLQAALQIKG INIKWNEDVYTALLRIGFIALTAASEAVFLNEGRSVEVRRFTWLEEERLDEARLDREY RMNRPSTTDSHFHITEEYGIPPAPGLDAAPSDWQSGYAKERKLKDADGKNRNAVFEGD NVIVYPNPRTSGVGAVQRSTRFYLLYLFWRGILFLMGGWIAFFLGLCLDQIGITVRPN WLRRLIGKSQKKSTSERERRKVDSPSSQHDMVEFWYLTDEGNLVTPDRDELDIEHEMR RRIMMEHPGEDARKVEKKVDDRLYQWWKMHGWFGTKDDSGDYRPPLEEDFDDTTSVIS MSTAASASDDREWESESDGRRTPTQTSPFPSNHTTRESTPFDSPLDTATLARLLNPPD RASKEEARLLASHLSASDPANPTNPWTYNNKILTRSQYRRQLETERTRILFAGRRPPS HPISSSVSAQPPPSSSSSSAHRPLTAAEESDILESLILSRRQNMQQPHSAASSASSSG PLCVVCQASSRTIIAWPCRCLCVCEDCRVSLALNNFGNCVTCRRSVAGFVRLWVP EPUS_08183 MVLEDILSPGDGFANDEVPPPDGNEPHGALAPGTEKFTVDSTRT VADASATVLSEELHLRYRANKGPLRHYRIPFDRMPVQGITRRAAFGNPGRTSITSRSL IELMSLMETLNGRPLTQSEAEGVALHLCRKRVYSQAAAYGGIVAGCAWAFYKRKTFKF PLRKPKPVERYDAFPLQRAAFLTGRYARMAWHTTRTMAYIGASWLMLLPLFEYMGRSA QAIHMMRDERTRALAQEFRSSLQRAQRDIQEDLKRKIEQSFGGTADGQGAGQSSSPSD QPDSQSSGYGEYYGGSKGGYVQDANSPTSPSSQHSQYPYSDTSSNTGLLSDAQMQSRT RSQRSSPSSSDPSNRNTFSLEKVDRQPRTFDSEYNPPNDSSSSSSPFFDDNDASPTAG NDPFPDSPSTPSPAHAPIPPPIRQRPPTPTKERQGRIRDQRGQLQLQQGRLGEAVSQG AGAEGI EPUS_08184 MPPKKVADQPKKAKPSVDDKTFGMKNKKGATAKKQIAQLQAQSK SNVSPEEKKKQAEKEQRAKDKAAAEAAQKEAAELFKPVQVQKVPFGVDPKTVLCQFFK KGLCEKGRKCKFSHDLDIERKGQKRDLYQDTRTSEKEEKEKDNMDDWDEEKLRKVVIS KHGNPKTTTDKVCKYFIQAVEEGKYGWFWTCPNGGDKCMYRHSLPPGFVLKTREQRLA EKALMEKSPLKTLTLEDFLESERHKLTGTLTPVTPETFAKWKKDRLDKKAAEVEAQKA KDATGKAMFESGDWKDSEDEDSDAEDDDDDGKWNLEAMRRETERLRELKEQERLAKLN GEPLPAANDVNGSTDSGGDGRSESGGSESNGEGPSGES EPUS_08185 MAFAKPLGPQSLDGLVPQMSSTACGAFVRRRCMRQGNVRWEATV LTNLRAQLAVGGSETLPSGPLRGEQTLVRVTPGFSRTDSTSQYCTDALLTVEPSQVYL YKGCGDYPATDLLQATFSITSQRTSSTISRQVTSAISTIPPLSTPSTAAEESASSTTS VTSPASPSSVSLPEQQNNNGAIVGGVVGGVALIFFMVLAVLWIRKRKGGNAQVPAYSE QKPVWAHELPP EPUS_08186 MYAMFLCALLLAIPHGTLGQADYLANAETAAAPLLFKLYNMSSG LWDTTGWWQSSNTMTTLGDLAAIDPNAEDSVSAIFANTHVQAPNTHRDFLNDFYDDEG WWALGWINAHDITQEPEYLQTAVDIFEDMTGGWGTPCGGIWWDKAHTQVVAIANELFF SVAASLANRKPDERDYYLDWAQRSRDWFEKSGLVNAQGVVNDDLALATCKNPGDTVWS YNQGVILGAYVELAKADANEAHLTAASSIATATIANLTDANQILHDVCEPNCDVTAAQ FKGVFMRNLRILHNAAPRAEFATFINKNADSIWANNRITSGSDTLLGHVWSGPFIPPA NATTQSSALDALVAAASIAQ EPUS_08187 MPDTVVTGLPTPPPSIIRPRFKLSELPVARDKRIAIDNLNYAFK KKGGFDSLRKKIWAEFTSSDGKKNLTSRIHEAAEAEVDQDPKILSRERGTAATLIEGA VDRRGVYKDVESEIDQLLTMHVDHYLEILRSIRKAEVGEEKAAEEERIGSKTDEDYAK EFEAKAAEREKNRAKLADLDRQMEEIKRKLMEAEEKKRREAQKKKEEEDRKKREAEEE RRRLDRERRREEERKYEEQREKEREERRRRRREEEEAREEDYRRRREERERERERDRE RERDRDRDRGRDRLRDRSHRDSPYHSSRYVDERKNSNAATPKDKSASDGAPLDEKALD DIALELLLNESKALAGKSRPKHEFDFERAEAAESSGRKAAMVERLRRTDRSRERDSKR RDTYDDKRDRKRSRSRSRDRHRSSRTDDERRAEIEYQKQKERQEREKEAKAYLKGESQ ADAASVAGSHGSQSKTREEGEYLQEAKSPSSSHRRSYRDDDRDRRHSYRDRDEREEAK RSRILRSASPFNIDRYVPGGGIHRGSRSKEVETDRDKERPKYRERDHDRERERDRDDY KERRRDRETERDDYKDRRRDGESYRSHERTRDRDRDRDRDRDRDRDGERDRERSRSPH RRGREERYRDRREGGDNRDSERRRTAVPIDRYVPGR EPUS_08188 MVHKILFWAGFGIATRLLQLGIEMRPLFQRGSLWVYTLFAGVGG SFGYWMKGVEDRQLKMLQQRKEILIEKRRRRAEREAVEGGLNLVQPAVEAAPAETAGV LAATS EPUS_08189 MSDGIGLTLRRKGGRRPKVQEISGPIPSKQAPSTSGRSIAVPRE KNQQSAATSDLVKRRYSTRFNQLPDFTNAPPVPSLPGQPGVPKRWSRPRSAGRPGTSE SAQPIRVDIGALRDSNLQPEQYITDLLSTASEQDIRDYQNSLRKIKDRTLTDLQQNVY QNRTQFIKISKEAEKLKGEIDTLRGLMSELTGALGQANGNTLNGMKSPTPNERMSSRR NANRSSVANLESMWNVQLQTLWKNVERSQKFLPAIPGRHIVLETPHWVELDSATWKPK RPVHIVLLNDHLLVASKKRKRMDPNSPQKGPAPTKLVAEECWPLQEIDLVDLGANLTQ GGADGIVDERNIGTAINIRTASKSFTYRHDQKNESAKNDLLLTFRKTVEELRRSARSE TEKGSTPTDALNYFASRDLAPAKKTEIMDTINSSREKPEVLIDVDGRQQNLRWVEGQI DDLDIDIALRRFESAVAKIERLRKLARGLKGNAVAQDLILLKVDERASKLAATLTRAL IDTPSFLEATKAHTSWLSRLGFEDRAREAYLQARTDTLIKRARQCVFEGDLHKYIFQV SFVYFTIVKNTVSIYQACFPPLMMSACIKWAKEHLEQLNVTLVRQLSSVERGGTVWNE CLLLIGEHEEALKSVGLDFHEVISKGLGDKPVAGVAGQDAQKNREATPVVGLGLK EPUS_08190 MKTSTAIALTVGTVVTGILAYAVYFDHKRRTDPEFRKALKRDAR RQARMAKEAKEVEGKQQKEAIKRAVEEAQEEGFPSDIEEREAFFMKEVGEGEARNGEG SDPVGAALCFYKALKVYPEPKTLINIYENTVSKDVLEILAVMCTQDKDLNNKIGGSAS GSESGHGVE EPUS_08191 MAPMKTALFSLATLLLPSVVQAVQPIEVQGSEFINSVSGKRFQM IGVAYQPGGSSGFNPEAGTDPLSDANICRRDAALMQRLGVNTLRVYNLNPDVNHDECA SIFNAAGIYMILDVNSPLPNQSLNRGAPWESYSSDYLARVFQVVENFKAFPNLLGFFS GNEVINEESVVEVPGYIRAVTRDLKDYIAKQADRPIPVGYSAADVRPMLPDTAAYLSC AIAEEASSKIDLFGLNSYSWCGDASFDSSGYDTLVADFNATTIPIFFSEYGCIEVKPR LFTEVAALYSDQMTGVFSGGLIYEYTQEENNYGLVEFNDNNTASLLGDYDTLQRQFAA LDFTKIQSADASATALTPEPCSAELITGAAGNFSTNFTLPSRPSGVDDMINNGVPNAK TGRLVEVTETSVGETVYDSSGNPIQGLAVNVLADDQSNTPGSATGSSSGANPSQTGAA PRGGWMDSAVLIVGALTLLAALSV EPUS_08192 MSLTTKIETPSVTYEQPIGLYIDGQWVKGKEGKTFETINPTNEK PIVAVHEAGPDDVDIAVSAARKALNGVWAETLPSARGQMLMKLADLFDEHVDTLAAIE SLDNGKALSMAKVDVGLSSGCLRYYGGWADKIHGKVIDTDPETFNYTRHEPVGVCGQI IPWNFPLLMWAWKIGPALATGNTVVLKTAEQTPLSALYAATLCEKAGIPAGVVNILSG FGKTAGAAIASHMGIDKVAFTGSTVVGRTILQAAAKSNLKKVTLELGGKSPNIVFNDA NIEDAISWVNFGIFFNHGQCCSAGSRIYVQEGIYDEFIEKFKARTAKNVVGDPFAKDT FQGPQVSQLQYDRIMAYIKAGKDAGAKVETGGERHGDKGYFIQPTIFSGVSDDMKIMQ EEIFGPVCSISKFKTEDEAIKAGNETTYGLAAAVHTTDLNTAIRVSNKLKAGTVWVNS YNLLSHQVPFGGFKESGIGRELGKYALANYTQVKSVRMRLGSALFG EPUS_08193 MSSKERHPIRIAGASGSASDRRHALAAFARAYPTDRVDVIISDY MSEANMVVTAVRKVDSALPKPPDANPLTAAGPAFEATFLEALEPALNDLAKYEIKVVV NAGASDTEGLYKVVLDMIEKKGLASQLRIAWISGDEVLPTIMSDLKAGTSTFNNIYTG ENLNSWSFEPIYAQAYLGGLGIAAALRAGAGIVLCGRVSDASPIIGAAYWWHGWQRDE LDKLANAFVAGHLIECSNYICGGNFSGFKMLEDSPGGWVDIGYPIAEISSSGAVTITK QKSSGGALTVDTCTSQLLYEIQGPYYLNSDVTAVLPEIWFEQLSTNRVALHGVKSLPP PPTTKVGITARGGFQAEVHWFLTGLDIPEKARMLEAQLRHVLAPYSDKFSLLSFSTLG TPEPDADSQNAATVVFRVFAQTRKVEDLTPQKFLRPIIDNIMQGYPGATFHLDFRLGL PKPYYEYYVTLLPQSEIKHIVHLPCTDPTIIPRAELEIPPPTNTQTSPPHNGTQTNQP ETSNPIPLPAPSDCTLLPLGTLIHARSGDKGPDCNVGLWTPHAHVYPWLRTFLSTATL TQLLGKEYNPEKVKIERFELPNARAVHFLCRNLLDRGVGATSSVDFLGKNVAEFIRAR VVPIPREFLVEGKARL EPUS_08194 MTPNPHSQKQEVTIFPAWTMTRLEGIVSMDTAKKRFYARCLLAS LDPVDETSSIAQLAQCGDALCILEDDETDSTIMLTGRLISSQHINIELVRQWLSTCGR LHPMTCRPVWKEEIKDILLINVETRRLQSPQVGARLGSLPQTIEDAVTFTLLLGKRYL WVDSVCIDQNDEAENRKQIARMSTIYRGADATIVALSGKDAQAGLPRLSWRSAVFPQL KCWVDGKRLVGLMPTLWQQVWFSTWGSRAWTLQEAVLSSRCLYISDHQMYFECRGMQG NESLNEIRSWVQQTTRGAHSRRNRQLVSRMGAGLLSSPFIEIAHEETRLEKYTVLAYL YRSRRMTDPDDALNAFSGITQYLTETVYNKGFVWGLPLEDLNWAWLWSTKDPSDDPFT YFMESNSSNADFDLSPISTSESHQYLFIHGIVFQLVLDCNSPQGRKCDFYMFERYDMF MANVNCLVTIVSTDPIIEEAALRNPETFLLVARNQINNWMYLHLLVLRQEGGISQRKS VITLQIPKDKPHVLKHLGIRREKVTLG EPUS_08195 MSRKRPRSVSPPSTSTIDARRSQTDHQIFRSNAIKDRSSTFIAL FSPSAPAPTLQANPDFATATHRVAAWRKPSAQRTLFTAKRPLLDTGYDDDGEQYAGKR LEKVLSETSVEGAVVVARWYGGILLGPVRFTHIENCAREAIEKWKASGTATKPEPDHP AAQKRKIEEDAKRKSTLVSVLEERDHSISALRGLLREKTEEACAIESEEKVPTRKDGN VTPDKAVDYSAMPLQALVRLEKARDASISWILKEIEKVEERLEEQQQQQQQQKKKQKR TRDCG EPUS_08196 MLPESRAFRLPGPLGWLLNLLGIAYTLVTTVLFVFPPGLPVNGS NMNYCVVVFAIIVGVSLVQWWVDGRENYKGPRVEEGVLARVKVVGVGVGVGDGEEEDR LASRQSLVGRMVGNGDQEETRGGKEAVKDKIASGKGI EPUS_08197 MARPRSIPGDDAAYLAAMGHSQELKRNFSTLSMLGLAFAILNSW TALSASLSLALPSGGPTSVIWGLITAGICNLCLAASLAEFLSAYPTAGGQYHWVAVIA WEAWVPILSWTTGWISVSGWIALTATGGLLGSQLITGIIAFMNPGYDAQRWHQLLIYV AYTLVAFIVNAFATRLLPLVTKAAFIWSICGFTLMSITALACASPEYQSGEFVYRAFI NETGWPDGIAWLLGLLQGALALTAFDAVAHMIEEIPQPSVKGPKIMIGCVAISVLTGF VFLSVLLFVLRDVEAVISSPAGPILQILYDATANRAGSVCLLMFPVICLLFATISILT PPRAA EPUS_08198 MGGQGKSQVALEYCRRSKNKPYSAIIWINAESEESVRASFAVIS ERIKTVSDVLPDTAARIAFVLHRLGKWGEPWLMVFDNYDDPVSFYNVRDYMPEGQHGH VLVTSRHMDTNELVDDDEHNFIELVGLDIVAASKLLLHHSRIQDRDESEVKKALERLG YHALAITQAAIYIKKRRLSLSEFWSHFEQRKRVILETTPQLSQYRRKLGQNEKETALS VFTTWELSFQQLESQTSRGAVEATLLTLLAFFNHIDISEAFFMLLDRCHHSGKSDQLA SLSKALYTAQAQWDQHRFQDILITLHGLSLIQSWEKDSNLLCCISLHPLVQDWIRLRA GHGNDYEESVLIVAKLVKEAIARCWHDVHFVMPLNDRQTYLLHVRAQEGNLREWLDTE SCQSIDDQALLEYVNCQDWFGFFLLTSGIFALAERIQLWVIETRKRVQGQEHPDTLIS MNNLASTYLDQGQWKEAEELGMQTMEMRKRVLGQEHPHTLISMNNLVSTYMNQGRWKE AEELGMQTMETRKRVLGQEHPDMLRSMNILALMYMNQGWWKEAEELGMQTIEIRKRVL GQEHPDTLTSINNLVVNCLHQGQWKKAEELGMQTIEIRKRVLGQEHPDTLTSINNLVV NCLHQGQWKKAEELGMQTIETRKRVLGQEHPDTLTSMNILASTYMNQGRWKEAEELGM QTMETRKRLLGQEHPDTLISMHNLARIWKHQGHSSKALVLMAEVVQLSKNVKGENHPE TETSAKMLEEWQAQK EPUS_09506 MSLTIPPGVSLSEIPALQPPPGVTPNFTNPESQAHGVMIANGII TAVMLVFVLLRVYTKAFLTKTLFWEDAACIAGTVMSVGYLGLLAHVFQPGRLGPHQWN VRLTVLLNDSYIKELKAVNFLYGPMMTFTKLSILLLYFRLFSPTKTIRIWIYVGIVTT LLNHVVGTIIAITICLPSDAVGYSRCSGRLHPLDVVINAINILSDFYILVLPLFVISK LHMQRAKKFGVSAVFLTGFLACVSSIAGLAYRIKTWKSEDISWWIGPMLLCSLTEINI GLIVGCMPVLPVLFQHEKGLKFVGKYFSSLRSDIHSLRNILRTKRSSDDEKESHLRAP PPELGLHHPLKDSGSSFASTPKEDGKLAHDETSCYEPVTMV EPUS_09418 MMRTFKGLRFGLMVGIGGGIPNLDKGYDIRLGDVVVSQPTDTTG GVVQYDKGKSLDGGKFQLKGSLNAPPLALLTALGALQADHESEDSKVPTYLSEMFERK PKLQINGYTFPGTDRDRLYCHHPTSNAICDHCDSACEVYRPSRENTDPQVHYGIIASG NQVVKDAVVRDLLRDDCGALCVEMEAAGLMNSFPCIIIRGICDYADAYKSGLWQKYAA TTAAAYTKELLLYVSAAQTSSEKPIDQVLDIVKEHVQAVSDYYRKQEIRYQNDQDRKC HITFKIDNYEQQKDINIDRTADTCQWVFRNEKYIEWHQSSTDSLLWISADPGCGKSVL SKSLVDHELQNTTTHTVCYFFFKDNERQDKLNIALCALLHQLFDRQRHLLRYATPAYE KNGDKLRQEESELWRILLAATADPKAPNVTCILDALDECQDDDRWRLINMLSQFHINS SSSHRRNNWLKFIVTSRPYDDIQQTFQKTISSLPAIRLRGEDENEQIRKEIDIVIRER VSKLAEELSLKPETKTRLEQKLLQMEHRTYLWLYLAISHVYETYRNSLRPDYESIESL PSSVEAAYEKILEKVISRMRDTVKTILRIVVGARRPLTTGEMALALDVATSPHLKSAA EFSINKEHLERNIRDWCGLFIFINHSKIYLIHQTAREFLICENGAIRTRWKHCFNVAD TEMQMSRICVRFLLLKYLKMKVSYELITGDQWGLRTKILNKSNKNVIEDFLLYSAEHW PDHLRRSEIGENDSLMTEVHHLYDTISERFPLWFRLFWFTTELYQDPPDMNELQLAAF NGHDKVMRTILVDEDVDINRTDRDGNTALMWALLKGHEKMVQMVQMLLEKGAEVNAQG GKYGNALQAASNRGHEKVVQMLLDKGAEVNAQGGYYGNALYEASNGGHEKVVRILLDL GAKVNAQGGYYGNALQAAAWGGYEKVMQMLLDKGAKVNVQGGHYGNALQAASWGGHEK VVQMLLDLGAKVNAQGGYYGNALQARHRMEVTRRW EPUS_09503 MIDSVKEQLAKCPICCRTKPSRERQGQLQALEPPKKRWQHLAMD FVTDLPESNGIFPGATSIWVLTDRLTKERHLARPATAETEHLVRMFIHFVVRSHGLPE SIVSDRGPQFVSEFWNSLCKRLGIKAKLSTAHHPETDGQSERVNQAMETYLRSYVNYM QDDWAEWIALAEFAMNNYKSESIGMSPFFANKGFNPRMSFDQAETPLDPRAEDISTFM KELLDHCHAELVWSQAKTTDAANRNQRRHPAPVYHEGDKVWLNARNIRTRRPCKKLDD KWIGPFEIKRTIGSTACELALPSSLRIFPIFHVALLRPCHADVPPRPIVGNDISDETE WEVETILEGKL EPUS_09504 MDTTATPIAIGAIAFNHLSKRRDCEVFAVSLRDIEKALKPKETI DPRTRLPEQYHEFLSLFDKAAADKLPPHRPGVDHKIELLPNTEPPSGALYGMSRNELE VLDKTLKDYLSKNFIRASKSPAAAPVLFVKKPGGGLRFCVDYRGLNAITVKNRYPIPL IQETLDKLCKAMWYTKLDIVAAFHRIRMAHGDEWKTAFRTRTGLYEWNVVPFGLCNAP ASFQNYVNDALGKDILDRFVSAYLDDILIFSNSLKEHRQHVKTVLGRLAVAGLQLDIG KCEFEVHETKYLGMIIQSRSEDGKPGQIRMDPEKIAAIRDWEKPNNVKDIQSFIGFAN FYRQFIKGFSKIALPLTKLTRKDVPFNWGEEARKAFQTLKDMFTSSPVLQHFDPDLPC TVETDASDDACGGVLFQPNNEGILQPVAYFSKRHAPAESNYEIYDKELMAIVRAFEEW RPELEGSQEPVQVVTDHRNLEYFMSSKQLSRRQARWSEFLSRFDFVIKYRPGSQCRAD GLSRRPQDKATDANDDRKKFLEQVVLKPKNLDPQISEPIPVCPIRPTRVLRRHERLPE LGESPDAITDNTTALRTTNPQPRTILEEDITAAYQNLAREDPCRVTRQQVLSGVRRSL MVTLADCSVENNKLYYPDDAYGFPKTKN EPUS_09505 MSRVHYAFSRLTDRASDVALDNVNEGIYPDWVDLIEELKLNFGD ADPEYSAICRLVKTRQTHRPFSEFYPEFKQTARRTPFRDHGLKGLLRLALSKELTEKL AMVDVRDMSYEAFVQECTRQDNLLRATLSRQTTRSWKPPMSSTVSVPAPARPVAPAPV SYDPMDIDHSARRASNEREAERERRRNLSLCYYCAGPDHRANACPEKPKPKPRSNLRT VSSTPTIASSTPVFTPATSRATTPVASASLAPLPPSSSCVDAKSLNEATLN EPUS_08063 MYWNRVIVLLVALPAISALRWHPDHVGYNLNENETANHPRDYWG EWDNHTYHPSPKNWRIPFYAVTIDRYLDGDPTNNEANGTVFEHHWLTNQFRFGGDTKG LQNDLDYIQGLGVKAFYMVGSPFLNRPWSGDGFGPLDFTLLDRHHGAIEDWRNLITEI HRRGMYVVFDNTMATMGDLIAFDEYVNATTPFNFREYDYLWKTGTRYHDFQPGNDWNA SCRWPRIWGQDGFPLTQDILDQMYGDIKGTGAYPSYINSMSKFASVQDRLREWRPDVL EKIKIMSCMQIAMFDIDGFRMDKALQTTVDAMAEFADFQRQCARRHGKENFLVVGEVV GDPNLASVYNGRGKQPDQALANIEDSVFSSNETDPASFIRPFGMSALDGAAFHYDIYG AMTRFLGLDSPWGARGVDWVDQWNFMLTHNDMVNANTGEFDPRHMFGMTNHDVFRWPA LANGTQRQLLGLFVTMLELPGVPLLLWGEEQEFYVLENLANDYVFGREPMGSSSAWQL HGCYGLGETVYVDMPFNSSGYGCHDDSVSLDHRDPAKPMRNVLKRMFELRQQYPVLND GYNLTTLSKKIYEIYLPGSGGIPSPHGLWSVYRGRSESVQDFTGIGQENQGIWMLYSN EKKSRTYEFDCSSPNTSLALISAFPADTTIKNLFYPYEEYTLESSVIKYGIEGSTEFN GCLPRLETVAWDYKAFVPKDKWVTPGPTITKTVPSHDARLNSTVAYEEQESVPIQIRF SSEMNCDSVTDSIEVDSTTQGGQQARLDRRSVNCTLANADPPRYVGEIPTAWIFSANV ENVYNGVHTVTVRNASTEDGKLSTNTVDRFMFRIGQSDNPMVFTKLANYTTTLLHRGP KPGQLYISPRAAGADKIRYSRNWGSSFSKWIDYTGENITLESHAWSGTEEQEWEGEHV IVHYWSQKSGSSDHVQHADLERDGLPPRRFPHVFVQGDFNQYGYDGGLESEMQLHSDG LWSFDLVTDFPSSLVFNVWGMNPDGIPDKSQSLGDVDGDYVLDWVPPDSLARNLVNIT RPPPGGYIGYRLTVNDGTYGYHFSPVGSYSIQIAVSVLLALVPIVTAVLGIWIFMTIF YKVKFNRVGIDEKSGMLAFLKPTPKPEPRKLRNNITSNFNESREVVAASSITPSAGAA IADPNKSRRTMLIATLEYEIEDWEIKIKIGGLGVISSLMAKHLSHHDIIWVVPCVGGI DYPSDAMAYPMDVTILGQKYEISVQYHMLRNITFVLLDAPIFRKQTKANPYPARMDDL ESAIFYSAWNQCIALSIERFDPDLYHINDYHGAAAPLYLLPRVVPCCLSLHNAEFQGM WSLGTQGDMDEVCRVFNLDKDTIERYIQFGGVFNLLHAAASYLRIHQKGFGAVGVSGK YGKRSKQRYPIFWSLPKIGSLANPDPADTAEMDSSKRRKEAAVIDSAMEASRRELRCH AQEWAGLTIDPEAELFVFVGRWSMQKGIDIIADVFFSVLEENAKAQLICVGPVIDLYG KFAALKLEKLVARFPGRVFSKPEFTALPPCIFSGAEFALIPSRDEPFGLVAVEFGRKG ALGVGSRVGGLGSMPGWWYTVESLTSAHLIHQFKQAIRSALASNQETRAKMRARAMVQ RFPVQQWVEDLDKLQANSIRVNHYQQEHGSLSQSLLRAFSPPASGHSTPTLPSRVQSP VLGRSGSPVLGPVPPGRALTPVNLSLEALPRIGSDQSLHSHVLGAASLSGQEHQTSRA ASRTWYRATSSGVPLSALAIPDNTFHFGDDNEVEVGPLWGSRRTSPQSSRAPSPPLSR RNTSWNLLRFGASSSAPPSPPLPQPEIPTEGSVADPARKYRDRFSYNAIVDQKKDFEL QKVDVTFTDSQNEFYNNFSRKLDKLDASSSEGRLCIEEFLTKSEKAWFGRFHRAKLGM DPETARPTTLPGLVKWLKVKCKRVGKGAERTEPAEHASEGRQSTLEAAGDTEFLLGEE YEAPSGIKRLLQKKIGDWQLYCFLLAFGQIVAANSYQITILTGEYGKAAEKLYVVAAI YIVATVIWWYLFRRVKALYVVSLPFAFYGLAFFVLGMGLYAPNMAATNWVFNVATGLY AVASASGSLYFVLNFGTEGGTPAQTWAFRACVIQGTQQIYVAFLWYWGATLNTASDNS GPPFSSKAVTAITVPIAVLMWAVGIILYLGLPNYYRRQPGNVPSFYRSLFRRKSVLWF FVMVILQNYFLSATYNRNWKFLWRLDHHPAPPPILRPRLDPPPVHPGQTLQSARVADP HLRHLAGRAALVPDALVDLRHRAVHPHPPADAAGGGAALAQPALQGIGFGMILLQTLT RFHVAAALCASQVLGSVMTIAARASAPNRVGPGPVFPNFGLVGTEGLRSAWFWAALVA QLLICAGFAKVFRKEQLFKP EPUS_08064 MNVPFRHLQTASKSLSQAAQEALSSHSDIPPKVSYTPGPGPNEP CAENVTMFQAFEWNCPKDQKHWTRLATAMPSLKAIGIDNMWIPPGCKGGWHGSNGYDI YDLYDLGEFEQKDSRGTKWGTKDELDDMMAVARKLGVGIYWDAVLNHKAAADFSEPCY AVKVDPKDRTKELGKPTEIEAWTGFDFAGRKGRYSPMKYRWHHFTGTDYDHRTQTNGV FKFLGRGKKDWAKDVDDELGNYDYLMFADIDHSNPEVREDVFRWGEWIGQEVGISGIR FDAVKHYSEDFLRDFVRHLDRTVGNKWFLVGEYWRDDLEVLAGYIKRMGYRLSLFDVP LVSNLARISMARKPDLRLVFKDTLALHHPLNAVTFVQNHDTVSVLPYKPRFSSTNKPQ QERGSLETIVTPDFMAHAHALILLRLSPAYPCIFYGDLYGILGSRSRPPACGGQLPKM ILARKLYAYGGMNEYLDEKECIGWTRLGHPSKSNGAGLAVLLNSSRASYGHKRMNVGK HHAGETWTDIMSWAWGEVCIDQEGWGTFPVGPRSVGVWVNARSEGRRGLDHLVFDDDI YKQAACVTS EPUS_08065 MIGLRSSAVRASRLSRTRLPTRNIRFQSTSSPTSPSKPTNTNQA AILGGAVGGSLVFLAAYTWYHFSGAKTVVQTASQTKAYFDQTLRKTKESAPAPNEAIQ WLRETALSYASIIPGAKGYVDSAFNDLDTIHAKHREEVDKVVMEAYEELKELGNKPFS ASSVANAWHILQKHLGRIGELAKDAGGDILNNHPQLRDRFGGEFDRLKSMADNYGPEA KKQVDETWSKIQDALKGGFSFDTLNRIRGIVQETTEKVQQIGDQAWQKAMEQAKPYLD KNPQIKEMIESNKTKLMQGNAMELASKIKDAFSSGSTQDLEKYVQETVSKASSSSSSS GMGGSLEKYFQMIPSGGSIWSSLSQLQEGAQKHGKEAEKLLKEATEEVQQVLSRKAEE AKKLAEKAKKDVSN EPUS_08066 MKPILTVSANSKNKSSRKISIKMATDNPPTSLPAQTTRRSDRER SEVSLSGGGNPWVVHFASKEDLSDAITFRRGVDSSEFPGTSRHTVSIFGIDGNIKFRD SRSLVVRRSDRQGRVRDLDITVWAAVEIQRQEFDVVRHEEIGNDWITLLKLKPGKRFF VTMSQMTGPPSTNPNRIRHDMLQLWNRQGDIHRMPFMAIMVRNHKTYTPWALALQKEV LGPLVSSAATGNPEDMPLIEFSDDEDSAGEGPSGEGAPEGATEGALEGAPENAPSTIT RPMVDSSDDLPAAEAPDDKQASADLPTYDSPSDNGPSEESKQEESSDPFGGLWQHAMN LGKSAKCKEKE EPUS_08067 MARGPKKHQKRLSAPSHWLLDKLSGAYAPRPSQGPHKLRDCLPL IVFIRNRLKYALNGRETKAILMQRLVKVDGKVRTDTTYPAGFMDVITIEKTGEHFRLV YDTKGRFTVHRIEAAEAEYKLGKVKRVQLGKGGIPFLVTHDARTIRYPDPVIKVNDTV KIDLATGKITDFIKFDTGTIAMVTGGRNMGRVGVVTHRERHDGGFNIVHIKDAIDNSF ATRESNVFIIGQEKPWISLPKGKGVKLSIAEERDRRRAHTIAGN EPUS_08068 MASSPKKDCGVLGCTGSVGQRFILLLADHPTLKLHAIGASSRSA GKKYKDAVRWKQIKPMSSTLAELEVRECKASQFQDCDLVFSGLDSDVAGDIEMEFVKA EIPVFSNAKNYRRHPLVPLVVPTVNVQHLNLIPHQRQQFGLKKGFLVCNSNCAVIGVV IPFAALQAKFGLVEDVEVFTEQAVSGAGYPGVSSMDIFDNVVPYIAGEEDKLETEAQK ILGSINKDGTGFDEQSGLTVGATCTRVGVTDGHMAYVSLRFVNRPPPSADQVKAALRE YVAEAQKLGCPSAPEQAIVVFEEPDRPQPRLDRDISGGYAVSVGRVREGAKGGHFDIR FAALSHNTVIGAAGSSILNSEAAILKGFI EPUS_08069 MDIIPNLVTRPPSRPGNPNAVEKLDKLDRATENIFAAQLQTRLQ ELQRTQSPPRRLTPLAIYARAYAEIASEGPLSDIDSRSSSTTPSESSPKALIASSHGT HGTSLSPSSTNTAECDGLKNRREEPENAQEPLVPSGPSSPPVSADGLNNGRQPENAQG PLVPSDPSSPLASAHGHPTQKRKREADAALGTPETVKRPCMARRPGLLESTRQMVTSS VTQTAAS EPUS_08070 MSDSFDSPQANDTVPNTPTNIADDHENDFAILIPDNDAARVAFS AVAELIQLDNDRMPHARRFVHINPNRSLLSPETNTDTDTSEQVVRALLYSGYYRLNFD IVPSNPLLGWLIGRGTGDPEEETGVDLSLSIAGGKSVRKRHARITFDFKTDILILIAE KGRKVLVNGKHELKGSQRVLGALVTSLDIGGLRYRFQYTVQGHSAYQHRLAEYKAKLG LPPTPAFEAGSTCTVLAGVHQTTGALVAVKRMNRSKRNASHISHEIDILHSIQKHDPH PNICRLVDDILIGNDRHGPIDSRIDEVYLIYTPLAANTFGHLISSGVNRSDRVLFFKQ ILQGVAYLHSIGVMHRDIKPANLMVVSYRPPRGMITDFGHATFEKTSKDHHKGTLCYL APEVWNLKRGGESAPAYDEMVDMFALGVTAYQLLCFETWWWGEEVSAEVSESIDGHLE HLDSSLPTGVTLLRHMLARDPAARISAKNALEAAFMRYTMAPGTEHSGIETNQRRKKM KLEIS EPUS_08071 MFRLMLTVILLLVTSVGGSPHVHKHQHKDKVIARATTSTPDANL SFFPGPVTPCSGPGCATAGPPSSRLCPANNDTAWTGSSTAQDYTVICDIDFPATYNIY PFVLAGSFEECMAQCESFNAKRDRGEIHCEGFVFAPGRVLSSDDCYLKSSLDHPSSAT ISLIGTPSATPAASSSSSVFSPPKFVRSQLHGASVNHPTTKWVYHEPAKPMKLEDNLL KPGINVDLITKFDIASDTGPLTSALSPLNDELVDLSVVPHISRDGGKGGELNGHHLFI FCDTASFTTTNETHKGDMVGFTSSSVAVDEGWNGISGNSLSLVDGIGQWNDDVGRMRG FSPMTHGEESFNIVLSGGGYRYAVWPTSSIISLNKTHAIQYASLIYDEVNMFTQEAKF TALGNTLLTISVDEHYGPTAERTVKQMFSLAEVKWGTIGGIRSWGSEGIGGMDGKVYL FGQAPQGVLVARTDPSKVADKDSFEYWAGSTWTSGQLPPTATSFLIEHPIMDFDLFYS PYHKTFIMVYLTPHGDNTFYYRSLQAPHPIIPAYTTPNADIPDYDYVSEILLHPWSEE QTLYKAAIPPSGNFIYAGGVHAGYFGDEDITKGGKKMLISWTEQTGEDANTPKSGYAH MTAGVEME EPUS_08072 MADKVREVAAEEAERLKALTTEAVKSQAYLYPFKGIYHFAAHRS LWRPLTSRLASTLTLSVGVTTFMFVFAYLPQAAIMAFTSGPLAAITAALLTLSESSTL INLISRTFLIQDALIDTFDGTLVSRECTDLVSDSRQVKAGSDPMARLGKLVTKPLAKL TPSAIIRYLLYLPLNFIPVVGTVIFVLLQGKRNGPAAHARYFQLKGWSKTQREEFVEK NQAAYTTFGVAAFVLEMVPFANLAFAFTNAVGAALWAADLEKSRNTAPALREQAKKAQ EPUS_08073 MRPPRLLLLLLCFIACPIFLTFLSLLGANPRDADSLAAGYGARS SRLRALFSFHVPASLFPPSAIISLTDDNSTFFLARPAAFGPALPNDGLSSQLWVGSGF GDENLRKIGSALGAEGELGCSDVPGWGEGDKKHNDEEPSNKNKRPKIATAIKTDKDTI PSKLGGGKIKRTGDDDTGEDLISNSPEEDSPSENDGTDDHLHHPLPESNPAEAAQPGQ PADHESTYDKSKTPTHADIQSLQESAEIAGKVVLLSRGGCGFLEKVKWVQRRGGVALI VGDDARGGPLIAMYARGDTSNVTIPALFTSHTTAHLLSSLIPPETLDDQGTDGSKDAK SKDPSQRVKQENGPTFTTKSQTKPTGRPAGIYKDAKSGSSVVVANNVNKSWLQTILSF FGLQGGAHYPWHAAEDSRRPPSSGNMDWVLLEDWDEKASSAKSSSTPPRKPNTNGKNE KGSSDSKNAKSPSKSGDDFVIGIQDWRDPDVLIPTEKPDSHGTKPDGSVSGKAELKPA LDSQSTGELGKAETLKGGSITPGSGEYYHTSTGQNGKSEIDSMKHTTSEESPNGSRND ESRRGWFSPWIGDKSSGRATPEAQKGHARTPKVTGEKSSTSSPPAQKGSSIKKGATVE HEGLWVTLTPATMSTSPLFDTLLVLVVSPLVTLTVVYALLLLRSRIRRRRWRAPKSVV DRLPVRIYHTMSSSSSTTSSQIATPTTSSPASPLLRSTSRTIPSRSRPRSQTTSGVIP SLESTSDRSSAASSPAKEKPSDAGVWRKRYTGRQVECVVCLEEYIDGQSRVMSLPCGH EFHADCITPWLVRRRRTCPICKGDVVRSMAHGNLSDRRQAGENRSDDVQARAAETVND SPSAAIPIPRLSDEDDEDIERGTDASVPLQVGTNGARLGWRNLTSLSISALSGDTAVW RPSPADRNR EPUS_08074 MENLQAKGGFLPGLFPSRKKTESASVNSKDMAGPKPLPFFKRLP DNVRNHFIAMVGEFIGTFLFLFIAFAGTQVANTPQTTTGSQSTDLPQGPDPSQLMYIS LCFGFSLAVNAWVFYRISGGLFNPAVTLALCLIGAVPFARGGFVFIAQMLGAMASAGV IAALFPGPLAVTTSLSGGTNLAQGVFIEMFLTAQLVFTIIMLAAEKHKSTFIAPIGIG LSLFIAELAGVYYTGGSLNPARSFGPCVANRSFPSEHWVYWVGPILGALLAAGFFWFI KSCEYETANPGQDFDDLEANVYKPEEELRRPSISHGDPTLRVLSHVSEDRASAASPIL GDRAESPNSTRVQTTQN EPUS_08075 MATRNQPSDAQPPTTLNLSLAKSITSIWPSSRIRATMERPGSTL LVYAVVTANRVSRSEQTDSFTDSHVVHSKIYPRYKSRKPIEHARDDFSNWLTPHESPD TDVVLLGHSLGGILAAEVALLQPYSPKGPDARLHRILGIIAFDTPFLGMHPGVIGTGI ADRIPQNDTTASSISGTGSLLSPAGSLASSILSQIPDDPNYNPAFPNDVRTVQRNGLE KALYFINKHSDGLTKATKEYVTSHFEFGGCMADYPGLKRRYNAVRSLEDVDELAQRRD SQGRLLRRVRFVNYYSASTGRLKPPKVPVEPPATELKDMTLHVDGQLVGMKSGTATPS TPRLSVEEHRVDGGIIQKTLEDMDPKPMSDNEEGDQPPQTTLASESRGSDSESPKLHQ LIGQEQAESPSRHPVAEHPGEDDGLPSIPPLPQRPPAFNSSKYTDPDHLKIAQKDHAR LVKAYERAKKDREKSINDREKLVKKRDKAAKKEADKLAKAVAANQAVEERQNLKRSAT LNPEVYEKHLARDAEERRTGASTEGDLHKVRKQRDRKFCTLPPKDRRTGVRDPTWIRV YMEGVDEVVAHTTLFNPEGQTYERLVGDTAERIEGWLKEAQSVQTALGC EPUS_08076 MAPQTEISIPNTTVSDTSKPYTIYNISIRLPLRSFTVQKRYSDF TSLHDSLTSQVGSAPPATLPAKSWFSRTVSNPDFTEERRRGLEHYLRTINGSDDARWR NTSAWRSFLNLPGSLSGQMGTKAGDLHSVLSGPGGAGAPITDAAVWLDCHRDVKAQLH DARLHLTSRDQASSPQKQHEASAAAKSCLVKVAGMIHALEEGLKNIQQDSGGWESQKL GDGEVRRRKDLIATAKKEKDGLENLLNAMATKSKLDNAVATLHDTQKLIGTRTKTSGR VLGKETAETRELDNTGVLQLQKQKMAAQDLNVEELRKIVQRQKELGVAINNELEVQNE MLRMVDEDTDRVQAKIEIAKKRIGRIS EPUS_08077 MADKEATVYIVDVGRSMGRKHQGRKETDFDWAMRYVWDKITTMV ATGRKTLLIGVLGLKTDGTKNELAEDESYAHITLFQPISQILMPDLRRLREVIKPSKT DRGDAVSAIVLAIHMIIKQCKQLKYRRRIVLVTDGKGYIDSDPDSLNDIIKKINGDNM ELVVLGIDFDDPEYGFKEEEKPPQKTKNEAFLRDLTEKCHGVFGTMAQAVEELDIPRL KTIKPVHSYRGTLTLGDPKEYDTALSIDVERFPRTMIAKPPSASAFVLRSDSGEPTQS TGTVVADGEEDEAIQRNGNTLTNVRSAYSYTVADADAPGGKKDVARDDLAKGYEYGRT VVPISESDENITKLESEAAMEIVGFVPKDKYERYMAMGTSNIVIAQKTNDKAVIALSS LIHALFELDAYAVARVVKKDGSDPLLILLSPSVEKDYECLIENQLPFSEDVRSYRFPP IDKIVTVSGKVVTQHRNLPTKDLSQAMSDFVDKMDISHFDRNDEGEAGEYMALEDVFS PVLHRIEQAKRWRAVRPMEPVPPVPEVLLKYSEQPEELQREAKPALQRLIKAADVKKV PPKVKGRKRNREADKPLSGLNVDDLFRQQPKRAKISSENSIPEFKQTLARAEDIEDIK DAVKQMAAIIENQIKDSFGNSNYDRVLEELGVMRSELTELEEPVLYNDILRGLKMKIM AEELGGERREMWWSIRRNRLSLIDKKMSAVSDVTEEEVKEFNAAK EPUS_08078 MPDLSLLKSPAPYHLISYGTLLGSQVFQSFIGGIVAYRALPRPQ FSSLQQAIFPVYFSMQAALPVILALTYPGERTAIGSRPSSLSGVLEQQNRLHVLTPLL TMLVTSLANLMAVGPATTRIMKERKHQETRDGKRSYDAGPHSKEMQILNQRFGRMHGV SSLLNMAGGLATVWYGMVLAERLQ EPUS_08079 MFSPAAPFHFLLGTLLRTLEEIEDILTGRYFFDDGMSYWEKLMA SISKMPGMIGNGAKARSTTSTIYYYTPTSLSMSSTTLSPTRVRPVITAIPSTDHQAPV NYLHMEKVLVQPLPPSGTFLAYNLFLATSTKPQQVPPVHETSQISAKQENLEHLLGQS RREVKKIRHSLTQMNRRQNLQARDWASLYNRQEKAHGEQFQWVQGALVRQIEEVNKRC TKLAKEVDDHITLSEDNIQTMLELEYPKLNRFATVTTKMRADNRKKYWQESDIIYGYS PVAQQKPDEIKKPAAIPAIVVHPPAVEPTPVVQEPTPVVVVEENRLLTNVVEEPIPPV VVEEPIPVVVEEPIPVVVEEPIPVVVEEPIPVVVEEPIPVVVEEPIPVVVEEPIPVVV QEATPLVVEEPIPVVIEEATPIVEEATPIIDEAPVTEPPIIEENPITEPPVVDEAPVF EQLVIEEDPITEPPVVDEAPVAEPFIIEETAATEPPVVGDAPVATAPTVEEAAIREPP LLYNAPVAEPSVVQEVPVLDEIPAEGARDGSIVQSSVEAEDPMDARAPSPAPSHHSAD SLDSLFEGPIHDEEMQESPGDVAAEGVQAPSTDLAMEAPNLHGTPGLIPVPAEDSHMQ DAEVPLLPVFAPPPPPIFTPPPPPVFTPPPPPPPVFAPPPPPPVFTPPPPSPFFTPRP PQPPTPTVQPANGGLIRKKAVPKGRFSRALPPSSLFNFAVPSPPAAIPSLPPAPVSPL PPASGAYSGTSITQTPLVPPPVQLIPGLGMLPPPPPPPQATPPTLPPPVVAPSSQPSS SENGPAGSSSQQSNQYVSTHSALANLARMVKDAKADKKQTQESLNGGRLPMTRPGCNL AGCWDASIHLHSEEELDECECDGMWQCEGCEAAFDTTHDCVVKGVNGWYWECFTEGCG IRWKHTHQEDEQDTEPAPDPCDPNTFANHGGDDENDGNGQGDVKGKGKQTAVDPAAQQ GTQKGKYQLDEIDRALLDERSDDESELSEAQDVANPFDDAKDNYHHSDGEDGDNENDY DDDDNDEDDSDDDDSSVSSGEYERRERLEAERKRRERYEWELHVGGNLKLKPDPEVGD EVDEELSEAQDVANPFDDAKDNYHHSDGEDGDNENDYDDDDNDEDDSDDDDSSVSSGE YERRERLEAERKRRERYEWELHVGGNLKLKPDPEVGDEVDEEEEEEEEEEGEDKEMQQ AEEDDDEDEVYVTADEIDDSEKSAIEQLCGPVAAQFNEEAREAREAREAKGFF EPUS_08080 MPQTSIQTRSTANQSWSDYLVSTYSSSISPTHDPDRYAPSATPI TSPTSPKSMSMDASSPSSKISPWGPYTKSGRGGAGNFTWQASSSSSSSQSPPSSATSA NNPDLESGIPTHHQRILQPWQLEALPIC EPUS_08081 MVELTQTPLFPESSNKACSIPQTAKHVQYTKKEERDASELQITW NSVMDKGNYRRKSLYTHVAVLVLYWEDGDLDVHDEVKELVDIFQKLFNYSVDHGPLKG PYANTHINFLVAEFVHKHNGRGKLMIVYYAGHGRPGESHGSLELFGSAKHYFSHGDER LLIHSRKTTTRPSNDEVNKLIWNNTENFLKDACADVLQIFDCCHAGDLMFKRGSSRAF EYLAATRAGELTNEKKRFTTVDLGREIKDHQHFPEEQEPVLTDRDDNSDVGRIELYAL SEQDATGSTGAEDSQFSAYDGRVLTLHFDFGSQPLKQNIQKLGERLNRGRLDQLGIER IRWGGMKETGFRRSVEAFRNLRPRDLTTAELHDIALTPISRASPFSREETTRCGEPAL GSRSTESPGTDSPRLTAKRKPKDSTGREKKRRKG EPUS_08082 MASIAFPTLAKKATLADGTTYGYVTVAPASASKPTFLLLHGYPS SSYDWRHQIEALPKSGYGVLAPDLLGYGDTDKPGDVGCYRMKTMAGHMIELLDIEKVQ SCIAVGHDWGVGLLSRLPTYHTDRFLGIVPISVSYLEPGFVLDADAFNDMTENAFGYA AYGYWKWHNTEEAVKDCDENPASVFSLFYPTDPELFKTDLGPVGKAAAFVTSGQTTAS PSWYSTDEQAVRSKIFDKGGYRGPLNWYKAATRGVNSADEAEVPPEKKLCLLPTLLII SELDYVARADMQIPRTKEWCPNLRVKTLDCGHWIQLERPAVVNALLEEFAAEVCK EPUS_08083 MSLPLNQEQSARAQHLVQSLSRMVQQQLAQANQRPAGSATSPLQ KGFVDLLSLMPTCLAWLIGQDPLQRYTSPIMTLLESTIQIAGASEPPALSESQQDKRS QLVLTSTAWIKSTIGLPSQNLPPEIELSHLEQLIVILVGHIGIWEDMRQSGEGKAEDY VVKVAEHVLNIAQYIEPSKTVQEMISETFMIYWQNLERRMQRTKEPKDLDVTVQALRR SGQFVQSPKATVMCKVTLANLLWTMWRSAGQNETIFEEAIERSREVVGRIFWSQARTG EILERYATMHNHLLEASSIYDTWFTNQEVFSDLDAAVKAATLAYKGLLPDAPRNVQET TMRQFGDVSRTRYDWAGSFMDLWQALAAVRVSLARFPCGLDSVDFNWTRCAMRLVDVS SYYDQKDYLDEARDLLNRSLKYYEGVVENPTRWVPCQEAICLRFMSILYRTIWRRRCM NGDTQDCLDLSIRCAEKAVTVLYDKDPLLSSGVDNHTLAYYTRYLSPYRKPSDLDTAI TSATRAVNLGAHNYSNIHRAPRAAERMTVVSIGIAAEILAARYLRDGHRSDRDAAVHA IEMLLKLLPPMHPKRVHALQTYRDLLQLKLMCSLKGEGRERMCNLLRRWFFKVSGSSS SSYKYLGESDLNILRGIVSLDRGRSEHPPADAIRRPQMPALVLGSEPLYHDLSPIAYA RGSYSSDLRLAIDKPGLCVQGSARPFLDSGISEIETLVRETKLWDVQEETVTELKSIL SADLNTAEIVTRMLSLGERMFQNQQWKGLQAIWRHCFGTLDALDLHTMRSKEYQAAFR RLSMLAVMVASSMLAQNQDIWSAILVLERGREVLNSLSSLPTRSQRIKYAPHLERQVQ ELVADLKNPGRERNHEYRRSEFRHLEAFERNSREMLKAVQDLITCERHIAPFGKEHII QQSQAGPIVMLVATTIGAHAVIVTPFKTRAIALNQCSYEDAVNKSRATRLALSQSVQD QSRIGDANRELRQCLKWLWDTVAHPIVKALGLVPNESIDKLPRIQWVCCGIFSHLPVH AAGIFTRPGKPHLAQYAVSSYLSSVRYTIMAKRKDPYLRPTQSQILVVAMPNTEADAG ARYGDLDTQAESLAIQNNLPRSFSTTYLTNPDMGSVESLIGGCRIAHFSCHGVVDPAD PLRSRLVIRFDAQRPLTVAAIRDLSSSFSRLAFLSACNSASFEDLSTADEVVHVTKAF QLAGFPSVVGTLWQAFDGDAALVSGEFYAYIARRLDSGDVKELDGDLFARALHSALGR LREKNPYSCASWASWIHYGD EPUS_08084 MGKTKGIRMRHPLAYDQVKLCDLTLDIENPWNDCRAFPEKLDEK DIFPVDELNLEAIQSQVREANAQAQLAAFLGAQANFASKTTQRESVGRARCYRIRNSG DKFKQACKDPQVREWLTEYHIKSGLPVYMIVGLYTYEKAQVGEAATMTAGLSGKGGSG AIRGEGQIKSEGHHATLFTTASESIFAMEYRKLKKQWLSKNIDKAVLEQGNCWEVFWG MRSKLDKESLDDQRLVEVELTDEDAEDVDDPETLFDADSYDD EPUS_04485 MNELSLCSRVVCLSRHYIPYFSLQPLSRPKNSLASTFSRRTSRG VQTSQHSIPAAYWRGGTSRAVIFHRRDLPVDESEWPFIFLRVLGSPDKYHRQIDGLGA GISSLSKVCIVSPSTHLSADIDYTFAAVGITSDDVDFSGNCGNIMSVIGPYAYNHRLV PPASKSSVTVRIRNTNTSKIIHSTFPVTDDRIEAATGGDYSIAGVPGTGAEISLSFQD PAGSKTGALLPSGNAIDKLDGIDATCVDAANPCIFAEAADLGVGGTELLDEISGNLPL LQRLEKIRHLGGRAMGMCHDTENAPRCVPKIAVVSKPATYKVMNGKDFEQDHVDIVAR VMSDRQPHRTIPLTVAVCMAVAATVRGSIVEALVGPRKVKEGVLTIGHPSGTIEVGVE KDEAGNVLNANVKRTARSLMEGVVYY EPUS_04486 MPITELALLRISAGLSYTDPCLLANLATARAAMEKFTSFPFYLY QCIEDPALIYLIGSWSSLAQHMESWIPSSENQALLQLMKDQVEVEWMFHVALDPTTLP INAPLLAIARHFVARDNKIKFEEVFDGVKHYLELCAGSQELVKGGWKIEKDDQRVREE EWVLFSGWESVEKHMDFAETEDFKEYVRIIDWMEGSEIRYATRMTLV EPUS_04487 MALPPAVHRIVTGHNSEGKAIIESDTKLTPLNPYTNAPLTSDSS DPFAFAVVHRTSGFPASNTEPPIEYHGKKMSLEDKVGTTCRIVDFPPIKLGEGGKLPE GFMHRTQSLDFGVVLKGRIMLELDDGVETEVEEGAVVVQRGTIHAWKNVSNEICRMLF VLVPAEKVKNESTGEYLEITPTPQLLDDETK EPUS_04488 MSRRALVTGGTGLLGRAVVKAFRSAGWEVTGTGFSRASPPETLK LNVLDEAEVAKVLDEVRPQAVVHCAAERSPDICSNDPEAARALNVRAARALAHLTRER SIFIIYISTDYVFPGKPGDAPYETDTLPAPTNEYGQTKLDGEKAVLEATKNTGLGVSL RVPVLYGSVWEGKNNESAINVLMDMLWKAQEANASINIDDWAQRYPTNTEDVGRVCKD VAELYLKTLPAERSSLPTILQFSSEDRLTKYQICEIFAEIMGLPMGGLKANKEGSDPK AAVQRPYDTHLSTKSLKDLGIDASTMDFKAWWRREVKAFRR EPUS_04489 MSAPNSRQVASLDANGVYGNQKPALRVILGTASIGSANVAMAKI NTVEDTAKFLDTFRSRGYVDIDTARAYPVGRGGTCEKLLGSKPLKIGTWANVSTKVSS FMLGSHRPKNIAASIEKSLGALNMDSVDTMYLHAPDRATPFKDTLAAMHSAYHAGKFE KWGLSNFSVSEVEQVIQICQVENLVMPAVYQGQYNAICRGAEEELLPILRKHDIEFHA YSPSACGFFSGKVTRATSGVSGSRWDAKSPLGAKYSHDYFHDLMFSAGEMVRQCAQKH GIKGHDVGLRWIVWHSQLDAERGDGVIVGASNVKQLAENLDILEQGPLPQELVKVIGM AWKMVKDIEHGPKYNMGP EPUS_04490 MPPSNHDACPSSLSSTHSAPDKTKSSSPALDALQAELDALLDYH LYLSEPEVHKATHDLLRGYSHIVPTLCFVEQQNKITSEIATVSEERTRLQNKSVEMVA KAKACKEKVALLREKLVACEKRDDVGTEEHVAVDGKLDVVPGTNVIAGQEKWLRLL EPUS_04491 MKIGSAINPEAFVYLPVEILQQIFFFLKDGSDSQRNFWACCLVS RQWYSAAVPLLYESPCLQGRNFEHFIYTVCSPTSIRRGRAAPAREFALYIKHLDMGSL AYESSNSLTARLLGRVKGGLETFIAPAKTFSLNGFIPLSKCQQLRQINLYSMFDSLRV DQVLRPFRKLKQLRAFAFPRSTDFRSVHDITEIPWPPNLQRITLNGFFPSRSLFSKSF LRDWPSSLRHVILDRACGLRRLYSLSDVYPNMPYKILAVDVTTGSCLRPPINMALACS GVRLLSLPADLAITNYSSCRTRPVLERLDIRRTAKAEPHQLMPSDLLEHAEGIPTLLQ ICLHSCHVDDEDMDFKAADTLLKSRAQVRKRENGTMAIEPHEAGVIVFWDD EPUS_04492 MSSSNPRHGAEYERGDPEDTYDAFDYEDETGNDDVERCISEGIL RQEDQPQAQRPEKNSYMQAISSVVKRGKKMGRAERVERVEPASKDGVLGASRRLISHD HRTALSPELAAAFDSEVSSRAKWIKERANKNAGALEELLRRVPDSERDLQRLVTQTLL NERQNEKEAGKIGDFMEEVKKKDQVGLLGRAWNILKGKH EPUS_04493 MTQKPTSIYPDLQQELTPTSSGSTICSYSYPKGKEDPIAVLIHG YPQSAYMYVPLNASVAMTLMGIELIPELMPHASLFVPELPGYGVSSLPSTGQHSKRAV GGAILEALTSVFNVQSSTDGARNIILIGHDRGARIAHRLAVDSDNAGAPHLKINSVVL MDIVPTKVQWEAFANPQACAAYFHWPFLANVGQATKMIQAYGGDQWCRDLIQRAQGSS PEVQASSAADGAHDVYAANFKKRDAIVGSCEDYQCGSVQEVSEQTEDNERGRRINVPT LVVFSEEKLGTMHNVAGSWKDWIAEGVHYQARGIGGKKGHYLPEEAPEQIAHLVLQWM QTGSVE EPUS_04494 MATPSSPQFDWRGISVLGPYGLLIRYIPHQPANQIPFDTGDINN GINNVGMNYTLFVNAGRTGSPGLEVTVTIAAANNQPQVSVTIILEPGVHAGREGSEEY YNLHLGDTQFLGLSGGGGHMYDHDPAAVHLGVPSAQRKETLRIKLVSHGHVCHDPEGL AAIRARLPPGSNDARLLDAMTSARGMAATGFHAWFYCRVPTAQLQIFTNSVLFWFRWM CGQRYQPLFQYPMDSLGLSMDPLPGFRNSMYCDMVTHQGTQVLGDPVLQISDTIGSRD RRIRAVANSVALVRENQGHEAFCTDVGKSMQGMKLLRSTTPFLRKASRLLTANNSLPA RDIPTDPYGYIGYIYIGTTSRSFPITTPRPGSLFHITWYNNLGGNTQGTPEFAYGMVL TPDSARSSTGANFALSLRIPNPAVRQSAQANFHLAVVFPVKLRFIENRQVPLVLFSRM VRFSGFSTNPTMQALQANVLRPVAPSPFRDDLHRGPNPPLNDPAEMTRLDALFQGFAQ AVRNSPLMVNIRQIEGNLLFNVPGQITGKTQLIRATISASSFRAVYGLILVLVGMGHR TILVVDEPEDRSRVCWDLFDLFQRTSTTDDHYGRTWRAKRLMSYSTTDVDYKSPATEH NAAAKSDLSNSGNPLRPALDLYFKMLLDDLPSHAYMHDQGALHNAGAAAAPQYHTPQA MSWADHAQAYFNLYPGDRAQHFADRARVLNGQVAAAGEATAMLERLSLIRRNIISRAD VLVCDSDTAMGFDVRASFLEPLIFLGNTHRMEFARGASVLLQHPKYVAFVLGNLDDQR YGRVRFASEGRNEAMLTMRRSLWETYVWAGQQVINLT EPUS_04495 MFHNNVDTAPLNKRGWAFQERLLAPRTIHFTHGEVYWQCSSLVA TQCFPRGFNEDIENENIRAWKKTLTEAADPYIKDQTWEDIISLYSSCSLTYAYDKLIA LSGLAKQWGNIRGFQSSSYLAGHWRAHLPNTLCWTAWPGGPSLRPTLYRAPTWSWASI DGSIAPNVFCHPSPKVVTHAHVIAAQTTPAHDSLDELGLLKGGKIQLRGCMCLLETVI DCQRLQNAFNTQNWIFLFQNEKDDENDENDENDENDENDENDENDDDNDDTDDDGGPF MELYWDERCKDLACQVAEQELCVFRVLVTKRSSLWLVLRTTRDLEGQYCRVGLLEMSG TRLCDQVTLASKSRSLEERYYLEIDEGGEYTIEIV EPUS_04496 MAAPNPVSQREDAILTSYKVYKQSFDNCSDSAFYGHSLSILDEF FAREAGREEPHVRCTSLNFSVRKDDHRPAFRETESTGPAGVEDFLLRLSSDPRVAVES EGPHEASFLIVENLCPETVVKLGLALRIPPQFWSEYVENRSWYWKRRVVPQWLTLPSV QATQNFTKTEWVKPRPFQWQLEDGDSDEDAALAEPEAIQWVKSDNRTSRVDRIAGILR PRTGEGELIASLAFAREKLMVWMSRDNDDDGRLVGLILVDPIFQATGVQFMAPNAPIT QATHARPKAHQKWLISDKRFRHGHYWGAETLPPPSSTRAALASTLARELSASYERRAQ ILCDPFAALHGLYQAIASEWLLVDECAGRELETIAFMLEQGNKKLYTLQTFLQDLLAM KRRWGRYTELAQDALRQCEQHGQPLWQSTSKREIAAGGNATSPPSLSVQDFQHVLSRL ARTRARIENNIDVLLALVSIGEAEQTLADGQGITRLTRVAAVFLPFSAVAAIMAIPSD YLGPGGAGFWIYWLVSTVVRGRQCACC EPUS_04497 MANLPTSPNFDSNNIRIMVPYSALLFFARLGYMIRLLGGELDTL GVVIVIVTLPLTAAALAIAVTLCYKLSIHTRTRREEDWYHLTWFQAGWPGDGGGGGHM YNQNLNLIGNSPLDVKERTLRVQITTHEFVPNNPAALQELR EPUS_04498 MSKLDKSTRLARILLGPDSLTHDVHHQPPLLPTATLSANPLARP RSRSDRRSNPANPAVITPLPIGILLTDLTTKCVVHLRASQHSPHHHQQQQQQQHNNNN NNHPSKANPANQTPPKTAQASTISTSPTTCPNIEIRHPSFNGAKCIRCTDAARAAAAA KGVTQEEKAQVEMVRKLEEEIARLEGVVTNMKRKLRSLTLAKETDGDDGEGETGEGLK DVLEGNLGSGWGGVL EPUS_04499 MPQRGMQTAPDQKKPLAQLSQDQREFLDRALRVNQAGELAATLI YTAQTPPLLQSHPNLRSLMKHMYDQEAGHFATFNKLLAKHRVRPTAMYPVWKAAASIL GWSTGVMGREASMACTEAVETEIGNHYNGQVRELFRWMQEIKSRGEEVDPELEELINT LKRIRDEELEHLDHAVENDAKQAQPYAPLTNVIRYGCRGAIWISERV EPUS_04500 MADLDAELLALAGGDESSADERSPSPKPKSPSPQPHHLQRRSSS PADMGRKGTAKVIKKPRKRRADSDDDGEVSSASSRHSLHSASMSESHSEGGTPAIADD KAMFPYEKLYHSARDKSEIDALPEIKREEILADRASQLERHQQDLALRRLLASREKEE AKANEKKKRKAGTADLEESQRKSSRQRTTLGGRKAGERNDAIEAYKKQRAEKGLRDEQ RRRDAAARKDRHGKGSPENGYSDADAEGESEVEWNDKKYKDRTPSPVKDDPIAELADI QRAKVGRDNFAQVCYNPGFEEAITDCYARICIGPSERNPGTNEYRLARIKGFTTGRPY AITAPNGRLIPVETYVIAAHGKAEKPWTFLECSMSRFTEDEWRRYRITMANEDLKLPN KSFINKKLDQINKLINHRFTDAEITEKIGRQQALVAKINREEERQPIEERRQTALAAG DDEAAQRYEEELRDIVPMKLALGTTLVKQEATYVNKEQERLAELNRRNQRINSENVRK AQLAEMRTKKKNLNPNPKVKGIEELFEGGSDLSRSGTPVNGVSTPPLKRAGTPLNDPV TNGTASPANGGTPKGHINTFKPVSKDKKGLPVIKKALLEEEMMKQTVMDLGIDIEI EPUS_04501 MPGPIRTVLNVDLKKAAAEQPQLHNRWHPDIPFCGTVKNGEIVK IECVDWTGGQIGNNDSADDMKNVDLTKIHYLSGPLEIETAEPGDVLVVEIQDVQPFQD QPWGFTGVFDKKNGGGFLDEIYPNAAKAIWDFEGIFCSSRHIPHVRFAGLIHPGILGC APSAEVLAEWNRREGELISANTMDRVVAEPPQPINAHAGSAEDSIKEKVGKEGARTIP GRPEHGGNCDIKNLSRGSKVYLPVHVKGAKFSVGDLHFSQGDGEISFCGAIEMAGIIT LKFSVMKNGMADLGMKSPIFQPGAVEPQFGPGRYLTFEGFSVDEHGKQHYMDATVAYR QTTLRVIEYLRRFGYDDYQVYLLLSCAPVQGHIAGIVDIPNACTTLGLPMDIFDFDIS PTGPAEKMDMGTCAFTSD EPUS_04502 MFQAILQRVASASVSVDKKLISSIERGILVFAAIGPDDTKKDAE SMASKVLKMKMWPDETGSKQWKRSVQDIDGEILCVSQFTLLANTRKGHKPDFHGAAKP EEARLLYDHFVSKIQNLYLAEKVKNGVFQAMMEVGLVNDGPVGVNYRSEHGAVTLEID TNPPKKEKQSDDANPQNGNAIPDSTAGCLPQELLG EPUS_04503 MTSRKVQQEIDKTFKKVEEGIQAFDGINEKIMTSTNAAQKDKQE DNLKREIKKLQRFRDQIKTWAAGNDVKDKAPLLEQRKRIEKCMEQFKAVEKEMKTKAY SKEGLSQNAKQDPEEKRREELCDFLVSQLDEIEQFIEALDAEQDTLQAAVKKKKNDTS KSGRLSEIDVVLERFKWHEGKIQLLLRSLQNGNVDNHQVEELKDGIEYALKEGREADF EGEDPDLYEGLNLEGEEAVFGMGVDNDKISSQDALSIQDEADSEPPGLKKVKSEPPTA RRPSTQMKSPLPVLASLNTMPPPPSIPKDTSMKPAPAPTRPPGEALKYASAAAAAAAS DKNGVGIAPLPPPPIAASPTPALVPLPAPSKPSITASPPPVPSAPVQPVTKASTEEAA STNGASSHDQSKSPTLSQASARALSIPSSVPPTPALEKAENVPTPVIADEALTTNGEV FEEPQDTEESIYHLPASLQDLIQSFETTKSRIPLQPNPSQQRLLAASRESCPDAPDAE RPQHYKPQFRFNTPAHYPQEPLPIFDDPALYETGRIDTDTLFYIFYYRQGTYQQYLAA KSLKNQSWRFHKQYQTWFQRHEEPKTITEEFEQGTYRFFDYESTWMNRRKADFKFLYK YLEDDL EPUS_04504 MDNNIYRWNHDIPNKYFTDPRYNDGSAFWLSRQDSGESIGSSHS SDSHYSSYSGYPYNGAPAYYEQMQQAAIHGYPNNSHISYIGSSSSSAPQRPTASTRHD PTSFSSSSSKRERFYLFFLTVPGRGASVEAIEDFRGSII EPUS_04505 MSSPLDSTIKTPHSQATSIADPNEDDLPPKVAAERRIRYLDDDD LEAQEGPHRTTALSNDVLRRRSSSFSIHSVQSIQRGDRVRDPATALPVAYLIGNQEWH LVSAEELYERQGVDPGTGLSALAAKERLSKYGRNVHSPPRSHFFQRIFWYLFGGFGSI LLGGGILVFIAWRPLGDPPAVANLALAIVLIAVWVIQALFNGWQDFSSSRVMKSITGM LPEECYVLRDSLKQSITAADIVCGDVLYFKAGNKMPADVRFIEVTSDAKFDRGILTGE SLPVSAITESTEPNYLETKCIGLQGTHCTSGSGMGIVVSTGDSTVFGHIAKLTSAEST QRTNLQKEIIRFIIVIVTLMLIMCLIVIGVWAGYIRKEHEGYMPPSLLIVNLVSVAIA FVPEGLPVAVTASLTIVADIMKRHQILCKSLKTVETLGSVSVLLSDKTGTLTKNQMVV TDCLVGSRTLILAEAEKEGLSGSSTESAQQTALKQMQVVGAVCNAGEFDAKTYHLPLP DRKIIGDATDQAVLRFSEMLGPVTQAQSLWRKRFDLPFNSKSKFALRVLSAADPQAVS TTISRGEANGFNADTDMLMMVKGAPDVLIPRCDQYVGDDAEVHDLDDSIRTTVNNIKD QWSREGKRVLLLGRKLLPSSKISAAPEDGNFESDVQGHAKSGLTLIGLVAMTDPPRDE IPSVIHTLRTAGVRTMMVTGDFKLTAQTIARSCGIITCADNEVHSVADLPRYPPTADG STPKERSLLPQIGQAVVLSGTELPALTMHQWSLIVQYPAVVFARTTPDQKLLIVQRFR AAGYVVGMTGDGVNDAPSLKEADIGIAPGSGSDIALEASDMVLLASFSSIIPAVAYGR SVFINLKKTVAYLLPAGSYSEFWPVMTSVIFGIPQILSSFLMIVICCFTDCAAAVALA YEKPEADVLTRPPRDIKKDHLVDWKLLFHSYLYTGTMETLISFSLAFWYLEKKGLTFR SLWFSFGDYETPPGHEDDEDYVTNQLAIGSGIYFITLVVLQWFNLMCVRTRRLSIFQH PPIGNKNTQNYLLFPAIILAIVIAVIFVYINEIHEVAGSGPVPVEHWFIPMALGFGIL CLEEGRKAAIKRWPNGVLAKMAW EPUS_04506 MRSLPKVLAPYFALAFLVPAATCLPREDPKSCNGCSNPTATIDS GVVTGKVTHIPGSGSSVNQFLGIPFAQPPTGDLRFAPPEKPHVWDGTYNAVAQPPACI QDFGNRTTGSDFQQALFNTPPPPGESEDCLYLNVYAPSSGGKDKPVLFWIYGGGYRFG ASSLPLYDGTSFAANQDIIVVTANYRTNLFGFPRSPQLPLDAQNLGQLDQRLALDWVQ RNIRSFGGGPGKVTIAGESAGAVSVSALINTMPVNPPFRGAILQAGQSLIQFPPPPPN DDQTSWEALIQLLNCTDTSDAGILACARAVSAETLKEILQTTGLSFQSPVADNVTTLE FPAAAYAAGSVSRVPILAGSNFDEGSSFAYGAGENVTEFLASVSLPTAIVEQIAELYA PDSPATVGRTTGNQIIAQIITDSSARCPAGLFANLTLTALDVPAWQYLFNATFPNTQH PEYPGLGVYHSSEISLVFGTYPAEDSTPTQARLSRWMQKQWADFVKDPQNGPGWGPYP TVGVLGASEDEAVTTRNVRDLDPVCAEWDNLYALGLESGS EPUS_04507 MKLIHILSLALAAATVSAVPVTQAGHDPVKRALTAVTVERNIGN VVPRDVVTAALEKRQVHESGTIATREITVPALIDMVRKAKAGGPGQQAALLAAIESLD LQTLIDLALAAADGVVGATEALLVVLKILEAVKPTIIADLIAAVAATVAGALEAVLAL LAALNLTAVIGLVTGVVNGVAGTQEALLGAITGALNVLLPGLLGGLLGGSGGILPV EPUS_04508 MAPSQQAQDLNQSPQSPRIPAKLPKLRNPHLPELYAKQAQFQAE LELIGDEASRLELAKAWYAARMAAERAEQNEREEKEEEQKVAREEDGGNGSNDMKRAS LGLRGSVEGDAGQRIEVDMLHIRCTQ EPUS_04509 MHFQLPSYIAFIATVITFTPYASAIRLIESRSLNPCQDNSNFTA TLFNVVFTPDNRTLAFDVVGLSSIEGYVTAELQVIAYGFTAVSQTLDPCTMDLGGLCP MSTGQINIESNIIIGEDVINQIPGITYGIPDLDGLVRIYINDTDSATSIACVEAQLSN GKTVNQKAVGWTTAVIAGLGLVASGVTSGMGHSNTAAHVAANALSLFGFFQAQAIIGM TGVKLPPIVQSWTQNFQWSMGVIRVGFLQDICTWYQRSTGGTPTTLLSTLATTSVQVH KRSLDAMTNMYSMARDQILHKRTNGDSSVAENTKLIIVRGIERVGFQAGIEQTNIFLT GTIFFVVFCFFIGILIALFKAFCELAVKNGWMKVDKFQDFRNGWKLVLKGILFRLVLI GFPQMCVLCLWELTQRDSAAEVILAIVIFISMTATLCWAALKVIRLAKRSVDMHKNPG YMLYSDPKCLNRWGFLYVQYRATAYYFIIPLLAYILLKAIFIAFAQNAPVVQAIALFI IEAMFLIGVSVLRPWMDKKTNVFNIFIAAINFLNVILLLFFTQVFGQPGIVTGVMGVI FFILNAVFALVLLILVLIASVYAIASKNPDTRYQPMRDDRGSFIKSNPQLTTELDALG ATARGDLKLPYGKTRLDDDEESFSSGSLARQQTDASNLPLPPPTANSTYQRGGSERHS PVDNQAMFPVDNTGRRGPPGGSEDRGMYNQGNNSSEYSQGYSRSQNQTPQPSNGGGHP RNGSSYPHPPHQPRQQNESSSWQRGAGYEH EPUS_04510 MTEASTINYEATKKPSKVCFVTIGATASFDKLLRAVLDSSFLEA LCKAEYTELLVQYGKEKGKAICETFISREHDNVKRTCGINIAGFDFNTNGLGEQMRKA KGGPENSSKEGLVISHAGSGTILDALRIGVPLVVVPNTELLHNHQVELAEELAKQEYV VHGNLNDLAVAISEAEKLRERKSQWPPPNSGDESYKRGLAGVMDDEMGWVD EPUS_04511 MSVAARCVTRLALQFNRQKTAKRKPQYRDWRTFSSAPIWREEEK TNASQTPTPNTERQEAHPTIQYLTIDDLEDDARAEFDRASPEEQQEWREGLRALSEVD HTAPFSDELDAMEADIDREVDEIDEATPFRFPDVPKPNKDTGGFWADAEEDELGQVFD EDDDFQADDMTTPAHAQLDLHRDMREYQRRIAWDMPLLGKFAQKFEPPPLTSPLRFRY TTYMGEVHPAAKKVVVEFCPSDLPQLTTPQQRNKLIKLAGVRYNPSRDAVKISCEKFE TQAQNKRYLGDLVNKMVAEATDPTKDSFEDVPFDFRHHKPKIVHAFPEEWKLGSEEKV RELVEGREK EPUS_04512 MEETDPGQRLLAAQRALKAQLAALPIDPKMTEPLRPQHFITRQN GTMVPLVALDELPATVSIRGVPRNLSAYDVAGMKCLGTVESQHRQYIVDGPRQGFQPE QKAVENGLLASKYATGATNNDLESSLGGLGLRSRTASPIVQGPQPKAYGIKETRSSLP STLRNNPVRATEQGARPLTVEDLARNPAPGVKEYCSYWLRHGECDYAQQGCLYRHEMP LDPPTLEKLGLRDIPRWYREKHGLGSYLALSAQRVSSVSTRPSPMERNWRQTSDGAYS ESTKTVTPPERRKEQTASPCPSAHSSVNGWNVFAKNHTAAYNSNIRGSLHSPPPCRQI SPTPKPPTNTRYPLPVRPGSRLVPADTENISARIFREANEQLDASEEQERAALQKYAP LVPQKPGTIAATADDDAAADDSPVIMTPSTSDEDEKITEMIESGSEPEAVITTGSNAN TAKITGKEIADAIVSTASKAVTVPKAVIAPAPEAAAPPVQRKKTGARARARARAKKFA AVDVDREAQRKAVGRAKQQAEELGKGKARIEKLVES EPUS_04513 MRTAGFKGKLQEALVEPSLSSNSLPEGHWPSRGGFSREVKGEKD MRKNRDIREETPMEWLEISQQSGSRRLGVTEARSQSSLREAGERYAPKHLSGQPLSRN AERYVSGHARQELMSANRHVTVPLSVPRSSAASEFLYGTSAVKAALQAGTRKLFKLYI QQEANGTDQREGDNEVLQLARKCGVAVKQLGALADDSRLLSKMAQGRPHNGYILEASR LPNTPARALDTVEKPGDGFTFTPDHQSDEEIAVNGTSCKISASNGRYPFVLLLDSILD PGNLGAIIRSAAFFGVDAIALIDYNLAPFSPVTLKASSGAAEYMHYLRIKNDINFVKR SQSNGWKFFAAVAPKSASASRAGPSVFRLQEAEEALLKGPCVLMFGGEGDGLRPRLQK VSDGIVGIEGAVGAKTGSGLDSLNVSVAAALMMQVFVKGSTTKAKTENLEGEVSREDE LLF EPUS_04514 MTGVDFSMWMGRPPPRDGDSNMHEAEEGEEGEEGRSLQSKTDLI SPQPENVLEDLSTPSTSRSQAHNKSDARTPSSQINPVNGFYIDIPHLSEKGEYEHLPG YFTVQRILREVKPGQYLVKLRSGETDLLSRVELHKFTNSSVALKQFLSSRMASSSRRT TRRPQATGFVNWASLELSESEDVSPRKFGQRARRRVPQESDESVGSEREPQSSANEQT SESERSQPLGRRSLRLTMKKENGLSGNNARGDSSADSLNYQSSKRRKTQPKNDIRQSK RGLRSMSKTREAAAPQVSSGARRSERTRTQPRRSMRERHEDEISAYSEEEIGPKVVAT KEYFERLPLDDEFRNRHRERCETCGIDGDTSEKGPLVFCQGCSSSYHKVCLGNRGTRE HLVTKVGEGHFVLQCRRCLGMAQHKDPSAPHHGLCSLCREAGAASRPFRPRLTTREEQ LRREEHGGVDPITEVDPSLINSSTNVMFRCANCQQAWHPHHLPGREHAQQDEEEAALD EQGLADLRFDYYSRSWTCKECIEHNSQIDALVAWRPADLEKYIPGSTLDMIEESQKEY LIKWKGQSYFQTTWMPGAWVWGVAASAMRVAFMKKPENQMPKMTTEDAIPEDWLRVDI VFDVVYTSVVTNRTKEIDFARAKEVDSAYVKYKGLGYEDAIWEKPPSYEEKERWADFK TAYEDWVMKDYIHTPVPKTLRRHLQLVRTKDFESDLLKETQPTTVTGGQLMDYQVEGM NWLYYQWYKSQNCILADEMGLGKTIQIIAYFALMVQDHKCWPFLVVVPNSTCPNWRRE IKKWAPSLRAVTYFGSSVARKLAQDYELFPKDEEEKERKKLTAHDRSQPKDLRAHIVI ASYESVVEEKARQNLQKVAWAGLIVDEGQRLKNEKNLLYDNLSKMKFPFKVLLTGTPL QNNARELFNLLQFLDPKINAAAMDAKYETLTKENVPELHELIRPFFLRRTKAQVLTFL PPMAQIILPVTMSVVQKKLYKSILAKNPQLMKSIFSRDGNIAQKERVNLNNILMQLRK TLCHPFVYNRKIEERSYELAVSHRNLVEASSKLQLLELMLPKLQERGHRVLIFSQFLD NLDIVEDFLDGLGLQHRRLDGSINSLEKQKRIDDFNAPDSPYFAFLLSTRSGGVGINL ASADTVIIMDPDFNPHQDIQALSRAHRIGQKNKVLVFQIMTRGSAEEKIMQIGKKKMV LDHVLIQQMDQEDDAGMDLESILRHGAAALFDDDNTGDIVYDSASVDKLLDRSQIEST NVGDDKSAESQFSFARVWANDKAALEDNLGESEAATPADTTVWDKILKERERMYAEEA AARAETFGRGKRKRNAVDYQAQETLDTELNTSPFKARNDGSDTDFQANGAESDAGNDS TPAEETDVPSKEGTGPMKAAPKQPKIKARPFRRVKIPTGNPPYVDAEGAANYIPVGGL PPNHLCIACGDLHPMGWCRLKLAGVEHCGLCGIAHLGHGRTCPHLNSEIQVATLLGTL KESTESHELKDKATKYLRMIRGDLVQRKRFQERKDQRQIKPAPTGYQPRMDPTMIDGN TDVAPQDRAAVNGVRDPAEAWRNASAWSQALGLFPPLRPDHQFQQ EPUS_04515 MEEKFKGLMVGEPSTLAEEQSKDSAVDESKNSSKEKSKNLSKEK SKDSTEEQPDDSADNSDSPIGSHSTTPNSTSTYEYSHEPFLSFQHKIVELAGQMGASN ISDVMRLKGGSSNRVISATICCANESAPQIRGVFRIPRFTIWPDDEKAVTEPYELDRR IYEQLALWSLLAAHGVPAPRILAFDATAANAIHSPYTFQELANGTRLDEVYEKMSLDG KLSIVDELVRLLDHCESIKFSEAGRIDCVKGPNDQQTILPNRVGLFGHQRSGETATAA EIIGFGTGGEPRVPTRPVRDLRDLLKTQLDAWITYEIESAPVPKNRFTWNLFRRLQDV MQEMEALGFFEADLPFEKGMKEPRNILYHWDLEPRNILITPGFETGVIKDVQRWRISA VLDWDDSLILPPILSRKPPIWLWDFSDLDAEINSFVPKDYDGDVDLLPADRYGENSGR LSEDDRRIKTYFEANFVRALSLKNPHLDMAVYHDEAYGRGQWLRRLARFALDGFEDNR DAQRFDRFVEDWNRARPTFQTSGI EPUS_04516 MDTTTTSSLVSRREGRTTRRSSQRVEDVERVYVGRNNQASAKTS NLRKIVCSLIRFDLWTLDTLRSAFFKTHPQIINRGVYERFEELLDAELVALHEWEDLY EFGFEVQLQGYLGRLSVQQLLEYVRDLRTSTYYAQLMHLRLVMADMVRTEFQYAEKTS DRTILQHMDWCKTHQEYLAQLVAGICVNSQNLRAIEREASFLRDHVKHAVESGDLDDT TLMQLRRKFEALQELYPDVCVSISGDRRDILTYKHVLWWDPDAEERRLSERDQPPRTL SKHTLAQETSARHYPSLFPQSPSSPASQQHWLGLMLHVRPELRKMPSSTSWSPAPLCN CLEW EPUS_04517 MTATNPTTLHNAPLVLDNGSGTIRAGFAGSDLPTTTFPSYVGRP KHPRVLAGALEGDTFIGSRAQKNRGLLKLKWPLEHGIVTDWDDMERIWRHVYENELKT MSEEHPVLLTEAPLNPIQNREIAAQVLFETFNVPALYIAVQATLSLYAAGRTTGVVLD VGDGVSHAVPVYEGFAINSAIRRVDVAGRDVTEYLQTLLRKAGWVFHTSAEKEIVRQM KETVGYLALDPRKEEKEWARGVYPGAEEERTSKIVEYALPDGQKVKIGAERFRAPEIL FDPALIGLEYSGVHQMVVDAIQRTDMDLRKNLYANVILSGGATLTKGFGERLLGEVKK LAMRDTRIKIYAPPERKYSTWIGGSILAGLSTFRKMWISIDDWHEDPDIINIKFG EPUS_04518 MPSSSAIYKLVEPHPHATTPYIHTARGGAGNVASATSTTDGSNA TGPASRHPSLSTPRRTVFTSGRGGAGNLHTQSERAIFSFDEELEREMRQTQDLAPVCY VGRGGAGNKFYVDQAIQRVEAADSDSAVSTSSSECDTAPDTLNRKLKKGWGKVIALF EPUS_04519 MAQFWDEAAMLLEVLIMKAKGLDPDGMDLSFTTGPVRVQNSNDE RGFTEAMDNDDARPNDMTHTDMSASLGAILSAYLQELKSKRLQGTRETKKRRSTNYGV NDRKLTVIVFTDGKWEGMDRKAQVDQIIIDFSKALEKEVRNLQKRYVSIEFVQFGNDP DASHRLRHLDNDLNYAGVDDIVDTEPSRGDVYKMLLGSFVEGFDKKNDEPEDPSPISP GNGAAIHESPPRLFQPGVNQPWPQIHSPPPAELPSPEFYCYSPCDYCDVYLTHDSMSV RKAHNAGRNHLRNVVEYYQQIGHEKAQSVIDSITSSYADAGQANPMLQPPAGAGGFPP PFPGGLPGMPPPPFGMPGAPPPPGGRGMPFPPFPPNGVPPPNLPAGMPFPPPGGFPPN FQIPPPSAPGGFPLPGQLGGPPPSPGPQQGQGPPPGFGGPPGTAGDRR EPUS_04520 MDHLQRGPSSVKLQKPQNDVAQMPTNPSHRDYFSINTTKIYSAN PSGNFTVTSLPLTRRHLQDLESPSYYNLLFDLIANKFSKTPLQRRSSQSFAPPLQTAT TKLIDHRRPTLSATKSAEAVLTPERREHQQSRGCTKSGFNRIQTTVPSSPIESQAQRS AQGYQRSQLLAANPEDRPLSRLDRSRPRNSPYENPSRIATRSVGALPTFSQHTPHEHY TEIPHRPPAVSTRSAEVTLPRQNSVFCGFHTRHSKATDSVDSTLRSQTHTDHYETLIP IRRPQKITSSNLSSTISLPASKMSLEHPHPSNPDSSDPFSAFENPHQKTTLFPQKAHN FFSRRAKKADRLRAAEEKAEQKQKERVDKAAKRMEKENADAARRADKEQREGAEQMRK LEMEVDKQRKRREEQVANMEKKRRREEKKEKVVELRRRKRALGNMSWGFDPDAGTRAN RESGSGSVEANTSDKGRPSREHGEAKLNRLRKGATWGIDSGGPGQIRGHYSPDVSNG EPUS_04521 MASLPPEIWALVLDYVAHSDLKNCRLLNRQTCCLASGLFFSKLC TTPSHNSLNRAANIARNPTISQHTKTFVYHIDALHNEPDQYQLHLLRHFRSGPNPHGS DLAREQKYSRLDELTADLGIAKEMIEDDGEGYRLQRLLTKLPSLKSIQLRCTNEAYAP RSNVGGYVGQLGKLKLHPVTGRLILVLLLLMKNPSTPVTSIVCDRLDWDFFYRISSIG STAYSPLDRLRHLQLDFTLLHDHAVAASLSCPENFEQFFKATSNLEVLILDFGAYDNY DIADGAEAIGNAILASRKWSRLKTLSLAGFIFGHDELLNFLDLHPTLRNLRLSQIFLY SGTVLSLILELRKNASLNHLSFHGVYDDRIFEDDLSEGSGSCIAPANVMHSLGQTDSR SISCSPDEEGPRCAGYRADEWFGGDGSWCTCDGTPADESTSPSC EPUS_04522 MEAAGLMNDFPCLVIRGICDYADSHKNKRWQPYPAAVASAYMKE LLMVVPAQQVAQTRNAVESAASREFSSPNVGYDATSKFSLARTHFNMNKYGRPTEEDF ETVGNVVKKMGAAMRSNLAQGNAVNCTDPISRPRQGRSDKGTHRNKCFACGKLGHWAS DCPDDNSSWMNRKYSSSITPGETSPSLRWKRLADNYYRGGVRPISETSS EPUS_04523 MADKISITICGDGGCGKSSITLRLVRSQWTQEYDPTIEDSYSVT RTIEGRSYHLYLTDTAGQEEYRSFWASSNLSSDAFLLVYDLTNPSSLDALTHYMEMID IETEHRVEENASLLKSDGGVLGEEERKLVKPMPVKIVAGNKCDLKADRKISARQGLNW ARRRGCGFMETSAREVVNIEETFALIVRRVIEARRQCQINPINPNPYSGPNTSVRTSI MNLTKENALRAAAGRGDNDTMVAVARTPALLRPTTAEYDDEEKRMMVKKGESTRLDGR PVRRRSILGKGVSILGKLKCWGKTRRR EPUS_04524 MAQNVLSEYYGQQYQQSMDPSRSMDTFMQQDLVPDMVPGMVDTT MVGEEGQTLDQIISQNELELQRRRSTLNSAYQPNGDEEADAQRSSMLDFASSKHPDRP NFQFDPSPPHPAMSGPLAETGQSQKTSDPRKLRSRESLALDTRFNRMNPAFGSISSYS PAMMSSTPLDLDHSAQFLSNMEIPMGFDGVSGDRPAMNVQPQIEQQPQQAIFPASPTH QTFSPMFQNMGQDSPAGRGASMDQSLMDRVSRMRMPDAIQNIPVMKTQGTEPRSIMPT TRGSGISAMASPAHPPPSTAPPNVNARIRSPYGNGISNGAANEVADHGLGKPPQPQFV NIYSASGFDMLGVLMRVAARPKPEINIGPVDLSCAFVVCDISLPDMPIVYCSDVFERL TAYSNQEIVGRNCRFLQAPDGKVQPGVRRKYVDDNSVLSLKQKISRREEIQLSLINYR KGGQPFMNLLTMIPVAWDTREYKYYVGFQVDLVEQPNSVAGKNPDGTYAINYQRNQLP PYVLKTPDTNTLQGDIGQTIGRDEVSAVLSTIGSGESELSKRIWDKVLLENTDDVVHV LSLKGLFLYLSPASRKVLEYDASELVGTALSSVCHPSDIVPVTRELKDTSSGASVNVV FRIRRKHSGYTWFESHGCLHTEQGKGRKCIIMVGRERPVYATSRTEVTANGGIGDSEL WSKMSTSGMFLFVSTNCRALLDRSPAELVGTSIQAMMRAEAKKEFTRVLELARTGKKS TTFKHEMQNRRGQVLQAQTTIYPGDAEEGSKPTFLVAQTRFLKMSRSTLPTSRPSIAP SPRTDQASVGSTTSSTNVTPPPTTTTAHTPSRPPITPQSSQTPLQTPGFDSGVTSQTS AGLCIGNQAEALASDDNIFDELKTTRSTSWQFELRQMEKQNRILIEELQGLLSRRKKR KRRKGFGQMEKDCANCHTRVTPEWRRGPSGRRDLCNSCGLRWAKQSGRVSPRKTSGDQ GEKSSNSPLRTSPPEAGLKSPHHHQQQQQQPQQPPAAGGGSTTMHALKKMPKLEPATS GGSLPPRIDEGTEPPHSDILPT EPUS_04525 MSRVQRGNGGGDVGGEVSRSSTADLSSPPSEPERPQSFPSPSQQ LSSHEAAQFADSTIRVDYYGGENHTSTPPATATIGRTSNLQSQPQPPPPPPPLQAFKD EKPKIKRPRKKPVIADAEKDKKEKTARKPRASAGAAGSARKKAKLEIPAAISIVANDG QSKISDFSAPHPSTLTTAAANPAPTNPGNYPIRTHDTSTVDAYPTTPHQTPQPTSPAP VSRLSYDPVRSMMVERNPAPRVYSTAPPTTSTPSKAVPLRTSASPVTISHIIDAPAKP SPQAQPSGGQAIATEPLNGQATPKEGDASAIEMDSSLSKPTNQTKKPSSGTPSNAPSP KPVRAKEQPPPLLPQGSGLLSSALFGNTATEAGTSSEQAPDIVVHVKLKENDNNIINF AQLAEQKYGFAALHPRLAAQKERLARVAAAGAALEKSANGPRLGGTSAGESGEDDVSV DIDRDSDNEGDVAMTGVNGGGTGANSGTDVNGHKKRRRKKVEEYDQDDPFVDDSELAW EAQAAAVKDGFFVYCGPLVPEGEKPAVERADGTVKRGRGRGRGGGAGSRGGRGGAAIA AATATNGEGSGSRNAGPGSRGGTTTRKPRVTKASRAMMEREKQDREKMAPLAAKPSGY QNPG EPUS_04526 MSLRGQRFELDLGAEEFTPKPLSAHAAEVASDFSDFSLVGEIKE RTPAAAPTAPKPRDSNTGFPAHKKRSTISAFKQQRGSQNVQSNRPGRLQNPPSDRAIA HHISKKYGYSIDAKERQEINEENKQRIAEMSPEDIEEARAELMSSLNPALIDRLLKRA NIDEKCEEQNHCTLHTMDSESPPTSKSLEPETPNQPQPPDPPSQSDHHLVPPIHFPQP PIDPATFTPLDPSSPSFLEDLKTHYFPSTPHDPSSMAWLTDPSAEENQESPYNPDRDN YQISQLRFSFTGALIPPTESLNIPVDQGLHHHGLAPASAGYTVPELAILARSTMPSQR CVAYQILGRMMYRLGRGQFGVKGGELYEGLWGVVEKERVVEMMMAEANRSAGHASAKA YAVETLWLWRKGCGGERGLLKEAGTRAK EPUS_04527 MAKVQSLGLHEPCALPYLIDEGILPNQPSESLYTWQTYPAYDAA GKEVSEDEILQTRNCVVWARGGIVKRAFNLDVEEEEILQSFVTHFSAWDRLHPQQNGA AGPHRAEQHQAFRTGEKQSRSPGSYERAAGQALVILLKTKAHIYFLTGDTHIVPIPFE AEAAFPTSQGCLIKRKSLTTAVNPAALSAPPNSFVSSQISSSLTEPQHITLKTASISR PSLTLSPVARQFAPPTAQNDHLPSILSLTDPQAELGTAVRRTELQEGVKVSAQDHERL FDPAEELLYISRNNELASLDVSNLEPLSLGVTLNAKSGILTVWDVQYRKRNSHGPPTK RRKVSYEDHGLRRRSSNRFRVNGSATPIGRGLGESRESLGPELGRSFAETYGSHRPDE QTFSEVDNLATELGPDFAEVGVQTRAARRVSSMLARTDLSMGPDRAPINGFTSSNPGR KSLNRGGARGDSFGDFSDRQSFGARAGGPIPGIGFSMSSETPLTSVPPDRLLEGFSSG AEFDCFDSMVLEGAMSELPAEVVFSKVHSIPCSVQTPVTQSSKSRFNVFVLTSKPSAG SGSATKATLSICIMDIKKGELSVIKIACVLARSNNVKRKQAISRTSTSMKKFDLSSVN VQRGTNIIDACKVRDRDQCCILVLTQNRDGQIALLLEAVGISSIRVDLPGLLMVHNPL AISPATTSEQRRQGGRARVLKEPLRNPTGLGHTSILGQVDVLDSRVHRHRLEIQLEPQ HPQVVKVLQTIELALLNKGGSGVCIAWIEVMKWLRLKEYNGNLEWTAMVVTLFSMITP FIVDRRGNGSVSRRQKKGGLFRSSSGAVIDMTSWDKMVDEECGIGITSPSWTTSPGWQ WIGQESESSGDSAQEQNVLKPSSSITAQALFENRKNRFLLQYVSLSREFMQSPIGEQM LGPDGFLPTASNKDRETRRTAVGSVLIALHLTREEQKLDTRLSHSKSDSIQLAPVLAQ LGHWLSWHSWDYSKGCYYHAEIPDVEQQLFDENVVNSFDVASQPFDPPSIFDFVEASA SSPGNRSFLTLLDVIEAPNSTLKEVCTLRAKNLTPRTFALLQYFSKAASQKSRSARVE WMHKCGLDVETLATMPTGISAIFYDAISSCEGSPPLSWSEPLLQLVGRDDLKSRPLQS SSRAVTSKTHGLISHEATRDYHAVGNLVLDTEPFHSWDASSEADRQGVTRLIFREDRR FQDASRLVNQLRPPVADCKAEPDWTEADLLDAQKELVQLVTMRTLTVASGRGMINYSS RVPLLTEKVPIPAFTLACLVKPSNVTFSAERASFTEDRAVWAFFHNGTAAGLTISKDA RGINTSWILYNKPPELTNRHAGFLLALGLNGHLKSLAKWVAFKYLTPKHTMTSVGLLL GLSASYIGSMDTLITRLLSVHVTRMLPPGAAELNLSPLTQTTGIMGIGLLYCSSQHRR MSEVMLSEIENNDVEEGVPPEQTLRDEGYRLAAGFALGFINLGQGKRLHGLHDMNVME RLLTIAIGTKNVNLVHILDRATSGATIAFALIYMKTDDETIARKIDIPDTLHQFDYVR PDIFLLRTVARHLIMWSSIQPTYNFIQSSVPKPYRHRASLSSTRHLNSEDMPFFNILA GLCLALGLRFAGSGSHTARDLLTSYLDHFIRLTRLPALNYDSKLTRNAVRNCQDVTAL ALAAVMAGTGDIPVFRRLRSLHGRCDADTPYGSHLAAHMAIGVLFLGGGTYTLGTSDI AVASLLCAFYPLFPTNVMDNQAHLQAFRHLWVLAAEPRCVVCRDVETGRPVSVPITVT LKSGPGGGDEDERRLTAPCLVPELDTIKEIRTEAKGYWDVRIFFEGKEGQEKRNEFKK GHLNVYLRRRAAYDAPRGSVFVSEMQALAERGDVPSVSLGSASASTVGTGGGSGGGGG GGERGKVNPFEWLWQLDALKELDVSERALVLPHAHAHAAGLAAGTGNRYLRGTVVDTR LELEHAVLPDAKGGGALDRDQLWQLRLLFAWVDGLEREEVERRESEGRAGEEEAGREV GVGAGGGSWLRREVIERLRWRVWKMRAAVGDDGQDEEGAAA EPUS_04528 MEPSVLHLHDKLRISYTVPHRIFSAKVYPLQAPNGSTIIVYGHE QGIRIVWRGGKSFRPAQVPKEKPKVNGSSKEDAMLLDSDDDESAAVGVASTTDFDGDE EERDSSEPYHKIVRHLDIPCGTGVRLIAIPHIPAHIQDSAPGAFPPILLSNIVVAAAC NDNSIRIVTLPLLPPPPSVDGTSYKALQIVTIAGINTHHEVPSSIAITHSAVSDSSDI LRDSSRSRSRSRNPPVEEENATTAQSGRLWCFLIVSISPTTGGLLLTHQIPITADTQL STSPDDLYPLQRCYLRFPCLSSNLVFHPSAFPADRHSTVLLSATDAGCVKLYQVLPGS HATLSRGRRNSAATTDSANSSLLTSPRSMTSNGQFLLTLYPGFVTSSSSSSLQRRKHV HSATWAASGRAIIALLEDGEWGVWDLEGAGPGSGSANLLRGQSNMSGIQGGALTRFAF SSRVTPTAETLPKTRKPESRDTKDGALAPMTPHTRRIKSEGLFKGGPPSLEIERDYAQ SASGHICITEHVPSTTSALSSPRESLVITYGSEIVFVSSLQTMWRAETSTKGTFDSVE AIRPSHFPSLALGQERLVGIAELLHSPPRESRLPFAVKSNQLPDILIVADHRLIFFVT ALTEPTSTRDTEDRFPLRLSKPQESQTASFGDQALLRKGQLDLEGMDRVLTGMGHGDG TLNGRRSSFGKSVAFDLDDEGDLSMTSPTPKAGGRYTPTPRRPIGRNS EPUS_04529 MTSTIDDDELSISLPAHDTSRSIPPLTPVTSPPIRTPQTPIAAS PGTITSMNRLSQYKQVCLLGEGSFGKVKLARHKVTGQEVAMKIISRRKLISRDMAGRV EREIQYLQLLRHPHIIKLYTVIMSKQDIIMVLEYAPMELFDYIVKHGRLDEKKSRKLF QQIICAVEYCHRHKIVHRDLKPENLLLDKNYDVKIADFGLSNIMTDGNFLKTSCGSPN YAAPEVISGKLYAGPEVDVWSCGVILYVFLVGRLPFDDEFIPSLFKKIQAGNFHIPHH LPPGATNIIKRCLQVHPVHRMTIEDIRQDEWFLKDLPAYLRPPVEEFVHTGIDPTKAI DPRQLVPGKSAEVVERIHEKVVGKLGKTMGYAREDVKDALSKDEPSAIKDAYLIVREN QLMAENQNPQHRRDNPVLEEFWKSSPPVTSDYPGFGSIGRSLSKNNDDVAPLTSTQRD GVHRNSRALSDAPSLTKEAEERVSNVRVLNTSLPFIHDDILEQRRKAREAGEDPDLAV IASQKSDSSSPTDASSPSIPEQKIDPSTNKLVVRSKEEQEATSRALKPHARLPNAAND SRSHRDKPEGMTPVPEKEKALTSSKPKARKWQFGIRSRNAPFEAMKCLYNALEAQKAV WEVIPALASETDASGEDGRENRPPSPPQLVEGEQHTTLQSRYPSLPSDYYIPRDPWFI RARMLKRGMFAPGEGPTFSATNSNVNLAAEQNIRKKVEDVGGYLSGDFQTSVMSNGAD GSASRPNSQPSSAQNTRPSSGVGETSMTGHDTLTSLGQIISRSGSMTSTPAREPSPYI GVWVFIDIQLYMLETNNFMVDFKCDGYQNVRFDPNYHGRTPRTGNSSRMTSPAQSRPN SGFSTVKPGEKFQMHETDGDNGELKGDWRPVSKRIRNKEKEITSPYPYLDVASDLIAQ LAVAN EPUS_04530 MGEVLAASATIAGLLNLTITTLQLSHGYFPGVKNFTKTIEQYFS ELERLKDVLEDYKALAESGDTADFVSFFIPSQSEACWKELEHVRTKLEKQCHGSLFSK ALSRLRWPFSEEEVSRTVEALHRHQSSLHRRLSARNLKVSSRILSEVRHLREKQQKSS TKVSYDWLSDANPETNHLSARSKHSQGTSQWFLDSSAFKSWWNCSCRSLWVNAIPGAG KTVLCSTIVDYILHQRLPDEAVAYFYFDFSDSSQQKLNACLRSLLAQICSVRSSMPPV VAELKTLCKGPGRNGILTEHELLSALVSVCSGLGWVWIVIDALDESTDWLASMEAIKV MIASTNFSLLTTSRREKEIEEVLSEAMDVSFTLNSINVDKDIRTYVVKCLQTDSELGK RPPNVKKRIEDVLTTKSKGMFRWVVCQIDVLRSCLTSKAVDEALDSLPQTLDASYERI LLRIHPAKISLARAALEFLVFSTRPPTLAELAEAVAVGTFDGPFDMENRLFEPEEILK MCSSLLVLERSIVDKMGQDDSSDAEVQQKKDTNERPTVVRPAHYTVSEYLMSPRIHTG PVKVFALAQESGDTSLTKICLRYLLLFNNPEPLSAAIDSEFPLVRYAASNWYHHMASV FMQMDPVAIELLRKFYDTEDYYFFNSIRFSDPDIFWWGKPHPGLRPADLPSPLYYSCM RGACSEHVRLVVDMDFDVNRYGGNWGFPLQAACQSKCDITTLNILLESGANVNALGGY YHTALNAAAGAGSEDKVERLLNAGADPNLGASEGHFNALHRAIWLLQYKRNEEPYTNI VRKLLRAGVKVNATDSLGRTPLEVAARAGKAEIVRALLQAGADVNLTGRFPEGALTKG VKSGSTEIVDCLLDAGAQVNTNGYSTALRTAMRLSNIEMIQRLLKAGAEINSVGALNT GAVHQAAEKGDVEILNVLLDAGADVTLKGWLGKTALEIAASEGHTAVVRRLLREGPEI NSICDNHNHNDYDNRGALHYAAGKGDVEIINLLLDAGADVNLKSRFGRTTIGVAARHG HVAVVRRLLRASADVNPSDDFRRTPLMEAVGKGRIEVVDCLLEAGADPDIEAESKWGS ASEAGPDPDPASEVEWGYESTSGAEADFDLDLLREYSTFEAALRNGRLDIYKRLISAP PIWKDIKRSASERRKATGRRLQARRCTSCDDIPIPKGNSNAVSWHTLEEVNNRDG EPUS_04531 MRSGSGARLLEKMTQNGQSMQISSTLWVSEIEAVGGGTQWLLEP FQVGEAPTFIEHAKAGLDELASNERAILVFSGGPTKPKETDRSEAESYFSLVHANAFF GHPATVASRIFTECYATDSFQNILFPLLFFPAFVSSGMESCSPKPPPQQCQAFPSHLT IISHAFKRHRFTALHLPALRYPTAPERLRYIGIDPPMDETKRAEVEVGELSRGVRAWE QDPYGVGKILGEKRRVRGWTMEQEVKVWTQVRSNTEVSKIKNVMSFPEWLRASLEEGV SDPSILPWS EPUS_04532 MASILNAPHLGLSKFLETSEFSDFTIEATENVVKLEEQQPLLIA RMIQYLYYGKYDVLNVAAGLTRILDKASSKVILDDAIFAQDFDFEVHADMYAMADRFE IPALKALSAKNFVYEVRSKNFSIADLVSAIDFVYNTTPENDFGLRKWVAYRAQQVEHE LVRHEDFKTALKDHPDFAWDFATKYAKANYLWCSHCKDTIDLVECRCGFSGMCGDATC ATGAPAALQCTRCKNWGKLQRELPPLKENLTLGELGRTDEPNAPIKRSPKKKRRLS EPUS_04533 MATSKNLHKVQKQIAKKRGGKSNSLHENSRDSQRLRRAGAREDK IAKIAAAASRANQTYVERVAFFKSALKEATTSMSEDELRALTASYIARDDEELAALKA QRRPGRPSSNQEDQLRHRVEAEQKEFQSGFWIPDTRDDEGLKKLRAWNEDWSSLNTLK YVRIGKEGGMRASTFPPKGLS EPUS_04534 MGINGLLTVLKSIQKHTHLRQFAGQTIGVDAYGWLHRGTVGCAM ELALDKPCTKYVEYAMHRVRMLIYFGVTPYLVFDGDNLPSKTATEVGRAKRREESKKR GLELYKASKISQAYPEFQKSIDVTPYMARQLIEELKKLDIQYVVSPYEADAQLAYLEK KGIINGILSEDSDLLVYGAKRLITKLDQHGDCIEISRSDFASCRDMTLAGFTDADFRL MAVLSGCDYLASINKIGLKTAHTYVRKYKCVEKIVHMLQFEQKFVVPNGYLERFYEAE RTFLHHRVFCPLEKKLVFFTELEDGMKEEDMPYLGKDVSPAIAIGVACGDLDPMTKKQ IAVNVAISSRSMPDLHRRRTVASANDLKPSKSIDNFFKPKRQPLAELDPNSLTPSPSQ QRLLNMNRNASWEARPISSAPQLGRTASIMPGASQVRTGQSQSRERSSFLERAATLST FQPPKRIRLCSDVDVSPSSKDDRSPFFVMKPEPSPSIRKRGKTKKARLSDIEVFSDDS VADIFQDMQDPEIGSVDTSTKPAVLPDTTGRSTSRASSPSATLVTEVCDTPIRQKIQI PQSGISQSTTAISVSADDDPGEFRDLFEVHVEHLNNLRNTFSYQRPEKQAAALKALSP ANGTPQLPKETAPNQDGVPRLSQVVRRHAQSQHSASLHKTFGTLPSHQQTAALKSLGP SQSSAEKVKLGLAALDSATHSFMGQRPMTPLQQLGKRVLDTSRSSDCISHGGSRSDRP RTGSRVNQVLKVNVQGSEDLLVPKSEDERSEVSEIEDVEPVRRHVDLARFVFTTSA EPUS_04535 MAVRFATGWRRFFPLLGYHHVLMIFIAIAIVLLSLLLAGCSSTS PGIPDIFLISLYYQEYPPVFDPAQVQPGVTTAISNIVGSAQLEVRVGYFGICIQPDNG AFLCNQNASALADLVRVDQDPLNLIWVASTFKDSIVFPYLIIVAIILAFLTFLLLATF PGWHTESDGGSETEVKPFPSRPVSQVALATIFIASVFVLVSIMWQHTAAVAASTIVQD LGNGSVRSGVGTTAMILGWFGFGLLVIVTLGLLVMILSIQLLDRLTDDD EPUS_04536 MVARLLLFLYTRQYPVRGTEEWQTLDDEYYYAHRKQLASMIKCD DNEEEIPTISLCVEAAVYGLAEKYEVPRLKQLSLEAYQASAKMNVRSPPAIAQFTRSI EIVYSTTKPPDQLRDFVIWKTQTDYLTTPYFTLFRELFTSNRDFAWDLKVAVADIKHL HVYDFDNTLFSSPLPNPQLWAGPTLGLLQAYECFANAGGTKRLWAHPVHSRATGIDEL QVQLVELSIKQKDALTVLLTGRGETNFADVVKRIVDSKGLNFDLICLKPEVGPNGQHF VTTAEFKNEFLKHLVFTYKHADEIRIYEDRPKHVKHFREYFEKLNKSLLSHPIDQPPP PRKPITADVIQVTELNSYLDPVIETSSIQALINKHNTVVTKGLPNTTRSPYPRMKITS SYIYFGYLINPTDSARLLTLAYIQPPSLIDSSDIRLMANSILIAPRPPNRQTLDKVGG KGKKVTWQVTGTAVFENKIWAARVAPVPETEKYYTNDPVPVVVLAVRKGARPIDAGRI QNWQPVPAEKAFIFETVVGDKQTLKVEAEDSGDDRYESRPSNRGGTTNGYYGGCSRFP SHPI EPUS_04537 MATSEEAPYGRLLNFLESGHFADFTIKCKGHEWKVHKVIISADC GFFRRMYMSNFKEVGEGAVDFPEDDPKLIARLIFFLYTEYYPVWKPGQAQGNARTVAS SKWMKSLRELLHSEDIFINKEQGEIYESVGTESLATDTWMHELAGRLDVPKLAAEAQK NYGQAISRLEALHTMGSEDYCLMEDFATSVKVVYQTTSATDRRLRDIALFSVQDCLS EPUS_04538 MAPPTTEYLKDSFMKRLFEQGLFTDFTIRCQRHKWNVHKAIVCG RSGYFRRLCTSDFKVSRSSERQRDVQFDSELQEAAEATIEICDDNPDIVGRMLIYLYN PTYYASSADWDLYQECTNAGVFGEAKRRQALVIPNDESCTAKHLPDPLVVHAKLHGMS KKYDIPDLQHASCVRFVECVVQAIELDPTFTEYTCYAEDLLDAIDVVYGSKQDSDQIL REATVYLARVFMKTIDEHMNNDGMKDLEKEFRDIVRSEKDFAWDMASIDFEKARFTCK YCHQDFIVTKKTSDPPECECAGRGLCGRCVPVSERSCSYCGLPGGCRLIEWENRPRK EPUS_04539 MPLLLPAPSQTLCLNPEPLTPKAFSPFGTAITSPLPPTRSSILK SSSLPQPLHPPYQPHPVLANQATALKYSPISPLVNNYPDAPSRGPGRPLVSMFSCFPR QLDRVGNFNVRILERHPFTTQTFVPLGVAANDPDISFLIIVAPPLLDSLPTKTTSGNS IQIYQPPDLCNMKAFVAHGGQAVTYGVGTWHAPMVILGRQRVDFVVTQFVSGVADEDC HEVQVEEGVVVRTGAGQMMSKL EPUS_04540 MQFLRILLCFYSSIVPANCPEVAFSQSMHSYIIAGIGLLLVYVS YYLLSSYLAFRRHAQNAARLGCKEPPRRPHKLPLGIDLAQKILKADKEKRVPDLFLDV YEELGRPATWKQYLLGTDCIVTMDPRNIQAILATQFNDFAIGEQRRKNLFPMLGNGIF TADGKAWEHSRALLRPQFARDQVSDLELEEVHLQNLLRRLSTDASGMTAKVDLQPLFF NLTLDSATEFLFGESVNSQLVGSSDQSFPHTKKDWSGEDLDWSTFGEDWDNATMALGV RGLLGDAYWLHSPRNFHQSCRRIHEFANYCVNRALTTDLSSLKEKDASGAHKRDRYIF LQELVAHTRDPVELRSQLLNILLAGRDTTAGLLGWTFYTLVRHPEVYEKLRAIILDRF GTYSAPRNITFANLKSCTYLQHVLNEILRLYPNVPLNSRRCIRTTTLPRGGGPDGNSP VYVREGQEVNYLVHVMQRRKDIWGEDANQFKPERWEGKKVGWEYLPFNGGPRICLGQQ FALTEAGYVTVRLMQRFDRLVNEDNREVIRHMLSLTTSTDGCWVRLHAAEESAEA EPUS_04541 MISIRYAVRTAPRSLFRSSASSSLRPLSCVSKPCFLQSSWTPVQ RQSHPAFSTSISKREPAGEFDQELSAKLENELSLEKETRDSKQLPPGVQEFLDNSSFE LKDIPGNEEVILTKKFGDETVKVKFSIADMNYEQDGDQEDGENALEDEDLFDDTTPQR GGAQSKGTVNQGRTPDGNINVAPEDSVAPADRPELADSEDAGAGEQAQSPAYPAAVHV TISKPGKGAVQIVTSAQDGLIIIENVYYYPKAEIAEAETPESDFARANIYAGPPFQNL DPELQSMLERYLDERGINEQLASFVPDYVDHKEQREYVQWLENMKRFVDA EPUS_04542 MTLAFESPEENALHLPDTSRFADFTINCGGYSWKTHRAIIVQIS GYFARMCHGEYKEAVNQSVTLKEDDPQMVARLIVFLYSWTYPMTGKDAKKSADSVRRL LASNDQAYQDQSEDENLLTFHASLYGVADKFECESLKENCQKAYIRALHGSFSIPDFI SSINVVYETTPETDIGLRKWAVFVA EPUS_04543 MSAEAEGARLLAGVGEEGLDEFLSELRHLLYPPKPKLGIPPLDR LLEVFCAPAQRLAAPRCSSPHHEDDVDRHGQDEDPATHSTFLNSQASRWMPVIIELTS SLPASGKTNLLYWITALAVLPSNHGGQGTAVVWFDNDGRFSAARLREVVVGTVPSPTA NEGSIGGEDDRESLVLDALNHVHVFRPQSSRHLIETLDSLPSYLLDATAHQSMSRRLG LLVLDSASAFYWQDRYDSETARFQHPDQPRDKPSRTAEVITKLRDLQKEFDCAIAYST SSAFTTMTKPALLSTSDPAAPQESWSASAWTRFANLTLNLSRVIVPRFPSHMSLKECL RNQEKRQDAVAMVKFLAEVDRHGSETWTAGVKEEWKKMEAASSFRFGFDTAVPVESVR M EPUS_04544 MAPVIATPTSPQKRPLSIANYDAHPSKKQRQFYHRHHTLQFKQQ SIPGSEPALIGQVPLLEQAEETDVEQGPKPVGRSQVDDFLDHSIVSVCEHVAARDGFT NTSIDTWALEMFRGCVEEYVLTLCKYIRRSMLAARRTAPIATDLESAFQAVQVPTPED QLPPRSRPKPPPSISLLPTPPPDDFFDTRELPESILGSDLNGKEERRRDQHIPSTFPA FPSPHTFRDTPILPPRERDPRRVRELATEEGRLGEQALRKLATAVRGEGKLDLSTSPD RTTAAAQRGGRQKKVELTMEGMFEETMKELAKAERGKGLAGTTAAVGEGFEVAPIVNS ERKYWMPDTSRRKTQRIEPSHMVAKVGTQHHALSEKARGKQRAGDSRTQPTDDDLDMN FP EPUS_04545 MTAIVMPNREKLERPCSASEASFEAVAVAAEALSPRVEEARSLA DEIDAATEEAAVEAVSEATEAAEETDPETEEAPSEARSAAADAAEETVPEMEEAAFEA VSEAAEAADGADFDADEATVAAEPDMEEAAEEMAEDADEDLAMASAGDVSNLRGERDC SPVWYFVVKKRSRQEVKDQEDLRVTVK EPUS_04546 MPPQAIPTPFASEIGSRIYQTASPTGPVVSSVPTAPASSDDTDR NSRAWSNYLYIVVIFAVVFLLLGLLYRRKRRNLAASTFPRNRFQAFHTHHPSQSRDLS AVQANNRVWRNMRAGPFSHHARMDSAGTRRPGTSGTGSRSRVDLDEEVPPPYVVAEPE RTLDRGPSTRETRGWPDRRTRGDLDMNDLLREREAGSINKPPGYQERDITRRDG EPUS_04547 MAPALPEFKFFHLSMPAEYVAHVEINRPDKLNAFHGPMWHEMRA VFDYLSFDPGVRCVLISGAGPRAFTAGLDVKSAAISDPIQAQAADPSRKANSLRHYIL ELQSCVSALSSCQKPVIALMHGYAYGLAIDIGTACDIRLCAKCTRFCVKEVDIGLAAD VGTLSRLPKVMGGLTSWVKEVCLSAREFDAEEAYRVGFVSKVLPNKEDVVREGLEIAT TIAGKSPVAVQGTKNVLDATKGRTVEDNLSYTAVWNSAMLQSSDVERAMGAGLKKRTP TFEKL EPUS_04548 MLFAKGSMPNVPRLETRKALLVIDLQNDFLHEDGKLFVKNVKDF LPKIPSLVTKFREEGDIFWVHTEFQETRPTICPVSQTYAIVLEDFLGTAKSREANPSH KAELPEHDFKRPVKDFGKDDPEAFLAERSKADWPRCCLPNTFGCAAPRMIASAIDQKR DSTITKTCYSAFQSKSLLPILRMRFITQLYLCGSLSNVSVYATALDAVSHGLEVTVIE DCVGYRNPKCHEEAMRQMADTMGVNGTDYQELMDDLHGNLGDVVTPSTFNSAIGTRQV DGNDSSENYTVRVKIKRWMDDVNDGKANHEACPDSEGVDTFKAGSAKRRSLGNGAGLN VSHAKRQEMRPSPVPASQKRSSDTIDNEEEPVESISRPPQSAKTSRIRMRRMHMTPEA KESASPATAEKVGVDDPRRNQITPPSSLAIIEADSDPDRSLLDEMSSLVDKAKAFGRT LRGAERSTQPSPKVLGPGDFIGERDSRVIYDFLPAVEHFKDAFAGLRKDGESCEVHWQ EMYHRSGKVPRLVAAQGEAREDGSIPIYRHPADESPPLLPFSPVVQNIREEVEKAIGH PVNHVLIQLYRCGEDNISEHSDKTLDIVHGSFIVNVSLGAKRTMTLRTKKSAPTTQAL NQSRRASAPASSPHLHADVKRTAQRIPLPHNSLFILGQDTNRNWLHAIRADRRPVSEK TAEELAFNEERISLTFRHIGTFINPKHGTIWGQGATSKSVEGARSILQGEEAEEEGER LIRAFGQENHQSYDFDWQAQYGAGFDVVNFTTKQDTVTTA EPUS_04549 MIVLRVWLTAIVLALSNWLATAIVLDVDDPSPPESIRDAAATVA HGVQALYNGNKTGGVLGKWPFPPYYWWESGASWGGMVNYWHFTGDNSYVNVTYEALVS QIGPTNDFMLPQEKFNTGNDDQAFWAFAAMSAAEFGFPQPPDPYPSWRQICDNVFNGY VQRWNFDSETCGGGLRWQFIPENAGHDYKNSISNGGFFQLAARLARMTGNQTYVDWAE KVWDWTTAIGLVDNMYNVFDGTDLKINCTQINHHQWTYNVAIYLYGAAVMQNYTNATE KWVARTTGLLDATSTFFSPFPNATDIMFEAMCEKSSTCNVDQHSMKAYLARWLAGTSL LAPNTAGRIGQLLRVSAIGASNACTAGEFGNTCGAKWYIGGWDGTSGLGQQLSAMEIF YGLLVNSTRPPSVLNSVRIRDAPPNIPMVLPDPAASNARALYDGVGQVSVAYSLIFGI ATGAIILIMDAL EPUS_04550 MDEKADRSQPEYSPNPAESIEVDEEIKEDETGDGVDGVSRELYK EMRSICDSLSNHRIAIKGDEDYYPSALFRRIPNKRNLPEYHTIIPEPRAISTLKHKIQ TKKYTSFAEYVRDFALIVHNAQVFNRPNSGPVKDVLVLKALFEQELARLVERGLISKE DTIFPDLGEIPDATPEPDPVTEDEEEGEDDAEGEDDDEDDEPDDSDEEGKGRRRRRGG RQSGAGRKRDRSEDAQKGGDGDARKRRGRPPRVDTPMEARIKSVLKGIRKFRSDAGHL KILPFERLPDKTQNPGYYIEIKEPIAVDLIKRKVKRKKYQSLEHFMKDMEVMFNNAMQ YNEDESDIYKDAKELWEEAQKIAEAERQRPDTEYLLEDGRLPLPNGILHNNELWKVGD WVHIQNPNDVTKPIVAQIYRTWQDTEGQQWVNACWYYRPEQTVHQYEKHFYANEVVKT GQYRDHHIDEIVDRCFVMFFTRYNRGRPRGLDASKEVYVCEARYNEEKHRLNKIKTWA SCLPDEVREKDYEMDMFDAPKRIKKIPSPIKHLLKDDAKETDELPSPQWGRENAPPIV GAVHCQPRDENQSPPPEPTPPPPPTPPAPPPRQISNTVLPRQTSRPSVDIQGDTIMSN APGRPSAAAHATPQMIPGSAYHQTSASPVPGIGRPTSYQKSQTSYGASVVPHTPSQPL AYAQQPQVTPSAAHPSSYNPPSNAQTFTPRVPPPNQPVSSATQGYHHSTTHHNQVSHR HPEVYVMSDAANASIPLEIRQQFPCDDNGRVLWFTTPPLNNTVTPLNIVSPRDGKPLA HTPEYLAAKEKRKKLIEERKRQIQDRSTAANQSAEAENRTENDGHPSSKRRRVTDVEV GTLVLQTLTDRVLDANKEWYKSQYGDCAEEAEAFDAVRAEERRMEVEAKKAYFEERRK VAEERKEKEKAMEGKLWLMVFNNLVQPESWYGLSFPPRANSRNSSPGVEDEDENSHVD NYLRELTNPGSPNIDIVAIHGLNPGKANHGERTWSKNKFLWLQDEKFLPGHFQLPESC SSVITQE EPUS_04551 METLPKNSTGAADIHELVKEQAQEYRIVSFYETLPIKPGFGLIV DKVSATLGLPDPPEISVAVAANHSDICKFDRRDPTYELVIENIADLVQYALRPPRIGT PLLAPTISWMDPKNRQRTLSVAPFQGTGSFDLSDSATSSSRSSGHTPTSPVFILPYSS NPDSIGRDEIFNTVKDSLGSTTTGQRRVALYGLGGIGYAYWYRQKFPDHSIFWIHCGT ADRFRQGLIDIGTQCHVAGIDDRNNNRLLLLKDWLQRKTDFKWLMILDNVDDPTIFRD AQDLDESSQLQPNLSSYIPDSRRLANGSSPLRINKMSREEAVMMLKTRLSTRVEASPI TPATPASYQQPASDTDLLRLPQSLDYFPFAMVQAAGYITESIISIGCYMKLFEDDASA LWLLKHEVEESGRDSDIPSSVYATWKLSIEQIQKAYPKSAGLIFLMAHYEQLQIPASL LLHHVGHDVVEFTTIIGVLLRFSLVVGGRHATYNMHRLVQLVVKHWLAASGTPAEWQS KALRLLSSHFLSGEFGTWKDADRSLLGTLQVNLAWYYSNRGRWSSAEEFARAACIAFQ EDYGLRHRETLAAKTKFAYILRLNSKLEEAEVIIKQTVDESKALLGSEDQQYFDALDL FAHIAQIRGRLPVAEKASRKALSGRENVLGPHHPSLFRSQRRLATIMELSGKYDQAET CIMSALNGHKHLIGTADKSTLQVMQRLFYIQRAQGKYVESEETAGEYLKVTTATYGPD HVDTQIARYTFAHSLIVNSKIEEAEAIFMSLIEFIEKERSIGPDHEHNFNIQNALGII RMIQGRYAEASRFQYTAWNGIQKTFGKHHATTYE EPUS_04552 MGANIDLDKADSGSSGKKQKKKRSFFGLRSRASSNVTSVETGSH ADGASTEKKKTAHVAAEELEKTDSYDSTKGERKSSLGLFGRYLYSDNDEKIEDKGIEL SIKSDSDDDGTGGNLDNRKSAAFTNNTLRLPPLPLRPAVDTQSDATPQDSKEHDPKQS ARIEIPGQTVQQEDNIESTGQRIRRKPIGLRASSYHEQRNPESKPDASKLPAESLINP AARLDGPGWDTSPFGA EPUS_04553 MPPSRKRKAAEHGRSDIKRSLFSGRRNHSVRSSENVVESDDCAP RSQTEPKDFDYGSPLPRGQIRLLKLRKGSKGDSIICDMHTRVAHDVDYYALSYAWGSP IMDRSILCNGRYLSITSNLHTILWHLRETHTDAYLWIDAICINQTDTQEKTAQVRMMS VIYRNARMVIVWLSEGVPSTAAGIELLSLLCNIFPSGNGEDIRNLYLDFPSLPNPTDS ASFVALGLPDTTPDSEPWQAVGKILEASWFTRRWVLQELHNSGQCCFRIGAHQVTPEI VLGGLYRVMMYDQFRYALNHEQSRVDNHIIPMVQMMRAVIRSSRPIFAIIGCFEDPPS HRVDYEKKMPQILMDIATSEPDHEKGIEALFRGFCYIDTLCDYPDVPSWIPSWQISSP YFSPLDNKMFDDGVDVEQSSIIVSGKVLRSGAIVFDRIDTTADLCVGFEVVRPWAGQD HASQEKEQTLATHTRCNWLMECKSIAQAAGGESNRDLGQFLDCLLDHSYSEDGLSRDD IIRLYEGNMQTFAMRFAREECSEPRELVQRFKHHCCRLGVEAPELHGKADMSQIRKYH NKLAESAIAFKELTAAVTLGRRFFASKGGKIGWSPQSARPGDEICVFFGCTMPFVVRR VEDRTKQDNRYRLVGACYMDGFMDGEVFLDEALERTIIELI EPUS_04554 MGDPLGIAAGTAGLISLGIQIFKGLYDFYTVYKHKDVIVARTIH RLDGLLKTLEQLEKGLQDRQFKASETDQRQKIEECIECCRDVIEELQSELEKCRNAPD KGIQAAVKRAGRKLAYPFRESTLQKLNEDVNELRDDLKVALDVLQLKCVDEIQHDMID VKTNITLIRTTQISDAIQNWLKAPDHIDAYSEGCKRRHSSTGLWLINDRVFQKWLKDD NSFLWMNGRPGCGKTILSSMIIQHTIQYRRNNPRIGIIFHYFSFTDHSTQNVSGLLRT LLQQLSSQLPDMPTALLQLYDSFKNDEPSISDLENAVRQVVTKFEDVYLVIDALDESH QGEQRDAVLDTLSQIRGWHLSGLHLFIASRDEPDIRTELNPQIDEEICLERNEVDQDI ADYVRQKLRSDRRLQKFAPHFAEIEDVLIERADRIFRWVDCQIISLASCPSSLHHLRN TLNSLPRTLDETYNRMLANIDPVLVGDARRILTWLCFSSRPLAVQEVIEALTVELGEN PRLCSERLIEDPDDIVRMCPGLISMTTHEEEGFFDPDNAGLDSEWPLQARLLRIAHFS VREYLESDRIRSQQANFALRSHAANTELAETCLVYLQHAQLTALHAHPLTSYATRYWA EHSLKGDRESNSLNSLAINVLQSEHNFENWIRLYVRQTGVSDWGIEKKVASPIYYASR LGLYRPLQALLEIESLRNTINARHEWTVYGSALNAASADGNEQVVQLLLEKGADPNAG PECYRGSALCFASSSGHEKVVHNLLNAGADIDATDSQGGTALYAASERGHKQIVQLLL ERGANVNAEGGLYSNALQVAAYNGHEMIVQLLLERGAVINAEGGYYGTALQAAADSGH EMMVQFLLERGANVNAEGGCYGTALQAAAYSGHEMMVQLLLERGANINAEGGYYGTAL QAAADNGHEMMVQLLLERGANINAEGGYYGNALQAAITKGYEKIVRILLKSGTDVNAR GKGRFHDLLFLPEGNGFEETGRILPSHGAGFSVRAIMTAVAGDHDQIAQLLKESIHSE SDAFLAASYEGHEKMVQFMLDKGADAEVRGAGLMIASKKGHKKVVQLLLDNGVDVNTS GESRTALQWASRDGHEEVVRLLLDRGADINIQMGLSNTALVEASQGGYEKVVQLLLDR GAKNDEVAVHLASLYGHEKVVQLLLDRGGDVAAALSGFCAFSAPRHSGPEKIMQVLLN MGAEIDNNTVLRASKHGDEKVVRALLDHGVEIEIRAIREASRRGRKNIAQLLKTRFRE QRKAGGAKGY EPUS_06228 MPYNIAMISDFFFPQPGGVESHIYQLSTVRHIHYGRTATRLISG KKLIDRGHKVIVVTHAYQGRTGVHYLTNGLKVYHVPFLVIYRESTFPTVFSFFPIFRN IIIREQIEIVHGHASLSSFCHEAIFHARTMGLRTVFTDHSLFGFADAGSILTNKLLKF TLSDVDHVICVSHTCKENTVLRASLDPLMVSVIPNAVVAENFQPLAYTPRSQDPDHMA VKSMSRRLGPGDTITIVVISRLFYNKGTDLLIAAIPRILASHPNVRFIIAGSGPKAID LEQMLERRVLQDRVELLGPVRHEEVRNVMTRGHIYLHPSLTEAFGTVIVEAASCGLYV VCTQVGGIPEVLPRHMTTFAKPEEDDLVQATGRAIAALRSGKVRTERFHDQVKMMYSW TDVARRTERVYDGITGAISEDEFYGHYPGSTWSATRGRAGVQSYALIDRLKRYYGCGV WAGKLFCLCAIIDFLFSLFLEVWAPRANIDIARNWPRKPFINDKNKPVGNGVYWRRAY NDRMDRRNGSIR EPUS_06229 MAASGMQFTERGQKALSDAANLAEQYAHIQIMPLHLAVSLFDPP VDESKDQKQTANASQVSASMPLFRQVIERAHGDVQLFDRTLKKQLVRLASQDPPPEHV SLSPQLSKVLRAANELSKLQKDSYVAVDHMILALAQDSTVQRALADANVPNTKLIESA IQQIRGTKRVDSKTADSEEENENLKKFTIDMTALAREGKMDPVIGRDEETRRVIRILS RRTKNNPVLIGEPGVGKTTVIEGLAQRIVNQDVPENLAACKLLSLDVGALVAGSKYRG EFEERMKGVLKEIEQTKEMIVLFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHC IGATTLAEYRKYIEKDSAFERRFQQVLVKEPSLPETISILRGLKEKFEVHHGVKILDS AIVSAATLAARYLTQRRLPDSAVDLIDEAAAAVHVTRESQPEALDAMERRIRQLQIEI HALDRENDPASKTRLEAAKLEMANVREELVPLKEHYESERQRSKDIQELKAKLDQLKV KRDEAERSGDIQTASDLVYYAIPDVTKRIKELEEDRSRADAEAFSRRGSVGDVLITDA VGPDQINEIVARSTGIPVNRLKTTEKDKLLHMEQHLGKIVVGQKEAVQSVSNAIRLQR SGLSNPNQPPSFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRLDMSEYQERHSLSRMI GAPPGYVGHDAGGQLTEALRRRPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGR VIDARNCIVVMTSNLGAEYLARQNAPDGKIDPTTRELIMNSLRNYFLPEFLNRISSIV IFNRLSRKEIRKIVDVRIGEIQRRLQTNDRNVKIECTDDVRDYLGRAGYSPAYGARPL QRLIEKEVLNRLAVLILRGSVRDGETAKIVMQDGRVTVLPNHGEESEPDEDEDMVDDV DDMLAIEDKDPGMDLYE EPUS_06230 MLSKPFKPPLLSTPAGNSSQKYHNQDVERPAKRRRLSEEETKHI DVYQNPAGTGLATPLARPKPTVVPRKPFVTLHNPPSPEQHKSELTHGNEGYYTVLWRK YTAKKHKTWDGDGILSVRGGYASLRDVSGRDMGRLAFSDPLLPGSSLSIGGKDIEVDS IISKADFLAGKPFSKAGKPSATPTTSVRTQDLNTQPLIHPVTLPSKPRGSLQAQMRAE MLRDKESKKKPSSAAPTSLGKKTAFKTPLRDSTVMRQVPGEKLTPRHDPHAPGALVMK RPRTVPKDKQIVDVVLDPVLGKHLREHQREGVAFLYECVMGIRDYGGEGCILADEMGL GKTLQTIALLWTLLKQNPIYNAEPVIKKALIVCPVTLINNWQKEFRKWLGNERIGVFV ADGKKTRLTDFTMGKSYSVMIIGYERLRSVAEDLTKGAGIDIVIADEGHRLKTVQNKS AIAIQSLNTPRRIILSGTPIQNDLSEFFSMVNFVNDGLLGTYKKFMKHFENPIVKSRQ PDALEEDIELGESRSEELAQETSKFILRRTADILSKYLPQKTEYVLFCNPTPTQANIY RHVLASPMFQCALGSSESALQLITILKKLCNSPSLLSPKIAATDETANSASIIALLET LPQNLLRTLGPQASTKIRILDTFLYHLSRNTSEKIVLVSNYTSTLDLLQTLLTSLSLP FLRLDGSTPSGKRQVLVDDFNRSSSSAAFAFLLSAKAGGMGLNLVGASRLVLFDVDWN PAVEEQAMARIHREGQRKHCRIYRFVMKGGLEERVWQRQVVKQGLASSIMQGGNAGGA AGAIIGKKGIAQFSKEELRDLFRLDENVGLRTHELIRCSCQGMGRVDVEHGQEQQINP GTEDDDKPFFVEATETLDSCSASDSEARGVETDNDDADSLPDVHTLVKASTLSPTHIK DPTYQITADMQSSTSRSGRGSTATSNSKDKDKAKEKENAEMQSLMEYIHIDTSSLASI PPDAGNASSNHGITSNSKGEIEALIDDDILMDVLRGDGEGSPGGVAWVFKKTSGGAGS AVRATAC EPUS_06231 MSSPKRNPDQLDRQTTTPFHLKLFYRLSSFHHLTDFPIPTPSTP SPVLPQHLQIYTWPTCTLLELSHLLTTALPNLLPSPAIGTRLSFRLVYPDTRPLPPGR MREDDGMRGRWTSKEMGSVVISAGAAAADDGSEEADAVNGTSGGAGIKFRNTEEAERT LADSRFVIGDFVDCAIFPPLPDGSVAPGAQRGGYSGGVGPRGMGMGMGGPPPPRENGY GRSRGGGYGLGRGDGFGGGSRGGDFSGGRVPPGEWRRGERLPDSGYGRGGYGGGRGRG RGGY EPUS_06232 MSVLLETSLGDIVVDLLVDAAPKLCENFLKLCKIKYYNFSPVHS VQKNFSFQTGDPLGPDSKESDGGSSIWGVLDARAPKTFVADIDPKLKHLEMGTVSMAT VASTKDPDIRLAGSQFLITLGENLDYLDGKAAIFGKVVEGFDALQKINEAFIDERGRP LKDIRIKHTPILDDPFDDPLGLREPSSSPLPSKAQLATVMIGDDEELDENIDEAAVEK LRREREARAQALTLEMVGDLPFAEVKPPENVLFVCKLNPVTQDEDLHLIFSRFGKILS CEVIRDKRTGDSLQYAFIEFEEQKDCEQAYFKMQGVLIDDHRIHVDFSQSVSKLSDNW RDSTNTKRAKQSGGFGGISSLEKRRQYRASDTDSRSRGYGMVFDKDDMRNRGRRNSPS KSSRRSRSQSRSRSPRRKDYKYSYEYRQDRGGRDNIGRDEGRSRHGYHRGGR EPUS_06233 MAEAVKSGISNLMDTLHLTSKEKKGVAPSEPSEQDLNSLREKYG RAKQDQVFAFYDQLSVAEKASLYDQLLRFDPDRINHLADVALHPPKSSDDKGEPSIEP LPESATASVLDSNPEDIQKWYQSGLEHIANNKVAVVLMAGGQGTRLGSSAPKGCFDIG LPSHKSLFQLQGERIWKIQQLARKEFEKEEVVVPWYVMTSGPTRAPTEKFFEEHNYFG LQKENVYIFEQGVLPCISNDGKILMEGKSKVAVAPDGNGGLYQALLTSNARTDMRKRG IEHVHAYCVDNCLVKVADPVFIGFAASKNVDIATKVVRKRNATEPVGLILLKNGKPDV VEYSEISKDVAEATDPKHPDVLKFRAANIVNHYYSFRFLETIEDWSQKLPHHVARKKI PHVDTQSGETIKPEKPNGIKLEQFVFDVFPFLSLEQFASFEVDRKDEFSPLKNARGTG EDDPDTSRKDILDQGTQWVKAAGATVISDSGTEAEVEVSPLISYGREGLQYLKGREIK STAVIEKDPER EPUS_06234 MRGGGRGGYGGSFRGGFVGANRAATCYKCGGPNHYARDCQAQAM KCYACGKLGHISRDCTAPNGGPLNSAGKVCYKCGIAGHISRDCTSNEVNGTVADAATT PAAATAAAEPVAIPSVPAAPATATVT EPUS_06235 MPPIDNDLWTVDNAPGVAQLLHAQPFTLHITVQVVLEIQWSPSS IARDLCFTVCDCLLHYLKPFLLLNLRQLSLVHVTIWPPSPDYFRAGLQRGEFGQDDFL DKGKVDPRSDDLDMDCMPWSLFCFLQRLCRHEPDRSVIS EPUS_06236 MSTEMDIDPTPPSSNLKNGDTKASSAGEARTSTNAVAVRSIEGW IIIATNIHEEASEEDLTDLFAEYGEIKNIHLNLDRRTGYVKGYALIEYPTQPEAKAAI KALNNTKLLDQTISVDFAFVRPPPKEKPKGGRGGRGGRGGRSRSRSRSRTRSLSRDKE TSKSPKDDIE EPUS_06237 MTWGYDSTVVKGVATPVDKSNIFAHARDLLYALDRERPPGRPLI FVTHSLGGIVVKEMLRRSETSEEKSVQDIVESTQAVVFLGTPHRRSAELAALGDVWRH YNFRVKTFQEALGFSGVDIGPLNEKVVPDSSSSLDDLREHAETIHANHMNIVRFTDES DPGYRKVGGEIKRLVGFVVARSKYTNEEITGCMQSLSFRDLGARQHYIKDACENTCNW LFDTSTYRIWYRRQPLSDHCGLFWLRGKPGSGSRP EPUS_06238 MIFVDALDECEEQSVRDMVYYFQSVTDSAHAAGATLDICFSSRH YPTITLPRCPGLSVEDGNRADIARYVRVKLHVASLLDETICSLETQIVRKASGIFLWV ALTVEMLIRMVDDGKVAQNLEDALKHVPDVLEELFSNLFNTLKRDERTKAIRLIQWVL FAARPLSPAELSLAITFSSDHPYESVPHWQASGEYVRSPEQMLRFIRSYSRGLVEVKY NVVQLIHESVREFFLSGNGFAILDDSLITDGIGQSHSLISKACLNIVATKDYLSGWPT DWWRLSSYAKEYLFHHGQEAEKNSVKPLCLVDLLTRNEMFLWKRWKSFSPFIDRIYSE EDVTLLYVLCAENPPPARGSYWSKPPILTRQAHLHVMPSSRPRGGSGIPER EPUS_06239 MACVDVRNGSGRTALHSAILFGHTAAAQMLLQYGPDLNARDRRG DTALHEAARAGHEELTRLLIDRKANIHTQNRENETALHVAVASSRNGIVQLLLRENID LEAKDYGARTALYRAVQHENLVLVNLLIEKGTDVHLTDGYGRSMLHIATLAGNVGIAT MLLETGVDLSIPDHYGETALHQAVQRSLPSLVELLLERRADVNTPGRYGRTLLHIAAV SGYDTKVFGVIPSVHYLLVKGHRRVANLLFHTNIDLEARDVHGWTALHLAAQHGDLTL VNALLERKVDLRANDSHGHVALDFAQQHNHKAIEWQLLSKLYPKTDSRLLKASTGS EPUS_06240 MPVSSLISAMIDTTEYPSARPKSPSVPCSTRTSDEQVAKQFAAG IASLPVDAPGSQQIQSVQQPDDFTAPDPASLATPTSVPRKRYAWLEKKEPASTANVQT LSSDTPPTASISSLQNTRAISSSPSSPQPEKRQRLLPTAPNPNAEKAKLSTPSSLYPS PSTTMPPPNLRDANAAKKRSRLARADHPERFQYAGNTFSNLDDSTFHQTVQQNPYLSE LTVDASRPVYNSPKEARHALKEAAKPSVAEVNYDKQIKELESTIANFRRKYEKTKMMT TQAGIQGAGNVWDRLSNIVQYPPVFDEYAQNGPDDLGNYIKEMRAWDKAIGHQVIIKK RIVYKEAIEKLQVKLHILQTEKDLDGHNTRLKRKAAQAMDDED EPUS_06241 MFPTVNDVPGAAKATINQTKKPMTNNETKNDPDKDGQISPVFPP PQHTTTTTTTTATTTTTTTTTTTAITNPHTMLAFTLTPLLVLLLSGATTAAPTSAPSA LLPKISTPETATNSTWAPDDPWRPGGCLSKSKQAEDRYNEVLEKCRKMGFKKWFADEC YKGKPKDCCPADQMSKSCEYWWPAEWLNLKE EPUS_06242 MAILLADLACEIQLLVFQHLYTIDDTHHLERTCKKSNAVFEANR YIIFQSIILQSPVYISDIKLCHFIDAIQDFVAKHPDPLQVIANPDSHVKCRPKMDKLA DLLSVRQTRRFVSVCMVDDILARWSGLRLLVDICFEFDGKWPTNPFERNPVKKMVTAA RSSASGGLSVCSPSAEWVARLYKAIVGVWLRQAILNFGNIMHWESAIALNVFRETDVL PLPGCSIRDMADLVKAWNILFVRLRKPPFQLGHPSVIRSVTQDDIPGIITILRPPDIA NLLILSMDVSVPFPPDDTVFLANCGVSEFSSGGIWFSRIHHTEAPEEDGSYLENSLTP ICEGLRKALWVMFDLTRLGRSSFGKERQRDPPFSHHALSIQMETWVAKVKSPDDLFAR YLTNDQVVEDIIGKVFLRELLRRDLDPQVPFSTRTAIDWYYKFASLFRWVARRDFADA DVEEILEILDSLDEVTMDAIDTSDELWSRSAEWYNTYH EPUS_06243 MATPSILTVRWKEAFGAEERPTLYSSTEPQKKNFASLNSSKNFI KDLESLSHPQLYALAENCQLALNTAQDEYLHIECLVRHLEQKDPPLKPNFLLEPDRYE EEKEAALYGYKRFPRGMPYTRTKDGAEFYGFQEPFSQGGFVPTEAQYKRMKANAKDPN NIDGWTPIVRDGKKLIPRMPRSPPPRQKGFTGALTRPSRKRRLVEQGLSDTDATAAFS DSDAFDTPSKHLTRVLGRKIPPTRDASETPGSNRHSPAPSRQHRFIMNNLTQALLSSS ASTRPSVNSTPPPHETSPTPSHKRRRITHQNNEHRFTTAISSRSSPVPHDPEEKKWTD VSLISAINADHSFLHPDPAIALNWKHAILNAPNPVRSYAMKRKWAWWRKGGMDKRPRR GWREGTGEGDESQENEIRDGPRTKMEDAKAAGFVKQEEENDFRSQHYEEAPGLQGRPA PPLRWKQDDPFNEPYDTKQPPTMQPQRDRQFTFKIDHPPPPPSLLWRTDEQRRAEPTI HKIEKLSSPPPFLQRDPSLPTNRIPLPPPPPPPLQHYGHAPPN EPUS_06244 MSTIQNLKNFIRHGKQARVAEPPRDQPTTNISPVHAHQQQRNHG QAHSDPNFARQNQGYQQPQQVAGEYSVAAVNQQNVAAQAGHAAANAAGQGQQMQNQGR EAELQQIINEEREQKGKLPKYPGLERYVLLEKMGDGAFSNVYRAKDTQQPGEVAIKVV RKFEMNANQDAHLHPDLKKQPKVVERANILKEVQIMRQLDHPNIVKLIDFSESRQYYY IVLELCPGGELFHQIVRLTYFSEDLSRHVITQVAKALEYLHEDKGVVHRDIKPENLLF YPAPFIPTRNPKPKSPEDEDKADEGEFIPGVGAGGIGRIKIADFGLSKIVWDSQTMTP CGTVGYTAPEIVKDERYSKAVDMWALGCVLYTLLCGFPPFYDESIQVLTEKVARGQYT FLSPWWDDISKPAQDLVSHLLTVDPDKRFTIREFLAHPWIRKSDKRNGSANQTPGGGT RRADFRSPGAINLREVFDVGYAVHRQEEEAKRRKNFKHGYRGAVAPGQMGSLNVLDED DYDEEETDGYDDDIASKVPKSQQPSDVAGMEAKMRSTNLGTHPSSAAQARASAAAPAR SQQAAQQERGYGQHSPAVAAAAKQSVGRKAKQPFELSLDNSTLLGRRNKGPGGMQMQM PMPGQGDKRSKLREEVVR EPUS_06245 MAPHSGALSPPAADNDFVFTISDREEAEEERGRATRNGATGSVE EWGSSAEDEQRRGNVRIGKRKRETGIGEEVGESGRWNKKHRGLRDERAGAKLRNLRQG GREGSSDEEEDELGEEEEEEDDDGALDPGFEFRIPGLEDGGLVEGFDAWGDDTNAALK RKTGGDGKESGKKQGVDLDKIIERRLARRMKSREGAVNGDAHVESSQNGVVEVDGEQD QEEGREGQEEEEEDFLDFADDELLATDGFGAGAMDQEDEEAREENSDDDPRQQDGESD AESDADDDSIASPTAHPDDMAHSDGDSDTSVEDPEEASKRAAFFAPESEEKSASKSDP GSTDMSSSFQSFSLSRPILKGLSTLSFTNPTPIQRKTIPVALLGKDVVGSAVTGSGKT AAFLLPILERLLYRPRKIPTTRVAILMPTRELAVQCYAVAVKLASFTDITFAQLVGGF SLREQEALLKKRPDVVIATPGRFIDHMRNSPSFTVDTLEILVLDEADRMLEDGFADEL NEIISSIPKSRQTMLFSATMTESVDSLIRVGLNRPVRLMVDKQKSTVDTLSQEFVRLR PGREDKRLGYLLHLCKTVHTSRTIIFFRQKKEAHRVRIVFSLLGLKAGELHGSMTQEQ RINAVETFRAGKTQFLLATDLASRGLDIKGVETVINYEAPQNHEIYLHRVGRTARAGK SGRACTLAAEPDRKVVKAAVKSARAQGAKIVSRVVGAEEADRWAREVEMLQDEVEEVL QEEKEERQLEQVGKQVVRAANLVEHRDEILSRPRRTWFESEREKRNAKTREKEARGDG GVGSEGQKKKVLGKRKLSGKEKKRLDDKRERLEEEGKKVWRKGKGSEEAVRRERVERR RKKGKATTKEMKKHKTRTSKRR EPUS_06246 MSRGMLPGKRRSAALQSFSFRKPSDVDIILDDEDEHSFVSSYTN LDKISGQILIKCGKDSSFHELEISFEGVTETYIEKVATTAPTSGRTIGRHRFLKLLQP IDPSLLPENRVARAGVLYTFPFEFVVPDRLLDQQCTHRVDSPQVHEAHTRVPPSFGDP MLAGDGQTLQDDMAPEMSKIQYMIRARMVKARATGTRSSDVTDKAIKVRIVPAVDEDP PLNISEDNKDFELRKEKDVRKGLFKGKLGRITAEAPQPSGFRLPALKNQSGCPISTMT TVNLRFDPIDDKVQPPPLGSIVSKLRAQTFFGSVPFRHLPSRSSVNAWDTQRGFFVQS VELSSRCISSVEWRKHDGMGSSTASLSRRQSNFSDLSMASTENTPDPSSAYRPGSPFY TAKVLVPMSLPRNRSFTPTFHSCSVSRTYVLDLNVSYHTPGATVSTPSIQLRLPIQIS AEGNPDATVTISEEEAEAIATREVDEELAEGRFSSRSLAPPMQIPEYSETQPRVLPAF QGLRRQSEQQQGPPGYSLAGWGGSLLRGGVATTSESLSAFQTAARANSVSVM EPUS_06247 MPPDCRITTILLCWTTLFSALYDAFYIPGYSIQSYKDDESIPLL VNKVYSDNTQLQFAYFELPFVCPPTGNKQSGSPFGSGHSVPLNLGEVLRGDRIMTSDF EINMNQDIECRYLCDQSVTRKDVRRAQQLIEDGYVTEWILDNLPGATSFVTVDRSRKY YAAGFKLGEKELDLDSGKMRYVLNNHFTMVIRWRKAPGKEGTRGAKVVVGFEVYTKSI GGSHRNETGCPEVSGDHEKFQLYIAPNNTELAAKYPDSSYLPEDDMDVHDGATLTIPY TYSVYFREEATVEWSNRWDLYFTDQSESTVTHWLAILNSLIISGILGAIVIVILSRTS QGDLRGRGDSYLEEAKFRTRTRRSGDRKSPKGGLLEKITETEVDEDLSSDDEALDDVT GWKLLHGDVFRIPPHAGIFAPLIGSGMQLLFMVTGLLLLSCLGVLNPSFRGGFVSVGF GLFIFAGGFSGYFSARVYKTFGGQNWRKNMLMTALLFPGLLFSLVFILNLFVWAQASS TALPFGTLMGLASLWLLIQVPLVYLGSWYGYVKTEAWQHPTRTNATPRQIPAQSWHTR SLQVVLLAGLVPFAVLFIELMFVFKSVWQDKSGYYYVFGFLSVVSIILIITVSEVTII ATYIQLCSENHHWWWQSFFVGAGSALWVFAYCVWYYATRLHIQGFISSILFFSYSFLA CVVYALLTGTVGFLTAYAFVRRLYSATKVD EPUS_06248 MTSLKSHFTVPKLIFYFLFWGLHWGLFAYGWVKQAQDSRLDPLN TLQYSVWLSRGAGLALSVDGLLILLPMCRNILRWVRPKVKFLPLDESQWFHRQVAYAM LVFTIIHVSAHYVNFFNVERTQLRPVTAVQVHYAQPGGVTGHIMLLCMLLMYTTAHAK IRQQSFETFWYTHHLFIPFFLGLYTHAVGCFVRDSVPAYSPFAGKDFWDHCIGYQGWR WEMVGGGLYLIERLYREIRARRETEITKVVRHPYDAMEIQFRKPSMKYKAGQWLFLQV PTVSGYQWHPFTITSCPFDPYISVHVRQVGDFTRALGDALGCGPSQAKEYDGLDPNGM YEIALSNGQKMPSLRIDGPYGAPAEDVFDNEIAVLIGTGIGVTPWASILKNIWHLRGG PNPPQRLRRVEFIWVCKDTTSFEWFQTLLSSLEAQSASAADTAGGPEFLRIHTYLTQR LDSDTATNIYLNSVGTDVDPLTELKTRTNFGRPDFTRLFGAMRDGLLDQTYLSGLEGS LRTDIGVYFCGPSAAAREIKSAAKACSKESVRFRFWKEHF EPUS_06249 MGKLIKNHWARLIILTASAYQIAAAMEGFFWPKVFWDFATKNLD GAVKPFPVLQVLNLLFGITGLLWEWPLSFIAQTPIHRSIEARLAFYPLSALMAILLYQ ATNPAIYYLVGVGVYFWAYSEGESVAAVPWTLPKRLGSSPREKV EPUS_06250 MPRGNGAQSKVVFHGASDSFIVFVESPEAVKDWKKDRSIPLAQV MAGFKVFQTHKHGAQGVLDGASKSTLENEFGTSNDDEVIIKILEGGEVQASEIGERVG VTNITKGGSIPH EPUS_06251 MRYGIVHSRLTTVPHKPRPLCATWLRQWPHLRNSSSKPDAVDAA TDPRVEDLGRLIEDDFAKLRDKYRTPKYPIVLAHGLLGFDELRLAGKFLPGVQYWRGI KEGLNANNIEVITTAVPSSGSIEQRAVALMKDIKAKARGKTVNIVAHSMGGLDARHLI SSLKPWDLEIKSLTTVGTPHRGSSFADWVFREIGEQNVPRVYKLLARLNLESGAFSQL TTKYMKETFNPANPDDPSVRYFSYGAQFKPSLWSIFRFSHEMIEVIEGPNDGLVSVAS SKWDERGYRGTLDGDEPPKVACRRADADEEKVGTLSI EPUS_06252 MVGAILLSKSAQNSAGIQTLLDVRSAPALHVNRPSDKPQAEKEA QKIVQKDRTKRVKDAKAEAQKEIEEYRKKKEEEFKKFEAEHKQGNKKAEEDANKDAEA KVKEIEAAGKKSGAKVVEDLLRMITDVRPEVPDKIAVNS EPUS_06253 MTVVQPKPKQEGLTFALQALLDRHESYMAESEEERSKMAASLEQ LEDDKRVLEARNAIVTQENQDLLHQLECMNSQIADSDAQIDSLMATLSSAQYENKRLM VLAARAAELEAEMTAMEVEQSRLREELVASQQEERSAIHRWEHAETTLRDLNDQIQTI EREARDEREKHLEICGQIERKRVIEKELGSAAGRLRGAAAASTLGRDKEGINVVSHFV RDILQDNANLQAGIGELRELLQTSNEEVQNLKEQVLQHQPVSKELELQSPSLMDEIQQ CRPEAVPQELHVHHHYHAKITAKKERVPSFRRPPKRRGLTSSSANSSAGCQTPVSPDR ISTMSRPHPGSQINRWSTQSTATDVSNISSLPNSPYSPNRSSSIFDRIDSGFESSRPT SPESACYATTRFHCKQQRPQSAAFTDLTDVSEDEPQSTISELAGKGKSPGARPRVQTG HVDANFEHGNACIGSDPRGPLSSREHPQPETDSLILELGPGPAHESRDTYTLNDLLFN SPALRRSNSQESLVSISGMDIHLPQKRDPRPCLRPRLSSTVGASDPLNFSVAFPSSQP LASIAEVNASSSNLKSTSTTESASPLSLLSGLASGRPQKPAAKGLGRFVGSWARGRWG VVPVASMGNIRVEAISGNSFGRGPGINQKGPIVGFKPPDRTPSEVHAERLDEDLLKES LVE EPUS_06254 MVQSQIYRYMFTTSGIFGFYLPPANYQRRVLKQLTRRIEGAIRD PDEDDISGPLMEKMAHLSTLEDPSPLETAEAKSVLTYILPSTTETVLPNAVFIEECPS LVASGGNTGLRTWAACLHLATYLATEAKHLVLGKSVLELGAGRGLLSIICAGPLSAAY VLATDGDRHVHETITRNVNLNPHLARKPGRQNPLDARVLEWDSSAPLGSLLPSKGGNV LYDTILGADITYDPDSLEPLASTLSGLAELCPTANIVIAATIRNVDTFDIFLAHCLEQ GLSVYDIDFRCPPFELQEGLFHSLDPPIRIVRIKKEG EPUS_06255 MSDQQVTLRTRKFIRNPLLSRRQMVLDVLHPSRPNCSKDELREK LAQLYKANKDQVSVFGFRTHYGGGKSTGFALIYDSHEAMKKFEPHYRLVRVGAAQKIE KASRQQRTFYPVLADDYTLHISPAGKQRKNRAKEVRGTAKTKSASKDKKK EPUS_06256 MTSPVVTVAYLLRDSASDVANAKSNAVEIQVNSSECQTYFTARG LQTGGRYNPYPSNQSVATRSQPLQVQTTNAVTSPYANLPTSYDCYNGLYGSGVTRASY PTYSTNYDDEMYLGQAPAYMLPNNNESVLSTNSAFGPPASPRTWDVFSSSGRGQNGLY PDQNPSSAVSLTSGSFSSNCIPFTCSSHDVSTSLSGSSAIAASADRTLPNPATGRSQQ QALIMAGSNSMDGLAISNIGYRNSLPWVGADNMSASSQSSDRIMSVSYGSTVDSNVGS GESSAATEDASFAYVPISQTSPSASIRAASTLPDPGPSQLVRKLDESAVEQRTTKTLS RESTPSPEHCMAEAYGYSGDLVVGRRSIRGSNSSGTLSNGQEYTRLRPLPIPTSDFYR SSQHGSADYQAELTHRTSIASLSGSGRY EPUS_06257 MAHITVATTNATGGDSGHVEAETITLGLRDARPATLALHADETL RLVDDIASPIHVSTNYKYPNDPQQLKPFYGRDIGPTEFVYSRESSGNPTRLEAVLSSL LKSPCLTYSSGLAAIHAAYILLSPKRISIGNGYHGTHGVLEIFKRVSGCKELPLDCPA EDLSAGDLVCLETPVNPTGDAFNISHYAEKAHSRGAYLMVDSTFAPPPLQDPFAWGAD IVMHSGTKYIGGHSDMLCGVLATKNKNWFTQLAEDRVFLGSVMGSLEGWLGVRSARTL ELRVQRQSQNAMDLVEALDGALSGCSVGTGLSQSDVDVIKEVVAEVKHASLQHGDKAW LTKQMPVGYGPVFAMVMKNEDFAKCLPSKLHFFAHATSLGGVESLVEWRTMSDATVER TLLRFSIGIEDSRDLLEDLISGFRAVLEQDDQLQSITTKLEDTCNTAADPAESV EPUS_06258 MSIFRHFLSRSGSNIRGAPMDLETLSTVVHDEILAEYVEFPSPQ TPPLGIVHPSKHQPNQHWNDDTERTQLSMHADPIPRPQMQIDSHPEKMLAHPIPSVRP TDINRYREPGYMGINPITLVGETGLLPGSWGQGKEFVNVMAGHEPRKWPGAREPLAYR YDTSMQQQKADPVIVDMNELRMQYAQAILDGGACAESSSKGSYLTIAMQSRKDTWAKG CDGVGGIEVEEEHEDDDHVDDENNDNDNDDDMADWEEVSGDEQDEIDSEYGSGHKRDD SGYSSGKAESASKTLRAGMPVVPLGERSASMQRQSTKKERLAS EPUS_06259 MLLGRMVQFFLGNRRVYKIKAKLFTWIFIFLDIVSFLVQAAGAL MANDQDAPEIVKQGLHGYMGGIGLQQFIIFIFTSMAIRFQTKVKERERRNLYAGERIS MTEYRSPRQARKLLHVIYVVLG EPUS_06260 MRICGNKVRTGCWTCKARHLKCGEEKPQCNRCVTAGRVCDGYST SRPAFKPAAKCPKKGLIMIHYTANLPTRNIAKTNIPKAPSTAISQDSREQRFFEYFKT RTVLDLVSGFNSELWSLYVLQLAHKEPAVRHIVIGIGCLHKQFESAATGDIFDSRFAL KQYSTAIKHVIGSFNPGSQQSIDVALLTCALFALFESLQGHYRSALTHITSGLKVLQE RQANNSSSPSSYKLARFLEDLFNRLGPQVLEVADIQSMRETCLQDITVPSTFSSIEEA EVILTSIWHRIHYIMLYLEASTSAGFLPLLDPGKQELLGTMLARFFGRWSAAFDEYLA NKLRSKLSCGGSPKIQMEPGLYILRMWRELIKVWQGLDASAGETAWDPFIDQFKLIAD LATSFVDQSAKTTAYYSTPMTSTLRDAPRPHTLLGGSKSTAALTDLFGLSGHIQSNRS NVPHAPVPAPNIKPTLSLSTGIIMPLYVVASRCRDPAIRRQVIRVLSICNRREGIWDS QFSSQVARQILEIEEAGARQYLREAGKQNANDDGKPIIIKSMEQIPECVRVRTSWTSF GPDKQATVQYKKSNAGNKQLESQEHVYEGHFQW EPUS_06261 MSAEADVKLQDFENIFKLDGKVAVVTGGSRGLGLHAASGLLQAG CSQVYITSRKASGCEQAVLALNALPNKQPHAVAISVPADLSKVSEIERLAAEIGKQTP HIDILFANAGATWGERFETHPEPAFSKVMDLNVKSVFYTIQKLEPLLPARAPAAAIHL TKNLAVELAPRHILCNAIAPGFYPSKMANGLMELEGGEEKLAQMSPNQRLGHPEDVAG LVVFLASRAAAHLNGTVIATDGGAVLARGRL EPUS_06262 MKTISATAARKRIVVACDGTWKDSDGEYEIPSNVTRICRCIKQE ARDAETGEVIPQIIYYQSGVGTESTIYNKIVGGSTGLGLAEHIREAYSFICNNYETGD EIILIGFSRGAFTARSIATLIGAIGLLNRQGLIYFYQIFQDWQHQMKPNWKSSYPKEP WENRPPVHKPEYGRKLLELELTRPNIPVKAIGVWDTVGALGIPMVAFLPQPKSSEFAF VDTKIEPHIEHAFQALALDERRRTFQPTIWEKPEGQEWPLTMKQCWFPGVHSDVGGSY ADADLANLTLTWMISQLEPFLAFDHSYIVQQNRLTMERHIANGHPMRKWGLGRIQDSM TLIFRLAGSARRTPHEYHAIDRLTYKAQRRLLNTHEYVHASVRIRMGLKGYGYNDKGL YDSEGLEGWTMHGTESAGERGELGGMRDVKWVKRDPQRRNDPPLIMPEDELAELEHEI MKSWPDVERGFASIRPGTHHMKMHKSSTDPLALSPGYSVVNGRDYGIVRVVEFGKAKI SPRMQTL EPUS_06263 MSSCEVDAPWKGIARRKRAERDTAIPAVWKLDQKHLPKENREPE NVLSVPDQCGILTAKDLTITSRYSARSLISAITSNHLTAKEVTAAFCKRAAIAQQLTN CLTELLFPSALQRAESLDKHLAEYGKPFGPLHGLPISMKDSFNIMGVDSSIGIAALCF KPAKSNSPLVDLLLSLGCVIIAKTNVPQTLAALDSNNNVFGRTMNPINRLVTAGGSSG GEGVLVAMKGSMIGVGTDIGGSIRIPAMCNGIYGFKPSVGRVPHGGQEVGSLYGDGRA AMEAVTGPIARSVQDLDTFLKEVVPRAEMWAEDCVPGNWGVGEMKGCGKNGNFVVGIM RRDGNCEPLPPVLKVIEEVKQKLTRVGNIEVVDIPTPQAWTKCQGLANRLMAANGATR MADLLEETKEPLAPWMQRRFKRSPARSIAEVRDMQAQRSSLERRMLDIWYQTDKDGSR KRKFDALICPVAPHPVPPIDRWNAVGYTSSFVLLDYPAAVIPVRRFDEGDLELGKEMG GPSLGSWDERNRQLWDEKITDRKVYLDTPLSIQVVPPKLHDFELCRMMDVIDRALSTT GRDSKL EPUS_06264 MDGEQKTRFFKMLVGLGYKEIEISFPSASQTDFDFTRSLLETPG AVPDDVYLQVLSPCREDFIRRTVESLEGAKKAILHLYLATSECFRRIVFGMSEEESIA LAVKCTELARSLTKDAPKDTPYAQTEWLYEFSPETFSDTSPEFVVKICEAVKAAWEPT EEAPLIFNLPATVELATPNVYADQIEYFCTHVSERKKYCVSVHPHNDRGCAIAAAELA QMAGAERVEGTLFGNGERTGNVDLVTLALNLYTQGIWPNIDFSDINSVIRVCEESTKI PVNERWPYGGQLVVCAFSGSHQDAIKKGFNARKASGAKPDDPWQMPYLPLDPQDIGRN YEAIIRVNSQSGKGGVAWIIQRALELDLPRGLQIAFSKIVQKEADRKGRELLPREIQA LFEDAYHLKRNPRFTLIDYNITAVRSSGPGERGTPGASPQVQTVPATSTSTSKRQFAG IIAIDGAQHPIVGVGNGAISSLANALHSLGIDLDVADYKEHAIGGGRDVKAATYIECM ASGSPSIKVWGVGIHEDVVQASLIALLSAASSFLTSRTSTPVPFRPKRKDTFSPSEVE ALEKLSTSSPHSSPKATKAAFREGIEQQKVDIQRLEEKAEAAASAASATASGKENETP NGTG EPUS_06265 MLGFSANDAGGHDAVHAIWHHLQEIEDARRDTSSSYQPRGLIGS AWDYAKIVFRLLFMNSPSRDHALSDRQPSAVSGDLARSINILKHAAALDDSDAIFLLA EMSFYGNFSHPRDYPQAFDYYYKLASSTGNNTAQYMLGFMHATGVGGAVKRDQAQALL YHTFAAEQGNIRSEMTVGYRHHSGIGTARNCDKAAHFYKKVADKAMAYWRSGPPGGRN LVKEAYRWADEAGGVYGEGASVSSSGLNANRDRSSHASTDDIMEYLDMMARKGDLKAT FSLAKMYYENRNSRHNVRKAQRLFIKITKQYWDKQGKIISSGPKGIDRIAAKAAAYIG RMYLRGEGWEQNYEKAATWFRRGIANGDPLCQYLMGVMYRDGLGVPKDSLKAASFFRV AADEDIAAAQSNLGILFLDQGELETAGQYFELAVRHGHLEAFYYLAELANKGIGRERH CGMATTYYKIVAESVEEIHSSLGEANQAFERGDLETALVASMMAAEQGSEAAQANAAY LTDVQTSVLNVPTISIFSSTLNRPASAVRDAALALIYWTRSAKQANIDSLLKAGDYYL SGFGTSNPDLEKASTCYHTAAEIHHSAQALWNFGWMHENGLAVEQDFHMAKRYYDLAL ETNSEAYLPVKLALIKLRARSFWNTLTGGKVNSIQAEPAETKKSRTLSEWVAHFLEYA DEELEEGAEGTRLDDLELESAAFGNDAMPGGDESYYEEVDDGLLETLIIIGIAATLAF LVYYRQQRQLQQRRAVQGGRIAQNVPGAVAAAAAAPGQAAVPLPTGAPQSQQQEQEQQ QQQGVMEGDDGGFFPNPGDPDYAAWAAGGVGH EPUS_06266 MPDHSDCQYPQERPFMSTLALLRSRVQQGCQNCRLIWQGIEEFA DDKVREDGKLCDDNAEVWVRQKVVNVTWTQVDSSPLRLEFFTDSNEGVFPAWCDLQPL PIVSEPASKACFDTIRRWIDECSTEHARCHSDASGPLPHRVIDVRGVSGTSDVFLYES HHEAARYIALSHCWGRQPPLTTTKATLLERKFAIRWTTLPQTFQDAISITRELGVQYL WIDSLCILQDDEGDWVTESAQMDQVYKNSWITISAAGGAHANHGCFRRVSRREILVLK VRNQKNDVLSSILIRKQILVDAMYGTTGTDFVPTVSIFTRAWTFQERLLSRRVLHFMP DKMFWECYSQELCECGHAAARFNSYLGMPPDLVKFLKRDHIRAVANDPELDFTDIMAQ WYTLVSQYVQRTLTFETDKLPAFSGVAKQFQEKNKLGTYLAGLWSVDLLKALLWYRSV KGTWKYLPHLSESLVPSWSWASISGAWEHDQIMGDLATETAQVIDFSCTLASEDPTGA VLGGYIILSGYLASALLRFREGDPSIGARERFLVQDALIVQGDSVRPFDADYLLEETS PSLASGQAVYLLQIAVENNQDGVGPNYFALVLNRIPNSPMVYQRIGLCSIPDIWFGEA LRNEITIV EPUS_06267 MAAARAALDEEHGMVMVKDVQDHNSYFAGRVHEHNVVIACLPAG VDGTAAAASVAKDMVRTFKALRFGLMVGIGGGIPDLDKDKDIRLGDVVVSQPMGTNGG VIHYDKGKSLEGGKFQQKGSLNAPPSVLLTALNALQADHELEDSKMSTYLIEMFKRRP KMRATGYAFPGTDKDCLYQANYPHSIGSDTCDQCDKAHELHRRSRSSTEPQVHYGIIA SGNQVVKDTAVRDLLRDDYGALCVEMEAAGLMNNFPCLIIRGICDYADSHKNNAWHRY AAATAAVYAKELLIYVSAEQISNEKPINQVLDIVKGHIEAATDHYRRQEIQYQNEKDR KCHLAFKLDSYEQQKNIHPDRATADPGCGKSVLSRSLIDQELQNTNTHRVCYFFFKDN EKQDKLSIGLCALLHQLFDSQPRLLRHAATAYEKNDHKLSQEEGELWRIFLAATADPE ARNVTCVLDALDECREDDRRRLINMLSQFYVNSASSRRRKNWLKFLVTSRPYDDIQRR FQEMMSSLPAIRLRGENENEQIRKEIDMVIRGRVSELAVTLELKKETRNRLEQRLLQM EHRTYLWLHLAIDHVYETYRSSLRPDDESIESVPSSVEAAYEKILEKVTGKVKEAVKT ILQIVVGARRPLNTGEMALALDMATLSHVGSAADFRIDKDHLERNIRNWCGLFIFINH SKIYLIHQTARDFLICEITMARNTGWKHRFDRIGTETLMTRICVKYLLLKDLKENVSY DRITDSRNEIEGKSNKGDMKKFLSYSAEHWPGHLRSSEIKENDSFITTLLQLYDTTSE RFELWFRLFWATTRSHGFQPEMNELRLAAFNGHDMVLPEILIDKDNVNLGDQEGRTAI LWASVGGHEKVVQMLLDKNADVNAQGGHYGNALQAASVGGHEKIVQIMLDKNADVNAQ GGYYDNALQAASVGGHEKVVQMLLDKNADVNAQGGGYGNALQAASAEGHEKVVQMLLD KNADVNAQGGGYGNALQAASAEGHEKVVQMLLDRGAKVNAQGGRYGNALKAASVGGFE KVVQMLLDKYANVNAQREDYSNALQAASRGGHENIVQMLVNKNVGMNAQDQVYGNALQ AALSRGHKKVVEMILDKAAAVNAQGERCNNALQATSSGGYTKAVQMLGKGAEVNARGW NQTHKHEISLDVYVDREKLSTYSHSDEVWHLEFSHDGTKLATASKDQTVLIYETATFT ILHRLTRHEGGVTYVTWSPDDSKLISTDEKAHVWEVPTGRCLRTLDCFGHRVSSASWA PDGHSFITSTFGGASSICHWSARPSDLGQNLNTIGGLGARHCAITPDGERIVVAGERH LYVYNVHTYEKEYSQKFPAQLTCVSVTRDSNNMLVNLAIGGAKLLDIETGNTIRTFEG ATQGNYMIRSCFGGSAENFVVSGSEDSMIYVWHKDNGCLIQKLRGHAYCVNAVAWNPG DPGMFASAGDDCRVRIWSCSFSANDAVPSSEKRPASSKSMGRSKAPSNLEKSKPSAAD GGYSGLRDVPEELPLFIDPSRVAALYSAWYFPVSLGSTDALRNQIFVKAVTSNPDPDS PIVKRTHVIRRRRFFEPVPVDLGRFWDNDDDDSSGGPDDDSDVEIVGVRAIEGPGAAA GNPIVIQ EPUS_06268 MRSFQNTSQSIPISDYPAQKVIDHRYTQSKYKLRDRHEIRLFSL ARGSRDTPIVGSLATVQIGGQSVDSTGTDDALSTPEYEALSYFWGEGRGCEIALNGRP FKVTSNLFSALQQLRLEDRDRVLWIDAICINQDDYEERGHQVSLMRRIYETAAHVIIW LGDASKQSSEGLEELRNLFTASSRWDKAEILFGRNPTEGLQDIFTRPWWRRIWVVQEA AVAMKLTFICGADILEVPANREDLKQLTDALQSARTLPGKDNPDVSSIFLDQILSLLQ LQLDRGGAVRSRLSDLIHTYILRQCSDPRDRVFALLGLSRTSDASANPPDYALTVEEV AVRLLCHAANDIDDDVVASRDVSGPLQLESPDFPDDDAWQLEKICMSLIGRNRQNRVF KASGNSNIDLKWYCIPHIPAKLQFAGVIVDAVSSLGSVSANIQELSLLSRTSTCSKCR DQDRHRQLFTQDFNRFMNTRGPANTFRWGYLVTERGHIALVPSQSAPGDKVCILLGFP LPFVVRPSSIDGAYDILGECYRHGVMNSEAVEFEAAETTFDDSVWIWDQGFLHRKRRH AFSISDRSRARPGLSGETQLARGLIIEKIVLNSWIPPAGLS EPUS_06269 MASQTGFCRDGCSFDTMDIDCSMAFRMVESTIKRIMSGLRERRE NEMAFAGLIKTDLAVSAVVHSQHRCSKFLTSVAPHSNASSSSQIPYSYPSTAPLLPQL RSILQGLEELSLQESPQAASTPFAAPSRTGQAISDLTSDAVTGPTHHPLTTLSYPEFP DIQASALSHHRNQARRELHRKAKANTFSSLPETRNCESRKRAESVAEYRRAANRLRHN RPTAFGPGKKQNLPSHSGLEVTSNLPRPITPDLLEFHSASSLIQKGSLPTSLGDLEEL ASLVPLLSHWFAPSMASESGEKPGPKDMAARRTDTPPRSIFDDYQSAYQSIISPDRAF SGASKVGEAANSSSALSDLSPPKNPFADLSPPSHGTRIASLPESRDRRSSRLPNSPRP KRRTNLLRPISVSPPSGHAIRPASMVKHDSNSSFDFGSPRRLNQDRSPNTKQPNLHGA RGRVEKSSIIGSIVKRHGDGSDCRKRSTESGREVEVENSLDISSSRQSVLDDPDVLRS SPAGQAPAIPLPPDPSCVVAKGLIGETLSEISLYENTEKLLNLTQASGTDTPAGRKAS GSPVKPANSQKGVLTSEFSWMGNKGKTSFRDLSTKELNQLRKSVHEQPGELTSQSVID PVGNEPYNDQYLLSNATYQSPPAGQRSTTSDELVEADARVAQLLSERLATHSSEQEQK SFSEPPASDLQNPKAGVDNTGDLDSDSVLDFGGLQSSSSRGVSLDVALKDGLFRPGLY MDESSMVSLVGRESAEAERLSAVAKGKQVIRSVKSEEEDDLFTEGDGNDGGEWETVGE SGMQRDLHTQVSIGRDTSGSSLANVSSNESAEQIKAAPSPWDPLRSHPTFITPPTKAL VHRYRRNGKTLEVQDPATVPRYVPPHSEGHPLPRLNRISSPTTALPNIVTPPNQCRTE NSPSYRHPTPLSGKHQNPFISSPPPVNVNTPGSSFELSELSSKRTDKHQAIHADSPHP LHQTHTPTPNTTNNHSENPFDTEDTCSDVSEDGSYLTFYPTNLTVDGSSILHPNSQHT PKSAKSYTPGSIKRTEHYLTGSPGFKMPESRRYQRIREELGCDTGGITRVPGTQQTAP PRAHIEEDLIRAMNPFRSANPLPDLPASNDFGLTFNKPLTRSSTDNQIAERMIIAQDN MNILKKKCPEFPFNDSMLKLVFRNKDGTATDRPKALRGTKYEEDGRIFEQAWCDVHNR WEFSHAPRLTKRIPKDDPKIQREMGRRIVLWLFLSVIGWLPLIFFVAWDPGHVRDDVM REWTKGTCQTFHKKEILLARMLTGFIGAVVAVALALVGVYL EPUS_06270 MAYRLHNDSIKLIGFKKIEIPQPERGEFEDHYFGNHAEWKKMDS TYYDPSTGTIRIYFPVEAALVEHNGRLIEANHLGELDMEQVDSDPTRLLRTEKGNYPL RRQTGSKFGHLREENSKIVAMPKRKLGALEKVDADLPNLQHKIRRDPTSYTEDFRAQY YQYENHREIFMAAPTSATDTGILSLRDLIDFVSHVADCYPEISKSFPQELIDILTLHH TILEPELREKIVGSLVLLRKKNIIDSTTLLQTFFPVLTSTPSKNLRTLLFGKILSDLR TSNSKATNHKLNRTIQTVLYNLLTGDRTSSKGLWAVKLTRELWRRQLWTDAKTVEIMK EAALAENEKVIVGGVRFFLGGDKEREEFEEDSSDDDGIDVSKIRHQVGINKKRKKASK ALSKATTAVKRKEKKRNQPHPLNFSALHLLHDPQGFAEVLFSKHLQNPKSRLNLEQKL LVLQLVSRLIGLHKLTVLSFYSYFLKYLTPRQPSVTTFLACLAQSVHSLVPPDILESL IQKIANEFVSEAAAAEVASSGLNAIREICVRQPLAMNDTLLQDLVMYKKSKDKGVMMA AKGLLGLYREVGADMLKKRDRGKEAALGLRSGQRKQQVFGDIPAGEIEGLDLLEKWKK EERQRKRLEKGLPEERSDNEGEEQEEGEEEEEEEWGAWDIEADISDDSGGWIDVESDK EIEISDEDEESVEKKRVKLDVDLENKENDAPNTTETKPSNLATTRILTPADFAKLQEL RAQAAVNLHLQKKGHKIHHPTAQSIPLTNSHRHMDDPLTAAEIEGLASLSKGRTSREE KIAAQDEYKTDRAEHKSKAARKKERKTDEGKSTTNKEKARKKNFLMTLGKAKQKGKRS LVETRKVLKAHSERGKRGGKRGNR EPUS_06271 MAVRRTPATTQRTKTSKKRSLEDDTSPLSKRVKASANVAKPPLK TSARGGTSSTPTTLLNAIPTRLEVFVFGDGSAGELGLGTKNAIEVKRPRRNHLLDPKS AGVVNLAAGGMHAAALTHDHKVLTWGVNDLSALGRDTTWEAPMREVNGEGDSDSDESE PDLNPLESNPAAIPADRFSAATRFVQVAAGDSTTCVLTEAVLSMVGAHFARFRILLEP DDEVIEVQREPMLIPGLKRIVQLSVGNDFCLALDAEGKVFSWGNGEQGQLGRRLVERR RIRALVPTRVAIPRSRIASIHTGADHAFAIDSNGNTWAWGSNNYGQTGVTKGVGLGGS IVIPPRKVPSFVGKHIKMIEGGLHHSVAVTHDGECLVWGRIDGAQMGLDIQKLPLDDP NKVLSAHGRPRVLLQPTSLALLGCVYAAAGSDHNIAITSDGKAYSWGFNANYQCGQGD DDDISFATLIQCSAIDDKKLSWAGAGGQYSMLASAWKDID EPUS_06272 MYTMNRVTIFLSAITLLTPFTSANPLPRAIPIPLVPADPPKPAN GDGIGPYASQDPGMSDRLSKAKFYTVAVAAGELGLTNAERGMRHYLGNSGDDLNVTPE SMMSGLPQLRTAVKALAQNEAVAAYKKISGASGERAFSSSWNNFYASKDQSWDWYFAI GGFSYSVTGVVTKKSGGGSLKYRVHIFDRYNWDAGKSVDIGPFHFEDRELGNLHLKGL AREYTVRGSGGVNEVEKFTPTTVIPPPSTGGRS EPUS_06273 MGSSSEAEAFLRHNFTHVVIGGVTAGLVVAARLSENPALTVGII EAGPVAIDEPAINIPGRLSETLFTKYDWQFEITPQPGLNGRKLPWNRGKVLGGSSALN LLLWTRGSREDYDAWEQLGNEGWGWDGILPFFKKSECFHAPNKEFQSQHQAYCDPDAH GIDGPLHIIYPQEYVASHQYWHATLNKLGVETNRSHASGENVGCWTSLTGIDPNSQRR CYSATAYYMPAAKRDNLVLLSEATVREILLEKDDDDWIAKGVRFEHKGSEYTIRVSGE IVLSAGSVQSPQLLELSGIGNPEILIAAGIDVKIENPNVGENLQEHMLTMMVYEIDPS ITTPEALRNDSALAKAADEEYIATKTGPRTAVGNSAAYLPFSHYMSASDISALVSKLA AAYPNTRHPREQILVQRFASGDGEAGKMEFLLDVSNYSPFFESQPNKRYGTMMQMLQY PFSVGSIHIPPAPSRGHHTTSADQPVIDPKYYAGPGGHIDFLSMVAGQRFGHRICSTP PLADIIVGRVFPPAPSSENGDSDEDLSDWVRNTTITDWHPVGTCAMGGSGGKANGVVD SRLRVYGVKGLRVVDASIMPLQISAHLQATVYAIGEKGASMIMEDWEDSKA EPUS_06274 MTNDQPLETSGTSSAGLPGVIMAEDQQRSDYVFRPVSVPKGYPE LKYKPIMLSFRCMLCVLTFYLIVLGLLITLIFVHNVSFSSQYGYFTIRILPPIIGTIT ASLWRTIVLTVSRIEPFIASASDDPTVYNWQNSAHRTILAEYFPVPEIFNMIRNRNGL LTFTYILWVLSNAVLAFKAVLLNTTNYDDYWEAAVTFWALYALISIYFLLIVGLVALM WHLRAVTTGLLWDPVSIADHLVLFHHSNFLAKFDGTGAISRDSLHERFRRNDFRLKYW DRGSLGIWHGFGVIEHDLHGSVQRNAPGPAPAPISTASEPNPADSAQSRLSALKAESS NAGTTATLSMQEVSKASYRSCYYNMERSMMVIWTTVALVLFCLLLAAAITNMASGIDV SLPYGVTLFLFQFLPAFVVGLYTWFWEDADVFCRATQPFIGMRDNPKPATENILLDYT CLPPGIATYTAFTNKHWKVARVSAISLLQRLLPIIIPGMVTVVDGKDHRTVYVSIPLL IVSLIYLGSYIILIPFEVCQNGLKRHLPRNYSAIADLISWCYASSLLRSDAFELSWRK RNDAPGYVYERWQMEAALRLKHHSYQFGVYKSQLNPGTHCIGFDEANQVDAVDLPDKR SLRRRRRRASHGRESQMKWEMSKLTWLDASKERATDPTTTQLQFRMPRRSSPQSSPGF EGRSQVLSLIVLSTTLS EPUS_06275 MTSPHGTANETDNVYYAFVPAFDAVGGLIALSKPRLQAPSNPTN QLWITFLRYAIGLEGNRIKERHYQVCQLYNATYDLTLEWDGAFQNVTHSYEVLEEVHF PTGRPDPVSGMSRHSYSAFMWALTDQLVGAFSWFEELNQSNSGNLTQFGLIDTPIAHT SLLGSSDLDVFFDLNRDKGWSPTGNTTQLSSQRLQDKALAKNRTLDILIEELSFNTTV SLLHNELLTYNTTTKVVRWEPVNRYSYDPRDLFLPYAVANVSTLLIVLLGVVSYCRNG VLPNKKFGDIVIAVGDGRISYAV EPUS_06276 MASKIKFSSQDASQSWAEFSVTVDPLEPCEGDNMCWHPLFKNIV LAVGFAISERVQGVSLELPTSLMMTLAGTIMAVKFQDGFILRGLSTAVIPTRKCEAEE AIQWHLFVADSADGSTDFEDPKLGAYDIGYIKKDTKMLMATKKAYLGWCHKAEVLLGT EKYDYDGVDWSHESHRSPLLESTGGSLGISIGARGIMQVSGAINVEIARNQQTTYVNT PQDLDNRLKLSIERPCLLYDTSNQRAWLVPVTCLLLLMMHLRRRELTKENSEPSSRLD IPFSKIVGEAGYEAYKVLSEYSRSQETTALGSSAKWTELLSQFYITIDEAQKAFNAQK DKASRQKTPHIWGYDLLDIDPGESPYSRRIKKQSGGWTQIAQKIGYVLFCSGLGEALK PDDDENKLCQQCLTVPSRSDHLCAYVPCLAYFLKRQGKHRETQLLGDPG EPUS_07227 MAASRPYQPPTPDPAVFAGWEQYPANLQNYDPVQTRGIQLNRQI TNVASDLFDQYIRVRVIDIVEQGGALTCRRDVYTDAAALRGVISPKANPRIRIISINQ SGSITPLQITKELMLQILTRYQVLPEFLEVLLSFGSIPHVAEAGNSNLAVVESDGGTK HTSYQFRYAEENHTPLKPPWSIRQTGVYHQHNTQFDLWILLNPVGGSSLERQLEALER FQSSETLKKITRNPFRLHHLVFDAYIRNWRWHIRYLGEKFEEKSDLAFVFDPNLASYA VVSFDAVQVLRDLHDDVMALSAHCNGDLKVIRVLRRQQMIKADILSLETFSTLLEGYN ESLKVLQNRVQNTVELIAYALDTKKQDAAAKLNEHIAGLTTETMDDNATVKLITVFSL IYLPGSFVGTFYGMNFFGYNSKTRRIEIGKNFWIYVMTWIGLTLVTIIFYRLLRMRHD AQKRKTHRLPLVSTKWASEP EPUS_07228 MARPASHIQVPEDSMEKAKIRIQNRIKKAKKNQKPGKEFICKDD VKNVWEADDIRAIFPSSSPWTHEELGEIREYYILTISILVLIDWSHTEDFRSVFFDFN GEGGRRTDDRIPYPTSNALAFLGASQQIFYDTQWQFKPFVIKLRKETYHQTVDALARL PFIEDEVILGCGGFGKVHKVKVSRFHLEDVGGYTNQQEKELACKRFEFNQSEENFKKE VDNLQVLKESLSQRSKTVMLHLATIIHGKSFSILFPVAKHHNLEIFLNEGYDRGNGTA NEEKVYDFEQVFPRYTGKDRFASVLWEMWKLVDALLWLHEQLTVKDRPGLCCAHMDFK PENILIDEDTNSQVGRWMITDFGISVFKKLNLEDDDAEKEVDPGVRTVGDLGIKLTTP KTQTINAQVKQEGTYQPPEAHRSGSRHVGRKSDMWSFACVLMVVLTFALGGAKLCDEF REERARGQKNDYFYLEKKGVKKGIENDLKPSEITLYLSANKEVETWLYRQAEKHQTEA HWLRQCADLVAQMLRIEPKERLSAKQANAKLRSIYHKVENPLPLPMSEGERAAPSSFT NCTSNLEIGVRIRELDDSPDMSISTEELSKPFPSAPTLTLPRSLEPTTPIPTRLGRMI HSHTSSIESNGVVTLSNVAGIRVDEPRTSNEQDHIHQSPPSLSDRTSEASQDRHSSEI GTPRYYPPFPSPSSRHSSVNWLPRSTSSPSKHIFLYDLSSSREVDLPSTICAKDISGV LVSKKGLFGFVAANSVNLKDSSDTDLDGGEQLLHYEGQRKFRF EPUS_07229 MAEVGLRQELRGWQILLITMSGVIGVTIFSNGGQALEIAGPGGM LCAYCIVGLIAIAVMEGVSEMVQLFPAPNAIVEYVKAFVDADLAWVVGVAYWYTYSAI FATQIIAAAGFSSYWNFPQSWQTIVFYILSPIVILVINFAGVKWYGYVEAFGGSLKLC MVLGCGVFLYVTADQENFGSKYFEAGLQNNPRYASNHSQAVAYAIFIISYGFLAIEIV AMTAYEARDMKDLRRPSQLVAYIICFSYLFCALGEALDVEWNDPDLPVIYGASNVNGA ATVKIKARSRAVVVIAAERAGYKHAPGFLTGCMIFSAMSAANTSLHLGTVVPRTGVPG WALLVSAIAFFWLPFLQLKSGYAAQELVEIMAVSGSVSGLIVWASLCLAFIRYEYWLR MHKDSLHGAHYGRYNRWDRTVRESSTFLGYFQPVVAWAGLAGCLLVVFVFSSAMWWNG VIRFRKVASAYASPIILLALWIIRKIVFGGKHEHQRASNSQSWVLKLTHHINGFLGSS GVLEGGSPRLSSPKDVVLINGDRLAATTVKTGHLSQML EPUS_07230 MLLLDYQNVLIQSILTERFAPGASPTSIDQIVSDFDGVTFHIST PTAKTQILVSLSVKCYRDLLQYGAESVLQREYGNYITNPEAGYDFSILIDLDQLPDSA EEREELVRRISLLKRNAMAAPFEKAFDEFSSLSEEASHFTSESAPQGIQEGGQVMAIY YREEEAIYIKASHDRVTVIFSTIFRDETDRIFGKVFLQEFVDARRRAIQNAPQVLFRS DPPLELQGMQGVGKTGEKGEIGFITFVLFPRHLSPQRRAENISHIQTFRDYFHYHIKA SKVAQIGSLFLSKLTRTGIHSFSHAKKDCRLSTSPQPCSAGKRGEREEDSKRENFPSS RMM EPUS_07231 MSGNIRRIRWAILPALTIAALLLYFSLPPLPDSFPKSPPEKHSE TWSSPSSHRPTTHPITSLIHDAEDAFSHLLSKETHDLASAAEAYRKARGRHPPPGFDS WYDLAKSHDVIMVEEFWDQIYDDLRPFWALPANQIRADARANNMVVNIKGGESEANTG WFWHTIWAKMIGTVSKYLPDMVVPCNAMDEPRLLVPWETINDYMQKEQQSRRLTATAD ATDVYHNWHKDDEDESVEATNADWKESSSMSLVPEACHPDSNARTSVGVSDGFENGTV PEHMRDGFVANYTLSTSLCHQPDLAMYHGAINQPLTASWSQKLIPLFGGSKMGVNNEI LLPAPMPWSEDERFNADEGTGISWDTKEKQAVWRGTATGGRHHPTNWHQFHRHRFVYL TNGTKLRITAGDPHDKILTSNFMHAATSPLARATQDHLAEYVSYTNDVGFTDLFCDEL SENGSCWYLDNDYAVVPGIPMSQQFNYAYLPDIDGNSFSGRYRAFLLSTSLPIKATIY REWHDSRLMAWKHFVPMDNRFTDYYGILEYFRGFEGLGDSEAVPGHDEAAKKIASDGK DWAEKVLRKEDMQIYVFRLLLEYARVCDDNRNNLGFVRDLKRD EPUS_07232 MPPTSQELSLLLHPIVPDSLAHNTRVLSNLRSLTSFLLGISAAI LNLESLYGFAYYILGSSLVSLLIHFLLAEGQPGKYFPGNGDRGLDLHGKRGGGGSEKG ASGAWRDLWFGGGVLTEGLSGFVLGWAGVGGVIR EPUS_07233 MASWIGKLKSASSVNGDSNLPLQQGPSSPSGVSSKKDAASNQTS LEKLLMNAGPIRSDGSDKFFGMENFGNTCYCNSILQCLYYSVPFREQVLNYPKRAAPP RSNAIKPANAAAGKPTANGSARNPGAPMGSQKPEDKDSPEFKKKQALLNGPILNMSYE NTSGYDMPETLYTALKDVFEAIVATQSRRGVVSPTKFLEVLRRDNEMFRTAMHQDAHE FLNWVLNQAMESVGANAKKVEAEKEAHETKGEANGDVFKKDASSGSLPSQFWPPKTEH TPQHWIHDLFEGTLTSETRCLTCENISQRDEAFLDLSVDLDQHSSVTACLRRFSEEEM LCERNKFHCDNCGGLQEAEKRMKVKRLPKILALHLKRFKYTEDLQRLQKLFHKVVYPY HLRLFNTTDDAEDPDKLYELYAVVVHIGGGPYHGHYVAIIKTQDRGWLLFDDEMVEPV DKSYVRNFFGDRPGLACAYVLFYQETTLEAVQREQEREGKPRASVEEQTVVKLNGTAD VPRIHSISPPTTSEDPGKFTTLEHALTLPQLPPLKASANSLTSPISSPLSPRRADFLW RKDRGKDEKKLAEKEKEKAEKHKRKELESKPKEMQPAEAADHGSPSTIPQISAPDDPP SAHTAGKENTSASLGGLDRFKRTSKSLKFGSLGKKDKPVVVEDELDSPHAPDKEKKNR FSIRKKSFGLLS EPUS_07234 MSAQTAESWFSPDSSTRLYTKTWTPPSGTDSRARLAFVHGFSDH CNAYYNLFPSLASRGIIVHAFDQRGWGRSVLHKSQRGDTGPTRTVLSDIRSFLNHIAS LSEASVNGQSFGSTPLFLMGHSMGGGEVLLLSLLQSQQDQVPPISGILLESPYIALHP SAQPNTFTIQAGKLAAKVLPKHQRLEKLDAKKVCRDPQVCRDWEEDELCHDTGTLEGL TEMVQRAADLTSLANGQAVRGLGLKTMLGIQQPGSESLPIWIGHGTGDLVTSCSYSQT MFEKLDVKDKTIKLYEGAYHKLHAEPYGVAEEFANDVAKWILERTDLGRHEAGDENDI RPKL EPUS_07235 MLDLTSHVLPVCFYGVFVDGKKPRLSNPLQEGEKLDFSNGFILH DDIIGARPGQTFKTNKGYQYRIEYPDLDTYISKVRRKVTPVYGSYANSIVSLFDIHVA PPTSVEDSHEPLEILDAGTGHGSVTLHLARAIQAANPPLPDLELQAPGSSRKSASDGF LERSSSVDEERAQVWHDWRQSRRAVVHSVEISPVYSKHAEHKVVAGFRRGLYSPHIDF YIANVDDWIDGQLGQRKLESFLNYVFLDMPSSHRYLRKVVDAMKENALIAVFVPSITQ ICDCVQEINANSLPLRMEKTLELGEGISNGRIWNVRLASKRARDPIEESSMIHRRLGD EDKALQEETDTQSEISSTTTPQEEDSSLPLLEAGPEEGGTSKEPVMICRPRVGERLIG GGFVALWRRTAS EPUS_07236 MTRRIVRTSLQLGSVLFIVLCVLLLLDNKIRLLPSAIHNRLPAH HPGLVVTDVAITTCSSLNIFGSCKLDETKWHRIEKDLYLGSGLLSKAYLHIERKKEED LEANDAVVIDLKISRLKPANAQEAQGKESWESRRGGVWIKRSTKRHGSDSSKTITGVD VLFGSDAVDPRPGWQVQEIALLLDTRADGREARLTVRRGPAAKIDKPTPRINQNGKFK IMQIADLHLSTGLGKCRDALPVDHNGGKCDADPRTLEFVEKMLDEEKPDFCVLSGDQV NGETAPDSQSAIFKIAEILKRRKIPYAAIFGNHDDEGDLDRAGTMAVMQDLPYSLSEA GPTDIDGVGNYFVEILGRGSTAHSALTLYLLDTHSYSPDERQFRGYDWLKPNQIDWFK KASQGLKNKHKEYTHIHMDLAFIHIPLPEYRNPSGLRVVGNWSEPPTAPGFNSGFKDA LVEEGVVLVSCGHDHVNDYCVPGTNDKGKPALWMCYGGAVGFGGYGGYYGYHRRIRFF DIDMNEARIHTYKRLEWGVTESRVDDQMIIDQGKVVV EPUS_07237 MDNYHGYTCPTGCIDRKSMKPGSILQFSPSCLANITQQDMVEEL VVVLRLPIPPHSNIANIDDFYHCAVVTKLPDPELGPVRQVHLVHSANITFLHSLGKPT LYVVEEARVNSSPRCGSLTRIHGQYVQPTNIKRISAKCLHSLPGGASMVMDPFTFTHL PGQWLDVHIPSIPQAGGFTITSAPQHTSKAYNLNNTHNSSPPHLELAIQKSPNNPPAA FFWRDPHDILGTPLLIRVGGRFVYPPPDLSDKEAHEIKRVVFVTGGVGINPIMSMLEH LHLESLLKQSGIRELRLLYGVRAREGEAILFYDRIASILSNYRTTEPDAPVADGDYRM IMYLTGGSSWSPNGVSGLARMDTDHVEHKSRRISHTDLVEALGPHTGRKHTVAYICGP PKMTDEFVEILTRAEGMDSRRVLCEKWW EPUS_07238 MDTEMDTSVGSSITVDNVLMGDPKNHASPPTNAEFKHEDADDDS ESAHDMLRIFRSEDNDRDSTNSSMTSASLISGLPESKSSGANQASRKKFSIPNAESPL QRSKMVAKFRAKSSIPTKLPPTEYGRQCVAAAYASRLNPYALHEDEHHLLQDRLCHLH VTVYLNIRNGILRLWARNPNVSVNLEEAIGCAKDERWTQLACFAYEWLVRGGYINFGC VEVPLGIKTTRGRRNESSGQTIVVIGGGMAGLGCARQLTSVFNHFPDHTPPQVVVLEG RGRIGGRIYSHPLTSRVSEDLALHQRPTAEMGAHIIVGFEHGNPLDTIVRGQLALDCH SLRDLSTLYDTDGSAVDEHQDTMVERLYNDVLDRSGQYRYKRQKPRTAMGEKEMIDSG RDASEDDGLTISQYEEATASGTIDLLLPAKSRRRGVGHKAAKQDKPSEQVDTDMDTQT QLPAATAAKEIGFHLKSTTRLDESLDLDRLAASPNASLGDVLDEGVRQYTRFLELRPK AMRLLNWHFANLEYANAANVERLSLSGWDQDSGNEFEGEHAQIIGGYQQVPRALWRFP DKLDVRTNKPVSQIRYDPRDPGGRATVTCEDGEVIEADKVVITAPLGVLKQQSIQFHP PLPQWKQAAISRLGFGLLNKIVLVFDRPFWDVDRDMFGLLREPRSGSGFAQDDYRAGR GQFYLFWNCIETSGLPVLIALMAGDSAHEAETKSDHDLVSSCLAQLRKVFGESSVPTP SETIVTRWGSDRFARGTYSFVAAEAQPQDYDTLARSLGNLYFAGEATCGSHPATVHGA YLSGLRAASDILEAIIGPISLPNPLVVETKSSNGNPVDLTAVVTPVTAPKRKGDPVIP AGTFTRPVKEPTSSLQQDWDAAMWDYIYAAIGFAPPQPSKSGTNPFLLYQKDHWNACK ERCDSKKRTSTGKANVKTGRDEVRIELGRMWKLLSDEEKAPYVERTRTHKDANDDAIM AWKAACVEWDKRTWEVKDEWIKQGNAFEEWVRKRNGGGVDCGTLQGESSPLTRHLKIA LIESQPLSKLRGWNPRADEYSNRASSLTPASVSFLEAIGAWKHVEQSRVQPYNEMQVW DGSNGSKMRFDWSSDPDKSHSPLRTVATMTENANLSKGLSSRVIEVEESESGDGQNGG RGSLMFGSTVSSIENGLDDPHGLNLSSWPIVSVSSAERKAPTSMAARLLVGADGANSP VRAFAGIPSNGWDYSRHGVVATVNLDSQPGNDIDSLFTSHPQRITAYQRFLPALGGPI ALLPLPNGKASLVWSTTASNATYLKSLPLETFTTMINAAFRLSMTDLAYMFTLPTSAN HSTTSKSYTHAQELTWRLSHTPLPPPRPPLVTSTQADSIASFPLRFRQSRGGGGACLS GALVTFWRGFMASMAVLWGGGGGLGWGCWIGGREPRGSLWGGRRGSELDL EPUS_07239 MPKEKKEKLSFQLKTPKGTRDWSGPDVLLRDRIFSTITTVFRAH GAIQLDTPVFELKDVLSGNYGEDSKLIYDLQDQGGELCSLRYDLTVPFARWLAMNTDI KSVKRYAIAKVYRRDQPAMGKGRMREFYQCDFDVAGQSGDPMVADAEVLRIVSEVFEN LGWRGRYTVKINHRKILDGLFEVCGVPAEKIRSISSAVDKLDKLPWSEVRREMVEEKG LDGEVADKIEEYVTLKGGRDLLDKLKANERLMGNAKAKAGIEDMSLLLDYLQAFDVLD KMWFDLSLARGLDYYTGVIYEVITEGSAPASLSATQNGGETAKQLQRSSKKAKKADAI DDDDRSDDPSIGVGSVAAGGRYDHLVERFLPKAQMPCVGISFGVDRIFSITKARMEAE NQLDSSSVRRATETDVYVMAFGGKGFDGMLPQRMAICKSLWDAGIKAEFSYKVKSKLQ AQFKAAESDAIPYAVILGEEEQAAGKVKIKEMGLPSEHPEKDGVLVSISELPVEVKKR LAKRAGTMAQLAEIIGKTETLNVKDSGGSGSSQPPRQQGQQQEEQPRKGEVRTGDDGV EPUS_07240 MADGQYRFHQPGAGPFYYQHNQHNAQRGLNRAGSPPGGRRPFSN DTPSPSRSPANPSTAHSAFNMYQHGGHQGQHVMMNGQNHRGYGMQQMSKFQHNHHPHH NQASHHHNQHHQGGHINHQYNHSGGGSLPAPSSHFSQPHQNGVSENQQDDMDEPGGEH WQAQQQWAEEARANASPHHQARTVAQVSKHLSLGPSQTGNEEVQEEERNRPVTVPKGG RQGWTAIDLGGQGLRALSDVLFRYDFLQKLYLNHNNLDHLPLTIGRLKCLVELDVSGN QLRELPAEIGMLTNLERLMLVDNHLRTLPYELGHLYKLSALGVEGNPLNEDDMKRIMH MSTKEFIEFLRDGMPAPEPPVGRDWLALEDTSNTTSPTEKFSAFSYNILCDRYATKSQ YGYAPARVLDWEHRKEIILGEILTQDPDIVCLQELDKYNYDEFFRPKLAESGYKGYFA QKSRHETAPSDQARHIDGCGTFFKEKKYILLDSQHLNFGRKAVERSGRHASADMINRV WQRDDIGTVVLLENRATGSRMIVANAHFYWDPAYKDVKLIQVAVLMEELTRLAEEYAK MPACKNKKVFQFSDAEDNGEPMPEPGPSQEYSSGPQLPVIICGDFNSGKDSAVYDLLS NGNLGSRHEDLGDRNYGTFSEAGISHPFTLKSAYSSVGELSFTNYTPGFTDVLDYIWY SSNSLRVSGLLGDVDMEYLQRVPGFPNFHFPSDHLALMAEFVVSGPKKLKVTEADFGS GSQRDGK EPUS_07241 MSGPSIPKNKSPSASSVSLSQILVRRSGRLFFRDSTIPYPLPCD LAEIHRQTLRSMVLMQVFDAPFCAPYFEVNPPRRVLEVACGSGTWSTACHDYIGRGSS TSFTGLDILPLAPDLSKAGLKWQFVQHDLRKQPLPFADGSFDFVFVKDTSLCTSAASL QAEPLAEMLRVLKSGGTLEVWDSDYIVRTLLPNPPIAPGLSEEQLEQAEETATYTISA ATPFAQAQNQYLQDYNAWATRAFEKRKLTGVPCAFIGMAFSAENESFRDYGSRRIAIP LSEVRWEKDPHVRKGSIGRVESSEDSRPRARILNPDQLALRRTALNTIVQMIEGLEPM LMDASGKSKDEWDRWWAAMITDLLHDEGTANGECLEVGAWWGQKI EPUS_07242 MYAQAVARRSPQCLNIKDSLVGLVYKYSTAVPSRNANPVSTSRP IDPRWLSDTKQRVGKCITFGLPSKQLVEASSILQQLASDWTELLMGSEGYLTAPGRVG LEKQAVVWGEMPMTWPDRISVFHKLRFRPDESTESIILDVVILSESKQRPAARCLEDV VVYDYRTSRRTTLPPFMLDQFQRTFELQETARFENESKIHHLLNRVRDIERNTWDRPD AREDLGSARS EPUS_07243 MPRSGAGKKNQHNHRHENGLVGPGKRITKQRSDGQLNGTAKGAV PDTPPLTPTTSADSLMKSQIANDSTASLSDAKQDLPGSSGVQQLRKRSLESSGDGQNT VPEGSVHGREPEQGAGRRYDVIKAKQTSVSEIGTLQLASTILKSCPAYDTVAMLILLL QLPPIFLTLVQALFASLTFMPPSGLSMTSVFSLFDVFQGSAGTPSLGTMIAVDALCLG GWFCLWNWARNFALDLAQVQIAITLGGGNAGKSGSVNSLYIIIILSLHLVRSKSVRQF FFEHIISAELLGNEHIAYLAQFMPQEFDFGSTPQSPSWYRSLFAIHIITQAGIAIIRR NVASTQASSASKSTKKADTEACAGSQSNQDVAALESGSNIISPVVSDFQSPASPGLKD GKDRAVSAKKKRRQANQVRTRQPFWAALASTKVNALREIEISRPSSKSPDHAGDVVLD TLLVDEGHIRIVHVDSSSIKFEAINLMSAIDNQEAARHPLHESLYVRINGAYWTSTSI SASQGEQQGTILTGEISGLAPNCTYTCSFVRVDGHEYVITIKTPALQDKDQTSSLSSL SSPPFRHSQRPSSPMSTIRRSIATAEAKLSDTKARASRTRRSHKAALSKVEKEVDFFT SRLKSSSDDTKQRQKLLQAERTMKQNEDAAQMISAALDDLSSIPKEEADDYSSKKAAF EKQCALLAAANESLCSAKSSADSELATFNEEVKAANRQHERLVARQARLNEQHERITQ ANLQGLNEKERKVEETLAKERDHARVEAEYTNQFSIFQRELANISMRLQQAKQESQAL ESQMMQQQQQSTIIGSGPLTPEGNLPGTNPVARALPSAFGHGFPSNHTLSAVSGPVSG PVSPESAHVSPFLAYSKPLSFQNEHNPNFSTNRARSASNRSGGAASSYSADFEDADPI PPMPGVKKFGSDPRRKGSCGSPGAGVGSPLRANSSLNVSRRGSPGQGICGGEGVGRRQ ERRPTVEPVDENDTKESYARADIRSSGFILDPFPIFNAEGGRPTSTVSTRLPQYQI EPUS_07244 MACLRDQRESPQNSLHMILQGSHKFSEPLQRNPRLGLEYIGALG QKQHVSCALSVQRRSLSSLMDSAVPKGKGKQSFPQKNAPLSSEGQARDFDQQDENTNS GFPPYPAGESSQFVTREKLRHCMRRVPQSVAIITARDINDPQNAWRGATVSSFTTVTF EPEVIVSLNLKLPSTTYDAILSSNRFDINMLKADDKGAELASRFASPLEEARRKAFAV EERPRSSSLTFHPLFSRSHGAKNPVAFRIPCCYMPEKTVQIGDHVVIFGKAEAIERKS YDSRAGETTTCLAYIDGCYGYVVPLSKQPKRESTGLSSNKNRDAPIRVAKQSPISRKD FLAFVNHAQECASCFKGSFFSDVVHLGCINRRTLLLVREVRLHSAEVHNAILRLSKAV PKDGIEDLVLDPSTSANECPPILSENDKSNMLTRGSCPSANGPLDRTRFKTGKSKLAV SSVPTPMMPSPSPLITPFREFSSTRTVSQRVKNINHRGRSSKSSNSIEVSMKPMVRGT LRLPAPKSMKTVVTQYMESVSVTASANSGNLDQSVVNTNPTDTVKNHVSVKLPRVKRV GGIKIYPLIRPGFVDYPPFRKIFTNELATHRTEPLAGHQQVCLRSRKKKRDRISNGDR DEISTPIKRVFIRKHLVYDNRFLPLLASPIQARSSSGTTTEHAKDIEAPIKVHLYRSA LRIRKQLTTNKWDDQSDVPFPSHMSEEFDQEQRENIESAMQEALVGQQTPGQAVLEDI AFRQHRKIQEPGRNQNMERDIEGVMGQIQDYFQREFGTAAVLRENIESAMQENPPGQQ TSDQVLTEKIALRQQKTMQKLARNKAMERDIKDVMAQIQDYFQRRSGTAAT EPUS_07245 MSVTLRPILRISSPPSIFQSGISRPFVCQSCRNARLLRRPKRPY TFTQLVTLSDGSAFTMRTTSPLPVYRSTRDTRNTLLWNPSSRELMNVEDDEAGRLAGF RARFGRGYDSGKDTTANSDPQRPAPDEATTRPSSGKDVELEDDGFGEEEDANLLDLIS SYGQAEDARDRKKGGGK EPUS_07246 MDLDAGDSPWGDVPSQSDATKSPAREDKPSNAPSPANPSQPDSQ TSNPPRSPTSRKLPARKIGAQPTRLETVDGSHDPLGPLGVDEPAFPSASSSSEQAPTP PRKESSQTRNTRLSGGAQQASSSRSAAEAAERDDSSNLPRRTRGPPPVQPAGVGSLRQ TQPSVSIEQAAKPSFDITVGDPHKVGDITSSHIVYQVRTKTTSKAYRQPDFAVSRRYR DFLWLYNSLHNNNPGIVVPPPPEKQAVGRFDSNFVESRRQALERMLNKIAHHSVLQHD ADLKIFLESDAFSLDVKNKENREPDLGQNKGMFSSLGINVGGGGGKFVEHDDWFHDRR IYLDALENQLKALLKAIDTVVSQRKGLAEAAGDFAASLSSLAAVELSPSLSGPLAGLS DIQLRIRELYERQAQQDVLTLGITIDEYIRLISSIKQAFQQRQKAYHSWHNAESELQK RRNTHEKLLRQGKSQQDKLNEVGAGVADAERRAHSARLLFDDMGRLMRGELERFEREK VEDFKSGVETFLEGAVEAQKELIELWETFLMQLNAEEGGDPFHKPPAQPSTGEISKPD EAAQKPASSQDNDVEAAATATIEQEA EPUS_07247 MRLNKAVASAIFSSTLLAGSACAQDEPAAESSTTVAKPTFTPTT LKAPFLEQFTDDWDSRWTPSHAKKEDSKTAEDWAYVGEWAVEEPSVLPGMIGDKGLVV KNPAAHHAISAKFPKTIDNKGKTLVVQYEVKLQNGLECGGAYMKLLKDNKALHAEEFS NASPYVIMFGPDKCGATNKVHFIFKHKNPKTGEYEEKHLKSPPVAKTAKVSTLYTLIV KPDNTFEMLVDNASMKNGSLLEDFSPSVNPEKEIDDKDDKKPEDWVDQARIPDPDAKK PDDWDEDEPFEILDEEATKPDDWLEDEPTSIPDPEAEKPEDWDDEEDGDWIPPTVPNP KCEEASGCGKWEPPMKKNPKYKGKWTPEYIDNPAYKGVWAPRKIPNPDYFEDKTPANF EPMGAIGFEIWTMQKDILFDNIYIGHSVEDAAAFRKETWDVKRPAEDAEEAASKPKLE DKPKSPMDLVFKDDPVKYVKEKLELFITIAKKDPLEAIRFLPEVAGGIGVAVVTVLAL LIGAIGLGSSSAAPSKDDMKKTAEKVKEKVVEAKDQAVDAASSGVETAKAEINKRTTR SSGAAE EPUS_07248 MQRENNDHLVISSDSDHPANLIPELCRKFYQLGWVTGTGGGTSI KQDNLIYIAPSGVQKELIKSENIFVLSFPTPKYPPSDRKYIRKPMELKPSACTPLFLA AFERGAGCSIHTHSQWAVLVTLLVEKVHGKGACFEISNIEQIKGIPRGRTKTGMLGFH DTLRVPIIENTPFEEDLTGSLEKAMEEYPDTYAVLVRRHGISEISELFHDRWSQIQAE TCRATLQEAAFEGRIVTKIGCSTSSKISDSLAHDDFGDGSFYILHVPGHAVRHISGLV RTTPDGTFVSLAGDVCHFGVSFWLTKYIPLSSMFLPVTKFNARIKTPCQCTAFTMCHP DQEKGRPSPFHRARIAGESWYMDTPTAQNPINSFETFDAHEDVLVVMAHGQAAMNN EPUS_07249 MSNVQPSNAIYFPDGAGYLLVKVLQTRCDSPEIESNVVLVKSLD DNKLYVRKTVEVTECSTTGIPNEVEFNLSFDLIPRVKDITKYVDSPRGYHYRAICTEF CNGGDLRGLLNVYCGKGSSAMPELLIWKFIADFCKILNFLSENKVEHKDIWPQNIFLR YPEKNLDDCLPDFVLGDFGWAVPLTEANRTEDMALFCCRLWEMCLGYPWDGRTCDVLK SSHLSVGLRVILNHLIISAEDDVLGLNFLMNTLLPFAEHRIGQLRCKGTVRRHLSCLP TNSQGDYATTWPEKLESLVKDWKIFYVQQCPDDSGKLSIQGLQKAVRKGERDFFSFHK SSTRPADLSLVYRFDAGRLNFPPTGVKLNGECGETTFMVSGKEDTDQNKAESHPGGRP IKTCYARKHQLETSGVSSAPKRRKTDPFKVPIAKVFLKATHPQQGRSGSLTDEEVDDD EEVAALRKAIDLIEVNLRYEAEIRSEADDLTEVEDDDRDEAIAENEVEMLAKLHSLTK EEKERLAKAEAQNEAERLSQTETRCETVTLSGTAGFSTEASNDMQALNDVEVSSHANP LHEAVTQAQIRPAKLITGSSPEKKATASPVMVIENLYKRAVAHAKKSPTAPPDGCPPT REKDLPWLYMSKSDAIFLTASWLVLAICIVSQFF EPUS_07250 MQIFSHTVPKTAENFRQLCTGEYKNAQGRPMGYKASKFHRVIKD FMIQGGDFLNSDGTGTFTIHPPTHLTFPDESFQHKHSSPFLLSMANSGPNTNGCQFFI TTVPTPFLDGKHVVFGKVIDGVDVVKKVELVRTVREKPVQDVSIAQCGEM EPUS_07251 MRRQHVWQRLAGSASIGHRPYGMLRRSRTAFVVLSSHQVQPVQF PERNRSYLSTFTKTLGDRKVRLRPLRCSHQNRRVSSTNSSIATTVSITRPAQDEDPAA AHIGISDVEDIASYNWLEKPTPTILVPGIPPVWSVPKITPRLLPDVGKRVRYIDENAD RYPWSQLEPLVQAVTATHPDFDFNGLDVVTDRRPIRQVLEWATGKSKEFQFGVEVVRN TAFFIRTEKHARETVRSGMFRGYRRAFEQSYFKIPFYAQDTTAHYAMIRYRLGTLKAL ICSTFDGYLPEEVSGHDTSASRSTHGQADMANFRSSISHLPRAPSIKDTPEAPSLTVL RGKKEVPHSALFELSTRSKSRKTPINIEAKFPDMWLSQTPYFVTALHENVKSRQSRDN KELPPFAEFKDIQITHIKEKLQQWEEANQSSIRKFVVVLQQILEQAAAMEAPCMVTHV KTGEGLRLSRVHPGSFAGSPESLKARLRDSS EPUS_07252 MTSPSKLKQTFTAADEEEQTAPQIMRSHTEPPPSPTGRGFAGPS SAVNTFALSTSPARDHRSFKSPAASSSDNLSRLPPQLLHSMREACSVLDRNNTGSISQ ADVNETLSSLGISDPSQFFPPGSSQQLSLPQFLNQLANILVELSPQQELLNAFSAFDD DDSGQVDVAELKDALLHTTPNPGDRPLTERDVEAVLGANSGFTGRRVFAKNAVGTVGQ RSLNILGGAKKTGDVFRYQEFVGNLTGGGSAQAQSAVEGVRAA EPUS_07253 MEHQYPGAISPNENMLAELMLPDQTHVRTQDWTMFFLHKDSGAA EEEEAPKKTRRKKGEDERSNPEQTDMGDEGATSDDSSDEGNESEEGDAGEGPPLMYVL NLVNTKQDNSVKRGAVVKAMAICTRHSFLHIYKPLLLLALEDYFKSPYPETLAGLYNA VNEMDLSLMPRLSTLERHILQASDVKDMFVEKFEHMIKQRLAEEAALAVSGESDSPTR PGTKYNIPRDTHEFESKVVYNDIPIPIKVPTAPSSETVGDFSFVKLIQTFGGPHAASP QPFALHPHLTTSGAYTHPIIVLINAMLTQKRIIFLGHNRPSGEVAEAVLAACALASGG VLKGFTRYAFPYTDLTKVDELLQVPGFIAGVTNPAFANHHEWWDLLCDLPAGRMKISS KIEVAPVTEGLLYFQQQHPSFTTMMSNSNTSTDATGDTAFMEDIMRSIAARHGESAIR SKFAAYTTKFTRIAAAFEESVYGASALYVVSLGEAVLDINSPAVDQTVGPKNEPLTSQ EAMAGLKGHGYVWPNDEMKNRELAASVSRIEGWRNTRSYKTFISDLASSHSGAGRSSH SPSPTKGNLPRPNIDLHHVLSRLRTLRLPPAEAGAIYLVLSSFCTDYDSILELLELTP MSEAGLFYITLGLFHEERIVREAVVVLLERIRKHECGRHFWNRVGGWISMGFQRAWKE RESRSVDRDYERSGDAPPPLGLGVSRTI EPUS_07254 MSSLPDRPRPGRRRVSYNRRAGVASPGGYRLLAIPTTFLSILIL ITTVLPPSVARDIQVPYQEYDSLQLRRLARRGEIAIDRGAPPPRPTLRQRQENADPFG STVAPLATPTSEQEGSTAASSTTTPASESEVSAMASATDIDSAISIQSTLVVTPTNTM SANATSTGNATSSIETASATAGPPSALPSPFDTSLGDNFTAQGCPNFFNAFLNNATFR DCHPTSLLLQNSHSFFRISRSLVSLSQALDASCKPSLAQCSPLMASLASQLMQDSNCG QDYRNQNSLVVQAHAGLVSYEPLYRATCLRDRATGNYCFADAITNTSNLSDSYPYYTA LGTILPAAARPTCDECLQGTMEIFAGYATNRDQPVSKTYISTAQQINMGCGPEFVNTT VPVGTVSNSAVKQGTVTEASALLAFVLGLIVACVAF EPUS_07255 MTTMPHYVEFDPDGEVVLILYNMAEDRDQDTRKTPSESTTKAET SADVVDGTQPDEI EPUS_07256 MVARETLDSVRESEFHNKLAELCNSITTFGIDNAPGYFSFYKTY HACCELELDEYLNQRRAERDLATSEEGHVLRGGRKRRRVGARPLTLEITDLDKKRKRN LLFLQDNETNLERIKDLANKLSDEARWAWEVDEEVISKKDFRRLDEHNHN EPUS_07257 MFISLRYRPNKWPFWALVAIEFPLTVALLALFGIAAPNLYRTKL WADGAANGFNSSPTTGLYAAANYRPYTTPKVWGQFITNFNLVISVFSMFLMLAKSAMY ILKVFPPLLSVLCHAALVALYAVSIAYQAGSDMSDPRRPQRGAPWYISRPCSVAHDQK LVGYCQQAKGAFACTVMIFVVFTAQLALAIFSLFPSPSHRASREAKRLARESRWAHLD SPTLESKVPAVSLNEIANAAQASSTNYSTAASGALNPMTPRTLAFNRLGGTKDLPLRN HFGKAKAKDGGAAPKSPIFSSRFALRSPTFPGSPLSSGFAHAERKADEETAAGAAGPS GSDAAAAASAGMYFPPPPKVAVKGAR EPUS_07258 MALSSRMWTQIQGVEEVLERNLPPHISKLASPADQRKGSGTTRN STNESKEQARDSRRPRSRAAHDWGGQLELQPFSGETGVIKQQKRPCYGLQVPNQKR EPUS_07259 MRRGRKRQDGGSNSMPGKIYPPTGTEGAFGSGYTTTITFTSLST YSDGAGVRSTTSQEVTTTAVIPGPTPAFISAPQPSTTFSSSTSTSSPSSVQTSPPAQT SSPPAQGIPLNLIPAIVVPIVVVALAAPIALYFFLTRHDRQRRKSRTASQTSTRACPD TRIESKKHDFTHSSLETGASKASEIMNFDLGPAAEKPLPVVNVSQVPDYAFKRPDSFQ VVRGLDPSIGQAVSRYSIGNDSFGNIADASQQRTSIQELSEENMRIARLATDSRATFG TRGLDEVSDMSARERTSRQVDRRSVDELSNVSSFYEDETAASSDRGGGILGNYGARRS GPLR EPUS_07260 MALSPLKMTTRGGAYDTSSIAAPASPQTTRTIRRLQSHQQLSSN SPSLITQQRQQQQLQQQQHGLTTRESREHLQPSMQNVSLGLGRTRANSDAPMAFKKPS STRRWPASATHGRKSSLEILMRDGPPGGNIEQGLSDLRYHILSSRVEAGKDGMSDYRC YLWLALLNVGPLQTDEYLTLVHRGQSPAYQKINDDTFRTLATDTLFKRRVTDASITRV LNATAWKLHDAKRISSDSPPTASGQPAANTSAVYVQGMNVLSAPFLYAARSETEAFSL FHAFLTRECPGYIRGAMEGVHKGLALVDKCLALVNPTLAQFLQNKKMPAEIYAFPSVL TFCACTPPLPEVLHLWDFLFAYGPHLNILCIVAQLLLLKDTLLASPSPTKVLRSFPPL EAEQIIKLTLFVVQKIPDDIYAELVNHAK EPUS_07261 MITDEIYELCHPVLEDAELEEEDKVEKLEVLLQKQTALRGSALE NTILDALWRHRNAANPGSGEAPSRHTVIRKSSPAPWQMPRAGTPLASPPISSSPAAPS GFPTRPSFSRQKSTAPSPFASPRPSPRLAFAQPIPHSPNLNAYEFSDSSPAPDIYGDY GSDNVDWLVADDTASNASSTGTGQLSAAAPEWLPQPDMSPYDILRSVLGDRKTNEEIE DALEKNSYDLGATIAQLSDGDIIDQQVNSDTNQHNGAVLVGKSMNIEQVRPVTPNTGK SPIVCKYWLASGSCLRADCRFAHDATNHVCKYWLAGNCLAGDSCQFSHDPSALMSTLS VTDSSSLYGTSPQIYHLQDQYDQFPALQSGNRSSSSSSFTIPANGQFPTFTPLSQQRS RSGYQHNNSRPQSRPTSRHQHRPEAPSSAPSVDDPEAFPTLASLNSKRASKHHGQRSR HGHNNYNEKEVPGSLADVVRMSPSPVPSQRKLEAMKRVRSTASSESAAAQKIPQPQHI PWLETGARANQQYLKYRQEAIKHGSVRNKFLQSAAQAWNRNDARAAKALSLRGQAEND AMRKAHREAAKALYEERNQHLSTTNNSNNDDEEFYIDLHGLHPEEAVEYLENVLVSHS QRGGGRGGGGSGEARSRIVYAITGTGHHSKNGKDKVGKAVRNWLNELGYTFREFSVPG ERGGYVGGVLGIESTSGGRRTSATATGNGEGGGGKDEGSSSTGGPVAMVGGKIQVLKR EEIPS EPUS_07262 MAMLALRYTILAIFISIFSNPLLVYSKQAVLKQHSADSPDSSLS PPALTYIPPVGLGLWLSKGSNATQAVESALNAGYHHLDSAAAYNNEEYVGKGISLSNL PRSSFWITSKLWNSAHRPSDVRPALEKTLSQLDVPYLDLYLMHWPVAFNPEKSGSVLD KDTSILNTWRAMEDLVRAGLTKHIGVSNFAKHDVDDLLSKCEICPTAHEFETHPYLQQ QDFVDFHHRNGMQIIAYSPLANVNPIYDSKNKLAPILEDPFWVELAKEKNVTAAQAIL AWGIQRGTVVIPKSVHEGRIIENFASQYVRFSDAEMEMVAGQDRQARFNNPSKGWGVD LFDDLDGV EPUS_07263 MAADYGSTARALSLPISPTRTSSPNFPRPPWNSRRSQSNGAQGR SQSSLSYRDRLINNAQKFHRRGVRIYEKLSPLQRGLVVAANLITLILVILFFVYNEKI FGFLKPYAVSWKKTTGGWIILWCLTFIAAFPPIIGYSTCATLAGFVYGVGEGWLILAS ATIIGSTCSFLVSRFVLQRYVQRLVANDKRFAALTLTMKHDGLKLLCMIRLCPLPYSL SNGAISTVPTVHPLMYALATAIVSPKLLIHVFIGSRLAAIGESGGQMSAGAKALNWTS IVVGGLVGAFTGYYIYQRTMARARQLEAEEDANVRDAVTHTGHPPAEFMDDPETQAAA TVLAQPDDGVDFFEEESSPTRHDYRDEFTDDNDVFTEGDGEEDAIDMHKQQVK EPUS_07264 MHILQSLLSAAILIAGINAAPCENPPFVVAGWEGPGTYRITNVQ GRTSVDLFQGQTNIVGWQTDPNTNNQKWIITSINSGANTWAILNNGTRGAITSNGWNK QTTAQPLDTNNLSQQWTIEELGTGGAVIFHSAASPNDVLDLSYGNPNNNTPIVTYSRS GRENQQWVLELLSRDTVSDNLPPRTKNDQISLTINDTVNFGELQGLARAADAPPQDDN EERNTGGAGIPPNLGPPRDNPGLEARMRAEGLMMTEEAEPRTSRPEELRREQDGHPDA TAFRLRLQDGLTVSRRRNERGNWMASIYMDSRVCRN EPUS_07265 MAHADPAVPAQPSGAYLDYLRAEVPIRRELASELVRDGKQKMRD ILDISKQHGDEIYRDPESRRLFLGTLYYSRPQLHYGAVISPSLVMDSTSRPCSDAESP KSTKTGVPHSSAPKVASDEVKQAEGTTGTAKIDQNTDTACLASLAFDFGRKSMTIKRV DEIKLAEQMTTAALHVLKQAVSEGTADRTTQT EPUS_07266 MRAAVEQDVIRDNDGNLESRSLTFQLRDFANNLATTFPDANLNE VITLHYGDLANYLARAEKFQAQKQRPNLDNMGILEPSPPAEELTAEDEKKFHDLENQS SARSEALDPDH EPUS_07267 MALDNSSTMKELLQKLDSDREAYLTTLTQAHEILARALTASNVS RPNSPPALSRSLTDSTSRLQIRNTGLTSLFDVDNPHKGSIFTGEESSDSEDDESLFVQ ETLPPETFSEDKFRGHLKHHDWDQWASKMLEPVLQDRDLLEASNLFQDDGVAQDGNVS QSTHATVYEVGADGAALLYRPESKGLSQSIWQSINSTNADPMKHRLATGKITIVREPS PLLFGAVHLTMDPHFDMDEIFRLLSDESPTRAYMKGCFDSEPTQQRSFVFCFKYYTIV DVEREPMPWQTSDKDLRNTKTHIPISSCSSIVGLSLSGKPSTTLKNRSRRAKATVGHV FDPFAPWRVLSIQCYPDWKSTVDTHDSNRHYVNGPEAFLVTLLQEYRDAQKRFSEIAR RVTDLTTPPHDFMFNRETRDNLLFEDNEFTYSRRYFWATQTLAVMNDNIQAMINAYKD TFTEDVWTGEHKYIWPGKKDQSSRYANWRKRMGNLRKLFEVEIEQLERILNMNAQEIK DLKSLRDQLFSGTSVRESREALKQAEITVQQNRNIKLLTLVTIFFLPLTFVTSVFGMT NLPSDETFLPFGIVTAVICIPTYVLIGILNSHTGQSSLLALYSKIMQTKSSDMVTTAT RRVRSDIGTTPANLPPTSPRRLSRSLATYEGLATRSAYVSSHPAVLESKNLKRTYSEP LQQSPDRASTVKFNLSTFETNAPLTGRSTTALDLAESAPNAIQQTNPDPGPRPDGLHR SRPLLRALSERFAWNEKKESPV EPUS_07268 MLAALDETAVWEILSEEQSALFDMLATRNLKSYQLDDSYTQLLE NLEIKSSRHVDFLALVHNFLLRNNLEVKASGTDSGPSTEMLVHRFIHVWGGAVWGDSN FTDGEPPAAWTRQCQQTTERLLGTTMCLLFIRMQLDFPRYEKTIILQQEDEPISTPQK LNSSLESITIPNQTYRTPENLLSISQMASRFASRAAEQAPDKTTTHNAGTSTIFNSNI PLHTPVSTTGLRTMSASTTTANKEVAWWSDTFQRIFRRTGDGKIVMG EPUS_07269 MQFITALLDSHLAITAYELCLRKPSRDEKPGVSTALAWGSILLA ASAIWTVVGVIVFLAKPVYRDWLVPISLIFDFNHILALLWQFLLVVTLCICSAHCVSG FFQSYPLLIDQIIYLGALEVTLTLTTIYVMTPSISFLWSHRRPFPPVSRTASIWSFPL VFVGNVCYQSIRHSSAFLRSLNATTCIITLFAFFIILYPVISRPNTAYYHPIDMLIFR AKSQHEHYVSYATQSYNLEEAVAQYRRRYRMTPPPGFSAWYGYAMTRNSVIMDQYDQI YNDMLPFWSITPEQLRQSTQALILTPENDVGGIKIRAGSASVFDNVPGTHRWMLDGVA AMINKFAIHLPDMDLAFNLNDEARVAVPHDEIGHLRDLARAVEDRSGKQPWSADRADD WESIEEGHGQVVLQDMAFQNTFLDFGSISCPSSSPARRLRDLYSHSHLCVSCMSPHSM GQFLSNWTLSADICHQPDMAYLHGFYLSPAAFQDSHALVPIFSQSKPHGFNDILYPSA WNYMDKVVYAPTDPTGDPEIESYNPGHPDPPFEAKQNTLFWRGSTSEGVSSGDHTWRG MTRQRLVHMANNLTSSAHDTATLLLKDESLPENRYKYITIPGNSIQSLNLSTDIAIVD KIARCGGIGLHDCTDQEAEFALVHPTDFQSHWQYRYLFDLDGAGFSGRFLAFLQSHSL PFKTALFREWYDDRLTAWHHFVPQDMRLHAVWSTLAYFAGVNGTLPNGKKAEMKPHLK EGERIAEQGREWAGKVLRKEDMEVYFFRVLLEWGRLTDDNRENMGFVPGGGL EPUS_07270 MRQDTSVPMASVAVVAPFDTIIEAGVDAVVSGQRQRLEDWVAKK AEPSITRPENATHQDTLVPIVSVAVVAPFDTIIEAGVDAVVSGQRQRLEDWVKVAVGR DRPYYQ EPUS_07132 MVDLTQYVLPFVGSEALGNVFPGVLRPYGMVKLGPDLAWDQVNA YAGYLPDGEFTGFSMMHLSGTGGMPMYGVVSQLPVLGPIANPLDVRVGRATADVAEVG YYGARTSDDVLVELAATEHAAMYQYTFPEDQSGQNNVVVDLSHRLPSFRGFGLKQRFE GGDMTLKFGRMASPWTIYFCGIFDQPPTRVRVFEGMTDSSNDLHFFRNGNLVSSVGVR VGAVFSFDQASITCRVGISFISSDQACDSVQREIPATSTFKDIVDETKEVWNSDVLTK VTTTETNLTDLQNLHTSLYLMHQLPSNRTGENPRWESGEPYFDDIFTMWDLFRTSIPL MQILQPEAHQQLIQSLVDVWRHDGYLPDARSANYNGPVQGGSNADIVLGDAYVKGVRG AVNWKDAYAAMVKDVEVTPSNNFDPRANDSSTKEGRGALPDWLGLGFITPNFSRSVTR GIEYAIDDFALYQVALGEADDQESTKYWLRSQNWRNHWNLAATSLGFQGFIGPRNRSG FLPHDPLQGGGYWADPLYQGSAWEYSFNPHYDIAALVGLCGGPQSFISRLRMLFEHQI DPEDPNVSISRKPSQLRHSIPFHFAARPDLSTSVSRKTAREKFSPTLEGLPGNADSGA MQSWLLWNMIGLFPLPAQTTFLIHSPWFEHLSIDLGSGKRLVINSTGGDKDTSIHVQN PKVNGQEWHRSWLTWNDIFANGGTLEFELGPNYNKEWFDTDALPPSPASAASPPSANM QIPLQTVQVRAPPPTGEGLAITPKTQEERDRRRCYPLLSLLILLPIGALGIYTFWRSR MEVKHRKFPAAPPQESTGFVHAADVQGVSNEPPEGRDTTDPPSDVGSASTTNLRS EPUS_07133 MSTLSLGAEELDDLTYYARTGDLDALKATITHISSSYSCPQDTV LAATLDEDDDKPELSSGCCLLHWPAANGNEAILSYLLSLLSSKPDSTGGPVKSTSTLI NHRNKNGNTPLHWAAVNGHLPCVKALVNAGADPLITNNAGHDALYEADSSAKEGGREV AEWILANCAGLEKVSNGEVTGAETVAQDEGEADDLMDDLTNET EPUS_07134 MHITTPSVILPLFLAFTVTTVMTQYPTTSPEEIIALKHFNAYPA ADTPTVPPSNFEGTGTSTDLHLTKRRPRYKGILLCNQEHWKGNCWYAPQNGNACQNWD EIHSFGPDNGVNCDVFEGEDCKGKMVLKFVSYPGEEVTPAKSKLKSFRCGSH EPUS_07135 MATSLSSGPSGDAMEMRVQPQKDESDVSVASVVVDSESNDSDVF KSTPADAANMRRMGKDQQLVRRFRVLSTTPFVAVATGAWEYTVFNLTSGLTNGGPPIL IYSMIWNFVGFAPIYLSMAEMASMAPIAGAQYHWAGNAQGVFLIGIIVQIIISLHHPL YAFTRWHTTLLAMMAVCIAYIANVWGAKYLHLWQNVVFILHILVYLAFIIPIWVNAPR ASSSQVWTEFTFSGGWPSIGLAVLVGCTRVRRGQERFKSDPKSDDLHLGHQLFAGIHI FYHRCLSSPNLDEALADPTSYPIIHVLRQSMSNNWITVILTFTLFLVVCSNIVYLVAV TRDIWAFARDQGFPFSSWISKVEEKRHIPRNAIIVTSATSFCLSLIAIGSPVAFYAMA SLFTVALLQCYCLSIGCILWRRIACPETLPPATFSLGKFGVPINIAGVCYALWAFFWA FWPMYNPVVPETFNWASVVFTATLIGAGVHFMLVARRNYFGPVTHVQGRKVQHRWTGG G EPUS_07136 MIANDGLLRVFWPNGLSGTMTPGVMVGWRNSETDIFIVTILEEA EVRNVETALRMGSLYRNSPHPIRQIFQTCARDSMHVLGTVNYPNSAVEFKTSMLRAFT FSNVAPPQIYCPPSTGFSVQIITFDSPNPMKMQYMSLEPISLALMDKLPDGEPTTLAL GHLDMDEEKERLRIAKLVEKLRLHTVIRHPPSRKEKALLIILNQINCSFELAKLLQRN TPLIGSRRKRSRSVSERVVESAQSLYSYAALVIWNLFLTYVYPIIRKAFLLGLITHRI LAEIVLRILEFRPPRRFPSPDLDPNPPTAESHGSASYALKDLSAAFQQIHIRLQQFSY YPIQYMLLRRRQQNWSSIPTSHADYIRFYNSLWLVANDVIIGIALGSYIIESHQNTAA FLGTSLNQYTISGLERMITWLMGWPAGLKLNGELADFLGALSLWVIECWNQIITTLVT PYLPTIVYFIGFSSFAGASMPIAILSDLLSLLTLHIYCFYIASARIFNWQLTIIYSLF QLFRGKKRNVLRHRIDSCDYDLDQLLLGTILFTLLFFLLPTVVVFYLTFAFARVSIIV LKALLDTFLACLNHFPLFALMLRVKDPKRLPGGVHFTLYQDPFSTRNQCSSSVADSNT VDSEFAHLPSRNVSYVNLSATPLSLYQIFAQYSHIASRIRKHYLSPQVVFRLVTGRFV PPLGRGEMYGLQYSMLPRNRVSVGEVWRGLVDGGSDSSNAYGKSGRRR EPUS_07137 MQIQSLTTTHTRSPPSYSLNVELDTGNNVTSRLLDRACTQPRSC RRQKPTLLSTKRSSKTPGPACSWRERVLELFDPALRDAEDLMLSLPYTDIREKTYNFA TILDGVPLSGSESVAARHLSGNSASCKARSNCTTSATTYLSQGDRSTALPHGGALIPR QRYNLPSMTKAIYTCYESVVAIVASYNQILGHDTEMQARRALTAALAAMDNIAS EPUS_07138 MAHLLRGKQAGVQKDLSSGLTPELFAIDDLVRYGVNSQLAALAY DPVQSLLAVGTNDSQFGAGLIYVYGQKRVCMTLSTPRRASIRQLQFSADKLISWDSKN DVCVFSLETGRLVASYAPPGHVTAVLTDPSLDYCFIGMQNGDIVTYDLDRDTLTSFRI PNLLRQVNPRSKLVPVVSMQLHPRDIGTLLIGYTEGAALFSFKQNKATKFFQYEIPRG APGGDPDVAGLHEVRRPRLSHAVWHPTGTFILTAHDDSSLVFWDPRDGRIVEARTIQT TNIHVPGGGATTMDSSPGIKSMKQPYFDIAWCSKENPDDTGLLIAGGTPTSCPTPGLT FFDLGPTPNYQTSSWDVLANYFRVPRRTHILPTPPNAEIVKFLLIPRSTPHYAGSNDP IAVITLLSSGELITLSFPSGNAITPTNQLHVSLTFVHPFVTRIALACVDRTRWLGTRE SRQHGPNFLLGGAEETKPLKRHENRNIVQAAHADGTVRVWDAAHGDEIENSAVLQIDL ARAVGRFEDIDVTQMSMSGATGELSIGLQSGEVAIFRLNQNPQSGREPPPPKPNAPPG RLTNIVERADPVLKEGLLPLTLLNEQQGPVTALKHSDVGFVAVGFRGSIAVIDLRGPA IIHTQLLSDLAPKNKKASIRRSNSHASGGEEVPTAVEFGVMTLDGDDYSSILLFVGTS LGHLETFKILPASNGTYSVAFAGVASLGDPIISISPINADSGFPAYATQSAVGSLKTG NRINGVLVVTTTTGVQVFKPASARGAHNCHNQLQRPRRRPSLKEIGSAKISHVLDVKR FREAIITPTGDILGWTGPSELALSSTSGAPAKAYLAWISGTQYITPSDMDILIGGPDR PPSKRMLTEMREAESAARAAARSGKPPPPGPNGEQEGYWAYMQRQVQERTERLGLMDD QMGRLEETSSGWANDVGKFVQKQKRQMVLGAIGSKLGF EPUS_07139 MFVVDEGRHVLDRQGGGDQEDAKDKDKDKMHDQVQDDDADPYVR RMREVQARMDDLAVAEERQKAHGGSS EPUS_07140 MASAVFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSEAEEESS AVPPCFSDEGINYLYIRHNNLYLLALTKRNTNAAEILLFLHKIVEVFTEYFKELEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEIQARPPIAVTNAVSWRS EGIRYRKNEVFLDVIESLNLLVSSTGSVLRSEILGAVKMKCYLSGMPELRLGLNDKVM FETTGRSTRGKAVEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECVVESHSGSRIEYMLKAKAQFKRRSTANNVEISIPVPDDADSPRFRTNIGSVHY APEKSAIVWKIKQFGGGKEFLMRAELGLPSVKGDDEHGGGMTGGFGGSMGGVRGESKS RRPINVKFEIPYFTTSGIQVRYLKIIEPKLQYPSLPWVRYITQSGDIAVRLPDVQ EPUS_07141 MAAPVRSRLPRREAIWKVNDDPRKLDSFYVQFLGPGGDSILTDE TKWLAVTHKSFDHGRRGFNDRLSYLGKRIVELQMSLALLGSSTRDVFKVAKDPHGRTP FQHPATEGIEVLNGGARAHLLHHSRISEMSQRYGLQHVVRWNPRKPESLHASGFEMVL TQAVFAIAGAVALERGGGEANRIVRERIIVPLGVRSQILEHSKEELVE EPUS_07142 MANKESILETLAAALPLDLELHFYHISTPPAPTTALFSPPPGQS EERTFCENHFIAASLPANNVLRRELLIFAIEVLVFTSHDLTTIFVSKADSTGYLHLLN SQPGGPSIIKTICTTVLSFFVQQSLHGPRILLSLFARSQNQYLFPGSIENSGKHVLED RQLIKWWCQTLDPVLREHAPTTLAPSPVPGSDTSSEAYIVVPGCDRFETRGFLPSSTK SDSVSRWTNSYPRDLIVPDGSAPPRCLIPRFPDDPKARFLDDLDSEISAADLSTTGSW RSVGTLDHFWEMMSYRQECSAGRLVGFIWIVFTPRRHGEISHDPPVNCPQESAEHSGQ AVEQLPTPNQSQVNSLDPILPPPSLKDDSLDPINAASPPPSSPVLALANEIHNVPMDM QDGTNKQNNLANEATQSGPANQKIVDSLFYDDAKTIPARWPLATRGDIVLSEQTYQEL MNHLLQTDFANQDVATQSTASWIKKASDVVGISSWGQRLTGRKPVVPIVRSNRSESNT TVNVLMGVRKKRKAADSGDATNVVANAGTTEAGSTPEAATLPADSTRKKYKVAY EPUS_07143 MNPQDLSCCFKIDFIEAKQHAPPQSFPNGDYKPPVQPDHYTLRA STGWYHLTTNSVTQEFTPPPNMTQAYSTSVFYDGANTKRFLFHPADCRLVDISNIERE AAGDMWGWRKLCFTEKDANHSVLDHDGEYDSLCGGGGSYVPHLLPPCYKSEVNNNHCG LSGKMSLLLALTAFSCAQPNVIYAIKHRLNIRERRWDHVGNLGNGCKV EPUS_07144 MEALPEGPALKPPPGVIPDFANPGGHHGIGYGVVILCSILAKTA VALRLYARDTIKDLKLEDGLLVSALGSSQDISISCTTVPYLRELKSIGGTFS EPUS_07145 MNDLNRRPKTFVLCFDGTGNKFSGTDEDSNILKIYRMLDRSDDQ LFTYYQPGIGTYISSGDLDHTSITDRIGSWYSKAKDSAIGTSFADHVMGGYKFLMRYY NPGDDIYMFGFSRGSYIARFLSEMVDHVGLLSAGNEEMARFAWKTFSKWQSRRGGSGA ENKKEEKELYEFMSNFRETFSRPVKRIRFLGLFDTVNSVPRFENAWMQRSKFPYTARS SAKVIRHAVAINERRAKFRQDLISGAKLSEEKHHHHFWPHRTYGGVTLTHTDTGERSQ NETSDTPQMKPQTTAEPEAQVPYITVDAASPPLEPSARPPVKSQDSHHSRLSLAQPVM NPSLEDIRGRNFSIAGPIDTQSLEGLRDHSQASYRSHRASQQRQFSGQNKKQHIEEVW FAVWMVHAASMAGLEFDRYKMAKLNCCPDDLDDDGDEDHEKKHKHFHDAIRESGCRGF MHDCLQFGGGLPRMSVLTWMLMEYLPFRRMDLRPDGSWKPIRWPLPAGETRDIPDDAK IHNSVIKRMQEDENYRPGNLIIGGGGRGVKKAGKEYGIGQWKVSGHEGDPIREVYVRM KPTNGKTE EPUS_07146 MFRRLSKTFHRKKDSNSHTNGYTNGNPGKGAPMTNGTVSHSSSE HDNSKETQATRADVQSTFEQYAQLIHAAQRPLPTESGDGAYLTTEEPSGFMADLKALG FKEIKTIKHIMEEKAAGKLQDDKKMLMEEVMQLAAALPDKSSNRVQLTGLFLDELWNS LQHPPMSYLGDKYLYRSADGSNNSYIFPMLGAANTPYARSVRPMTIQPGALPDPGLVF DSLFARQKFNPSPNKVSSVFFDWASLIIHDLFQTDHRDFSISQTSSYLDLSILYGDVQ EDQNHMRTFQHGRIKPDCFSESRLLAFPPACGVMLIMLNRFHNYVVEQLAAINENGRF TPPSDRLPPAQAEQAWKKYDNDLFQTGRLITCGLYINITLYDYLRTIINLTRSNSTWT LDPRMDTPKTFGKNGTPQGVGNQVSVEFNLAYRWHSCTSENDEKWTEEVYTELFGKKA DEVSFPELMAGLSKWDKDMSDDPMKRPFAHLRRGEDGKYNDDDLVRIMQGAIEDVAGS FGPRNVPKCLRSIEILGMKQARAWNCGSLNEFRKFFGLKQYDTFEEINSDPYIADQLR RLYEHPDFVELYPGIVSEEAKPPMVPGVGITPTYTISRAVLSDAVALVRGDRFYTLDY TPKNLTNWGFQESNYSLAINNGCVFYKLMLRAFPNHFKPDSIYAHYPMTIPSEMAVVM KDLGRYHEFSWEKPMRILPRINLTSYPAAKYILERAQDFNVMWNEGFEHAMGKGGLKF MLAGDTPFHTNQREVMSKSLYRNDWHRAVKEFYEYQTLKLLKEKSCQIAGINQVDITR DVGNLAHVHFAANMFALPLKTEENPHGIFSEHEMYMMMSVIFTAIFFDFEPTKSFPLR KVALKLSNMLGKLIEAHVKTVNATGFAAKLIDTYRENDNALSDYGIHMVRRLTETGMT PYEIAFSQIMPTAIAMVPNQSQVFTQIIDYYLSAEGKVHLPEINRLAKIDTPESDDKL LRYAMEGIRLNGTFGSYRRSTVSTTVDDGGRQVDIKPEDKVFVSFVSAARDEKIFPSP NEVRIDRPLDSYIHYGIGAHTCLGKEASMVALTAMLRAVGKLKNLRRAPGPQGQLKKI PRPGGFYVYMREDQGSYYVFPLTMKVHFDGEVPAPTKAKAR EPUS_07147 MASTSKNHALMESVKSYKHVPWCDDYEKMISGMLYDSCVPELMN GRFRARKLMDKYNKYFPEDATFESLAKDREVMLKELMGSVGTDVFMEPPVYVDYGCNI SIGERFYANFNTVILDCGIVTIGDRVMFGPFVSIFAATHETEVQSRRDNIEYARQVKI GDDCWVGGHVTILPGVTIGQGCTIGSNSIVTKDVPEWSVAMGSPAKVVKKVTPVPRID KPEGDERSKYFPRNRYISRLQASGGGFNRAKIDHDEESYRRPTSVTTPQEPVCIAHEA GLLRALFRKFCSCSIIVILDGVLQANAYATISSSTLSSFVTPSQLQDDESYTPAENEQ RRHAIPIIIIVVVVIIMTDTKSRQEEEEELPIHQSLVLYFTSREMVPDGSGVLKPMMV RKACIEGNCRAHDLEMILDILEEERRPPDTRPDDDSEKRDDHLRLAMFRDAVDLHMPL HRASFQPQADDAFTDEEKKRFPWIIDGTGS EPUS_07148 MESPAKRRKKNGSKASPLPVKSLDFFFAKQNAKARGEDTKTTKT AVDGINGQAEEKSAGGMNMHQDDEQFARQLQQAWDNEHEAKTQADPAPESNQDHAFFN EGDQSLEDESVKLREQSKDTAGRVHGNGAPTVLSLQSAGSAEDTVTLTVPFDQSPLTF DPQDYVSELRSYWASEGGNAFYSLLTRCFILINSTQSRIKIVDTLVNCLRTIIEGDPD SLLPAVWLATNSISPSYISLELGLGGSAISKALKKVCGLDSGGLKTLYDKYGDAGDVA FEAKKKQSFTLRKPKPLTIKGVYQSLVKIANSKGNGSVENKQRIVERLVQDARGAEES RYIVRTLVQHLRIGAVKTTMLIALARAFLLSKPAGAEFEILQRSELAKLKKDELAAIW SKAEETVKACFARRPNYNDIVPGLLEVGVCEELLLRCGLALHIPLRPMLGSITRDLGE MLTKLQGRDFGCEFKYDGQRAQVHCDDKGKVSIFSRHLEVMTDKYPDLVKLVPEIRGD GVSSFILEGEVVAVDRETGDLRTFQTLTNRARKDVDIGSIKVDVCLFAFDLMYLNGEE LLNRPFRERRGLLRSMFIEKEHHFTWVRSIDASPAESETVLEFFKSATDFKCEGIMVK VLDNLPNPDLQTEVQDDAQLNTPTPVTPSKPKKGNRVKVAKEEEKEKGTRRKALLATY EPDKRLDSWLKVKKDYNTAADTIDLIPVAGWHGQGRKAKWWSPILLACRNPVTGSLEV VTKCISGFTDKFYQANKEKYSEDGDNVIARPSYVEYGGHPDVWFEPQEVWEMAFADIT LSPTYTAAIGLVSDERGLSLRFPRFLKVREDKSIEEASTSDFLAGLYRKQEARAQANG ETGHAKDTEEIEEE EPUS_07149 MASLTRASSSEMDTRVKDYLDDKIQTAADFEGLEVLLQQARTQQ QLLKKQLEEASSDFERATQALHEHETSIQEKAESYQEQQSDIDRRLIYITEATTSDDA VKKFETSMAKLRRLDLATGYLQLLQEVDVLCEQARNDSKTSARLAIPAYTRLRSLVKA LHDGQPAAEGAAPHLVDHVEQQTSKVYALLKEALSSDLNKTLDQMGWPKKDMHLDSKM VANWTEQVNTLLDLQVPDLLLESTKTHNEPSNAQPKILLPLEVMVHPLQLRFRYHFYG KRATNRLDKPEYFLSHILDLLEDHNGFLVDYLQPVLDDRFRAVPELEDVLYPDATSAF ISALLPMVSAKVLTLLPQISSQPQLFSHFMHELMSFDTTIRDSWAYTPTPHSLSDWKG LTWSVLVTHEYFESWLKVEKEFALARYEAIIAASDSGEIDYDSTEPGSTKPTKSAIRV NDLLETITDRYRPLSSFSHKLRFLIDIQLEVFDQYHQRLHGSLERYIMDTSTLGKVIQ GSTGTANDARGINNVSGMYGLESLSKVFGSAEYLERKMSDWGDDIFFLELWEELQDRA RRNAGSKGTVGRDLPTSDVASRTSASINQIDDPDSGEPDGGALFDETASAYQRLRLKA EEQINELLISAVTASLRPYSKVAGWSSLISSGTTASMHSSFTPTSALDMPLQLLSDYL SFLAKVLAASPLRRITRQLCLAIQKYIWDNVLMRHNFSASGAEQLKGDVEAIEKVIDA SIYQPGEAARGMRRLNEGIRLLGLPIRPSTSNSKSVAAAGVDEEMEKEEGWGFDNDND NDDSDEGATAAAGAESDGGSDTSNKDASGGDRVFSLWEVEKRVFKSNESARNVLLDMG IETLSESDARAVLERRVEVGS EPUS_07150 MPKENRQRGRLQNKKRKRDEYEKGKIDITRHHSAHPLPTTDAGD GEAEIEIQVQGEAGDEFISFGQPTTPARSAVDDRPFYGLLDEQEQAYYTDVNAKLEAN DFGSPEDKAIFLDAVYRESQGKELKIASSQSCSRYLEKWIRISSAEQVRRWFGKFVGH FLQLVQHRFASHCCEALFLKAAQFVAEDKAEWEQDGGTLSLEQLFLAVVEELQPNLGY LLTERFASHVVRVLLLVLSGEPLNDISTVSVLASRKKEKIEAYSNGEESNPVASRKVP PSFHEALSRLISSSVATLDTTYIRALATHPTGNPTLQLLLRLELVSGGKSRAKHADSV LHRLLPDESLEEDSESAKFVQGLMYDPTGSRLLETIIQNAPGKMFKRLYGNLLKQRIG AMAKNDIASYVAIRVLERLSKEDLQSARDEILSEMSTLVFRNRIGIIKVLVERCKIRH VDIGPVVAALQAAYGDNSGDLLLRMLKLLPTGEIAEQPDTRQKQPEDGNVEKTADIHG SLLAQTLLQSPTAFPLIHQGLQSLSSDVLLRLAKDPSASRVLQQSLTSPCSNPQSNRK LILRFYGHMADLAMDISGSHLADVLWCATNGSHFMKERLAEELRAAEGQLRESRYGRT VWRNWHMDVYLRRPGEWQAMAKESVAEQANGEEDGTSKKSRLELARERFAEKKGRRQR LGGRTDVVPANA EPUS_07151 MPKGPITIQQRGTPTTLSTTPPRATSGAETPELQITSPTSPGSG GHLQEKKVRGLLKKVRAIEDLKMRLAGGEKLEDTQMKKIHSEDSVRNELNALGYTG EPUS_07152 MAATTQFAYRTLKGIGIVNGPPSYEPLEGFTRPEGNIRCCMYSS CGRYFAWATTEEVKIVDASSGNVISHLPAENVYELGFSPLETYVITWQRPSKDEAGDA AKNLKVWRVIDESPAGGKYMGKQTVGKFVQKNQTGWNLRYTFDEKFCARVVTNEVQIY ESHDLTKVWNKLRVEGVSDFAVSPGENHSIAVFVPERKGLPASVRVYNVPQFDTPASQ KNFFKGDKVQLKWNDNGTSLIVLAQTEVDKTGKSYYGETTMYLLSANGGFDSRIDLDK EGPIHDVSWSPKSNSFAVVYGYMPAKTVIFNAKAQPTHTFPLAPRNTVCFSPHGRFVL VAGFGNLAGQMDIYDLEKDYSKLCTIEASNASVCEWSPDGKHILTATTSPRLRVDNGI RIWRASGGLMYNEDLNELYHVCWRPEQGSAAPLEENPFSSVPAPHASALKYLESVKTP SKPAGAYRPPGARGQVTPLAFKREDEGGAAYVRDGISSFANYVNGFGKSKSRVVPGAE AAEDKSPLPPGAAPGGGVSLTGTGEGIDSEPLSKAAKKNAKKREAKKAAAAAAAAAAN GESAPLTQLKLQGRILAIVLIGERTQRDAKEAEAKDTCRLLQYRPDSKQVQRPHKARR QSEAEVEIEDSAVTPRLQ EPUS_07153 MTTPTLFNLPPHPTEPATPSDMGPGTPNSGTTSLSALSTTAIKD GHQGHTLPHGHHHHSVRDSTSSNNTLDAERADRISRLAGLERVATVRPSQSSHLNPGS GSNPPPGYFDNVPPQVKERSTVGSASATGSVGGRTTWASGSIDYDADKMSEDQDDGVS STGGFSDENASLVGFGEGAGSTVSVPISTTTSRAAAARYHPAGSPSTSKLASTPSAHM PQVGTPMSGVVPTSATTTTVASSTDPRMMDGMSYDADVTDTTMQPPTPVSQPQHSRGF SGQGADMAESIMRDRLPDNEAGRPAMSTPDERGEKLGKFPFERK EPUS_07154 MMSGSNPFRRDNRPTVRETANPSLLDDRTNASNGQPLLKVEVPA LHRVTPTTKRVRIASPPVPISPETPASYASSPEEIRHSGLPGSASLTIPGSVPYAQAL ASNPFQSSLSDGEPEDVDIEVLENTRKNSALGSKSDQGSGEETSDRVKATLAKFASLP HKSAALPDSRHGEQNELSSNTSRPAMDVDAFKRLLLTGVSEPPTPRAIPALTQAPSQL VLTSDSSSSNTDTASISQHSISEPIAPTVADTPRTSLELQRIEISGDRGAIVVDSATN KKPPIPKPRHGKPLNHSATTLSTSTIRQATATADVPEKPPISSPSDLNKPLPLPPRDS SFPLVADASLSDDSQARLLLRRPPTPPLTRRQSQRSSQPVPISFSEPQTPPLIEQMGS ASPIGQNSRAADSKAPPPPPTRRQKRASATQSQASEAIVQEGIDSYITSTQPQLSPAS SVNSLLQPKLQPPPSRNPSAAKRLSRVSTASPTMAPPLPPPRRVRGSSRGSLEGPTAI SSSDLDNGNNVRRPSTDSYRSVSGQSASKDILADLAALQKEVDTLRTKQKYRTDSTE EPUS_07155 MTRRLTLGGRSEMGLLCEQNDPETPPPLWTPPKTDFWKRPSRWQ ICIPRLWFVAKVALSLFFFLVLLRIYYDKHSGGVDQTATEAEIMEAAQRDNWLWKDFS RHDGLTRGTFDLKLDCQLNNERCDKNTLHMTRYNGYRNFEEPVSEIIPCVGPRGLPLN ESVEDAVWAYEGVAKGAVEPVLGSYEASGLDGSISFDRVGRFQAYGFDQYDSLDVSEL GKSSDVDWNEVRWGELQDVCVDANHARFKPVKERQQNSIQYSNVRHKHNRPTNSEELA APTPGKVNKIKRTAILIRVWTGATWSADAVVNLRAMIAEASLASGGKYQVFILLHVKD EAVPLFVGEDEPMNTIRQNIPPEFHTITEVWNHAQIRGLYSNLAEHAFVGRSPWMIVQ WFARNHPEFDFFYQWEFDVRFTGHYLDFFESISSFARKQPRKGIWERAARVYIPSVHG DFDTQFRSHVHNEDPHHVWGPVSVEGVKPRGPKPPTHAAEDHFEWGVGEDADWVGFLP SFNVTDTKWWFKDYIWGYAQGTSTPRRATLIAQGRVSRQLLDIMNHENAENGHLIDAE MFPQTMCLHHGLKSTTFPHPIFSDRDWPPAALQQIFNPGPFGQAGGTKESTFSKWNEY AWTNMTWYFNSAQAKPVYQQFLGITALDKGGSEWEEAYGRAVVRPMLLHPVKGAKSTK WTKDGWSETKGGR EPUS_07156 MPQAPYTVTNSFKGGLQMRTYSRNVRRTWDGDDFRLTKRQRVEA NKITNENDDTLERAIRATSVAVSSSASRKNSTVFSHESYDDEATVTPPSSPPPRLSPP AVKARKPTFSFLKRKHDAMREKSEPLGEVINSSNRSSIPPKKQQTLHQMQLDLGGPSR KTCPECGMEYVLANEEDVTLHRMFHNMNSEGVELGKTFMKSAMKWVYEVSHIEGSVVV VDRKISPPARKVVQKVLGTVNKELSAVDIDDAVLWSQRILNDKESGSEIKQSDSEKRN DHKSDRYKVFLHVKDGRCVGLCLAERITKAHRVKREKKEKDTGQTPKEVRSSSISIEK KTVQAVVGVSRIWTSKSFRRKGIANNLLECVMSHFIYGLEIEKDELAFSQPTESGAQL ARAWYGEESGWLVYNET EPUS_07157 MEPNAGITEKGSELDGRISSLIPDKRDPETLEIDAVAEKKLIRK LDLYIVPMVMLLYLLSFLDRVNIGNARLYGMTEDLSLTGSRYQVAVSILFVTYILSEL PSNLVLKKFRPSRWIAFITTGWGIVATLQGIVQSYGGLIATRLMLGALEGGLFPGMAV YLTLFYTKTELALRIGYLFVSAALAGGLGGLLAYAIGNMDGVAGQSGWRWIFILEGLP TFVLGISCWWLLADDPETAFYLRPEEKALMLRRKQRQTWYTKAGDRMHKEDVVKGLKD WKIWMFCLGQFGVDTVLYGYSTFLPTIIQGIGNWNAAQTQALTVPCYALGAIIYLLVA RLSDRQQKRGIYTVIFGAVTAIGYGVLISDTTSAGHYAGCFLVAIGLYVVVGLPLSWL PSNQPRYGKRTTAVGMQLTIGNLSGIMAPFLYETRDGPRYIKGHAVTLGMVAFASILY GYMWFYFARRNSRRSIGLEDKKIEGKTEQEAAEMGDESPRFVFTI EPUS_05717 MSMIDNMNENNNGFTLVTVHNCTIEVPPWYTVSHIVYGKVAGRD GRMYIRMNLPRNDIMVKIVSSSASECLVLVLDANKTQSTGENATTLVINDNGNNKVVD DPMKMVEIKGGTTITCESLLAVKVLDASDVVIELANTTEDEVGLCTCLLQTIESVLE EPUS_05718 MSFVLWIIILEDIPREIFSAIQEEYSNSRQFCDGDIYRSLRHHQ LNRNINEAGKWQARLSASKRKDVRQLHERYPHLTEAFDSLLPVIGLWAAVEIGTFHRI LTLRCPEVMCNMLPSNHCHAHIHQEMVHYLHHVGRIWRQILSNEHLAFVDPATVAFLE TLAPKFSTQDSAAVRRAMHDRVIFPQISREITRGQILNRILETPERIPSLFTFFEDTK YLEPCAKALKFLLPPIQPRSTSSLRDTFSRHFSVRQSPSIPIQKSEQVYASLHVDSPR IGGWLAYRQLWLFAMRHFPDLTDTIPRKDLRKPKPTQEGKRSSCIRFQLADLAHRLGY NSEQIHALRDEDPDRSMARTFLSQIRPTDIYDFSEEDRAGLVEHICEEIRRRSSVIRI ETTPAYTTDEKGQPKSHRCGRPFEQNHRSDQPFLFCGRPFEQNHRSDQPFLFLGNIYG QIKMQRREHLTSFAFTRDIFMAFFGDNEDDDIFPESSEPPEDAPASPAKPSSHIASPS AAGPSSVIVRPRSENQDRRDSVFMASGFNSIHATRNACEIPTSSKASNIIQDHLKAIN STSQDHIILYCWRQQEYIVYDSESEFSFYSKVKDLTNKHFWFAVIKTPEKIKYVSMKN AWQEAQKYKVVFAGLKGKGGDYNNLPFTGDDLDHFLNYIDHL EPUS_05719 MSCCNHVTLVEVEGEKDIYDTGRFGRAINELPRPDEQYPSLVHF VGKKSKNLALKYLFPQNNIRRGASTNGSTNLRLDTTTIGSDRPLLFCDSDPFAAASTH GGWNLCHSVVAYPLSWAPTTEYTTGEVVEARLLFLFSDVICVFVDDFSGLDQVAERIV RWVRIGSASSHPEALRPRLILVVSEKTLDKSFSDLRLEEFRHELYERCEDGLAKVFSG VQVMQLGGDHLSPLARHCRLKDMMRANVSEMSNLRQKHMAAFSASHLKEFFVASVQHL AATVDTTLDFITTSRVGNEISGDLSYHLYTFLVLGTTYKIPYDQLASYISSALLMDAF PPGMHFFDIDQLFHQLYRNHCFDAFLKVGRSDSFAKYQCDQVQRHIAVLAEELQKGSI RSSHLHIANISNKHRHFSSLKSNVSCLYCLRRKPEHVLSCDHSVCEKCVRIFGIGVSG SEQRFMLTGCILCQNLGSLSVSLKPPTAGVRIMSIDGGGTRGVLPLQHMLLLQEAVGD CPLNDLFDLAVGTSSGGFIVLGLFSLGWDVKKCSATFDALARQVFGETWETQRTILGR AKELFSWWAADGKHDPNLLESTLQNHFGPTRRMFGSQHGRSSGPKVAVTATSISDATP FIFTNYNGVMDIPSDCGYRMIRPWKIDEEPFLWEAGRATSAAPLLYRPANITALGAFQ DGGLKYNNPVNLALWESRRIWPESASPDIVLSFGTGTESWSRSSHAPHFRHILNDGFI PRTWRSFMSSLDGESTWKNLKNHLSEGAKTHFFRLNPVLPHDASIDDVSGMDALRRIV KKSDRCIEIARALLISNFYLELRAMPSYEAGQYRCHGTIRCRGRSYTTVQALKRLGLT RLQLVSELESLGSCALQRDICPLCHCYRWPVTFVVRHLDEPFSLYLREEGTYKRRLGG FPNNIRWFIKQQALNAKFGTADHGSMGQSSCNLCAKLLMTFEMRKRGCDIPLETMSKR PRLTLTVPQDVHFFQSTFT EPUS_05720 MFDHNNGIALESIKFSATGFKKFPATTRKTGIRTVQHRAIAFGD HQEQRLRRHEEIPNHYFTVDITVKNLCETTEAFTIPQLSAPRTDDDIKQNPANALEKY LNHDLDKVFHYLITEAHTPAQRNAIGLQSTALTTTPRLLSTRQQFAGIHSPYVYICPR RSYTSFHKEDFNLQSANLLHAGAPNVWVLISSRQADQFEARIAELFGLTNSNIKCSQF VRHSNVIIPPTLLEVWGIAFEVVLQYPGEIIVTDYNVYHYVWHAGPNISEAINICEEG WLPPPMYQCCSNNSICGRGPFVSHSGMRIGELQKLSIEPMHVPHKEIETDSDSQTDLK ADIFEHRNAKFDKGKRIASRISKEHLDFADPTDPLIVVIPDSPNTTSSNSVQSIIITD SSDATVKTASQNVVDHLISATSIEEQLDVLIAEGIDFGPYFNLTRAAAEAMFRRFRPT TPGDVQASWLNDTALKLTLEALSAHDASVAIVDSISVKSSQWEPLWDQQPDTVLIPCR ENNGSDCGPILLADAEIALGKTSLDHLPRDPSHLRLRYLATLLTPARANIHGHRNVRT LLKRKFDEVDVVGQAKCPFFLIEAHQTDLVAAFASPQVFADLCVLRQSAGLRSVHRAQ TLVSHAMVLNTTSQTDQMLSTWIKEYSCIILSQRHRKYRDEVEKWRRTTNKRRKRESK YSKPDRARSLPIHLDTYAACIMLHEWDGDHAPNVHAICQQLRDNNINIRQDRQEKLNV LKQQARSGHDLYDFITTFGVIDPLEMPLWMLKPCRDKEAQR EPUS_05721 MAQLTIQALYIEGDPALCRVYFINHQDDRENQNAGFQIIDKKPF AFALQNIQKGEEIYTTYGGEWHFPEAPHSTIKPEKVPCVVNGLLYKRLVIHVNDYVYI KGQPTKGDRHTSTWIAKVLKISLTNNKEWLHVSWMYHLHDVPRCIVGELEIGDWELFA SNHEDDIVVDTLMDLAKVKENCRSAQSDWYWWRTWDAKMQKLIEPSL EPUS_05722 MVLDIKQNGGTDLPLASVRDANFLGQLLQRLGAGFQFPTNAIHL FSKLAEIYRRESESAPIWFIFMIRIAMAKLRQAMYEPFTWTDYLSAPPDPSNKSDHKR YHLWSILSMFHPLEVKMNARGELDPIAPTTTMTLVSNLWRDDGMQLAFLSYHERQISE HCTLIPWKSCAHLERTYLAKDSQLKEFDEIYFPGDRSNARIEEAFFSLGQENQAPLMT PHFQQAGVVVPDSNQPQPKRKPEEDGAGKEKFKSQRLASPFCEENDDDAVSGLFGNVD IGYDELVPFEIYVDSRIATSQFTPHESYVKEPENVLASPAGRAKSL EPUS_05723 MSTPPVLTSQQKVTAKRVAKPVLGTPAPVWSEMGEDDKETKLRL FMERLRETQNTSIADKLEEDVPLAYKILQEKAKSMRSEERKKAL EPUS_05724 MASAGFYVDKRLAFQLETGTKSTGLAGTSTQLPSRNRPDGRRPD ARPVEPSPPQLSRPRLPPRPSGGVCAPTTHTVESASPACVTSNWRGPLSEFKALPEHY CYPECFPEEQPTLLRVRQGNGRDNYSRLKFSDLDDQSREAERAWELTVGDIYHSIPTT AIGLFETLRSMRNMPLRLRIDVRAVAFGLTGYDLFQKHFFVSTHPEDDTVDRFIEAFN RTDTYQRPQLLFSFLETFLEYTDPQRLGTCMQRIISGIEPCSETLQRAAEVEIAELVV TFTTQCQVDPSQHHSGYAENTIIPAQLSIFGDFIILFRSSHHGTRRIFEMAAKRLQRR LRPLLLWLFVGSMPNRAKPFSGGGKNARQVLMVCDSVHTLVPSVVRLKPGLTRL EPUS_05725 MDCSADGLLSNLELVLHAHGVKGLQDRLRHLASLRSLPLGNFTL ASDRDRSALVSAVALRAQAAMGNSDQDLFETSLHCLSVILSFISMGKVELWSEGEPLP DELDMIRKTYMNSLQGSHFTTWPSYFVVFRLAYPSMTEFWVKWLHEASLGLETPSSTN LCTSFLECLFDQSSECQKSLGGLTLSHPSPNIRHQFVRIASGLMKKLSFKKVAGFCCM QGEVGVREKKPVHS EPUS_05726 MAFLDKDLFSLLLEAINQPAKEAIRLPINKSYLSSSSQICLRFD QKRPTATPKDTLEGFVFGSERRKCDVLLGGTSAGISKRHFSINLNQDGKFMLTDTSSN GTIIKYNWQRMPRKNSQSVLPEDRNITIEVLDLQFRLHFPLLSPEKDRAERSAYLNDQ RLALPSMHSLDFHSQEHTQTAPSRAKSPERKAESPERKREFLEYGHLGSGPDSDVVEM KDISTGAMYAGKRSTRRDFLRRELDILKQLIHLHITEFECSYEDEEGDLYIIMNIVQY GDLGEYLAQGKNPLIDSASLLHQGFLAIQYLHGNGVVHRDIWPKNILLQNLHPLHIRL TDFNMSERVEELQHRRSRTIYSAPEISNSGTLTPFIDIWSLGIVVYILHKEICLDRSV AAKAQGQLMANIAEEFASDPGSLGFACAKMILMDPQSRIDVAAGIDASARLVPQPRSR DIASNEEPHGLARSKSEKKSGQKHPFSPSGSDNQPKRRRKRD EPUS_05727 MNDTSFDPILLSAALIDPSPRWTPDPEEMEQRWKEKAWEAYNNL IQEGGIPSREVHTEPIWKVNLTDGGEYSFHLDEQGTDYLIEPALLSSFHWKHERTWFE EELEDWRQFCKRNRRQRRLEYLNYQEQRIQTLRGSVVDYEFKLSEARLELHMRSIRLA RTQKKVGKGLKRKKVPSSERGHPRRSDRIQQQIKYRK EPUS_05728 MAKDEVTPVDDIAHDDQKARGEGISYEADLVLDPKETTVTDFES VDSTVAKNADSGPELPGKQGVLGSFHDCTPRSIDCWFFLHTCIF EPUS_05729 MGQNEEQAPRSDVQVYTSERLRQTLQAYRKRPDLAFDKTTHVKV DITIADGQDVSVWISIFPSGLPPQVNFECQVEYLGDSPLPDIFRHQLESFLYIEYPRV HWDGTFTHVDTRSTSNNIVPATQQSSDSQQSNNIVPATQQQFLLKNARVIQKAVTSIS NGFKSQKALADGLPVLYLQEGLSLEEYSGLVLSHAKDFGKSSSSIRAACGLIEGCVQQ MLVTSSNTQLVLSDSLGPASPQDSKFRQELRRWRGRASILNTVVNDLWPIFGQDSCRV YEALVASGFCLTKLAELAEDTWTITGKGIARGLVEPLQDCIKSHDRQAPTAFFDPLVI ASHITGTNYHRPGPLGTVGNEVSPFLQIFRFEKERRSPDSSDQSRIDQDDVSSTASSQ LSVVMHGRGGRQGAGPLSPPSSTQDHDKDNMEEDGLCLPSLAHDEGDPCLSQASTGGT SGCHSSPDPYRPFFTDITDLSSIAYPSFTDITGLPFG EPUS_05730 MNPKTPPPTPVSNPVCPTYGPWKLVSVPASEIESDTSQWSQELS KLEVSIIGKHSDDAYEVAIDPLHLLGLKSQPWYMNLNDNPIQPGERQKDIYGINAATK KAREQWLQRAAGVIRSGHQPEVNEAYRDHARSNGLHEELARAILIHDIKTSIPLVQQK LAQNCVLLFLNLEKDHSSSAAYNYLRSDQDELLESLQCSLRIPIPPKRTKCDETQITS LIRALLELSGGRVEVQQHGFIEEYTASENADLYASVFNITRLRQFGDFDPKEMCKMLS KSVAFSEMAISIRYVEESTAKMMDSLQVGFRKGYLELPSQVLSGLGVGQIDTFEAYLT HTYRTIPPLQRPENNTLLLLTWSTVVSDRQLDVLREWASSENGLYKQLIRDFRDPQTA EQLVLIMAALMSYRRLLPDLSDDSERPIRGSYLADLDALLNGCSLGNSGIIAQRMVFS LIRVFRSSGNQFGELTTFLETVLDREPPLLHVFDGFCDQYWNRRIDSHTRHTHEIVMV ELSHLKLPKLREDGDPDWVTVTLPEALPVQDHSQKPYSDHTLPAVKTKSMEQSHGDHP PKIDIPHTIEVSTAPRIAEDMTASCIGAEFSSKVLLPPLGFRGDQYTLIRMFRVEDEN VLQKLDANIGKVNEVPCIEELSDGSWEICILQSHRRIVKDELCKIFPGSNVDLYYDPL EPTAKDSKIWGYDKAKRLYEVWFFDRAIRVIKEAWSAGAACYAYRLKVMSGLSVDFTL MPTYQGYLQSKEDAVYLLEACLSGKLAHSCRGPQDGEATISGNIFVWEASITGIDCWR DGMEWTIWEEDGFEVGKAIDDSGLMKKTFNIPAYERIHHVVSYYTACDAQTLAQPSKE LDLGPFSNLVSSFDAQPQHHFHGEIALRHRRHNHQRQGSPKNTQYACTFSKCFMKFES KYAWKLHENSQHFQVECWLCPFYPCTDSNQTSTYGNEDGNGQIKTRIFYSRRDYITHL QSVHSVNNDTVDQQIREQRIGRNCQSRYWCGFCGKIVPLQKKGLEGADERFDHIGGHF SGGEQIANYIEMDGSGVKGENRGGELDGCHTSITSSVDDAEVWPFQDGGARCNDGIHK TGMTREESVADADEWDDDFDSTPLLEQPCS EPUS_05731 MAASPMSAQQLVQILRSGVGARRRDVITQLFSLTAAEMRGVVAT CRVDGRSPSRRPWDAREYELLRDALYNHYTKRGSDDLLLDFTGRSYTTVEAGITLPWS QFIGLTYEAPDDCGEDIEHEAIMILIGTTQTAPIPLPSLNQSLNPSDRLISVYATIPT RYYAGEPIDSENDSLEPPDLVTMNLILHRGVFKPDPISAHLCPVDKQPQHREGGDFLL RSRLANDLLDLPSLVAPQRSVTVCAAGHLYVFHRDYHWHLLFGYFQRPRRISDSLRGW EPUS_05732 MNQPRKSNDERALWRAKCREKLSAHLKVKLGLTIPGEDVRLITG RDDPYRWSGLPGTEHLFTKQLSKHCLRSYIEIYHWVGNSFEALPNDTVEGEEHPSGAS LKRAVPPADRDQSVKKPRLLTQSESFSSRIRRLEEENHTMKRQLERMTETTSTAAKAQ NTLEMSLSAAQTTISQLEEEVSTQREKTRKSQALIIHYQSKAQGLGKCIADVVTAIRR VEKQEREALTPPPVIAR EPUS_05733 MGRKRTAEDYFQAAEANGWVAGAHEEKDRVVQKEAEPSGRYRMN QQEALDQWVIFMSHKGMSAAECLQEGCPPPSNVFVKDFARWYCKSRRGRLDELPNDTS VRNTVKKFFSGFSRMTGTKIPDELRLDVYTFVRDTLIKEGCCTTKKREKHLFNDEDLN NCITSLWTDPDIAFMHEEMRSQITFLTHAYSYSGARIGAFLHKCTGEVEGADGKSENV IFKGLTWKDVHIYLFPLPDGTTELVLKITQRWVKGNKDPENHVSEVTMYEYPLLKFDC TAFLLRMAFRDSVLLGISSPAQLWEQRVPEDEPMMELRKDPSKLDIPVLRTATRHGGL SDRMLDESTFRYYFQQMSRNAGYYGVLTIHALRRALANAVDKIATAGERNKLLGWASS DVCNKHYISDIAGVDGMGALLKGEQRTDHIKKLRSVSTKRALGLPQELPAERMAELLR DSKACSLLQSLRELRKAGAPQRDIQHAESKFNTYRHWLKNRLLEQCKADWLEHRYKSI IETRGRISQDRSTAASRAETLFRIMPERARLATMIKSTEPRTREQKLQCVQDLLSLTT RSFEVMYRPGEEPVDGACPVCRSNLPEAKWSRADHIHTCRRKEYIAAVAAEKTVRVEY CFLCFKWFHGDTTWEEHCRGHLDTFKPTWCAIRVYCHTLISPAFCPWCLVDESLPAAG RLKQWTRNCTLMAHVENEHVRKIRSWPGFCGCGTEKGDAVSLRYHLSDVHGLWKAEGR RFGANAPAQDDVDPTEMAPILGQEVEEESRPRRKRKLAKTASKFIECSPIGKPGCEIL PPSPAPVLPHRTKRSKGRKSDWGSKTTGLTFVEWSPCTRSNTPNPSSGRDKGLCRDQP LVPTSPSSHCYPELDDGSHSMALTNHHAEGFDGHASPEQLFPESGRPDGFGDRTPMQD VFWLDDDSGRVGSTANITSDSTPTANNSPGVVQDYLDPALFSSESLLSDPPSSEYFRV VEPLSETESKQQGRQTNPLLEYLEYDAGMIAAEAREGFESASEPLTERFYSSRPESIN CDAATDCCDSVTSPQSLPSLSVLINATSSHTTHSGAENRCEHAPFKHSPQDASRKETS WWSIERCDTAANDCDSIKSTDSLPSLSILINTASSQTTNLAVEIGCERRESADRATKR SMSGEKCSRCGVVNSGQTMGHRRNKCCGCWDIELASMKKEWRQRSYPTISWGTFSDRL RNYVPFLVDVLSGRFRTPFRQRYEEHMQDLKGYGTEMTRSGYYGPRGESLITEFILFE LKEPLQRARQEGLIVNHSIPDYVLFVLTPSTALRLIMDDMSLGIIEAHQVMMESARMG LFFNENDV EPUS_05734 MDIVNRASDLTTRDFGFGGHGAHIVIPSIAGPLLAAGLVFNRLY WRIRLVRTLGIDDLCIGLSLIFLFALGIVNLVGVNHGYGQPSNSLHPADLQLALQMFY LDQIFYKFTINFTKLSILTLYLRIFTAPWFVQTCWCCFAIVCAYAIASILATVFQCTP INYYWDHHAPPVPTNHNPGVKSSESHPRCINTTAFWLANAIYNISTDIIILTTLPFMI WSLRLPRSQKIGLTFVFGLGIFVFATSILRSTTLESGSKAKDPMVGTVASTMWTMIEA STAVICACLPMCRTPLQRTWPRLFPSKYGSAGGLQTHTPSQTAVSGLRSRRTLVETDS PKVNGIGLANGGAKPLPNSAQKPREMSGGMLAGRHGPGGRTLADSEEDFSDVHFEDLE MQSTSMSALPSRSEDESRPERTGIMGGVVPMRMKRW EPUS_05735 MSSNQRAVILASLRGQTFRIPDLHAVFSGWPQDTSRHLPSIVPA MDSILDSNACSDAMTKKLKQEDLATLTARWWPVADLETLLDLAQFVACIFSTDGMIDQ ASGPGKDKTQAFQALHRSTDKFVEQRLDMSRDAKVPRSSDSLINSFRVLSGLLRKRYT IEQQKMFLDEAKFTMEMLNLQQKLRLDGKIPSLAEYCTYRQGSCCINAMVALVEYGNS LCIPGEVMNNPDMKVLKKEAVHANWITNDIVSVKKELASGFVENLVVLCASDGNAQTG VDTAVKHLRSSVERFEVAAAKLATYYAGDKALAEDIGKFVQGCRCMCMGSYTWSLTSK RYGLEEMKRDGAGGLVVVV EPUS_05736 MSMAESPTNKIDAQSKGETFAAAFEYTESDEPAEVTSPNEQVPA PADYESLSYQRHRSQRSLGINGYTATPDPPTLYVRALYNYDADDHTSLSFRQGDIIQV LTQLESGWWDGVINDVRGWFPSNYCAVVSGPEDPGEYEGNGVDESEASAESGTDDEQD GEQDDDVDSEGNPYEGDSGLPTDGEESGDQEEAAYWIPQATPDGRLFYFNTLTGVSTM ELPLETPTSMNETGPQDRTNFFVPEQTRAPLEMSNHSFSGEEDDPDNSGSEGEGESLM LASNGVLPHKRRSSNSDRVSSTTSTSLDSMNTSPVAELRKAPPNMYMKTNDIISAATH AESGLETTAGTTTSFSTNPIGLPQDTSVPRYFFNDGSTVPTTWDALTDNMSQSVHAYR QVVKNHNRAEFVSRAEDISDHLRMLLAAGSGTTDNHSGNPSIISTNKALYPHFRDMMS RFSKLVLSSHIAATDWPGPDAYAKCLQEADGVIHAVYGYVEVARQQRGEEVPRLVPGF VLGRTTGGNWQNNNVDAQDPISTTSLGDRGSPERGDGHFVTLDLKLLGRVDEARKSLI ANIRRLEDQLHITEKVITPARQIQLGDAICLAAGKVIESFKPFISCVESVNLGPLGST FQNPQLLDFGSQKQQAYDNVAELIVTCQSITASLPDEWAEIRGPPFDERLARIVATCR QFDNNVSHIVYLMQLLIDTIASHDTFKEVRLPDAEASQKIPLHISPAKGALTRPQLTG ASQNASYDNTDKASDKIRRLDPTDKPRRFFGQMTPLDVVSRPTGAECMSATEDRPWYL HLDHPNEVFYDTKSTPPQLKHGTLTGLVEQLTRHDRLDAVFNGTFLLTYRSFTTASEL FELLVRRFSIQPPPGINQHDLTNWEEKKQKLVRFRVVNVLKSWLEHYWMEENDGESRK LLDRIYSFAKDSIATTKTPGSGPLMAVVEQRLKGQDTSAKRLVLTLTNSAPAPILPKN MKKLKFLDIDATEFARQLTIIESKLYGKIKPTECLGKTWQKKVGPEEPDPAPNVKSLI LHSNQLTNWVAEMILSQSEVKKRVLVIKHFVSIADKCRNMNNFSTLTSIVSALGTAPI HRLNRTWTQVSPKTMTSLNVMRQLMASTKNFGEYRERLRRANPPCIPFLGVYLTDLTF IEDGIASIVKNSNLINFAKRTKTAEVIRDIQQYQNVPYSLNPVPDLQEYILSNMREAG DVHEMYDKSLQIEPREREDEKIAR EPUS_05737 MATSDPSTSTSNPSPRKPASAPEKKYKCQFCNRAFSRSEHRSRH ERSHTKERPFKCAKCRSTFVRRDLLLRHDRTVHAKDGGVPLVSEGRKRGGTKSSPTEG PSKPSINVDPGTLEQIEASSDGMVDLETAAMLMTNFQHKAAAAANGHLNDAENSLPTY SPDRGSMLEPSIEYLSGAATLPQMPWDTFMSQAPQDHKSHSMSSSMSSQDTSMSQQPF VNMGTIQPHQTQLPPIMERQSSLNSALAPTFPSLTDSFPVSGSPTPNALSPFPSMTGP VSPVNYRRSPGPSQALTLPKAPQVADENERNAIAAQIVNEDIDLPPTDAINQHLSTYF NLFHHHLPFLHPESFTPGIVEPALLLAVLSIGALYNFDPAQAYLLHHGSKKLVNQFLQ NKDNFDSRKCPLWTMQSTLLNMIFESWSGGPGGLEWACSIKSLLANMVAGNKYELKLR TDARGGVPPSHQEWIEDEGCRRTYFAVYIFFGMLTLTFNHTPAISFNEFDSLELPSSE SLWNLETSDEDSWREIFSASTFVTVREAHDSLFRGECTRYSAFATRIMINALFLEVWN LKRSFETLQDVVLEWKIRIALETWERSLDLCEPETIVVPLSTPHKSHPLIFNAMAVYR NTRARLEVDLKSVQEALRYHNSYEVAAAMTVAREGVKRSEEMLKVIEQCFECIEIVAL QGINWVTKTSATNWSVEHPLCGMDLMVILSLWLYRLETDDEPATDDEIAMYNKIRNLF DDDAVEMYGSKLSSTVARVWGTMLDGVVVWGISKLMGESFKLHSQALIGYEDEIMPDP TNGMASLPDQGLMPLEAAY EPUS_05738 MVPSTTVRRLLREVRELSAASSNPNPSFHAHPVSDEDLFEWHFT LLGPPSPSPYAGGLYHGRISLPLTYPLKPPNFRFLTPSGRFEVNREICLSISGFHEES WMPAWGIRTALVALRTFMGEQGTAGQVGGMEASENFRNVLAKSSRQWRCDGCGGKTNE EIMRDWWDICREKGINVGEEVGLEELPEGLRLGFKDQIGKDEKKKSTERTTPSELTGH SESVGNRDISARNGGTAPVAFKGTSSTSDPARTNALSEPLAEASNAASGGASRSSSST PSSDTQRTSNQPAVASSPVARPITAQSTTTIPTSQPPRPATPMLDKAISGLVLALLFM VLKKVLYNPNVIGYDY EPUS_05739 MALPPSSIGPILDARLSLEKCSSTADRVSAATPLESQIRVVPPS EYKAAAQCLAEAFVEDLVIRYPIDTPDRAHWTEDQRFTLHRQALEYITYAHCVKGLVT TVGDDYGCVALWMPPGKNMDDWCTILRSGMWRLNFQLSSEGKKRFFDEFLPLLHTTKE EVMGERDDDCWYLVYLGTKVDSRGRGYARKLVEHVTAQADHENRACYLESSNDINPVI YGKMGFKFVKKIYLKRAEKAIRMDIMVREPMQTTTNGKL EPUS_05740 MAGYNFDNPYNTGYGAQGGTDGGGFMQGEVTSSPAGGKGDYGKD TVRPLTIKQILDAEEPYPEAGHQVDGVIISHITFVGQIRNISTQTTNITYKVDDGTGV IEVKQWNDPDMSMAEPMGNDNDSKSKLVEDGYCRVWGKLKVFNNKKHVGAHVVRPVTD YNEINYHLLDATAIHLFFTRGPVDAGKGQNGMRMNEGAPGAPPQQMSNGQALPSMSPG ARKLLQTLKATPQSNEGLHVQMLAGQMGTNTNEVQRAAEELISLGLIFTTVDEYTWAL LEC EPUS_05741 MGRALSPARSENEFDISTVLFTNGLDHDGKRPSEEMDITLDATD IMVDLSENDDTAIIAEQQAAANRRAKNMIGKTVKKGGGFQSLGLNTTLLKAIGRKGFS VPTPIQRKTIPLLLQEKDVVGMARTGSGKTAAFVVPMIEKLKAHSPRIGARALILSPA RELALQTLQVVKELGRGTDLRSVLLVGGDNLEEQFTAMAGNPDIVIATPGRFLHLKVE MGLDLSSVRYVVFDEADRLFEMGFAAQLTEILHALPASRQTLLFSATLPKSLVEFARA GLQEPALVRLDADSKISPELQNAFFTVKSAEREGALLHILQDMIKMPSGSYQDEHSDA ANSLAEPSRKRKHDDQEKPPSRGVPSPFSTIIFVATKHHVEYLAHLLRLAGFAVSHVY SSLDQVARNAQVNAFRSGLTNILVATDIGARGIDIPILANVINYNFPSQPKVFVHRVG RTARAGQKGWSYSLINETDAAYLLDLQLFLGKKLVVGRAGNENVSFTDEVVVGTLQRD AMQPNGEWITKNLDENADLAALRSVAGKGEKHYQRSRNAASKESVKRAKILVGSDSWT EIHALFKDDSSIMEMAREKMLAAVGGFRPQESIFEIGARRGGRKANEEAIEAMRKIRS SLDAKRRRSQNKELDVAGGTDIASEDGLRENAAKNAEPSADLAIASDSELEVTFSHSE PSTKRAEKRKRERNPDSYHDSENFMSYVPTTQNLAEDRAYGVHSGSASNFTEAARGAT MDLSLDDAAKGFGEARGIMRWDKRQKRYVARTNDDDGSKGQRLVRGESGAKIAATFRS GRYDAWRKANRIDRTPRVGEVEGKLSSNNPTMIGNGGKKYRHRNVRAPKQADKYRDDF EKKRKKVAAAKEKELNKRGKREIRSVDDIRIARKLKEKRREKNARPSKRVKR EPUS_05742 MAPSSTSANTNQTDPLQHPLQATAMAIGGATGERRSNRMSHMAS ANEGPADIIAKVSGAVQGGTREDDGAYFTSNEGIPFPDPAHSKTVGGIPIASDVFLFQ KQQHFNRSKNLEPNFLSSVGEKTPVFVRFSTVTLGREFPDEARNPRGFAIKFYTMEGN YDIVGLNFPVFFCRDPIQGPDVIRSQSRNPKNFLLDFDALFDLLGNTPEGNHAGLMYF SDHGTPQGWRFNHGYGCHTFRWVNKDGKFVYIKYHFIAKHGQKQFTEPEAIRISGEDP DYSKRDLWDTIEAGEEIEWTAMVQVMQPEEADPEKLGFDPFDVTKVWPRGKFPMQEFG RLVLNKNPENFHRDVEQAAFAPGSMVPGIEDSPDPLLQFRMFFYRDAQFHRIGINLHQ VPVNCPFMAQSYSPLNFDGQMRVDANHAGNKQYVPNSFAHKFRPDVAEAPYLVSDNVV SRKSHYWHEGKKNEYDQAKELWSRVMTDTQRQNTISNTAKLLRFVKYPDIQKRYLAQI YNIGTDYAQGVYSALPKKEFEFSEVEQLSQTAHEWYKEKKFRPSSGERLTGYAPEMAI YNV EPUS_05743 MAANEYYNAATPYNHTAHQHLDHSPPSPPPSSAKPPTSLYTNYP PSRASYIQSPYSASGAIDSPYDNPHHSRDSYYSGVVGGALNDDRQYADNIPLKSPQSR PYSGDPLAGQNTQYPPSPESQRPPKSSRRREKKQGWFSGKITWVVFAATLVQIGVFIA EIAINGSPIMTRPSFNPMIGPSPYVLINMGARFVPCMRLTDGTDGLLFPCPETTSSDP NDAVNKCTLPRLCGFGAAENSQPTQWFRFIIPMFLHAGIIHIGFNMLLQLTMGREMEL AIGSLRFALVYLSSGIFGFVLGGNFAPPAIASTGASGCLFGVLALVLLDLIYSWNERS KPGRELLIILLDIVISFVLGLLPGLDNFSHIGGFLMGLVLGICILHSPNALRQRIGMS TPYEPMASGGATGNGVMGTFVKRPVGFFKGRKPAWWAWWLLRAGALMGVLVSFIVLLN NFYRYKTGCSWCKYLSCLPVSNWCELGNIEPANANGSQVRRDLMTSVSQIGSNLFPRA RS EPUS_05744 MSPLDSPSSTSSSPAFGRKTRSFARRCERRCCTIATYFPLVFVY SLTTWAAYVEASIGLPTLAFGNTKSWTSKPSSIIGVCLYILLNVSYTTAVFTDPGSPS SPRSSPRKDHKGYSHLPTSEASSQFTLAQPITVSSTGAPRYCKKCTHPKPDRTHHCST CHRCVLKMDHHCPWLATCVGLHNYKPFVLFLVYTSLFCWVCFGSTAYWVWTELLNAER YQENLMPINVVLLAVISGIIGLVLTGFTAWHLYLCVRGMTTIECLEKTRYLRGVRSRI ERQRIEALHHPYDASEPHGVQERLQRAGEMVLEFHANAVPGATRLEEGEERLSPTTIQ PGPVPAHKSPYHQSGSDNMTPAQSSLARTYALQEADRERSRYEDYLADQESSKLPNAF DLGWRRNLLHLFGAKWYLWALPITNTTGDGWKWEVSQQWIDAVEEQAERRERDGTGQA LFGSGLRGGDGYAGANDLENGYPHSQSGRPSSQGAMSMQTLKRPGRTLAKAKQRRDLD HGDGGEVDSFEVSSSDARSSSDSGPRSGSRYNDRWRDWD EPUS_05745 MSNKASSSPPTNPTATPPPHSVPTQQRSAKSCADSTCASYPSSR SCTSSTRSTSPTWATPKPAAWKKNTQSRISNQYNIVLSVFFVPYVLTAPFLGILGKKD GPLTRPGDHDVLFSASSR EPUS_05746 MANWRYLFVIEGCCTVALFLFCVLVPALQRGRGEVSERGGEEDC AFYRMQVDSSSVVNEKFNFKQAVTIFKHPTSWVILAIEIGLGVPLQSTQLFLPVIIAR LGYSTVKTNLHAVAPNISGAIIAAPPRLRLRPTRASASPFVALGFFFTFTGFVIYSAI DVEKSPHGGVGFRVIMSPSLDDPHQEGSYVPDDHDAPASGGSATDCIADPPVDSSDHQ ELVRYGILD EPUS_05747 MSADLGAEAELAHAYDPVCNLMRSKPILVKDDRRSPPQPWKLFF STPYTAPLLIPNSSSDVRDHLANERTFLSWLRLGIYLAVVSIAILINFHLKHEPSTLE KKISMPLGIIFWFLALTSLVSGFANYVRTVAKYARRDALVQTGIKTQVIFGVVSGAIV ATCLLFLGIEAARRVER EPUS_05748 MPTLPKPIAKVGDRVTFLSRSLPAGSPIAQASLARDLDEASDEA TASGSSSDTETSSLRPTTSGPGAAVDHSFTGSYRRPSFAAAGNRATIVPHPHREHERL FRQEREEVIEQERSLLRDNNIIPPKHPQEDQQHHNIISKHLTIPSIIPGGDRKVLRDE EAAGQPLDGARALPSESTPLLGDPSLPYGGQDDPVNIKARWEEAVSAGKIQTTWQREA KVLVQYSAPLMVTFMLQYSLTVASIFAVGHIGTVELGAVSLASMTANITGFAIYQGLA TSLDTLCAQAYGSGRKKLVGLQMQRMIYFLWAITIPIGIVWLLADKILKCIVPEPEVA ALAGLYLKVLLLGAPGFAAFEAAKRFVQAQGLFSASLYVLCFCAPFNAFMNWLFVWKF RWGFVGAPIAVAITDNLLPLGLFIYVRFFSQKGMSCWNGFTRKAFHNWIPMIKLALPG LLMVEAEVLAFELLTFASSYFGVRALAAQSVLVTITSIAFQIPFPLSIANAAKTSAKV AGCGSIITGLFNLTILFSLRNVIPRLFTDNEDVTQLASAVLPVCAAFQLIDALATICN GILRGLGRQEVGGYVQVFCYYAVAMPISMGTAFGLGWGLWGLWSGVAVALGLVFGIEG IFLVRTSWENSVEEARRRNEGA EPUS_05749 MKSTLIFSLVTLLSTTSLAQKFTGGRATFYSPSVGLGSCGETHA DSDLVVAGPSSMMPGACGKTVMITNTGTGNGAGNTISAEVVDTCAGCGESDLDLSIGA FDQLTNGDLAAGVATIDSYGSINERISGSTVKS EPUS_05750 MRIFLAGSITFGSGLGAIPLTAGLSLILASYGARRMNVAKRKVE VVRGELTSHNIAPHTLTTREKVIVVTAGLISLGAGTAIGVELFPPVGVEVTNMELSHA VAPGGDVTIQPDSVQHATPADAGNVQSFAAQTAVAGLASNTSRWVMERFDDAFWKEKI RMAAGCPLRSIRRLL EPUS_05751 MSASQLARQKSLSSSSRSIQPSQQKGKGPIVTPPFEPCAATASL FLYAQGTSILCLHHDTLALERRFEKHADNIQLICVDNVSERGAGRLVVSYDVGQTAIV WDLFSGQEIARFASFEHITVAAWMRNGNVAFGNSKGEVILFEPSTSEHISARTIYDPI TALAPSADCKAYAIGYNNGSILLATLQPTFTILHTLTTQRAPSPIAALAWHASSSKQK SDMLATHTSDGDLRVWSVSKPPTGDAPRVIRTLSKSENFSPGRNWISWSKNGRIVQYS ERQTWSWDVRTKHVTYEIVPTVDDVRGLSGYGPTATLFTLGPNHTVQQYDLDRPQMVA HVQHPPMAVPPTPPEEYNRQLGLSASESEEDVSSPITRANRQVTALEAARIERAQTGS PRSTRSRTESRSSQASSTQERHEKSAALGRTDNNSTVFSFGTQSQIARDPPPTSSSMT YPSSMQSPASIKSARKGSRLKQEVLPSPEERPIKELFPYTRSRLSDIPYKPPRAFDEL SLTPDDLRRQMLSTVFGWEEDIEDLIRDELSRHPVGSQSAVLLSKWLDDDPDHMASMM GAGGATSSLDWMMLALSGLGNQVQSKKIGEAFVEKMLAKGDIHAAATILLSLGDYNDA IEVYVTRRHYMEAILLACLLMPQNWQRQSYLVREWGKHVVENSEQHLAIRCFSCTAVE PSEPWTSPTAQMAAKFTEQAYTSQYSEPGQASGARRDFLNAPTPVAMGPPQAPVKSSL PGRLTAKTQALKLITSFGPHSQGHYKFPGLKSDDRTPTNAPGITPIAESAIGESAMTP GGLGSYRLNNVRSINSALSARTATPGGYSRQRLPSIGETPIDIHPPAFPVSSAPKPLP TPVDSGSDKEKESALAQNEVQPGSKPATDLPLLLTSARYEPKHQTPSKETPLTAVGPT TAIELQPVHGPPSPSQGIFDSLKKDSRTRNGSRDRKPDGLSIQWPPVEVTMNEAETDL LATLAAEMPRSDTTGTYIDTHSDLASPPTTGDSFRTLKSPSVSGRSIDQYISSLEEAH YYTKHQQSYRTGSRDRSHGQAGERKRSKHRLRAPSEEDRGRGRMIPPAKRSPSSPVSM SPDELNLYNASVESFDSVLQSRSEAGSVKPERNRGKSSNGKLRSGSKTGDRHRHRSAS RHKEGHKSRHSSRTVSRRHSPDPAHEHRGRTRSKSKRDQAGLDSPTSPLPMSPSGSDR PKHSDAEQVLRFVASDRQRLHAQHRSTSRSLSRRPDRGSSARRDASPDRRKASRTRSS SRQPHEREPGMTRKGSLSHRNEAGIHSRHRDPSADRRHHEALPVAPMLLGRSAQLSEL NLEASANSNPQFVADKRRKELAAAELEARRLSLARRPSAPPIPFPGQNMSPNHSKSRS MGGAPALMRFHTDNSATVQLHNLAGRIHAKHSPNDPFSADAHSPSKSSLMPRMGLPAT PRAMRYPALSPSSGEDTISNMHQNPTVLSNDAYQADMLPDFPRSMSAPIPDYGSPPIP SDLPSHPAFDRRLPTSRSSSKNREIAFSPPGARQPDSSKERLRVSPREALSNGRTITT NVEVPPMLPELQHLATPPPPPPPPAMAHHSPISHNGDVVTVGWPDPSSLVEVPGPKTA PPVPTNYAENPQGGHRRGRSVNENFAGKIRSITTRLRSTSRGRNTKSPPAEAQTPSPY ESVPVHVDFSVA EPUS_05752 MSYFYTSPTSNIPPQPHRSAIRMTRTGSSSSTTSTASNSSSISI PSPYNSSSQPGSSSASFSSSYWPSSSSTTCSSPSSYANRHSHSRHNSNGTSYLPTPFR SALLHSSSVASSSSSSHNPHSEPSSYFSDDELLSLNLESVHLDGTSTVPNRKREMTTE EQVAAVREQVEREREAGKNDAWWLQGVPAQQAPVMGMEKRSRVVRFAGETRKTSGHGK RRSVVVGGKGSSRRD EPUS_05753 MAVSLHTSHGVLKLELFCESVPRTTENFLALCASGAYNGTPFHR IIPGFMIQGGDTSQSSNQPSDSSIPKGGTSIWGQYFEDEIKIPALRHNTRGIVSMANK GPGTNGSQFFITFKEAGHLDGKNTVFGRVISGAEDGGTLDQMEAVEVDRKGRPKGEKI AIESVRIHANPLAG EPUS_05754 MSTTTTTTTTTPAQTITAENILHLFPEVSTTLSAATPSRLDSPH QDDDLSGYDAEQIRLMDEVCIVLDKDDNPLGSASKKICHLMTNINKGLLHRAFSVFLF HPTTHKLLLQQRASEKITFPNMWTNTCCSHPLAHPTETGHGDLASNVEGAKRAGQRKL GHELGIKAEQVPVSEFEFLTRIHYLAPSDGKWGEHEIDYILFIEADPELDINVNEVQD TAWVSQEELKQLFRDAERRIGKGRDFKFTPWFRLICESMLFEWWDAMLKGDLGRYIGE SEIRRM EPUS_05755 MAPIAISPPPIVVKSINESGRSGVEQVDTSSLGTKRKIICFSDF DGTIFLQDTGHTLFDNFGCGPERRAVLAAELESGERSFREVSNDLYASLDVPFEDGFE VMKTALDIDPDFKVFHEFCINNNIPFNVISAGLKPILRRVLNHFLGEEASKHIEIVAN DADISADGSEWKAVWKHDSDLGHDKALSITEYREEAKMQSENGTIPMIVFIGDGVSDL PAAREADVLFARRGLRLEEYCIENKLAHIPFDTFADIQKEVTKIARYDEEKTHGLGSP NNFNPRADIWRKASSKAAASIFAALSPREEKMFIWPETFMPRTPGLKSPAAPAIKEAS AIAPVAAVRA EPUS_05756 MPPTRRKSAQSSGHAQPTLSFHSKPTKVLKPTGSAPSNKKSSKV GSALIEAITEDAPTSEVALRQQIKTEAAKPKDEADLRAEKVTDAQIKKYWRKEEEVRK APRVHQQDLTIHEKILRHFDLCSQFGPCIGIARAKRWKRANSLGLDPPIEVLAVLIRE KEKAKGKEWLGQRAYLDELMGSRYIVD EPUS_05757 MACVSAEDYQTTAKEFNNTDDSTTLQLCLHQLFEHAAEKYSGKI ALICANRTLTFGELNAAANRFARVLIQQGIRNGDLVGVALDRSVNLVAVLLAVLKTGA TYVPIDPAFPAERIKQMMEDACPKLLITGDNTLEAFTSRGSVYLSVYEVLRMINPDCG GSNLGVVVGSDDLAYVMYTSGSTGRPKGVEVSHGNISNLLLSMQKEPGCSETDRLLAL TTVSFDMAVVELIAQRHEVKDTAALIRLMERHEITIMQGTPAIWQMLLDSGWRGQPRL MKLLCGGEALPRPLADRLLACSDMMWNMYGPTEATVYASIWRVCQGHDVIIGSPIING RLYVLDPNLSPVPPGCTGELYIGGAGVARGYRNNSELTRSRFLDNPFHDGRMYRTGDL ARFLAPGKLSVMGRMDGQVKIRGHRIELGDIEAAITECQNISGAVVVCRDDRLIAYCV QKVRPLRSGVEAKAALDRVIRPWLAERLPSYMVPAFFVEMKAFPVTMNGKIDRNALPD PVAAMQTTSDMKPVTELENQILTIWSRVLGHDRVGVNDNFFEVGGDSARVVRVQKELE RLLGRTVSSPTLFEHYTIKTLAAHLTSSSDMAGLKLDTKQRHVYDTEEIAIVSMACRL PGGITTPEDFWKLLERGGDAITDVPEDRWDADALYDVSSDAHGKSYCRRGGFIPSINS FDISFFGISPREARTLDPSHYMMLETCWEGFERVGYTIEQLRGSQTGVFIGISNISAH QRFNLTAINDLADLDGYTVTGSAGGTLSGRISYQLGLEGPAMTIDTACSSSLATTHLA CTALRQGECDMAVSGGVSLILNPALHVEFSRLQGMSPDGRCRSFAADTQGTGWSEGSV VVILKRLSDAQRDGDPIHAVIRGTAVNHNGRSASLATPSGSAQQRLIRTALTAARLQP DDIDYVEAHGTGTKLGDPIEATALMEVFSPSRTNVEPLLIGSAKSNIGHTQAAAGLVG LLKVTLAIQHSTLPQTLHVAKPTLAVDWQRAKMTPVLKKRPWLSQGSRVRRAGVSAFG IGGTNAHIIVEEPPRRMMVADSARTVVRLPSIMPFLLSGDTDAALRMQAEKFHRHISS TIDQDGLGDVAYSLATTRSHFRRRIVLLAEGKAELLEKLDSIIHPDSFALPASDAAEA PRLAILFTGQGSQWPGMGKDLCEVYPIFRETISEIAAEFTELELPLLDVMWAEPGSTA ATWLNRTDFAQPALFALEVALWRLWQSWGVVPEFVLGHSLGELVAAHVAGILDLPDAC RLVAARGRLMQAQSGNGRMVSLGASAAEVAIAIEQLGHGDQVDIALYNAPMQTVISGD TDAVESITRHFAGQGRKTKTLVVGHAFHSRHMDGMLPDFRALAETVRFNPPQLSIISS LDGRLVEAGQLEQADYWVKQAREPVRFSDGIQTLARHGVNVFLELGPQQVLCGMGVAC LADDDKSKSIAWLPSLNGRKESALILQQSVATLHMRHVPIDWPVYFKPFGCQRVEIPT YAFQREYHVRLDTQPGAAANDISNTTSRARKGRQGRFQFGIVWHPVKTHNVHSSGTWG LLLRADNVTWAGRVTASLSRAGIRLVEVEHLKHAGKLDGLMCLWDSDTDVISQTRDVI AEALTQLQTAAQTHFMPPLVWVTHQAVGTGTESDDQAMRLGTAPLWGLMRTARNEHPE LHLRLVDLAEETGTCIASALTLNTEPECAVRQGRVLVPRMQRVNWVPKPLAEQRLIRP DGAVLITGGLGHLGARVARWLASDHDIRDLVLTSRHGMEASGADALVLELSRIGVRVT VTASNIADPDSVNSIMAMFSKDRPLRGVVHAAGVVDSGVLSAMTPERCATTLAPKVYG AWLLHQSTHNMDLDLFLMFSSISGVMGMPGLANYAAANAFLDALAYLRRAQHLPATSV AYGTWAGDGMASRLSETTRAHLTHFGLDPLTPDEGLALFKQAVVSTRALTVAAALDLG RLQGFFEEQGGIPPLLHSLLTQDSTLASRGWDLGKVLSEADPGQHAGIVLNMIREVVA KALGYTHPLDVDVDRPLQDIGIDSLTAVQMRNHLATLTGLTLSVNIAFLYPNLKALSQ SLLSQLQDMDTSSTTKASSSATSATTAFDSPHLNMEAVRKGCLDSSFRFDNVTRDPAK CTTRPDSVFLTGATGFVGASILYELLKQGITTHCLVRADGVDKARQRVVGTLEDYGLW QANFASLINPTVGDMAQPLLGLTEEVFDDLADRVDAICHSGALVDWMRPLEDYVGPNI VSTHEILRLASRGCAKAVHLVSTISTLPKHMGLDLSAADQEYGYGTSKYIAERLVAAA RWRGARACVYRLPYVTASTATGHFRLDRGDFLHNLIVGSLEMGAFPSLDADMSAVLPI DYLSKTIVAVMTQDLHRMGRDWDFLNTRAPTCNDFFKLIGAVGGGKEIVTFGSWKQQA LDYAAAHPTSPLARITAVFDSYTDKTAAGMFKGASVGEHVFGDDDYPAPLINEQFAET YLNRIYTHSNRNT EPUS_05758 MAVVAVAGGLGDLGRLITDALFETGKYEVYIMSRKVVQDQPGHI SPLTGKSYLPSIQTDYSSQDSLVEKLTEKHVKVVICAFIMDCESASEAQLRLIRAANQ CPSVQRFIPSEFNVEYDVGDDILPYPEKRFHLAARRELEKTSTLEYAYIYPGMFMDYF GQPPVMGKLRPLCFFVDPANGQAVLPGDGEAKMSMTFTTDAARYVALALELDRWPAIL TTAASTVSLNELVRLVEVNLGRKLEVRYQPVDKLLRHETLDLPTNVDIAKRFPQRFPH GLPQLRALVADLEAGVALGAFDFGKLDGHLDLVKAFEGKVAKPKRIEDVIEEAWKTDF SQ EPUS_05759 MSPTPTKLQQVKAFDGRDAVLRALREDGAVIIKGLFTNDQVRRL NEDVQPAIDKLGVGSKRSDEWLQDFHGDNTKRLNNVVTLSKTFRHEMLENDLIHQLCE AIYLKDAGGYWMNSAQVIDIGPASKAQPLHRDQWQFPIFTHCGPDAPEASINFVVALT EFRDENGATRVIPGSHRWSDLRDNGTPEDTIPAEMEAGDACFITGKVVHGGGANRTTD VTRRGITLVFQCSYLTPEEAYPFLVDSELARTLTPRAQRMIGFRSQFLKDSPGVWKRD YSQVDEVYC EPUS_05760 MISTERVKCHPSWAQTGPNTYSQPYGFQEVLYNAISVPPGSPGL FLIGSSITFSYNPSSPAGRLSAHDLAPLLRNAWLQMRQQYPTLAAETHPEGKIYTSPS SSAELEEWLAATFIVTPGKTSAEHWRTMIKTRQMTMYFFPEERQLFLQGEHHILDGRG LMNFWDRFFRALASPAKEDIMKTEGSEVSRLPPRSDDLLDMAEKKPGRGEQRALEILA PLGTMNAPICLPVPHPLPPCSPRNAALELRLSTRTTESITAACKAQRLSVTAVWHAAV VLATRSIQAKRNSVPGAGGGTQFACFGNFDLRRYFPAPDATTLPDAYALSNHHCVLPY VVTPDGKTFSQVARELASFYQQDLPKADAEVWSAMEPMIRMLLPGFTRTPLEETTPAL SSLGVVDHFIGSWYADAGGQGGWRVEEVWFGDTVTGPWLECFMWAWQGRLSLNSCYNP AYYTHAEVDEFHQLVLEKMLDGMSIVGQGPCWKL EPUS_05761 MKMMREHIKVNKNIMLAAESSLTSLVSEKFNPSSAAPVAPLEQD KGGASGRKEPTTQLFFEDAHREERPSVEKQKSNRETVQGNPKYLLMLSPLLAGYALNN RKWLLFSIDDVRPLHWNDEAIDHLVLHDEYKDILLTLVNNHKIIKSQNHDVIPGKGEG VVVLLSGPPETGKTLTAEAVAESVRRPLYHLQAEDLGSTTHSVRYELDNVLDLATEWN AIVLLNECDALFASRSNASTSRNGVTSVVLDRLEYYCGTIFLTTNLLEHINDAFRSRA QIHLCYPELSVANRRQLWERFIVRLRKAPIRFPSNDFASSESEAFGGAIEIELLIEDY SELSE EPUS_05762 MSCQFRPLLSRPTTQAQPFPPTRNPSITPELQQSTKKSKSLGEA TKVIEERCGFKASVRLWKDKVREWGLEKNLSASDMKTLVAKQNERLERFIKRQADRTD YPSPSVPTPFGVTYHTPQNIVSPESFCDGINDTLASEFLHHYTGVSLITSLRVHAENI SQPLSLPAGTACQVHQRADQAVVYSGSFSNTQRAQEILRHSHNLGVVLHNLLEDPRST ALWPETPASSDTSRAPLFWVEWPHLHAASNAKLQHLTGQLKHSHICRELLAHSPQDRL DWVKNWQQMPDVDGLKVAKLATSLFGDPSIPYRGARFFTLWIKFKATSKQDHPMLLRL SLGNDLEQRTRDCNYCHSAFMLLDFVDRTGAVKLNVSLASSPFRRMFSSSKEYLKIFN VNTMTKRAQWSDLTYLHQGWSSFYACGRSFGASKKSFIPCEPECGSQGIEGQISRLAI WIADPKEFEDDTDFDDTDFDDTDFDDTDFDDTDFDDTHRLWTLTTQTLTTQTLTTQTL TTQTLTLG EPUS_05763 MSYPGFQGYSGNYGSSSNDRSGAYGAGRASSAAAPVSKSPAYPV STSQQQYASTAYAWPDLSQSSYGNINGNVQHYGNTEWRDGQGQRSVYEYPRPQTNHAV SPITSHNPHHWYGGNTQPQAQPSTQALSKLAYASGLNLQEQQAEDDHTAGHSHPGLQH LKAAPRETHGSERVNSPSHDRGHTSPISRIAQTTQSQQSPTSSHQDLAVSAAAALAGA VNHRYNSSPQQLSVSTQQYTSPTPAAANPGYQIPANTANNQQRQWMGSSQDTNVRSGP QAQSQPPPTVRAPPSSHNLNRYGTSETHRYAHSSSSNVTLPLPSATRTLQPKPSYGPT QGHGQRNGVEGLPPKEPDGGDLDTTLSSRVDTSGATPLQQNAVPAASMPTFIDPSQVF NPYHKEHERQKREEEERAKRASIEALESSVALNAPEAGTSPQDKGAPTTTASGSKQQA LPPSTDAGGRQSSRSSSMTAESKDSVDVDMATEMKAMMHRMREWKSKDPSLFQKLWDD MKKGGSSTQVTKGQTPSKSPQLAQVAPQQSPLTHSSQPVQSQASTAPQTQAQIQKAPP PSASTTSSTPKRHWDLTMVVEDNEGGLPDLGRFPAERRDRRTNQEIAGAKARKVKAKQ SAQAPSAIPTTSATQASSTIAHPDMTKASSTTPQPSATQTLSSVRPQSANETPSTVPP PSAAQAKQDDKLMRQTQPTPQPPTTTTTTTNTMSQTAPTQALPPINANGGTIWPEAKR KALAEAAQKALMGLPANKGKSITAAEIHALVEQNPSYIELCTELEARGFAFHRGQFAR FILNNVPDLSSPSQPRPKIAPQPARARSSPIPPPQQAISNGKAASPAAGNGALQHSHL PGHSSAYINYRLMPAPPSKPTPNRVTKPRLGVPSPRIPTPVPGSKEANARKRDFSELV DLTQLSDDEDYSMPRKQVRHEESPEKDVFHVKSDIAMPNAAMQMSSMQRQSPGPSFQS SYRPPGGAAPLKFGPQAQTLASRLPSQARAPSPQAVPQKSRHLLAKPLNKTEALRKSY YDPKTVARDILIAAGRHPGEHPLNAHLARLLGKHIDIDSDLSTFDWDSVDPGGPPMPV VQVVDIPAGPPRWKVGERAKARGPTPGTVGAPPRVRTDDKAKSWEQRATTSNGTAVRV GHISKEAGKESSKESSKELSKESSKQSSKEKPDQGHVPGAGSPLARPSTQGKSAVEDS VKPPKSTPQPARARPSQNVDKDSKTPPAKKALAKTPPRPVSLQETTPHSAPSTTSTTP IIFTSPTSQNSIKRGPGRPRKSSTTMAQPSSEPAKRRGRPPGSKNKATSAYLLKKAAK SSGLQVSVSTPRRSTSPPQYNIYACQWRKCDVKLHNLSTLRKHIARVHKVPDDEAKGE GQPCWWKNCRTLRIKDAEISPEVTFTSTSAWLDHVESDHLHPIGMKLGDGPSSKQTGK PKPFEVEKYFYYPRTTVNSICTSQARTCSHTDPQTLARNRQIYLSDKHGRAVTAPSTK STILDYPSDTLVLSSVTMNPESNIPNRAFSKAHGNEKMEPRLSAIETLLALQRHKERV GPGLDRGGCTLVNEERRKTLMDTEGMMRVVDADY EPUS_05764 MAKHLGGSGTLLASSRRKSRKAHFGAPSSVRRTIMSAPLSKELR EKHNVRSIPIRKDDEVIIMRGTNKGREGKVTSVYRLKYVIHVERVSREKSNGQSVPIG VHPSKCTITKLKMDKDRESILERVGKGREAIKEQRQIGKKD EPUS_05765 MAASKAWAVVAGATGPWEIFWLTEATRGACVARKFAQAYPVALL ARKPANYEPIVQEIEAAGGKAIGISTDVSDSASVKNAFEKLDKEMGDAQLAAAVFNVG GSFIKKPFLELTEEEFLSGMDANGKGGFLFSRAALPLLLKATNLEYPPTLIFTSATAA MKGSAYCASFSTGKFAMRSLAQSLAREFGPQGVHVAHAIIDGVIDIERTKAWKVSDAP DAKISPHAIADSYWFLHTQHRSCFTNEIDIRPYVEKW EPUS_05766 MNSYHYSSPPKGYSPYYFNSSPTSPNNSDYIYYAPQQQYGTSSA KKHSRTRNYQPTATAQRPGGWHSPPGYPSAQFYETVPNYTTPPQRGDYVSTAKGMRSK FRTSSMSAGKQHSRTTRTQKQPVYVDVVDDAYNSPQYIYREPKAKTRHAKPSADQYFF FNQDQIYNEQPKRSRARRSSTTTRTPQKSAQSAHTKSPHIATEDDAQRAGIPAGYSIK NWDPTELPIVLLGSVFDANSLGKWIYDWTVFHHGASSPMADVAGDLWLLLIKLAGKMK RAEECVDRIRSIDSQEMVEDFLTSGSRLWQKFKQLLKACEQFMWRAAKREGSKGISMG KNAGTEFVDSIFGRDRELETTEKIMTSIRLWNMRFDANCEDILRRPSAA EPUS_05767 MPIPEVQNSTIPDAAVPSTPRRKRRRTLGTGAANDCFTCSRQNA SCDRKRPYCTPCLEKGKDCAGYKTTLTWGVGVASRGKLRGLSLPIAGNKQKPSARLKN SPRERESLKSELSRRRVQKSGPPNSSLHTFSNSTTLTSDGNPCTEALNMTWQSIPPAP HVVSHPQIPSGPGSAAISSSPDSSLDNAPASSKSDRSVLTAGTGSVETYSEQGFSQVS GTVVHSETTSPPGGFQWPQRPRSHSQNATSFIQPQSAAFWPARFDHERSPSPSRSDVP ILGKAQCCMQIDGQKLLDLLTVDPTCLQDEKIPETFAEAAEVEDHEHVSSKCEHRSPT GDCLDQTSLIFSPFSNGHTIGKTPRMQYLINYYTEVISPVIVAFDGPTNPYRSYILRL ASESETLQHAISALSASNLRQRRESGELSTGKTDPARRSSMAHLTLTDKAWQSRPGYL SVEHQRKEENYHKNATVHLIQKQFADPAQHRDDSVLATLLIVCLFHICESGVAKFRTH FAGAKKLLGMRENASILKSKEAKWFTRMFTWFDAMTATVNDREGQMQDGLLDMSSLLD EDWTLENLAGCDGKLFKIMAKLGRLNILSQNGVLDDVSAPASCSAPVLAPDLSYWNNS DGDTWTIDSSSGAIPISSESYPDIYTTYGREWHKTRNALMSWRLDTSPFSSGNRDAPA LSEDQQVDLLNISESFRFSALIYLERLALPHVPSSDSRIQTWVQKALYFIELVRSDVY LLWPLFVTGSECVSEDDRHIIRQRCLDIQKDSGFSNNASCLKLLEKIWTSNPNKPRGF RWRSVMDANVSGGEYIVV EPUS_05768 MVQTRAQTRQGGPPPPTIQPFSKGIQNNIINKPPRRRHVGLNVT YPEDKVEEPSDEYELAKSEELPHEDKQLHQTEPAPEDKELSPCKAAHNQKHSSASETD KISGGYSVLPAPNIIGVCWALQHVLRGIVYNLTDLELHNLFIAIPPLQPLFEIPNPSR PLAWQD EPUS_05769 MVQTRRQAAARPDSVLMTADQLPPLKTRRKPLCRDCPPRSMVAF VREEDFPKVERKDDERPRSRCTIPPSAGVEVLDCEGWSRGYENARRPGHGKNFRVCLH CARRNWDLFTWTRKPYSVDLCRRCSLTCRAGDRYPGDGRNECQCHILCIDRSVHLCYG CRQEQQQREYQRLGEWARLRMMHIHGKEDEPCNYNECTRISHYVDNDHHPFGESGCIC PRNLDEGAKLETYDVNGRLDFGGMVRMCLHCRREVFVIRRFTYYPPEWS EPUS_05770 MGKNLADKYSHLSSQLDKIVADANAEIQSLQSKVKSLQIDQKTL QQKNVELVEMYRDKSKKQAQTQHLYDTLKKRVMTSQVQTAASDSVAQAINSMSSIPRP QTFGDASVQQPPPPNYRTNDQRGNMQYEHAHHSRSPSRSSKNAHAEAEASAMPPPSGP PMGQQSHGFASATPQHRTHLPGTVRNAATRSYIPLSTKAPDTVPRKPLTNLTNSRNSQ SGSSGYGITAGMKVGRPSRSALNNNEQISDRFNGIAHRDTKDWAVLTKT EPUS_05771 MARSEPPSATSTPRSFTNQIASTEDLLKSQTVGLVHLDDFRKRR AEVLEQKEKEAQDNAYGRIKSSTPRVETPGAHDESDGALTPGSEPRGQPPRKRKRKAP KGLLSFGEDEEEEVLSGTSSAFHTPSGRQARSPDPSAQKSRETSTPRRLTPNPTSLLP APKVQTKATLQAEAIARDSLRREFLALQEAVRNTEIAIPFVFYDGTNNPGGVVQVKKG DHVWLFLERCRKVGAQLGVSGGGGGGGGGAKSKNDSRREWARVGVDDLMCVRGEVIIP HHYEFYYFIANRIPSSSKSTSLLFDYSNAVVPSNSDSTSSGLNLDGGNQDLEGRDTDP SLTKVVDRRWYEKNKHIYPASLWKEFEAGEGFEEKLAQSRRDAEGNAFFFS EPUS_05772 MDSDDDDLYGQDEPKTSNGVGQDGHPSGTVKMEDLEEGEEEGEE VEGEDSDDDDINFITDSKEAPKAEVVLETRHQHPITLSPHDTRKTSATPVSAIKHEQS ADRKSTPSANEKPGTDYPARHTSTIDLDANPVHPTTGKPILSTDFDTDFPTESSKPWR KPGSDITDYFNYGFDEFTWASYCYKRQQTPKEISEIKAQADFMKSFVEGIPGGGAPGI TAGAATQGASAAMPGMPGMPSEAEMQQRFMSMMERGIDPSSMNLEQFMQQMMMGGGQG FAGQGQAFAGMPGGGQQQQPQMAFGGGAGGEPRYRTNKSHVRAYHKLVEVSYPPEDHD DRNALYALQVDLEVFCAWPANKRMRLLAMEEMQKLIDKYPDGYEGWETQQAATKKEQE KVGSSALPA EPUS_05773 MPKTTALKSIKSLVPLLDRVLVQRVKAEAKTAGGIFLPESSVKE LNEAKVLAVGPGGLDKEGKRIPMSVGEGDKVLIPQYGGSPVKVGEEEYSLFRDHELLA KIKE EPUS_05774 MHITAAKSTLAALALLGSVQAHTRFTTLFVDGQNQGDGVCIRMD MNANTCNSPIAGSQSPEMACGRDGEKGVARVCPAKAGSTLTFEFREWVDGSRPGAINP QHEGPCAVYMKKVESGIADNNAAGDGWFKIWDEGFDEAAGKWCINKMIDNNGHLSVNV PSDIEAGYYLVRPELLALHAAQDSPRDPQFYVGCAQIYLESTGSAKPATITIPENYVD ISMPGLTFNIYSKPLALPYPMYGPSAYNGGGTSATATTPRSPVSSSSAVASSSTSDYV QASEGTTPDAPAPSDAVETDSTATDDAGTDGSDAYDTPANFVEVDDTQADDDEVDETD ANETQTDNTEDDDSTEVDAGEGDAEADDTEVDDSQDDGAEEDDAEADDTSDDDPSDDD TEVDDSQVDGAEDDDTSDDDTEVDDSQDDGAEEDDAEADDTSDDECNAEESEDDSEGD EPASSSSYSRRDVQIEGLKPEGCIMVNANWCGFEVKSYSDENGCWSASADCWTQSRAC FDQAPPTGAKNCDLWNDKCNNLDDTCNAKQFTGPPNAGKDLTPTPPDLAETASRKLMA LVKKHESHVMRRAYRARH EPUS_09392 MSFLEGAALPVVFITADNALHHVARTTDGESILIHSGAGRTGRA AIQIAKLFNPNIYVTVRSDDKKRLLMDLYDISEYHIFYSRNASFTLGMRRLTRERGGV AIVLNSQSGDAIVSTWECLAPFGRFLELDSVFEQMSYKSLTEATESKVQDSYSLYVLL PKGMDFFIMLSSIADAIGSITQDNYAAGCSQQDTLARHRTGSGQKAATLNLGVMLEDG VQTENAKLRNILLGTGYLMGITQRELYALLEHHCDPSASVLGPLKNQVVVYGRRRG EPUS_09393 MDRRMHEKREAQKIEQMEMEVQTAAASFKPGYRFYMAFSSLALL AMMASLDGTSVSVALPIMAVDLNGTAIESFWTGTSFLLTSTVFLLPLGAISHIFGRLP TLTFAILCFTIGIIISSVAQNSIVMLVGRTMQGVGGGGIVLLNDIIITDLVPMRLRGL YSGIIGGIWALGSVTGPVIGGALAYKASWRWIFWINLPFAALALVMVPLFVRLKLVPG TTVQKLKRVDWFGNAIFITALTTFLIPVTWGGVQYPWTSWHTLVPLVLGSAGLAGFFL YEKYVAKEPTMRLSVLGSYNMAYSLFANFITALIVYSLLYFLPLYYEGVKGYDPIITG VALFPATFTIAPVSIIAGIIIAKTGDFRVLTWAGWLAATLGGGITCLLNVKTTTVQWI FLTLPAGVGLGLLYTSLTFVNQAASSDSDMAFAVTIFVFFRCLGQCVGVAIGGTIFQN QMKQRLMAVPALAADALAYSRDASRLVQQIKGLPEGDNKAALVRAYADSLRIVWAVMC ALSGVAALGSVYVRKMSLDRAHRTEQGLVGQEAKEGVDNVEIYGVDMEGRKVRLTD EPUS_09443 MIRSLPRHSTCHHSIQQDDPRRGPTRPVCFACFQLIKDQAVISI ERRLFSAISQGDFSTTERYYTALELAFATGRFEALELALWTMARDCVFAHPMLFMVVD IEFLVVSTMRMLLDESMFEYTEEDARAFAEGRALTLEMEATSLNAHRRQSTASDSGSI QLQLSDGTPDNNDLEVNNLVADLGTMSVDEDNTAWSG EPUS_09444 MSTLRSAESLSWLRLVLLFALLLSPVRALYFYMDGTAQRCFYEE LPKDTLVVGSYKAEAFNSATEAFIPTSDLQILVTVDETFDNDHRVVKQTTTSSANWSK FMFTAADSGLHRICFTPSGSAAVSVGGWFSSGQGVLGGVRMYLDLAIGASSTIESDDK DKIESIVGKVKDLNARLQDIRREQVFQREREAEFRDQSEATNAKIVRWTLVQLGVLGL TCAWQLSHLRSFFIKQKLT EPUS_09445 MPPSLRSRGPLCHYCNRWSNSKHKGSRHFRCENCLAENFLDEHG GVLDVPPEEISSPQPLTRPFAKVLPSDQRVDVTATFCSTCLKNQHILTETLANYLPPP EDPTYDQYEASYPEFRRRLEGRYPPLCVRCEPEARRRIQQAGYTAKSDHLRRLMERSR VRRIHSQWGWRSLVVTLGGCFFWMSILGQLAWNLISILEDPKPNSLQTTPRTVFTSLH CAAQLFTDLKPQSGCAAAFAPLSFSAIVLGFLSSWWNPRWRHKLQGQEGRLVGLQEYY RMNTVVLLARLGFWTWARCSTALSSTHHGQQVIHSVVMFMTLVFTIYAMTRVNIDTTP LVSWQDSSVQLLSQEQSDSSVASAIAHPPFPARLNRPEASHAQSFPIGSLAQEPKRQI WQAPTPPPDDADLMEWEPSQSFQPNPRRPKVDAPPGPSPFHGALPAIPTNRLLHPQPR RQPAQKEAIGLPPGFFDKRDRLKETERPASLPAMAQPKFFSQGDREADTGLENIFDAV FSLRDAPLFPRASTEQDVPAQADQQYQHPFDTPLSAPDRPSYTSMRLNAIHMAKLAVF ATSFVVLYAPRQLQLDLPFLELGVICIAGSAALWLSLLASRRSSSSLDGSDLIWSAAS ALIAAFVVFQQWNIPKEGLEQSGDRVAMMFLFVCSCWEMSRIFDWKPMKSTRNPRELQ QPSLQHEVEPWHPAAPTSGFQPQSPLSNEENRQSSVSETQSSVLQDASWQPKPFSPFR PTPSLQATEQRPLFRSRSDSADSAISQSSTTTTDTATTAGWKTPNFRAQHSIGNLEQS PGFNLRSLVLEDRVSTPSRREQKSFGTRNRSRF EPUS_09475 MAPDDIHFPPYQGHCVKLSVINGAKAHMATQMLVHPVPDGQKYM NLACYSFLIESPHRNQKVLFDLAFMKDLDARMPPSLKALFAGDEHVMGIDEFHHIPDT IKAHGIELSAINAVIWSHAHIDHVGDPSVFPPSTELVVGPGLKARCIPGHPTNPDSFV LDSAFQGRSVREVDFSTSATTINGFRAVDFFEDGSFWLLEASGHTEDHICALCRTTEE SWVLLGGDACHTIAQLRPNRFRPLPNYVPASTLGRTPPPERCSCAHMSRLVQQAKGGS FYDLAPGMQEDLKRAEETIEKLKAFDGRDDVMVVIAHDASWLDVLDFFPQNINDWKAA GWAVQGRWLFLRELEGLAAASS EPUS_09130 MASGSQDLWSRIRTALNKSGNESRQDNSNISRHSKYSTFRPRNG DSQHSAKSESQTNLARNAILRTDDYEVELEDQKHSQSSVDYGEQSVY EPUS_09131 MNSNLFFALYEGGPQVIAWSIVIDFFGAIAQAASIAEMASTVPI AGAQYHWTYHLAPQPVKRFATWMQGWMTWFRWVSLLAGASNISAIVVQQLVMLNNPNY VPQTWHVTLIMVIMLFTQGIINSIGWMFALVPWLELMAGILHICLFVVFMVIYTTMGT RHSGEWIFFEHQISSGWTNTYVAWNLGMLTCIWSFTGFDGAVHMSEEVRKAKEAVPRA TFWSIALNGVLA EPUS_09132 MGSMDDVLNSGFPITTILLRVTGSVKATTAMLSGLFVICYWATI ASIASVSRLTRAWARDGGLPRWFAFVHPKHLVPIRAVWLSLIIVMLISLLNVGSTAAF GAITALCSFALYFSYAIAIASMLYARWSSVHGGKSLELGAWNLGRYGVYINSFALTYT IYCMIWLPIPGTLPVTAVNMNYASPIFLFVFFFALFLWFLCARKHWEGPNVAIIEFIK AQE EPUS_09133 MDMREMVDKVKKGEPLYGHSELTPYMQGVAARNSRYSALLGHVV PWMNFVNHNQHGVDTAKYYQQAERELEAERLGKAES EPUS_09134 MNPSLPPTDPVSHDQHPQRSVALLKKKPKAADPLFGGKKKPTYK AAVPLAKPGINGAPPPVLKPRPGLSPAPLGGPSPGRASPAPSDRKTFSGFSDLNGTVD GVAYRDYTLVTTKRELLNGLRYHVLQFSGDRPIDIRNEADFTRPVRLHRRDPRSVPTE QNQGENGEGVNDGMDAEEREEFDKRKEARRLEREANLAQVAPSVDSGKRSNIIKTKTA QVFNRDYTPEERKKMQNNYEEKLPWHIEDFDNKHCYVGSNQAGSSNVHAAFVYEQSID AQNGRFRVLPIEKMYQFKPRKTFKTMTIEEAENAMKKKGRDPQWIIDQREANLKTAQL ERLSRGNRPFFGTKDDTAAGREDGGADLDFNMEEDFADDEEGDTFFEKDEDEKLAESR IKEDQLNANIFGIKEEIEYDQAEERERREKERKKLISKGIKRALEKREKNFDHASDSD DPFGSTSESENTEAERRRLQAEKKTKAELEAKNSGASTGTNTPSGRKEKHGGTASDRE GGMKRSSSSKSLKRPGSPNLSDASGTDVSLSRKKKKNRHLSSTQPTPYPSRPISPANV PSSSSAPTSGVRKDSNTSHLNVINPAKKRKSLALGPGSDTETAAHSDGGAMSDNSRKQ KRLKLNLGHKDGSGSPKVATPQGGSRASSPKPAAVPVQAARPGPDPSTYPTAAEVYAA IPPAGITTNDLSNMFRSRYRKEDKSQMVDRIREVARFDKPTRLLFPSETFKAA EPUS_09135 MDQSRAEPPNNLPPLVQRQLETAAQQVSSSRASANRGRQQHHHP NQRCVAYRGPSRRGDYPNRGRARTSQLVQPFHQLSRNQQPFPNRGGQRSRGLSYQARP LFTRQDQAAVAGPHPPTPPSPNALSTFQPPPHHPPTDSHLATTVTSDCSFSIAGVPSD TSQHRLASEAFPALGSHQFASQNLSQPTNNPSHFRFEHQNSATSQYAPQMSVDTAQRF FERASTGAYRGNANYSHVPQRSGPKVGVPTFMTDQNSPARGIATQPRLYNPGTDRRLC DPNSHSQADIHRQCQYLSSLADRETNKELNSKELHEKEAFRKRLEKIVQKLVADYAKD HVTVNPKNVRLKCYGSLASGFAVPGSDMDLLLIFPKDQGPVGPIEVESRRMIEKSLLD LGYGARLLTKTRVPILRVCQNPNAELLASLRKERERWEEEMALEEKERTLLASGLDPN RLPSDITDEMSDAATVTFAELDAEPSIIPLPPSPVRAHASLEYTSDVGIQCDINFSNY VAIHNTTLLRCYCKCDPRVRPMGLFVKEWSKARKINTPYHGTLSSYGYIMMVLHYLMN IAQPPVIPNLQHLAKNEDAWNNKTKIELFEGFDVRFIQDEDMLERRAQAGQMTKNRES LGSLLRGFFRYYTDSRGFHWVNDVISIRTQGGLLTKKSKEWTEAKRAGKDNSIRLRYL FAIEDPFETDHNIARTVGHSGIVAIRDEFRRAWDVLSKIKYAEGRWVWYTDQGGEGED LFAKADDRGDLLRQDQDYYKEKMRKLREMDKKREAELKSADNDKSASVEKPDGRCSVP SHPSGPQGKANVCGPRSNTSKPKLINSDCVLQNIPVRKMRNLQRKMAPATEERVSQEN KANPNVVKSTSKHDDISKWIESTAEASHRTEIGVESNREKKSEATVDRKSSPKLTEIK QKILDVVGPPQKRPLKSSLSPTGPKVQSTFHTGHVASVCAMNDTNRYPTINAKNPLSA WDVRNRNGRWLTWRDEKIREGTWATPQNPVLRDLDLRYPYDAARELPDFEQQHRIANV RAKRNQYFQREKVDGDFTEVEAPPGSQVVKSTRKDSAISMSKSRKSLGPQSKSRPQSG LHNQGLECTSAQQRDIPKPPSTSAFTLALSSDNPEVIPDTELEVGHILEDALLPTCGP DPQDYRHDFPIPTALDFEFDPAQLRDLGIIAQGGSGCARGIMEQQYGAYDYDGFRNIE LSNEWGGGGRMGELVTDSGGVPIVDFSSPEPMPYVQRGDEEGLMRELPADW EPUS_09136 MSPRLPDVSEDSVFSVTLSEAAARADRFISRLDEDPVTRLGRQL SHKSVISTASSFAREQEEAAYRSEIRTFLFLDHGFCGDIFAYTGRNQVLKRAINHDDN LWNDYLMGSDVHAKFSVAENLFAPHEPPCIPKPEACISPENERWWAQNGLKFPDDRQT EQRMPIMILERILPLSKPIRDNLIEVFHGPRGKDEAKAAPGNRNCVARLYLGKRRQSE RPPSWFRLKNFSLHLNQAEMIGLDIKTYATEMAIALALCHWRVHVDANDVEFVLGSCP TTQNFAPLTNEQIKALAPYTDTDPDTRYDNYRKRSTHLWTIDYDKCRTMTLNESGVSQ AIHAAEENDPYFPKPHAELESDQKLWEHFKSNYLSASSRVMIADAVNEEARALPIKFI EGWEVYRKGKIERRSVDDDF EPUS_09137 MPPFLPRKRTPPPGSPSLPTPRKRTKLNDVLDADPGQSFDLQAA RNFTLGDDDESNSSLSDIDSDGFEDVSHAGQIPYKEKKRKEEDQDEDVDWEDAMAEGG PVIKKHVPMMGGNLELNLSRNVDEIDQYNLTRAVTTSKKGPTKIEKEIRLQTHCMHVQ FLLYHNAVRNSWSCDKEVQDILVKQLPSGIEKEIQKWRVASGLETAGDVPKGNTTSVS RSKWKGKAAAAQNVRIQRDWGKPSNRVESGKPDLSRGDPILPLLKVLAAYWKKKFSIT APGLRKRGYASAAVRQRQVRSFQNEKHDPIKHGERIEDLSAFRKLARKCQGSRDVGAQ LFTALLRGIGIESRLVASLQPSGFGWTKNEQAENRISENVVEHDIGEGSSVISNEDRP FLNEQRTMDKRKNKRGGSAGRKGDIKGKGHIDDPVNLDTSSSEEFTMSEVDDASVADA TLATTSQKPSKYDRDLVFPTYWTEVVSPINSTVIPVSPLVLPNPVASTAEVLSTFEPR GAKADKAKQVMAYVIAHSSDGSAKDVTTRYLKRRMWPGKTKGVRIPIEKVPVYNKRGK ILRYEEYDWFKTVMSGYVRTEKMRTTVDDVEESTDLIPQQPERKDHKQEGDTLQSLKA SAEFILERFLRREEALKSNAKPVRTFPTGKGDKLKEENVYLRTDVERCLSAESWHKEG RQIKEGEVPLKLVPIRAVTLTRKREVEEMQRQTGEKPTQGLYSRDQTEYIIPPPIKDG IIPRNGYGNIDCFVPSMVPKGAVHIPMRGTVRICKRLEIDYAEAVTGFEFGKKLAIPV VEGVVVAEEHEQAVRDAWEEYAEQQRVKEEGKLEKAVLDLWKRILMGLRIRERVSDTY GEDAEASDVALDDVGKPGKLHDVLPRDHGFDGEDAASVYGMQDGGGFLLPHENDENRA ASSNELVVEHHDSLLQPKYKEAEQYPTPVSTTSTDMKEHSQNRKHIVAITGALDLSEA ESTGYRLGAEESNITQRPAREGKRSSTKQQQNPPIVVEIPKKERQATQKRSQTPGPIP IEERSDLALGGPNGDKGDDQTKAMQRSMTTSGKRSSGRERKSASEQEVAPKRKQQPRR ASRKAALTSPYFGLDGDGDGDESWDREMMIFSSVEIPPWQRAQTTKSRLTFCRSTTSS APDFSNSNIKHIIRTLQRRPNIGLVEAIHIPEALPLSISDNGTTIHAQHSFLNHCPRK IRDPDQFAGSLTDSPKRAQLTYSSQNQQASTNYKEAFSLFDKRGNGRVSLESLGDLLR ACGQNPTLAEIRDLEKTVGGDFDFESFSKILNRPGGFRDPGEPEEYCRGFQVFDKEMT GFIGVGQLRYILTNLGEKMSDDEVDELLKAVDTSSGEINYTELVRTILAN EPUS_09138 MAPLKLKTPPQAPPVFTATPTSVVEDTKKFIDVSRKLTDDIVAK VKEQDASFEKVVLPMAHDENRQGLVAHILGFYQSVSTDQELRDASTEAEKMMDDFSIE ASMREDVYKLVDAALRKGDKLDPESQRLLEKEHKGYIRNGLNIPAGPKRDRFKEIKKR LSQLSIIFQKNLNEEKGGLWLTPEELNGVPEDVLSGLKKEEGKYWLTFKYPDLFPTLK YGTNSATRQKVFISNENKCNQNVPLFREAVLLRDEAARLLGYPNHAAFKIEDKMAKTT KTVDDFLGDLRSRLSDGGVAEIKTLKEMKKKDLESRNEKFDDRYYLWDHRFYDRMMEE QDYSLDQQLISEYFPLQTTIQGMLQIFEELFGLVFVEITGSDRDAISPSGKGNDIVWH DEVQVFSVWDDEGEGSGFVGYLYLDLFPRQGKYGHAANFNLQPGFIMENGTRRYPATA LVCNFSKPTPKKPSLLKHDEVTTLFHELGHGIHDLVSRTTYSRFHGTNTVRDFVEAPS QMLENWCWTPSQLKSLSHHYSSLSPEYLEAWKEQAGPTNSIQQPEEKIPDDLISKLIK TKNLNGALFNLRQLHFGLFDMFIHEPESHEALEQVKISEKYNGLRKELCHLDGPEVFG QGDNWGNGQATFGHLMGGYDAGYYGYLSSQVYSTDMFYSVFKANPMNATEGRRYRHTV LEKGGSQEEMKTLVQFLGREPRPDAFYEELGLKN EPUS_09139 MSLSGRGLKSILQKNLTDVVILSSLRTPITRAKKGAFKDAYPEE LLSAVLKATLAANPNLDPAKIDDVAVGYMLAFIQQPASAQSTDNVAQDWPPLQWWQTA RNYGSRAIPTVLWPELRDSDNGDARACIMPMGITSENVAERYGISRNDQDAFAANSHV NASKAQKDGLFDDEIVPVTTTWLDPDAPEQPGKVIKVDRDDGIRQNASLEGMRKLKPA FKEDGSSTAGNSSQISDGAAATLLIRRSVAIELGLSSSILGKWVATQTIGCHPDEMGV GPAVAIPRLLDVTGLQTKEVGIWEINEAFASQALYCIRKLGIPEEKVNPKGGAIALGH PLGATGARQLATLLSELARQGQDVGIVSMCVGTGMGMASMFVRE EPUS_09140 MAPTLSKMQSVNKLRIAIVAPLYYTVPPTKYGGTERVVAYLIEG LVSLGHSVTLYAAEGCKTGAELVQCTPITLHDAGITGTIAEMQYPYTLQLKRVLADLT SYDVVNIHHGIFPFHRDIFDRPGPYVWTDHCELHVENKGETLQTLYNNANAGATSISD SQRDILTGAEYWLQTIYHGLPKYLLAPVSSTKPEYLAFLGRLAPEKGAPDAVRISALA GKHLKVAAKREAIHETYFKESVKPLFEKHDVDYIGEIADAGKSVFLSGAVALIFPIAW KEPFGLVMIEAIACGTPVIAYNKGAVPEVVEEGVTGFIVNSVEEAAARVADAAKLDRK RIRAEFEKRWTSVTMAQKYEELFYRIRDGTAWTQTTSSGTNSFTSQCDSNDNVTDNAN TAAHVCPGHHHCTKAQAGHSKAHVGSSDSGVATDPTDELEDSIAELQIANTDTAK EPUS_09141 MRPSIPTFQSSKSTCLRTRALPYICASCRHQALRQGISHMQSTR NASSGLPWTERIRRKMWGSDNPPGLKDPYGGPGFFERRRQEAQAERGRGQVLGPGRVP TEPTMVEHEPALPEPGLPEMSTLEEESAQSTEHSHAQEMMRSRSVPENDPEKEDPTYV QAETWNGLEQVGLSGHWSETPPTPADSFRPYLAAFEKASSRKEILSALHQAVVEVVTL RDSCLPLTYSLGHTAYKPDVLAALSTTIIEFDHHSKQIVLKYKDGERRSIVEAIQGDD LQASVSPYEPVAKTTYAEVQALEANGDTTFTAPDQESQELQSQKADFPGQDMEDDGTH TFVATKEETNNGNGEENKNNIEGLPPQKRTAYTVTSADFITMPLEPLELRFAVIKRAS QLTGHRIPDPLITAPQSLSPIYSYLRQDRDSPPKKIADRLLTKPDVINLPNVHIMPRR ETPVDKDKRLGRWKIIEAELHSKGLPILSKSRA EPUS_09142 MSATATTATWKSPSSSTPSHLRPSHPRPSITPYSLPPRTPVPVG ESMPPILPHGTTQSKAKQTTSPSYFGFAVAESSDPPDSNPGQYARPNWDYVSLNAHDT PTAPPVDANPEFEAFRRQSEHDHFNLGHANIGALSKFEGSHKVSLKQSSSQSRQDSPV SPRSAVQSSATPNPAHKPGRDRVESKTGQMSFFDIPRRDSPAGLSPSQGPAIDHRSAR LSLPGHHLHTPPAHPDRKPVQRAETLPDTLHKDGPSMVNPHDFATLLQSVPEDVLLLD LRVAPQYSISRVRGALNLCIPTTLMKRPSFNTQKLRDTFAVEADRARFSQWRNCSFIA VYDSSSNNSKDAQNSVNVLKKFYVEGWKGQGVILKGGFVEISKTHPSMVEQGSGNAAA GITKSPLTLPASAQMVAPVAGGCPMPTSKSAANPFFNNIRQNMDLLDGVGQMPVAHPY EMSAQSENALPEWLKRSVAEENNGKLISDEFLAIEQTEQKLMQDALNCTVLYGSPQSD RLSKTQIAGVEKGAKNRYNNIFPFEHTRVHLKEVGAGGCDYINANHVKASYSNKRYIA TQAPIPATFNDFWRLVWEQDVRVIVMLTAESEGGQLKSHPYWHTGDYGPLKLKLFSEK RVPLELKREKMQQTRLQRPSIGPRRSTNPLTEAEKSSAQGNQQRPPETPFATVRHFTI SHSNLPFQPMREITQIQYTQWPDFGAPAHPQHLLALIEQTSKCVRGSASPTHPTHGAA EPAPKGQKPILVHCSAGCGRTGTFCTVDSVVDMLKRQKIECGDDRMDLDSDDDIHRRE WIDRGDVDLIAKTVKDFRAQRLSMVQSLRQFVLCYETVLDWMVEQQIADEGFSAKEGE RKSYHG EPUS_09515 MSKLRLSKSTADEMALLLAIDVKPQEIARRFRCHCSTVYRIQEN INTFGEARPAPVAHLGPPRKITPEALEGLLDWLLENGSEKKLSYLDEMVAFLDEEYDI DVSKSTVSRALAKEKITQKAVSIYISTCSNRTHFVKLLNVKLLSEMRTYETIIELKYA KFVLRRLYSSTNRLRTSAQKTVKEVGLHAAYPVE EPUS_09522 MKRDRQSKKEEKKEEKKKERKKEEKEEKEEKKEEEKEEEKKEEE EEEEEEEEKEQEEEQKKKQYKQIYASLLYICLLTTFSPYANFRFLQLNTMVLYPET EPUS_07160 MMLMLTRRWIFLPLAAVASIWLLYHLSHYPFNRQAAPVVEYTLP PKVDTGRSPWNNRPINYPLTHLVSLPHGKPKRLPSIQHQFPSESAQDKKTRLERQQVV KDTFIKCWRSYRTHAWMRDELSLLSGNGRDAFGGWAATLVDALDTLWVMELKDEFYEA AEAAVKIDFSRSTDATVNIFETTIRYLGGFLAAYDLSGERKLLEKAVDVGEMLLIAFD TPNHLPVTRWDWKQAADGKHQEAPSQLTGDMRWYDAISRITDLFDSQQNRTKLPGMWP VVVDPKAEDLTGDTGFTLGGMSDSLYEYFPKEYALLGGLSPVYQKLYQDSMSVATEKL FFRPLTPDNADIIMSGDVRASDDDKPLVIEPKAQHLTCFVGGMLALGGRLFSNNEHLA MAHKITKGCIWAYKNGPRHLMPEIAHFVPCASNGDCSWSRDQWTAAIRERQKEGEKVI SADEIIQEKRLPEGFVEMDDRRYILRPEAIESVFIMYRITGEVSYLDAAWEMFNAIQA ATETSYANAAISDIAGGPADGLPPQDDRMESFWLAETLKYFYLIFSEPELISLDKVQV NQSTESIWRVQVPEVELTASQYWVFRFLPSRTTFSETAASISSPGFRIINSTAARSAS STSSAISSSTSLQTQVSSVSASPTATTTTIAQEPAPETLSAGATAGIAVGAAIVAILL VVSGWILARRRKTSRLPQSLPVSDHDARTYPEVVSASKADNLRIPRSASGGGTLLQPS ELGTRLVKAGGFVRSLGKKS EPUS_07161 MSGATPDPVLKRDAVDVKLPSDGSENDSPSSLQDEQVFRFGESR KLGVTACVFLIINKMIGTGIFSTPSGVFAATGSVGVCLFLWIIGGIITFCGLSVFLEF GLAIPRSGGEKNYLERVYRRPKLLATCVLLAQMVLLGFSSGNSLAFGRYILFASGSDV ADGWKARGIAVGCITFAVLLHSTFPKWGIRLFNVLGVFKVVILLFIVIAGFAALAGHR RIPNPHNFDNAFHLEKGPGYGGGGAYAYATALLRIIYSYKGWENANYVLGEVKNPRKT LLIAAPLAVASTAVLYVLANVAYFAAVTKEEIATSDVLIAGLFFRNIFGDSASARSLP AFIALSNLGNVLALFLHWLVTVIILLAPPAGPAYNFIVDLYTYPGAWINGAVCAGLLY LHFRKSENWSSPFHTYFPVIVLYLLANIFLAIVPFIPPEAGNNAEGYPYYVFPVVGVA VLIAGAVYWWLWTRVWPRIGGYRLEAERCVSEIDGSETVRYRKVYMGKHHGLVHQDGD GRLGRA EPUS_07162 MTHHLNWVFPADRFAVMKTFREDDSVFYCMSSIGTEAARATNYL IPDCKANYIFLKVQIPSYDAAYDILEKKGVKLDPDCRNGLRNSLLGMTGQYLDIFEHT QGSQIKRLIERNEEELRLQQDLNEF EPUS_07163 MTTMMAVGLETDDWDVGNGTDDEDDEDPVINGTDVGVTMWLDEA RVEISF EPUS_07164 MSKLSNGTNGALTNGHPSSWQAKHNLPSHFIGGNHLDAAPASAV KDFVQEYDGHSVITSVLIANNGIAAVKEIRSVRKWAYQMFGDERAIQFTVMATPEDLQ ANADYIRMADKYVEVPGGTNNNNYANVELIVDIAERMDVHAVWAGWGHASENPKLPES LAASQKKIIFIGPPGSAMRSLGDKISSTIVAQHAKVPCIPWSGEGVDQVKIDKDGIVA VEDDIYDKGCTHSPQEGLEAARKIGFPVMVKASEGGGGKGIRKVESEENFVNLYNAAA SEIPGSPIFIMKLAGNARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVTVA KPETFKAMEKAAVSLGKLVGYVSAGTVEYLYSHADDKFYFLELNPRLQVEHPTTEMVS GVNLPAAQLQIAMGIPLHRIRDIRLLYGVDPHTSTEIDFHFTSEGSLKTQRRPQPQGH TTACRITSEDPGEGFKPSGGTLHDLNFRSSSNVWGYFSVGSSGGIHSFSDSQFGHIFA YGENRSASRKHMIVALKELSIRGDFRTTVEYLIKLLETPAFEENTITTGWLDELISNK LTAERPDPMLAVVCGAVTRAHIASEACIAEYRKGLEKGQVPPKEVLKTVFPVDFIYEG YRYKFTATRSSLDSYLLFINGSKCSVGVRALADGGLLVLLAGRSHNVYWKEETAATRL SVDGKTCLLEQENDPTQLRTPSPGKLVKFTVENGEHVRAGQAFAEVEVMKMYMPLITQ EDGVVQLIKQPGATLEAGDILGILALDDPSRVKHAQPFSGQLPDLGPPQVVGTKPPQR FTLLHNILLNILLGFDNQVIMASTLKELVEVLRDPELPYGEWNAQSSALHSRMPQKLD TQFDQIVERAHSRHAEFPAKQLQKALNRFIEDNLSGADAEMLRSSLAPLSAVMDRYAD GLKAHEFDVFTGLLDQYWQVEKLFSSSTLRDEDVILKLREEHKDDIQAVVHTVLSHSK IGAKNNLILAILDMYRPNQPDVGNVAKYFRPSLRSLTELESRATAKVALKARELLIQC ALPSLEERAAQMEHILRSSVVESRYGETGWDHREPDLDVLKEVVDSKYTVFDVLPLFF SHADPWVSLAALEVYVRRAYRAYTVKEVQYHNDVDPPFFISWDFILGKVGQSEFGMPL GSAYPSAPSTPTSGGNPFKKISSISDMSYLVNKETDGPSRKGVIVPVQYLDEADESLA RALEAFPRAGAKGQRPSASSLMPELNGKRRPAAPRLENEDELTGVCNVAIRDVEALDD NEIISRVAKIVAENKGELLARRVRRLTFICGHRDGTYPGYYTFRGPSYEEDSSIRHSE PALAFQLELGRLSKFKIKPVFTENRNIHVYEAIGKGPESDKVVDKRYFTRAVVRPGRL RDDIPTVEYLISEAHRLMNDILDALEIIGNNNSDLNHIFINFSPVFPLQPKDVEEALA GFLERFGRRLWRLRVTGAEIRILCTDPVSGMPYPLRVVITNTSGYVIQVELYIEKKSK TGEWVFESIGGTTKVGSMHLRPVSTPYPTKEWLQPKRYKAHLMGTQYVYDFPELFRQA IQYSWTKAVAKYQPLAESQPALGECIEYSELVLDDSDSLAEVAREPGTNSCGMVGWLI TAKTPEYPRGRRFIIVANDITYQIGSFGPQEDKFFHKCTELARKLGIPRIYLSANSGA RIGMADELIPHFSVAWNDAAHPEAGFKYLYLTPEKMKQFGKTKEVLTEKVMDEGEERY KITTIVGAKDGLGVECLRGSGLIAGATSKAYEDIFTITLVTCRSVGIGAYLVRLGQRA IQIEGQPIILTGAPAINKLLGREVYTSNLQLGGTQIMYKNGVSHMTANDDFQGVEKIV EWMSFIPDKKNQPVPVSPSADSWDRDIQFFPPNRQAYDVRHLIAGKEDEEGFLSGLFD KDSFRESLGGWARTVVVGRARLGGIPMGVIAVETRSVENVTPADPANPDSMEQITNEA GGVWYPNSAFKTAQALKDFNHGEQLPVMILANWRGFSGGQKDMYNEVLKYGSYIVDAL VKYEQPIFVYIPPFGELRGGSWVVVDPTINPEQMEMYADEDSRGGVLEPEGIVNIKYR RDKQLETMARLDPTYAQLRAQLADKDLSPERTSEIKLKMTEREKTLGPIYMQIALQFA DLHDRAGRMEAKGTIRMPLRWQNARRFFYWRLRRRLSEEVLLKRLAGTAVNASTNVTS AANKESNLNLLKSWTGMLDTEFDKDDRKVAEWYESHRKDVYSKIDAVKTESISQKVAE LLMSNKEGGLKGVREALSLVPTSEREGLVKYLTGV EPUS_07165 MSPTSSSTDFRARDSAQVAQSGLYPNANQPGGFQFHIPAIATAR SSTNQRTTNSTHTASVTSTYHGSQQSNQTGVGAAAGSGHTQAATTSEANGTTNHINTL SNLNIEDTADFHGDHDIPHTRRTIEASDSDLGEDNTRREDDTESISSAELPGRKRKRT TVPSSKRATNKPRQAAPGSRRIAPRKVAAGKEKSSKPSRSALRLESKLFATKEYRDSK GLLPIKQPIKLAESNNHSGTATQESKRTTLGDPELKALVRLLGDLTPHPNKTYLESMG NTASIDRKITLGLKLSKKEDDADNDDAEDNEEQEPVQQPEDGANMNELVPYNGLNVNL PPMSNIHDIFADLTKNALGRGLGEFLEALGSGQLKVATLCSGTESPLLALQMIGDSVR DQTNHNLRIEHQFSCEIEPFKQAYIERNFKPAILFRDVLELNNPTAHTAFGGEAVVPK GADIMIAGSSCIDYSLLNNKPKDFGASGESYDTLKATLEYAKVHKPLVVILENVLSFP WSQVEEWWRDAGYATQVAYLDSKDFYLPQTRQRGYMIGLRWEARTESQVGLEADEMVD DAADDAAESAADIAARKAAEDAVKKWFEVLGNLQRRASSPFTDFILADDDAELRKYCL QAAALIMTNKNVTWERCRTECLSCRSANFLGFKKPVTDWENNGSCVVPDSYNKEWFKK QVERVWDTIDINHLRSIRRFYDFGYKSRCIDLSQSCVRENDKKPWGIVGCITASANPY LTSRGGPMVGAEALSLQGIPRERLILNRESSRQLIDLSGNAMTTTVVGAAILAAFISC SEALVKQSKRQNEPLESPRGVAPPISPLKYESKWCLTQVDLTTDRRLPVTMDEIRWLA FASIRLCSCENLILNVSQIFRQCSRCGHTSCDSCGKKPEHHYVKIGPIDGRQDTSAIE FEQLIRKALPPRLAICDLISIHKEVASESHRHFGADYTEAIDAIAGEFCLISIKRDRG WSIIYENQRSRLLLVCERRWDGRILGNEKIKDLASAVRVQWQLYAKPKPELPANSRIR EELLYPIARLTCSESLFDGQWEIRLIQDQSLTLEVVGKGTAIPSWEKNQGLEDPLFKN RLVWPCIEIRLRAGSPLSTSTILDELLGTYEWLPKCGTACGSLHVKLNPKSPSTEEPM FLFLDQAPIDNASHDSFVFANQHHRIGLKEVREVLLKVSSSWRPSAADPVSTVNCKIP SQWIPVGHLRLEEHAPGDLRNVYLATAETPLQVDNTACDGSGLPVLWSEFPMTSQLLK KLDLKTRKRFGLVKDPFSLERLSWLLKTAGYALRSEKWQYIAPTGGIVDRCEKCAPQP PPVSWKSVKLKKGEQLKPFEDVEEACKYERNVKDAPEAATAELSYDEHSALVALRVDF NVLTLFHKAVAALSESRGSLVMPSTMQWRAVVDYGHDYPVSFPHVQLKNCQGHNPASQ PPSFVEMRRTKKRHPLLYDYQLKSLDWMIQREENEKNMWDEQEIVEARAAAMDIRLEA KVTAQRRVLGGVLGDDVGYGKTALVVALIDHHFQKTKSEPPPCFRAGFDEMIPLKATL ILVPKNLVGQWEKEITKFVGTTYEVLTLTKDNFVQESNVSGLRRAHIIIAAWDLFDDD YFMELARMSRAPHTPVSAGRGFEEWFKMAQKDLKELVKESDGLQPGSLGKAWDKLKPQ KYRKFIPLSTRNRKGRKTAANTNEEPDPKRTKIQDQGVSNNESQAPRDLYAALHIFYF NRIVVDEFSYIKAKQLPAVTAFQAHRRWILSGTPPHNTFAGVSSMAKLIGTTIGVPDD AEVRYQKVKDATEEISGGEALRSYATCHTPHWHKARNDRAIAFLDMFVRQNTTFVQGV QKHYHYEKISLPAPERAQYHEGYLLLVNQGHKMTSKTTAAPPRATASRRKNTETKPND STQEENAPPQQEKKEDLTRTGQIVKLINSSQSPEDALITLCSTMPEFAIEPSSVMPYQ SAISEKERLVLAGVRDILSELRGAFWLIDKFGDSVEDIPDFHQYLRSVQENRFGDAIV CTLLDLIIAHANETKAWTVAKSSKDKKPTANASDTALAQYDMRIGSAEQASTLNKETR IGDMKLRADSLTHWTISLINHVRERRFFLQTRSCQTGEEIKCSSCEKPSKKYSDMLIM GRCGHAGHKHCCAGQNGSLWALSHCPDQGCDSNTSQLSTVEASDLTVTIPSDLVRQHG SKMVAITNLLSQIPKGDKTLVFVQFPRVILALRAILTAQRIEFADTTHGKGAAARVDT FKKESTCNVCVLQLDSVNAAGWNLQAANHVVFVSSFASKTKHEYNSSMTQAIGRAFRL GQEKDVHIYHFLAEKTIDVNILEGRTGRIVVEREGGCVLAEPKEAGHQGEFGGLPFLG AVCGAGEDGEEDENEE EPUS_07166 MMLSSLLTALAAMTATVFSAPLASRALADLDLVVLQFALTLEHL ENVFYKQALQKFTEKDFADAGFDKNYFNNLRFIAQDEEAHVVVLTQEILAAGAKPVES CEYNFGKALDDVKSFVSLGSVLEGVGVSAYSGSAPAIESKDLLTAAAAILVAEGLHQG IQRQGLQQVPSANIVGTPASPTAIFTLASAFIGNCPSTNMALPFTAFPTLTTPQTGAI APNATAIFSVGGAVAEPFFMTFVSGIDTISVPGKNENGLLMAQIPAKTQGQTYAFVTK EAAIGAIRDSQVLFGPAILEVTADAPTFDLTIQ EPUS_07167 MPPLSRGLRRAASLSLRSPRQKFGSRSDFFRVSEEVQDALQHGK PVVALETTIYTHGFPYPENVALSSHLESLVRVNGGVPATIGVLNGVARVGMQAEELIE LVSSAGRADTLKVSRRDLGYVTGLGLAGTKLNGGTTIAATMILAHLAGIKIFATGGLG GVHQGGENSLDISADLTELGRTPIAVISAGCKSFLDLPRTLEYLETQGVGISTFADGR AGSVDLPAFYVRDSGIKSPRTIKDEKEAAAIVYTQSRFPVQSGLWFAGPVSAKDSLTK KEVDAWVKVAVDEAASKGIYGAANTPYILDKIKQLSGGRSVTANRAGISANVVRGTKV AVELAKLELREKGVLERRAIGIDTASSFIPEPAKFERPRVHSPTAVAFPDSSQYDVLV AGALAADLSCDYAPLEGFANSVKPLPHTSNPAIFLRSVGGVGHNVALAAHYAGASTLL CSAVGDDITGRALVEQVRTSDLPSVGIQVLHLAPDARTAQYVAVNDTNKELVIAMADM SILSCPLLDSKAHWEQIMTRHRPRWVIIDGNWSSSIISHIRAAARSIDACIAFEPVSA QKSSRLSKLIKPDDVIPDHFVDLVTPNVLELQTMYHTARDALLFESESWWSIINALNL PSGGSRELFIQLTDSQLVDQGIPQQCLQLLPYMWTIVTKLGAQGCLLSQLLPPGDPRL RDPDYAPYILGRACEEERTVGGVYMRLFPPAELVKSEDVVSVNGVGDTLLGVLVAALV KNGAGARIEDALMMAQQAAVKSLKTSKAVSEGVKGILDQS EPUS_07168 MATSSPAADINLIARFLAHEQIQDLSQAPPTDCIVLCGSAILHC AETVFSALEKNPNLARTLVICGGIGHSTKHLYTTVAQSPRYAALLPEIQARPESRVLD TIFERVYDRASIARAGCTILIEDQSTNCGANAMETRKILEAHSIPTPESFIIVQDPTM SIRTLAAFRKTYEDISPPPTFTACPTFMPEMRMLEGKLEYVTARGVDPSGLWELGRFC DLVVGEIPRLRDDPQGYGPKGRGFIEHVEIPGEVEEAWTRLESGMAGGRVRLAS EPUS_07169 MAMQLDMSNAQVMKDESGRPFIIVRDQGKRKRTHGIDAVKSHIV AARTVANIVKTSLGPRGLDKILISPDGDITVTNDGATILGQMEISNHVAKLLVELSKS QDEEIGDGTTGVVVLASALLEQAADLIDKGIHPIRIADGYDEACEVAVAHLDSISDTI PFSKDRQENLVKVAKTSLGSKIVSKAHDQFAQIAVDAVMSVADLERKDVDFELIKVDG KVGGSLEDTLLVKGVIVDKDFSHPQMPDQVKEAKLAILTCAFEPPKPKTKHKLDITSV EEFKKLQQYEKHKFTEMIQQIKDTGANLVICQWGFDDEANHLLLQNKLPAVRWVGGPE IELIAIATNGRIVPRFEDLSAEKLGKAGIVREMSFGTTREKMLVIEDCANTRAVTVFV RGSNKMIIDEAKRSLHDALCVVRNLVKDNRIVYGGGAAEISCSLAVEDAAVKSPGLEQ YAMRAFAEALDSVPMALAENSGLSPIETLASIKSRQVKEENSRLGVDCMQTGSNDMRQ HFVIDPLIGKRQQLLLATQLCRMVLKVNNVIIAGSDENEF EPUS_07170 MPPLTTEPSDDESVGSDIPAKAVEDTKAAEEQVNGELQEKVEEE NDAKDDETEASIEEDGVYEVEAIRSHRFVKTKLFMIVKWKGYPEDQNTEESEEMLREG ASLVLYNYFESIGGRPQPQSKLGKRKSSSQLKPSSASPAPKKSKRTNGNTDDDSMEDI SSQGIQKTGSWTPKKENWESEIASIDTVERDRDSGKLWAFIYFNNNKRSKVGMEMSTF SSSTGGAMSTLHVLLYRYTNAMLQYMCFAGINPISQPEYSIHFCPATSSDLVPLRILT LISFLLPSPILSQQPLDHGYLIRHRLRLIGPTGPILPVSYLSSILDLFVPMFTRPGSQ QKEAEQRGKASSPRPHPDIRLHPYHPLLMQHRMCLADEAHHFVPGSFYGGDKTTLVTS LLRSRAEPMTSKVNKTLRRAYARRSHEETNRSRCQDRKNTPLSDLECSKDTVEKSEIT NTLPAKFSASSSPPPPPPPPPPPFKI EPUS_07171 MKNEGDWGLSMENYAAIRPALAPGADLDEMNRVLIQTIAASVDE LGTSDRPVKRGLARWLRNTITIATTKSVYGLQNPYKDQSIQDNFWDFEGDLITNLIGV LPSILARKGIVGGPRAVFVYTAAAALWMLLYVFSYPDVLENIRGEIGSILTSKTDEKG VKEVLRHRSMGTSIRQVMQDTVLDERSLLKDAMIQMPSRVIHKDASIWGSDVDEFNPG RFKKDQKHKMEHGRHFATNEILALVSMFVMLFDMVPTAGTWSIPQTTNTNVVAVVIEP DADVEVEVSQRKGFDGIWVFSLMDSDKSLYYCRRGSGGRMKSNLGVRIYA EPUS_07172 MRSCGAADDSRLGSLNIVPVICPPTLPKIVAEWASVIPLVIHLA TNRNDYITTGEVALLGRISLEIFPKLGALSSLARLLQCGPGFLDQASIRGGESRTVWD VRWGSVFPAANGAASIAIIDYVLSRTQKTPVKMPDKVPTTAEAKQEAPSELAETNNQN IRAPAKVTNPRLRPVLPDQQPSIVGPSRRYQTLHILYFRKGPQPRPTIFSLNWFVVAS HIMTFLILVNVAIILSIGGLFGSAAILFFGAVSRVVSPGISIDRPPGYLVNNENHDAC MLLASHPNAMEWYLNIGDRSIVDTLLNKPMLQIIADSRTMLIGHWLNIANTIQLAAVT FVAAQKGWDGVSLIVLMAIDGAVRWRFRGRNLARNWLEREGVEVVYKTFLFTSRSSMI GAIQLYSKTKVTNWIDSIMTPHPRRDAWLRSLLGEQWEGSLNNADREWVKLQRDLSCI GARLVSKELEAEIV EPUS_07173 MDALKPSPLTFTAERVRQILRDHYSSDPRTIPYFNIRGTAASAR GRLAGTDLYHADEATRVQARQLSAQHTCQQYHSAGRDLRNHNVRLDLESSHLLQCHFP QYKTEAYPEYRSLTEDDIVEKTCKLLCRLPSIPLPLGNVTSSLIFRSISPEYLVQPDT PARWSNLKAVPYISTMVILLALLIISARTYHESWELISYEALREIPNTFIELLETSAS LSTCATSAADAQAWMVVQSFLWASWQRYIMLFRWYVLGLHLQKGFDPQIMTAFSLRQY SDFKEPGEEIEQGLDSAHECPQYMCKWAFRLLQSDRSAVCQDFRRLCAVFEEAFPGRR PRCILNSTTVALQCDGLSVDNCQRFKGLKITDQSAHAHAPQLPARLCRPLFWDETSYR RVNGARAVCIDDDPADNRLKYCAASRDTLTISHVWSHGQGGRPEINGTGLNDCLHIRY CRIARQFECTSYWMDTPCIPQDHHLRAEAISYINEVFARSKMTLICDRDLMELEVEAG PNEVQSYESIVATMLVCDWNVRAWTLLESMRGRRHIYVLCKNDTVISVKKALDVVHQR GHIDLATLALTAQHMLPWLDRLSSSVPGYDPDLLPLGIPEATLLLVNRHASRSGDDVV IWTLLTGSQPFFDPKDFWVHIWRTLREVPTGYLFSDVPRLQHIPGLRWAPSQPRRANS VRAFRSSIPQHRPIPSLGQFYDGEGTRHGRVERGGAFAAVWQAFELVVCSIVHTAPSG DCSKSQQVLQDISQQSRLADSRILLLRPASYYPFSENHSVLYNGNGGGPLIAVLSYKT EGVWIWRGLYEWPLDVELPTFREQHLRIV EPUS_07174 MQKLDTIHELSVESGEVAKAGSMRQWVVQILAGDVSETSDLHPQ RCHYDWDEFTHHQDLSQQVIVIRRYTQQEHQLLVDAKCEELCNATTNPASHLTDLDRC FSSLLICKWSTVVMQLRQTIADEVCDYISRLPRVPTALPGDRGDLPSELFSGQEKAWD KLLVASQHSKNIVRCITLRVLYDHKICEWTDHFAQTVKNCVGELIDATVRQSRAAVCE RDKQRWFIVRAYLWNFWQTCRTLYAALNFKSNFKHNQQYSQNLFYQWFRNFDVSTGTT LKELTKLSASKHKAASLCLWTLALLHSDPQCLGLDFDLVHERYRNVSGSALNRCSADG TRSCSGLHPNACLRYRGLKVKDQSMHDHTCSDQNAAERKLTWDKASYTSVDGPRAVSV AATDACSEKVKYCKASGRTIAISHVWSHGQGGRPHHGINLCLHQRYARIAQEFDCDSY WIDTVCIPEDHKLRKEAIGYINEVFYKSHAVLVCDKDLMNIDVSKPNVELYESIVCAV LFCDWNSRAWTVLEGLKGRKNIYILCMNNQVLEFKTLVQSLCDTGHLNILAFMSQLVH IVPCDSYDRNYSPSARELRAGQVVLEVGGSWLSHRPASRKGDDIVIWSLLPGHGTKAL QNAVDFWRQQCRVHTGFLLSSAERLKQRGLTWAPKTPYAMSTANRKGKSQVFYRALSS QETCFASIDEQGITAEWYQYYFQEPETTLWRRLGFNDSKMTATQIELTTILRKFVRVG RYGCLLHPVNETFAHNENGLLTGEEAAMP EPUS_07175 MDFVLFVTLAALITSSATAGPVLSARQHEPQGRIGSVLGKAYSV DFDRWPYGRYSSEAASADFGDVSGWDADRTIISGGRLRGELEPGKIGGAGGTLARINV VRGTEYEMQYTVNFHSQFQWSRGGKLGWGFGIGDGAGGCTKADGDGASLRLMWYTDDN ARTYFRPYLYYAAMPGRCGDSFGLRYPPMEESLKVSTNYVIYMYVRSNTGQNKDGWAV IKVNGVTLLDTPVQWTDNNAKRSITNLVFHNFRGGSQDYWASDRVGYIYYDNFSVKRF G EPUS_07176 MVDSSLHSFHRERIDKLDCILQCNYTSPDCGAKIVAKVGLTYLA EGAAHVVYRVSLPGASPTTHPHLVGKLFRFRKSIPSAVPCAQTVANYQNIIAPLFPVN NLVHLELHHVSNQNALIAKLNAALEQRELNGTRPAHRHGVYLTPSAEEPNAILVTDMS ACGPDERLIEFKPKWLVQSPSAPQGAKRCRTCALREMRTEDERRSGQSHSGRGHAGFC PLDLVSNNDDVLGETIRRLSLTDELSRTYESPFKEQIQPLLLRLRRLQAEYNNVGLND FENGQSQGFFVSMALRDCSVFVKTKRGELGFQEVRLADLDLKSSGGGKLELWARTEQR LIEEGWYMGSENAESLGNRLCRALQQS EPUS_07177 MDIDDILAELDRSEPTAKASTALDHQQLTRFWISERAAPDVLKW PTDLMDRVIARVRQQIELVEDLTAGAGMTGSGTSSSNSNLTLSILQTDLSRTQYIIRS LLRQRLAKLTKYAMHYLTLDDKSALLSPQEESFLRNHQSLLSDLYSASFLSAFPAQLQ RLDDNAGGLNMVEGPDGKKAVFIRCLAKRWSSDPLFEDYDADDDEEAGESGALAMRRG QVWVVRWEDVKKGVEKGALELL EPUS_07178 MRSEGNVYNPVPERIPKVRDADEFQRMRAKATELACDIRLHRSF RLPPNPSIGRNRTIRVSYSDVGYLGSSSTTAEIEDPESYHVPVVLWAGGMFGGRYQAF ANDDLCKKYRVRFLAIDRNGIGGSDSVSLDQRVATWLDIVPALLDHIGIQHVHLAAHS AGTIFVLNTILHQRHLLHPTKPFAAFFGPWVHPSKSGKWRLAAVGLLPELAIGTWHHW AKMINQSIAPVLTASGVSVTKAARTSVNGISGSKVTEDPTVGDGAEAAWRKASEAVIT NYVFAESVEGASQEALLCLRKGSTAWGDWQDIDEAVSRIAENERKSQGPSRMGAKEKL KIQIFFAEDDEMIGKGGQAWLESCFTQDGVTEYISLESEVVAGTDHNDVLALQNGAIE KMVRAIGQVPSRTRDAEDRSRER EPUS_07179 MVESPKSEASHESSPAAGRHHAAKDKECQYCHQHFTSSSLGRHL DQFIFRKKPDGVHNVEEIRRLRGGITRRTTKGGANSKHDREGSLSTSHITSPLHRDSP AEDVREDLNATPVEGYRIRVNGPNWQSTGVINGLPGSSTVSPALEHMTSTTGKRSYSS IETAAAKDILGLRGDLGSEKDTARALELALREVLDTIQAAHVQTKPAPSPFPIDVQSY TFPSLVLHLLPPPPTLLTSATPFASPNSFPISSPPDHTHLNLLCSTITSLITTWKSNL LNSPLPPNYPDTATYTTHIHNTASTHTGTALNHLDLAYKHYTSLPQHQQHEIWQIELA RAYVSEKQKRKEVEESLEAVMVEARQLSGQVECLSRCQWPREMALWPPERRPIRSNVI REIDSGTIRYGKRRSMPTPGQGSAAEADAQQPLDDSDAEDKRWDYDRLVSKWKKVVRE DAIRKRSLTLPAPAYTPPVPTTKSGNKTIETPTSHPNNANTPASSTAHAAAAAAPPTT TGTKPTKKEDSNPHFEPWCKKPRLVMEESNDCIMDSPRSNTHHQPQPQQQHQQQQRTQ SNLGDLIAEASGQRNGLLGHQKQQQQQQQQQQGQGHYDGNGGGGFAFGNGTGNGNRDG EGAVGGRNGDG EPUS_07180 MPSSVPPNAREEPKNQAVEKPQVPNDAHSQAPSNVSAGSKQSTC KDGVRGRSNSQSRSLESPQAPDGEARPSNNSADPPKRSGSSESATEKVQSQSRNLEPS RVQIGESQALSDSSNPSKRSTSKEGLKERSRPQKRHTAPLQEPNSEVRDATYPTHPAR RCTSDESVKERSQSRSKNLEIRQELDGESPSGSPTPSKRPASRKIVSERSQSQNRHSQ TPQAPNGAPRRAPHSSSNLQKLAASRRSVRERSQSQSCAPEIEERSRGRFRETMKKAI TRKSEIPPRDDERKDKTDTENAPIEEAIDSTEELGQLASHLGGLLQENDDLDFFAEKR MLGSCEWILDEPVLAGFLRRPQQSHALWCTGRPGSGKSVFASSVVNLLREKKAKCAFH FFRFGNEAQNSLSSFLVSVAYQFAECIPPYRARLVKMFDQGLSLQKSAPRLIWQKLFL STLFKIDIRKPIHLVIDGLDECDASALLLKLLGDISSSKAKFCVMLVSRKTQLLNTGI DKLIKTIPIETFSLEDIDEDLRMYVEDEMQLMRGDQNFKDRIAERILAKADGNFLWAN LVVKEVLQCHTETEIEDALNDVPEDLEHLYGRMDAALSRTSRPSDQAMARTILMWVVC SRHLLNLDQLGDALKPEYAEVLDLRLTINQVCGEFIVIDTKGTISMVHATARDFLTEK RDLNFYIPVAGSHQKIFTKCLSELITASSRIQMGQIKPKSFLLYAATSWAYHLSLTSA CLDQESLLLLAQFFRGPAVLSWIHLLSFSNQLGTLVQASKILATFLKQVDRLDSDRSP LTHRLQEKDMLSLWTVDLVRLVGKFGLHLKSQPKCIYKLVPAFCPPASAIHKTFCSKA AQSSLTITGISNQTWDDCLAKFAVANSCLPIAVTSVNKHFAILTSDGTVHLYNSSTLE EGRRFKHAERVLAWCFNQTGEKLVTYGFVKTMVWNVTSGLQLFSITNPGTAKAITIAF SGSDDSIVTCSDDRNVRCVSLDAIEAGWQVLENVFGSDVLDADQHNSPRCAAFNALGS QLAVGYRGFALSVWSVDEPRPCLIGRCERQGSTGQLASGSFVDTQAICWNTVTGHVLG VYNDGCVFKWHPFDGEYQESKVSGSDVKCSPDGKFFVTSSVDGTLRVWDFLHFSPVYQ LSCSSSVTDLAIDPVERRIYDIRESFCNIWEPNALLRLWETDDKASDTTSTRESSTQV SVYSEASGESSQPLTALAVDKNTLNYSAGDDEGVVTYFSREGEVISQLSQTFMTVDHI CWSDNGAFVASSDLSRRVTVKGIDHTKVNATPKSLLTVKEEEPIKQLLLSPMGDYLLV STDQCLTIWSIQQRKVVSSRPQTARYYWTNSPSDPDQLIGFGYSELQISVWEGLGTTW QLDIDRSTVDGFASRYGVHAMFPKPTTKFTTSENETENAVDKVLFTLDGSMALLETSK CSAHHSREKQFMLVSIDPESIIEALGSRTMVIEPTLLPSELISRLGLPLGFVMSDSIQ SVRRKSFAPSTTSHPNSADPSRKSFLNPSTGLTVSNTNSWRASSSTFLAVGDPTLSTG DEHVLAFLDHEYWVCTYVLAEGRPGRVRRHHFLPRDWINMDWLELAVMRRDGTLLCPR NGEVAMVANGLKEECLE EPUS_07181 MAVLDTKEALADYGTSYHVDDSVLKEFPEGSKVLEAKRYSTAAW TTAMRVIVELRNGNTQSYFLKSAPNEMGKSMMEAEFRSMEELYYYMPTMVPRPIAWGQ LTQSSPDTYFLLLEFIELCMDMVDPVAFCDQIATLHKISKSPTGKFGFHLDTFQGPSR QITEWESDWCRYFTRLLDEHFVAEVDQNGPNEDYEKLYSTLKNRIIPLLLKPLQEEGR SIKPCLIHGDLWEENTGTDLITGRTVIFDASVHYAHNEMELGMWRRDVIRFGKAYFRQ YLRNFPPSEPVEQWDDRNRLYSLKYNLCQALAWPASAEMTREM EPUS_07182 MSELKDFIGQMPKAKLHMHLEGALEPSLVRLIATRNNLPVPSSI QEQDSGYSFNDLSSFLALYYPNMAVLQTTQDFHDLAWSYLTKAHEQKIVHAEIFFDPQ AHTSRGVKFATVISGYHSATIEAQEQFGMSASLIMCFLRDMDAQSAMNTLTEALPYKD KIIGVGLDSDERDNPPSKFATVFARAREEGFLLTMHCDIDQKNSIEHIRQVSEEIGVD RIDHGTNIVENARLLNLVIERGIGLTCCPISNSVVTEDLKGAEIKRLLHDGVKVTINS DDPAYFRGYLNENLEFIGEKLSLTRTDLVQLQRNAFDIAWISHDDKSKYIAMLEEYAA RH EPUS_07183 MEGVGVAASFITVVLVGLQSSKFIYEIASEISGGPTTVQKLTKA TRNLSKLLEQVRGLAQQANDLLGEHDARFFEDFRPLLCECVRELQLIQRKLDKFDRTP GHKFWNNVKMHLHEKDFDKMWNTIHHYVQVLGSHLVHAGIDLNLLSTEYLQLVGDEVT AGFGDTKQCLDTLQMVSRKGTDQADMHHQEIKSALWHGFGTAEASIGHQSAKLDDLQS SLAQISSVTNDSMTKWDRLEMNLEGKDKRLLHTLDEVSDRLRGVSSMSLEQSSTIQQL ARMIEGLQLDLQGMRHDLQQAKHDTSANSDSSNLDPSKEEALDSNGVYGSVSRLCSLA AVKDQEFFSVEAQSIIQDLSKITASVLDDTISAAVAESHLETLDKKPVYFSDMQGEIK RRKNDTLKQIENILRVSQRLCIGKQECPNVQKRSLPMDQPAAPRRRRLSNNATTSTVG IDENLLPRFSPSSILQSKSIDDTAEYQGAILRRRHGRNHCSKMDSKPVFSRHCVSTAP IEAGNHVTLTKVVPFEPLYTFKSGCFSLQMTAARVDVRFKKQCVLGRHHISNDSSTGD PTPEETFSAEMVLTPASRTNLRTKIILGVSQQWTNTSSILSTPILSFRSIVPESSEIF DIVRYGTVCDLHQALSRGSASLTDCDPDGRSLLNYAVTALRPKMVRFLTEAGADVNSV ERDLFGDSCPALLWSIYNDFQSGAEELYLRAECIKLLLARGADPSMMFEDPGLNLSVF RLGLWRSSLAILRAYLNSESPFIGPNSLGTDNSDSFPLGELAYIHTLNCVEDFETPNK IALLLARGADVNRRDSLGNNCLHAVLNYPDHSWRRKEDPEGQAELRDILMLMITAGAD VYAVNDDEETVSRVARDSGHCRIWVEVLEACGFSSYKVTRGDDAEYGWSSALDNSFSR LPARPTSKLSFAEYLEQREEKRKASGRATEIIDEETVEEDWVEEMKIQDGIGISDSDS DVDGEDEEKMKRSLAEDEDHISEGEDSEDICDDEIKWD EPUS_07184 MAAADANAALNELINSLDMSKVPDKLHCPGCHNFLANAFKTPCC DQAICETCQSSIQGQCPVCDHSPLTPDLCKPSKAVRTTAKAYLKTAEKKLADERIKAA AAAAPAASFAPPEPPTPVQDRQNEEARAPSISVAEPHVKQNEVQQKLADQPHPSIETP SQNEVLSVGRDDPATQEDSKGESVELLEEAMAKQDIEQPEKQQHEPAGLGDSEKSGKT NGHALSVVTNSNDFSSTPNVNTGFNAGISPGMSPGMDYTQMMQFMSGNMASGMGNFNP MLGMPNMGMGPMLGMFGNMGNSGMGMAGVNGMSMGMNMNFNPNQGAYGGWNNGQNMWN GPQNNNPNAFSNGLGGDFGPNAGFGYNMSQQGNFHQQQYPNGDFQSGYHGRGSFRGRG RGRGGFRGRGGFNPGFQGNSSANYQQPYEQQQAQIQQLQAQLGNQPNEQSATPSHGTT EAQLKAFHDELAPGGQEEVDEALGVDDPKPDLEVRLPNEDEEHVRKEEDNEDNKDHED RKDTAQDASDPQPAEPIEIDIHVEPVQPIATVSPTKEQTPPNEVLPEAYKEDLAVQSM PPPSAPIGPAAHFSETSREHAFRGRGPGRFATRGRGALHLQNGMHSPSKPAPEMFFKA PTEPKGAGIVGAPTGPKAMRAAPVPPSGPRGRGGGFQIVGRAAMMNKENRSVSGESEA RNTDYRHEERSRSNSLSYQDERGSRHRASSNHEAESDEERRRRRERRHRRSKRDDHED EEMRDDAGHDYSRSSTPDSRRKSSHRHRDKDRHSSSTKHRSSHRSHRSRDEEPDSEQH HEDEYSSSRRSKPSNSDLPTETESRSSSHRDREHHHRSSRSSRRDEKDRDRDRDRDRE DRHRHRKRSRRDRDADEASDAEAEESHRHRSRRHKREHLHESESARNNGTSSHRDRDR EREPSRRTSSQITPASAPAPAVPTEPAKDRNRDKDKDKDVDIHTQEREARNRERLLKE QQRRENRRESSGKSGAAAAGGRRMSYKYEDEAHGHALMVESERERDRWR EPUS_07185 MSIWDAFTGRKTSSTSSASATIPSTTPSSQSTSAFAPAPFDPTS AQDVSSFLGSASLPDASQLHPLAGLNQQTLDYLSLDESTLSDLPGSRSALPSRGWSDD LCYGTGVTYLTALTTGGAWGLVEGLNRAPASAPPKLRLNSVLNSMTRRGPFLGNSAGV VAMVYNGVNSTIGYYRGKHDAANSIMAGALSGMLFKSTRGVRPMLISGGIVAGIAGGW VVTRKALF EPUS_07186 MVSGDTLAPRASVNHGEPRLDGYVQGSGSISREALQAMSRGSLK RYLTYSSDDHNTRVLHVQELWFALLATLRDSSLIDGHMTAVCNAVCVFLQSASSSPIE PVRRFALSIEIWVAVFDALLDKFNTSKPKPIRQVLNTLIKILMNHEDRAKAQLVQDGV LSRMASIVFLGKPVSQFKASMVIFEAFVRTDIPVPRVLFAIGRSHGSNSDQWHYRLRR QRITPVKVRSPLNSDIIDESISDFSFSIILAVADSNAQATAGTFFASLMSILTSYGIS MDSLWVECIVLILNRYPQAIDAFKNYLLPSLLRLYPNQYLDLLNKMASNMVGPAMFEN ILTVLLLGCDAGLLSEEETMSFLYKSLTKSQKPRLKQLRDNRVITDGGIVLLTHASIN VRIRTFEFLICCTTPIRSSALRLLSKHFEFLYGDADPGNRGELSSITKVMIHRLRMGS ASLVRNIAKPDISNQQRTEYVDELKEHEDFLDCFLAFLERELGPNCSFPRHISALKAL QMLAESGLDPLIPLTVVSRSTQDLPHWPIKKSLHSRSMELALWPLMLNPFEEVRHTTA LVLKLVISHAEPAVRSHEHSSNNREFKIPADSASSALTTIKGQDQSPLCEELTKLVGQ ASKLAASTNRADHADGVGRSLALQYHFAQNRSAMVCALVESLEEALDMSRGYDSLPET DFSVHGYLLGLKYIIENSGFHKRSASDLMLKETDIALSRLLQLCRSIWHAVRTGLCVD SPGTRREADTTGPFDGPKDFLSYSWRVLRDSNFLIQAILSHLGPQVDQTDADDGPLEQ LRRIHTLCSEQLTSLRHRGAFSTVAQTFALCCEQFPSVPGLRAEFNKWSQDAFHILED QSSKLTRRSAGIPAIMACILLPSTLYEFNGVTETLASLARSKKSLPNSSTDEQQTRLP QVHALNCLREIFTNSRFREWTICWLVDVLDLAASSLNSDIWAIRNCGLMLFRACASRI GTTDDVGDVSFCGTASQSQSEAVLSISLKLLRSGRQTSIDSPELVFAGLDLMSRIIVS GNVRSQAREHILLQLGSPIWMVREHAARLYASQLPEAEVLEAVTHFIHAMDMSDQNKC HGILLCSRELLRKHLTASLPFQETNYLALKQSLEDHMSKLEQYAAPAVQSAFFDLVND YISLRGRVASQPQTWPEYFNWTHQCQPYYQGKSQVVAGRPFESQLTSSSALNSCLMVF TEVKGRAQTLSQTFQEVAAYDPDAAASLLRAIKDQDLSDWPSLQMLVDLYIAIVRDQW DEVITAAAMSGLSFCLENVHENKPLFIDKDDLERLFECFVSLPRTGGRNLFNAKIRCL GSVLEYKSREMLSLWEQHSVVPLSLWTRMLSDAAKDYTEAPTRLNAAKSLHSFRRCFL QDCDRTGARKKHTLYSIMYNLLIDDDEEIRDIAASTVSFILGSGAAGDQLQLCPLVAC HKFSSFIEEAFTSHKEFHIAALSRIMFPILMELDGLEDAFANLAFRYSVKSQLDLACH ESHDLFEEERQNLYVDEIREIDIWYSALGKVSHTWLDEDVRLLVGDWALEGLRELTSA LPSLRFGPLGILSKLEFIALFWRVIRLAELSLQWDYNCSWSMESPKESPYLMEMARLL SATMEYQVHPQAQKALEDSFRRGQIESERLQKI EPUS_07187 MKRSLVIAVLLFTLLPGCTFLGWWLARKFFQEEFDRYDRGRLVA DILNARAAAAPNGGGGAGGNGGGGEGGNGAAAAAAPPAPAPEPAPEPEPAPPPAAA EPUS_07188 MAANGYEYEPGGDGFANRRRRPRPVTDYGSSLVQYMRSRRPRYR GSHHLEAERPSPSYIVDVLPPAAKLDCPADAIPVRHLHSSLGKSKKPVTVVRWMPEGR RLLAGVHNGEFMLWNGMAFNFETVTAVGSSSLRAAEWSHKRDWLLAANDEGIVYYLQP TLNNPHKFQAHAMPVRDLAFAPSDSKFVTASDDATLKIWDFTTSNDESTLQGHNWDAK CCDWHPSKGLIVSGSKDHSVKLWDPRSTRNLTTLHSHKNALTATVFSRLRDQLLATAG RDNLARIFDLRMMRDVCVLRGHEKGITAVSWHPVHSSLLSTGSDDGSIHSYLLDEPNP PVGVSTSEVSPYNSLDPSSTPAQSIYPAHRISHAHESSVWSLDWHPLGHILASGSNDH FTRFWSRARPGETNCFKDQYHLGEEGAEAQGTWDRKYGRKQAREQEEQELEDEAEGLE DQRASGANTAFSIPGLPIPGINGSGSNLLPGMGHVPPPPVQAGSAASMPSIAGMDPTR LAQLFPQANPGFVPPPPPPGAPGGLPVDFSKLQLPAGFKLPPGFPPPPVPGGFPQGQP NGLPGLVGNVPGLNGAGEDSSNGGIRRRAPLPSQQESLMAEQRRGNFRISR EPUS_07189 MPDTTHTAVTTAVELLGESTSKTNGTLRSTPKQQTLKPSEPAEL GSGKPKKKYRHVAALHWKNQPSCISHEAAVSPSFLGFRNLLVLVVIVMNLRLVVENFM KYGVLICISCHDVRRQDVVLGALLYALIPIHLLAAYIIEVVAAQQARGAIGRRQRSDA GVRTPENAKDRREFNATWTYIAVAHTINASLCLGITNVVVYFYIHHPGIGTLCELHAI IVWLKNCSYAFTNRDLRHAMLHPTSPNLLPEMYLACPYPQNITFQNLAYFWWAPTLVY QPVYPRTSHIRWIFVMKRTLEVIGLSVFIWLTSAQYASPLLRNSLDKMAILDLPSILE RLMKLSTISLVIWLAGFFALFQSFMNALAEITRFGDRNFYGDWWNSTDLQTYWSTWNK PVYHFMRRHIFSPLVGRGWGPQTASAMVFVFSGFLHELAVGVPTHNILGVAFFGMVVQ LPLIMITRPLTRIEGITGKVIGNCIFWISFCLVGQPLAALLYFFAWQAKYGSVSRTYH A EPUS_07190 MAPPSPSHLHGIVDMGSNGIRFSISDLSPPTARSLPTVFQSRLG VSLYDAQYSNPSNPHLRIPIPQSTIDSVVEALLRFKITCSDFGVPESNIQVLATEATR TAINSVEFRDQIREATGWRVQMLAKEMEGRIGAMGVASSFQGVQGLVMDLGGGSTQLT WLSSHAGTIQTDPQGSISFPYGAAALMRQLSYLKSHDPGGSAAHASLASEMQTSFRAA YASLSIPSALQTSAAQNGGYTLYLSGGGFRGWGYLLMSQAQPNPYPIPLINGFRVSKS DFQSVTRIQEIAAQSSSSSSADKHGEESIFRVSKRRAAQVPAVAFLVNVLCLAIPEIA EIRFCQGGVREGVLFQSLDAETRALDPLSAASAKLGSASAGEIADLLADALPLNNNNS SSSSSSSNKGNHNRSGKQELEHTSLAKESASLAALYAPLTGVLASAHAVSHPQRAMLA LMLCQRWSGELAPPHGSLRDRLRQLLGRDEVWWCSYLGSVAALVADVYPAGRVERGRE RVAFNASWGAGMGKKGVDEGVRLAVCTREGGM EPUS_07191 MQQPLRDPAAGVARELLPHLHLVSKYRYPFLNQMSLDTVVSYLT EAPKIVHDLQPVVWQFLEAPPDGTVLLVWQPLDYLGANFASDGYVWGDAEHAFNSEHN GYMVEMWLHRGGYHWPNEPFTAHCRKRYRLTQSKNSNAPPCDPSLWIIHYSRADRENV IPSSQLPLQPNVQTALSQRRFLQSQGHLARKEFMLHDKANWPTINMPSSQGLQYPPHM IARQQAAAAAAAQIQMGAAPIKSVPERNQHRRGPSNALEPTLEEEEDVSRGDMLDFMT PREISRMRYEQHHEWMEEIMSSPFAMKQILPTDLGLGRKGELEALTSGFFDTPTSVLQ ETPANASRLSVGRMEVAKAEEFTAKAAKKVAEMEAELEHLKKRHARRMAKLDRSLSLV SAEKKLRAATAEGGQPSTFGNSEAESGQRATANIDDIVRDVETASGKKIKPVSAVECV QKGGLEERLQTPTAVISNRQPTPENAIIENKTNNSNTEASNTTETRVSPEAEQLQHPS ANAPQTSQSVTNGHRPENQPPAGEETTMEESTVPSLDDMDVDIEMAGLDHDDRQVETQ TVENEGSEWVMVDDLNADIRKEDAPGLAEAQIEVPEPVTERAGPPAASDFAHSPTAHA TQGLTPAEPSGGQLGEGEDMNTADFDLGQGGFDANLDDTGHDLVDFGDEHGDLNLEGM DDSAFGDAFHPPDEDQSHLLEHGDMS EPUS_07192 MAQSSQFLAIWSAAKKRYAEVTGMDMDGDTFPHPSSIRDLQASL DKQNDKFEDFRKRRATVFDVLEGACKPIELVSNIAAGGAAMAFPPSTLCFGAITYLIN AAKGVSASYDAIIDMMGTLKDFLVRLTLYDREQLPTELQEKLAEILATLLEVFARSTK EVKRGVGGRLLSMGKHVLRGNDKKLDDLVSRLDKMTLGESQLVGVETLTESKRTGRKV DDISVTLIETKTSISQGNHKIGELNANVLNVRENVGTIMAVLNESRAEANEGRDKKHI DKAKSILDPSPNPEDIYTAIDRTRVPGTGDWIRKEASFQAWMNQDSPVLWVSGIPGSG KSYLSGNMISYLAREHPQRVQHASHTSVAYFFFKDNNPRTRSFKQALNDLAFQVTQND PIYAKYIASNFQSASEIETLQSKWRRLFVDYFVKKEKVDSKVYLVLDGVDEALDSERQ EFLELLVDLKDASPSMSRTSSINLVMVGRPQVIKDINEALEGSIPTIFVDWRKNNQDI INYVRASIKKSKALKATPKDLQEEIMRTLVQGANGMFLWVDLIMRELSKKSHVGTIRK SLHQAPKGLREMLRHVLEGFSSSLTEEDAADLNLLLMWVTCAATPLSLGQLDTILKLQ LPEGEVVLLLESKLRKQYASFFTLVREDGLSTADLQAGGLALAFNEDAGQRNDEEGLD DVENDTDFDSNRDTTIVTFCHASIGDFFRDKSEMKARSGREDPEIGVNIVEARICTLK TCLNLICDPGLAKKAEDSASSIMLQYAKLHWHTHLKEAVQVLDDVVSMEKIEVGNLLL KMLRDEAVVFKWCGVPASSFFTLETLNPIRTWLEHSDVLGRLAEGDRLWVETAKTNPG EYYRPTAKVFATQWLEDAFWVPKICMLVVHNILNILHDRTDNDMPKPLEAVPISVILT AAEWPQLEKTALWHRRLAMCLREYKYYDEAMEHFQVALDIDPGMWLAYGGMGTTYAAQ KKYKKAIELQKLSDSLIEGLVSGRLETKSKEQDSRSGLTASRGIANTNIASYYKATGD SNNALKFYRKAFEFQNLNYELAYNCIEILADKKQGSHDIIQLLKGMQDMVPGRDWSRL TAMIWQYFWWDEPFFITCKETAEVLDILPWMIEVYEVAASTARKNRNSVLALSLEICI CELYQAAGYAEEKVVRMWERILKVTSNPGAMEKSDMQACKDYVVTKYAPYCLEMARKL GSATPEAMQWVDKLEKLCKVKQKPTADAPEVITTNTSAVYLGLWYRETGRGEEAFACF QPFIKEALMILSDDDPDNDVGGFYDLAHALVAAGDEENTVAALQSLQPQLIDENQEDG NASADVETDSSVGEENDKGGDDRGDSEGGEGEKAEHKSSTDEDIKSTHSNESSMDQDS AGDGVSRNEFPLSELMSRLAKASPDDLYEWPWCCDGPCGRSFPIYAEANLCRMCLLDI CDDCLKLIKGGDPRAKKICSPNHAWLHVDAPEQEVSKGQILIGGKAIPFEEFKERLRV KWKV EPUS_07193 MPTYALLGATGSTGSAILRCFLSQPPKSLALNIFVRSEPKLRKL FPDLESTTAFKTNIIEGIPSDSTAIRECLENVDVVMACIGSNESKRGMSLSYDTATAI IDALKSNRETRGSAYKPPTIIQLRSASLNPILSAAMPWIARKMAGFCFHYIYEDLDRA CKLFASSAADSPGLLDYIFIDPPSIHDADGTMPTGYKLILDEKQEGALSYADLGAAFC EVAERREEFAGKGVGVTATGTVNLTWGTLQGYMATGAKSRIWG EPUS_07194 MPTFAILGATGNTGQAILTLLLKDSSVKVRCFVRSAKKLHGQSS ELRDNKRVRIFESSLNDIPVLAECIAPCDAVFSCIATEENTPGCSIALETGHAVVAAL SHIRCVQKSSAALPRIIVLSSGTINDRLCRETPTWARYLVWTGFSHIYADLQRAQAYY ALHRSWMTAIFVQPGGLVHDKQSGHAVTTERDETFLSYLDLAAGMIECGSKDRADTEW DWVGVSVVPTGKTRINFKAPLAILRGCVWTILPPLYWVFHSAGLV EPUS_07195 MRISLILTALGAAIVQAAYPGDIVQYWVDQSAILVNGSIIGGLS SPPSGWFEAIVQGAVYIAALDSRSEALAFQQLAVSHAAHDSLVWIFHGTRLYATVDSK LKAVLAPIGINQSSVDYQRATNIGQKAAVNITRARSDDGINYFVDYIQQPAIPGVYEQ TPGGSPIPDTPQARYLRLFGGLGDVTRFRAPPPPSINSSGYEDFLLYVKQQGARNSTF RRSYDTETAYFWRESSPIGWNRLAHGVVGNSLATDVVASAKFYAQLNYALANAAIAAW DAKFFYNTWRPVTAIRRTDIWLPSGRNVSDPTWTPLLTPTPSHQEYLSTHATFGGAAA AVIRNWNGGDAINITQSSNVTLDNIGVITRRITNLSEAAKENGDSRVFGGVGDSVGRE TLRLFDENWAKF EPUS_04627 MSWPSASSDSIASESSQQEIAVAEPQTWGHRGAGHGIDERDWFG AFYVPRNDPTSITPEWPPSAREESESVAQYSTEEQLQSCISCGTPTQELQQLSCGHLW GRACLIARIELALQGFGLNWPARCCQKIDDDEMKSLAPFLGESVVQMYLNKYEEMETP RDQRIYCANPRCSVFLGQRGTGVHLDACLECGTSTCLACGNMEPLHDHDECPSETTRV SHQELINSGKLQQCPGCPEVVELREACNHITLESRSALDDFADRILGVYVVLNFASRA DNSGERVTAPYIPAVKTRLRRASKMRRPRQQKRPSQPLGQLVCIQCSTTRQDRRGVST VATHREFGCTGVRDAGRLLVMTAMID EPUS_04628 MATNCDVASSSEESVVLPTYTEEPSCSDDILSTTIAMSHSSLGT FEPENEREYDGSKNVTKPPSTDHETTHESSLLDEVASGDRPTESVVAASGRSLPTDEM LHERINQEEQGVAQTADVWVTEHRVPHTWHEHEEVLNEQRHEQAEEGKTS EPUS_04629 MAGLRATENHQCIICWESVGDLPADNYVQLQCGCIYCRDCINAA LELSIRCEANFPLHCACKHPLPIEEIYHLLADKLLDRYFAVLPEWTSVHCTYCAKCLQ YIDSKEFREDARYSTCTSCIEETCRECKASKSDHETGCPPDTDRQKLLQLSTSESWKQ CSSCGNLIEKDFGCNHMTCLCEHDFCYVCGYSPYDSHDCGVGNGNLDRDEDDWHDDRP DWQEYIFDEEGNPICEHTVFENSPYGGRCQGACGHVLPFYLNECSNCELRVCNYCVAA RNFVPREQNDDTADRDVSSGTALDPEQGQTQVHDEGPQQSESATQDDAYTAESTDIVS GVASDQHSRRKP EPUS_04630 MKHLEIKPGEKPPTRDFPTEKGFSNANLQPAEVKVHPSGSGDEN ASIFFVGTATTILEWEGIRVMTDPNFLHAGDHVHLGPGVTGTRKTNPAIDLHDLPNID LVLLSHYHADHFDQKVEESLRRDLPIITTPHAASHLKGKGEGEAFTEVYDLDFYNNML VDVKKDGAQPGKSPAIKVTGMPGKHVPSGVLGSLNDLVNAVPPTNGWMVELGYNTSGG SDDSFKCGYRIYISGDTLMVDELKEIPERYKGQNIDLMLIHLGGTTVPSPSVPLLMIT MDAKQGLELVRLINPDLTIPIHYDDYDVFLSPLSDFKKAMEDAGLSSKVVYLDRKDQY KFQVKQ EPUS_04631 MPKIISYTPPWLSRPSPGSQAFISIQNHDSTPSKLPSHLSSPRR EVVDQPYYGPHRLLARRGTEIFVVIGNQIRWTDLGMIKNDWEEQTYHAGSRSKLLEHQ HEGQLPTYRVLLAPIYQQIRQLTISPSGNFLAILTEHTIHVAILPDASHLSAGDGSPL KLKVHQLGPTTHVIPQSPLVSALWHPLACSTASSDCLVTITAEAAVRVWELDRSNNWS FDRPSLAIDLQKLVDGTSCDEDFQPSGFGKSRGFSADAFEMEVSAASFGGKGREEEDG WAPMTLWIAMRNADVYALCPLLPSKWRPTSTLIPSLSTSVVSKLASIQDDSVEPDEKR AVEQQFEWVQEIDGEEPLPSSSDAEPSLPTQTRSRPVAPSAIPRLQGPFLFDLEENEV DLDVSDVHVIAAKVNAEDLLSGEEEDYTDLEGLIEDRLSSTVICLATTTGRVHVCLDP EGVSGQWLPQTRKSAFTVPVGEPKDLILVESLEIGNGEPGTENWPTFSQDPIAPYDFY LTSKARVDYLSLSSWASRLETELLSPASSDTGRDFRLKVLCRDEIILAEEILDCSDST NEKPSEHLSSALIMNNPEIGYFLLTCSASGPYATTFDTPDTTSQTVILARRNDSLGPN SPDAQLDLTLSGDTIIASPRAPYEPSPIFYKPATTLLKHFSSANVPQRHRAALKQEIR LSPSTLDIMTAAHRILSSQTSQLEQAAAELFRRCDRLREELGDQVRQMAELSERIQRN DTSVETEHGDRNSRCEHRIAKARDRQQSLSERYEALRRKVGRATAGTRELSTKEKAWM SEITNLATTFGVDSEMEVDVPEAQTDKPVASRFEVVLDLAESLLAEAGEVQKSQGPDS PSPQKGSSLLRTTSNASSVSRMSNLGVPPKLQKAKIEEAMYMVEREAAVISSVMTRLE RLNGH EPUS_04632 MATTMLTTVERLDKPSAYYLGRNKKRKFDRDRDERESKDRREEE AADPLKDATTLYVGNLSFYTTEEQIHELFAKCGEIKRLVMGLDRYQKTPCGFCFVEYY THQDALDCMKYIGGTKLDERIIRTDLDPGFQEGRQYGRGKSGGQVRDEYRDEYDPGRG GFGRAVEMERIKEEEEYGRGR EPUS_04633 MNITVGVLALQGAFREHLHLLQRASEYILKNVLDARLDITFVFH EIRTAEKLSLCDALIIPGGESTTISLVAARSNLLEPLRDFVKLQRKPTWGTCAGLILL AESANRTKQGGQELIGGLDVRVNRNHFGRQVESFVADLDLPFLHGISTGTDGAGSAFQ GIFIRAPVVERILPHVQGEQKGEEQRQDTVIAPLRQAEGVEARRALSKDVEVLGLLPD RIKKAGLKASSGEGEAEAGDIIAVRQGNVFGTSFHPELTGDIRIHVWWLRQLMQRAEE FENSSLADG EPUS_04634 MPYASMEALSPRSANLPMKPPTAVKKTKVDTRAKPAQSGVNPDK IDEKWRPAAVIKEPEPEGNTYVTGKKLGRGGFAVCFEGRSERTAEIFALKVVKSHVEQ KKQLEKFRTELQIHAKMHHPSIVEFYRAFAFENYTYVVLELCPNGSLMDMVKNRKSLS LPEVRRYMIQLCGGVKYMHQRCVIHRDLKMGNIFMDSHMNIKIGDFGLAAVVVDDKER RRTMCGTPNYIAPELLGKKGALDGHGNKVDTWAIGIICYAMLIGTPPFASKSQTEIYE KLKQLQYEWKEDCQYFIPNQAKNLVTLCLNLNPTERPGMDDLVEHEFFKMGAIAEELD RSCLRSPPLWLEHADPRGDKVTPGYGVDHPAICEISGVGKTATGRSRPAVGGNVNVSA MVEVELENANGCAPAIPMPEGVIYKEFVAAKEEWNASRKRPLTVPKIRGRQAPASNTD KLDMQLLSEALPETLTSRSVSTTTTAPTFATGISQALQHRAPVQSFAAQQRQQAFSSR AISRTVAPNQLTSRVASTREGRAHSTRELQPKESSRQTVRIKTRPAEPASQNSQGYLR EQPVRAGVRVTRSTTIRDTPKYAVENASENAIVPDRREIQTAESAQEQQRPVRARPAR STTANRTEAQPRPKRRNLSREITADQEISARSSSSSGSEAASRPLEVIGSNDLKPKKA LLISTRSRAETAKAVGSLSATPARATIFAPTDITTPVPGSSVDSVMNNLQEIYHDLAL DDCIHEESTKRFRGVPSTAHPIVEKWVDYTERYGIGYLLSDGAAGAALKSSMDNARSS CCVVIRNSREHYRRRTRQEEVQIVPQGPQATPVEFYETFAKDGIRKASVPAEDFNYDQ ARPWKWIDGREVVASLSQQAKDDHAAERLKLLGLLDRFGKYMTNLNAMNTEETKEARV ETFIRFYQRFGNVGAWGFGDGSFQFNFPDHTKLLFYRSGKDHGHSLMMDLYYLQPEDA KYLAKYGTFMDRSMERRSSFTVPVSGILGGSETRYVDIIKSNQVKEKLSWIRDVFALW VRGGGLGRTGNERLVWTGLRLKKVDRGNKNDMVWVTVGRDGGDGEVIREK EPUS_04635 MALNAASHNPTGSPTGSPSGPPPPPSSETTSAILDAAKGDPTAQ SQGGTDGAEIGPGPHGEGEKPKTAKEIEKERKKAEKEKKFQEKRAKQQQAAASTAPKQ KEKKIKKPAAVDAYEPSKIERELYEWWEKRECFAPQFTADGNVKEEGKFVISIPPPNV TGSLHMGHALASALQDTMIRRARMMGKTTAYIPGCDHAGISTQSVVEKTLWKKEKKTR HDIGREALVDTIWAWKGTYHKNITNQLKRMGLSVDWGREAFTMDENLSMAVRETFIQL HDEGIIYRANRLVNWCTALTTSLSNLEVDNVDLPGRQLLSVPGYEKKIEFGVLTHFYY EIEGSDKRIEVATTRPETMLGDTGIAVHPNDERYRDFIGKRAKHPFLDRSLIIFADEH VDPEFGTGAVKITPAHDPNDFNLGKKHDLTFLNIFNDDGTLNDNTGDFAGMKRFDARY KVIEALKEKGLYVKWVDNPMKVPLCSKSKDVIEPIMKPQWWMKMESLAKPAMEVVRDG TIKIQPESAERNYFMWMENIQDWCLSRQLWWGHRAPAYFVDIEGGQGDSSDGDLWVCA QSEDGAREKAEKKFPGKKFTLRWDEDVLDTWFSSALWPFSTLGWPKKTKDMETLYPTS ILETGWDILFFWVARMIMMGVKLTGKVPFTEVYCHSLIRDSEGRKMSKSLGNVVDPND IIDGIGLEELHAKLLTGNLDPKEVQRAKDYQKSAFPKGISECGADALRFALVNYTTGG GDIAFDIKVIEAYRRFANKIYQATNFVKGRLGDDFVPSEIPYTNKPISLAEKWILHRL NSTVKEVNDTIEAREFSTAASSLYQYWLTQLCDTFIENSKFILHDDAAAEDQASAKQT LYTALEGGLLLLHPIMPFVTEHLWQKLPRRPGDETPSIMVARYPQFNTVLDQPSAAAG YEFIMALAAGIRSLLSQYNFKEPGHIYIQTNSQSAYDTASAEQTSIKSLGGKYVGDIE ILAPPSSKQGTQQQQQQQQQPSGCAVSPISAEAAVYLKIVGTNVNLRQELDKAQEQLR EAQAKVEKSRKIMSGEGWGKVRKETREKEESHCRDAEAEVARFEGVRAELERLTLEE EPUS_04636 MLLRFESQNGQFRLDVQPTDLFPSLASKILDNLPTNTDTATLKL SNRPIGLNPADERYLKDLTKIRLEQVGLHHGDKLYIGYSEVSQLSNGHVNGTTTSRSN FVTSNSLHGRLVPEVSATTPLPTTSPSAVIKNPWDVIKQSPLDNRLDQQDGKISRPKD QKMCRHGPKGMCDYCMPLEPYDPKYLAERKIKHLSVHSYLRKINSATNKPELKSSYMP PLSEPHYRVRKNCPSGHPPWPEGICSKCQPSAITLQPQQFRMVDHVEFSSPDMINNLL DFWRKSGMQRLGFLYGRYEEYKEVPLGIKAVVEAIYEPPQVNELDGVTLQEWHNEKDV EEVAHLCGLERVGVIFTDLLPAQDGEGQAICKRHIDSFYLSSLEIAFAARLQAQYPKP SKWSESGRFGSNFVTCVISGDEDGAITVTAYQASNSAVEMVRADIIEPSADPSVMLVQ SEDDEGLTNKSRYIPEVFYRRINEYGANVQENAKPSFPVEYLLVTLTYGIPNNPKPLF TNSTFAIENRELIGTSQELKDVAEKLKPKGSAEQTIRSVSDLHLLCFLHNLGVLSKDE EALLCRVATTHDLADGMQLSSTPGWATLIAILRESGERPPKRSWPLDTGDDSIPGTPS EHVAKRLKGASLS EPUS_04637 MVTLWGSKQNGEQGEDDSSPNPPGSSDGEHSRPNTRSRHQGDPD ERTRLLPPPPGHGGYLSPDDPAVTPYNLWSVRALRHLTVIFTIITFLWWVLLFISIFA SPPSMNSRGSGYFDISYALLTIGNLLVALIFFSIPSTPMSVLGMVVGVMLLINMILIL AVSRLRAEEGWVGTASVIWAALMSLYCVLTNKTVRWGKKEEEERLTGREETRRSLREW CAVLTASVIMVVLVAVTVLLTATLILRARDATLAPPGERYFVDGDKYQVHVACVGDRR YDDQGEAIPTVLVEGGEEPTEGSGLEDFIYNARVNGTIARYCYWDRPGLAFSENAPSP HSAGMSIDAISEALAIAGEDGPWILVSAGIGGIYSRIFSSRHVRDVQGIFLIDTLHED FLHRVGSPTRGFFLWAWGMISPLGLDRIPAAVFKGRTREDRVYGPSSYQSGKYLKAKL QENLVAESFTKSEIMSARRIQPPDTPLVVVSSGVMMKKDKEWEKKQEDLTKITDNLLA YDVVSGAPHEVWRTLKGREVLEQRLGELFNPKKSNTTVKTAWKLKPRQD EPUS_04638 MSELQRSFAKAQLAKLPPEAPLIFDEREEDEECADDTRNRSASD SSASSTGTIVPSPTKHLFERPKSSKPPPASRSSPLEWTEFFETELYLERQRAATKIIH HAYFSPPSSSGPLFVAHHGAGSSGLSFACFAAEIRKAIPAAGVLSLDARGHGRTQVEM PKPEDDDQTESIDLSLGTLSSDLADVVQATQTRMSWSALPDIVLIGHSLGGAVVVDLA HKGLLGSAVLGYAVLDVVEGSAMEALAHMETYLSSRPSSFPSLASAIEWHTRSRTIRN SFSARVSVPSLLLPVPTEPNPSSSHPTTETTTSYTWRTPLPPTQPFWPTWFEKLSSKF LASRGAKLLLLAGTDRLDKELMIGQMQGKYQLHVFPDAGHFLHEDQPAKVAAVVAEFW RRNDRAALVLPPKVGVGLKGHGKGEG EPUS_04639 MSAPSPAARSASIGQNPPLPTPTQPHINGVTTGGGSMATSGVSA GGVMSGGGGGGGGMSQQNLNQIVIDYLAKKGYTKTEAMLRAESSQTAVPETAAPPAMT GGTPRYRAAYEGTKKWIDDTLDIYKPELKRILWPLFVHSYLQLVAELYPTESRAFFEQ YKQDFRPEHEVDIRGLERISLPEHLNDDNVGKLYRDNKYRVVLSNFAFVHLMQYLEAS ADQGGKLLIDLLEKRCNIRHVDRAADDRFSFASLLQRGKEVQDMPAEDEGIPGHNPGN SIITDDPTQGNNLVKLRLGRLPLDKDLEADVRGDLEDMDTAQPALAGQDSLVETLEMN IKQEPDDEFPSRTELAYPPSTARDVAMEVQKIRENRDRFKIEARTGGIGPGISVCMFT FHNTYDSITCLDFSGDNELVAAGTSESYIRVWSLDGKPISTDNDDNSSTIPSASHRLI GHSGPVYAVSFAPSSAAPSAADIRPSTKWLLSSSADSTIRLWNLDIFQQIVVYKGHIG PVWDVEWGPFGHYFVSGGHDKTARIWSTDKIRHLRLLAGHDDGVDVVAFHRNSAYVFT ASSDRTVRMWGLTNGNAVRMFTGHTSAITALCCSPNGKILASADENGWICLWDLALGR LIKNMRGHGKGGVWSLSFSVESTVLVSGGADCTVRVWDVHGPAKEVGKGVGVGVGVGI GVGGEAGKVDGAAGSSTSGAGTSTAVAASVGGVVSGAAGPKKKGKEQGVSADQISAFP TKKSPVYKVKFTNMNLVVAGGAYLP EPUS_04640 MAESDPNASTFPAKPTRTRTFTPLDRIRELNSIDASIPQLLHAA GSAIQILGSQSPSKDLTSSKSQFLDSITTYFTTLSSIDVRLRRQVYALQEAGLIKEGD AKDAKRGGSAAAAALPGNGAGAGGGLDVGWLNGGGDQVEKDMEREVWRRAREFLETLR GQKTNEHGATEDGKTENGAGDGQDDRLQNMKEEHDVG EPUS_04641 MVRTACDACHRRKVRCSGGQPCANCSQATLTCTYHAVPQKKGPK GSRAKVISELRETQRQTNRHKIRSYSPDSSFDFNTSPLSPMRRRNPDVLSQQMIDGCI EFFFRHMYPTMPILHKGRLQEKVAREIDQSIEAYCLITSICAFMMIQPRMSLPGRPVG VRTNSDDLVSGKFTTASSLLEEVLRLRKAIDYIENPTLESVQTSLFLFACYFGLDKHN KAWYHLREATTLAQIVGMQDEPSYLSTDPVESIMKRRLYWLLFITERAYALQRHHPLT LHATIELPNPDEVPGDRQIINGFLHMINLFRPFDDTFIGLWNKARNDCSTAWLAQLQQ QLSDALPPKLNSTETQAADIVTSQQWLRTMVWQLSITNGYLSSTSADSSMTFKYPIEI AKHLMADVRGLPQQALEVHGIGLIEKLFDVACTVTDVIACVPLDSSIFEIGPLEYLNQ FLSLISNLRGGGSRFLPLLRAKISENLPSMATPVPKAVMPIKDEYVDEIASPLSTKPA PLVHPSPLVIRTATASLSDPSLVFSDISPGGSNASTPLGTPTVAAAIPHSMPRIQFDG RYG EPUS_04642 MSNEKQYDSALDLLRRLSPRDTSLNLNRITTLVPDLTEDLLSSV DQPLDVRRCAKSGRDYLLCDYNRDGDSHRSPWSNEFDPPIDDGTLPSERIRKLEIAAN EAFDVYRGLYYEGGVGSTYFWDLENDEFAGVVLLKKAVSPGSKSSAAWDSIHVFETSE RGVRASHYKLTSTVILHLANETDALGQMNLSGNMTRQVEADMTVEDDASHVANIGRLV EDMELKMRNLLQEVYFGKAKDVVGDLRSIPSLTEANKDKASHRDMIRSMNR EPUS_04643 MGHLLERHPKRRRINQRENSSTASLADGKSSKNSSGESGSESNE YDLPPGESLYGADVDDDGEEVASSEQDRLPKHAINLSAYCKPPGNTFVTQLTQPASSP SRVRGPRWRKKSPTSPSPSPTSTALPAQAVQSAPAAEAPQPSPNDDGFDEDELALAAA LSSLDDLEDLSRERLSPKTSAATVSHRKPPPLPNTASFRQTTLFGQHENQAPASTTQG RIHNWPLANRVEPPTHHKLNHEAAKMWIYPTNLGNIRDYQYNIVQRGLFHNLLVALPT GLGKTFIAATIMLNWFRWTVDAQIVFVAPTKPLVSQQVEACFGIVGIPRSETTMLTGN IQPAIRAEEWQTKRVFFMTPQTLIHDLKTGICEPKKLVLLVVDEAHRATGNYAYVEVV RFLRRFNSSFRVLALTATPGASVEAVQNVIDGLDIARVEIRTEESLDICQFVHSRNID IKLFENSEELSMSLDLFSRALQPVLNQLVSLNAYWGRDPSNLTAYGLTMARKRWMGSD AAKKGGFALKGKANAIFNVLASLAHAIELLKFHGIGPFYRNLLNFQTSTSDGTNNGKY AKEIAKNEHFRKLVNRLQAWVSNPDFVGHPKLSYLKTVVLNHFMDAGEGHGMADGRPP SDTRIMIFVHYRDSAEEVTRVLKRHEPMIRPHVFVGQTGAKTSEGMDQKTQLDVIEKF KKGTYNTIVATSIGEEGLDIGEVDLIVCYDSSASPIRMLQRMGRTGRKRAGNIVLLLM KGKEEDSYTKAKDNYEKMQQMIASGDRFVFHDDRSARIIPKEINPVADKRQVDIPLEN SQIELPEPRKRSRATKRPPKKFYMPDGVQTGFVQASLIHGKTAKARKATQREVVEPEV ARLPSLENVLLSEKEELELDQRYCNVGGSTPQYVQRPRFDAFPALQRKIRPTSEVGHS RVTVNLVKCLDTVQRPLDHVPSFGTLADFRKGSTVSSGAQEWVSNVKCFPKASHRSLS SSSPAPPCGAMSKNKDSSNSRLAWHHHHQLPTDALANALSEQQGSHAHQDPPFYVSQR SNTDHNVTDDELPDVVSLVSDKKHGCKMAFPVEEVSPTKTPVRQRRKRQRVLQESDDE EPUS_04644 MRFLNLLASFGLIALAAAAPQESDSSDTSLVSTPSTASSSTADV TTSTAPVSPTTSCINACGTNVCCRAACVGVPCPNEAQVNATTECAAQCNQGNGSAADT QAYGECQSSCISSLFLTSSGAAPATATATNSRAASNSASATGSSGSASASAESTASSS DSAASASSTGNAASSIQIGASTAGLLGLLMAALAL EPUS_04645 MKVENAPSPQVKDEAEEASPSPYMEEDDVYEDAGDLDFSHSQQQ FWLSRLPKSLWELWASMPEDGEIEIGTLRVEGDSSDPKRVSLRLNPLPRFEKQPKEYN LLPSRRETILPKRPRNAFVFSEKDMPGYKRRSNGLRDEEDSSYHGRSYLYEKNKRDSK RKEEKKRFEPYTRKPIPKQTAIVGVVSREFECIPVDNEEYRELERRKAEIMLKPKEEV ETSFEKLKDATYLAPGTLGVYGNTITAKQEKKRREAAKESRASRLPKNELIDMLLDLF QYYKYWGLRELKKKTNQPDAYLREVLNEIATLWKSGDLNGKWELKAEFKERDAVANYA EGMAPDAVDSEADLGEDDDENEAFEDV EPUS_04646 MTEEEDLLAKIGELAGQINRRKQPNAPQHTLNQSSGYHPRPSFP YSPRSIPYVRGRGQTFQNRSLIANNRAKPVQASSAPSISPPDQIEIPRAENLNPMPRG IAKYGRGARAWISEDALRQQLERQKYHSNSLQATGEDSVIHQSVTSGSDTPTPSTAYI TVADIPFQVTNGGSKLLRTTDTSTLTKPTPKEAVVSGVIFKRSKNGNLVRAAVAHQGR TPQIGKAKLCRQYTSTGRCSRGDKCFGIHDAARTAICPSVLRGIDCPAGEDCDLSHES SSKVAPTCLHFLRGMCTKHFCPYSHAPVDPSAPLCRGFSYLGYCEKGSACGQRHLREC PDYSSGSGCRLKHCPLPHVDRASQMRRNGANNPGNTKRMEVDDDTSDEETQDLDSDNF EEPEELFRSSTFEFPQQQDFVGLT EPUS_04647 MAAQYQHQPDFDFYRHPQWAVDNKPICSEDDEMSLLDEKILEPN TPAHASTDSDFRNPALDQSNNAFSPRANMWHDSSQPVGYMHSRQQPHAPMPMIDTGHG HYPRADATHEAVYSHQPSWPGSTMSGTSTPTPIYDHPPQEFHQMAYHGGPMSFGQASY PSDPLSATPMSPQSSQGGWMSATSSDGTEPRSRPIGSPEYDAASPAGHIRRDGIRKKN ARFEIPAERNLANIDSLISQTADEQEKKELKQQKRLLRNRQAALDSRQRKKLHTEKLE QEKKEFNQHLSDLQDEVRQLQEALQHETELRLHRQREYEHYIQTVSFERDEAIRSKTL ETAELRKMNNILKDHIREAASGHSLQNGLSTDFSSFDELDIEENGWDEDFSLINNNDF NDTIEQTNITPTPLQSSGTASVEPKPDTPFSWNAFYMCLLFGAFIASNSSSNSKPAVP ALSEEYRAESANVLKAVLASGPDVPRALLPSAPPQNMHPRVLSGAPATISGPEMARMT NSAPNNLEALHSSLTAPSRRQRETAAFSLSAASYDHITNPDPFLSGPDASDETFEPRP STLQTAFDAMQQSRQNVDKVINREVNERSVMWEGVPEKVLRDFRRMVENAEMDQ EPUS_04648 MPLKDLLKKKEKNAQDAADAPPAPQTLPTPEFTFMRTDTNTQEI IQPPSFAEDHEPIPTPSEDLTTPPKRAFNRFRKSSNASTSPQPSEKEAHTPSRKLSQR LHLSRASSASSAHIPSDLPTIPDPYTPNGDQQEKEAQWEERATRLAKEDGSTAVSRGG SVHSLATDISQMEVAVADGLKVRPSNRPRSVSDAKSDENIQEAIRLHEAGELTKATEM FGRLAETGNVLSQVLYGLSLRHGWGCQPDPTKAVTYLRTAASNSAAIEAEALKAGMKT GGAAKGELVLAIFELANCFRHGWGVEVDKAAARQYYETAANLGDTDAMNEAAWCYLEG FGGKKDKVSKANSETFSTACLCLDPHT EPUS_04649 MYRPRTVGFIRRCTSVAFSSRSVQLRLFTLSCPKGEGQQPFLKA KLEAVQGDIGGEQWFPELGRSPLGRFFVNNGGEELVDKPQSEGDGSVWKAMQAISPRQ QAAIKDVSGLFREDGSVTIDPLYLRDACRCRLCIDSSTLQRKFVTAHIPLDIEAVFDG RSNQGRVKVKWKNDIPGYPADHQSLYTQSDLHTLILPSPDSVVARKRHYWDREAFEKR ANWTTYADFVNDTEAYKIAMSALHRDGLIFITKVDANEEAVRRMAERIGPLRNTFYGS TWDVRSLANSKNVAYTNQHLGFHMDLLYMTNPPGYQLLHCLKNSCSGGESRFADAFFA ATRLRQDNESHYRQLTRYPVEWTYENDGQFYMSKRPTFHEVQSFIGNEEHARHRLKRK ANKDNDADLAYVNWSPPFQGKLYHQHEYPERTKVFVQATKNFDRILNDDAMVYELKME EGTCAIFENRRVVHARNAFQLEDGERWLRGAYVDEDAFWSKCRAIGADKYDTSNIAHP DGVFVRRYEASGKYEMPDISRKDNKEQSADNSKAVPHAEPGEPNKSDEPHAKEDAPRI PKSQKKFALELSLRPSKRPKKKKSKKTPREQEEAPEEQ EPUS_04650 MSTLNEITSEHEFNSTLNSTSPSTLLVLSFHTPWAAPCTQMRTI LETLASTYPVKEPPTASFLSINAEDLPEISESYDVTAVPFLVLLRDGKTVETVSGSDA SKVREAVEKQAGKMGNSGKLGLPPALEATPRKEDESSTNGTGPARDLSAYAPKPSDPP TAPAMSSGASSKEELHTRLSNLVKAAPVMLFMKGTPSQPQCGFSRQLVSILRENSVKY GFFNILADDEVRQGLKEFADWPTFPQLWTNGDLVGGLDIVKEEVESDPDFFKEFSVAK DGGPAAPEAQAQPPAAAV EPUS_04651 MSTSSKPVILRRSEEFKKTCGISRYRRCVLIYQLDNAIYYALSK VRYRSDAEVKLEDLYDVVMIEVPHYRPEFPSHFTQASNPLLAGWYIKEPTLVRFDPSE PAWIGERVLFEARANEVLITHPHRNIAKYHGCRVQNGLITGICYDKYHESLMQRVNPG SHSKGRLHLNKTKPLNVNLMLESVRNGLAHLHSLGFAHNNINPSNIMFFNEDSDEAVI IGLGSCRAIGSPLNDYLVRMEGWYDKKADTSLPSNDLDALDEIAEWLSDKPEKNFQLA G EPUS_04652 MPKRKSEEPPDVSTDTASPSHKKVRVDDTLHETPVLNGKPAVNG KQPEDGNTSGEGHSKPENVGHEIVEDEVFALEEDEERTPVVPLRQDVPTEGYSDLYLD TINRSILDFDFEKLCSVSLSNINVYACLVCGKYYQGRGPKSHAYFHALEVGHHAYINM ETKRVYVLPEGYEVQNKSLEDIKYVVDPRFTEADVKKLDRDARESIDLANKRYRPGFI GMNNIKANDYLNVVVQTLAHIGPVRNYLLLHQFPQTAAQLPVRFSTLVRKLWNPRAFR NHVSPHELLQEIALRSSKRFTLTQQSDPVEFLSWFLNNLHLTLGGSKTKPFSSIIQRT FQGKLRMESQAITAKSDTTGDRLRFEESSTINSTTTPYLILTLDLPPRPLFRDAIEAR NIIPQVPLTTLLQKYSGLHASEKQDRRIRHRLLHPLPPYLLFHVKRFSANKFVSERNP TIVTFPSPRGLDMSPYVEPNPALHPVGEPIRYELVANIILDTTDGKGSSEDSNAADAA RKAVGGEGEMGVSWKVQVRDKAAAWAEAHGEENRRGLPEWLEIQDLVVKRAEAETLFT REGYLMVWERRKMSGGERKGKPKA EPUS_04653 MGISENQIYAIEVTERLGSVLSLIGTAFIIGTFLYDNAFHKPIN RLVFYASWGNIMANVGTLISRSGIDLGVNQPLCQFQAFLIQMFMPADALWTLAMACNV YLTFFRKYNAEQLRALEWKYMIFCYGLPFLPAFAYFFVQSPAQGKVYGSATLWCWVSA EWDFLRVATFYGPVWVVIAITFTVYLWAGKEIFAKRRQLRNFANAASDAAFPVIQNPF ISPFVSVKTTEIRITSELADLPGKNGSTHSFALNERGRIVSTQSFNPYSVSIGTAPGT DITSPQSTTFPRSTNSMGLGADKNARQIKAAMEANKAAFSYCKCALLFFASLLITWVP SSINRVYSLVHPDVFSFPLLFTSAFVLPLQGFWNAVIYIVTSLPACRALFSQILDYWA PSRKTKSSSPYAPSISPKGSIRSSADSIKSFPYTGI EPUS_04654 MEVAHRTTNSQRPASCLQTKLQAAEKLISPGSVLFAHRSKPAAL GPTHVRTVSQDMDKRHPSSFQQLEKLGEGTYATVFKGRNRQNGELVALKEIHLDSEEG TPSTAIREISLMKELRHENIVALHDVIHTENKLMLVFEYMDKDLKKYMDARGQHGQLD PVTIKSFMQQLLRGIAFCHDNRVLHRDLKPQNLLINSKGQLKLGDFGLARAFGIPVNT FSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMYTGRPLFAGTTNDDQLQKIF RLMGTPSERSWPGISAYPEYKANFHVYATQDMRLFLPQVDPVGLELLRSMLQLRPELR ISATDALRHPWFQDLPQLQARAQQVAQQQQMQQQISGGYGAPGVPPQTGY EPUS_04655 MSLHKSHYAEDAAQPMSIYSSHDIQQEQHLLEVPIASRSSKKVS RSTKYPAAGRSLLANSQGDRIASSSVSATKTSANKLSRHSINKGNTGEEAYVLPRTTS SPYIARLDSLASLTPSPPPPVTASSSRSRLPVPHGSSGGNDAGSDSSRNVLRRKAPSV QQYVSSLPRPETHSNRRIATKDDAMAKRSSKSLPGDLRQPSPDAVQRLGPQPFQPTIL PKRHSGELPPTLIPELKALAGSTALNRAASTTPHASSIGSPSTQYSSSTGMWGDSRDT TPTSISSSSPGIIQPSIVKTPHRLRKTVPSPSTTSGKSRFMLKTPPATEKTFGPSPEE YASKKDSKKHFLLQQYPKSPVQSVLAQPAEHVSSQNSEERGSEDVGRATGIVELLDES IPYAVTSAQGLGSKALPSRPSRKGTADLDTRLSPIVQSNLSRQSLGAYRRRDSIDMDN VGNSIRGKPLGQGIKPESYKEAASAVQPLHRQVSQEQGDLASKPSKLPVVVRTTIPTK HTFSNKASSNQKGHEPGRSTMDKLSSRLGMFGRRAKVDRDGTSFQESRDVRKGPAAGT GHEGYGRYARRGRKSSVGSGINSRDQSTSTASRPSLGRKNSRGSAGGSDVDEFIAQRL QPVVIPGGGRHEARPDSNAPTIWPPFDGTPFGSGLSFDSAVQLTDEPLGSVATDSRSM SVGSVATDAPSSIAMDAWPRTFSMSTSSQSYPAHAPPSLDGYSTSQSSLVQDDAPKAS LGRSIERPNLRDLQKASKTGRMFKWNLFRRKDSAEKLARGVEKIDPESMGMSVAIAAT SADKPIPYYALMDSENENEGGDNLQDLFQQIHESPSTDAERSSQPQGLGLRQQYGQSV LLPSMPTLEQENPHNARLSSLRGEAECRPQPVELPISNSSQFTDNKRLRLPQVGRIPR VISRRDRQHKPAATSFSRPFQHDNFVGIQKGSDSDTTPIAETKRPILGIQTDVLPSRP FHSPESGQAASAPVGPPITRPLGDFVSRPEFLLIPGKVESGALASGSSDTIFSMSNLS ESAEHRNHTEEDEIWDEYDDLLDHVLSPTSSDLAQAPLGPSSSQPFGHSSHHVGASVR PESYLRLERLHKAGSTAYPAEPHSVYMPQLSPFGGKDGGYRLRRSRIVAALRSQSSTT PSTPLATNDHSSSYLHHGISSNVYERQLEGNDSIHPELLSPLSDPPHPPISSMSGVSQ HHSKALLDIAEREHEGPVGQSDLRFAALMTSRWLSFGRVLFSPAHNLVKASAGHQILV IDGLGNDDWSFYCAVTYPDSVVYDLKETDVSTRGSQSELPRDPWQAPPNYKRAELPNL AERFPFPQSYFAAVVFRFPAAMSDSVLKLAFSECKRVLIPGGHLELSLVDLDIVNMGT VTRHAIRNLKARMLGADPNVSLKPVSDNIQNMLGQGGFENLNRCMVGVSVAGKVATSS GSRSSRSSRESYSQKGKDADQSSSTVDRRNHRNNGSDRSRHGGGNFSLTELVSDHSAT SDEKITKMVAKVGRWWYTRSYEWAVLPGGDLKKSIWCDKRMLHECKARGSSFKLLIAY AQKPIEARRRTLSEPISTTAAVAGTRMMNRLDRGHRPSKTQ EPUS_04656 MPLQATKPHLMSILPGPICFYRSAFRMRVRGCQKLFTTFPSRAT ATGSPRKVLSVPKPMSGAVSPSVAPSASEVRDSRLSLLANNLARDGKATLFQAESHAG YMYAGWMGGIICLGGALLLLHEDVYDANKELPWFVPAVFRVSAVLLIGFAGWAIGRSA RRISSIQILPGNDKARLVLNVRRNIPLPFIKPQRMTVLASDVTLQRKVVIPMGKQFQA APSLRNPDQSFILRVAKSIGAALSRFFSGARQFIFSDGIIWVSIKGRGGSWKLDGYGR FPENGNRFSAREDRRYGEPAREWRPFETRTHGDIVLFRNPDPTRNNRDFPRCTEKSYE EWKLEGYIESGMMIPTDDSASLAFRNPLVMLQGSTSMVEIVVGEEAAVWYLHEKILTA GSAFFKAAINSRFREGIERKITLAEDENRVFQLFVQYLYSKTFQASSMRLLLRAYVLG DKLGASHFRAHAFDKIFSHNFSQCQFTAEQVLWVFDNTLPGCALRRFIADTIAYSTLR QNLDYGKEEWGTLAPVMPELMEGVISIAGVQDAKDKWTKKSRLAYQD EPUS_04657 MAARIPSRLPGRHLCQFASRRSFATTRYMLDAQNFTMPAMSPTM TEGNISSWKVKEGDSFSTGDVLLEIETDKATMDVEAQDDGIMAKIVQADGTKGVQVGS RIAVLAEPGDDISSLEMPSDDSKPAPKHEAKKQESKAESPQSAQSAEPTPSKNSEPPK SASSSSKPSSGAGQNTKYPLYPAVTALIHANQIPESEIPRIPASGPNGRLLKGDVLSY LGRIQADYSSTQSKRIQHLAHMDLSNIKLAPPAAKKSESKPEPAAAPSLPEIPAETSI SLPISLTEVLKVQRRIQETLGVSMPLSTFLARAVDVANDDLPKPKGAKPSSTDLFNAV LGLNAVPKTSRGGYIPQITALPSPSAPFTSGPASKRSKRKGEKSSDIIDILTGKTSGQ SSRKPGSKADMGITASAASGAMNVFSVTVPTGEEKRARTFLERVKTVLQVEPGRLVL EPUS_04658 MGGRVSRDNSTTPSSTGPSRSRQQQQQQESRQATPPCQPGRRLS PPIPAPPGWNTESSKAGQQLRERVRHVNGCRCIDCQPDLYDNSGSLKTGFDRPCLITS GAMEGDAQFERSSAMNMNSDKPQTPTFDTPPRSKTPPTTAGRSSILGNAEGRPKVPRA GSETLNADQLTRRLKDHDSAGRRTDRTPGRSPSRKRQRIYGDRFIPNRDGQDLQASYS LMHDDGSPTSPSRSKKRAPHGELHFQRTEEANRTYSRVLRNELMGDSVPQAHYDFSTS IHDPSRNTTPPGVPSSSTLPLPASITPTTPHHKNLFSYMSPHKRIGSGHPTPSRTPHS RHTGPINLNANSDLYSVSPINFVSQAILQQPRKQPRPISKVPYKVLDAPELADDFYLN LVDWGSSNILGVGLGSCVYMWNSTTGRVDKLCELPDNDQVTSVSWIQRGSHLAVGTHK GFVQIYDAEKSRRLRTMTGHTARVGALAWNEHILSSGSRDRLIYHRDVRSPDQHCRRL AGHRQEVCGLRWNGDDGQLASGGNDNKLLVWDRLSQNPLHRFTSHVAAVKAIAWSPHQ HHLLASGGGTADRSIKFWNTSTGAMVKEIDTGSQVCNLAWSKNSDEIVSTHGYSQNQI VVWKYPKMEQVVSLTGHTYRVLYLSASPDGTTVVTGAGDETLRFWKVFGKKNKTTGAG PGSDGEGGMGLSGRLGDWGSIR EPUS_04659 MPTRDRLRHWLQGKRDPSPLPPATATSSVLSTTSAASPTGTSTK SNCTVGATTASASAVTLVAASRNQALQQAIEEHISTIPDREKDAFRSAAQKMTDDSIL EQVHSDDQDHRSRSSFRRLSESISRTLNLLSRFTDAIVIGVQANPDISSIIVGAARGL ISVAIGFVSFFDKLSEMISRMNDYLEPLTAYAKSAGDSKLVQNCLVKVYIDFLTLFRS ARHIFVDDHGNTRKWLSWREFWRVQWVPFEEEFGKIEAEMRHHKDVLGDAARALTLEK SFEASQNEQARRQRELVKEREDFLRWLSNYPFEDVQKTTYEKKHPGTGDWLLRQSEFE QWFTKQDSAILWCYGKPGAGKSVLASNVIEHVSSKRALDDHVGIAFAYYSYQVKEMQE NAFIISALIKQICRQNYRVPEGFLNVKRDALAPSQLGNVDSFLKAVEHYQLNEVFLVI DALDECPKVQRPAILKCLRDIVSCGTGHVKVFVTSRPEMDIEEAFKQMTIECIRIKAR SVQSDINLYATEETRRLRQGSDGRKLNLNDPALEDQIIKTLTTKAEGMFLWVHLQLIH LCEESESGNDRDVERALATLPDGLDKTYERSLNQILQHDERRRRLAFQTLRWVMYAER PLTVKELQYALADEESSSGGCEPRTNDMRFLLSVCANLLEVITTHPTYPTEGTVRPIH YSVQEFITTTSDPRMQGQLLIAQHESGEIHTALATTCMTYLMSNLTGLAPCGEYYKLE QRFEECPFLWYAARSFDYHLAQSTHGAALIALAKSLLQQPSKFLASVLQARATVDNTM VIFSSRLYDMVEFHSYWKGRQLNPLLLHAACSAGLTQAVEHLLEVGIMPNSADDNRIN AIYFAAISGQIEIMRLLLDAGAEIDAQGGYYGNALQAALVEGHEQMVRLLIEKGANVD AQGGRYSNDPMGANVNAHGGVYSNALQAALLGGHEQIVRLLIEKKADVDAQGGYYGNA LQAAAHMGHEQIVRLLIEKGANVDAQGGWYGNALQAASCEGHEQIVKILLDAGAQEAG ED EPUS_04660 MSCRRIVNGTFNLDRSTEDIPCSSNLNDGVVPARAVIIPAAVPT RWSETRHAFTIAKANPSSTSSTTPQPGTGSAVAAPRRASNARTLSARPLTRPHHTAAT SAAASSSSTNSVLPSPTSESRSIMTPGAVAGTAIGAAAAVALLIALAWFLLRRRNRGK QALRNGQGLISDQQPQNKNGIGATTAEELRQRQELGQGQDDSSQRPPEMSGTETRPGP AWSELDSSSMAPNEMSVDRFGERMPVEMDEGQKPGWRA EPUS_04661 MARSTAENIAHKHRDPLNNHELVRVVQPNKPVLTHDVRDLRVEY AEWATKEQENSAIFIFVDETYCHFGGHFRNKPKITKPKGADPHLYARFDPAEQFQLMV WGAIGLYEDEIKFPFWIWEPETEEDK EPUS_04662 MKFQSAAAFLLAATVSITLAAPTPGALQRRNIEELYPDFTSQYN VGTGAITYDTGVGLISKSPTNGGQDTTTLVTFNIPAAWSSYNTCRLVFTSATTSSVSG SGRADVFTSLSPAQQSTASWPPGNLRDIHFGRLLAAPGTEATWEQSFVAPDIPCAEIA GHAYGGELVGVYDTDYITWTPGTDGPKIVVVN EPUS_04663 MPVQVWEGANANRPKHPLPTPSFSGHVKTTGSGWETLLRPAGDP EVPQTVETWCHRCKEDTKTVDRSSIYVDKDPRWTIGNHPMYVERKPTCKICADQGRVA RFVPVDGKILSIFQTTLIETWREWDNKNLDRGMYVYLQLMEQVAPSGRTARFAKSRQE AGDPKKALVKTQ EPUS_04664 MSSVPQYLTGDKQGIKAFLDKFDVFLFDCDGVLWSGDHVFPGTV ETLSLLRSRHKQVVFVTNNSTKSRADYKKKLDSLGIPASVEEIFGSSYSAAIYISRIL QPPPHKRKVFILGESGIEAELDSEGIPHLGGSDPAFRRDITPADYQAIAARDASMIDP EVGTVLVGLDHHVNYLKLALAYHYITGPNQATFLATNIDSTLPSAGALFPGAGSMSAP LIMMLGGPGREPLSLGKPSLRGQISLRPQKGVHGGRSREHGYHLWDRGRVGRHVGRLD RCGQ EPUS_04665 MPANATAAAKDFLSFVNASPTPFHAVKGVKARLAEAGFQELKEK ESWAPSCVPGGKYYLTRNGSTIVAFAIGKRWKPGNSISMIGAHTDSPCLRIKPVSKRQ GDGFIQVGVETYGGGLWHTWFDRDLGLAGRVMVRGANGSITQKLVHIEKPILRIPTLA IHLDRQETFSFNKETQLFPIAGLVAAELRRQDGLKADSTDKPSEVDTESEGLNPLRAV AQRHHSHIVEMVAAEAGAKAEDIMDFELVLYDTQKACLGGLMDEFIFSARLDNLNQTY CATMGLIDSVSKSTALDDETSMRLIACFDHEEIGSMTAQGAFSMMLPAILRRLSVLPS STFSESDSEQSYDKANDPESSTAYEQTLASSFLLSADMAHSVNPNYSGKYESDHKPEM NKGPVIKVNANARYATNSPGIALLQEIARKATKTSEDDADCVPLQLFVVRNDSSCGST IGPMLSAHLGARTLDLGNPQLSMHSIRETGGTEDVHHAIRLFSGFFQHYSSLEKTILV D EPUS_04666 MAGRALQLLPRDGHHRTFTASSITLKRRGRRKTSNNDGSADEDP FAILEEAARRTTTPQVIDPATTFRPKPLPNPTMQQDEEHFPTLGKMASKKAQGKQAAR VPSKTKKQIDDEEAAAFLEEATKRVTSQRAPAQTIAPVEAQKNLQRAPQSGVNVRHGV VASIPFRTPSQIREGQPTVFEDEASSVTRLQMSEQSKVPAQTHRISSQGHQAQEEEEN EKAAFVEEIRKHVNYKKMSDLLTASVQTPQLLTPALWNRKKTGEEKATSRANHGGLSE LRGSSAKSPKGMAGPKQTTREALSRKGDSSAKLGAQNAAGSSKATVAADGKAFPSHLT NNRSGEELQKWYKEAVERVMSHERRSEMATSQRDPYSNLHQPAKRKMPHPREPWVFRT SRRTISTKASKSDPSGGEPQHTGKPLESPSCAGSGTKMVEPMVHEVAADNRLDAEAHS SSASLQKNTHLPSVFLEESNPSPVLIDSKRSSGVLSSLEREELSSLLSSLKARDTEKQ YTKPKADVKAFSNSIPISPYQRVQTNEHPVKARARAEAKQRLLDNPWAVLLASPVRMC QATGVRLPKKIMVGWSYVRSPKDDQIYLMPEELANLSQLGAEGRNNSLSNKFSGEGRH QRTLGSDPTVPADVTKSTVTNVSAPSTWSGNGLDEKGQGHGSENSIVAQTTSESHKPN PTISPAKLHMRPSSILLRELSKRMRGTGERAIKTTPGTVNRLVPNRWREQARKFEKSA EARSPQVGFLTTQEMHKVQWHPNIENIMLNLLQSRVLKALEVTVMRNAHQTGIHRRII PLPSVGSGTSEERSTMVTSPGIKQAVLLWLGNEESSRSTSPDSESNTRQSSHPPPAAP STSASSILRFVPHNDDNKVVTSPSGDARGEPDHVFIPIWTHDSTAHATNQSDHTVQNP YAPSTISLELYNATNNHSPTDKPVTYSLPAFDLHALLGESSTIKLHTLSQSNQAIADA FGLNHQNEASGWVMVKGGYGVKGFKKLVQEVWRLWLFVGGRRLEENRDE EPUS_04667 MSLIWVVGILSNLIIVPVVGAITDRSTSRWGRRRPFMIGGAVAA GIGLLLFGWAETVVGYFVREEPLKSRSTIAVAVLSMYTFDFALNTVGSSSRSLIVDTL PSSQQQAGSAWASRMAATGHLLGYFIGSLDIVSILGSGFGGSQFRSMILIAVMTLNFA IGVTCISVSERRLMPSASSQAKPALAVLTELFQHAINLPPRMQSICWIHFWSWVGFFP FMIYSSTWVGETYYRYELPASESASGSHDVLSKVGRLGSLSLVIMSTVQFTAAVVLPY MVESPKGAPGTASFTPRLPEGLSKAFEGGCLHVSKFRPNMVTTWMIGELLFASTMFCA PIVRSLAFAMTIVAAAGVPWCLASWAPFAEMGVEINNMSGLEDSTMMTANGTYQAVLA EDPVEVNDVDLEREVLHHRRHSTSLLRPEPALTEHEDTLTGEQAGIYMGVLNACSTLP QLLGTFVCWAIFSILEPGKDDVSDDDPDHKWLDLRKDAPNPIGVCFFFGGCLALVAAE CMRRLRSSDKAVDACLVQ EPUS_04668 MSQPSNKKRKSNPFGDSHTPSKKQRKQPDAAKDESFTSDPSTLV AEEIPFTLQCPVRSLSKKGKKQAVRDDVFGPQTEDGGFPNLKINYTIRPGKAWTDMKT YRNFSSMFKSMRIRHGKENTDSATPVQNQKFSSGSLVYVNRRIPPPIPPDKDASEAEI LAFDKKNLWVGHVLEVRAASPSQVLLRVFWLYWPEELPGGRRKYHGNQELVMSNHMEI VDAMAVASGADINHWDERIEDQDVGQRFWRQFYDVRLEKTKTGGLSTIREHCVCNGYY NPDNTMLKCPNPRCGIWNHEECLQDAILARTYGRLVGDKNSVKAEPISLPASKMAQLK ANVKSKLGVGQKKKGTAEGLPPLTNSTPDSSPWKGLLKAEIAVDEKGGKTLVTITDER AQHPEVWTEGIQCLNCGTTID EPUS_04669 MSVIFPAQNTLCEVFGSSSPFAKPRLKTHSLVRDHPLKSPIFSA WSAIDNVKDKAGGLTNEAAREFEKASSIAQQKAGAIELYSPKYYAACTVGGILACGTT HTAVTPLDLVKCRRQVDPNLYKGNFEAWGKIGRAEGMRGIFTGWGPTFAGYSVQGGFK YGGYEYFKHFYSQLVGEENAEKYKTSLYLTASASAEFFADIGLCPFEAVKVRMQTTIP PTFTGTFQGINSITAKEGTAGLFKGLYPLWGRQIPYTMMKFASFETIVAAIYDYLPGQ KSDYGKGSQTAVAFAGGYLAGILCAIVSHPADVMVSKLNADRAPGESFGGAMSRIYSK IGFGGLWNGLPVRIVPSSSKMANVSHGRGGAGNIEPDSQVYETILYTDGGIVREGREG DQRDGPFSTGRGGAGNVGSPHTKASNKPHDEEVIPEVALRDEHIKDYHIGRGGAGNEH RDHPKDEHVHESLADKFKHKMMGKK EPUS_04670 MTNEKHPVHLLTPLDNVAPSIHLQKHLYIPARDDPSALLTTLRD ALARTITEVPMLAGCVGPNATGAQRGSLLVEGPYLRAGDILLVKDLRDMLMLAQVYLL HGGLFLFVAIAHTVVDERGLFNILRVWSTRCRGGQDVPLVEPHWTDRKALMQQQGKAL SEDTIANLISPEGEPTSSSKTISGHSVMQSTLPPSSVGPAVFFFSNSSLARLKLAASA TIAGQSSPWISTNDALCALFWCSITRARAGESSDNNIITTVSYFNMMIDCRSRLLPPL PADYIGNSVLATKTHATFGYLLSSDPSRLADVASMLRTSLLAVNDTYIKDLIGTIRSA PNISSVTRPAYPSFQRNVACTSWANQAYYELD EPUS_04671 MAAISLYGRLEFSHSNLLTYIFDRPEDDDDFHSSNVPTIISAED PNRLQMMGLKPGERIALVSGNTIYFPLVALGTIAAGGVFTSLLPEFKTRKIASYLQDT SARYILASKDFLDILLAAADQSNFPKASILVFDDSLKQMELQPPLHHWSTIFSTQLDP PFRWQSLESEAKAKSTTAVIMHTSGKLREMPKARRSPITRSLANWRKHFETSIQPQQF TRASFAITRWANPWD EPUS_04672 MDPSSTSNGVSAPPVLNWGHKYRGATVADLELPPALSTSPHASI SSALLSAYEHDYTHLTVVSEENRALLGYLSIPRLKELLKQRITTEDDPVEKAMMKFRR KGKKYTVITTETELEELEAFFNGGPDGKSPQDFAVVTDGGRKFVLGVVTRSDLEEFVK RRPG EPUS_04673 MSSVSQEALSKTTPPLSTNFIVPHVPIPRNGVDYRGKVVLAPMV RSGELPSRLLALHYGADLVWGPETIDRSMIGTTRKINPRTSCIEWARPITNVPQGMLG FKKENVLYRIDPAREKGRLIYQIGTSNPDLAVQAAKVVAADVSGIDVNAGCPKPFSTS GGMGAALLRTPDLLASILRELVEKVGKVYEIGISVKIRLLETPELTESLVRKLCGTGI IGLTVHCRTTPMRPRERAIRDQLRMIGSVCREYGVACLMNGDVKNRDEALRLATEYGV DGGMIATAAESNSSAFRTLARGGLAPWREVVMEYLKYAMNVENKWGNTKFSLGQLIPG REACYKAVSMSKSHVECVKLLGLDSAEMMRDAEEADKVLGLNEPIPSKQERKQMRKQM KRALEGGDANGNTGAGQNEKKQKQFELGNSTKEAEYEAHQQVAVA EPUS_04674 MSYGKQPSEFSVRKVGAPNTLDFRAFIEKDGTPVSPFHDVPLYA NEQQTVLNMIVEIPRWTNAKLEISKEEFLNPIKQDIKKGKLRFVRNCFPHKGYLWNYG AFPRTWEDPNVVHPETKAKGDNDPLDVCEIGELVGYTGQIKQVKVLGVMALLDEEETD WKIIVIDVNDPLAPKLNDIEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFSGECK NKKYALEVIRECSDAWERLITGKQRPGDINLANVLNESSPDHVDPAQLKNIPRGDSQP PATIDGSVDKWFFISGAAV EPUS_04675 MSIRAKNTEAGVAVAEPMPTEGSQTAPSICSHDDISTSSSESPP EENDGAVLEKKESETPSQSEQLGKARIAVIMLSLCIALFLAALDMTIITTALPAIAGH FSASTSGYTWVGSAYLLACAASVPLWGKLSDIWGRKSVILLANVIFLVGSVLCGVSVT LGMLIGGRVIQGVGGGGLIILVNIVISDLFSMRERAKYFGIVGMTWALASAVGPVVGG VFTEKVSWRWCFYINLPLDGFAFLMLFFFLKLQHARTPFIAGIKSIDWVGVVTIVGGV VMFLFGLESGGVTHPWASAYTLCLLIFGILTLCLFFVNEWKFAKYPIMPLYLFNNRSA CASFASAFLQGFVFISGSYFLPFLSIQSAFVGVFIKKTGRYREPIWFGFVCMTLGFGL FIDLDPTPNWAKIVIYQIIAGIGVGPNFQSPLIALQSTIKPQDIAVATGTFGFIRQLS TSLSVVLGGRLGASSSGSNAEYLKTLPPDQKAIVNIAYTASLQKMWIFYTAISGLAIL VSLLIGKKVLSKTHEQTVTGLQEQERARVERAEERRARKEFKEASRRSGEAKGSEV EPUS_04676 MDSLEESPAKRQKFSDEPIDLTSDGESEPYSPPSETPVYETVAT LPLHSTNNNGYTQPTQIIDRSEQNSSPTPSRSVVQVAASSPAPTPVKRAGGLLSSAMA PAGTAFRIPNGVVKAPPQACTAVIDLSDDDAGPRYMGGTSDEDESQLRRKNDIKPAKF IRRHEERIAESPQAKKPTKDIKSVTAGAYYNPATSGRSQGLQGSVYDSRNRNATSTKT IVTGGAFTKSSSDTMSSAYGSIRKLPPKVIRQVAPSRAVPVQSSEPDMSLSDIDDPQE RRKVERMRVVLHNNSVRDCHQALLAKGGNHDDAVDYLAGLNERRDKIRTPGAGKVVDL TSEDELRPTPAAPKMRQEIKAPAKSMWEKFGGSTQNSKAPPSLASGSQENKLVPKISG QPAKRMRKLVRGPRPRSSSPAELKPKLQPLARKPARVAESSDSDEAADAESSSDDEDL QTRLLSYFNKCSCADLADIAAITPATANYILSKRPFKSLNGVRSIPAENAKPIKGKSR ARPIGEKIVDKCADMLSGYEAVDSLVKQCEIAGQPLAAEMKTWGIDIFGAKEGELNLV ALKESQSNHDSGIGTPLSELSEETPEKKRRSNFISQPSIMNNDRQMKDYQVVGINWLH LLFKNGMSGILADDMGLGKTCQVIAFLAHLSEIGEQGPHLVVVPSSTLENWLTEFQSF CPSLVVRPLYGALSERVVIRDQIEQERANINVVITTYTLAKSKEDYPFLRTFGFCCTI YDEGHFLRNSTSLLYEKFIKIKSRFRLLLTGTPLQNNLQELISLLAFLMPNIFKDKKS DLQVIFDHKVKTTDTNHEALLSAQRINRARSMLTPFILRRKKHQVLKDLPKKISRVEY CSMTEVQQSIYSEQQERAKDVMDRRAAGEQMSAKDSANILMKLRQAAIHPFLFRRIYT EKTLRQISKACLKDEQWAHSNPNLIYQELIAYSDMEIHQLCAKSAALNKFMLRNDEWM ISGKVEKLVELLKRFTDEGHRILIFSQFVMVMDILELVLQTIHMEFFRLDGSTKVEER QEMINEYCDEGSTIPVFMLSTRAGGAGINLAKANKVIIFDSGFNPQDDIQAENRAHRI GQVKEVEVVRLVTKGTIEEQIHIMGQMKVKLDERVAGDEDAESKKELEKREAEGQKMV EELLFQKLECGDEVAMKEAEATVTELTD EPUS_04677 MTSRRITSQEKTPLERDAGSSAKSDIAPAVPALVVYKLLGFTLG MVVVPIGTYFATVDLFFRGNATYAGGLAAFMANVVLIAYVIVAMKEDQSEKMEAEQKA KKAQ EPUS_04678 MTDSNMTETRPRPKSVLSFESKRSRRSSGSSPKLELTESHKDKK RLYTKADPSMAMNEAQPAAVALEESNLDNIRKITWKDAQGNVIADPDLSNPTRSRMER PLDTIRSFEAAVEGTYNSRRSIPSRPVSQATYNVASRRSSYFGGNGGNKNGRSQQPGG YYQNGRSYGPRPESVAEDYYEGQDPRHSYPPRPMRHGSSHMMSASSPENIYPSHGHQP SYDTFTTGEGSYGTDTRGNSTDPSSQNSSVDRFPTKPEEYPSDNNQAIGYSNGPYSPV SPVYSSEHSFWKGANGRPQAPYGGTEYMNGGGSNHQTQKPAVLRKEALSPPSAPIKLD TGSDAGSRPTPPKRQSWLKRRFSRKGRSP EPUS_04679 MVEIQPDQLMEGGLPPARAGAGGDGLLRLTGGSKSRGELSFRGG DAPSRRTGRPREKAPSGRKAPSVGKAAYRGGQFGRAGRSHMDYGGVDPSNPAVGRQLD PAVSRRQVTVRGSQPSAARSIRSVPSTRSISLLERDPGEAVLSPPAADGMPLRRPRST TTQPARSRCQKCGPRLGSLLCLGRRFTSPTPSPTRSCPSPALDPRRPSNSIPSQSLRN ATMANPPKGPSDISLITPPRLERPGSSLFRDQTAPGAGCQRDKSRAVQPSQKSYEVRS TPPYASLDDSIGDQQIVRAQSARSLQVVPLYQGATAPGCAARAGTAQAGKAQVGTIQA DPSRGTAAPERQISSAMAKKQKSTSRAGFQAAAAPQSGGAGRAIEVTPPAGEIPASRA TRPAERVQTRPVPYLPHFPEAPQVGETTEPYRSLTIRTSIKGSSSSKKKKSKKSTTAQ PQAASIESVKSQSSLRRQRPSTVRSDAASVPLTRSQPSLKREQPSVAQSETARITSLR TQSSLRREQPSAAQPEAVSIILARSLSSLRRERPSAAQSEAASFVSPRPSSSTSSIAA AEPLSFNRSKGTSVVQSPSASTGCAGCPTSSGTAGQWRGADELRQDRFQDAVQAAKTW ATSDRAPANRTRGSRTSGDGSWRDSDRFSQQSSPSTTLRRSSISGRVTPPKMISVTER IRRQEEIIRAQQASGFRATIGSPNSPATRPVQPSSGISPDVGASSLTQLDP EPUS_04680 MNSSEFASIRFGVVPSLEIEPCSICLDGYPPQGIGATHAVCQHT FHIRCLEAWARTQFNKRQPTTCPMCRGELDTPHSHARFNSQLTDYELEQGLARIEYQR RLWSRPRPHTLREDAVSSARHESSDGAAASSSSDGSGDPRGYGAIDSMEFSDSRVRDA LHGRSPDGDDQLSTTSSDLAMGISFWACGVGALWGWFTADIR EPUS_04681 MTGPKKKTTCNGANNSASFTTWLIPWAFQNDPGDPGREFVKNFF PTATANPTAKAVKLCNLALEAWTKRQGRKGGAPQAKVSIKRAEEDISIGKCNVLFRLI MPDKTVWAARVRNLLADEDVTIPLLESEVATMKYVRSRTRIPVPEVYAFDLDKNNVLG TPYVFMEYIDGLPYPYPFSERKVMTDKDIRKVHDELLNITTQLAGLTFDKIGMLQFDK TKPDGVVIGPIIDFKYRVYGPFTTSRDYYAARTKLVQDDEGRKKMPADELVTPLLQTQ AAPHAACAELLRGPFPLKHADMHWQNMLFNSRCEIVGVIDWERSHTVPLESATVLPFN LGEYAGRDWSDPTNHRLIQKYEDMALKCFRRKAPQCPVTKTFGTPQRKIAKCLDNYNC PMTHQAHAAELKKMIAAAASSGAAEPKSRSAPPTTGRDVPTQGRPRPPRKMPLPRPWN IQERKER EPUS_04682 MSFLGGAECSTAGNPLTQFTKHVQHDNTLQRDGLVGRSPAALEE GLRSRPATNGSDQMNDFLLSPAAPPPFSMERMRMELPPMLSPTQRTPSPSWAAEFDPG EQARMERAFPAQQPMGGLKANGFSSADFARFQQHSRPDLQRTASPVARSSSAMNGYQR PMGMGYMEGMGMGMGMGMPTYNAVNMQPQRASTVDTKGKSRMVELDDSSWEAQFAEME NLHQDQDALSDEAIRAMEPELNEFDRSVQPETDHYGDFESIWQGIQAERESRQMAADE AEFGNAFSNEDFAAWDNFDSSLGLNTHSNPLEQDPHLGDYMFESQNPFLENANASVSA FDQGVQILNEHGNLSLAALAFEAAVQQNPDHIEAWTLLGSAQAQNEKESPAIRALERA LLLDPNNLDALMGLAVSYTNEGYDSTAYRTLERWLSVKYPQVHPPDQVSEATELGFTD RALLHERVTKLFIRAAQLSPSGEHMDPDVQVGLGVLLYGDDDFEKAVDCFNAALASTE TGVVNREGQVHLLWNRLGATLANSGRSEDAIAAYEKALTINPNFVRARYNLGVSCINI GCYPEAAQHLLGALSMHRVVEEQGLDQAKEIVGDVRRPGGPAGNGGTGHGSSIDHETL ERIIQQNESANLYDTLRRAFTGMNRRDLSERVGSGMSLDGFREEFDF EPUS_04683 MECAVLCNILGRRFGRFGRFGRFGRFGRFGLWLLEEFGLASMIC VKPSYHVCHRQKLIAKQYFSIKVAIYSSAITSTMFQLRRTAFRLLTSQPKSFLSKPRS FATTTYSVFRYSSKPASIAFQRRWASSEAEAKEQDEEEPAIVPEEPTPEEQVEDAIHS ENAAEQSGSEAAVNEAAASSDVEPAHQSGEGIRTDTAPSASIPGDESTSKINAAAESI KEAVSNTTANVVETVRNAAGMGSGSAGSTSGRSDSAGGRSGGALVEPKSTIYIGNLFF DVTENDLIKELTRFGTITKCRLIRDSRGLSKGFGYVDFETIASATKAIETLNQQLFEG RRLAVQYAAYTSRQLDGERTGFNRAERPQKPLNPPSKTLFIGNMSFEMTDRDLNALFR GIKNVIDVRVAIDRRTGQPRGFAHADFIDVKSAMEAMKVLETKETYGRKLRLDYSYGP SNSPRNTLGADRERGRRNEERGEQQDN EPUS_04684 MSLYSITLWDSLKFEVLSAQEPELAEETLLVLRAIAACLATSTQ TSSTASPLAQYLRPITKECIEHLQEPAQRQAKASGDILKSVSSASPESFNLVTKAVAA PITTIYQSSSEVAKHRALLQVFGQLFDSAIEVFGTWSSKRALANSENPLEAFSESLLE IYSQALMSTVKEEVSFRVAAAQGLQKLALISDLLQDNEIGMVIQHMNEVILREESYSR DDLKKTAMQSLAEISKHKSRLIMDITFPAFMAQLPDTDSEAEARGDYHTTLEGLAEVS VEKDVFDTLVRRLLNKLDVLLNTHNVDSPKYTGALLSTLLFIMERRGLQNDPNLEGYY DRVVVGLVSRATTAAAASNNLTALNNVTVLEVLGRVSNLIVRNASLDKKVTASANVYD LFSLQSGLERLSSAPNGSTLQSIWILSTWLLAAIPRDLQAPLLEAAKISVTLSSLLAL IDTDIEPVAFLAISRQLALYINKHLPSSALDIATSWLTTFYNKVRLARGGQLDHGQAD SEQSISRIVRTIFMLSKALILRLSPDTNAILRDLLSLLDSSKHPLPVNKFAASSFGGL LAPDAVLSKTNGAQIRLLAPQKVFQTLAPLISAGFKSSSQGDEKENYLTALSGVIASI PSDIVVPELPTLLPLLLQSLDLSDQRVKIATLETLAVVTARSPSALEESGHIPSLVRR LVKTASIPKSGSYKESEPDTPKARQLAVRCLFLMPGRIKGSGSRTNPLLPLKREVLQG LMKVLDDPKRDVRKEAVDARGAWLRGVDDVEDESD EPUS_04685 MRRPSLSDARDAKDVAASIVPEYAQSWDPVVERRVVRKIDMILM PFMWIGYGLVYYDKAILGGAAVFGMTSDLSLRTITSPPSLNPPVISTTRLSWATSLFY FGMLAGLYPLTYLFQRFSLGRVLCVIVVAWGGIAMLTAAVTSYRGLFAQRFFLGFTES IMPTAFMVVVSGYYTQAEQTMRQSWWYSATGGWTVIGAALNYAFANITGGALTRWQYL YLLAGAITVLFGVCCFWLPNSPASAWWFDDEEKVVAIERLRMGQMGVRCQKLKWGQIL EAVLDPKCWILGVMMGAAYTVNGAVTGFGPLIVSTFGYTAFEALLWQMPLGAVCFVSI LLTGYLSLKVPNIRLIMLMTCCLPVIAGCAMIWKSTWSHHAATPIAGYTVIGFFAPVT SLTVSTGMANVAGATKKSYMAANIFVFYCVGNIVGPQLVKSQTLQRHYPELWLGIIIS YCLVIVLAVTLMFILRRENMRRERMQLDEKEAEKTAFEDLTDKENPHFRYVY EPUS_04686 MSIVESNSKGFNSPFEVRSYQGGSDHQSIDSRSTTNHNGTRSHL ARRLFDNDRLDRKLKRHHITSIAFSGTIGIGLFVTSGQIISISGSGGCVISFVVAGLI VIAVMRTLAEMVSVRPLSGALIDFPHTFVDPALGFAVGIMYCLAQCLSMAALTSAAAR IADNFLPDGRTLANEQKAGIITGLLIITLLSNACGVQLYGRLERVVKWLKYFIIIGVC VLMIIINVGVGGKSFGTTNYTQHAMAPSLRWAGFNSTADAEEKSSEFGIQGHTGRFLG VWTSTTLAIFACMGGDLVIVTAGEAEAPRRDLAPSARFMYLVPISCYIFGSFLVGFNI NFMDAKLFHPFASWNYTTSHSPFIIAVGYTSIRVLPALLKACFLFSAYTAANTGLFAS SRTLFAIAQLYGNDFIKRTIGTTNSGHTPIAAIICCSSFGLLAFLGLADGTYNQPILS LSSFFVSTLACVYISECIAFLRFKKGLTTLDEKDVFSRDEELYRKRHYRAHWQPLCAI FGLAGCLLVVMFSGWPAIYLLKNYDAVKPDQSIKPQLMLIGDLLGAYLGPLLFLSLFI IYKFVYGTEFRSLSDFEDAYFLPNFDQEPLAKRPHGFKAWIKEIWSFVK EPUS_04687 MGSLQRPSFWYESWMVGKPEAPATSARKRVAEELLLAIKDHVYD TQTLDEITLLINHECLCHEVENSESSRFIFRSALDIPVISQLAEQLSSCGVKYVNASS HVLRELQLRALLSVVAWLHLRGVTAHQADSHARWQEQINQLYQDLVWLPEEQTGATQE AFRRAQCSYLLSLVAAQQFVKARPRIKDALEVAGDLMQLGVVAVNIALGFGIGNMNNA VKDLYGNFTRLLDSTRHAEPSDNRYHDLFKMQGLTRIAVALEFYSRIGAQSVESHKSN DDLHTKALKCSRAAADVALEQVLRVLNSEDNGCFEADLRRPSKFREQLSAVLDRGPAS LDRYNYFYGILDCATQLASITDPERYPAGFEERMRLIVARSRVANFRWKALEVLLANP SSRSLQSQLVLTEAGQRSGPELQKQLRDEMTMVLECLGDSTSISITESSSSRQVMDRL DWIERNVPTSGITSEAQKFSVPLPRGSAFHRIRFSAAGLSTECSHAYFLNEKMVLIYS LSNLGEQPGMQPVFRHAASELKYAAAALSERFVVLLVEESRVKSIRIFRYDGQMIDLD TFGMEADRHQWNPNNLITIHETDDRTWIAVGGWVRQEGAHSGSIKMYCIHGNNEIATL TRQPVSFTRPKPNPLTMDLLKTLAFSPDGKRLVCATNNNRILVWRLSNNARPDGAPFI LKKDITTDMTSGGISSTSLFESSLSHPYVLCTTLPSLERVVHKGEWSFVSPIGSAQVR VHERLVHDLVQLRKSGSILAGAVCPCVGIIALVERLGKHQARIVIMPMVAEEGGGLSA LNPVPLGGGTLAIQDHEKFKNFPTALRFQETRNGYCLVAVDIEGKVVKKAWKNPIGMG EPUS_04688 MLGSPLYPAACLCFALQTYARTVSYDWRLHWVSVNPDGELLRPA IGINGQWPNPAIEAEVGDRIVINLHNQLGNETASLHFHGIYQEGSNGMDGSPTATQCE IPPGVSFTYDFVVNQPGSYWYHSHSSGQLADGLRGPLIIKDPKSPYAGNYSEELIVTI SDWYHDEAPSLIPYYLSSVQNPSGAEPIPNSSLINEAQDIKLAVKPNTNYLIRIISMA AFAQAYVHFDQHEMTIIAIDGIYVRPRTVSTVHVVTAQRYDVLLTTKSDKERNYAFFS NLDQAKFQSIPGYLRPNATGYLVYDASKPVPPEAPTVGRYDIIDDFTLIPCDGQPLLS GPPDASIVLNVGFFERDGQNRAGFNNITYIGQKVPSLYTALTTGSDAEDPRVYGVNAN AKVVKQGDLVEVVINNFDRSGHPMHLHGHAPQIVARAAGVFLQNGTLSAKGYTGDIGT LPKVPMRRDTWGMAPGGYTVIRYRADNPGVWLLHCHMEWHVAAGLSMTIVEAPLQLQQ SQRINPAMEDICKSQGLATAGNAAGNTEDHFDLSGANTIVEPNHRGALVDEDYNN EPUS_04689 MHSTKLLVSLVWKVARPLALRGMKHFLSYGLANFDQGTKAVRLI NAGYGYPAINDQMYPIPNIASSTICAGPSSTAYAYQTVVPQRTFQNVNQWTGNLKYEV ECQRQITGTLSTGVYLTSFLSGNSFNSYEDCLRYCQYSNENSDGSCRGFNYDPSSGTI CNLYSTVSSVSAQVGVSGGRWFGGGYSNATDRPAGFVSVNQQ EPUS_04690 MVSVLTVDELLVVVVVVIVVVVTGKELLELLLEDDGEEELVVLV VVVTGIGLLEEEEELLLVLVAVVVTGKELLEDEVDVVVKVVDCDAELLARLDVNVDML DALLDMLLDTLLDVLLDVLLDVLLDPESMLVDELEDVTEDVAGLNDEELMLEVKEVEV NELVELGDGRLDVDMLELAELDDEGLADVEPVEIEPLDTELADAGPVDAEPVDAEPVD AEPVEVGPLETELVEVGPLETEPVDAEPVEVGLMEVELADAEPVEVGLTEVELADAEL GEVGPVEVEPVDAELVGAELVKIELVDAGLVKVGPVEVELVEVEPVTIELIGLDDERV EVTKLVRLEEVLKILDVDVVKLELEEKLDRDEVMVELEETVGVVKADVVVGELVRPEV VDTTTEVELEPEEELDAAMEELDVVREELGVIREELDVSEELDIIKEELDVIEELDVV REELNVGKELDVIEELDVGEELDVIEELNVGEELDIGEELNVIELDVIKEELNVGKEL NVSGELDAGRKLDVVEELANDEMATEVTLNVATLENTEDELEPSVLSVGREEEDVELI GAAELDETMVLDDKVA EPUS_04691 MSPSPTMGLSLSWLFTYLSAVVYLVSAQNLTHTVAVLPDGHICP TPYLTTVSIVPVYVSTYIPGPTQIEIFGDGNTVIFNGPTTIITSTIITTTIYPTATVT TGPSITGPPNGGGMSSGGSFSGSSMTSIPGSSSLSPGSSSGPASTSGSGSGSRSTSST SLLSGTSSSGQPPVTSPSATPTTSSTTFSYSEPTATLGQGLPTGVFTSLPEGQGPVLL GFAGRIPNRVRRQASASPTATATGYIQEDGDAAPGCGYAARWSIEGGMLMSDNATVGK ARGALYAAIKPSETPMEVNTTFYFTNGILGWNTTDVGPASFYSCGGDAYAGFPAAPFS NCSAITIGGVDADVCPVDISDMEPPSSTSSTVQSSIGASASSNPSPPVTSSSASASTN PSTPVTSSSASSIRSSTGMGTSSMSSLTSSGVRSSSQGTIFLNQSGVIFAANVDYKNN KHCFPYFSDQFRGCKQLFSFVCKSIVTGEQSTGNVFILYYSQWPFFADCIPSVHYVVA EFVLKSIIVCTRKSFIANDVLFRILLHIGIVILYEFLVHTGQLCISNEYFSTFVSELI VSKRIKLYSFANNNTSSLVKYGFRCDNKWHFLSVSDSGSLLR EPUS_04692 MTIPKIDICCSKCHVVLCSSSNEWKRLEDVSLITPVQKTWLQTI TIAKAQGFLPLEPVPADLHNDIVSEATCAKCGSVLGQGFGDVFHEDPIVNSEQIYFVD SAVILKNSDTPEEVSPIVTENVRKDMLRAARSSSQDRVIHDQTPTVSSPVQTPCQEQS QTLPAGQEVEKVLSRFTQQDMGIKKLSRDLESLQNRMLALEKSMTDIRTYSRAASIDG PKSGRDMFIDNFEAMFDALKDARSAAITIEGLRSENEQLKQRLSYSAAPTISETQNEP SESVVETASDIPITGALEPVKEKRSYIRRKPLASRKSTTRAAPGSLGPESGFASNHDE DDASYIDSLTALNQISAAMAPALSTSQAQSGASNQHFSNHLGEESASLLSNANYIPAI GENILTTQPRKRRRTGDMLPNREDLKSYATKTRGATHAADLSSDSGSRYQSREPLQIK AESSTSQETGLRPSIATQLQNAADSFNIASTDKMMIDPALRSTGAPANPNPPALAVLS SIENPPAQRQPMHPAPQARTVGGPQHESDQERRIREYKARDALRKRKARAASTGKKKI VGEERFKQEEKIRARDKMVRELMEREEMLENDSDL EPUS_04693 MSPSKFFQPYMVRLQYTPPYSTTAPTVREFLSTVCKPYLSFRKP TGRRLFFAMGSLSSPDILFPTSKSNAWQQPGPAAYDFRSDTITTPTASMLTAIENTTL FDDVFLEDPTTTSLESSMAALTSHESALLVLSGTMGNQVSLRCHLTQPPHSVLCDRRA HILNSEAGGVASLSGAQVMGIMPSNGVYLTLQDVKRHVIISDDIHSCPTKVISLENTL SGTIMPLSEVRKISAFARENNIRLHLDGARLWEVTAAGAGSIPEFCECFDSVSLCFSK GLGAPMGSVIVGSKAFTKHARWVRKSIGGGVRMSGIIAAAARAAVDDVFGHGPNGEGG KLRETHVRARRIAEMWEKRGGRVSRPVETNMVWLDLEDAGVSRDEFVEVSKLHGLKML GGRLVVHYQICDDAVSRLEEVVEDILTSKQKSSQSGAPRDGRYVACAQ EPUS_04694 MSPSSSSPPGDSLPEPQSSRSIAIPSKHPLSSSSEATTRCGCRC GGCTCSLRTCCNPGHSVADSNREAYGGQGTNIGAASNNVSNLNASSLEAQGPKITIVA PPSLEILSKLNECTPRQLAESRTEQYLDDVDDDAPWLQFLSQPSMTSDAPELSGMFFG APDMEDGSMENNPASHGSGSMLSSYVSGLTSRGEAAGAIVQHAGAALTNASGRSNSGN QRNLYLQVL EPUS_04695 MPTLRQLRCEVEWGNTQTPFPEYGTTYGDGVVETYIAIPEHPQP FAVRLRSRKYIAEGLAMLIFMDGDYQCNRNRLGLQRPNPGVPQNMTEIDLRVRQRERA LGYGHYLGREWRFDKHNILPLDKVPPNMDRQHFEALGTIDVFVLRCRSKEDIEPSLNA SSDVDDSVLQTSEPSSSETLTEEPAPPSDTENAQVAEPEADLFLGFMNDGAADIHQFG LDGEGPGPAEQKAWSWNAPYPLPVAPGPSSGYAHTQPPPAQTQPYIGYAYPPGFSQPW QPGPAITQDQSNAQRPQRHVHFNDQLPQQPSGYGAPGPPYGPQSFSANAGQSQGKEHP PQPQSHGQTQQTESHQVPGHAPGHNNYANPSLAHAGMLSSHGQVGGYSLAPDPSYPPP MSNYPSTIPSYQPIGYGAPAYSGWSTSAGYLPYGQPNWQGQHVPGSSYLTPPNYAAPY QTSHQYPHPQFAYPVGPAAQVPLSTTSHPGGGVWGPPPSKPGSQDPTQDGTNQLDGQE TTGNAGGQPNDNNSQGCGGNDTNQAQAGDSSWNNNDTGGGQTDNWNTTATQTEAPTND WNQSSNNTGQANNPDSSWNENPNYTHQVQDSWNNNANSTQNQDWNANNTQNQDWNTNA NTCTQAPEPWDTAPATAQANQTSPTTAQHGTAATPAQFRPLNGPHGRYYGEPHKFIDE DLRPDAGEEPPYDVPEDIPTTHQVKPGPAFNYVHKRRSPEYLDTVEEPYARFIFKYRT KEADDTWDVEQIERAIGIKIEDEPTGDEEKRKLQEMDKNEIIDMLLRAKYESSFPDIS VLGIRQSDYPSLLANQKYEEGGEVNVELEAEAKE EPUS_04696 MESPSWMTTVVVGIDFGMRTLLWHIRQLQNGLDPSVGYDANKRL LDEWLSEVGFANYYNRKIRISQTEAEAAAVYAAKQSFTTGNIIIVVDVSGCITDINIL EINKHSSERTQLVALNKAEGVNVGSILIDGEAEQLVKQRLHALNVSGNDDDIHWLAKD MLKEVNFEAMKCAFDGSPTQISTIMTIPPEGLPAARQGVPQKIKITAAELRTFFDRQI QIMFESIHHQIRELIREKPNVTVNFIVLSGGLGSSGYVRSCLEAEFNNSKVLCAFEPQ LAVAKGLIMDRTQALSRGVVTYGVLNRGLCDNGVLSGSCMTFPPYEA EPUS_05613 MAEDPLSDNYQMLEELGSGSFGTVYKAIEKATGEIVAVKHIDLE STDEDLQEVQAEISLLSTCASPFVTQYKASFLRGYKLWIVMEYLGGGSCQDLLKPGVF SEAHIAIVCQQILLGLQYLHQEGKLHRDIKAANILLSQSGKVKLADFGVAAQLVNIRS LRNTFVGTPFWMAPEVIQQSGYDFKADIWSLGITAMELIHGEPPNAGKHPMKVLMEIP KMPAPRLEGSQYSKDFKDFVAKCLVKDPDQRPSAKELLKHKFIRNAGRTEALQELILR RQEWEAGLEKKEALKYYAETLRNVRLPIQGGEEEDDEWVFDTVKALPTLHHTQKRRKL TTTEIGDEVKAGNYKEETMKEVKRTQHPQPSTMKRLSSPLLPPSSSSSVNRSSTKKRI SSGQQKQPLGVNMSFGNSPSTIRQFRRVSPAAIENDDYPKDKDSAATPSPSSLSPSKI RNTAQSTASSLSIADSENVPPLPPARHTSRMHSGSNGSTPDTSMTQNTVTTSSPPSIT ASQSISPVPVPFGLAHYLRIHAINPSIQEIFTRTTTATSGDSNKQAVLSHLMEAFSEL ESVDPEALLQVFGGIMRRVGRDERLRDLLEDDTGRTSPQQVSASGAGAGAKLVLAQNN PHLKSHHRRRQSAIVQGQSHAEQQKFAGVGQRAEIMSKDPSSARSFGKGREGKVTRGE DEEEKEDKERGIDLEQMKELRPGREEESENGNTKGMEHVTLLGDVLFERWCEGLRARW SGNSGVV EPUS_05614 MSYWRRPDPPGTINPAKLFEGAGTSSGTLFGASDTLKAPEAPQS SPSTALAAKIPRSVAPPLPRISSDCEPEHDIVVRGYSSHRYIQEEFEDIQVVKYWSPA VKLRHTSHRGHFRSHTQNGVRIRVPVDLGAARDATVVIHRATASIYDAYLLRADIMKN VNNFRRHQIVFSPETKTYTLLIREGRIGLQGIGRQEIVSTDVRVVMSRFRKIFRDNTG LVWTNRYEPPRLMDEKFVFVELEYRGTVARPKEFPNYAMLDVKVNEEVRNLMEDMLYG GPVRQCNGGENPGTSSFSAFSAPYEQLSPWTIFLAFKTLERIWKHLEPGRPIRWKLVL RESSRYRSQIPFCAEYDRPPVISSYHAIFLELKFLHSLWPRQDIANSMIEIHRRGSLQ LNAYKALAQPLYQAYSSLRHGFRRLTDTSTSEFRELKNYLENSCHHKHYLTMELKEIY RLFVKTNLPNPYRTRIEAKQGIDVCDEERLLLWHGTPLDSLLGILDLGLQIRRRGSSW TGTMFGNGIYLADASSKSAGFCKHRLWNGEAVLLLCEADVGLPRIRSQHSMYNGHDVI QRSGGLHRCIEGLGKVGPMKWKRPDTTVPYSDTYSGGTLGFNEYVVYDPSHVLIRYLF RVKVTGIS EPUS_05615 MGCGKSTERHATAGHEQQTTVGASSGDISSSQNLGLARVSGSTR NTGSGRGAASHDAGAQPPRGTGSDPVPSSARPSAPGAEPSVLSAEISQLSLSQGARAA RGSRPLQGSESYSQGAPVAEISAPQNLEVAHGPIHPQETRPSSHELARLSSSSQGEGS PHRSSPSTTPRTPQPPRASSALMHSQLARRSQSSSTASLESRAGRPPRSSSSHALEAK AGPQTRASSSNSLHTQAGPQTRASSSNSLHTQAARQPLMSSSASLKSLEESSWHLKNS SSPSLEELFDALERPSTSSTSRQAQQSSPRGTPRARQRPSSSRSSPKAGQPSETSSQA PRRTRPRFEPTPSQGELARYFSADPVVTLPAEALTFDEFGGSDHSST EPUS_05616 MASPSSTLRQRGGQKEKKQMNGKTDHADAYLDNVIESTKKVVTS EWDYKIALLIITILSFITRFWGISHPNEVVFDEVHFGKFASYYLQRTYFFDVHPPFGK LLFALMGWFVGYDGHFLFDNIGDSYIANKVPYVAFRAMPALMGALTVPTVFLIMWESG YSLPAAVTAAGLVLFDNAHIGQTRLILLDATLVFFMAVSVLSYVRFYKFRHEPFGRKW WKWLLLTGVSMSCVISTKYVGAFTFFTIGCAVLIDLWDLLDVNRKGGALSMPTFGKHF AARAVGFIVVPYFFYLFWFQVHFSILYRSGPGDDFMTPEFQETLSDNAMAASSIDIQY YDTITLRHKETKVYLHSHPDKYPLRYDDGRISSQGQQVTGYPFADTNNHWQILPPQPF GEDNQLGHPVRNGDVVQLRHLVTNTMLLTHDVASPFYPTNQEFTTVSLDLAAGERHND TLFEIRLEQGKAGQQFKSVSGHFKLVHHPTKVAMWTHTTPLPEWGYKQAEINGNKNVQ QSSNVWFVEEIPSIPAESPRLVKETRKPKHMPFLKKYFELQRAMFFHNNALTSSHPYA SQPFQWPFLLRGVSFWTQNETRQQIYFLGNPIGYWIASSLLAVFAGIVGADQLSKRRG IDALDERTRSRLYNSTGFFFLCWAAHYLPFYLMGRQLFLHHYLPAHLASTLVTGALVE FVFNAEPIIEDETVLTKKDDLKKKVPEKARKAFQKASERLKGQSMLATWAASGVILAV TIWGWWFFLPLTYGKPGLTIEQVNARKWLGYDLHFAK EPUS_05617 MVDLISAPDPHQLLPPVLACLPTAFASDRPPPALLDLVSPILRQ RLQLITSTYTSSSESWLRLLCWDQEKGKALKDVVEGGTYEPHPSSGEIEIGEVGSTKY KRLDQDTLRAQIVLPEWNLIAVYLWCAGSEGGGGWKLAELLPWDSDLELDPSWSHSIA EANDSSRDHTVSEAIRDPDAGEQTPVGEDDDDDYWAQYDKTPGRTPAQNSSPTPNGSA AGRRISHSDREYYAQYSKVQPVMDSHDLSEVPNQIGSSSVQGDILESIMRRQSGKKEG PGPMQRETQGMQTGVAENMLVNHPQPSPPSSATLSAVARLEEGACQQSASEIGIRQHI STTMKSLYRLASSAGIERDEFDRIIHRELETNSILDT EPUS_05618 MASRQDELQAKKARLAELKRQRELRSQDPSTRRQSNGTTSEEFI VSSSKLGERRAQVEELVSNLIGSHKETAEVNRNRRTAQDPIAYTPFQGPAESVKVESI HVQRQSVSTQTFSTGPTSTVFEVAPDPIPRKEYITYDKGVQFPNPDEPHEQKELDDEA ESLARKRQSQDDQKREEELRERLRRELERESSVTMQKVPDKDSIAQQRPPLRKLTSDQ LHAMTSTDDFLSFVERSSKVIERALDDNYDLLADYSVSNGPEDGISDEENLSFTRSSR KSHTLRQTQQFVDSKQSRRRQISDLQFSPHFPELLLSSHTKDPTAINDPFGLLMLWNV HAPSRPEYTLYSQGADILSARFSPFHPNLIIGGCYTGQVCLWDTRAISHSRGAPVLKT PQSGSHLGHNHPIYSISIIGTPNAHNIITASTDGVVCSWSVDMLTQPQEFLELTTPPP ARTEDLAPTCMAFPPSDPSFFLVGTEEGTIHPCHRYDRAGAKAGVDTKLAYKGHTAPV MSSQFHPAKGPVDLSDMLLTASVDWSVKLWRIMPATSSSSVTTAVGSTSLASGGPLPI TPVLDISREDLVYDAKWAPQKPSVFACVTGAGELEVFDLNIDLEVPVAKAAPFRRKGG ALMHGLNKCAWEEKRGGLIATGGLAGVVTLFEVGNALSGEARLDEWTAMKKAITKAET RR EPUS_05619 MASAAIHQPQGHSTSFEPFPAKVQATFITQSIKPHHINTSLNYY KDPGDGSEPAPTYVGKPETYERPVEPLDVTVHDIRGEEKKYTLDSTGFQIHGHKSAEK DFIDDAQIKAVYYPETEQLLKDVTGASRVFIFDHTVRRTPKDPQSPATPLRGPVNRVH IDQSYSAAKSRVPHHLPDDAEKLLSTRYQIINVWRPIKKILKDPLAVADANSVPDSDL VGIALIYPDRRGETYTVKPNKEHKWFYLYGQTPEEVMLIKCFDSKLDGRARRVPHTAF VNSATIDEEARESIEVRALVFHEDQPTE EPUS_05620 MSDVESEPLLRPDTFGHIEPYVTAEQLQHAKIERAIDNDVLPDT SLVGRNIGWGSAYILVISRVVGSGIFAMPGTIVQNVGSPGLALSIWIIGAFVAWAGLA IDMEYGCMLPRSGGVKVYLEYTYRQPRFLTSTLVALQAVLLGFTASNCIVFAKYTLFA IRVQPTDLMTKLLAVGLLTAITLVHGCFYRTGILIQNVLGWIKVVLIVLMIMTGFFVV LFRAQTLNNAFSSANITFWDDLWKDSNWAWNNLSTAFFKVFYSYAGLSNINNVLNEVK DPIRTLKSVGPAALLTACIMYLLVNVAYLAVVPLEEVKQSRELIAALFFERVFGAGFG NVFLPLAIALSAAGNVMVVTFALARLNQEVARQGFLPCSKLLASSRPFDAPMGGLIVH YVPSLLVIILPPSGTVYAFIADVEGYAGQYFAVAVAAGLLILRIRKPELNRPFRAWLP AVWLRVALCLALILAPLFPPPKGTSDVSFFYAAYALVGIAIIAFGVIYWFIWTIALPR CRGYRLENEPDILGDGTTITKLVRKDC EPUS_05621 MAPSAIPVEYTDGIEVKKLAQTREPIKYSGSLDQYQTVDITPVI GTEYPDANIVEWINAPNADESLRDLAIKISERGVVFFRAQNNLTNDVQKQLIQRLGEL TGKPSTSKLHIHPILNSERELGGSDPEISTISSVQHDKLYKKTDYDQSKKIQSSALWH SDIAFEPVPADYTSLRLTQLPRTGGDTLWASGYEIYDRISEPYQRFLETLSATFAQPA FNEVAGRGGFSLYDKPRGAPENVGSELKAIHPVVRTNPVTGWKSIFPVGGHVRHINGL TEQESKNILDWFLELVYKNHDLQVRFKWKNENDVAIWDNRSVFHSATFDYEGEGDRFG NRAVGLGTWHPLGRVTGGTLYPGLMVTSGVIYHVLRLLSLPVDIRNICVLLAPAFSGL TALAMYLLTSEMSASPSAGLLAAAFMGIAPGYISRSVAGSYDNEAIAIFLLVFTFFLW IKAVRNGSILWGALAALFYGYMVSAWGGYVFITNLIPLHVFTLICMGRFSSRLYISYT TWFALGTLASMQVPFVGFLPIRSSDHMSALGTFGLVQLVAFAEFLRSRVPGKQFQTLL FGAVLALFVVACLGLVLLSITGIIAPWSGRFYSLFDTGYAKIHIPIIASVSEHQPTAW PAFFFDLNLLIWLFPAGVYMCFRNLKDEHVFVVIYAVMSSYFAGVMVRLMLTLTPIVC VASALALSHILDTYMAADTPAAPNQNGSADASSKGASKSASTMASLRSMRNPLVGIYS SVSKSIITATTTAYLLLFVLHCTWVTSNAYSSPSVVLSSRMPDGSQYIIDDYREAYYW LRQNTPQDAKIMSWWDYGYQIGGMADRPTLVDNNTWNNTHIATVGKAMSSREEVSYPI LRQHDVDYVLVVFGGLLGYNGDDINKFLWMIRIAEGIWPDEVKERNFFTERGEYRVDD GATPTMKESLMYKMSYYNFNSLFPAGQAQDRVRGARLPAEGPQLSILEEAYTSENWII RIYKVKDLDNVGRDHASAVAFEKGHKKKRAARRRDPSVLRVE EPUS_05622 MPPIHKRKFEDYHPELHHSSNPENHEVVGSVITAPKKQASHARD LPLVSNSQRASEDEPPQDNGSLSHASKEWQMVDRKDKRKPKRKKAGDEKGRVKYPELT YHANVTAPIRIADLQALVLYALADGIGPSWVAFKNVRHTRKVVVLMVPGLERVMFDGS MSIDEGSDSVTSFGEEESNVNESRWRDDGFRAWRSAVPAKSYKECNPMEIKVQYLPKA LQPLGAIFEDVWQVKAPGDSRYAKLHSPIQAMLISPLPQSRENKSVKGPKPPAEEKGW QSQRTAIADFIHTADDLRDAEYPLHPAVLDGDVEAALLEQERRAKTGQNAACGWVDTR VSKFSDGTVSSIATQQGSITAGRTVYAVDCEMVLTSDDKYSLARISIVDWDGTTVLDE LVKPSLPIKNYLTQFSGMTSAILDPVQTSLSEVQSELLDLFKPQSILLGHSLESDLTA MKLTHPFIIDTSLIYPHPRGPPLRSSLKFLAQKYLRREIQQHDSKGHNSVEDALAVLD LVKLKCEKGPKWGTSEAAGEPIFRRLARNTRKDVGGVERGITSAMVDYGTPERGYGKE ATVHIGCESDEEIVKAVALPGCGVDFIWGRLRELESFRGWCNNNREYTTVDELHASRP QQASAPDSAATGGESDLPVHPVENDSITGTAKKPNLRVRSENQSLGSHSTTPTLQSTI ASTSSHIHAIHDALPPCTLFIVYTGTGDPREVGRLQKMQQQFRKEFKVKKWDDLSVKW TDDEEQALKRAVERAREGMALMCVK EPUS_05623 MGKKLEVAPDSSADPSRSADNTLTAPSSALDATLTSLFATSSGP VNVPPVRKARKLQDSNSSRPDTVAIPKDIKGGEDEYPSRSELFRAEFPPDHQAASSTP SDIDEDTLPLPSRKRRRMVLADDVEESFMRRLAHQEARFQSEIDVIRRPVSSSATNTV GPKDPSEQVASEGLEPEDNDHFPRHESLGGVVDGSSIDKSDRTVFLGNVSIEAIKSKS AKKLLLNHLSSCLPQLPSVGVPHKVESLRFRSTAFISGVGPKRAAYAKKELMEETTHS THAYVVYTTQMAAKKAATSLNGTIVLDRHLRADHVSRPAEIDHRRCVFVGNLGFVDEE IVETETASGVKERKGRGKHPADVEEGLWRSFSNAGKIESVRVIRDKSTRVGKGIAYVQ YHDANSVEAALLYNDKKFPPLLPRKLRVTRARKPKEGRKPAHQSKDRSTRSERPGVKW DPRGNGKALQAKGETKGENSEQSSKAKRFVFEGYRATTAGAHNTKASGQKKRKHAVKP TTRSSRRGAAFKAAGGKRQQE EPUS_05624 MVEENGEESTQLDKMEALGHPISNNQPEYANTRDLLDEGRSSSL SDLEDGVEEVDLVLGNSPVARHIEADSEAETERLENSPNKNLNHNGIVIGHLPYTQSP SKLAQSALPQAAEQELFSDSGVSSPGTSDEDLESELRSENTAASDTGVGVEAAQIRGS SPRKRKHLEMEDDSGSEADAEEVRRLRRRTESMRSEADEETEPGLSREPTIEPMGGVP NDQDLQEDTSNVLRIAQDHLNPKGLTDSNAKHSTSIGRGTLKDITEEGEHLGKVTELG VDETRVGSDEEERVEGEDEDVEAVARDEEEYAKKMAAMDSLAALEKHFAALRDRLYDE RIVTLNEELAQLSAPKPSHPEFLRQIECIRKYRDEKFDLEQKLLVYKIKSLKTKSVAQ RSQIHSAYFQTVRDVREKHLDRIGEQLSRVRRDHVKTDEKIPSYSIPFPSRRSTQITQ QSSYNKEVSILSGVAKYVGFPAAPSVKAALQAEMDEDMEKMGISLNHIRNNKPTQSKL SRSAFASTMSRPAAEEQFLEQNPWANPQHPVHQQQHTGRLSRRVSDQTQIADAIITPA TQKSLVDLDRPAGSASTIPEHLSAQASSASARPYDHDGRVQQQPTEFRATAADPHSPA ADEKPPQPRHRPQSLSPIEARKHNAGAYDATPSKRNSIGGAATATGSGGAASQPYKPS RIALFSTPSRPESLVHNGSSNNEMGARHATSSPITLHQHARENNLTSTTALSRIGAR EPUS_05625 MAQASTSPADILPQLEAARKLVLGDAHFYSQIVPGILPIVGSTA AVEVRRWGAEFLAETFATPALADVQKEEICLDVVTFIRGILESPAEDVIVVRSAIQAV ASFYTLLFRAIVTRSDDFFTKKAISSKANAWQTMTAIKSNIFKRMDSAPTSVRVCAIK FVQKVVQVQTPGGIADPRRPEKNETSITIVPRNDRILSIPILEAEASGLLDRLLNVFQ ENSSDPILVDATLNCTSILIRSRSAIANKIITAILNFNPLKLANSPMTLRSKVIARSL ERTTRALLKNINKNNPNGVLAPKIDAYLVRLNQSRAAVFADSQSLKRPAPPEAPDGLA TKRARLDGAPRFPPMPPPPNSFAQLFTLTEDAAFMNFDVQILTEEMVRMITHLTLHHL DPDALNEAIGAVRERYAYLQKIQQPTPLPDIPMAGPTGVDDEDDYDPEYDPGDHTVDA PAAVTAQTLHGLVQPDITLGPFELPKPPPLSELETAQLAKQTVDRIFGQVASLDMAPP ASLRQRLGLNRLAASTNDRDAWVTMLTRLATRAPCGLDDLVKAEMGAEDDGRVVKREP AALQPDVDSPSLANGIRQTLYLYVLEDFRSRLPIAISWLNEEWYNDRLRVKESGAAAA SNQTPYRVWTLRLLDALLPYLDARDNRLLIRFLSEIPAIHSDVLERIKSLAKDPERVN MCIMALQYLILLRPPVRELVIDTVENIWRDKEEYEEANAAARKVLSKWRPSSLEQNVK VEGLEEIESRNREDGSGEASNGTDGAVKKDMGINRLLNGDAHEAKMPNIPGVADHRMK AAAQTPMADG EPUS_05626 MFSRKPHHETNANNGDNSTNYNDASASYGTGSGNTDSGRGPHKS SLLNKLDPRVDTTTRHQPSDTGRNGGGALGTSARHDNTGYGTSSNGHGDTTDYGSGIA GRSAGSTNVGPHDSSLANKTTQHNSGYSNPSPNTGYGAANFASGPHSSNFANKVDPRV DSDNFRGNHGDTTGNYSSSSGYYGSNTTSAGYGNTGSTTTRPHSSNLANKLDPRVGSD NFRGDYGSSTGTYTNANTANTYGNSYGETANNGPHKSHLMNKLDPRTDSDMDGSRNTS MGQDTNQSIGNPNTKIMPQEISSHAHATGGPAGALEGNISNATASAKVSGGMVPEGSA DASGPLSADAGTTSSNQGGLKGAIRNLAGVGGNLDASIDNNNHHDRSGMGHQQVIMAM DMLLVQYLGVKDDERNRRKWLAAP EPUS_05627 MASDGTEKLHLAAPAVTALKNAAAGESLTTRVRMERSFSEDIQE GSRELKEAAEQTRNIILDLGLDGIIRWVSPSWTDVVGTDLEAVKGNPISKFILDKPEA FTEAINSLKNDDSKSQFVRFAIRVGIASDLEPMLTSEQRAEADGDKSVLELEGQGIMV YDRISGGESHTMWMLQPAAEPVHITIALPRFLVESLGVGAELLAKYLTELAESGANDP AQHPPPLPVLCRVCERTIVPWWFEKHSDLCVQEHRAEMDVQMAQEALVEHRHAIVRVL DAFEARKSRNSSGESSPVVVPPPEYKGMPIGPTSSPSSGLSSAPTSHPGTPLRSRSPS GAGLGHSRGRSFAVRRPLARIVELILDLCDTALEISIPAIKETKNEPGDEFRTQSPQS ESRISQILQWQTPSSLEHEIGLAALSVDTEKLAKAKIEAVHRHRTIIEYAERIRQEYL AEVDACIGEALRKAERVAAGEELSSSSESETEVENQTLPDVTEECLSPAPEGVVRSSQ SNIELSSMAAALRSSSNVPSQDHSLPRRSSSVAISTRSSSPLECPTPRSHRSGLLGHH QPSTMRNSMYLESDANESDSSLVSSGVPGNLRTESPSEYTLSRTPSCRDRKRKSLHLH GLNSGSPRRQPSPGQVPPPPSSPLRMSKPRVPGAIPSAERTPSPVVSPLLTTGEYMPP HHHPHHHRRQSSVHSSDARPPLSPKIGSIAQPPQRVQPPSIKDFEIIKPISKGAFGSV YLSKKKLTGEYFAIKVLRKADMVAKNQVTNVKAERAIMMWQGESDFVAKLYWTFSSKD YLYLVMEYLNGGDCASLIKILGGLPEDWSKKYIAEVILGVEHLHSRGIVHRDLKPDNL LIDSKGHLKLTDFGLSRMGLVGRQKRVLKDNAESAPDLLKQGPFVRAQSTATSRSASF DYQGSHSPSQTPLLTPALAGGLDQPSYFNLSRENTLSRQSSRKTSGHRSDAGGVLSHE LHHAFRSFSIHDPADMHAPPTASRGGPIEEESNSHGSNSPDIYPLQTIVSNVSSQAPA GVTPSMLPPPMALFDPEDSTRRFVGTPDYLAPETINGLGQDEMSDWWSIGCILFEFLF GYPPFNADSPEKVFENILARRIAWPEEPDLPVSEEARDLVNKLIQLDPRARLGANTSE KYASSAEEIKSHPWFSDINWATLFEDEAQFVPNLENPEDTEYFDARGATLQSFPEELE EQLSPRMTPGGTELSERPHDALGRVRSQVNTLKRGLMPLHIPPHVARDSRSRRLSEPA VADDFGNFTYKNLPILEKANKDIVNKMRVEAMHAQTRGSTSTAVTTSNTPVTSSPGPS LEGSPLLPGPLKRTLSMSKGLRSASPSGPPGSNSSPSRPSQPSSPLLVQFSTGQNHER RKTSGSSQSSGSLQPGSFFDLPRETVAKAPSAASSPIKNMRLPVVSPAKVPSAQRQSS MPNRVRSQTVGSQDGAESPRETFIPGHHKRRSQLFVQDISPSSSDNEGSQSKALYKMQ RRRQSSRRMSQFNLVDGPVYRPLDVLICEDHPVSKMVMERLFEKLRCRTITASTGSEA MRLAVSQIQFDIIFMEYKLPLINGADLARMIRDTKNANTHTPIVAVTGYLKELPETHQ FDSLVQKPPTLTKLTEALCKFCAWKLPPKDFKPSMPLSIPRSNLRQETQTMQDSPTST ASTAPTMPDSSCRGSSREDSISSSSFFGDMEPVKGEPGAVIVKKPTDEWPVKGGLGIS EDIVTEKKPYIMSGFPHLVHTESAPAAQESLTYPGLQTPRRQVSQEGLTAKRASRERH GYELGAESGDDEDDELGDVQVRAKSPHGKQVRQASKLGHEMMRTNSRGSVISTIEDGK AAELEASRSSLEILERRMEELKIPEEYESPASLVASQPRKLNRANSYHAHPDPEPVFD EKRPQSRGHITPPVIFPQQPGMEVKMFEMDANAERVGDGDVTPMPKKTVDLEEQPTPR PQGSPLSHS EPUS_05628 MGTNTYAENGEAPYFMNGQHNITLPHESGNGGHYDSDAQYSTDS RSGRHREVEESNATRSRSRSKPNGVTRQKPSNAQLRTCKKCGEGLTGQFVRALNGTFH LDCFKCRDCGQIVASKFFPVDDEDGTGPYPLCETDYFRRLDLLCYECGGALRGSYITA LDRKYHIEHFTCSVCPIVFGAQDSYYEHDGKVYCHYHYSTQFAQRCNGCQTAILKQFV EIFRNGANQHWHPECYMIHKFWNVRLAPGGTPAEPAEIEADVSEAQRETVKEDEERME AKVYRIWSILSTFEESSAACISDMLLHVSNGAYVDGVLVARKFIWHVDILFGATDRLA DMIARSSLKELSYGREAKLLCKKIVAFFTLLSKTQETGVRKLGVTQELLSLVTGLAHY LKLLIRIGLQGALKLEREKQSAEGLHRFLSELEDLESLKERETTSLDLNDSNTDLAHA ESDCCFACREPIDDECVLLNGRRWHLKPSHLICASCQRDLSTDFQEGTVSEATGKVYC SKHLNRAQDASSGFTYVTKLQQYVFLLRVALARLLQVLKSGGTLPHTSDDPNLNPYEA NEGHRVSPSGELSAPHQRINSRSRSYAGAFREPAAESSLEQTVGEMKRLRSTRNERTL STAFRKSRASRILDGPNGIRPGSAGADGSDSKQFQIVEERDIDGKTRPELTFGNQDAL TLDDIPRIVAAEQAKEQRPNAYRHARENLFASGDPAPRLVNGHRRPTSAGPNELLSGE PSATRTKRFFSELSALEYFIVRHLAVLCMEPLLKGHFDLEELVALIDTKSKASFWDKI GKAFKADGKTKKKGVFGVPLDNLLQTQAAESTHGVGPGALKIPALLDDSVSAMRQMDM SVEGVFRKNGNIKRLKDMAEQIDANQIPDLSRENPVQIAALLKKFLREMPDPLLTFRL HKLFVTSQKIEEEKRNQVLHLTCCLLPKAHRDTMEVLFAFLKWVASFAHIDDESGSKM DSHNLATVMAPNVLYTSAKNPTVDDSFLAIEAVNQLIKSNETFSQLPEHIQSILADSN LHNDPAEITAQQILTRYGDIARGQVPVHQQNAHPPDAPAQTPLSAASNRSNAAPLATR VDVDPYQTNAWQKQSSVRHVQAPGAASYPAGQPSQSYSAPDSPFLRDRAGSASSQGSF GAAEGRRSYYMKPGTPGVVS EPUS_05629 MASTIVRITKEIRHIQQGTDLSIAVAYRDSNIQHIKALIVGPPE TPYEFGFFEFNVRLGRDYPSSPPSVTATTTNGGRCRFNPNIYAGGKVCLSILGTWRGE RPGEEWSSAQGLESILISIQSLMSSNPYENEPGYENKKGPDELRHQALYVDKIRHETL RIAVIQKLEEQLGILPNGQVEPPAPAWESEIDEDVEEALEKMGDVEKTKFEPFKDLFK RRFLWYYDTYLSTIETYAKKHRDGEKFQKMEFEHGGNTMDGTYQYSDLKRRLNLIHST IHMETERWAEEGLNAKRREVGVSANLQRQHEQIVEAHKDKAFTIDLELASDNPFVWQI TYFGKPMTHLDGGMFKIRICLSPRFPDEQPRVKVLTPIYHHRVAKDGTLCYFPKKVEE MKSHIDAIVEALEDDSPPYDPRTTVHPEAAKLYWGSADDKKKYHRQLRRSVERSMDEC EPUS_05630 MAPDSSAPIVLGRVLIVGGCGFLGYHVVDQFLNFASEEDLPLPK PATSTRTSKPDAASFEFPTLRSRYPLYAKTEVHVLDLRCNRNRLPGATYHEGDLCDPA SLLPVFREVKPQVVINTASPTYDAPREILRKVNIEGTKTLLEVAGGAHGDWGGKCKAF VHTSSSSVVHDCLNDLINADERWPLVRPHPVEYYTESKADAEEIVLEANKKHNDMLTC AIRPAGIVGERDRAGMTDALLQTAAHAPDWQLHFQFGEGNNLFDCTYVGNVAYGLAVA AEALLHTSARIAAGEAVPLDHEKVDGEAFIVTNDSPAYFWDIARYVWTLYGRTVSMSK VWQLSKGFLLPVGALAEMSTYITGKKTKMTRQSIRYATMQRYFNCDKLKRRCGYTPLV GLEEGLARAVKSFVLSERAEKEALGGEKKVQ EPUS_05631 MILALPSKRLLRALSSILKDSAPTRPAPRHICLACRGQLLTPRA NRAPQRATSLLITKATRSASTSSAQGSPDPQSSFTLPDISSHYSIFPNTLPLGPPPAG PFNINLTALRREFLQLQSRFHPDKFPPEQKAKAEALSSRINEAYKILRDPLLRAQYIL LNTYGIDVTSEDNSAHPMDLGILMEVMEAQENMEEAETEKQIMALKEQNQERIDVTLQ LLDDAFSSRNAEVARRECIRLRYWASLAEGLNAWDGKGSEVRLAH EPUS_05632 MSPPAPSDSSIPDMTTSTKAMMAKVKQLVPPMLEKFHKGQLGRV AVIGGSAEYTGAPYFSAMASARLGRCLLKCLFSGMSADIDQDVILFVLHLCAENHSAG SLSTLTFQSYVICEPSASQTIKSYSPNLMVSPLLRSTKSLATSHFDPSIEEMTSPILA LLPRLHVLVIGLGLGRDDITLKQVAAIIKAARSQDPPVPLVLDADGLFLINSDPDLIK GYKECILTPNVIEFARIAKGMGVNLDEGDRNKDCENLAKALGGVCIIQKGKVDHISTG EGTTTCDFEGGLKRSGGQGDTLTGSLGTFLAWRQAYHEGLWESANKMSREETLLAAAF AGSAITRECSRKAFKKNGRSLQASDLTDEVHGSFLSLIGEPEGDSKL EPUS_05633 MKTEAEVNVIDATSQVDVSKHFDGQKHWSWAKWGQYRNPDRVAE QATTSEHFVHRDILSRVTTRPKDVLRYLSPTYGRPIRMRVQSVDVHQGGGDWRRVEVQ GNAPWLLRLSSWIIKTSGSTMDITDILLIAGKVLLVLPAHIFILLIPMGNESAVRSTY YSLLIRCWDYPRYARNTLDASPLAADTVDWKNNLYVVNGDMSRLLRPRRLIVRRGASW ELVTNSAEPYLFVSYTATQFSPKDPSACQRLERLAEKMTEEAGLTAYWLDHRCMEQTP GPLFSEDVYRICDCIRGAVGVCVMVPDFSEASMANWGNRLWTLPEALLSSSLNIKFCS ADSTMEISKIALASDVWKDGQVSRLLAEHFSGLLTLSRLELISLGLEALGSRETQNLH TQGDLAYALMSLLKQRPRTNPNDTLFQALARLSLANDSDQIVERMMCMLPDVGASPKL NKKFVVNDQLGAKLWDIQPLCQVAGVCGDEGVILDGCRGISIRWKDIPQITYLRRKAW KRMFAEIGLRSGPLWFIIGCIMVGMPSTVATGAILLVIGLVLLIAAPWSVQLLYGGKI WGQSPWLIGIEGVLPIAKIEHLAFGNAIGRISYAPSSTLLSCKQARERVGEGPGWIER PGSCAPPTLAPGQRFFTLLDTGSMTVSVFAAERPPSAALICGQEGGMLRVVLCHYERS TGTMHKETVLRMETPMLDQASLLGWVKIA EPUS_05634 MVSQQHPQTSVERLLAQLDKAMTHYQLTNSFSCGGSLEVRLGTH YSDFTITKKPVMCFRPIVFWCKGSVSKHTTIDCSWTTSRNWENSLDELVQDCSPATFG CDGENIFDEKIRKAGVLDAASFSTNFNPYDFGIVDAVAQELLPGLARAGKQPAVERWG VVAELYKLNVYSAPSGMFKPHVDTPRGRTHFGSLVVALPTDFQGGQLRVAYKGKERAY LDDGSFCRSDINWVAFYSDCEHEVLPVTAGHRITLTYQLYVSECIGGLVQPQLQMPDS KFYPMYRCIKDMLASPTFMKNGGILGFHCVYQYPEKFEGTYFYERYPRTLKGIDAVIF AIFRALELTVHIKPYEAGSIIGGKIADARVRLESLFTEEATCGRLVANEFERFDCGHY VGDEIFEHVKWFNEKPALHPAGEGCLDVAKPTRWIGNETEVDWDYLFRALLVVVPASS DRTLKT EPUS_05635 MATLFFPWKDPAYHGDDVAFWIAATLTTIFAAFAAPVASAVAVS FVGAGAFMAAGNTYQFQSIPEDTKVNATDNAEFVPQDMIGPKEKLGLSEVWLTVFGVL MKKPQTGGPDESHVMEKAHVVCRAPRTLPPKQE EPUS_05636 MLGSVLPELAVRSIVPCFDGEVVAATFAESIAARSKSRLLLWNT SDFTPQAESATPIPQYQPLADQVECLVGAYGHGLVFLHQDGWICSADSLNFDVEYYDR HFFVPTDWLSTTGNLMLGIFRNGNIVFVQRDELVVIRRGLEHFEQGQSRGNGKRPSLT RTMVSDSAVDAVSSKTR EPUS_05637 MANETPWYYPPGWDRERMLNATDEESGTMTQEQRDTFREGLHAD LGEAGLEDFQAESYMRWKRREKTKEAATVVPEIRNPPPYVETMNLATERNGREWPQWG FVVFRTTPYMDEGGWRAMRHRWDQMIDDQFQDDLDLPGVREAKRKLRFLWVEGPELEG ALPAVIASRYQAMGLPYGIVHNVCLCISQASMDAILNSPMPSQLSRRQRKKIPFVLAV TGSAKQPRASLEVGHEEKDCQREDFRGYFNVAVEALLNHLFACTADDMMDPDVLGSRV KGDDIWCNAYRDGIHKTDTGYFHDERGG EPUS_05638 MSFLDVLDSIGTGQRVAAPQMPQTSSAPLKPPHPLSNSTTAKTK FAVSRDSANRLSLPGNGTERKVGEAFKAVSERPTRPSPKQGPHSRLHDRSAPVSASSQ DASKNSPARVGSPASSNATTKAPPPGSFAALMAEAKAAQEQKAKSEVGLIKHQATTRV RLSKSERKKQEEEGKAMKAKLGKQPQHNSKVDKKARLDPKKRPESTYKGTAKPAAPIS SYKGTAGLPSQHRSSSADLRHRGGKSSSRYDEYLGTDEEDEGDEDMVDDGYESDASSD MEAGAFDVEEEESRALREARADDAREMALENKLKREKEERRRKLEALARKRR EPUS_05639 MKHLAAYLLLGLAGNTSPSASDIKGVLESVGIDAEDDRLEKLLS ELKDKDISTLIQEGSSKLASVPSGGAGGGAGAGGAAPAAGGAAAAEEEKPAEKEEEKE ESDEDMGFGLFD EPUS_05640 MADANYNAEEAAELKKKRTFRKFSYRGIDLDQLLDLTSEQLRDV VHARARRRFNRGLKRKPMGLIKKLRKAKQAAKPNEKPDLVKTHLRNMIVVPEMIGSVI GIYSGKDFNQVEIKPEMVGFYLGEFSISYKPVKHGRPGIGATHSSRFIPLK EPUS_05641 MAHRTLEQHISVDMTHKKRCNETGWGLYASTNIAAREAIISIER PLVISLDIPRLKDTCYECLGYGSEAYRNPERIAWEEDKKLQVCTGCHVVRYCSKECQT LSWKSVHKHECSLFARLHPNILPNNVRAILQLLLLRKANRLPHGEWDAFMNLESHLQQ WESRNGRQWEDIGLMARGTKEYAGTDLSEETLREVFGKLLVNCFTMVSPFYDPLGLAL HPLAALVNHSCNYNAFVRFDTSSHCLSVLALRPIARDEQIVVSYIDATNPRHVRQKEL QDKYFFDCACSKCSDYVTKEEGVPQLPESSEHLLVEQRAFELLAAAQKDTSITGPIQK CQYGIHILRKTGAWPLHRQPLASLRQQLVVSLIAAGQIHLAFVHAWIQYRSIDPKLMT EKHHPIRLVHLWLVIVLLKRIYFASFSPTDLPIQKYTITSNSIMHIKLIHYLHWALMK RVGDDDDGTFAQVVRHHFIQDGGYEKQRYPIAKRDDFLKEAEKLKACTTEILEKELVW GESGAAA EPUS_05642 MTISPLPLRPHERILTKANGSIDTPPRAPTPVHNFGTLAVHAGA HLDPSTGAVISPISLSTTYAQTAVGKPVGAYEYSRSSNPNRDNFEEAIAALEHARYAL AFSSGSATTATILQSLAAGSHVVSVSDVYGGTHRYFTKVAAAHGVEVTFSPSLEVDIA QLIRPKETKLIWIESPSNPTLRLVDIRNVASVAHKHGIMVVVDNTFLSPYVQNPLDHG ADIVVHSVTKYINGHSDVVMGVAAFNSDDLKERLTFLQNAIGAVPSAFDCWLAHRGLK TLHLRAKEASHNATSVAMALEASPHVISVNYPGIKSHPHRHIAIKQHRDGMGGGMLSF RIKGGHAAAERFCQNTSIFVLAESLGGVESLVEVPSSMTHAGIPQAQREAAGVFEDLV RISCGIEDAEDLKRDVLQALEKAIVAPKITNGMPGGRVTNGLPNGD EPUS_05643 MASTFTFAFSGDDIDADADAEGDPNYLDDGMQIDTIHEEPELVA PEKHTLTEILSTLPSSLSYNTLCIPLSFPPAPASASLPAPPHQPATTAILRRALFDVV AQLKAEADINPHAKARQQDATANANADADASNEGDAGGYSYEALISTLEGGDDLRSRV YEGGFKTWECGFDLATYLAVCLYVSKEGGTEVDGVMPGCGFLGRWFSERGVRGTATAA DVDRCWNGGLGKDGEEPQEQQQGFDVVELGAGSAMPSLVLLNHVLGTRARRRGRRQED REDYVEDGNGIEIGGGGGIRFVLCDYNIEVLRLVTGANVLLQFAQRGSERGEALSSGH AAGNKNDLGDQESDPTNNGNGNSNGNVKGEGEGELDNITPSLLQDTILRTLINARISI DFISGAWGPAFVDLVSPSPSDLITTTTTTTTTDEKPATAVPAKPRPILILSSETIYSP LSLQPFVSTLLSLLQRSQHPDSAALVAAKKMYFGVGGGVDEFTSLVRQQGGLVQVVKD INDGGVGRVIVKVTLPSLCTDV EPUS_05644 MSQVQGIRLPIPGCAERASSAASVSLDSSDGSAAASAAFRNEPQ ELLGLSSGPRPMSITSPIPRNLSNSASSGQQAYTIDIPPDYSFPEDLGLNDPKGSQQV WPGYQVTGNDNDNVAYPPRRVTPAEPEQPWNPIHLNGPLPSQPRGDDGQRDSTAPMNA RIGQQRSIVGSHANETDEGYYTYSQPDIRSTYSDDSGQRHRIRSGPSSIPRSIPLAQG HSTFAQYNAEQSFTAMDYQFPGPMEPQLTSQVPREPHTCTEPECKFTCKTMSDLKKHT ARHKREHVCDQPGCTRANKGFPTINDLERHQKSVHGIEPRHGQSRMYKCFAPNCKNRE KKWPRLDNFKQHLQRMHKDESTEELIRQSNQWYDTEKKPHQPASLVAGATPSQGSHFS NSGPNLMTETSPLAKSYNHLSPDWRPTRNALRQQQLTTPNRPRHSSLSSQPNSSASLS PTRKSSSVPFLGLDMHFGSCPQLGQTSMAMIPTQLSSDLLLDSSQRPTRGRPTAHTVP NPDTTALSDLPDIGYSAPSGSFHIPQDDLAHIAPNLNAEAQSAFQSAFLSADQTLSSP SIPANRGTGSSSSPISIEALTAFINNNTRGQKDESAVLLRILQAGISKLAEAEPQSNT ATTTSSSSSVVTSTLTSHPDSTNTRLQHSCPEKPCTKTYPRPCDLKKHLKRHHRPYGC TFSKCYEKFGSKYDWKRHENTQHFQHECWKCALCSGGTARNGQASTSSFPAQLFYRRN LFMAHMQKVHQLPPEATRDHAHKQRIGRNCQTRFWCGFCKRIVELQKKGLEGADERFN HIDKHFKQNRDISEWVVVEGSETKGQHDEVDREEEQEEQEEDINDVLGSDGIGDSPTR VGEDTADGRSRENYDYDVNDRGGGANARNDIGQKRTLPSDFEGSSRPSQQRRTHPAHG KKTPYAFNCCQCREGPHTLMLGRHCPMCSHVCCAFCSYMDDKCRDVGRPR EPUS_05645 MDGKYHGVIDAIQDQLCIPSTFYYLPIYFQWAEGISAATSDIGT VPYDLPINRCNAPRHESLGQLLTFQAFGVITADQTIFALGHAVPWMVLGLAFTAVGLG LIYTFNVGSPAKILGVTEGGVRFQMPIMIMQATTREQDVLLATAILRRKCDNCWVGAP LVSQLYRRTFHNSLLKQPPITAPNIDPIPILHPGTTQLEEIVPVGWLDGALKAYVAGF REILVIAVAFTGATFISAFEFRFLSIRQTMMAEVQNS EPUS_05646 MPSHVVALPRWFLVLRIFQILVAVAILGLAAHGIYWIPYNSWIL ALFTSIATLIVVGYFLITSLAEACKIAYNYWAVLALDIFAIIFWLSSMANLAATRSAF VYSVYNTCLFDYYYCYKKRDIGLAERDIATTGYLDTMSAAAGLSGLELLLFIATLVIF SVQMHRHRISGAPNEPSPSQMEANSMSTMPAVGSQQQQQNSKHTQSSNQYEVSPA EPUS_05647 MDLDPEKAPAKGSGVADTHVKVKLLRAALLPDRRPQHQDWTLLS AGYSYQHATADFVNSFAPLLRAQVISVYVDSLRLVWQISILISCTSFLLVFFEKQIKL RTELDTEYGVEEKRKKQAPSATR EPUS_05648 MSNVASQPRASRSPSCINRDVELDYPQEPDQFDRFIPSIPEESY PNHNISLTQPMSDVLKDQFIVETEQYSADLGTYSHAFSPPTLDHNFQTPEYSSENQFS DPSVPHTPIWEDNWFQSGAPVELDKTEPLTKHASNDSNHLAINGALYDTIQSRELKVV SALLPSEIPPQLMSPQLTNTPSPSGQHSQNGTMGPTSVPVGVTPQSNPEENKARSRSL RVNPHHTPANSGSSSLQASPSDAFIPAPSPILMVSTYNRGDSPARSAIPMVRSSSKRS RTSQSSAFLAPANHYSDDEDDDGLHHGPGQSASSHVSRAKDGSWLPDSTSGHTGLDPT ARGDQFVPSPKEIEEKRQIDEKNAEVASWLEKSEAGSELGEELEVGAQPRPTRLARPR ARAHSTGARTGTPRLSAPDDSCIPGPGVVLDEDSNGAYTEDSASRSSADDVPESPPAD VDVHLSDDQSLFPAIEEIPPEQEEPLPRQFIRAQPWQDVVRGPISYDAVYQPKTSNAA MYKYDLLATKFETASRAATWGTRRRLSETDVQSILSGQSVRKLSLAQKTRERGNSFVK QASRFIPRRSSSNAKKKDAEPSRDNSSTESLQKKRTDTLSSFKSLQRIPSIGKAPKTP PVNTGGALLAMTGQLTAVGRSTSANPDTGGFLQGPWQALSRHRSKSEIPRTKSSDTPG LAQLMTNHGGPPMPTLVSPMQEKASVEKRPMVHADDDQEIDEDEDEAEPITEQGVRID LKVKMDNIVPNFEGFRTHATQLNPRLQPFLAERIVHEQVRRYKNLVENKVKHTHAVKN LKECPSKSFCFDLGGEAKDLPPRMSTKDPEASGAQFQVSGNGDSDGEANTFAEGVVTA ALFPAGIPLPPVKRLPAEFECSLCFKVKKFQKPSDWTKHVHEDVQPFTCTFADCSDTR SFKRKADWVRHENERHRHLEWWKCNMPECNHICYRKDNFVQHLVREHKKREPKVKARG SASSKAKPVADTTAAWQTRVEQEEISELWGLVEMCHVETQKKPKDEVCKFCGNVLTSW KKLTVHLAKHMEQIAMPVLELVKSRVVSPDTIISPIERSSRQHRVPNPASPQGNMKAE PNSVSPYLMNVTPQHTGLQATTSPSAYSQDSHYTASMQNSPNFSHTPTSTYDPRVAMQ PQDMTQFAQMHNLPANMSYGPYQNARQPPSFTPINATGSATSTYPPPFNSVRRSPQQM IPDGPQSHPGFGQIDTMYNSQPPQQAVFSSPTEAGPYAAQFEVSMGQMQHYTTSTMAY HPSGLSTGTVLPPNLIYDPQQESPFLANQSNDQSYPYTPH EPUS_05649 MKSSFSSTSPFAYQIPPSSKSHRSGEHSRSKPLPESGDVSERIV KKPPRSSDEGRSKGKSSRSQSSSASTLFSQPNVTLEDIPISNLPSSLSTTRPAMVTPR PAPQFVERSVAQRAPTLQPTVEEDVQSVTSTPRMAKKLPQKSMDAVQPPPPPSTPELT TAPLPVQKQDFPKPQLVPVEPTVGIGEAQHPSTSPSSTDGSPAQAKSKPRRSLDDSMT RHSVAAPGNSAVTSAAIRISPPQSPQLHVYNPHNYHQGYMSAAPLPDSKRSSPAPQPP QSMPPINYGYLPMMGGGPQPSVYANPYYGMPFSPPAEPSLQNNDSPRSPPPAQDEHEQ LLEKVAGVLPDINRLLNNYKERQGRLSARELLEKQAHLSHSEQLNKVGIELEATKKEY EKVIQELVSERGKLERELTVLRPRVTELESAEAEKKALKAELEAMKVSKKELAEALDG TRRAKEEMQTTKLANDTENEALRKALHDERELRQRYVADVKVQAQDQIALKQREFSKI VDDHKLNYSKAQMELTSLISKHSIQKADLDAARSSEADHKTKLSLKSKEFDDALLRHR QEIETIKKKHEQDRGKMAQGAEERVAQICQEHSIKEKEWKQEFHSISAELESHKLESQ RLRAELETLEKSKNEEKLHKTAELVESLALWRTKSDELQKQNQNLDRLLQSLGCAARN EPUS_05650 MDMSSMSTSATGMDMSMSMSMSPSTSATGTAAGAPATTSSSMGG MGMGGGSSCKISMLWNWYTVDACFISRTWHITSGGMFAGSCIGVILLVCSLEFLRRLG KEYDRYLVRQFERQSSERTPTESSDETLNATHHAGGAKDGAVTVTRGIGQGRTFKPNV LQQFIRALLHMLQFGVAYFIMLLAMYYNGYIIICILIGALIGSFIFNWEALGGWPGPG DVKDPTVCCG EPUS_05651 MKAHRLILSACIAAVVAKAYTFERLDKNNSVLLVVDHQIGLAQL VRDFTPTEFWNNVLAHAALGNLFNLPTVMTTSAETGPNGYLPREIIEMHPNAPLIRRN GEVNAWDNPEFRAAVEATGKRQVILAGIVTEVCTAFLALSLREAGYSVWANTDASGTF TPRLADEANRRMEQAGVHLMGTFAIVGDLMRDWRNTPGAAEVFPYLDTYLTAFSITAR AHGGAVENGTIVPGQAGLI EPUS_05652 MGTHLRRAHIQDHDNAAGLETHWGYPDRVVPCVNDQGTCEYLDA VYRMHDLSMLYTFILWAVIGGVLALWVAVRIFRPREKSTGLLKATFRDAEASESKHGQ SNALRVWRATTATINHYMLPESFTGVFGHISRVQLVFLAGLLAYLLIFSLVGISYRTW ITPVKKSPGKFNTRTGLGGFSDRVGALAYALTPLSILLSSRDSALSLLTGLPHTSFLF LHVWTGRIIFIQSFLHTLGWTIIEARLYQPQPAVYREFMSQLYIIFGVVAMLLITVLY LGSLKRIVRRTGYQFFKLTHYVTAALYLAACWIHWTGLACWIIAAIILLAIDRGIRLM RLLLIHTGYLGTKNCVGFKKAEAKLKVFEDGDGGKILRLELEHNHGPWRAGQHFFLTF PELAWWEAHPFTPASLSSEHPQWQRHVYVMRVKRGITRRLADLAISRGGESSLDVLLT GPYGAGSEITDTSRAKNMLAIAGGTGISFVFPQVLQLLAKTVDDRERGLTQLVWIVRK RADIQWFLPEIEGLKKSIEDRVRCDKEKVALYADRVKISILVTRRSTNEPKATGSCVS SPVTSDISNEIGEDTTFKNVERSSVSERLDILCAGGRFIHVKQLENHHPQIAELLDAF ATSCTAGTIDVVGSGPVGMGTDLRSAVASLNHASNVWRGNQTGPRFASQSAHHGESAH HVPAGSGSESFGKGFYISLSLMSVGLVGYTLATSSETAPRITRLIASFKEHREERDGQ RNALHQAAAEQAAFDRQLFASATPSHSGVDLRYPEALNRSSPWNVVAGEGGADLSKLM EYYARENEKVEKERAERIKRKGKITTVYDDTTGGRFPSWMPWVGGSKKVAPGFKE EPUS_05653 MAQPFSFPPPPPPPSRKDSQPLSTLAGSNYASYHGSTGRGRRDN SGRGRGRGDASTGRGYVGRGPGFRGAYGGSHGMGSFDHNINGYKKNFSHPQNPSQDAQ FLPQSFQTSNYGQKRPYSAAFNKPATSLLRPQAAPAVPSFGGDILAQPASKPTPSSTP KKKPRKHNQLGLTPASQDHESSSDEDEETKLSSNMASGAQSNSAVLQFEYKGRTATLQ TPADIAAWIAERKKNFPTAAKAEAAKKEAEQKKRKWEESKKERAEAQRLQKLEKDKIR QEELRKKALETMGSKKAKNEEDSHDLTTTNHVESEVRKAALKTEKLKRKLQKVQRDAR KAEEALARLQQGRVTIEELGPPDAGRSEKLMSNLPDDGTVAILPSDTPGRNADPSNKI SELKVALLKDEDDILAASSDSSLSDVDADSSEADDATSSSGSDSDSDPNHPPASAIDS ISEPESNSDSAPEELTSKRTALDRIPPPTRINSSSRSSNAAPDEKKRPRNACRNMMRS GRCQFGSRCRYSHDLDGRRPHGDEQKIGRKVIERTDREKGSGKTGRKGLYQVLVEKEL EEERRAVLEVIMDMGEKGMLEEPRPEEAEETQV EPUS_05654 MRPWVPIVLAFAALVAAQGGNNNVINNPASGIQAEAGQPLTLSW DNPSSGPLSRTVTIKIQTSGDIQPTDGIVVAENIPNTGSATFTIPADVPVGQVYVQII DDANPTDYNFSGGFQVQGATNTASLVASTASASASARK EPUS_05655 MRVPASNISEQEFEALPPTLQRKYFSSLERLRLAQDGAFSPPLR PSTSSSRKDRTILRAGLDQKRPPLPLQEKLSYLTRPSSRRRLRKSKSTNTQYLASQID AIWFSSLPQKIQQRQFTREEQVFLAGNRDVVILDGSDEALYRLGRQPNNSLGSLRTDA TFHSRQKNHITNAEPVDDSTVDMDDNIYDSFRWLEEEDDLDLTLDNYHNAIVKTTHNP LTGDRRVPSLRRTLSLTSLRPRRNSLSIKPPLSSHSTIAPLGSGWGARPLSSIPTPLQ QPQSSIPAPLQQPQSSVSSIKPSAQYYQDPEARLKLRLYLASPQNFDEALEFGFPSLE STTSVQPPVQPPVQPPVQPSIPTDDCRRTEEAEHSFLDDAASSSPLEEEDDRDDDMSL TDMDSPQTPQDTVFQPSRPSQKNSLDRNGPWRPHILHSSPELYAQGPPCGREMTLHMT LTRPDLRTETDLRQMPAYSNSPLRSGSLSLSKERHPIQNPVPEDRSKMKKLWKKLTSR EPUS_05656 MIFRSLTAPVLLLTTVSLLSAPWQSLAKKDAPRITSTTFDHPLR SIFYFDDSDILLGLDAEDEKVYRSTNAGEDWKVVDDGGVERAFDISPHPYDRDRAYII GVEHAHWVTTDRGESWRKFEADAVPYNYRQTLAFHGRDPKKLIFQGHTCANWECRDVA YYTDDDFEHLHVLREGSLGCSWAVSTPLFGIGIEPEVENRIFCVARGLFSPWSSENRL LVSDDYFESEEEAMLGGSRAIGGIINMAPVKKYLVVAVRAEGTDELALYVSDDSSQWH RAEFGNHKVLEEAYTVLESTNYSMQVDVMGMGETGTLFTSNSNGTYFTKNIEHTNRGP RGYVDFEKITGIQGIVIVNVVDNWEDVEKKGAEKHITSKISFDDGRTFQDLTVGSKRL HLHSVTNPSTSGRVFSSPAPGMVMGVGNTGKRLQDYKEGNLYVSDDAGLTWKEALEGA HKYEFGDQGAVIVAIDDQDTTKEVKYSLNHGKDWAKADLGEKIRAKLLTTVPDSTSLK FLLEATKEDDWYLFVIDFEGLHERKCKEDDFEKWPARLGEDGDPSCIMGHKQFYRRRM ADADCFVAEEFKDPLPQTEPCKCSREDFECDFNYIRSEDQTECVPAVPLIPPAGECKN SEDTFTASSGFRLIPGDDCERKGGEELDKEVKRPCSGSKKAPASGEITHETTTFGSTQ FSEWHYLERTQSSSGDDESVIMRTADGKVFLTKDHGKTWLEILKGEKITSIAPHQYFN DAIFFLTEGKKVFYSLERGDNLQDLEAPAPPHPDLRTLHFHPDYKDSLLWTGPKDCGT KTCGATYHTENRHEWSLLLQAVKKCEFIQREGRASQEDDKNLVFCEQYKNENPSNSLQ LVSSTSWFKDRKVHFDDILDFATMSEFIIVAAKTEDHKFLKVDASVDGRVFAPAEFPP NFQVEHEQAYTVLDSSTHAVFLHVTVNNEKNQEYGSIIKSNSNGTSYSLSLRHVNRDE VGFVDFEKMQGIEGVAMVNVIDNVKDVESGKDKKLKSMITHNDGAEWTLIPPPAKDMD GNKFGCVEKADTASEKCSLHIHSYTERYDKHATFSSPSAIGLMLAVGNVGDHLTPKDS DDTYTFITRDGGITWNAIRKGSYLWEYGDQGSIIVICDQAKATNVVYYTLDEGKTWAE YQFSDTEMDTYQISTTPSDNSRNFLLWGRFEGSDKVSTVNLDFTGLKERQRQCVLKDS SPEEDDYELWEPKHPLQEGNCLFGHVAQYHRKRLDRECYNGFKTEGLHNIAKNCSCTR QDFECDYNYERLGDGTCQLVPGLQPADHALVCKEDPRQIEYFEPTGYRRIPLTTCQGG NEFDKLRSRPCPGHEADYDEKHGISGLGLFFAILVPFVAAGAIGYYAWTNWRHKLSGF GQIRLGEGGAPSTFTDRDSPLITVPIAIIAGTWAVAKATPLLVMSLWRSAKGYLPVGS GSSGGWMGRSHAPYTSRSAFSARRQDYSHVVADEDELLGDDLDDGEEA EPUS_05657 MVLEATMIIVDNSESSRNGDYLPTRFGAQSEAVNMIFNAKTGAN PESSVGLMTMGGKGPEVLVTLTTDIGKVLDGLHRTRIWGEGHLSTGVQVAGLALKHRQ NKSQRQRIIVFTCSPIADDEKSLIKLAKRMKKNTISVDFIAFGDLESDTKTKLEAFNE NVKGGEGSHLVIVPPGPNLLSDVLLTSPILAGEGGGAGGSGGENGVPGSGEGGAAGGA AAAGGPGFDFGIDPSVDPELAMALRMSMEEETNRLERQRREREEAEQKEKQEKERLEG IPEEGGAAQAQPQTQTQGEPSTGSGGGEASGDGGEKKEGGEDKKDGGPDAAAGAGVPD ADKMDTA EPUS_05658 MTLPVHVTDPSIGSLPCRCRSCTFFACYYYPPPQLTLSLPITPH GPYYCYRTVYTLLIINKAGGLVYNRDFSPSPNPSVEKISTNDYLVLAGTFHGVHAITK SLTPRIPTRNPTSSSRPNSNPNASNIAPSLDNNPPSSQQIQTTTPNPNLPVTGLETLE TSFFRLTCFQTHTGTKFLLITDPLMPNVDAVMKGVYERYADHVMKNPFYQLEMPIRVE GWDRAVGQWLGGR EPUS_05659 MGDPFSIVAGAAGIVSLGLTVCSGLLEYYGSWQDSASDVVTMCE SLEALTKTFQLLDEKVRHPLLDRASVDRVTESIISCAAGVQKLKSKLDKIRDVKPGMS AHLQRAQYPFRQKTLMALHQTISDLRSNLGLAASTLQLDISVTSFHRLNELDTKVKNL IDTSGISSTKVLDGISELRLAQKEEKLKSISEEEREAIHWLSPLDFASKHADAVSRRQ QGTGRWLLESSEFRSWIQTPGKVLWCPGIPGAGKTILTSTVIDHLEEQHKRRRVAVAY VYCVYNGAQQTAASLLGSLLKQLALQDNAIMDDIKSCQKQHVRCGTRPSLHEISALLR SQVRNFDEVFIIIDALDECPEADQVRNNFLNGVRSLLPHIQLMVTSRPIASIESMFKE DTQLEIRAHDEDIRTFIESHIQLQKELVDVLEGHDDVQSVIVSTLLEKTNGMFLLAAL HMESLSKEDNIRDIRESLRKLPEDLDDLYDEALQRIKCQDRRKAARADQVLTLINCAF RPLTMEEVRQALSIRPDDTVLDVEAMPRVDSLLSACCGLVVVEDESQIVRLVHYTAEE YFARIHQYRGPEAHWNIAGTLITYLSFTTFATYSPSNDSKNDKSIHSNDDVNPKREEI VEQTIALPFKKADSRDVIRQLIKGNILLRYTMENWGNHTREAVASFSQEDFKGLLFSR SATTKIHSNHVKSIWDLKQILQKLLQNKANIRCANDVLYRVERWSYFFRPRFQFPVDP TYLHIAAAFGIQYLVRDCLQQGADIDAWDASGTTALHRAAANGHVEVVQLLLDSNCSI DLRDAYGRTALHWSVEAARVSVVHLLLQRGSRPPKSTRGRSNTSPFTIAAERGHREIV VLLAEHETNQAERDEEMGKALQVAASLGNDGIVSLLLQEGNSWHIPKKYVKSAMLTAA RANRLIIIPRLLKAGVDVNAFSGSSRTPLGEASRYGRLEAVQLLLEAGADVNIKDKYG DRPLHGASSVDVVVLLLERGADIDALGQHNQSVMVRVANKRWEDKGAEIMMQRLLEMG ANLTAQDDFGRTALDYSVLDGNKSLAELLLRYDNLDVTRRNSMLRLATLYHAIIHHSI EATDQLLAEEELPCLESISELLLLHMPAQYGHEQAVRIFLKLGAGVEDRDSRGKTALH LASMEGHTAIVQLLLNWNADVNALTREGYTPLILSTRIPNRAIAEILMNRGADLNICG RELGKAHGTALVWAVKFKRMAMIKLLIKRGADMNIGQLHGGGTILHVAAYSYAYTPSC VELLIEEGADLESRDELGRTPLAVAARVGNTILVQPLLDKGADLESRDNLGQTPLVLA VRNCRVAIVHLLLDRGADPRALPSDVAPEDRDAIDVNFNRAVKMVVRRRSSC EPUS_05660 MAGLPSDIKDHTLQGVSCQMAKDPAHKPLQPLQTAQHPTHATTG ISDEAAKTAHDFISSTTRRMSDAQSPHSPGLTDELLQQTHVFWDSNATRRKSHSKYLP DETLPGMTDQVVEEVSVFVEAAEGEAHYD EPUS_05661 MSSSSSSSPERGPQLPLRPASTLKKHVQKSREIRTLEPDQTEES PSNRLHTTPTSVTTGTSCPSPNIEAGGAGPSNRPSNKQPQRRYTLSIIPSLNQHKEYS YPAILGAIERGNNLRDQLRLLHQELQDIQKNPDDDGGDDVVRREGIRQLKEAIDNTKM DIAVLDRWLAEVKRRERASALADRTVGSVTTSQHPTITSGATGLSPITGQPKRQGSAP ASSGFGKAISLKSADSTGLIVSSGAGRSASAFNSSKGRSHERWYPGMEPNEDALRNSA SLPTPGSEGKRAAHESAVEILLEEGTRNSDPNRAQREAPSSCGDMNVMTSDQNVNIRI TGSGACTAKGTAGPSNSRQPPSLPRSSSHQRLASTSAAPAPAPSAPAPSAPAPAPAPP ASAPPASASAPASAPPAPARTAAEEQDAELEIAGLWRSSREGAASSYVGNPIVTSPSV PTHPHRDVGWSCMGSGAGAGAAGYCHSGTQHDTPRRGTYQRLTGNNAVGSGTGRSVAG PAHGSIDQFLPAPIGQGVAAASGAVGSGIMGSATAPAGDIPSHFPPLPSSPWYTAGSG PTGGSVGGGAAGLAHRGPTFFPPSAGQWNAVPRVAVGNTRWTEAAGQAVGTPAYGTGL PVPGLPSNSTWGVPIDRILGLPGSSMPPLPGLSGDRIGGASGSPSGVPGPALAPPGAW GTGSSVTHGLSSGISRSSFPPLLNSWPAAGGATMACTQTDPPSLATARTVPNISRRSA AGSDAANVALYNQAAAQASHRPGAIITRPGKHWGVAGEVVAGRMTPTRARPNITEKDT RHAREGRTAHSSGAKRKAATPSSGQAGRSEQRAAASGSGADRRIDGPSSGRMGLSTSE QATRERGSASNAEAGIQTAGARSEQRDRNRGPYWTGQIGL EPUS_05662 MASPLDLNNIQGDILKGFPKKTETFYFFRIDDNRVKEFREQLTE LVPLITTTNQIKDDRRKIDENKKKGAERQHGPPKLLKMCGVGLSFSHKGLEKIGIRDD IGDANFKDGMLADAKDLGDQGSFSSDKFDPDWIPEFKEEIHGLIQVAGDCHDTVKDKL EEIKDIFSVGDDDATIHKVFRVTGDIRPGAHKGHEHFGFLDGISDPAVQGVDTNPLPG QETIRQGIILLGREGDEFNRPPWAVDGSFLAFRYLPQRVPEFNAFLKNNAIPIPDPSK PPELGMELLGARLVGRWKSGAPVDISPLGDDKALAKDPNRRNDFRYDPLSQERCPFAA HIRKTNPRSDLDSTEANRIIRRGIQYGPEVSAAEAATKRTTKDRGLLFACYQSNIANG FKFIQQSWANRVDFPFGKPIDPGFDPIIGPNQ EPUS_05663 MAPAAPSRQSPTSATDIEATSTLKLGDFADIPCLNISEARIILQ KTLDTRKSKGAVMRETETLIKTRDYLEIFSVFKEIPDSQALEGIVNVFGGKGLTNFEK SAIGSLVPSCADEAKTLIPSLVGKLSDDDLEDLCRQLQRLKFQAQQR EPUS_05664 MAILRSTLQIPKSLPRPRSPNVAMHSPRLPSVFLPPTNRSMRDL DRSFFQKIIPIAAATVFDDRNISAVRGEIQRAGNSLGVSSLKAIVVDETVPGGRKYPK TWSPTIAELVEKKLVGIRPYDLKLTYEDWSMHNILDATLPEFEEDDKETPAGFSLVGH VAHLNLREQYLPYKHLIGQVLLDKNPNVRTVINKTEDVGSTNPYRTFRYEVLAGPDDM DVTVSFSGCDFRFNFAKVYWNPRLHTEHERLISRFREGEAVCDVMAGVGPFAVPSGKK RVFVSANDLNPDSNAGLNYAIKKNKVEDFVTADCMDGREFIRRATKELAGRDTMVIVP QRTMMPRQRQRRSKSPPWKASVRVLKAPKTFQHYVMNLPASAVEFLDAFKGTYAGRSK EFTPYTETKLPMVHVYCFSEQKETEEGDRVAVCELVSKHLGHRILPSTPDTEIHFVRK VSPKKCMFCASFRLPPEIAFAE EPUS_05665 MQAIRVHPPESETEPPFSATNPAPSAALVLDTIPVPTLDKPGQL LIRVHATSVTRDELTWTETYSTDLPLLGHDLAGTVVAVQDDPGTNKNRTDSDLKPGDE VYGMLDTSKGSTWAEFAIATTDQVALKPKLLSWAESAVVPVSALTAWQALFVKAGVTP PDFSLITRTGSRAMDQGESARKIAVTGAAGAVGTYVVQLAALAGMHVVAVSSSKTCDE EFLKSLGATGVLQYEDLYHVKNEYDIIIDAVGGETLKRCWSSIKDDGILISIDSSSGD FVRNHREQTFTHDKQGVRALFFIVEPSKENLEQLSVALDLGLLKVFVAHEMPLHEARA AYDLANGRLQRRGKVVLTL EPUS_05666 MSKRTLDGFFKASPAKKAPVEDQSVSTRNLEPQSSDVTSHPTFP WAVPNLPRNIAAELETLVTHAGKEIKNQLHLDLLYFQPFVPRTIERELFEFLRSELFF YRVKYTIQRFGKQTEINTPRFTTVFGIDETSRFTAELPSIVEASDRTKSVSKSKYKCQ PRPIPECLDFLRRVTEAATNTEYNFCLVNYYATGSDSISYHSDDERFLGPDPAIASFT LGAKRDFLMKHKPVAPKNGEGPLETTPIKLSLASGDMVLMRGPTQANWLHSIPKRKGA DVDKGRINITLRRAMTPAGTENYYRYNVGDGGVYRWSKEKKAMLPWSAAGK EPUS_05667 MEFIQDACPSQVTVQTVAHTYGEMILASRLNSTYTNITEVLESK QAHQYYHRKIRNQQQVTYRFKEYNPKDVRKVYPYFTDRYITAEARNCITYHETGRDNK DPQNFTYTNANDAKDTGTITIPKHSLGREGTTYIYRGFHDPTVADQQSCGPRRLWMWA YKNPSGHPKNPSGDPKAPPEPSAFYKCPVNISEVINADPNQPKHFVPDEVAKMAAASI AMQGQYSGPPGNRSEQDYHSYRFYASGSAWEVHHKDADEVGDRFALFALGSLANMAAL NPPIQIPGTVPYLWSQS EPUS_03706 MATRIAYAFILLVNSILSWIMLTPWAIKKLQHLTLDYMTFDCGG EDHPQCYGFFAVQRINFALGLFHLILAFLLLGVKSTRDGRAAIQNGYWGPKIIVWLLL IIISFFIPEGFFQVWGNYFAFAGAMLFVLLGLILLVDLAHTWAELCLRNIENEDSRLW RSLLIGSTLGMYFAAIAMTIVMYVFFSASGCSMNQAAISVNLALLLGVSIISIQPAVQ ESNPKAGLAQAAMVAVYCTYLTMSAVSMEPDDKSCNPLVRARGARTATIVLGAIVTML TIAYTTTRAATQGFALGSNGAQNSYAQLQGEDYEHGLVTQQPSTRREMRAEALRAAVE AGSIPASALDESDDESDDGLEGKDDERNSTQYNYSLFHAIFLMATCWVATLLTQGMNG YKDSDFAPVGRSYWASWIKIISAWVCYAIYVWSLVAPVLMPDRFRN EPUS_03707 MPDLNSLPPSRSPASASPSIQPRATFSHTSLPIADPHPHLQRRD TPSPRSSSISLAAAATMNAADMSRRNSATSNTRGSPRMGRGERRRSTVAMSLSLNDPT LPSPGELSHNDHRTNLFTTGSPSSIGGSSVIATGDPHHHHRTPSLGEIHQELEQEQEA QVNRLLQMIRTQQLQLEQMRQYQQDHSARHQTSASTATSGAPASAGAAGNTAVVDDST PTSERSFSIPNLLPFSPAPQAGPRASRRNSRPRTGSSATSPALRPVPIHTQHETSHSS HGSADWPPSPVEIARRNSSRDESAYYQAETATLTRENQMLRLRIRELERQVNEMNSNG GTAHASPNAPITGSNLNLLVTTEREGADTEQTDSGSAETNKD EPUS_03708 MSAGLSYLQKLRKSDLTELAETSNLKDYAPMRKSELEVALDHHL RANQTKYHDDPSLSDYYKRLGPASPTKRVISTVKSEAPEKKAVRKKSRSTEETGSTSD REPSLTNQITSMVKTPRRSSLSIPSSVPLPPSPAAVTDAIDRQTARIRKRVAGLYSES GIHETSESFRHLLSSPLAINIIALILEGYGLRQKILQSGIIGFVPAVPYFTDSKTPVY LPNLFLLLDKPFWAPFSLWVTTSLLLPLLCAYFINIPLKASLKHHYGTRRATSFQTAP SNQFDPLVYNIAKALIAYIVYAQHSTLLGLFTSDTIETVTNNVVGGYQGMITGAIIAG IMALYEAILKRQ EPUS_03709 MRGLREKMKDTHLYDVKVKAIHMRNTLGKFGNLVNPNHRHDEEH EQITDRKRTAIGESHRFESFAPERTGNNIKWYVDGRDYFWAVSVAMERAKETIYIADW WLSPELFLRRPPYFNQEWRVDQVIKRAAERGVKVYVMVYKEVAQALTCNSAHTKYALR GLCPEGQPGHGNIQVIRHPDHNVFENAGDMTFYWAHHEKFIVIDYELAFIGGLDMCYG RWDVRQHLLADVHPSGVQNEVFPGQDFNNNRIMDFHTVDDWANNELNKTEYGRMPWHD VAMGVIGDCVYDIAEHFVLRWNFIKRDKYKRDAKADWLVLEGRAGEDEDLIGVQRPKH PVGDYVQHPLTPLSSKPMGQQGTVRAQLVRSSDDWSSGILLEHSIQNAYCEVIENAEH YVYIENQFFITATGDQQAPVHNTIGRAMVNAVVRAAKEGRKFRIIILIPGIPGFAGDL RDDAAIGTRAIMDYQYKSINRGEHSIMGQIAKAGFDPHQYIFVFNLRAYDRINKTPGL IEQEKRSGVKYQDLQRAEAEEIMGSGIHGDPAEKEDRGAKHPGVEANEDERQKIIDRK RRFEAARKQMDEEDPMHSTDSIAEDAMARGGLVSEEPWEGEPQDEVDNFVQEELYIHA KLLIADDKIVICGSSNINDRSQLGSHDSELSIVMEDAHVLESTMDGKPFQAGHHAATL RRHLWREHLGLIEAQPLNATKDPNAQPPTDCPNDVGSGEEFEFVADPLGDEVWDLWTT QATTNTEIYRQLFRADPDDHITSFEDYDGFAPKKSIKQGHLHDKFIPVEEVRKKLDQV RGHLVWMPLDFLKDAEMAEKGLQVNQYTESIYT EPUS_03710 MSAGFPIVQGGGSLILAWQIKGKHVLVIGGGEVAAGRIFNLLNA DARVTVICPRQGLTPEVAYRVDSGQICHTDRIFLPLDLEPERNVSMVLTAIDDPEAST RIWKYCKKLKVPANIADVPPECDFYFGSVHRDGPLQIMVSTNGNGPRLAASIRKQIGD MLPKGTGQSVAKVGQLRRKLRAVAPGKGVDSINKRMGWMSEVCDRWSTENFNEMDEED MERLIQSYGPGVVPSFEDVRLRRPTNVVWDFDGSFGWL EPUS_03711 MRGVKIFSGRSHPSLAEAICERLGTSPAKCDLGNFSNGETSVQI GTSIRNQDVFIVQSGSRKINDSVMELLIMIAACKGGSAKSITAVMPYFPYSRQSKKKS HRGAITARMLANLMSVAGVDHVITVDLHASQMQGFFGKPVDNLFAEPLIARWIVSNVP GWRGAVVVSKNAGGTKRVTSLADALKLNFAIVSTDKVRNQQHTDGMGSSMFFESLEPQ SVRPVRTLSDDEAENEPENATSDPVSRRQSCGRSRHPVNGFSGTSPAQNAHAAGAPLR FVSSVPASSPLVRNTRADSVSPPQPPNRPNQVNTEPSARRPSEYESAEGYNDERAREV ITGRLIHGHIVDDDYPSPAMSSMSASIATLPGERHVQDAMGNPTHDPMMSSFVSTVSS LQPDHALGGSFDPAATSDEEEEGIRNPELEHTITLVGHVKDKTVFLVDDMIDKSGSWI AAAETVVKKGGAKRVYCIATHGLLGDESLEEMEECDCIDYIVITNSFPIAPHRLRHSK KLVVIDLSNLLSEAIRRNHHGESISALFQLHD EPUS_03712 MASNSTKEAAKLRELSPIVEGPPPALPKGTIDPIYAAKANALNA AVQVIGMGWYQWQLFVVVGFGWASDNLWPIVTSLILPPVSEEFDLDRPPLLSLAQNIG LLVGAMFWGFGCDIFGRRWAFNLTIGITSVFGLVAAGSPNFVALGCFVAFWSFGVGGN LPVDSAIFLEFLPGSHQYLLTILSVFWALAQLLATLIAWPLLGNLTCQETSTTCTRSE NMGWRYFLICMGAIAMVMFFLRFVCFTLFESPKYLMGRGRDEQAISVVQEVAKRNKKS SSMTLMDLQVFNQEGQQGTNASAVLARKLEKLNLTHVRALFATKKLAYSTTLIMLVWA FIGLGYPLYNAFLPYIQSTRGASFGDSSTYITYRNQLIIAVLGVPGALIGGALVEIPR FGRKGALSISTVLTGVFLFASTTALTSNSLLGWNCAFNFTSNVMYAVLYAYTPEIFPT KDRGTGNALTAMSNRVFGIMAPIVAIYANLETAAPVYVSGALFITAGVLVTILPYEPR GKASL EPUS_03713 MACNTEAEEVLIDDSPPPINPYEVLGLDVQASADQVKSAYRKQA LKHHPDKATPETKDEAHAKFQEIAFAYAILSDSRRRTRYDATGNTSESLDIDDDDFNW TDFFRAQKAEMVDGEAIQRIKGEYQHSEQERTDLIAAFEQCQGDMDAVYEEIMCSNVL EDDERFRQIIDDAIDKNEATAWKKYKKETVSQRQKRVKRARKEETEAREYAEELGVAD KLFGKKKTTGKKKDDISDLAALIQQRQKGREDAFLDSLEVRYGERKPTKGRKRPPVTE PPEEAFEKNRKKKARA EPUS_03714 MSSTKDHLYYLHQALELARESPPRSTNFRVGAIIISNPLSEGSS PTILATGYTLELPGNTHAEQCAIAKLAIEHGISETQLHTILPHEMNATLYSTLEPCGR RLSGNLSCVHRIIATRNKTPGMSGPKDTSSEGGIRKVIFGAKEPSTFVGENESCRMMD EAGIEWEYVEGLQDKILQVAKEGHTAVHTSGTNVDDMDDAERRRQEQIPRNSKKRMME VPPP EPUS_03715 MAIADGQKPLKEKAAAWYNKAKSYIASVTPPSPVDAGETAVAGV MVETITKNNWRRKMLSTTGSPNNGPREWLVMFSGNTSCYGRCEQPDKAFNESAAILAS TLSPPRLGRIDCDKDNLLCTTWSASIPEIWHFLISVPTGGQSSAPSPLHIVPIYPKNV TAQDIVKIHTEKAYLNRPEYTGAYHPMDGWLQQFGLLEPLGYVIWAVGSTPSWLFMIG VSFLRLDEWVAAVGQVPPVFVLPRKRVPHSNKDTHHLSVSRPKDAYTGTLKLKDDVIK GP EPUS_03716 MSLQNHHSLVRWMSSNRGYLHPNIELAFNVEKGFHARVIAGRSV KAGSCVARCSTTTSLSVLNALHTPPFSCRGTRFPSAFLRHQDIAIVQCFFLMEQWILQ ESSWWAPYLSTLPKPDDIETLCFTDQEEDLASLKGTNLESAIKKQLDVWRDEYSKGME QLRKLEWPNAMNGKYTWGLFRWASTMFGSRSFTSQVLSNTLPADKARPMGHLDPDHEV LSNLFEDGFAVLLPLLDLLNYRPFSKVEWQAGLNEIGLQVLESFDGGQEVCNNYGPRD NEALMLGYGFAVSANPFDHYSVGFKVPPGSPLEEARRWRATQSLKANKKGKADERYRY YIFNSEHPRAKAGHCLETSIFSQDLFESISILSANIRELQSDRFRATGTILEWDTRGM TDRRQCRNLLHTLCQLRLECANRLELLRMNMSRSRNGSKPTMSQKQQYAEIYSNSQLV ILETAALLCRYCILRAQTTPKEDTLIISSAAAAERIPHTSPAVVNVQHLVHRMHSIIG FRTLFSFSLAVDLLPETLALKIRNAAEAVSKALERKAQASFSQPLAVYNQLPEKIKYT FLLAALRKAYTDRSDTLPKPLKAWIRDLQSWYAFDDPFWNGPTEDFVPTLELLMEATD CLVPETFDPIMNDVWSDPQMICWAWNVQEEEGLFSDTEVSESNSNGAVCQPSTYLLCI PGVHLSNRLLD EPUS_03717 MIVRPAAQATCKAGAAAASRSAPTTATSILSSRSQQRTFATVQE GTPQRKYGGLKDQDRIFQNLYGHHGADLKSAQKYGDWYKTKEILLKGHDWIINEIKVS GLRGRGGAGFPSGMKWSFMNFKDWDKDNKPRYLVVNADEGEPGTCKDREIMRKHPHQL VEGCLIAGRAMNATAAYIYIRGEFYQEATVLQRAIQEAYKEGLIGKNACGSGYDFDIY LHRGMGAYVCGEETSLIESLEGKPGKPRLKPPFPAAVGLFGCPSTVANVETVAVAPTI CRRGGKWFAGFGRERNQGTKLFCVSGHVNNPCTVEEEMSIPLRELIDRHCGGVRGGWD NLQAIIPGGSSTPILPKKLCDDQLMDFDALKDSQSGLGTAAVIVMDKSADVVRLIARL SAFYKHESCGQCTPCREGSAWAMQMMQRFEKGQARAREIDMLQELTKQVEGHTICALG EAFAWPIQGLIRHFRPQLEERLKGYAQKAGGEALAGGWEHDASKEGHLIAPGQ EPUS_03718 MTPGLVDSTVPSLRQTSLDALTRGYDDTVRFYLNGTKIELSTID PEITLLEYLRGIGLTGTKLGCAEGGCGACTVVVSQMNPTTGKLYHASVNACLAPLVSI DGKHVITVEGIGNTEKPHPAQQRIAMASGSQCGFCTPGIVMSLYALLRNDPEPSEIEI EEAFDGNLCRCTGYRPILDAAQSFNPKRTCGKSIANGGSGCCMNRREMNGTANGTAHG EASGEFNSADKVQKRFQPPDFIHYDKGTELIFPPALKKHQFNALALGNERKRWYRPVT LQQLLEIKHVLPSAKVIGGSTETQIEIKFKAMEYTASVYVGDIAELRQYAFHHDFLEI GGNVALTDVEIICDEAVKHYGPARAQPLVAVKKAIRYFAGRQIRNVGTPAGNLATASP ISDLNPVFVATESVLIVKSLKTTTEIPMGSFFKSYRVTALPEDAVIASIRIPVSREKG EYVRTYKQSKRKDDDIAIVNACLHIAIDDGGKVEGADLVYGGMAPITIQAFNAMEFLK GKPFADQITLEGAINALGKDFDLRFGVPGGMATYRKSLALGFFYRFYYDVLSRLEGTT NIEKDLIAEIQRDISTGKKDHDVSLAYAQKVLGKANPHVAALKQCTGEAQYTDDIPKQ MNELCGRLVISTKAHAKLVSVDPSPALALPGVVAWVDRHDVVNKKANMWGAPVCDEVF FAEEEVFTAGQPIGMILANSPQEAEAGARVVSVKYQELPAIFTIEEAIAHQSFFEHYR YIKNGDAESAFARADHIFEGIARMGGQEHFFLETNACLAIPKPEDGEMEIWSSTQNPT ETQAYVAQVTGVQANKIVSKVKRLGGGFGGKETRSIQLAAICATAANKTKRPVRCMLN RDEDIMTSGQRHPFLGKWKVAVTKEGRLQALDADVFNNGGWSQDLSAAVVDRALTHID GCYHIPNVYVRGRICKTNTVSNTAFRGFGGPQGMFIAEQMMEEVADHLGIAVEKLREI NLYQPEQETHFKQSLQDWHVPLMWKQVVAESDYERRREAVDRFNQEHKWQKKGLALIP TKFGISFTALWLNQAGALVHVYHDGSVLVAHGGTEMGQGLHTKVSMIVAEALQVPLEA VYISETATNTVANTSSTAASASSDLNGYAAFNACEQINARLKPYREKLGPEATMKDLA HAAYFDRVNLSANGFYKTPEIGYIWGPNPADPSLENTGKMFFYFTQGVCAAEVIIDTL TGDWSPVRTDIKMDVGRSINPAIDYGQIEGAYVQGQGLFTTEESLWMRSTGQIFTRGP GAYKIPGFRDIPQTFNVSLLKDVEWKNLRTIQRSRGVGEPPLFMGSSVFFAIRDALKA AREQWGDTSVLSLRSPATPERIRISCSDPLLKKAEVEPRDGEKSFFISI EPUS_03719 MVLHNPNNWHWVNKDASEWAKEYLGQTLTEIKAEEGDVSAAITK VISMDGDVDVSQRKGKVITLFDVKLQLEYEGEASDANVVSGSITVPEVAHDTKESEYV FEIELYSETKEKQPVKDVVRSKIVPQLRKALQELGPALIAEHAKDIQHTADSNPSSGV ATPTWHPQKDRAATPLGAPSTTTNTAQSSINTTTVTATDEFRTTAEEMFQTFTDPQRI AAFTRGAPRLFEGAKPGCKFSIFDGNVSGEFVTVEPPKRIVQKWRLAQWPAGHMSTQE IVFDQNDMDKVTNTRVTWSGVPVGQEEVVKRNWEGYYIRSIKQTFGFGTFL EPUS_03720 MADSKLEQKSAQAAKREIKHQPTQAQTNDAKQTNPDSPQQKPKQ EPESNSKQDPAQKTKQVPQKAAKPPPKEPLKQSQTENQKKDLNDGEDLTTILDESQRG ELTLLIANATESMRKLIEDNFSPSAGLNKGLLRENMTEDEKLMSAEIDPGAADVAAFD RERKLKEQYEKELNTPKMKELKQNALRAFDEWRQTVMERVGQVVNSERTAKGQMEHEA KAGKAQPQAPDQNRLESVLKKPPNQGIRLKFKDLYPPTKTHLTKMSMQQRTLIVHALF LLLLSLEHYNAPSRVLLLHVVSSLKLPLKTFEQDESTVAKGLLEAAKELTADEETKKK AEANKESRKWKVGLATAAGAAVIGISGGMAAPLVSAGVGTIMGSLGLGATAAAGYLGS VAGSAVVVGGLFGAYGGRMTGQMMDHYAREVEDFEFLPVHSRNRTSEKAEEGAQQASE HNHKLRVTICISGWLTEKDEVVSPWKVIGRGAEVFALKWELEALLNLGNAMNGLVQSA AWGYAQQQLIQQTVFADLMAAMWPIGLVKAAGVIDNPFSVAKGRSEKAGEVLADALIN RAQGERPVTLIGYSLGARVIYTCLMGLANRKAFGLVESAVLIGAPTPSDTSDWRTMRT VVSARLVNVYSDNDYVLGLMYRTSSIQYGVAGLQKIEGLPGVENVNVSEDIQGHLRYR YLIGSILKKIGFEDIDMQAVEEEHVALEKMEKEEKKNSLRAQKNRLLRRQSTGGVEDE AKEAEDEANEIQKQVADKTQKSLVTRVVEYIYLPKTPSTKDIERNLGNIQKAAADPSE AGAVAMEALKDIQASGQSYAQWAAQKLPHLPGRGGSATKAATGPSKAATGATDTASKT ASSAAATAQSYTQVAASYLPSLRGRSRKSFKAPAQAAGDTAKTAEKAVGQVPGVSSVK DTAKPTVNDASKAVGDAASSGKDAAQGAAEKAEGAIDQTPAEDVKDTAKSSAADASET ASKTAEGAKEAVSEAVSEGREAAGEAASTAQEGIGDAASKGQVAAGDSVSTAQQSVGE AASSTQKAAGDTAGKTAEAAQSYTSKVAGYLPTFGSGKKDAQDSSKATGEVAKETSRA VSDGSKAPTKAAGDAAKTTSKAAEKTADTAKEVAKGKKPSVSNVRKAASNTTSAAQSF TSRATGYLPSLPSVPGRGKEAAPADDTRKSSDAGRADSQKPPPIIHQDSSKKESEKSK QEQEDGRDSLPKPEEQSSLSRAAGYLPSVPSVPGFGGSKADTKPKPPAPDRNPSESKK KAAPKLERKPSQTPSKATAPKLERKASSVTRSIPKLERKSSEAAKSTPKLEKEPSEAK KSIPKLDRKTSGVQDSPSAAKLERTVSGAQKSTPKLGRVPSGVKSPPTTPSKPARTPS GVQPPKPNRTPSGVQQSPSATATKQAEKTTSGVKSAAPKLDGLKRGQESLQKSVSGLT GTLGGLGGLGKK EPUS_03721 MSALILLSTFCFLLPSLSAYPSPPTTPWRRQVDNTILSFNYCPA APWPTREGYTITSRLPDSDLQAALAEISASNIKSYISDLVSFGTRHTLSTQNSSTTYG IGAARDYITDKMRTFAFASSGRMTVTVPSYTQPADGDRVLFPVRIADIVATLRGSETP DRYYVISGHYDTRCTDPNDYNCLSPGADDDASGVAVSMELARIMATRNPRSTLVFAAV AGEEQNLYGSNFLAQTYKNASVNVAGMFTNDIIGSSTGPDGTEEPHTIRLFAQGLPPA GVESAARAASRLIVGGENDSPARELGRFIVETAQNAYTGMKNIALIYRLDRYLRGGDH LPFLRAGYLSSVRFTEPIEDYRHQHQNVRIDNVTGEQYGDLEEFLDYDFIARVGRVNL AAMWSLSEAPAAPANVSIDATALTNNSTFTWLPGNETGVAGYEVVWRPSVSPYWTHAI PVGLVQRATVQVSKDDVVFGVRAVGTNGYKSPAVFAGFPG EPUS_03722 MEIAERLKTVLRKSQDLLNIRNAQRAVTSPPSYDAVLLQKHCER LLQPTGTPLHGVAGYLREFDPLIIPPTPEASEKAVQKHLTALSRVNDASTLEYARRSC GGTRRKAYDRHFAHLLTVYEWSWLHQIADALIDVFEKRSPRNFEIRPIRKLRRQQTSV VMAQQYADSQSEGPVS EPUS_03723 MARANGEYPYPLRLSSPIFHITPDSPWRTQISATWEYLLQHYHV AANPILGSQVDILLAPHYTLHDLRRIASSAIRFEPAIEALLPENPHRHPETQGPRNPG ARSNWLDSPSLARQGKSRYQSIAAIEIAPDKESVIRLMTGTKNETEPWSNRSYAWSFL DLFEHNMIGFRKQPTPLNANGVLDWAEFLITFIRAAVHFGSGVSLRGFVSDLRGLRSF LAAVPSVAGVNEPRRWQTLWAGKQANAAVEPAPYWGPPWERVDSAECNRVRTRLRNDV NRIRGEGGNVLG EPUS_03724 MASSASLPTSFSKDPQPRVEPPAGPSNVVPTTRPPLEVHPTAHL DPQAYIQGTSTITLGANVVIHPRARLVSVHGPLTIRAGSVILERCVVGGPVPDPKEPL PPPPEAPVNTVVAQNVLLQASAEVQAGAFLDEACLIEPRAVIKKGVHIGKHTKVCAGC VVDRSVRDWTVLWGDGQTRRLRTGAIDPEDGRLKALEIDRESTAGLLTTAAAKATLGK RRA EPUS_03725 MAAEVDVRAVAKFASVPETTVVAVLEHPTVQLVRSLLHNIGVKA QEYDQLKSQKFRLEVELETAVRTSESKVKALRGSLEKGLAEVTRLRTELQNSENARSK AQHEIEQIRSANSQDAAEVSTLKSRVSSLEAANRDTLAVLDSKSTAYDKLAEELSSQQ QKAQTLRRRVSELEQNLQSANSAAASTRFREQSLQQELELLKRNNEWFETELKTKSAD YLKFRKDKSARISELQQLNEQYISEAESLQRSEAALRSRLDDQIQKFEDSLTTIQELR EEAVQAADAFRVELDSAGRLADLQKASADTAKQRAQELAIALEEAKEEAADEIGRIRA EVETEHNDKVAAEQRISELESTIEQLQSELDLLKAQPNTPQRINGHGTMDPTHPDTPT GVFSPGSASRVKGNLSMTQMFSEYKKVERELASEKRHAEQLSRSLEEMVENLEKTQPE IDELRADHGRLQGEMVEISTLRDKATKERDTAVREMRKLQGQVDQLRKERDVFQQQVR DESSQIKILLMEQHLREKGQELSQEELKDLQRAAVGDQNDLAAMSDTGRVISENLTVF RNIIELQEQNVKIQSMLRQLGERMEISEANEKHPSRQQEHEELEALRARVTMYKDELQ NMVTQSKSYIKERDMFRNMLVRRGQLPAQVEAGAFAQSMPLPAAGSPSRGMAGSLQGS ITGEESDYAKLLKDLQHHFDSYKQEAATDNTALKNQLNELSKRNSLLQIDLSKQVSLL SAANQRGEMLQANYNMLKAESVELQKRSEAIRENATKQEVRTQQAAEELVEARGLIDG MQRETANLKAEKELWKSIEKRLIEDNESLRNERGRLENLNHTLQNLLNEREQSDTESH RRLQRQIEGLETELQTTKRKLDSEIEDGKKNALRREYEHEQSQKRINDLMASLTSTRE DSIQAKAARDHLQARVDETTIQLRSAEERLQVLQEKPSADPGTDNPVGGGDDPLTRVQ ELSVEVSELRRDLELKINELERINEEVETYKSISQASEERLQELTETNDQYREETQRT FEEKERAISELQRRAEDISTELITTNNELTRLRDERSASERKLDEQKAAFETEIVRLR DQIDEQKARADALLEDVKSQAGIATQYQQNYEDELVKHAEAAKTLQTIRTESNQLRLE MVELKTRAESSINSLQQKEESWSEQKARYERELADLRNRREEVIQQNTLLYGQLEEVS KQISLLQRDRTSLAENESDSTSGPGLENLQEVIKYLRREKEIVDVQYHLSTQEAKRLK QQLDHTQSQLDETRLKLDQQLRADADTERNALSHNKLMETLNELNLYRESSVTLRAEA KRASNALVEKSERVEELVAEMAPLQTRIVELENLVELREGEMKLLQEDRDHWQARTQN ILAKYDRVDPAELEMLKQRLSSLEKERDEAVAARDSLQTQVEGIPQQVEIAKQDLRAR LSEQFKARNKDLTGRINQKQTELDTANSRRAELQTELDSTREQLKSLQDQPPASQING VQEESSTNTSHPTQPEPGSPPNENGSSARISQLEAELATKDQEIERLKAQQDSIFKAR ETELRSMLNKRLAEVKAQIQAASANTIKELEEKLQARQEEIEALRTEKMAPQDSSATK GANPSGETAAVSQPPETNEELPPLSADQIRILVRENETVRSMIRTNIRMAVDKEKEIL RKEIEEAQTASGQALPNSTTEELERKCAVEKEALVKELDDKFKAEKQALLKAEEEKRA SERQALLKEQEDKMVREKQTVVIELQEKFAEERLVFSKESERKIEDQVALAEKRNAVK VNLAQSQARNAMAKVQVVTKAATETPEKPVGEVWAVAKDAKALPAGTGTGTGTGTGTG TGTGTGTGAGTATATATATPKPTTPIPASSTPSSGANQKAQTEQTASAEKAPDPRPQP STTHIPTVKSEPPTAAKPDSISITPNPSQPSEATPKPAPTNHADPGLAAVRSLHTNLP RGNRGGRGGAQANQLNQEASTSNTPQQSQQVAGRGSGIPRGGPRGRGQGRGGAPHVQT HAAQSAGQGQASGSPRGAMNPQARQFNPQGNKRSREDGPGDDQGGKRIRGGGAGT EPUS_03726 MAYDPIAQADRDVLSEGSDSVVSGSPPPDDFFRPISMQFENTGE LNIEAGETNEHADTRLGSLMRSMASIGTSYDMLEDDEYEDTQQTPVKNKRKNMDACFA PPQPHSSVGRLPAASIGPKLPLRRPTPDLQSVQGAYFKNVERLEESAERLSMTSSMDG ELQKLKFERRQGQGHSSAPSEYAHPTQHTSRQVSTSSLSNSIIGVNASPTSAGYPPSR HVISPKGSVLSGSWSHTSNPGRSTSKSSRFPQPLADHDVAQTSPEHFRQYASPTDEPP QPPSHTYRPEKHDRRPSVEQSPEYDTEKPDEFRPSTSASNDTYRQATDLFVDFDGVHF TSHSHLSPNRQVSINRQIAINQPPLATDAAAFTEPPLDEGMVYYPAPVPMMLNLPQKL SKQPSPAERERRRLKALSAMPTEMRKSAAWLNKTEKTTSADGTRTSQALSSLPPQLRA SAFFQKPALTQDIDIKCASAVDTLDSILDASAHAPVSAFTDHPFAGHLGGEIYGKRNV HDKGATLETKKNRRSSITNLLRTSTGPTLDTKDAPPKSPTGLPIISQNKLTKRRSKLE INEREAALVGAEATPLTMLAESTDAKDEVTQSNAEDPDDEDTKEAIEEDNREEEDLDT PAFSGPPTTLLAELQMRKAQQRQRNRTAANAFPNGMHSTLLELDAVAQFQQKSRKNKH ITLAWEDAEVDDEAKFDDDDVPLGLLVAGQKAQQNIDRPMGLMEKRDMEDNEPLSCRR ARLRGDEVNPQAVILPEQQRASTVYTLDVPALADKVLDEDEGETLAQRIQRIKTEKGT TTGLGAEFASEISSLLGLKTENVAPTATTPEAEETLGQRRKRLQEEALKGSRQPSAGS VHNVLEPKPPSSMADLLSQHPAVNVRPPSNEARTIAQQNTSLNKVGQHNSPLSMHTFP QPVMNPYNVPGTMPAYPSFNSGMPYASGVAGFNYNNPIYSPGLAIQVGRYGQNAYLHD QMMMGPPLDAKQRDMIDRWRQDVAP EPUS_03727 MAPSAMLSKLRGNHHGSYSTSSHPDDHASLPPLYTSADPAKFDR PSSPAASRNLFPGFPISDVSESPTDEASAFRMTALPRSSDEWHHYRPTSATSERAAST TSGTLSSVASRAHNFVFSLQPPKKFTASLTPNESPTMSPNAGQAHLYGDRPHPKNVSA SSLSIAEDNASHKSGSKQGRGKLYLLNPLNLLARRRTSQNQPPRAEEVNPSVHTPNVP NLPVNFDPRIRGKIIHDFSVPKASRLNSYTGLSSAETSPSIESCPSTRWNRRTSDQLP PFAAMTSRTPQSPTHSPLFTEHFDDDRRPLQQHNTGYLHTLASSPAIKATSDPPRLPA FAKTLPLDIFGEVENTDRATAPALAESNFLSRKIDALPQPNLDSLENRNSSQASGTPV SAVATEKQVAPTNDFLSPAENLPKHMSSTSSRFSFQLGGVDSEVQERLLEEKHKEHAA LKVWLAKNEQDVDSEEDRYVDDDFDDDDGLEEKIPGINADSDEEPEGHPSQLNKYTDI AIGYGDGLEESIPGVNVEFDEGQHGLQHQSVDYFRFTPLPERMSPSPHTNISQTTPRD ADGEVIGRASTKISPDPSFTPRSLEFSPKVLEQPPWLSGLGIIPLSDSGSPNLTSPAH QFPPQAPEPQEEPDDLYFDDGHIGSPASASDGETFDEDIFDDESGKIHDISAQNAQKL EVARQQNSVPLPGPETVPQWTYAVDPGGSNQQFTLSIAATSEPLGEGFVRRDQQAQAD VQGDAQPNGLTEDNLAYQNALVSAATQAASQGRFSRHLSTSQDSEDLNSRSQIADSQP GLISDDSRISRLLDNAGAEDESDEFPFDDSLEDDPMIAEANAEVLENDDDGFYGQEFG FYARVYGKGNSEKVNGGFFGPRGVEGVHRSHSAKANFQEPSLTPITERSEWSHRNSFV SINPLGLPSAGPAVPGVSHLLDLDPDEMSLSALMKLRRGAWGGSQTSLNSTSGSQTGN SPLAYPPLKDSFGQPPAALDNVHINRNDCTGHPHSSAAMLPEVFEEERTRQSSTAAEM QKTPWKLPSDVGLHGTLQDNYLHLKALSQTTQAAEKPAKSHSRASSGAESVSYVKDPE GSGRWLLERRRTGDDGEIELIEREYVAGARI EPUS_03728 MLRATMSRPSSGLLGTTYRRSRAISTAISSGLVRERSNLALRSG RKLPAITANVSRRGYAMAVEETNKGVDPNDSFLQGNTANYIDEMYMAWKHDPKSVHVS WQAYFRNVEDGNMPISQAFQPPPSIASASQGFNLGSGVAMQPGEGGDVQNHLKVQLLV RAYQARGHHKAKTDPLGIRGEAEAFGYNRPRELEVDHYNFKESDMDQEFTLGPGILPR FATKDRQKMTLREIVAACEKIYCGSYGVEYIHIPDREQCDWIRNRVEVPTPYKYNVDD KRRILDRLIWSSSFEAFLATKYPNDKRFGLEGCETLVPGMKALIDRSVDYGVRDIVIG MPHRGRLNVLSNVVRKPNESIFSEFGGSQEATDEGSGDVKYHLGMNFERPTPSGKRVQ LSLVANPSHLEAEDPVVLGKTRAIQHFNQDERKFNTALGVLLHGDAAFAGQGVVYETM GFHSLPAYSTGGTIHIIVNNQIGFTTDPRFSRSTPYCSDIAKAIDAPVFHVNGDDVEA VNFVCQMAADWRADFKKDCVIDIVCYRKQGHNETDQPSFTQPLMYKRISEVKPQLDKY VEKLINERSFTNEDIDEHKKWVWGMLNDSFDRSKDYQPTGKEWLTSAWNGFASPRELA TEVLPHLPTAVPAEQLREIGDKIAGAPEGFHVHRNLKRILANRKKTVNEGKNIDWSTA EALAFGSLCMEGHHVRVSGQDVERGTFSQRHAVLHDQENEATWTPLNHLSKDQGQFVI SNSSLSEFGVLGFEYGYSLSSPNALVMWEAQFGDFANNAQCIIDQFIASGEVKWLQRT GLVCSLPHGYDGQGPEHSSGRMERYLQLCNEEPRVFPTAEKLERQHQDCNMQVAYMTT PANLFHILRRQMNRQFRKPLIMFFSKSLLRHPLARSSIEDFTGNSHFSWIIPDPAHQD QSIASHDKIDRVILCSGQVYAALVKHRESNGIKDAAITRIEQLNPFPWQQLKENLDSY PNARDIVWCQEEPLNAGGWSFVQPRIETLLNETEHHNRRHVMYAGRNPSASVATGLKT SHQKEEHELLADAFKVHQEVLKGQAKNE EPUS_03729 MTTTLATSNMRTSLRQTRTNPTRTSKTVGSSLRQNSLISNPPAA PPPNVQEHGFYPAITHFTDAITALPREFRRHTSLLKEVDAKAWAPEAHLQTLLAACVT STPPDSHLDDMQLSTHSNVAIRNRGIQSANTDGTLNSMMDNTSRASTHSHHDQQSFEH RRIFYHLRTTLTEMMVSMDEKNHLINSANEDLSRHLNRLHTIYPHIADEISEEARLGS LTHWAYIEDKPPTRTNAAGSRKEAAAGLAAMHDTDIASRSESRREAMLARKQKPTQVD SDFDDQRPMKRANANGKSRRAGETAAESVPGLGISGAAPAVKRKRAEKPVGGAAMERS LSGVLGGRAMSREPSQQEGTKKRKAATAATTAARKRINTANSATNSPKLASSPLVGSF GKDAHKNSPIPGTVRPQSTRARQNSTQGRPPSSASNRNNGNGARRATASTPELHNVSA VTGKSSDEIRNTMKESVNNKGQKIMEEELGMLANGTNGLRGGILLERRGSKGGASNYK REEACASEDNTSLSKTKSAASPLVPPQTMPNTNVHSTTTTTSHRPEREREQRPSRARS SKTSTPIVNTFAESDAGTRENHRTDAKFKRPASSSSKSARNKDPLHDSLSPSGLPPKR SHKKGAGLAAQAAALQAKLARQNSNKAESNLTDDHDHNSLDNNNNANYAISNLKSTSS PTFASATNNLNHVSSTITTTTTANNCNKVKTPSPPLDSAIESLDIEVEEKILVEEGEE EEEEEEEAEETLDSSGEEPRYCYCQQVSYGEMVACDADDCPREWFHLECVGLGRAPGR NARWFCEECKERLKVAGNVAGGGTGLVHGQALQVNWHLRTLFATTWESREEDRTSGGS SREEEEGITRFCRCL EPUS_03730 MSRSASVAQSTSRRKYTNKLHNEHVLIFGGTSGIGFCIAEACLE HGAHVTISGSNKAKLEQSLSRLRNSYPDIPTSNIRGYTCDLCPADTYNTLNTNYPNTK TPAPTPLETNLIQLLNQTTAQKTRPLHHIAFTAGDSLKTSPLKPTAPKDLTVPTIVAE AYLNAMRDGFCTGQVLGSDGGRLLV EPUS_03731 MAPQRHPSGSGFVTRPTLDVLSDAGIEVSVACRTLDSAKKLSEG VKLAKPISLDVTDNKALDTAVAQHDLVISLIPYTFHATVIKSAIRNKKNVVTTSYISP AMLELEQEAKNAGITVMNEIGTLRYEGFPEFVKVLVDIGFLSDEEQSFLKEPITWKQA TQKILDASSSSENDLLWAISSKTTFKDNDEKNRLMAGLKWTGIFSDSKITPKGNPLDT LCATLEEKMQYEEGERDLVSFKVLQHKFEIENKDGSKETRTSTLCEYGAPTGSGGYSA MAKLVGVPCGVGKSPLFLKFLPTLQSFSRGEGVLPPEGSLLTALGLRRCLKPHSLLKR EIANVLFHTAVKQVLDGTISDKGILAPMNSRINDPLMKELRKYGIECKEEIIA EPUS_03732 MALNYEALDVESYEIRLLTILPNSCGSAVRWNGKTNLINSTKYA ALSYCWGDPAMTANIFVDGIKTPVTSNLADALQYLRKLGTSNIWADALCINQTDKQEK GL EPUS_03733 MWHLFCLRYVPRERGTSHLNSNFSNLENLPQYSESIFAQWLKTN ATFTVHGKTLEGWTKEQKVYLMPVLRVLDFLSAMPDFILLMLLMLIHCPPFIIMSIFL SGDKKIWLTLILSATFFGLVLGEFSWIRDFRGLTQHSKLLWGDWTRLVEPGKRLMVAD KGFLGMVDDGAEKGDMLFYLVGCSPPVVLREVQGDRDGGRDRRRYEVVGKCYIHFTKK DQEEYLGSKAPRSPETASGRLRYERLKEEWVTKLKKKGAWEEIDSDLMTLFLARNRLD G EPUS_03734 MNCPSRNDDTDRHPHWNQNPPALTADLTTRADLNGIANTRELDG AEHSNQSDKEQDKSPRDAAISRAERPAGAEQEQEKEPGRKAFTLSSAPQEDPHGKTSF THFAAQCTRRLREILTKYSRFIGPGFLIAVAYIDPGNYATDVNAGAATRFAHLFIVLM SNLFAIFLQTLCIRLGSVTGLNLAENCRKHLPRWLVIILYIFSESAIIATDIAEVIGS AIALNLLLHIPLIAGCAITLVDVFVILIFYRPNGSMLHIRIFEYFVMALVLGVVVCFC IQLSYIQNTSVGQVFRGYLPSAEVVRGNGVYLSCGILGATVMPHSIFLGSGVVQSRLR QFDVNAGTVDAPTAADDSKSLDEKYRPSIAAIKSCLHYSVVELAFSLFTFALFINSAI LITAGAWLYNNSEAAEADLFGIHNLLSTTIAPIAGTLFALALLLSGTSAGIVCTIAGQ MVSEGMLNWSVRPWLRRLLTRSISIVPSVIVAGAVGRQGLNDALTASQVALSVILPFV TAPLIYFTCRSHIMTVITAPSENDAREGETGSAGVNMRNGWIVTIFAVLIWLVLVVMN VALLVLVGLGKA EPUS_03735 MPSAIAAAARIFEDTDADAKAARAMKSHKRTPSVGEFLRGRKNR DNDQTKLRANSPASRMSETPLGERHVNSPPQTRRVPVKDDATRPTMHKKTKSNVSIKS FIRDKSSDSGSISSSDGRVDNHKPKKSKSSTSLATLFKKKSKKNLKDEAPKGQENISP PASANVEITRSPIWAQFATQPLEDQQGKIHYPPGKRRTVQEEIDLYTPKDLAANRPLQ QNVHQESRGLAEKPPQRPFLEHRSSRSSIFKEDLGDDHDRQLDQKRLQSSPLREARPP LQPQSSHHSQPSETHEKRSSRVLAAVDTLNLRSQKQVSSTRKNQENSSLLTEEEINSA LESLLDARNIAPNMRSQMRGLDISMKSKLIQNQRGGSGSSTSSQTPTTTDRDRRDQGS SRPRTRDEEDAKEAKRSRSRPRSRAFTLTRRGDDGASPTKKQKGEESTRSRSKSRPKS VDMSSMRPSSSRSLKSSTSISSLFSFNKPDTAAVPGDFIHYLREVKKPELVEIGKMHK LRILLRNESIAWTDTFVKSGGMDEVVDLLYRILQVEWREEHEDNLLHETLLCLKGLCT TSLALERLAEMEAELFPKLLGMLFDEERKGPSEFSTRSIVISLLFAHLSATRHADETT LASRAKTILHYLKDPSPDEAKKPFDFIEQMHINRPYRVWCKEVVNVTKEVFWIFLHHL NVIPIVDNSNKTEQTTFTDRHYPPPRPPHPAAPYVGGVEWEATSYLAIHLDLLNGLLA SLPSRDERNSLRQEMRASGWEKAMGGTMRTCKEKFYGGVHEGLKVWVAAAREDGWPVE DVRAGPPRENPSPKKGTSPVKKRDEAPKLRLDIGVPVAVGGEGLGKGGAGWV EPUS_03736 MLRCRQAGQFTSQSRRKTLHGLQFSDQNRPASTTTSSPRTAPST TFRFPSQTSPSPSPSSLPRGTYRPTSRRPTQVRTAQPLNLWTAIKSIFGWKPTPAPYT PAPFTATTNPYRARKTWPPDFTTLHPKHQFHFEKTYRRRAKLKYARPRWTKGTKIVQF ALTVLIVGYWVFFLEVEGEGGTFWDAFRKMTSHAWNDFGKLPESNRQQMGTLSQAKLE QESAGAE EPUS_03737 MPAPNKAQERLSQVGGHLSHSSITPSSSPKTSILHSSPDDVVVT CALRTPFTKGGKGLFKDTPGADLLAGTFKNLISRSKIDPSLVEDIAVGNVLAPGAGAT EFRAAALVAGFPDSTAVKSLNRQCSSGLQACVDIANEIRTGMIEIGVGAGVESMSSQY GPGAVTEFSELLENHMQAANCKVPMGVLSEQMAKDKKIPRTEQDAFAASSYQKALKAQ EAGLFDEEIAPLTVKYTDPKTDEEKTVTVTKDDGVRPGITAESLGKIRAAFAKDGSIH AGNASQVSDGAAAVLMMKRSTAQRLHQPILGKFVAASIVGVPPLLMGIGPWKAIPEAL AKAGVSKDDVDIYEINEAFASQAVWCVKELGLPFDKVNPKGGAIAFGHPLGCTGARQV STLLSELRRTGKKIGVSSMCVGTGMGMAAVWVAE EPUS_03738 MGSCQPCIEDIRVEGTWSGDLSDPVNLTISIELVTKSRSHLSLI RNNHPAVLSTTVIAISKIPQNEDKLSPFRPSGPHHPLRQEPSPLPEAPEIPLFSQTAS LYEAASSHDSVLSPPPPSTSRELTIRKRLSLLPKPTSPPKQNPPLRQKPSRKLDSSPY SVRKHPVSLDKRPPWRPGGADDTMSRGRKQIGTDVAKRRVSRSTGTMQASRGRTRHRQ SSLPGQMLGTQQESQSLQAEESQNWPKTLPRGKATMIPLPAKCQHRDTTVKVTRYAEA QNASERLEKWLEDRRNDWAAEICTASELVSEGGIPEVFDAPDATLSLSPTPSSRAALP WTSLQGIRGGGSISKKSCSLGQDTPIATLVEDNGILFAFFQDCSAGESKTARLCIEIH ACIMLEAQPSGCHSLSIPGLPLQGGHAQGTFSLKIKGPATLGNDDFKAYEKVAYVDKD FSTHPLQHDQMSLTFSLATPFTVNVLCFEACRVLEPSNFEVDSNVYTRFDWENFEDDG ITAEHSMLCSLRLHPFLMWAENVQFKLYLVGGPSGTLDTCLTPGNRRIHLNGERCDSE HELEILMTCPVADLQKTFIISWKQSLGVAPFEMWLPRISGLYSKKLEDLFDLPYEDGI SINPRPCQKSRLYSMVAQEEFLKSSGDIYFFPENQHNPRTIRQSLIEKSGQFYDELTA EYDESTPATPTDEDFKAPLKHSIITLQPVKRPRTSTSDFLLNTRADAGNRPNVRKRSK KFTETGGAAEDVVEPINTVQPKASGAGASSFLIRSAVFMVNMLRGFFSHLAAPVRLLK IMLLTWLCLRAFNHDSVAQFENSVVAQAKEAWDSWDFEPVELRGDFTGWKHLLAKINH GAASVIHDGRLGAQNAIDVAVEESDGAVEDAPMVDDEVPAGAIDEGQPSAVGKEEEVN GQGQEAEKEHGLTLLDRIDLALGWKPPQAQGTA EPUS_03739 MAYGRTNARKSPEVTAARLLQNWVLDRKPLEPPPVIEILLDDND PYKNFLSSPYYFMCATLHDTNKAETSPHSRNADLAGTLVSSLHRVKDTENNDVGYFVF QDLSVRKEGDFRLKFSLFELQRGGDGMTASYIKSTLSKPFKVYSSAKCPPPLPSTFLT KHLQEQGIKLRTKKTQNSHGRRARRPEEWSSELPQQANNAPVLNRLPIPRSMTDSYQN RFPSTHGQNHFRRDSGGGYQVPLDTNFAYGEGSYAQTSYGSDSLPYRHFDRRQDPAPK RHKRNPSFPDIDTRYVLGSHKSTSHGNEEEPTTPHSASTSFNDNYFSQYVTQGRSNDS PSSRTLMSQPRRQYSSAESNHYPTPDSSRSYQGHAANRLSVGSELAMGYGGSTEPGRH HYPSSAETQGSIPAPAAMHPPVQGPSRPSPTSDSRYWQGSFENSTSYGAYHSRNPSIN ALPTGHLLPAPTSLQSRSSMENSLHHF EPUS_03740 MAAFMTIEEYHEEQIREDHQESDKEEDDAISEDSTDDQEDNIPF VEANPPEVEADGLSDVEATSTRFDDDSNGESGESETEAVGAVKVNPNAAAASTNGVSE DEQKLNDESDSEEDIEIRVRSSEASGTDSESSESEMEEFQASGSNEDEDGRPADSQNN CIFCEQGEEDDPSEDFEPYLSCLVCGEHSHYQCARENNALGQERDNEGWLCPSCAEIE QTPESPEEKFSRPRSSAPRLVRDLLPVTRGVQKRDSHSIFAQPLISEGEDMDGFRKLR KRKSPTNELPGSAEKKRRKATPRPLSEAVSNKQEDDDEMDELTTSPLKGPSGSTRRAS QKNVPPIRVIQHRPLNKPPAKFILAFHLEPSKLARIFGRKAKPKRVRDRKRPPRIQPP PSSAFQSPPHKFPALPTNNLIFPSILHERDHEVNSKPYGGILSEAEADTSRTLPSLRD REIFEAARKEAEEERRKASLAAETDTTNPEFANNPPTTARSTRTVSGPPSKIKCIQFG RFIIDTFYAAPYPEEYSHESRLFICEFCLKYLPSEPVAYRHKLKCPAKHPPGDEIYRD GSISIWEVDGRKKTEYCQCLCLMAKMFLGSKTLYYDVEPFLFYILTEYDDLGYHFVGY FSKEKRPQSLNNVSCILVMPIHQRKGYATFLIDFSYLLTRIEGKEGSPEKPLSDMGLT AYRSYWDLTISHHLLDLASGSKSTPSFSARTLMSRTGMTADDVIHSLERLYAFVRDPV TKTYAIRFDRKLYEQIISENEAKGYRKLRPEKLVWTPYVMGRSDHAALDGKHMHTMAP REGSAEAEEGEARADTNGDEQADEVNDTRNEQEQDDDAEPDATKSSRKLKGKGKNKGK GRFTSQSQSAGLFENPSTNGAPINELGHPAPAPPSTSMLSATVSTEITQANTNRINGT TEPVPAETRNGPHSDAPSSSSTVPTTTKPASERSTEEVLTGYALAYRTHKIPPSRFEI DPPIPSAMLAFARGRSKKRAFGSAFGSSASARRQSNTATMNGSGAAGGTGADGVSTPS VGMMNPPASARVRSSPRHAQQVNGNGNTNGIGIEGRVGQVTPLGRLSRIRSHGTPIRR SARARDRDGKTAIDLTLDGAEKKEDDVEKDDEDSESGSGSESGSGSGSETSDVVEENV DVEASEGGEDDQDAESEDAEGSGVDD EPUS_03741 MGVPFEALLPYGIMLAVCHHKCSASLELACRRYDTTPTTRKPQG ELLMLGTDRVSQPPTIHLGLIH EPUS_03742 MGGYCMENNTFMATVLRSLGYVLYTAGARVGNVLDDGYKGPQGY GGWNHMLNVVTVHDQKYLVDVGFGSSGAVKPIHLKDGEIVQSVPPARQRLVYAHCAID EC EPUS_03743 MEPSSPRGANHFSVLSSYSACDVSDALLKLQQPDSGEILHAGYL ADIGELVLNRLFSQNVDCWISTICTLPTAFGLLNSITSPLPESGPNAIPKGQHWVDLT DEGTVMVIEQPEGQSCAAIGGIMALRMKTRGAMGCVVGGRVRDLEELNNCGLPVSAPG TINRIWKPKASAPVLVGHAPSMPSQVCLCNALYPVCFFLFDMLDISFCSPLYPLYLRE PRGICIGITQQARKASVVSSPPVKVWACGKSTVGAGAESKVFARNIDVTIGGVKISPG DIIFCDPLEGVVAIPRNLLDKVISMVPKLVAADEKIKEDLHRGGSVARAFRTHRA EPUS_03744 MDEAQEATGPVRDKGRSNNRKRLFSPTAETLTEAPPPKRVNRGC DENDSTDDHEIASLKAILGLNENPDEALRKYLQNLRNEEDVQTFYNTFKKELKLAPAP ESTPPAEDKAKYPVVDPKALAEVRAKVAKEAKTGKKGSSEKLTEFFFFLLLSTEVQAI VLKMHLVQDSEVICPFYCHGYRPDDPVFTLKELDVMSRYPVPAIWHRERPNVNLALLG VNKDLRTLGSPYFWCSHYFLFNDARSCLWFFEKIGTANMRQVHHAIFNLSSGFFLSTE YRKKSDICEEQRWCKVFELLKSNHQLWKCIIRFYSWNDLLPRKNLSDDDKVKMTKGRF DLVAILAGFRGVARVIVENDKCDFLGQHERTQLARLMTATEKQKPLGSHSMEISMVEK L EPUS_03745 MDQAPGLFDRSVNTTPSRRRRLNFVPQPEDRLPTTTTTPQPNDR TTASARARRSAPMVFDPEIATPNWEIYRDPSAESLEVVATQPDTTEPTTSAAILAPTS ASPQAAPNGQQATHDHDADTSSTSSDPSTRPQHQIGQAGDEASRHTLDRMLRTVNAIF GEERRRQRRFLRRQRRQAQDGNGTDAHAQYVRPTDAEMVARMQARYAELTLLDEGDGN QRSVQELEVEHGSERQPELEQNVNPGQVEESSQEQAYGHDHDHRREDVAGQPQGQDFG IVPEEMTGREEVPIPHQDYHDVPVDTQQVIQPPVQRTSGPHVRAPTTAELISDSPPTP GSAYVPRAAPTPRTGLSFAERARARGMNFDMDADIAMDTDVELYPQARKRARRIAPDP VDDDEGMDVNMQNLGLGTVGQAEMPPEDDLNTRVALLSERMQATRDIATATAATLGDT LAAANALAADIPADVSMQEPMIPDPHQAVDAEPTADESPPAVDKEARKPKQEERKQGR RKIKKPVNREARGLQRI EPUS_03746 MPCRSFLPDLREFVGLITAKAYVRPGDKYNLALSKNREWASRIA SEKPDLCATLAKGQSPEILWLGCSDSRCPETTILDMEPGDVFVHRNIANIVHPTDLSI NAVIDYAVDHLKVKHVVLCGHTSCGGVAAALGNTRLGLIDKWLMPLRTLRKDNIKLLE SLPDKEAALKLVELNVQNGVKALKENHTILDAIGDRGLQVHGVIYDIAKGELHEVDIE EDEETSTIRQKAFKVSAATK EPUS_03747 MYGHNSIVEGDITDESEDEITRRHPDLLGAIAYARKAKNGSTNH DEIYLENGLVWEAICLKVGVYEFSAKNHDSYKLRWVLRKARRPHSKENTSTENANKPS SSRFTFSIINPNTRIHPVIATLTADNKLDILNRFLTAGSPAIRSALSSNSQSPVHSDF SSETSYFDRPANREALYTETDEALQILIILTGIWVISKQGWSEGLSKSPDLIDKSRPE SGDRSPSSVVSSTETIFKGSSQHGVAPFRYQRSSTSPSPRRAGKQPALSDRANSMGAL GVGTPTASMPPTVNGGVLLPSAHHSYQPNGKRCKPTLGMDTHGQTPGFVSGGLGDATD AQKTLSSMEINATLQDGAKALPLVVDENVLGCGSEETEAPYQAYQDGEKDEEKDGLKK RPNKLMEMIRASADLQPQSTAPSSHLGVLESKARATFTNDPLANLKMRAKESVMAKRT RSIRSASNDSKAELAGVVEPDLTHNGEFSNTVRPEELGSKSRGPTVTNRAPSLPPSGS LLISPRVSAKATSAELEDLLAEGRAAASLSKTTIAENLFTTEQHGLNGQQHQPREPET SGVRESRENSVRHDETATNLQATLKPDHFPPYQMDEINAWLAMTGYYDKPYRKKVLTR RRRLQAIEEERLKLLEEEKEDQRLRGPFSSITSFHAPQRSTSPVATMSPSMLTVKQDV GLRIKDSALKKTTAQELTTSNDETPVKRRIEEDTKDSEVLHQSKVARTEGRRRTPPRS QRASPERRPKRSASPEVTKNHSATSPRKQSPHSKDEYDRWLPDREVNLRGLKDRALEE NGWRNELRGRHDQRRDSGFGTSHASKPDLQDGRVRFFLLKSWNYENIATAQREGTWAT QTKNEDTFVEAFKTCRHVIFFFSANHSKAFQGYARMQGLPGEKGVPQPSWVKNLHWPT TAPFRIKWIVKEETPYRAVGNLKNPLNENLAVFVGRDGQEIPEKIGLQMSEIIDDDTA YRAEFRR EPUS_03748 MALGGIIVAGLLVALLFYQAIHSLYLVYFHPLASYPGPGLARFT NYWRLRSFLKGNQHLVDLGLHARYGKFVRDGPNSLLVSDLDAFKSIYGFTGKLNKGDF YAVTSNGTPEHPNLFAARTEAQHRAVRKRLAPTAFAPKHLAQYEASVADNVFTFSESL KQLVKAYGHMLNIAPICERLVFDALIEATYGSSMSLLPEQDPAGIIQSKKKGFPVTFG ISHIPWLSRLVSLPSISPFLRRPKYDKHGSPKGLSAAGVWTRKIMADAANGEAHLNPC ILKNLLEIAPSDNRRMRLEAIRYECFSSTAAALIAVLYEIGRHPEWQSRLRSDLERLP PTEYLSTVHLSQSESLQAVMKESLRLHPPFVGPFERVIVPQQEDTVANVKPLPAGTRI WSSLFVMCRSEQVFGDKINEFRPERWMEREPDMLKGMDDMFCVFGRGSRRCIGQDLAW MTLQKTVAAVVRTWDLQCGEEALKGKAHLEMEYDELRVTLAPIA EPUS_03749 MAYPNPVPEQQRPPPTETRGPMLVQATWILIGISTPFVVARVCA RLRQMKKLGWDDYLMILSLLFGIITGSLTTNCVSHGFGKHIWYISPEDAKEAMKMAFV LTPFAFMSLVFGRVSFAVSLIVLIGTVKWRRWLLYFVIVSQFVVNIILIGVGIGQCNP VQKYWNRPLPGSCLDRRIHRRLGYAQGGFNIFADLVLAIMPAIVISNLHLKSKAKFGL MILMGLGLFNMIAAIVTVTELSRITTVEDKTYEFVIADIWGLIQTHLVIVIASIPFLR PLFTGKDYFRWAYYRSLLARYSHRSRSGSDRHRRDSSQTGDTLCEDEKGDAKAFHSLP HIPRSKFATTTLRNAGMETDTQTGFDRMSYLEAGQVPARQ EPUS_03750 MLNYQAEEYMETVVAKTYSLSPQYVRDCISCIRGISEHDRPVAT AHRVLSRDNDSSRNTSRNSNSDSDGVHTPDETDSEAVCVLSEVEKNTFKSSMLRVNGE EKILLPHRNFIQWQDIRLLVVLFCIWKVLLFSIIVLAPGPGYDTSSTLLVDAGSSVVL AKQTQQVATSVPLVWKFVRWDAIYFTQITRRGYVFEQEWAFGPGFPTVVGWLQRGLFP DWFRDNVLETAIFGVALSNLCHLLSVLLLPSLTMTVFSKSPQSGSSLPRAVAALHIIN PAGGFLLSPCSEALFSFLNFLGFHLYLKALRIQYQKRAISAEVHCLAAGAVFGLATTV RSNGLLSGALFLYDATVTARHLLSGNLSVERFRRLIVVGIGGCLIALGAILPQFRAYV RYCNLGLPDDLRPWCNEYVPSIYAWVQRHYWNVGLFRYWTVSNIPLFLLAAPTIFILY KSAIWAWRHDSDALQDGTIRTARSTESDFQRGCLRRLATPQAMLALLALLSYHVQIIT RLSSAYPLWYIWLYSSIRAAAGHKGRVKSHPIDPQTVVRWMVVYAVVQATLYASFLPP A EPUS_03751 MDSPRPRFDDGTSLIFHDRNGAEISRATSPGGSPQEANGVLPDM SYKKYRNRPRTFPYFSYLPYDLEDEPRRQQDLAEILKHLYIAVEAGDFTPGAIHWTRE LRSWLSLKFDPTKEQRIKLVRLYYELALAPGIEPSVSERFASMFMLLTKRKHYLRPLK DLVLDWRPLYREIKVFVLPSESGLVQTTNLKRNIKTLVKLCTFAQLYFDPEEVPEVLK EILPYFSTSFTESAFVTVGLINLFLPTAPAPSEKENLLPQHFLPTYYHLWSLVNRSRT FDVNFLDLFSRLARDSLPAKQIPFSEFGIFTKEQTTLIYTAILRLLEIPVGQATSPYS ALVDLSSGLGIMIDRDSRKHPVAHHIARWIVMSLSPACLDQEFSILSLLEGLIQAIET FFHPSNSGAWTRTLAQLTYYLADFFVMRWNREKNGEMEVPTERRLNEALKRRFVLCLR EVIFMGIYAKSGTAMNFSLSTLQSLAYLEPDLILPGALQRIYPSMQGLVEVHRTTSSL RSLQVLARTLIRTKGYRCHITALLGLALPGIDANDLEKSFITLSFFTTVCYNIPFEDL SKGRDDVQGNMLAMQWITTEMEKMEQEGSDIQLNYQSMDEQEEGMILASSTAGLSEFV TSFLGRVFTLLENLPDAARLRSASPEENIVNTLPAAFMPLLSSLSSELYDMALNKIVD FVANHVIHQARDAMAFICNVLCKVNPEKALAKLIPVLISSIRTEIDENGAGSTRNAAA DVLPRDRGLVWNVSMLSMCVVHVGDAVLKHKQELFEIAIYMQQKCKGMPTVHISNFIH HLLLNLTVTYTNDYSLFEPESVAKGISATHWGSFQNPSKLNIKWHVPNRQEVEFAVEL FAAQTKSALKQLGALTDGTSPIKRDGSGKEWSDEVSRNLVLLRLVLSGISVLFDPKGV SEGLTTMAAGVDADKSMSQANGHLPEEPLHETDHDATLDGTDETSIKPSFQYPTGYLL DPKDPLYADIHSLRQETGRVLHDVHRFLIEKQEDDVSCFGPLYTAYRAWFVDVGIERS AHLLDRVTRLLQADEQPYKVSGVRKDYPRPILIRRANVYHVQRLRHNAAPRARSDLDE LLLLDLAESSVSLYTEVRRNAQTAGESALKVIFGARLLVIPRLLEAFKQAVKNNDFPR IKGGMYSLLFGSLAKTVGRHWKYTPDVIRTFIEAGSADKPSIQKLCSGGVFQIMDYGR PVERMAILNEDIVKAIAPAESVEEPILKKRKFIVKKRTNIEKKKFELAEELIALARES HWKKATRTATIVMSLGLRFDYVASENMINLITVGAVDAHPGLRGMYSQTLVALFTMVD VRAVCSHEYSNYIQGKQHFPAKIEVAVKSDEEGWTEKFLADFAQPEAEYYVDHDYPGW LVWGKSMPAYKASIRQDIEYDELEWAKRTAMGKLMTREWFSTCFKYLKQEPRDSSADK FRMASAMMLLYAFELMIRDELTVATFDDIKEETLAVFEDGSDKHQHRATAEILGGLIS SVMDSSIELRKLVWEFAFPIIRKVFSDGLTPENSGYWTTFLHMILQGRDPRRAWPLVD WLASFKLDIDSNAAFKESSKIHLLHQCIVDAGWHFQLDKTITQDFVAHIDHPYKGVRE AMGQTLASIFRTRYHESFRSVDELVETQRTASPIGVQPYRPTKEFSAMMDDIFSRIER WRHERPPGQQSPSAYTSGSKTTLLLLDSMLSSYECTQLLSFFPNLFTEQLLHMMDVKE DPELASLAYHVFRHLPNIPHRLGEDTDLIDVLIRIGRTSSSWHQRLRVMINMQIIFFR RLFLLSNENRQKLFDCVASMLEDTQHEVRAGASATLSGMIRCSPVDLRDRMVGQLRDR FTKMLVDHPLPKKPKTVISGLSSARTTGTNTPTPEQQRLVIIRHAAVLGLGSLIQAFP YSSPPPSWMPAVLITLSNKAANDPGNVGSSVKSIISDFKKTRQDTWHIDMKAFRPDQL EDLSGVLWKSYFA EPUS_03752 MSVLSVALSKEHTFSKTPVRSITLVKDLGVEGDVHNGLTDQHRP RLHIKPAPVNLRQVHLMQNEILEDFGVKPADIGENITTTGIDLLALGRGTRIHFLPAS VVTGGDGYTDGAGGPQPHAIIRITGLRHPCPMIEKFRGGLQEKFIVRDQERKIVERKA GVMGVVEVGGTVEPGMRLKMEKPSEFEPLACV EPUS_03753 MAQHDSLFRSSPMSLAQLYISNEIGREVVSALGELGCVQFRDLN HETTAFQRTFTKEIRRLDNVERQLNYFKAQMEKSSIPMRSSYEFEESMLAAPNAGEID ELAERSEGLEQRISGLNESYETLKKREVELTEWRWVLKEAGGFFDRAHGHTEDIRQSI DDDDAPLLRDVEQESARTNGDAQGQQSFAVMNIGFVAGVIPRERLAAFERILWRTLRG NLYMNQSEIPDPIIDPTNNEEIHKNVFVIFAHGKEIIAKIRKISESLGADLYSVDENS DLRRDQIHEVNTRLSDLASVLRNTKNTLDAELTQIARSLAAWMIIIRKEKAVYSALNK CSYDQARKTLIAETWCPTQSIPLIRSTLQDVNDRAGLSVPTIVNQIKTNKTPPTYNKT NKFTEGFQTIINAYGTAKYQEVNPGLYTIVTFPFLFAVMFGDFGHGFLITLAACAMIY WEKPLQRSKQDELFAMAFYGRYIMLMMGIYSMFTGLIYNDVFSQSLSLFESQWEWEKV EQPGQMVTAHLKKDHRYPFGLDWAWHGTGNELLFTNSFKMKLAILLGWAHMTYSLMLS LVNARFFKSKIDIWGNFVPGMIFFQSIFGYLIFCIIYKWSVDWYAIGRNPPGLLNMLI NMFLKPGQVEPEEQLFRGQAGIQVTLLLLAVIQVPILLLLKPLYLRYEHNKTVAQGYR GIGDTSRVTHALDDDEDEENPDRRTNGRDSFGDDEGGAMITQNIGGSADDEEHEEFEY SEVQIHQTIHTIEFCLNCVSHTASYLRLWALSLAHQQLSIVLWNMTIGGAFGMESFAL RVIMIIVTFFMWFFLSIAILCVMEGTSAMLHSLRLHWVEAMSKHFVGDGLPFEPFSFN LLLEEDPVD EPUS_03754 MVNITKRMHILKPLLSVRNGPGAAILPQYQTQSDSSTPSSRPSQ PTLLRIRLDYPPGNGPASKGARAFWRNHLRRLKYYNPALPITVNQNAEKGTEGNPGPA WRLFLDFEGEEKALRELATEPKNEHFKEVMEEELIPRPRSTLEQEEEVVEDNTTQSKP KPRPKSQPKTSVTTPSPPPPPPSSSPDQPPPPSPLPSVSTSENAPPLISTRTISLPTL NRPAGALWFWFQQRTKCVDVPESDADKRLARELAKHAVKAEEDRKRVKKGIDAMKRQE LMLKMARGEVERMRADT EPUS_03755 MTPAFGFSVGDFIQAITLIKKVCVSLRQVGGASTEYQHVIIELQ GLGNALQQLQALEPTDDNFAHVNAIRAMALACQLPLREFMTKLEKYESALGPFAERSS LSGGARKAKWAVSFAKEVERLRVLVAAKCISINMLLASHTSQTISQLSSRSKKEHEDL MTDVTDTRAGVNRLSEELGHLSNDLLKADTAGREKLEAVFAKADATQASIARLRSLGE QVMGYVGQFPKEIREFLRTILQSNWQIYRVLLQVQQNISHSPTGLLDSNIKFEDALGE YKELPYEYFRHWEPFEGFLRAQFKSKPGEEKILNGFFHIIDPEKHGAIVKKEHWSRTV KRGASFGMSIIISHLQRQAGCCPRPGCLGLGSVEEPPLSFQTCDTCHLTFYPVSSHLT DNFNRVTVLDDDENVQAKQTEEDLRLYGSRIEPPEVRIVDKGTKRSASEFETLTGRSA KASRTEGSNDISHQVITAMDWNSGASPLDAWLNQSAVPSADSQQLQQQQLCKASSTAR ELDEIKAFRNVHITDVPQPVKRRTFLNLPDEVLRLGLQAQIYYRNILDRYPQLPTYLV CRLAVANHCRAERLRHPKIHTDESADHNQNLNLISDGREQEATSNSKNSHKHRKESPA GRATAFRQIPQRSTKAQHSSYIAFGEGGKYQCAHLARGSKSAGIWSADTGSMDEEEEE EERIAPKGKSSGRTAQLIRNVKIAHLRVGFLCER EPUS_03756 MDLHDRLARITKAVEQLEMDKLLQRDEIRSLKAQERAYQSEISL LSRKVQEMEAQARQDAPVTDVGKQVRLRYLEQHRQHMGRPQQRVDYDRIKCGNRAAHR GRPVVDALLCLTDSVHYDEVYMDLYGITPRVMKEMMDVPEVVEVVGFRASLQSEDKLT PSFQSQFQRLLEIVLRYPSTRGLMKAFKEDKNLQQCHSALQDCYDSIMAIKER EPUS_03757 MRQSKVLTTVLTTVEPSSETDINKSFQIPEQYMGWRDAKYHILS CATCYKTTLQHNLYLNRTDYRPGRRFPQKNLVLTSKNLMVRASAAPRRQSETSAAPRY SYTAFCEVPKSAFTPIASGLPVEELHGTTTTESILETARPEFVFTAQALEHQIILWTN WKVTVATPSKQEPFIVDKRLNSTSVEEESLAMDIGNILNAKGNAAAAAEARRQQQLAQ ATPMSNRSNSDMGSEQGSISSQTQPLHPSSNPSNKYPSPIPTAPIMQMMPSVFQTDGL PENGYPPNDDLNAPRPTSDQTKKAFACSHCNKLFARRSDLARHERIHSGVRPHVCDWP GCGKQFIQRSALTVHARVHTGEKPHMCERCGKVRILRPAYHCALSNINPQPFSDSSSL ARHRRIHSGKRPYKCPYANCQKTFTRRTTLTRHQNHHTGTIEEAAAETTAKLSTTKPT TNQPTAGAFSDTVSAHSTPSPSQRPVSMSPSNELPPLPNLHQRPSELGYLQPNGSFPP HLRHDFQQQSPRSSPSMASVALAGSFNNIPQHRPSLTSHPPGYGPPQPLEPPANTDHR STGSAVGSPHLSGIGWASPSHANLPSPSPIDAYSYPDPTYGGAPLYYPGSNIRRPQST EPDQYETKSRHHHNSLNNLPVGAEWSSMPMSMQQMHPMN EPUS_03758 MEPPLLPLRPRACTQRSSEVSYQAHGHASSVKQAYRLEYRSKSR LKAPTAESGGKDRWDGREEGLRKVKLVLNEIILKAKVIESAESVLICGIA EPUS_03759 MTPPAKNENSVRLWGGRFTGEMDPLMDQYNESLSFDRVFYAQDI KGSIAYARANLKAGILTQEEFEMLEEGLKKVLREWDEGKFQPAPGDEGEVIGTKVAGK LHTGRSRNDQIATDMRMWLRDELNLIEELLIDLLKVVAQRAKQDIDYIMPSYTHLQRA QPIRWSHWLLSYATAFQSDLERLRFTKRNINKLPLGSGAVAGNAFGIDRMQMAKELGF EGLIMNSMSAVGDRDFVVEVLQCASTIGSHLSRWSEDLIIYSSSEFGYIKLADAYTTG SSLMPQKKNSDSLELLRGKSGRIFGAMAGLMMSIKGIPSTYNKDLQESLQPMLDTVKT LKDGLQIAARSLATATVYPDKMRAALSPDMLATDLAEYLVRKGVPFRETHHLAGKMVA LAEDENKGMNELSIEQFQGIDKRFGNDVLSVFDYERSVELKDAIGGTSRRAVLEQLEA LKKTLGV EPUS_03760 MSSSVSVKVTNNQTGKSATNGIPVNGRQQTIAAVFGSSFPDNKV VASVIEVQKPAEAVGVTIITGPANGPYQTVRGDGTPLKVNNGAELDISSWVIAAIRQ EPUS_03761 MDQYPAVGPRVPKDEFMRALGLDHQNPTHENYYRQMREVAIAVY NRMNQDRSNLIDEKRGDPDCRPPFFWHHIRNERRRCGILDTWQQAPAGSMARTLFDRG QTNGEHAPNWVTLWLLYSVFRSRDFRNNRNRRNGDDRGEGGTGRPGPSNGGNSDTKYY DPARNAHLVR EPUS_03762 MPIFLRSLGRSSHAISTSFASTRRVPTSSTVNLQRLQKQPISSL WLPGLASSTCQQQTRLSSSEQKAKDLNQRGIDNELSKLDDDIEAEKEKQVRAPWHREG ADQAPVSRPRTASAMTKGKLLTTPSRLMKLVLPLTTLDHNSDRKDIAPLALLVHPQQP LSYLERLIQAEIPSITTGKGDNRIPTISFRAMESEDDKIKPKGKVTGDDLTDPTASGR GGPGEGGVESYSGAGREADDEDTGQFVRWSSSTEIGDFVRDAARAKEFIIEIEGGSHE IRVGVPSFNDRTFYLRQRLRKTARKLADMAVIKKECDIAAHRAGQRLAMAGFGVMVGY WYVVYRLTFETDLGWDTMEPVTYLIGLSALMGGYLWFLYHNREVSYRAALNLTISRRQ NKLYEARGFNLGRWQSLTEEGNALRTEIKAVATEYDIEWDEKADEHNEEVSQALKKER KRDDKKRKSTDKANDDDE EPUS_03763 MAEAIPSIFSIPLHFTRPPSVQDELTTQTSAAQQDTIAECLPFL TSAEDASKSPFDFNDHGVPRLDRQGHIDFLHGSLREFPAGFVAVDASRPWMVYWALMG LYLLGEDVTYYRERVITTFAPMQNASGGFGGGHGQYSHLAGTYAVVLSLALVGGERAY SLIDRENMWKWLGRLKKANGGFEVCEGGEVDVRGAYCAMVIIVLLNLPFELPSPIHTN VGTLSTFQQGLEDYLAKCQTLEGGISGAPGNEAHGAYAFCAIACLCLMNEPHIGLRSL DLPSLLSWLSSRQYAPEGGFAGRTNKLVDGCYSHWVGGCWPLIEGFLYGPRTALNSPT DRVLRSLYSKEGLTRYILSCCQAQTGGLRDKPSKRADSYHTCYTLAGLSSVQHVHFHV HQDASISSSTHPFRWRSLPLCDLVSSSAAGLCDRRHWPSPQYGTYSSSAGYGCVVTVN HREYQTDAYYPTEDLAKENAAMRAYLICRNFSVNDGMYPVGHDHGGFVQGIPTAIGTG RHSHRHNGSDTSSSPGSSPGRQGNLRSSGYGSSSSSYGSHSYYGSSSSSYGSSSRYRS IYPASSRRS EPUS_03764 MTNIGVADEEVPFEYDSRAPHLSYLQGQAWPLTMAQQQNTSQGL DIRREMEQLQATHQNGYHQTSMPEQQLLNDWGYQQPPPQYSYPQHSQSGIPFGGVYGV PMQSSPIEIMPVSQASMDTGLALDTQYMPAPPAPQSMETMAFHWHEFQDGLPSYAAPE DTLNMQSYQQAHSSSPSETHLEVRSLTSLSDSDGWNTIEARQTYERNGCVDPNETLHN RTSSESSWSSDFEQQPRTSILGSFELVPHAIHSPSSDSNPDFDHRYPQAQQVSPEGLS QSSLHISPVGLVRPIPVPFTKSISKPTSPVRSPGSQGSLSPTSRRPARKSPIAKATDK VIKKTPQVSKPDTEKRVGKRKGPLRPEQRKQASEIRKLRACLRCKFLKKTCDKGEPCA GCKPSHARLWQVPCTRIDIKEIGFFTKDYRADYARHLTFELSAGNIKGFSDSHKTLFI SHGYGQMLPLTVREVFVRDEKMFDIDWAETNNYSLDPKTYVFRTAPLYADLDCLSSAM LSDYLDRHLDVPGSFYNFVESYCGETPFLTSMLKTAFNYYSRTKAPVIRKALKFLLAY SLTLHITLVEGIPEEEHFQGRVDDESSQYYGKIVAPNAINFQVKTSMGNMWRDLQKEV LEELSSLYSSVYSGDKLKHWPTIFILATLLLAVWEFMQFDAHRVPNDALMEKFLNDME TVPVGVVVGLFQAISQKLPAFVEWDTPKHHHVLQSNDAACKTMTEVQENVTRYEQYLK SRAVSAKYDPEDFDSLSNKFISKLVIRAN EPUS_03765 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGN EPUS_03766 MPEFFFHVSIELFADVQSTAPQVDKAIVAWPSGSQALSPFLSSK RERSVHSVRSTNSSVGAYHPSGISQPSVHKKASSGVRVDLSPNDSPKTPSGNPRTASD DKRLDHIYIESTNTLTRKLKLDKMDDDNHISKGIGISANGLLTKGRYEPLDQHVTDSI WGIVHLYRDAEETPGLYGDSLLSKPNNPWYSGTPKESKGTVHPPANDQDCTTLCILAV PSYLAPPDFLGFVGEETRDQVSHFRMIRTSRANRYMVLIKFRDGKMARTWQKAWNGKV FNSMEPETCHVVFVKSVEITITTPSSPDTFPDMKNDPFTSPHAHTSSTLGSSKPLAPR TPSLVELPTCPVCLERMDETTGLLTILCQHVFHCTCLQKWSGGGCPVCRYTHDDFSSR PRLCGKSGKKDSYGDSYEDEPIGCETCHIEANLWQCLICGRIGCGRYEAKHAFSHYEE TGHSFAMDMESKRVWDYTGDGYVHRIIQNSTDGLGGKLVELPGSRHNETALAPSSEQD EIDSISREKMEGLSLEYTHLLTSQLESQRVYFEEQVERAADKAAKASAAASAALATAA ALKTKYDDLARDAVPALEREKTRLETRAAKFEDMARKMEKEYREEQAMNERLMERIAH LGKEIEGLQGEKRELEEQNRDLSFFISGQERLRDAGEEVVEGTVSVPDPIPVGGAGRK KGNGKGRR EPUS_03767 MIALRPGLTSPATHLRPLSFLLRPVIALDKPPYGPLTKLPRPIL IKSTATSTQHFSTSTLDAQDATSQSSVSPTEISHFSRLASSWWDPHAIDPTPSVLAVA KEHQRHDPALSPPKLNYLNTAIEDLSVPVSDDEKVDIITLFEVLEHIDRPATFLGSCI PHLKRGGWIIAPLIGVVPPGTHDWNKYINPDELEAWFEKRSRQAPREERWGSMIKQGV VYVPGLGWKMIPFSDGWGNYFFGVQRSVD EPUS_03768 MRKKGTQEVQSYPQEPFLLSVEDILSHLQVSKESGLSAAQAQQN QQKYGQNKLLGEGGAKWYSLLIKQISNAMILVLVLAMALSYGVTDYVEGGVITAVIFL NVIIGFYQEFQAEKKMDALRSLSSPSAAVIRDGNQITIPSAEVVPGDIVSIKTGDTVP ADLRMFEVMNLECDEAILTGEALPVAKEVEFEARTGDKADCGLGDRLNMAYSSSTVTK GRGQGIVVYTGMSTAIGGIAASMQGKNRKPNRSLSRKKHGPMQPVKGTALRVWDSIGK FLGLTSGTPLQIKLSKLAYFLFGCALLLAIIVFGVNRFNVTNEVAIYAISTGIAIIPE SLIAVLTITMVVGMTQMRKRKVVVRQLSALEALGGVTNICSDKTGTLTQGKMVTRKAW IPGVGIYSVENSNEANNPEAGTITLGPAPISRKVVEEQKRAKAEALDKKRSTAGLAFD VPEEKLEKDQHKAESSKAEENKNVEDSPAMRPELESFLESSALCNLATVRQTKEDGQQ KWKTTGDPTEIALQVFAHRFGYGKKTLESAKGWKQIMEFPFDSSIKRMSVVYRKEGIA DSLIFTKGAVERIIDLCTSVGTGDHRQDMTAEVRNNILDQMSLLAEQGLRVLAIARKF TSEEIYEHSDIDRTAIEQDLSLLGLAGLYDPPRLETKEAVRNCTTAGIAVHMLTGDHP STAAAIAKEVGIIPKNLGVLRADVAAAMVKPASDFDKMSDDEIDALPTLPLVIARCAP DTKVRMISALHRRNKYCAMTGDGVNDAPSLKRADVGIAMGLNGSDVAKTASDIVLTDD NFASIVNAIEEGRRMFDNIQKFILHLVTSNVGEVILLICGLGFQDRMGFSVFPLSPLQ ILWINMLTSSFPAFGLGREKASSDIMQRPPHDTKKGVFTWQVITDMMVYGTIMGTCCL MTFIFIVYGPGPDGLGEDCNKSYNDSCDVVFRARAAVFAELTWIILISAWEFKSLRRS MFDLDPNNTTRRFPFFHDVWSNQFLFWAVIIGAVSVFPAVYIPYLNTNVFKHKGITWE WAPAILCVIVFVSGVEVWKAIKRRTGWFADEETEGMKKSTPGPTSLRQGFFSFARTLT RSRTEEEDEKKVEVGQEKTGGNATTANASHGANLPVVREDV EPUS_03769 MLSALRPATLQAGHQNCIRVMAARCASTWAKVPQGPPDAILGIT EAFKTDSFKEKINLGVGAYRDDKGKPYVLPSVRTAEEKVVASKLDKEYAGITGVPAFT KAAALLAYGPDSAPIKEDRIAITQSISGTGALRIGGAFLQRFYPHGKKIYIPTPSWAN HNAVFTDSGLEVEKYRYYNQNTIGLDFDGMVEDLKAAPKSSIVLLHACAHNPTGIDPT EEQWRKISDVVKEREHYPFFDMAYQGFASGDTNKDAFAVRHFIQQGHGIVLAQSFAKN MGLYGERVGAFSIVCESAEEKKRVDSQIKILVRPLYSNPPVHGARIASEILNDPALNK QWLTEVKGMADRIIEMRALLKKNLQDLGSKHNWDHITNQIGMFAYTGLKPEQMDVLAK EHSVYATKDGRISVAGITTGNVKRLAEAIYKHDESLDFGDNVEMSTFEQILDMDDDED DKEFSKGIVFGFLEQAEQTFDKMEASLASKDLPELSSLGHFLKGSSATLGFVKVKDQC ERIQHFGAKKDETGTGNIQDEEYCLRMISEALAEAKKTFNEVAALMRQYYAES EPUS_03770 MADIDYEQQNRIRIALGLKPLPVPGASSAATTTTITSGPKFKDH PSSDDSEEEDPASTLETRQAASYDNWKKIQDDAEAKKRRAAKAEAIKKARDAAQKYVK LEGKGLGDADPDVDKDTRSWLLGANKRQKKLERERARRMEEELAERERLAAVEYSAKD LAGVKVGHEITDFEDGLAGESGEQILTLKDTTIDENEEEGDELENADIKERERLRERL ELKKKPIYDPHAAEENGEKKVLAQYDEEIEGKKRKHFVFDGQGTTVEEREAKRQQIGD RLKNQPISLDFLKSEPKSDYMEVSEVKIRKPKKSRKKAARQRALDQDDDIFPQQANGH ASNHDSMDVDGAASTATARRKTIEKDIVDDEDLQASLAIQRRAAFKKRKRQRPEDIAR QIQEEGSATPGEMDVDGADASEDDPGLVIDETSEFVANLQRPTETNEQPVKSVQKSVE NGGRAVSEEFADIDMKETYNGVEDEEALLAGLRRERSTVTPDLTTTGLDEEATMDQGV GAAFNLLKQRGLVKTADMGDINALHRDRQRFLAEKQRREAEAEQKARLQRERDRSSGK LERMSAREREEHARWENKQRDQLESRQMADVFNREYKPDVQLKYVDEHGRFMNQKEAF KHLSHQFHGKGSGKQKTEKHLKKIDDEKKREAMSALDASQATGMNNAMGTTAKRNKQA GVRLG EPUS_03771 MGSSALPISLQDKLRKSQGYSRLKTLSTNLNFLRNIIFALFLLR YLRKSFYLVRGYGIFGTLTIAYSLFRKRAYQLFLRAPGVRSKVDKHVATAITEIEDKL APQGPGVTRYLTLPKEPWTGQQLDAELGQLCEMKRTRWEDGKVSGAVYHGGEDLLKLQ AKTMEMFGVANPIHPDVFPGVRKMEAEVVAMVLSLFNAPPPGAGTTTSGGTESILLSV LSARNKAHAERSVTNPELIVPSTAHAAFHKAASYFNIKIHVVPCPAPNYRVSIPKVRR LINPNTIMLVGSAPNYPHGIVDDIPALSRLALSYNGGIPLHVDCCLGSFLMPFLPSAG FPSPFAETGGFDFRVPGVTSISVDTHKYGFAPKGNSVILYRSKQLRQYQYFICPNWSG GVYGSPSMAGSRPGALIAGCWASMMSVGEAGYIESCHKIVGTRITIQNAIIEHPILKG SLKVLGEPSVSVVAFESVDPDVDLYDLADGMSSRGWHLNALQHPPGIHVAVTLPMTKG EAVERLINDLVEAVKVEKEKADEMRRSGTGFQGEKKRGNAGALYGVAGKIPDKSVVER LVVGFLDTLYKA EPUS_03772 MCPSAENVESQVNGVNGHTNGANGINGNHSGYTGIHTRENPHAQ SRNPYQPVGDFLSNISRFQIIESTLREGEQFANAFFDTEKKIEIAKALDDFGADYIEL TSPAASEQSRLDCEAICKLGLKSKILTHIRCHMDDARIAVETGVDGVDVVIGTSSFLR EHSHGKDMTYIKNTAIEVIEFVKSKGIEIRFSSEDSFRSDLVDLLSLYSAVDKVGVNR VGIADTVGCASPRQVYDLVRTLRGVVNCEIEIHLHNDTGCAIANAYCALEAGATHVDT SVLGIGERNGITPLGGLMARMIAADRDYVKSKYKLEKIKDIEDLVAEAVQVNIPFNNY ITGFCAFTHKAGIHAKAILNNPSTYEIIDPADFGMTRYVHFASRLTGWNAIKSRAQQL NIEMTDAQYKDCTAKVKALADIRPIAIDDADSIIHAFHRNILHGQNKPILLPNMSDKE KKLLAEKEEEIADEPEKRALDQQVAEEADMERTIKKARTAQVTA EPUS_03773 MATSNEKSQQSSLTSKIPLQIFCANTDLGDHEPPCRKPGSKACS GCFLVQYCSKECQKIHWPAHKLDCKSPLIKETWKPSWHMERREPAFMRVSFVHNSFGM PKYLWGNVPAIDLLNLKDNEADATEENFRLLFAGDLRNFIKSVLGLPQKYTGMLETVV NDRDFDLVSRNVILLLTALHFDPDTAAIIMLHLWYSALLPGRILHQLRESVLPLIQEV CMKIQAKPSGGMLSKKWTLGARSLRLVLPKEDWNLLLSYFEVPKSLSATQAQKVRRDT TMACQRKDYLDRALYKIPPGWRAGTMKFRDDGILLPFSASRREFDTPNPTFYQNDSRW PLADSAGPLEGWSIDEVLQKLPDAKNDIYGALFFYLKDLLTRFCKKIAKISISVQLLH VDAVDLPDTLRQCGVGEDSFDRIELSNICDEVYIGPVRCLFVLGPLLRTPASNPHATL LMLFLNAIEETFIKEEKRGNVDFDFDPMRPYFPRLDEILSRSVYDPDNLNVWAATAKF RDFDGLWERFTKDCRLHEVGNATGMVMKENTIVPKWPLRLKQHATQAEFSRLQASGRT GCERYAEWRRAA EPUS_03774 MADSGSPSTATTVVAVGLVAVTVGYFLGQGSNIGLFSISGKTPQ PASKMRSWPNSYNVTIHPDSSDEELMAQQRAGAGTQADDNESDEECDGKELKDFSNTA EEVKLVLVVRTDLGMGKGKIAAQCSHATLACYKYLINHAPSAALLQRWERGGQPKIAV QAKSEDDLMTLQAQAVSLGLCTRVIHDAGRTQIAAGSATVLGVLGPKSVVDQVTGQLK LL EPUS_03775 MAGTSPDLGDFPIDDGILAKFPPGTTVVSANRYGLSQWTSTARL HVKFLDGETNRYFLKTAKGEVGRRLMEGEFHAISALHNTDNLFSPKPPSWGKRSGPGP ETYFFILQYIDMSDRFPDPNQLCRRLAQLHRNSVSPTGKFGFHINTCQGKTQQAVGWE DTWTAHFTKMLKHVAKLDHETNGHWEALEKIEERIFSHVIPRLVGVLECEGRSIKPCL IHGGLWEGNTGTTFDTGNIYTFDAASFYAHNEMEIGDWRPFYNNIHNKVYTQTYLKNY APSEPKEEWDDSNRLYATYYNILYSVNHSSQGTAIHQMAFDDFYYLIGKFAPFAKGEA PPRLEQDQRVAFPPEADHTATQFGSAESAAYAEKMRNSAGN EPUS_03776 MVFSITPDQSNGPAPSTTSHEVPSYLLTGKVALVTGSGRGIGKE TALELARRGAKVVINYANSDAAAEKVVQEIKGMYKGADAIAIRANVANVSETIELMDK AVEHFGKLDIVCSNSGVVSFGHLKDVTEEEYDRVMGINTRGQFFVAREAYKHLEVGGR IILMGSITGQAKGVPKHAVYSGSKGAIETFVRCMAIDCGDKKITVNAVAPGGIKTDMY QKVCKEYIPNGENLNDEQVDEYAATWSPLNRVGLPLDVARVTAFLASQDGEWINGKVL GIDGAACM EPUS_03777 MSDSSETSSAEDGFLFPNTDPGAEEFAEPRRKRRKTGRDNKESA ALGIFGSDSDDDRPVQQWKSRTLRGKGVGFVSSKADGGSEVDEEDGEEMLGVEVHDTA GLRPSTGTGLGWSQAARESSPQNMSKNHDMGTPLGLGFAPSSAQQAYTGAREFMKTES PPPAMSRPSNFGASSKGGMNNNSFAAKMMAKMGYVAGQGLGSSGQGIVNPIETKLRPQ GAGLGTVKEKTQQAKEEAKREAARRGEVLEDSSEEERKRKRKAKEKRRLQGGSGASTP GSKPRTKYRTVGDIEAAAEGLEVPKVLKSLIDATGRETRLLTSTSGLMTPTNEVSDGE STKIAKRAKRDLEAFADAWNAETEKKKYIDAQELLLSGEIEANNLAIDQTRALLKALD SLSLEGNGSLDEQWDEVIQNLEILQVEFSGRLEEYGLPEAAVASLEPLFRRKMEGWDP LQDPEGLVSALRRVLPILGVNDDQPVHQKSTTLYESMIVTLWLPKVRTVLVNDWNVHE AKNAVNLLESWKQILPRFAYEQLLTQTIVPKLKNAVREWKPRSNRKHHSHLAPHKWLF EWLPLLSERQRDLKNANGVMGEVRRKFRSTFDHWDVTRGVMEGISHWRNLLQSDLDVI LRNHLLPRLARHLRNHLEINPQEQDLAPLEDVLKWTEFFNPHVMGELLVAEFFPKWHD VLHIWLTSDPNYEEVGEWFSWWKEQIPEAIRDLKPAADEWQKGLEMMELALELGDRAA EELPAPVARLTEDFVKRSTNGHTAVKAEKVEPVAEQDMSFKDQVDQWSISENLLMIPM REAHATTGLPVFRITASATGKGGVLVYLKGDVVWAQNRTRDAWHPVGLDNSLVDRAEG K EPUS_03778 MATALFRKSVATIARRGSPFNAAKTAASASTSFVRGKATLPDLP YDYAALEPSISGKIMQLHHDNHHKTYVNSYNQAVEKFLDAESKDDIAAKISLQPLINF HGGGHINHSLFWENLAPKQSGGGEPPSGSLAKAIDETYGSLDEMKKKFNTALAGIQGS GLYFYHICLTHSTTLEDFLEDSLEDSLEDSLVCDGGMLMMIEQNQDPVVGQYKPLLGI DAWEHAYYLQYQNRKAEYFAAVWDVVNWKAAEKRFH EPUS_03779 MPSGVSRPDFSHLSAYVSSPQVTRYFCPKCGAYVGNIEPDEWEF ASGILNSTEGLLDRVQMWIEDTKDGGASIWLKKGHGTNPTRHLRDRKSSEATDSFIVD LSQNSKQQVSSTSRPPSLKGSCDCGAVSFFLQPPTPPSSSETTSTPQRYPAFLDACTS CRLTSGFEIACWIRAPQSHLFHASPNPSDASPSPSSKQDENPNLNYPLTPTNPSLLHY SSSPGVDRYSCKTCTASAFYVNDKNRGESVDVAMGLLRAESGARAEEWLDWKRGPEFA EEGTDAVFVAALEEGMRHLPEDETGA EPUS_03780 MARVKFVADKKPDPPKSSPPPFPADEEPDEDKVPPLPEQPRRSL RNVERASANEYQTRWDDWVSEDRLRKLTEDNRELAASLRRDVMQTENRARNPKPVTAP KKGRTQGSEIGSGRGSEERNSSVPTGGRGAKRGKDNEIEKVATPAFFAPRRSARLLES AGPAQSTHPDSPDPSPSGSDEDADDDETESQDSEPDLASIFNFGPPVAFTANKNEAST LQDAPARGSPVTSTANKDELSTRQDAPARGISVASTVNKDELSTHQDAPARGISVAST VNKDELSTHQDAPARGISVASTVNKDELSTHQDAPARGISVASTVNKDELSTHQDAPA RGISVASTVNKDELSTHQDAPARGISVASTANEDELSPRQDAPAREMSVASNANEDEL STRQGAPARETSVASTANEDELSTRQVAPARGMSVASTANEDQLSTRQDAPARGFPVA STASEEVSSSRRAAPARGFPVASTANEEVSSTRRIAPIRKAAGRGKRIKTMALRKIHS QYDEEAMKPYPLDFSAGKNNLELALTEIQTAVPMEDDVVAAPGYFADGQFQSPALETL TNAKRRPARPARPPGMRVSRQAERSVYQSALPPPGPDAEPADGDMLFEAAMKALSVPP PPDEPEHMTIDTFKHGHHWAPLIGGTTQSEKQQIEDEAEDIGAFTPKKAPHHPEYIKT RINDPLNANRLHFFKDQDANRTFTAAGGSKEPNTYVDPPGSGLRYDALKYPEYLLQYG PDVLGSLSVTDLNKLSRDTIVRFPKAALRKLPRKFLKKMKIPRPAKADDPTQEESFYS RPSVHIPMPDMIKGYLVDDWENVTKNLFLVGLPSLAPVNWIIDEYHYRERDKRREGSE EATRFREFCMGMQTYFGKMIGKTLLYKFERGQYAEVSPSTPILLPLEDLTKLWEEGKG AWKGKGPGDCYGAEHLCRLIVGMPEYMARTDMTRQHVSQVKEELTLLMQWMCRNYQLI FVNKYESPSAEYIEAARD EPUS_03781 MPPISTAAMEDQILKAYLLNPASLPTIITFEEFRDLFPSNQQSH PQVRLLYRDLQFLRTVDTDLVEENIAKECQNGEHQRREMYRALHQQPNSNQQDHGPLN GAKDVQADEVMYGPTGSVPKRKKGHTKESLFKEMEETIRYLEVDAVVAKREADKLLGQ MRETVGGLSDLRYGKFARNSNGETGVEAEVVKGLHGLEEAINANSVQP EPUS_03782 MEPSPLTQQTRPDYFQPKIVQLYQDLFNIRDHVPPSEGFWREFF LLPPDKTQFRRVLEPLTADDILHVQERTQLFFIRAIEEIRSETTPRNQHALENLTAFL GGVLSKKYTNPSSDAIAVLAGLDGVDRRVSDLVSGIDDLVRNGDNIQLRGKAIETALA LAAGAYQTGLISYLTHRDLFPALMKYVRDCDEPSYCFQPLLLLGLLGNYNKFEFQNPY QNRLEDFVNEKTIQSIVRGITHACALSRDSYIAVHDDAPEGWNLNSALVYVGLRALAP EAKRKKAPQTEEEAKELFDALPPTEASISLPAYSFVYANKLFASTLASLSRHNSTETP FAVFLSFISYLSHHAHRSQRCLHYTLLNMLTIQTLVEDTVLVKRLSSDEFKTAVRLCR QRPPHPPLVTAERVPASVILDICTDTISHNLRRRLDVSLYSVTLGIILRLTTHLSRNK LRLAHHWSHIWGTLFSSLRFLTQYSTDLSNIHNIRHEVCTPLCDLIAFCLSTGDAFLP NLSDYDDLFYKLIETGSPLLTKFRDAYYDSVTSTSKPVPTSTITPSTTTTTTTTTTTT SSLHHTQDSAIKILISVSTHYHDLLQAQHAASKKAHQSPTAVQKVIKQGYETLNIEAS EDLGKWEKWREGSGIWRGELKRIVRTVVADARKVVGGS EPUS_03783 MEVLKENDGGQLTIHNLQPPARRKTPTTALSPEEKENQKRLAEA QRSYGRGKPIPTGLVKDRKLRANLKRLESKYKDAILAAKDAEILLENQQGFLQPENDL EKTYKIRQDEIQKAVGVQQAKKAIELRLDMGPYVAEYTRNGRNLLLAGRKGHIATCEW RAGKPGCELHLNETVRDATWLHNNQYFAVAQKKHTYIYDHAGVEIHCLNQYVEATHLS FLPYHFLLAGIENSGYLRYTDTSTGQIVAQHPTRKGSPTAFAQNPYNAILHVGHQNGT VSLWSPNSTTPLVRIQAHAGPVRSAAVDRSGHYMLSAGQDLRLKLWDIRTFKEIHSYN TFHPASSISISDRGLAAIGTGTSVTIWKDFFTSASNTINHPTKIQSPYLTWHHTQNPT PISRVNFCPYEDILGISHTTGFSSIHVPGSGEPNFDFLEANPYETVKQRQESEVKALL NKLQPETIALDPDFVGKLDVRSAEQRARDKDLDAPKRDELAELRERELKERNRGRGKN SAVRRFLRKKGGKNVIDEKRLRLEALKRERKERVGARVGREKAQLGPALGRFVRRDG EPUS_03784 MTTSTTATTLRPAKFSEHPRMAQIFSSAFREESVFGVIMNPHRH QYPADMALWPLRRNRLNHWDWTHRFLVTTMTDQVTKREVITGWAEWARWGKEGKRKWG LSWWDPTVSTKPGNLLKPLTILFNRLSSLVYPNRAIDPSKEDILERAFPYFAELWSGP RAETWYLAALAVDPKYHGQGCGRKLVRWGLEQATKEGVCASVISAHGKERFYQRCGFD VQEGRAGQGEGNPLSEGAGGLIFWKEPDIQKET EPUS_03785 MLITVQARQYTIPTTTLLQRLEWCQKDEAKFFCELDIGEFPSRV PAGSKPFPELDDKLNFRFVRWLYRSNGSDLALVELPNHPLTVLKIHKRAPLSKAEKAT YAHLRSFNVQSCILHCYGWRKVTPEAFMGVEEPTCFGPEDTFTVELREDGNASRLPKR LPPERAAPTSYNWNNERDKRMLELDVDFRPPSVEKSWNWVLDDDQFGSDAASSSSEDS LTYSRCALLLEYLPNAHRFQSHRLTHELACTALDGLQQIQKALVEHGDHN EPUS_03786 MDASAAVNDSRRRDELNVTLPDSARASLEFEESQWATGSVLDDS FYTVLPSSKDAAPGTLIKLEREVDSTLFTIPPATALSRFIFQSKALNGSAVPVSGFIL WPYSPRNLSDGFSIVAWAHGTSGLSPNCAPSHLKHLWQHFLGPYQSALQGYVVIAVDY AGLGVERDASGKRIVHEYLASPSHANDVFYGVQAAKSAFPELSNKFVIIGHSQGGGAA WAAAQRQALDPVEGYLGAVAVAPVTDPLAEPDPIRSFLAVGMIPGIAATFPEFQPGDI LTPEGEERLDLILQVGACTTTTLTLLAGVQLLKPDWTANRYVQEYQKLTVNGGKKIEG PLLIMHGETDTSLSVEVSTQAVNETLEQFPSSQLDFVRLPGISHVPALTASQRLWMDW IGDRFAGLEVHPRHNGTILTSARPVSAYQPELNWYIERATQFYQTR EPUS_03787 MGRSPNKLDRTIYSGLEPFAGSKTYYDIPALELADPAPPLHISV PAEARPEPRVRAMDVYGTGNEPWFFTTEADTGAFSVESVTGPGALATRGSRDFIARTV LERWLRLRQRGRWRS EPUS_03788 MEFVDRREYVERRPDGELQWVRAPRRREHRTSGAWVRESRRRRS YAVADNPATEMAQEMVLQANRLQRNLPSFPEVECLLRLYVATGEGRGAYLAAKTKMEE EKKWRSESRYGDRAEATRRRQRFNSRHHGPLPSSSGSSDDDRYDGDDGLGGRPPHRPP SGGPSGSHPEVYRARPDGRHPPAVRRDNRAWIPLQVIENDEWRFVRAEEYDPNRREEY RPYYPEPTYFIDRPRLVRRPRSRSPPHVVVVHEHNYESGPYPAELHIRQDHRRWQDQE AHRPPRPTVEDAPEDEDDREFAPLPSEEDDVRVQRPSRQRSREVRNRIVQIDRTHNVR LNRTRIARSPHTRQIIIRGGHGADHNFDEDSDGDVHQLVRMRGGSIRQPLTKTSSILA GVIQKGDYETSSKYIVLYFFHTSKGKVISSRKPEPYITFQELGENQPAVNADQLSDMW LVAKLQQHYWMINRRQRSAFEDLIRMKTIGFATFIRFERTVKRGADTGNFVVTAKVPA VSAGGEAVSHFLYSMKYPLRDAKMMLFLLDRLAGTTQDDEHCFYVVEIKETFDTSKIY VLLILLILVSAGAGTAYAVVQSDPSTGVAIASFVLTSLTLILALVAAGQWLGLSKPDS FSFAYDWDDNRILGSTDADKIFGPGPGVM EPUS_03789 MADIHTDVEDSPKTRLQSLPFSTIRFAQAYKSVAADEIELVSNH EAPSDRDRRRHVAKGICKGFKVASRPAWLRKLFWKDTWSDVKSLPWGWLGRRFLSHIL PLMMITVMLVLLVLMSLSPEMFTGDTGDFCKPDGTFSLSFDGYTPWKQDAIFAINLKF GKYKFREAKLIDICWDLGVGRGGQAFLAIFSYLIFTKGLSRTMEASSVSHDTFKAVTL QNDTITGTAVLIKSFFKTGGRRAKLAIFWTIIASLFILFVPTWLSAMTGYTADIKSFV QDKESNLAPAENFKPVIYTIHDGARFGNGFSNETRRVVPWASNMGQLDLLNRYGCNYY MRHRIVQFNPTDGNIHFDPQTVIDCKWMWAVSKYVSDYGFFGKSTALNTTFHQPDGSN ITTPVVFSPALNISAHLAIPTSKIAAHYFSGWVGNGWYNTPHGRAWRNPDDGQHTFNV SNPVFWDSSSETLYNLSQFNLAGSCLQQGPVMYKWGFSFLLLYVFLITFLAWTIGMWA YYLDSWLHSRLDGSRRIGVERAVLDLSKSMQSKINADQSTCMSTLAGTNTATGGGTFV SSSGQKMRSGGLAL EPUS_03790 METQTCSTCSTPGVDQSKFIRFGQGVTVEAPHKLVHDAFSKTAG SNPSAVAVEHDGDQITYGELDLKSTNLSRKLLGLGLCPQDRVVLLVQRSIPMIVAIFA VLKSGCQYVPMDGGVASDDALAHVLSEAEPPFILCLARYSQRAHQFAMPGTQILSLED PWTTLTDFDDETGHKVLMDPDDGAYIIYTSGSTGKPKGVDVTHRNVTNLLTIAPGNLG IKPGRRVAQLLSVSFDMAAWEILGTLINGGTLCIRTSNWNDVLSKVDTIIATPTILGT LEEEKFPNLKTIALAGEPCPSRLADQWAQSRQFFNCCGPTEVTIVNTMHKHQPGQMLS IGRPVPNTTVYVLDENEEPAPIGTSGVMWVGGSCVSKGYVNLPGLTNARYKEDKFLRN GRMMFNTGDLCRWREDGTLEPLGRLDDQVKIKGFRVELDGVSAVIESHPDITKACALI VDGILCGYYVAPTQISPDALKAISAKTLPYYAIPSRWVWLPKIPLTSNGKIDKKMLKT LPAAPCSGDITPKTLSISSSKLASGSQSSEEDVTEKNPARVLVANTILSLPSSQESLA EKGFILPPEKGAHSYMWLRHYFFTMYRRLFSVVFIGNLATILTIFAYRRTLNNLQLSD LATATASNITVALLMRQEYVINLLFTVACAVPTWMPLWIRRNCAKVFHIGGIHSSAGV AAVFWFITLTVTATIGLAAPEGTSLGKTNPAIVLIVYIILALFISILVTAYPSFRAKH HDIFERTHRFAGWSILALFWILTVLSADASRGHLPLSKALTSDPTLYLLIISTISVIL PWLRLRKVPVRSEVLSSHAIRLHFSYTNTYPGTAIRISERPLTEWHAFATVAKPEVDG FSLVVSNAGDWTKRQIGRAPERLWTFGEEIIASVRGADPHAVIWNTRKQGKPDMVREA WKLVAESGTTSKGRYEVEAVCVIANKRVTEMVVRGMEVRGLAAYGAIFDS EPUS_03791 MDDNQKAVHQDQKTSSTTHTQLILIDIQDDGTTVVTLNRPQKRN ALSAALIADLNAAFRALERNDSVRAIVLTGSPGGPFSAGADLGELKHISTSEAYKIQY LKGLSDGITQVRKPIIASIEGFALGGGFELALMCDVIFVAENAHLGLPELKVGTIPGV GGTQRLTRLVGKHLAMKLILTGSSLPATQFSAYIPGLHVLPAAEVLPAAKQCAKDIAE KSGPVVALAKQAILAAESGLDSGFAIERGLYYSSFDLQDKSEGISAFLEKRKPEWTHQ EPUS_06758 MAVLPFFKAMRHEDSDSFISDTERNRTTSQPHTSETSEDGPHFS RVPSIKTTKPYPTMSAANISHCANDTNALPIKPPTTDRRPDMPIMPFTKPFSTNSLVG RSDGARELSTLLDKRAPAGTDDLDMSTLPLTSMQVISMITPPAAQMTTAGPDVSAQST VPFSPPLRMIPSASPSAFARPIKPSLASGCRAYVQALETPTVSPIPSAPGQFTTMKFI QITECDPGVNGQASVTTSPSFTTNPSGISTVYMKGSPITMSKGVTLTSTGTTFVTAIV TGTSTLSTTSLPATTTSAAPGATATPAPGKHGLSKAAIGLISTASVLSLIVLVILAYI LRRYIRKRKEAQHEKTSSFWHKLFAPTHPAERAIPLTEVPGTGPSPFRTSMSDNPYRT PDPGVVAAAPPKRKSPFNSIKAAMKARGDPTSSDHFPMFTSTYAERQAARERARSGYP DTFCTNTAPDAVECGFGGSKDPFDMVEICLAEDVALEEEEKAERRRQEERATWDAPRR SMSPYPTNPFRKVAQPPQNGVRTLI EPUS_06759 MQSETFSPALNTNKRKRDTADQADGGRAPRSQELNGEGRRANEA TMSQISEQLIQSLGQNGDSTIDEENQRTAQAALNTPMQPGSYPPPDASFDSGSSGHYG LSFPDGNDMTPSTASQLQAAREVTMSVNKPAVGTNEWHVQRKNNHKEVERRRRETINE GINEIAKIVPNCEKNKGSILQRAVSYITELTTKERSWNNERATIDVAIKELSERNNKM KANVEQAWAQSNKWQKRCRDAGLHFDDYDLDIGGDDDDGLGTD EPUS_06760 MRIATLQFDSALEDVESNIKKADMLLFCHKDRLENLDLLVLPEL AFAGYNHKSSIDIEPFLEPTGAGPSTLWAKRTARNLHCTVAVGYPENDLSGSERRAYN SLVFVDGTGETVAHTRKSFLYYTDETWASEGQGFFAGDMRLGSPLEAKKVAAGICMDI NPYKFEAPWTAYEFANHTIASKAELVVLSMAWLTRLSTIELQGQDKQPDLDTVGYWLE RMRPLQGPNGPETEVIVVFANRCGEEGESPLIGPVRYAGSSTVMGISRGDGRVDGEVR IWDMLGRAEEGVLIVDTMDDARYGLKRSLPAESLNGDKDPASSDQD EPUS_06761 MARLFSFRKSKVANEHQKGQSTTKMRPIKQLLNKTKNLFRHSRV PEPTHPFQPPAILPLPETTGSLQELIDEGLLLLSEGTTISHAGFSSSTRCEGHTSSAI TCRDGLTHSPLLSAGSTGSDAHEESLAQAKSSSDSKTLLSGKAVEAWPENESLGGSQQ SSVDGSPAASSSLCAATAETSVLELVHCTPSKTQICLSSSKKLSRPKGPGGIEGPVGE THPSLSTNHVPEEDLRQTRLETPVVLTYSPQPEPNSGELGDNRIHRSAPVQQPQLLTD VADASFFDNILADESDFGCIRRMFTEAELLEVAASSTSSIESNQEALNTTERPEFICF AQSELEIDAIRRCRSQFARSLEVALAEQKTGLECGFEESYDSSAKLHEIEMLNAQDDH DAEVHELKQSEKDLRGRLADKGKTLRLVRADMETLKKQCNNTVTQLEEKSSQCDQVLQ ALQERETILQRQNEDLYRLRDELAKTRQAYQLFLQASRAEDAENERSRTSLEERYNGL LTGFNQLNANRQVTEGQLEATRRQLQLTQAALKKQQEAFEQTKASHELVIAKKVRDFS WHIEQNVNAQAMRPLSMEDEIAKVTAQLRKTLEEQIELSVALGSQLESTQVANKAKFK KLKRVIANQGQENVILEMALSMAQRQRDHWAEQYDEVAHTLASKLPFSSFARSLAERH IALQETRFALEAQLLEAKLRGDRALLDREIVKRHEAIKLGKRDAEIASLKHQLGIVQQ DLDNENGKVLIYQTAVDEEMPGLQARNKEVERMLDDQVTNNIHADHRRVVQDLRYRLR ELEETNKFWEHSLAELSQEFSRVKSDWENSGCIIFSNLTNARTWRNDRDRLEIENAAF RERFADELVNEPLVIPADWKTRQQIEDDFKLENIDDALLKQFGFTFGSVPKEVLGLGS PPWEHILVKANEDIAKQKQRWIAKRDALKASFEGRDPRMPNNHECIDSDSEFEVEWKG KAKAKATGRAKGNDGQPSGIETRAENEKARGQLLIAAPDPSETDPTAEFF EPUS_06762 MGPKSPSLAFEPTPALAFDKENFSPLMENPNSSTLDSRLGSSLS KEVHFSPVLSPTGRAQPLANSVPFLEPVSSASQLPRHTVRIKNAPPEIDMNAYMEQSR SLLESQRLNFEKERDIFERERRLWNAERAMLKSRIANLEANLSKTSTGRRRSSNDAPS LKVQSFRKDVSQKSMFNGLRTTRGTSEPNGAHHVWETPDMGGRVTRVFSNDEKQPQSK KPASTPNGLPSIAEGGNEKPVSLRSNPVPITVLDSSLDGITLKPAGLESSFVKIGSPS ATSPPNPPSPGPGIHKEEKSLRVKVEGPISPAVPILVRNAGHTPMEFGKPTISETPSG VESQSSPEREVPPPPQASISNSILNHIALQPSERSDSYFSSAFETGTDKKPLQTDGDV ELKGPLIMKSQHAEGQENLFLDKLDAKLLAEAQRPRHASIAEIDGKNGYGKLDDEIDD EGPRLMLKKSMNFGSAFGSMNCGRI EPUS_06763 MNILQSTLSSIRDHYSFVSNTSNFRSTGQISPEEFVLAGDYLVY KFPTWSWADASPESKRVSYLPPGKQYLVTRGVPCHRRLDENFAGEAGVADDLVQDGFL GVDDREGGGGGDGEDDGWLRTGGSSEKEQAANIREVHTMSESGNIGEEPDDEDEIPDM EDDDDDNEAIIRDPKAGGTRAPLRTYNLYITYFPYYRTPRLYLSGYVSASEPLPPPAM MEDIAGDYKDKTVTLETFPFFDGGMKMASVHPCKHASVMKVLLDRADAALKLRLQKMK QREASGATAGRSDMVSGMEGLIDDTSKLALEKKAHAQGVAAAGGAAGDEWEVLEQEEG DVDEQQVAIKPHQYLVIFLKFMASVTPTIEHDFTMSV EPUS_06764 MGATEEAEYSIKPENSTPSIPTSEWPLLLKNYDKLLVRTGHFTP IPCGCTPLKRDLKSYISSGVINLDKPSNPSSHEVVAWVKRMLRVEKTGHSGTLDPKVT GCLIVCIDRATRLVKSQQGAGKEYVCVIRLHDKLPGGEAQFARALETLTGALFQRPPL ISAVKRQLRIRTIHESKLYEFDNDRHLAVFWVSCEAGTYIRTLCVHLGLLLGVGAHMQ ELRRVRSGAMDEADGMVTLHDVLDAQWVMDNNRDESYLRAVISPLESLLTTYKRIVVK DSAVNAVCYGAKLMIPGLLRFESGIEIHEEVVLMTTKGEAIALGIAQMSTVELSTCDH GVVAKVKRCIMERDLYPRRWGMGPVALEKKKMKSDGKLDKYGRPNEATPSKWNSEYKD YNAPADGTPAQPVAEKTAKEDVLAAPSVAPNGTSEAMEVDEPKANGEKEKKRKRHDGE TPEEKAERKRRKKEKKEKKAAKGAAKGAKANESEESD EPUS_06766 MAANSLGQAWHSFWHTMTSKDRHASHDSPYRTGQHVPLNQNRHA PLTSIATSATESRPDLASPYDEQFSQPPSASLNGWPGTPGSAHSPSRPYSPGMRSLIG KSALAPDQEGVSPGEIQMQNFADGGPPAPPASHSWKKIDRWVEKNYEELYDQLGDGCT QNDINELEHELDCSLPQDVRDSLCIHDGQERGGRPTGIIFGCMLLDCEEIVQEWKNWR VVNEEYLSGQSQPQSHYPLKGPNAASSSTSNLSQNGTSKMWRQELLDRQDSQPPKAIQ KAYAHPSWIPLARDWGGNNIAVDLAPGPMGKWGQVILFGRDYDCKYVISRSWGVFLSV VADDMESDKVFVDEESGELKLREFKAQNVEPGYLDILRWRADQRYGQRRPKKMPLNLN TNLGKSPRESPYTSPTIGNDEGGRGRSPHRFTRGPNASPRTQIGSPLARHHEEVIAPI PVRAGGDVVKDFASAPEVIKAVRTEKLIDAPTPTVGVDVNKPNFQPDTPKPVVDQDTE KKPAAGLGVDGADELKSVQI EPUS_06767 MSTGSPTSDHVDTRFSTPVPELDDHRLQASSLPRAETSAPRNIR RLTGRSGSIDHPVLLQVNEAIRGPCTIPRDLENAIVDEDRSGNQDQLSPNIRRGSSGF SPTVPRRSANRSVRERDRSRDSSSSYSSSPPNSVDAFADPRRRERANTLESRAPSDVE ANQTRQRPLSSTTQQLRPTISNASVVRADTRRDDDDSPPEDDVCFPQLDDSGKTYTID FEELEEFVALCAQGQIPSALNRGTRKQSVSSKHEKSMVFHDVRHLHVPRIVRTSASPD PEPLQYDPASDTPPSKSSDMDEKLSLMESAVAPPEPDRFCLFSSEMQSVQHASGLGGL VANGNTFRDLFELPPDGGGVWWLDVVNPTKDELVAIGKAFRVHPLTVEDIETQEAREK VELFHQYYFVTFRTFIMDKNDEDFLDPINLYIVVFRNGLLTFSYSEQPHGRTVRRRIS KMSDYLSLGADWICYALIDDIVDSFGPVIRDAERESEDIEDQVFIAREDDYAALLRQI GISRKRVLNMMRLLGGKADVIKGFAKRCNEQFSAAPRADIGLYLGDIQDHVVTMMSNL GHVEKMLSRSHGNYLAQLNVDNLVRANHANNVLSKITLVATILVPLNLICGLFGMNVP VPGKNSESLAWFFAILGVIAAIVVVSLVVARKLRAI EPUS_06768 MADVDMPDAGPAAPAKTKANTKTVKGGAADTATDGRKRFEVKKW NAVALWAWDIVVDNCAICRNHIMDLCIECQANQGSATNEECTVAWGICNHAFHFHCIS RWLKTRQVCPLDNRDWEFQKYGR EPUS_06769 MSAPLANSLQGPRRSPRRSKKDSNSMAAQSNVSSSETSPPTLAA EIACSKPPSTNEPKPKSQATASKKKSQGSKKQNNSPESNRPKPYAQTSSQSNLLSPDR KATPLKQAYAGPTFHSSPAASSLPMPSFYSKSLPTVSASPRSITTNQLDGEGPADSDI ETLMPINSEGSTLRGREPSPLDFMFEAARKARDSPKTQSPDFRAGRLSPFDDIPKTMS QTPGDPSSEPVFPFELDGNGGRPLSIGPSFATPYRDRIEALRSPKAQSVTPIQGLGEK ERREKSEALKRFLTNGSPQSSPHKPDMNSYFPDRASDAQSASPTFSRHRSGPPTPQYG IQPGPVPRHYFQNVPVATPERGTPIPRPVSSHLRREYQPDDYQAPAELDSDSGASSYF PAALQNNRRSVSGQNIGNLGSRPQYSMPNQKIQMTTSHSAQKLEDDLRRVLKLDLTSS T EPUS_06770 MPAIRSTGRNRKPPPAGFEDIEDTLLEFSNKMKDAQSAPHEGKK KHETLWPIMQISHQPLYEYLLKNNYADAALIAKWKKQGYEKLCCVKCIQTKETNFSST CICRVPKATLERAEGEAGAGAGADGEDATATGGAGGGGETKNEQKLFEDAKNKGNHEE RV EPUS_06771 MAPKSDTKKGPKPTKPSAKANNAAKATLKGVHSKKARKVRLSTT FHRPKTLVLSRSPKYPRKSIPHEPRLDAHKVIIHPLNTESAMKKIEENNTLVFIVDVK ANKRQIKEALKKLYDVETVKINTLIRPDGSKKAYARLTADVDALDIAATKLSIV EPUS_06772 MERFLSELYTRQTTQDTEATPTTSPTDGLVGELIWNNSLQQRTT TVVTISFNVLAALLVIVSVFFDARKVTKRGLSPRASNKFYFLSKVHAADTFPLTISTA IATQGIIFLGVQGSGLDGLRANGCQAISQVVWPAIWMVAYTLLVFSIEVAFRGFQRKR FHARGKFTVQICWSIVLTMLLATWVPSQVYKNPKNDCTASLLSWAMPWADIALFLNSI LIFLYLLTAFLIVYQLSRTAKIDHMERIAASRIVYYLAIAVFLMSGVLPFWARIVLGA STNNAARVATIGLNIFGLLTGLLYLVLRSNPNNMAFGPGGASRTQKEEWRFFGSPELD IGKHITNPVGPEYNSTTHETVVAAKEKSAFEARSVRSKATSGSTKSYGNRPALASPSS YRTPSKSTISKPPLTSPPSYRAPPIPNANDPLLVSTPTFQIPPQMLRSESPFLSFNQA NYTLFPQRSGSTPATETDHVNAAKTDPVNAPAPLFANYHRRDTSNVTSATVQIGMRLS TGTMIPGLEERINRAATYPAVTGKSKQDHRKNSPQLPQRSLDRLPKLLAPPNEMKRRP RDSSPQEILFRLNSNEELSPDAVHITTPSWRKPLEDLVSPTRRISSWRKFRDRRMKSL PPVPVTPSTMPPPSSYRASFPEPRTPASPAGPMQASQQREALSLALKEDEQWPLQGLD MVLLPDKSYTPDKNRSWI EPUS_06773 MTIDYFACLSLLVIAVARTDAALTNCKCTPYDTCWPLAATWNAL NTSVSGQLILNIPPAAVCYPGPQQDSQACAYVNAQWSNATFQSNNPVGLSYPITVSCP PVNASAGELPGTCSIGDLPRYAVNATRPEHISAGIIFAQEHNIRLVIKDTGHDILGRS NGFGSLELWIRHLRTGIVHQKKYKPSYGCSKSSWKGSAFTIGGGYTWKDVYAEAESRN LVVVGGGTPVCPTQQVAKAFSAHYPQTVGCLGGWMQGGGHGPASRDFGLGADQVLEAT IVLADGSIVTADPCQNQDLFWAIRGGGGGTYGVVVSTTVKAWPTTSVVTQHLAIAPLA DDTSALLDVIALVWSNYPDLNDAGYSGYGTWTIASPTPLFGNFTSGFVHGISVFGKSI EGAQKVFAPVAEKLQTYNGTSLFVSLTYAEYPTYATFYEAESGVEPPVGAAGALGSRL FDRAALTKNHAGLRRMLNTVAGAPEEYTTNAVELVSGGQVFNDASDPYSSLHPAWRTS YMSNIVARGWPPGTDAATRDAVHKDITYNKVAAMKKQAPNTGCYMNEVHKLLGMPTLT QAKRTLQIASEASIYLSAKLSFTPVSPRSVCNVYAYLLSTSSSLPFIDSPPPSAATPP DPQSYYVSEGSYEREREKILLCESLILSSIGFDVHVALPHTLALTYVQALGVSSRKLV RRVLEHLNGGLLSPQLLSLTHQPNALAVAAIYLAAKEVGVKLVDGNWWEVFDVEREDL GFLVLAFGSFVGFAAAEKERWENEREKLRELLSGGG EPUS_06774 MLAHLPHPTLHPTYPSPTGGITENESQTRLPPPNATRPLDSHHR SNTTIDFASIIPSSRSSSPSRSSVLLPFLQFGKDRSTSPEPVSPEEAAEFEHTYTGEK SPRGKGDTRSGKLANWFGGSSEPVNITLIPSPVKEKHDPFFDFAEMERGSARSSLSPE NDSMTKRPQSRLQKSSSTLSVAKKNQAGSSKFTFWKSKPATNSEKSTLEEDELTRLDV QTALFQSGMTVEPSIEGFKSLQANAESVICRFQSAYRKSLQSVREVTSEKNVLRDELE AAQTRSEHLKLQLANMAAEAAKQKSAMQSMTEEVVALKCKLREDAEFRSRSLRIITMD QSDANESESLPDGYQKEKRQSGGSFVSHKSSSDSVFSQAPLGTCTPISAADTSPELYQ APRFDSLQGDHLKECQICHGVQLSEAWDVVHLLKEESRALKARIAQCESANEDALSLL DIVSTVR EPUS_06775 MAFAAHTPEALLPRSDSKNPATTCKGITANGRPCRRSLGSSPAS SPGPSPLKGRGVLAVLREEQDDGNAAAAFYCWQHKDQAEKMANSEQNHTELFPLQERT SIDTLVDRVGVMNLEEDLAPTATRQKRKKKRNGASTARPKLPSDWQHMQGPLMSVPSD MLSPAAHPKQQRRTKQPRSNVKASILCCVRDVGDDEQSSSSHHSQDASNVPPNRVVEI TQNNMVPAVQPARLSAQTPSPMSQRPNPSTPDRRSALSPGLRTPTTPTRPSMLTTPQS TGSQTQGLLSLLPPHLSPQTTSILLNELSKPISAADAEGYIYMFWLTPESDSSKPDDD AASDLLEAPTASQPQSRRKSDVLQRYASQRRGPTQPKTVLLKIGRAENVHRRLSQWSK QCSYNITLIRYYPYKPSRSPPQSDRSVLPRKVPHVHRVERLIHVELSEKRVTEQGACE NCGREHREWFEVEASREGLRAVDEVIRRWVGWAEGLE EPUS_06776 MASQISDAAGGLSSQAGGMSGMPLGGMSGALQGGVNNLFNTGQN ILDKWFPPAKREELKNKLMKFATERPQLAAFLLSQIALSGIPLALFVIMSITVLVFAL LAGIIVGVLGAVLFTVFCLGLALIILLPTLFMTTFAGLFVFLWGLGAYYIVKWFNQKE IPGVHKGGKEEMQKQMGLDNLPAGNGAAAPPKKDAGPDEAQANGQANGHAEQKEHKEH KEHKPANKSKSPPTAQRSHTPSGKGTGADRGSDGDVKKTAGGAVSGATSGVGV EPUS_06777 MNGDAQGRTALILYGTETGTAQDLAEEVGRATERLHFDTTVAEL DHVPITLPSTYTITIFVLSTTGQGEFPSNARVFWTNLLKKKLPATFLQGVSFFLVGLG DTSYPKFNWAARKLGKRLKQLGALEVIDACEADEQGEESTDGAFLAWLPLFKAAIVED FPMPDGLQPIPDEQPLPSKWVLGPAHVVPNGHTDRSFSVTGDGDAVDECTRVNGQTTI VHPTHDTRPIPGSFPVCLQENKRVTPSSHWQDVRLLTLTTNRCINYLPGDALSIMPKN FPEDVNMLIHLMDWTSIADTPITFIPTSNPTDFANYPPCPIPYLFSTSSSTTTTLTLR TLLTSYLDITCIPRRSLFATLSRFSINNSTQRDRLLEFTLPQYLDEYYDYATRPRRSV LEILQEFDSVKVPWWEAANVFPLMKGRQFSIASGGNSRRLSEEEGGGTKFELLVAIVK YKTVIKKIRQGVCTRYLAAQAPGSTLNVVHRTDGRFSTKAKTPKQTRQGRNTAEQEEE DLMRPKLLIGAGTGIAPLRAIIQHDEELLSLSLQKPRNPTQGPDTTLFFGARNHDRDF FFADEWSAKTKLAPKSSSHHHPSSNPAEVGAAQFRLITSFSRDQPQKIYLQDRIREHA PHVFDLLVRKKATVVVCGSSGAMPKAVRRALVDVLVRGGNTMGINDGDSRGGERVEEE VEPSERSGVEAERDYGEEKEEGRWWCTQEQAERYLDAMEKDRRYLQETW EPUS_06778 MPKVSNYSPEYCHQMTPADNQLQITDLLFDCDNTLVLSEVLAFK ACANLANAILEKQGISDRYTGDQLIKDFVGQNFRGMMMSIQEKYNFVLPQDELEKYVG QEMDEVIKNLEAEAEECEGATEQLEKLAQSGKYRMAVVSSSAKPRVVASVKKVGQDKY FPDELIFSAATSLERPTTKPDPAIYLHAMKVVGAKPESSIAVEDSKSGAMAAIRAKIH TVAYVGSYPEEEQEEKAEMLMGLGCEALMHNWSEFPDILKKIEQA EPUS_06779 MLLLEKQWVNVQEKTFTKWLNNKIAARNVAVQSLVTDLSDGIIL IHLLEVLSNESLGRYASIPRLRVQRFENVNKSLEYIKSRGIQMTNIGAEDVVDGNRKI ILGLIWTLILRFTISDINEEGLTAKEGLLLWCQRKTACYEDVEVRDFSGSWNDGLAFC ALLDIHRPDLMDYDSLDKNDHRGNMQLAFDIASKEIGIPDLLDVEDVCDVPRPDERSL MTYIAYWFHAFSAMEKVENAGRRVEKFVTNMQGAWEMESAYEKRMRELLRQIALKRQE WSNSSFAGTYADAKSQAVAFASYKRSQKRSWVGEKSDLATLLGNIRTKLSTYRLRPYE PPSDISLEALDAAWLGLTQEEHQRSKLINSTIRDIKNALRRTFADKANDFAMTLNALS LTISGLEGDVDDQLSHVQRLSSNLSPLEEYLEVIADLDAQCQEANVEENDFTTYTYDE LLYELSLVKTSVSKKLTFLENQMVARSMTNLTPIQLEEFESVFRHFDRDGSNTLQELE FSAALASLGLVYDEEEMHDVYRDVCCKGLESGTARGNGAVVSAGVRRQREKEGVGFEQ FIRFMVSVTEDQNTAEQVFQSFREVADGKPYVTEMDLRHSLIPDDLIEDLLHSMPKHT GPDLEVDRQVPKYDYIGFMEKMAGGGDTSRSAGNHKTAPRSPAKSSLKLNGGAAVQSS PRKENPRSVNGMPQGAGT EPUS_06780 MADNYFVCTLGQAANFPKTKARSIADFLELQAEKYGQRSAVGFP SLTENSASLLTFHELNDQTKQAARGLVRKIPKLKESLGQGRTTALLAHSHVVFLKSWL GLIRLGFSVLLLAPQLDKASIQHLCRERDVEYLFHDAYHAQRAAEVDGLILVHLGPHD LLHGAKENPEVEMQIGPHGKVCSIPYLHHSSGTSSGKPKAIAQTNAAAFAALPLIDTS PGVATFSSTPLYHGGVADCFRAWTSGQMIWLFPGGEKPITAQTVLVCLSLAGEAASNG SADTVKYFSSVPYVLRDLAADEKGIETLRRMDIVGVGGAAFPTTLGQKLVSEGVRLVS RYGSAECGFLLSSYRDFEKDEDWDYLRSDTGAERLSFEPQDNGLVELVVKAGWPHMAK TNRADSSYATADLLEKHPTKLNAWRYHSRADAQINLINGKKFDPEPLESAIVSKCESH LILDVLIFGEGENYPGALIFVRKCADQNPENILDQLWPTIEEVNHHNPSHARLSKGMI KLIFHESETVPALEKSSKGTILRGKAHQRYHGQIRQVYDGQATDPDLGYSIHKKSDLV AAIARLVERTTNRSLAHDEDFFEHGIDSVACIQIRQAIQNMLTGSQQRVKDKPQQARS GGVGNTKSDLFGTSFWSQHAGELPSNLLYDCGTIEAVASFLLGEEVSIEDNDFVLMKE LVQKYSKLDVPQVIADTSSSTTGNGLVILLTGATGSLGTHLLDVLRCRPDVERIYCLL RASDPSVAYERVDKALKSKAKEGLQPVGTIDKKIICIPCNLARPDLGMDEDILRSIRS SVDMIIHAAWAVNFTLRLKSFEFHLAGVQNLLSLGSAAAPSTRASSSDRGHQKRRIKF LFCSSIASISSSSSVSVAEKVSREPQDASPMGYSRSKWVAEAVCVNAHKAAQAAGIPL DVEILRIGQLCGDTKHGIWNKTEAWPLLLSTFDLIGCLPDLPDEQLNWLPLNIAANVI CEIAYSGRPVEDSPRDPPVYHILNPHSDPSWTEMLQWLQQVEDGRLHIVEPAAWLRSL KKCLIAGYQGHPSCKLLGLWKDAYQSKGKNADLKHHFEIKRAANASDTMSSVGPISEV LVGKMWTWTRKSIRAETSGEVDS EPUS_06781 MSMKLAITDLLPLPNCSTKIPRLGFGVYQSSPSQCVQSCLNAFK SGYRHIDTAQFYANEAEVGKAVRDSGIKRSDVFLTTKILSAGGSMQKSYEKCLASVER IDSGKDGYVDLFLIHSPNSGKEKRKEMWLALEKLYEEGKAKSIGVSNYGVGHIEEMKS YAKVWPPHVNQIELHPWCQQKTIDQYCQKHGIVVEAYCPLVRNYKASDPTLVEISKKH GKTTAQVLVRYCLQKNWVPLPKSDNPERIAQNADVYGFELAEEDMSKLDSLNQGASGA LVQAVDNN EPUS_06782 MSSYPRSLADLKAGDEALLSIPNFYGSLKELRKSTLSTTNRLQS IVRDADFVQEVAAEYQLPLIANERCGSWYVPPETKAGSVYFKSTDGHTGQWSFNLRRL NLQVLDLIGRHGGCIIVDSTRRGKVMPDAFSKTVPIWCAVINRALFPEQQQYHQFQLP GIKLPASEVSQIESRLDDFVIAFQHLALDVEDLRRRLGQPMRLKWVIGHATRILPADE RRVRQDHALCHTVILCSASRRVDGAEMSEGGYIQGAGDDSEGWSSGITPQMFWMHKDL LLHAQEDRFPELIEKLLSSERQFPKPYHATLIAPTKNLYIASWTNAEDLPGFDLAINC NSSDLNLQDSAKQLNLECGPGKLGSRNLRRKLQYVDSRVASILIQNPASQILVTCKTG KDLSIGVALMLLCHFYSSDAIPASPSKDP EPUS_06783 MEQAQDAPNSSVPSSTSNHTPLANQTLSGGNDMSAMPTTIESTN GFVEPLQQSNLMVSNNSADGVFQSITADEIALYDRQIRLWGVKAQEKLRSARILLVGM KALANEIAKNLVLAGVGSLTVVDHEAVTEDDLGCQFFLSEDHIGQNRAYAALPQLHKL NPRVSLQSDQEPISTKQPEYFAAYDITIATCLDIDTLSMINASCRISNRKFYAAGTHG MYGYVFADLIVHDFVIERAKSNKITEVNKAETMTRMVMTSTTKKENGKVIEMVSKRET YSPIILANTSPLPAEITNNRRRRLQVTPLISCLRALFDFQKVSGGRLPSHSRPDLELF TALATEKHRELLLPTETLRSDFLRSFLQNLGAEIAPVAAFLGGSLAQDVINVLGQRQQ PLQNFLLFDGEEFKGPIYSLHPFFPESLTMPNPSLMMNGTPMLDQMGAGLNMGMDMGV GMGMGPGMTNGTVE EPUS_06784 MESLLWLLSIDPILLAQSGEYDFPPASTASPPRLSVPTNPPSRT LPDVTARLDHLRNLLNSERHRDSEGRRQALDLLDRELLEASTESMSDRLNRRRRALES QVRDYEASSSPDPDTIPINHTNSLMRARRTATRPSERLERHRQRLNQGLNQSMARPRG DADHHAAIDVNPASALPDRPIPRIGSPDITAQEYSGEAQMNRENRWRAKRRKIESDDP SDGFKGATYGHRGQVVSGPLKMEIVSCDGGQYSEPNGDSSWPENVLQDDSSVYCTKSE RCNIILRHKKGTPFSLRKLVIKAPRNGFDAPIQEGMIFVSSDDNNLLSRTAQYQIQYS PRRPRSQRNEFSHIRLQSSHEHFHSIRSPLRSIDRSTYLRNPFPPPRQPENSAITAMT TDFSNDSENRGSIPQQIPGFHVTTRFDDVDSDNEDATGAQSVSEREEAEIDRILNLRD HYWPRYRRSWSDRQIDGEDSPGSSSDEGAESPDEYFSRSGVDLDNVSPEERRILISEY TAQMMEDRHIRYGRMMRRAPSRIEVANPDPLNAGSKTTDVLAPHARFFIRRHKSCVTV RFDPPVAGKFILIKLWAPSQNSNIDIQSIVAHGFAGPRFFPCLEFQ EPUS_06785 MPVSSSKAARQAKRAEKKTPTSRLSSKAGSKTGSKASSVNGDET PPLLDGDGNPLPDDDEPATNQEKMTKVQKLTEQMDKHGMSDRVTTGVLASLAASRDVK ITSVSLVFHGKVLITDTTLELNFGRRYGLLGENGCGKSTLLKAIDKREFPIPEHVDIY LLNEGAPPSELGALEWVVKEAENEMERLDKLAEDILEKEGPESLVLMDLYERIESMEP STFQTRASLILTGLGFNKKTINKKTKDMSGGWRMRVALAKALFVKPSLLLLDDPTAHL DLEACVWLEEYLKKWDKTLILVSHSMDFLNGVCTNMIDMRQRKLMYYGGNYDSYIKTR SEQEVNQQKAYLKQQEEIAHIKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVA QDRVFTFRFADVEKLPPPVLSFDNVTFSYSGKREDNLYENLDLGVDMDSRTALVGPNG VGKSTLLRIMTGKLSPTGGVVSRHTHLKLGMYSQHSAEQLDLTKSALDFVRDKYSDKS QDYQYWRQQLGRYGLSGESQTALMGTLSEGQKSRIVFALLAIEGPNMLLLDEPTNGLD IPTIDSLADAINAFSGGVVVVSHDFRLLDKIAKDIMVCENKTVRRWDGSIGEYKNYLR KKMVSMGQV EPUS_06786 MLDADSSELLHFAQNVCLILLSVVLLPLSTAILFLSYAVRLFFG ENASRQRSQRSPNFQPKTILVTGVGMAKGLRIARAFYQTGHRVIGADFEPNSILVSGR FSRAIDKFYRVSKPSPQHGAARYVRDLVYIVEKEEVDLWVSCSGVASAAEDGQAMELL GRKTNCKSIQFDVKTTETLHEKDRFIEYTKSLGLPVPETHQVVSRTAVLNVLNEAIDG KKKYIMKTIGVDDASRASTAIVLPKRTLSQTYGHVSKLSISKSTPWVLQQYIKGEEYC THSIVIDNKVKLFVACPSSDLLMHYQALPQESGLSKAMLHFTEEFAHRSGSGFTGHLS FDFMVEEKVTEGCVQKNIYPIECNPRAHTAVALFSGTQGSVDMVKAYMSVLDSSPTSG SNGHLDLSYTLSGTGSVAYPLPTAPGYYWMGHDIVTLVLHPLLRILTFRIGFWSFIHH VTTFVNHVLFWKEGTYEVWDPLPWWWLYHVYWPRQFWACLRTGKKWIRVNVSTTKIFG C EPUS_06787 MSDLKATVSETKNGFHVEGYEKIEYDFTFIDGVFDIKNSNLADC YSRWGRVLAVTDLNIYNVYGKQMQRYFDHYGLELKIHKTKIGEKAKTIPTFLSIVDSM TEFGIYRKEPVLVVGGGLVTDTAGFACAAYRRNTNFIRVPTTVIGLIDASVSIKVAVN YGNYKNRLGAYHAPIHTFLDFTFLRTLPKAQIRNGFAELIKISSCAHLDTFNLLDKYC EQLIETGFGRTDNAPAEVRKAADTINREGIHEMLKLETPNLHEIGLDRVIAYGHTWSP LHELVPETPLRHGHAISIDMAYSATLANSRGLLSDVEHMRLLNLFSRAGLSMDHHQFD EEILDKGTAAILKTRDGKLRAAVPRPLGSCIFINDLEPKEMHSVLRRHKEIMKQFPRN GEGLEAYVDASDTGYTENHYDESKAIEEAAVTAGNLNGFMNGTNGVSKGVNGHTNGHK DGPEAHTNGELDGVSKIAQQAGFDGAEHGLMNGADGFTNGAKA EPUS_06788 MANKDDDHSMSKETLYVQQPPDGVPFFDDGREIELLHFIYSLPE IDQIRDHPLEVIAAIDKYATTKNYLMNVGPDKGKIVCDLIAEVKPEIMVELGGYIGYS GLLFGDAVRKAGGKRYYSLERNPEFAAVITSLVDLAGLSDIVKVHVGPSDQSIARLHD EGTLTRIDLLFLDHYKPAYTTDLKLCEHLKLIRPGSVLAADNVIMPGNPPYLEYVRSS VQQKKERIQNKEGRSYDSLGFSDRYKNQYSKRMADEKPNLEFPGNPNLVYESQLIKSW EPTGVPDAVEITRCVGEEQ EPUS_06789 MSARKFNVGIIGYGLSAKIFHIPFVTYVPELNLHAIVQRSPKPD NDARKDHPGVQIYPSVDDLCQDEMVDVVIVTTAPDQHFPLAKQALEAGKHVVVEKPFT PTSKEAYELTDLANKQKRLLTVYQNRRWDADFLTLSKYINNGSLGRVVDFETHFDRYR PQAPNSDSWKYKVQPGGGAIYDLGIHLIDQVVYLFGTPKRITAFIGTQREPNPTGFED SCTIHMHYDGKMATARASVVSPEVNQLRYWVRGDKGSFKKFHLDCQEEQLKAGMRPGD AGYGLEPQDRYGVLTMVDNGEITSEVVPTVEPATYAEYYRKLARALAGDTKQLPVDPN TASLIIRLVELALQSSSSGKTMDV EPUS_06790 MTGSPSVIYILYNAKASLLGKLNYVCRKLSTPADQSACAACDLT HGGLRLDESAEWKATKQKIPAQVKQLHTDELTPELSDFVSTNKLRYPVVLGQDSESES TLKVLLTSEDLAGVSKNHSGFLSLLLELGIVDMFDISLRGWKDGVVDPISRGVPQSIT PGQITFSAFVCGLLSCFLATRPGYENVALAFWVLNRFLDCLDGSLARARGCATQLGGF LDLLSDFIIYSLLPISVARGQSEFVLIDWTALALLEASFHVNNFVLFYVAAVAADKSD GELTSVTMKPALIEGFESGLLFTMMLIWPARINVWSRIMAAGVAFGTAQRVWSLVPIL KRFDARKKAE EPUS_06791 MQIWANPQEDFKPPSSDDSQEEPRTQQDHAYKPRTLLSRVYVVA AVFLLFLNFFLAQYDKFVLSYFQADVISSLNLSSSDYGVLSGYATGIVYALLALPLAF VADYVKARVWVLSVAALWWSLCVLFQGLSHNFWQILLARIGMGIGQAPVEALSISLIS DLVDAKWLYVAESLFYVGVYVGEAVSGQIATAFNKTGTPWNDALRAVGIVGMVLAVIT RCILREPARRSAIIPPIQASDDSLFASPLRRNWKFAHATRQIKASVSQIVRMRSFWLL TLSAGARQFSGNVFGYYMPSYMSSIYPSEMSLLSRYGIIVGVVGSVTVVAGGLICTSS GKWRPYMPLYLTGVGGMISSIFVILMVFSRSLAGNDESKGVRILYGVMSAAYLTAELW LGAFASLLALLLPPQTKTFCLAIYTCTIILIYSSAPQMIGLALRQYEPESSAYIQKTR DILAILIPVGYWVAGIGFLLCIHKVKRDLRGDMVMAGRTSWRRKAGFVGFAVTLISLT VALFVTSLVLR EPUS_06792 MVLSTLGQSFSFHSSPESFISSRLQDLAISDPEQLPSTTGKKNI VVASILNRKVHIVSSYQLCKDILEASSSASSSNLTPSTITATAAGIFSQDVNPRSLDA DILAAGPAYHQLMSDFFPPPNILLEDGTTHAVHKRTWADQLSTFACDSTPQIRQITEK HISSLLHPATGGADGKVDLYETLKSLAWEILLSVFLDLSPSSSPEEFSQVESLQETLL RGQFSLFPVSINTRLWQSPRSKGIKARKDLQVNLGEIINRQEAGCPFLKQGATSKEDA ANHALLFTSSLAVKALASLLTAFVLNLYLWRDRGHGYMEDGDGIEEVKGPTTTSLAEL IRSQGDLDAQARMLRSILLETERLSPPIVGVMRRVQRDIVLKPHQQSYSQSETETPTS TATRNMNNSMTYPPPSPPPEGNAMHHAVPAGHDIWLYLSGASRDPTVFGNDADLFRFD RYMPSPSSSSSTSNKDLHSHSDSDSFDPPPSLVFSAGPKTCLGTELVRQIVLTVGKAM VDVGVEMEGMVEDRGVRGWLGWDAGVQPEWVAKGMKQLPVQRPRVGVRVGIFRKG EPUS_06793 MVEAESSTAAARKALKAHTNGATPDYELPWVEKYRPVFLDDIVG NTETVERLKIIAKDGNMPHVIISGMPGIGKTTSVLCLARQLLGDAYKEAVLELNASDE RGIDVVRNRIKGFAQKKVTLPPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFA FACNQSNKIIEPLQSRCAILRYARLTDAQVVHRLMQIIEAEKVEHSEDGLAALVFSAE GDMRQAINNLQSTWAGFGFVSGDNVFRVVDSPHPVKVQAMIKSCWEGKVDTALDTLKE LWDLGYSSHDIISTMFRVTKTIPTLSEHSKLEFIKEIGFTHMRVLEGDDCIDNLNIPN CDRDDSFYGWDCVCTDQSALDSVNNCISSSCSLSDQNSIFGNIAQACANRGNPITAAP AEATFSATSGGTLFASGWGSEYSDCTSDRSRGFGRGGFGGEGIGKGHCHGWAGHCPWT TFTGNWGPSWLVSWSGFHGDDNCSTITDSSLTAATSPSRVSATITASPSLSLGATVTT TVNGQTLTGTIFSAQANAESATTGTAAVAQETGQASRHQPLGAFGAMGHGTNISPYVA QQHSVLQMYILHCWISRGSPMPPSNRAVVTIPDDVRSKSATLMYTGLLPSRTSTFTAS SPRSVHPLTLLRQLPCVADVTGTTCAPTQWSCLCPSSIYIDRLNACVYQACAAADSQQ ATFGAIANICQIYGLPPTASPEANAASTMDLAGTVSIVGVSTTSTAPTASIAPTSNVA PPTDTAIPPALISSTSSVAVPTESDTSPPPSATSASANNPTGTESTRNSVPASSIPIQ VPESTEVASSTESSTAAATSSGSATLISFNEYAACGGALLALPWAISNHYDSAAPLDT LFNTAFTTASSLRQTTQLLSARAAEKRPQRDVDIDPQAGQSHSIAHDLFLQSPEPVVL PRFPDRHPLLHRRSLLKPFSRQLPSQRAPFKTLRPSPSRLLNPINHVPRQAAVPITRS PIAGTFPEAGAALLTLPEQRRSRRQSPHSPLFEHSPVGGSESGRTSIGLPPGQRLSGT LEFDGGGAAAEMSDLEKQTQDHRPPDPSRMGTTTPTSKYNTHDRDLEANIPQSQSILG QKYGMGKDDQPNHPPRHTKSEVSLRRPYSIKSEVPESRAGAEDAVDDQGSVAEELAWG PSHPCFPHLNSHVPLNSPEFTSTRIIRIKRDWMVRGDLAPTYSNLYPEILDPLLPEHQ FRNIIQKVNQTLVLAYDPFSSRNLVDVVMGVLTGWLWDDLGFGGVKKRLRDLEQWLAD WNRDVGMGERVKIIPLRRTGYMSLDIQIPDPQIRIVNDGPGPEEEDEDEAVEAEEEAV PPGSMSESEKAVRVEG EPUS_06794 MCSIIFSPALVFCLNWYWFATARVTIINERTSGGVPLATLVEAS KTDCGSYNLNKIFKLVRRENPKTYLFALLVLLSAVILSAFSNVIAYEAYSTAIGGSSA KLQALNDFGRTLSMGGEQDYPYNFTNEDLSRFGSQVVGVLTEIAYHNATDKLERDDYI GINATQASMNALPSNITGLHDVPGYRLSIGCQAQPLDRLTVVAMGRYKVAINAIFDIG NNSPMIYQAQYPGQMEVLTNAYNEQYTFAGFTVDGTQIYLGNLASSPSFRNPEPSPYG DITYKTYDMTRNGFTGTKTNMSSWGLRCNVTRQTGLHNFSRRADLSWKITASTWSDSK EIAPMLIEDWQAALNFHALTAAGFEPGLAPALSSSAWSCLTYLTADGICKRIAYEIKS AVPPQGDNGSSSFFQVQAVPSALRYRITYVPLILLVGLLSVQFAALICLGLAASSRRA MSFRTWRDVNALRLLVDSVDGLRDEPALRDLYNLGNEDMEKRAKKVRVQYLERFIDPK MAVKLSA EPUS_06795 MADNKNPAITTRPRLLSDILENPLSESTIPEDYTMHRSPVSPAP PVSSRLYGGQGGLPQPYLSPYQQSRASQNHAVASAPDPGIIGQPLQRTSSSTLLSQGT RLQPLTPVNPPISHRYHENRRSMPSPLPAFTTSPGVSDAGSPSHSRFPSLERSFSQAS LNAPRYPSHPRTPTPSSNRAKAKISDVPRSSSLPSSPKHRRPTPHPHGKPSVKHLTCF WWKVKGDCRFSEDDCLYAHRETGLLADAPRQVTPGEPAKAGRHLEKALSNLRTKRNPS SSSLNTSMVANPSTPESQGTSSLTTTPVDAETFQERVSSLQSDNTFLRNLVEQSSKEK SVLMTTIEGLQKENANLNKDVEYLKDVVDQLQQQKRQFSGSMLFSDVAPERMDNQQRQ ASG EPUS_06796 MAPKVFLTGATGYIGGDALFALVEAHPDWEYTCLVRNADKGAKV AAAYPTVRLVYGTLDSTDLLEEEAGKADIVYHTADSSDHVASAEAFGKAAAKRAPSSP LFYIHTGGTGLLGWETTEKDAYGTELPRIYNDWDDIKEVTSIPDFASHRHVDKIVLRM SSTNPQAVKTAIVCPPCIHGPGRGPDNQRSIQVYEAAKAILKRKQAFLPLKGDNVWHE VNVHDLSDVFKLLGEAAAAGGGEATWNEDGYYFAEHGEFVWKDIFQSIAKIAKQKGLI ESDETLSLPADELTKLHPAGLYLWGSNSRGKAIRARKLLGWECRGKSLKEDLSEIVER EARALGLIEGHAAKVTK EPUS_06797 MAPAGGGKRKRGDRTWSGDNSHDGQRPSPHRPGNLHLAHHNQGQ PSNYGRDPSENRGRGGRRPSRGGRGSVIPQSPTTNRNSMNTPVSKPTSPVQRPTDAQP THQSNGVLSTITKMDAAIPPSSDIIAEPRPFDYSYITEEIREQWDSSGSLSVTEKGEE LRDNGDYVELGMLFQELLFAGMSGRIPPPEAGAVVKNIAWPGQSASDIEKITGTEISV KLSDVQLVFLDTISVFVAETPVSPQAFSAVVQSSGIPPALLRQELDVKFLEKMGLIRT TFGRSGVRKTTNLLYRQANFNLLREESEGFAKLMTELFTTSGNEPPTAEVVEDTVERV KAMIGSFDLDVGRALDVVLDVFGAVLVKQFRFFVKFLRASPWWPREEDHRHGRNDITR LGGLPQWALPGFTAWHLDDSQRADLLKLTEERDRLFWARARDVGLQAFYEIGREQVAN VETKKTLPRATTESAEPSAKEKFMQDWVKQTGTSPPGGNEDAAQLLGFKLRFYSSSVA RTESDTLPDNLIYLSALLIKVGFISLKDLYNHIWRADEDMEGLRQEKIKEKAERERAS RPGQGAKNALLTAGALVDDTLPMPPRLRDSATRAGTPSKDAEPDKVGSSTEKKDALPE PADQKIPLLKSLLAIGAIPESLFILGKFPWIVDLYPELPEYINRIIHHSLSKIYGTIK PTASDSTLQHQKPSSEPDIAGLPKGQVRLMQAPPRKVLRWALLDRDDSGTDSLDYRFY WDEWSDSIPVCQTVDDLFTLCDTFLNLTGIKIGQDPALLVKFARIGKHSIAEDKSESN LERWSELCKRLLVPALSLTKSNPGVVNEVFELLSNFSIETRYLIYQEWSGGRTSRTPD MKTAADQAKAETRDTLKRLSRTNVRPMARALAKIAYSNPHIVINTAISQIEVYDNLAE VFVEGARYFTDLGYDVLTWSLISALGRAGRSRVQESGLVTSRWLTALAYFAGKMFKRY NIMRPGPVLQYVTDQLIKGNPTDLIVLEQIILFMAGIVTDASYNDTQLLAMGGGELLR SQTILQLLDKRHESRLTSRRLMRSLKESDLAGKLLLAIAIQRQASVFHLEDTNAPLKL LGNLYDEIQRVLTQYLDLLHSNLSTEEYESAVPDVAQLIVSYKVQPELAFWISRPNLS RRIAEFDKAMHVNGAQKKNEDLIPKDLEQGDVDMADQLDGAGEDEGEALETEPVMESA ATPTAAEINGVDSNPESPTKPPGEEVSISEEHDQQWHPVLQPIMDSIRPSLPGEVSNV IGLGFYVTFWQLALYDVTIPGKSYEDELSRQHKRIATISSNRADVTALGARKREAEKK QISNLIDRILTENKEHLKAFAESKARLSKEKNNWFVGTHGKSEMLNSALMEHCFLPRI LLSPLDSLFSFKFLKFLHTSGTSNFRTMGFYDLIFRQNRLNSLIFMCTSKEADNLGRF LHEILRDLARWHRDRNMYEREAWGSKKTLPGFAKKVSSTGKPECFLHYEDFRRLLYKW HQHLAIALQSCLSSSEYMHIRNAISVLKAVAENFPAITFHGAQLQKLISALGESDQGD IKVPSLSLMADLNKAQKSWILPQAFRKGQEKPLVNSAIPPGTSTPGPAAQSLNAEAGE SKPSSSSGGDQNFEQKPVIEVNANQHEIKNTQITDVGPTAAGSANSSQGEIKDENTEL PPVPSVEPETDAKIPTVSETVSETIPQDSRLSGSSTPPSAKIPSSELRQQDGNNEAST QQPRIMPQRPDLTSGPLQPHPNLPHRPERTEPRHIRPSDARIPSRPPPHADSPRDQRD SRSFDRPSSGRYGENHLEAAEHPPQHSRPHERRPERFDPGAERGDRPRSQERNAGPRY APQDRRNQPSFRENGTSGWQSERPGQARPPPDSPRNKSEHERRQQERPPRDHHMGPPR GPQSANTEAANINPERAALISGGAGSRNGISIRGQGEDKLDRGSRPTSPKGDHDSRHP ARRERPDDRQEPFDRRSADFDQRHRDRHDNSFGSGPRAQHSDRTHRSGDSFPRDIRYQ PPQQYVEVDMNHGRLNQDDQPPSRHHELASDRSEPSNDVPSGPRGRNPPSTSRGRNAH PVQPQPVPQHSPSNHVQSSMGAPDRLAPTGPGPTGPASRSHTRGQSFQEQQTTSNPNT PADTSGIHPDRLALVSPSAEGPPHRTQSYSSKPSSAQTSPTSVPSGPRGSAPSGAPSG PAPVIRGPPSGPQGNGRNNRHPLAAVNNTLQQAGQGQNIRGRGGSRMSNGPPMPHSGP PTPTAGPGQGPRSEATIPPLQDQGRADLFFRADQDVNGRRPPSQRRDDLPPERYPPSG RRGDLMDEASESRRGSSRHSGSSRTHSPDGDGTGRRNERGQGSHRDRETYRSERDGEG RDHHGRDRVGGDLGRDRRAGGEGEDRPPREPSSRQQRDDAQNSHPPPQAPPRRSTRRD PASSDLPSNSIPPPHGPSAQGPPPPPYDDRGRRRGYQSGPGPGPGPGPGPGPSTRGDE RDHQQRGGGRGGGDRDMRDMRDRESRGPPARDLRGPDPGLREGNDLPPRKHPRAEDGG PYGGGGRGGNRMASESKRPRRGG EPUS_06798 MKRGQLALSEGQHYDIFAINLVTAMLGVVYWHDAKSKPGTIPSS SDTAIKVATSGGTVLGQVGFGWLADIVGRKRMYGLELIIIIFCTLAQALSSDSSAISI VGILIFWRVMMGIGIGGGRPLSSIITSQFATTKWRGEL EPUS_06799 MSTSLQPETGRGESVFLPRLILGTLLFVSVFLPSLLQPALTRLY SYLYHSSFYRFSAFETIETILCYAVIEILFTYKFGRNPHLRIDVQGSRQRSTSNTATS IDENGNTVTPKPKLPKMRRPSKRWGEIFIYAAPLLLMDFTMIKKFAGVPICDIRASGN YHRHIPSYHLVDHNSTTATGSGTAVLSLRDPRVYLPADLQESRLLYRSAPTCHPSSAR ASPAGRQNLEKDGYLPDPSRIGWQSTASREQLQSFVDQSFISRLPRAGRRE EPUS_06800 MFSKLLSFAALSFFSLLLANPATSHSVSFNEADYDPSHVVTKDF LVLGGGASGTYAAVRLKDHDQSIVLIERNNRLGGHTRTYIDPETGGTSDIGVLIYQNF TTTINFFKRFDIPLSTFVGNGPFESKYADFATGEAMPNYTLPDFLTGFKKYFETLTQY PYLEYGWNLTYPVPDDLLMPFGDYVTKYGLEDAALMIFEYAQSQGNILDQPTIYVAKY FGVSNGDAFVNGYLSTARRNNSELYSKALESLGSDVLLRSHILSLKRSDSGVRAVVST PTGNKLIKAKKLVSSIPPKLSHLTGWDLTNKELQLFGQFNNSAYWTALVTDTGLDNNI TYINVQPNAVLNIPRLPEGYVVSPTGSIKDVFHIYYGSPSSLPEHQIRKAMIKDVNVV QKLQGVSMRKPKFLILEQHVPFQCAVTPELIRERFYEKLYSLQGKTSTFWTGAAWHTH DSAMLWRFTEGLLPTFLGQ EPUS_06801 MDYRPRVKWNYKFDWTDKHLSRQETEPLRFKYDELGAAALTKLQ DISAQNKGRKVAHGDHSKPDLYTLLRDSHKTDPVLSKFWDELHSVPVWVDWEQIARGQ KFFYRYAAANITGFALQGFVGENSASPGPVEVLVRTGGFSTKVLLHRLMETFQWLLQV TSEISAIQPHGEGHASTIRVRLLHASVRQRIMKLVRSHPEYFNVEKYGVPVNTLDSIH SITVFCCSPMWLQLPKLGIVPRQEEVDDYIALFRYIAYLLATPTEYFETSEKAKAVME SMFWHEVEPTPTSKVVGYNFVKCLEDLPPMNISKGFIEAGSRWFNGDELCDAVDVGRP GWYHYVPVVGLRWAVMTLAFLQRTIPPLDRFMVGYFRDSLYRAIILSKSGLSKPSIFD FKYVPQPGKITEKEDLPSQRKDDGSKRLGRPAERFLWVVFLIGCGFTAATVGLSVKLA IVGLETFQTLQG EPUS_06802 MENYCIGTLEHVDRESHAELPSIAEQLATRRRSSGVTPLFALLE YAHSLDIPDEIFEHPSIKEIERIGTDLVVFQNDIISYCKEEAEGVNHNLVAIFRINGM PAQQAFEAVNALLRKCYRDWYLALADLPQWGEKIDAQVQKYIQGVQDVVLANLNWRCV ISFHISMCT EPUS_06803 MAPWTERLRAKLGRKKPLTAPLGEASSTSTVPHASAALDPAASQ PSLPERLWNQAYDQAKAGDLSIVDTYERILSAQLSQKNADPADLASQQNEIDQNPEKR WVQMRRLVQDGLHRTEKETNVKQGMEDGIQAAMAVKEVVDKAIKAAPEAALAWVGVCL ALEILMNPLTQASSNRQGIAYVVSRMDWYWNLSSLLLDENITEGRPQGLRGELEKNIT HLYAKLLLYQMKSVCYYHRRRLSVLARDLIKLDDWGGELNDIQATEAAVQRDSEQYNT LSIRSRLGSIAETAKSQNTKLDSISSAIREQSRQQERMHETSADNKCLADLCSTDPRD DKTRIESTKGGLLQDSYLWILENPGFQQWRNDPQSRLLWIKADPGKGKTMLLCGIINE LRKSMAKTGLLSYFFCQATDSRINNATAVLRGLVYLLVDQQPSLISYIRKKYDHAGKS LFEDANAWVALSEIFINLLQDPSLNSTYLVVDALDECMADLPKLLDFIVQSSSMSSRV KWIVSSRNWPDIEEHLERAGHKVRLSLELNAESVSTAVSTFIRHKVLRLAEQKKYDDK TRDAVLAHLSANANDTFLWVALVCQNLEKISRWNILTKLNTFPPGLDSLYKQMMKKIR NSDDADLCKRILASIAIIYRPITLKELTSLVEMPEDMADDLESLRGIIGYCGSFLTLR EDTVYFVHQSAKDFLFAEASEDVFPCGRAEAHYIVFSRSLQALSKMLDRDMYNLRALG YPAERIKQPDQDPLAVSRYSCIYWVDHLYNSDSKSSANHEVGLQDGGAVHQFLRKKYL YWLEALSLCKSMSKGVVSMAKLETLIQGRADASALTELVLDARRFIMYHKWAIEQSPL QAYASALVFTPARSLMRGLFKEEEPKQIMIKPDVRDQWSACLQTLEGHSSSVWSVAFS HDLTRLASGSQDNTVKIWDASSGECLQTLEGHSGSVNSVAFSHDSTRLASGSQDSTVK IWDASSGECLQTLEGHSGSVNSVAFSHDSTRLASGSQDSTVKIWDASSGEYLQTLEGH GSWVCSVAFSHDSTRLASGSEDSTVKIWDASSGECLQTLEGHSGWVCSVAFSHDSTRL ASGSQDSTVKIWDASSGEYLQTLEGHSGSVNSVAFSHDSTRLASGSQDSTVKIWDASS GECLQTLKGHSGWVCSVAFSYDSTRLASGAEDSTVKIWDASSGECLQTLKGHSGWVCS VAFSHDSTRLASGSVDNTVKIWDASSGEYLQTLEGHSGSVNSVAFSHDSTRLASGSED STVKIWDASSDECLQTFKVDKALMDISFDITDSYLHTEIGTIVIDASSVSNITPTVTE PQNPQYHGYALSSNKAWITYRSKNLLWLPSEYRPFCSTVSGETIAFGTRSGKVWICSF ELENLKDFVA EPUS_02692 MSLRPLRGPSKGLNKPKPRSLSKDLRVLTLAVTQVEAKSSSSSE DDGQATRAHQSLSTPPTENGGPSGVLAVDAGPSRTPVDDLQSSADFLSDPFNGLIIDQ SLTSVEQLPDWAHPVPRWDAVRRRTVSRRPPSNRSSTATSQPSSKVSDNMLWIMYPPR PRSLTHQGPILRRITIPEHLSGSTPIERNKRIRHVEQLADRVREIRLGGRAPQATRTT GLLEIKLVAWASQADNVNALMPELASTTVWVNPFRLLNDVFYDAWVKLFMQIYVLGDP R EPUS_02693 MSDTEEATPSREMRLVSSSLHPLPPKTLKQETRFWARGSGVTTL WTILAILCTPLLAYFVLVSCYGTFQRRQNVIGASLLEPCFADWSWMGIFEVNIAFGSF TYLQAKYLDAAWNLLVGKTSQALAAWICYKVFAMALLRITERSRVSFRLYTATAFYPT DFLTLWKYVRGLAVSRTARERAILVWFCFAAAYVLTLSTILDLVTGYVTTQEAAVSLA DGGFASLRGVRWFDGAYKELYSYDVSVYPNKSDISVHTLSGQNFTYLDLIDNSTSVWK QPPIVCMQGSKYRWGFSVGWIWILLPSTLIWISGMFGMYVDAMNHDFLWQMGRRPGVY RDILDVAEAIQEELGPDTCGYSDKELSREIDRLDAIGFVGLQEGNVQNIRLSTRISDV YDGLGNEDMSCERLGTAMSPR EPUS_02694 MKPQQGQASGDQLRPSPIADFRFHKKPITSIEWHPTDNSVVALA CADNTATLWDLSVELDDEEYSKESGLGLGEGDEKVPPQLLFIHHVEDGKEIHWHPQMP GSLMVTGGNGFGVFKTISV EPUS_02695 MENLYGYQSHTSTFPCQKEQRRYIEDLGNVILRAKSHSWPFLPA KEAAGNSSIAINSARTSWNSPFFIDTASSLPSRVEAAHKGSYPSEKSNELPGSFDLYP EIPNPTHASTLLAEKTHTPIPSSSQARSPSYEAPGFFELASRPPRSSSLAYTHRHLRH RPDSDDLNRQYTLKEALELPPTHIYDTGNDHGLDCTIGRVVPLRLGFSEADESHGTES VEDGTIEHYQLANRFYRQEPFQNTNSQEACRQGEKAGEHSARIFFKKLIRPLVWLNSI ASKTSASPEAEKKPIDISPPFDPRRFASSVEPRHFNHSHLLGQPQTPFAPMRRLEEDL CPVVPPKPRRLSVTESFGPSLAENIEEAILNHNQRSVTTSLWSETDSLRPFLLSRGSS YPNWRVTQSKRFRDPESYGLPRLRVEIPSSEYPASTYAYHPSGQSRSHLPEPSSLSSE KSPEVDLSLRGGCLPASRIYESPRPRIRPSRKPADTAPVPPGLWYLASGRPVLKDKPG KPCYHGQSKRKHHSNHPHGQAGVDISHQPIPNAEPSQPLTFGYLRTWKQNSRPAPRLN PRTGVMEPGHRSFWREFAYSASRGNWASGRPRRRQGFGRDRYGHSHGQGMGMDGG EPUS_02696 MAKRAAEEEEEQLALKAGQRPSTTVNGNETLEFEDEFEDEFESE DEIMEAGVDGRPDAERERGEAKDAMDIDSSEQTFIPGRSALQPGQSLTPDTTTYEMLH ALSTPWPCLSFDIIRDNLGNNRKHYPRTVFAVGGTQAESGRSRENELMILKLSSLSRM DRGNETDSESDSESENDEPTSAEPILESKSIPLPSTTNRTRAFQPPSQTLSDPSQFPA TITATSLENAQVLIHDVTPHLQSLSSPGNIVQPAASKPLSTLRMHKTEGYAIDWSPAS HHPLGRLLTGDGNGAIFSTQRTEGGGWVTDNRAFVGHGGSIEEIQWSPSEKNVFASAS SDGSVKVWDVRSKSRKSAVDVKISDTDVNVMSWSRSETYLLATGADDGEWAVWDLRQW KPQQGQASGDQLRPSPIADFRFHKKPITSIEWHPTDNSVVALACADNTATLWDLSVEL DDEEYSKESGLGLGEGDEKVPPQLLFIHHVEDGKEIHWHPQMPGSLMVTGGNGFGVFK TISV EPUS_02697 MDFSNSQDSTTDSAYSPQILNEVDTNVGGAEEPIDYSQPNQSLS PPLDTASESKTNSPNPTYHQQPSPRPGSGLAGQQGQYQDQNRPPQQQQNKSSVVIKVG MVGDAQIGKTSLMVKYVEGSWDEDYIQTLGVNFMEKTISIRNTEITFSIWDLGGQREF VNMLPLVCNDAVAILFMFDLTRKSTLNSIKEWYRQGRGFNKTAIPFLIGTKYDHFVSF PKEDQEEISTQARRFAKAMRASLIFSSTSHSINVQKIFKIVLSKAFDLKCTIPEIENV GEPLLLYQTVV EPUS_02698 MAQDPQPMTLQQRIAALNASHISRVPGDPPPTRPKPQLPPQRPT ISHRQRSINKPPEHINGSVPDTTIGNLPNGTKTPKLPPPPIVHNATDRSKPPPPPLPK RQSSQPCPPLPPRCPTDQISRRDSLESTSSVVSRLSATPTELSRSKSRDSSSGRIKAP AWGEVELPPLPIKQAGLPQRKYSAEKPKYHNRAPSGPANVSPDIEKSIKEEIEAPRPS LPPRLPARRQTVHSTDLSLQSGLPRNAPPLPSAAALDKIKRSASSFGMTKSEPPPLPA DRPITNGAPHPDVAPVTNHLPAIPIASRPDISAIQSSKPRPVSMTNGTHSSATSGTAI CMVCRDFSAPDHHATLFPRQTVHSLQTLAQQLTSPFPSATDKARTIFTWLHHNIHYDT KSFFAGNVQASTPNSTLQSGLAVCEGYASLFTTLATHAGLESVVIGGHGKGYGYTPLP PGAALPPYNAGHAWNAVRIDKGEWKLIDACWGAGHVQGAGMPYVQRFEPRYFTMSNEE FGIKHFPGDKNMFFLPDGRRMEWEEYITINPACWPGTTEPPTIFSNARPDYGVGEKTV MPRSKTLQPSLGGVIRFSFELECPHWTLERHTRKGPPPVFILAVHGIDGREKDFLPLE HVHGHSNTSGGGGDKWVVDVEARQLGAPGQTLTLFAVSSFGERQDARGLSVREFKEGK GRVGMGFSGVAAWELV EPUS_02699 MAWGNIYLIAAIAVIGGGLFGFDISSMSAIISTPQYRCYFDQSP DRSCAGPRPDVQGGITASMPGGSWLGALVSGFLSDILGRKRAIMAGAVVWVIGSVIVS ASQNIGMLIVGRIINGFSVGICSAQVPVYIAELAKPSTRGRLIGAQQWAITWGIMIMF YISYGCSFLDGPQAFRVPWALQMLPAIGLFFGMIMLPESPRWLARKDRWEDCHAVLAL VHGKGDPNNPFVAREYKDIKEMVEFERQNADVTYLELLKPNMINRTHIGVFTQIWSQL TGMNVMMYYITYVFTMTGADSTSALLLSSSIQYVINVVMTVPALLFVDRWGRRPTLLV GATFMATWMFANAGLLASYGEYAGPEGVNNTPEASTRISGPPAKAVIACTYLFVASFA PTWGPVSWIYPPEIYPLRVRGKAVALSTSANWAMNFALAYFVPPAFVNITWKVYILFG VFLVAMFIHVFLMFPETANKTLEEVESIFLDPHGIKGIGTPAWKTKVNHKRILDVERH GSVAGEGEEVETKGITGGSVEERRIERQSSGERSTGDEKKI EPUS_02700 MAKDRASAAQTLANLLPKLHDPDPDIRFMSLSDLCNILVAPASS YISNDSNSAARIVDGLLKSLTDTNGEVQNQALKCMGPLATRLPGETINPVIEKITNLT NSNSIDVSVPNTALRTLISSLPQPQPMGPSSSEVKTAYGGVSKVLIPRLVGRIVMPQD KPSPQLPRGLLEMDPVQGYSTDAIDVLVEVVKCYGSMLHDLELAALSKAVMIIIESPQ AGNVVKKRALAGVGALLVYFSDQRLSHFVSELIESFRSVHLTPGHRRYLIATIGALAR STPAKFGPFLKTLAPFVLSAVSQEEFDELAADSDEDHETDQETEELREATLVTLEALL GSCSADMQRYMSEAVNAALRYLKYDPNVAEAEDEDMNDAQDAGSDDGVTEEAEEDEDD EFADLDDNDAFSDVDDLSWKVRRCAAKVLYTVISSGTGLDSVVLFEKVAPILISRMHL EREENVKFEIISAITAMIKRTGQGALVNSTQTEGSFEIAAPSFNSRKRRRQDSDASLD SLDLTAFQHSQSSPPVIPTSPAAGPQADLAALTPKLVQALVKIWKKASIPLKQAGVSM LRSLTLTRNGAIADYLQHVEDPIADALKPSGPASTSASGASTASATAASLQIETLSLI SVITETTSTSILLPFVIALIPGVTSTVRDRNYKVSSEALGALEQFVKALTPPRLPTTD QDLAMQLEKLYEVISERITDNNADLEVRHRAIQVFGVLLARTSPTKMLKPSMRSKGFD TLKERLANETTRLSSARAIATSAAAVTSHNNVPPEWVRNVSLELGSHLRKADRSLRWA CLDALKSLALNPNTAAQYDEKTIEELTSLLLPLLNVSDLHLLTPTLVIFARIIPTNPT KIVGEPLVEALTTIARSPLVGSPLKALLLLVKVIGEQGAGAALMKGFLAVGINGEVSV VGRCIGTLVVYGGPHVGVGIVDFRKELQSSHNEKNKCLSLAVLGEVGLRTGSSSPVEP SIFLESLESESDKVRVSAAVALGSAGSSNIPTYMPLILDNMGQSTGSDYLLLHAVKEI LQHPENIARNLAPYAKELWQKLFVASNSEDNLAVGAECIGRLAMIDPGTYLPELHQYI RDPQPMVRGTVITAFRFSLADSSDAYNAFMKNTMVSLLMTMLNDPEIGNRRLAVTTLN SAIHNKPDMVVPELGQILPVVLADTHIKQELLRQVSFGPFKITVDDGLDLRKSAYETL YALLDTPAALSHISIPMIYDRILDGIPDDHDIRTLCNLMIGKLAVVDPDESWRRLGAL SEKFKVVLSQKAKENAVKQEIEKLAEASAAVVRTSLELDKKFQLSGSESSGDLVSWKG YLEWMKKEFSVLVRTIQDEGSM EPUS_02701 MVLLRNSAYTSAFRVQAYRTARARAAGQSWRQIGQRSYASGHEG AKKASSDLPWLIGSVAVTVPSATWLWQQGPKKSKRDAHHGHASHDEQKEAGGEEQEGQ EENNRNPEETDDEKPQDTEDSRQANDEEEGDDAKDKRDDGGEEKETKGEEDKRPIGET IRNEPPNEISPSENKWSSGDEGLKEGDNDAVQKKSQQIKSVDTDADEGKKDGPSANVD KGHEGEQRGTRVTEGDKKRKAEDPRSNSMNPNMADERKSKKPEGVVDTAKITGTVDVN RPLR EPUS_02702 MARPRRHSSNLGADPRGDTSAPAISTLTPSTSPPFPSPSSEKFY KPHSKRRKARSALRRWKQISLRHTWLNPLIIVLVILCGYAVNPSKTNPLHKAILLSYP MGPETEGGPTMYGKGRNDLAFVAFYTIVLSFTREFLMQRVIRPLAIRCGIRGRAKQSR FMEQVYTAIYFAVFGPLGMYIMSQGSLWYFNTTAMFEGYPHKQHDGIFKAYYLLQASY WAQQAIVLLLQLEKPRKDFKELVLHHMVTLALIALSYRFHFAKMGIAVYITHDISDFF IATSKTLNYLDSPIVGPYFCFFVLIWIYLRHYINLTILYATLTEFRTVGPFDLNWETQ QYKCSLSQWITFTLLASLQAVNLFWLFLILRIAKNYVFNAVKEDERSDNEEEDEDLKV GDAVGSVTAAAKDGVEAAKEGVRRRVEGSPESQMQTDGPAAPLNGKPVGGETYAKEAV ERRKKR EPUS_02703 MAVPRVVPAEDIERTRKAIEQVEIAVWAADFVLQNYEQVAAQYP HVTQIIGLLMGSAASTNIHSIGRLQDFVSKLKKTRIGSIDGFYTERHILKFCTNDLES SEGISVRFATYDTMSPADLVAETQLGGDTIIMSRSTYEKPSGRYLWMFAWRTTIYHRI FRSISHNFLETRRAGTL EPUS_02704 MSITNEQYQLVRDAFDESDAVLRIARRILSGKRDCTEVKEIVAV FLGEDNTVPNYWATVQSIYDVVVALETNIPERRFFRKDLMDNPWECADRSFRKPFNIC VTFARTDEPAQTNAGPGQSAVQLASAKRGRIAILPIAYQDPKYSRLIRDIKPYSGLDV DTMLRCITPTLIHQKSHAITNCKHAVLPRVGISDAKSEPTAFQLQESSSNECTVWGFQ LCRALGNSKYCKYAHMNAESVATICLFLYLLVSWPEWELLSGRAVRRTEVAGWIKNYE MNK EPUS_02705 MSVDPFNKDCPDYEKPYRQTQRAQLLLTQISFKHEQPLIWHAAH TLFTQFADTKEAKDICYRYMKTRDTSGLAGIFKTENWAPKMNFHIHPFLHEKEAKGDG NSVIHVHLSEQEGSRLACTCKSGRAITFFPKQYSQKPFGNQILTSMVIAPGMSIGDIG TSISVTATHETTHAFLKSEDHKLALQHCPVHYQQRFPWESEIRVYGDELCVALARSPD RYKVSTNAETLTYILLAAVLTLMHEDLDFSTEIVTKRDKPLAYIKEWRERTGFGWKGP KTYLQGMPDGYGYAEFGMGG EPUS_02706 MGIINGQTTGGVFAVDLSHDVRDAALNLNLKAYEFRRTLAAVWN VKPTRAEPPPAEAAISLDMKNESIESVVGGGDERLKVDNIHFAPGGKYRSIVKLFIRY EFQPPGSWAMGTGWLISPDIFVTAGHCSYDWGHKWGRAEEVKAYIGYSGRDSEKSRNV QFRQVKRIVTTEGWVKTKGSKAFDVSFMQVDTPFTGIRPIRYEETPARGNFELGVVGY PGDLSDKYTGEKGAHMYEMFLRTEFDLAGSPDTMLEYNIDTFGGNSGSPVLRQSDLVS LGVHVYGGAMNSASVIGKFGNPYKDYLAAFDLHLPSDALHLMPVTESGKSSATGPSGD YQKPLGSNPVSGSKSRVNGNDQGVRPQVSSQHQRRPSAMAKLIQSGVTSRSQAMNTKS ESLQAEEFAFMDILKVVGGALPIIGGPIGALAGFALNAASSVAAESTGAESVQDGTPL HEGSMERAILAEATLSALQAASLPDELEESIFSDMKDAVMRALPIVRKAAPHVMSAMM EPALKIALDSLHKHNQSGAESFEAAYDEPFQPTTLYSSAIDQEADADTENFLGVLQSV LQRNLQESAVDGDAEEGFLDIIKAGARLASKGVVQAAKFGLPILVDAVKNIANAESLD DQTASAAGTQGLTADQLAKRALVADAALEAVMKLPAQHLEEFGFIDFIGDAVKKIAGP AMKVLPQVAKAINPVVGNVISNLLGQDSPTARGNKPAGAARRALPASDRLAPKRSLAS LRQNSGKGTVGGAGRHY EPUS_02707 MADSSSQARQTQTTSSTLDQSFPPSTTGQADLDADLEMEDDTPR PSDPARPRYLLLHPRSRTHNPNRHPTPTARATAQLHLARPTPQPERCLATRIPQQDGR LRSHLTSHYLTVSGLPPPNPSDPTGSSTNSTPLPLARLLALATQKFIADIAADAYQYS RIRASNTASSANPILPGGAAPGITAGGGVGGGDKAGGKGGGSGGGQGGAQLGVARSGY GGGGMGGRVARGGRS EPUS_02708 MGSQWPPSPRVEDEESALKKEVTYGSEGNSKAEFEEARCRGSVD QYPIILDSNHEAEPKCDYTSSTDNDGESVKDQSSDDSDGPPTPQNSKAGRKHSQYPPA PPNSRSWSDKVTPPSTTVKEPMEYVPSRGRPGIPRIQTDLGGDLQGMISGRRRAPSPY SYTKPEAPTKTDTSKRLSGNTLLSPKHIAPIQASPKAESNKRHSSARPRSKPRLKSDF DDSSDSERRQRHHSRHRSTRETFSQPLSSPEDGPKEAPRAERRSSYYAYARSTKDGHS NKGSEAEVSHHAEANDQQGKRLPKESPHTSSAEDSRCKGRPVYVSRSDKGLSKESSHV SSAEEGSTRRGDSLRTQGSESQISQHGSSSRKERPRLDLSGHKYSYYGAPSEEKRGSG RQIKHGNHVLDGRHYLEPSALRSPKAMEEYLEKAFKDKKGRPYKESPHPSPSASPRGS PPQTPPQTPRVDRRPRDYFSVGMSDTLTSSDSVRPRAPSRGESQYNQIKPLATVLAAA AAAGSARAVPSLSRSSTSSAELHTSGIPSKPASGRRSRNTSPIREERGSFSRPGHEHD KGIPKIVRDEERPDSRPISRSGSTSYISPAMASLNRSSNRTGSYAQPQVDVPRLNHRA FSYSAPEDALYLRQPSSARLAQLNSSQPMTPNSAPLPTRPRLPRSPSSPEKTPRHHQL SELPPCPRSVAQAGYHDWYTVVGMPQLDICPSCMTVLGASRFRDMFTPSTSKVPGQRI LCDFSRPWVRHAWAQIIKQRRSSLEMIYQIVRNSETTKPCPGKGSDVRAWYRLPDPQT GNNIPNFDACSECVRSVEIIFPQLRGIFKRSGALVQERTCDLNTQSRRHDSYLRLLDA AAVQYDVERLREPDIQAFADYARKTARVRECTRDDMVMGQLWHFIPSLPELTICEECF DQVVWPVADQPVASSVTRTLQLVPGANHHSGISCQLYSERMRKKFLEAVKYADFEYLK QVASRRHSVERLLQEKHKNLMNDMATGKDRTAELQANIEEWRKWE EPUS_02709 MASSVPKTMKAVILEQNGGPDELHYKTDYPTPAPGQDEVLVKNE VIGINYIDTYFRTGLYPLPSKPAIIGQEASGTISAVGPGSKSHRFEVGDRVVWMKFGS YAEYSAVSAEKTIKIPDGISNEDAVGGFLMGMTALSLVKEAYPVQKGDWVMLHAAAGG VGLLMCQLLRAIGAKTIATAGGAEKCELARKHGADHVIDYRSTGAPKWLDEVKRLTND EGVAVVYDSVGKDTWENSLEAARRKGKVVFYGNASGPVPPFPIARLSAKNISVIRPTL MGYTYTREEFEYYANELFQLVKSGDLRIRIHDTYKLENAAQAHRDLEARKTTGKLLLK P EPUS_02710 MALLRTQTDPSTFVAYTHAAAGHDGVRSDPSGSIIVKPCTPAEV AFYESAATHPKFQAYMPTFMGTLVLSENQDPCSPTAPATAAPAVISNDLQKGGAADWV PSGGKKLDTGLSIVLENVTAGFKRPNVIDVKLGARLWDDDAPETKKRKLDDVSDATTS RSLGFRIVGMRVYRPERRKKIEGISEKHIAVQEDGYLSYDKYYGRNFGAENVHEAFVE FLGGEETLKKPGRTQHVAKRLAREVRDLAAVLQEEESRMYSASILMVYEGDEAAMEAA MQDEKRRAAIVASDSSGKIGEDEVSEEEEDEQLPKVSEMRLIDFAHARWTPSQGPDEN VLHGLRSLLKILEQLTMEEKG EPUS_02711 MPFVTNFKIMNHKNTLSNEDSIQNHANHPLHSPAADALGLDSSS MMQDTMEDQGGSNHNRPTGEGPENSPAIDTADDGGAEEELGAFDDAHLQVDQPKKKKK KSKKSKPKSKRGLNAPSGFEEYSADAPITPAEYDEERKLYDLDLPFTDRIITAIHRYE RRRKMLPATRDTFRKYLAYGGLDVTPNMFQGISERDAESMDKDTLTHALAQTSLSHEF RDIGKESSMWVVDFEGVMKGFLSRRVPFFYALDTEADVQAITTLLINFMNYLLHHDVC PEYREPILAAKQCCVKATQELWSIVQAQRWLPGDFNIACSTLFDGAYSRRYDGQTSWA DDGGEFIGQTDDQARQIVKFAVACAGSEEQYQLWYEKAMANEIKVTKVRKEVGLEITE IILPDDETRDFYKQRTKDFRPVGKIHTKGWKNPSATVDDLTPEEQALQEKTLSQVKQQ SEEVHRFREAGEDEYEFFVEEAVLQHLFVGMKLEATIRTLNCGICFVDEVFNAYLSFD TFLPNDRLYGYKKPRWLPGFSLGEDGLVKYDPKAAEKGEGNDVEVDDRDD EPUS_02712 MEPTPHTQTNEHGLVHLGAHRRSSDNLSPLEQEVLDEYARLARN MERLSTTLTHLTNPPSTTTSSSSPAQSPSANPSASAPPPPAPALTLEIAESLRLLERK VASVYTLLKASVYSIVLQQGEGEVEGEGDITQGSVRRGVGDNGARERVEW EPUS_02713 MPTTRRGLTSSASEHAGLTAGNRVDPKATRTTKATHDLDGHTHT NPDRAAKRRRTETPYKDATTVKSACEESTHLDDLSSSAIELRAQLTAHPQHDGPGPED GAGSAEMLDQLDADMTKAISDIIDHSERFEQYCAMGAADNDGSSASKNMVFAKTGSRM KVESLPILDNLSSQILSTLAKSSYQDIIALMREPESEAGQEYSTVRSLFDHTKRVYSS KSPFLSPSELDLLAPSQVEILRKANLATFVSSIFGSQEIGFAELNDHFLEIFVPENGR LLKAQGSLFLDLKTQAFISSMHTPDRSKTELLYTLFPDDIEPRILVRRPGARGLAPSE QDFVKRAHSRRDILLADVNNPTALDGLPEKYQWDDFLRDISSYISKNFESLNPQPKDK FTKGRSANGEVKEPAQEQLQSNFSIIPPPPTEPVSGPPKQEDFVAKAARAAQIALQGH NISIPAPGPLQMQPNPSPKQTNQPAFQIHTSFQQYAPPTRSPHPGSARSSLPPNYGPL PNLPHVSQSAPTQVLYERARQAATTKASPASRRAGLPSQRRPWTTEEENALMAGLDRV RGPHWSQILAMFGPGGTINESLKDRNQVQLKDKARNLKLFFLKSGIEVPYYLKYVTGD LKTRAPGQASKNEAREREKQQGEEDKAHFNGVQGIMALAGGSQPPSQQQHQELQIGHS NTHGNDGAVNELQGFQLNGPSQNGLHGLDGTMDANLDPNLEGIPELPPAVGMEADLSE PEAGPKAKVEA EPUS_02714 MNWFFDWDGTMTTADTLSVVASIGYNKHRDQKLPPWSYFTNAYL SDYKAHKAMHESKPGSSNSIEEFLEWQENLVEVERASVERVERAGIFANVTITDIDNA AREAVQSRSVLLRPGLVSLIEKIQERGGSTTIVSINWSARFIYSCLGSAREPRTQAQP HKVNIRANEIGSGSSAKLTRTSAVEDRGIWTARDKERMMLAELEAEPQQRNSVYIGDS PNDLSCLLLANVGICIRDNELNNEQSSLRELLHRFNIACQHIGKYSHRRSTDYKSFKR LWWAKDFNEIRESALFEHEHMSLE EPUS_02715 MKFLGVVLALTGLQVVRTAAQTVLREHIWSTVIYSRYGDRTPYI LPTSNTLTPLGATQMYSAGTRFRERYLVSAPGNGNTVIQGISPFQLDNDQVSVVSLND QFIVASAQAFMQGLYPPLMASSNATFINGQSQLANGSNILSPLDGYQYPQISAVSPLD LNSIWLMGANNCPMYSASRSDYFNSAFYDNLLETNQDFYRSLQPALLNGIFANSSVNY LNAYLIFDYLNYGSVHNSSFLDDLSFEDLTRAKILADNWVLATYGNTSVSGLTEGDRI RAIAGRTLANKIVSALYGNINTAGTLHKMTLLFGGFEPMVSFAALASLASEQNPQFLG IPEYGSSMVFELFSLTENDTDIYPATADLNVRFFFQNSTDDTSNLVAYPLFGNGPSGI SMTLSEFVADMQKIMISSVGDWCQTCASVSIFCPAFQADAGDGRSGPTRPRSRGGLRP VVAGVIGAVVTLAVVGLLITAAAVFGGARLYRQRSKKRSELNGFKGGEKLASDQDLTI PKSGASASIAVAGEGPTRGHERVGSWELGDQKKAEEAQQPGLNSSATVMRRPSFEDDL HVSPFADPVKPNDRV EPUS_02716 MGSRIVFARREDIHPCRQPRNAPAGLRAQSDEGFARFLKQHASP THQRVTAGGRIVPMESSSAPPQFNLFSDNKARDKDNMSHCETTDDSKIGNECPISAMS GTATTYVTTNTGIPSAGLGENPPAASSTKQKIGNASQPIHVNTNGDLKREDLMPRQSD LTHLAPASLIGMPLAVTNDTASFNPTSQALTNDSWESLALPSSAMIFNPYTNMLVHSG EQHPTMVSDFMPTSTMSTAPFPSVSVADQTSQPINHGYQPPFFSQQGLQPGLSASYNP VMPLSSTSNNPFPYAVSAPFHSGMANIAPARGTLAAEIGTHAQADYQAHMPAMERWFS NMTMAQPMSGTTSNTASQVTDQIVAAAKAEFDQLSARLNHIDQQTALHYSTFNSQIKG IYRKQRIRTVEEREAARIKWKQLCDQLEQERSVAQYLPSPADHQPTSSMNQMTSASTR QNGNTNFNVRASAWVPKENQAKGVHTQTQRPSALPNVSQPALTNNGATGRFQAGFGNQ SFATRINQPQPHSLSNEHNMQLVVSNPSNAMPGPSSNQLTNSIAGEMYNRKCNNWGHG RGSTVDEVAENEHESLTQLRAQTIYPWCEGIKAAPRPVAANTAVSASPERSHPIAAWS SNLEPSPPGTVEKQSQQDLKLAKTHPDLRSEVSFRTFDSTLPTKANAGKTVSFADNDL ANSASRSGYGRNGDASSALSSDVTESGRQPMMSGEAAGPSPRDWEAILEASAKEQGVK TEIVVADGRSITIEGTGGRDGPANGTNLVEQGDGGRQYQSVMSEQDRYGMGTQLMGMG RGVRGVEGTTTKPGSFGLNPWAPRNENNFFRHKGPSSVAVQSVTANGTMPGFDEGMDR YGQTALQPRPKNALQANDSPSRFGSPPPRSLKSIWEPTEAEQQVAEMRKSMYKF EPUS_02717 MSTEKRNFSGLSFSSKKTSSDSNTHLPTKIKNFFRINSLGSLGS PNSDGPLTPKSESKPESKSSFRQSRFLPTLGRNRSTTVASEGNPLEEHMSPTAQANPY FAHQGPPALRHHNEGSVPPSPPDTPKLQTNGANGLEEKPVTTGKEELARKLRRVASAP NAQGLFSSNKAENRPRTAELGKDPIVVEDGAAPKVSMVVHDDDSTLLSVPQNGAKIAS PGQIRQSVAFRRTYSSNSIKVRNVEVGPGSFDKIKLIGKGDVGKVYLVREKKSNRLYA MKVLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSEDHLYLCMEYCSGGEF FRALQTRPGKCVAEDDARFYAAEVTAALEYLHLMGFIYRDLKPENILLHQSGHIMLSD FDLSKQSDSGGAPTMILGSRNGSNSNTYPLVDTKSCIADFRTNSFVGTEEYIAPEVIK GNGHTSAVDWWTLGILIYEMLFGTTPFKGKNRNATFANILRDEVPFPEGSGSTQVSNL CKGVIRKLLIKDEMRRLGSRAGASDVKSHPFFKPITWALLRHMKPPMIPHQGRAIDTV NFRNVKESGDGNGEGGGGMVANSFGGLGLAAPAAKLKGVGLDSGLATPGGDGVEVATD PFLEFNSVTLLHDGDDGGGGGAVDERYEKDGEGKGRR EPUS_02718 MHSRPYESVNLDDILFGAGAGFDLRNVMSLPGLGLPGLGLEEPS EQPQTTETTQQELSSGSEWRFEVAFGKCVKVRLLNGTAEIFGTELIEGPTYTFTGTKA AIFTHHGCTLEISNDAPQSEYSAEETPMAEYVNVHFALETLRNEAQARGRDGPRVLVL GPSNAGKTSLLKTLTAYAIRLGRQPIIVNLDPEEGVLSLPGTLTAVAIRALLDVEEGW GSSPMSGPSAIPVKLPLVYNYGLSDPVADDKSAAHYKAVLSKLALAVSGRLSEDPLAK EAGVLIDTPGTLANTTKSLASNIIQHIVSEFSISHVLILGSERLYSDILRRFENKPTS STTSNHHHNETITVAKLSKSGGCVDRDMAFMRAFRAAQIRAYFFGTGHLTNGITLSPR QQQVEFNQLAIYRLLIGDGDPTNASVSADLFRPGGQDDENDEDEDIYNPTTNHSLKSQ HAAAAATESGDGTIFQRLTSPTPALQSHILAIMNADPDATEEDIQTSGVIGFLYVAEV DEARGRVPRRAIVWSRGWPEVVVGIV EPUS_02719 MRPPLPEKTNPLVQPDQTPDYDELLSRRRLGQTKLTVKPGQVGT SNATKPENLGLFEYAHLRAPLPKDLKGSEIFASHQNQPHPETYFLMRRSKDGCISATG MFKIAFPWAKHAEEKAEREYLKIKESTSQDEVAGNVWISPGLALELAEEYGMYNWVRA LLDPSDIVQSPATTKKQISPPPKYDQFPGKPILPPPSASKASKSRSTRSVSPSKIASP AKRAGSVASTKKRQSRASKDANLAHANAASAALQSALDDAASTVEQESINGETVKVEI DSTVDVNGDTETTRTNVTVEMPAGSDELPLPEDAEKMLQTAKKMVEEARALEAETSSR ITRKRKVDEVEPEELDADLPAQPAKKAKVLEEKLKREKVRTRALVGVTATLAIAAAIP YFF EPUS_02720 MASRHEDDLAPSKTEGFKVGEKKTLDEYAKLDQEDEALNRWKAS LGLGSGKTVGDPNDPRKCVIKSLALVRPPPFYCHIHRAKQGHFQEVQGRPDITIDLSA PGAVETLKNKPFTIKEGCRYQMKAIFVVQHEVLSGLKYVQALKRKGIRVGKDEEMIGS YPPNTTDKPTYEKKFAPEEAPSGIMARGHYDAVSKFIDDDDVTHLKFEWSFDITKDWK EPUS_02721 MPGLPSSIDLDECIERLYKKELLAESVIEAICAKAKELLMKESN VVHIAAPVTVVGDIHGQFFDMLEIFKIGGFCPNTNYLFLGDYVDRGLFSVETISLLVC LKLRYPQRVHLIRGNHESRGVTQSYGFYTECARKYGNANVWHYFTDMFDFLTLSVVIN DQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDPERDEFSLSPRGAG YTFGGDVVKKFLQVNSMSHILRAHQLCQEGYQILYDDRLSTVWSAPNYCYRCGNLASV LEVSDSGDRYFNIFDAAPENDIHRNEQQQQQQQQSKDGQGPVIEYFL EPUS_02722 MYQCTSGAGSLSGYQNSQPLLYNQQQLKQPISYSQPWSASQSHQ AGTTLQDISKRKSISAASPQACYNCGGFNHWAQNCPEPRRAVPAGAVTSQYPNKRQRI TAPVITKYPVPPVATNRAPYNQQSHVQQRGFTFPPATQNLQQNAGTPTAMPAKSHQPQ PWQQPEWAFNTLSRRQSQQWTAPGLSPSIVASNYQCTSPTSSTSSALQQNVVGSSNCV RQHSSAAVATSNHAFANGPYQKPWQGPFAKERDSLLSQQHGRNHRQQPEGSQQDLHDE SAEESWWEDLRTLDYSEDSCDSSHPANPVAEPLPSTMTDQDFVTRLPIAASLPAGTPV SKYFRNLTVEDLLRNSSESISGAKDVGDPAFDMLTDDCEVISKDELIAKRCQVFSVER DAVYRGEEPGELDCKKTAYSDEAQEAEDNIGYCAEGLPTPCQSFESEEERSAREQEEK LAALGVTGFAKPVRTSIRRSTAPKPTSTQDTHTTITFDANTRHDTYAPAEQRPDPFDG VSWEGYSRSGSPPPRQQALSLQTPPTSACHDSCSNNNENRPRRLSSTVARNPLSNSPT NCQDSSCDAPGAGSPQSEPILSNTARVGTNADKHASPSSDHSGEGRSRKRSIGELSAE VEEGPKRQKEKIHQKEKRKAPKVAAAYNRRW EPUS_02723 MEALELVAIITTFVELGVKVLKRLKEFHDDAKVPGPFRSVRNGL PLTISTLKRTQAQAEAGHLSLGTTKTLAPSVGGCLSQVRYLEDKLGRKQASVVTLLLD NGARINAMDNKQTIPLCAATLLGNGDIVKLMLYRLARINPPKNVGRSALHEAARRGQH MTVQRLLDRGAKVNVVDDHGWTPLHNAYLASKHSHSKVTKLLLEHKKAKVHTLCPKPK DGAERLDKSPVRILYMEPKQKD EPUS_02724 MATPMGNHESSWNTANDPDSSQPSQPSHVDLEAQSTPFPVLEVI PENLRVQIVDPGKRSHETTTPITSVRRSATDMSHASVKSLRRRGRSNTSKYAPEHLGG KASAWQPGLEPGVDTSDPAPHYDHSSHLDELHPEDLNTHCEITIVDFSQNKMEMYELD NDELADFLKQPKAKWVTCRWINVNGLSWDVIRILGNHKGLHRLAVEDLMNTRNRSKVD WYNDHTFVIVALQKLERLQNLHESSSESDSEEDSKPHWKKMRKSKEGERKLKKKSRRK ARNGVIWDFWNDILGYRRQKHMPNPNELNSAKGFAMTNPDVPTHSARTLQRYHAGANQ DRVDYMERHAILKPKNYKVIMEQVSIFLNDDNTVTSFFEASAKAIEDPIVRRLKSPET ILRQSCDASMVLQAIIDAIIDLSLEVSGAYQDALGGLELDVLTDPDIHQAKRLYILTS EIAVLRNAVQPITSVVTALKGHKSDAPVSTPGAKTPNFFPKEFKSGVTITPLTQTYLG DVEDHCILITNSYDQMRRSADNLVELIFNTIGAYQNENMRQLTLVTCFFLPLTFLCGY FGMNFEIFPAIANDADTYFWRIATPTVVATMMFLMRDQIWRWTLRQADRGLIIRGRRR RGEK EPUS_02725 MSRGIVVFSGGSAANSLVDVFNSVRDSKNCPLSYIIPISDNGGS SSELIRVFGGPGIGDVRSRLVRLIPTHPRSTERTAIANLFNHRLSSSSSHAASVEWQA LVSGTSPLWSLIPSSKKQLIRSFFNLLNLEILKRSRPPTSTFDFTSASVGNLFLTGAR LFSGSFESAIYLLGSICGVPDDIVRVIPAINSNFTHHIAAGLADGSVIVGQNSISHPS EHTALEAPSSPLSPGSKKRRSRLSLGDPEAIPTDDELAAATLDSDHELKYGGEADPEH EDANLPGSLATLRKPNIKFSKSYSHNSSSPTNDDLPSRIERVWYINPYGQEISPPPNP RVCEAIRSAQAIIYSIGSLYTSIIPSIVLRGVGEAIRQSPATRTKILILNGSLDREVG PRREPFTALDFVEAIVRAGEESRGVSWRGVSPQREAVPPTGEAAPAAPTVNGTASAPT PPKPHPPSIHATYITHLIHLSGASEMVPHVDASRLRALGIHTVKLYGRKIEETSADGA ETLVKGMKYDPEALKGALDTILGRRGMGVLDNAAAGDEVALELGPVGRGLMTRRNTLE A EPUS_02726 MSITLDYGSWLGDEGDTTDSATSREPSPARYHLDQRSEYGQNSS YTSRGRDPTTLEIARLFSDSDETVPDQDLLTAEMNAASSARISDNFSHPPPNLPRGSQ GTNHISGATDTDDSPVIDLTDSPPQASTRPTTTTTPWRTSSPTRSLQHPTMPPTLRSQ AQSRRSPQASSPPHHTDERPTKRQRIHERPPETSPAVQQPQPVRNDSIQEVEAVDLTE VNDESDLSKAISKQQQDAVQSQMKQTQGDDPPGRTPLSSYKCPICMDTPEDATSTVCG HLFCHKCILDTLRFSAQQRRDEAATTNNSKSKGTCPVCRKPLARKDEPGTGRTLVPLE IKLSTKRDLKGKGVVGRNGNDGTSAMPGRARMQTKTERESSADMWRDLTTLEHG EPUS_02727 MAKVYQVRSMLFAAPAEADSDPAPADARYNEIGVQQLSSYVHPQ IFNGRSSAPPSSLVELSKDHLTRHDLYGRNQDDSGPIAFDLPCVQGQTLDEHFHKLGM DASEPYLQHAKQFVTTNLPPRPRKWVRRSGWTKYNSDGSTEEVDAPQENMLSFDTEVM WKESSFAVMACAASPKAWYAWLSPWLLGETENDRQLIPLGDISVPRIVVGHNIGYDRA RVREEYNIEQSKNFFVDTMSLHVAVNGMCSQQRPTWMKHKKNKDLRERIAGDGNLHEL NTILDNRMLSEEEEELWVGRSSINSLRDVAKFHCGVTIDKAQRDYFGELDRDGVCEKL EELLDYCAADVAITHRVYTKVFPNFLETCPHPVSFGALRHLSSVILPVNDTWEQYLQR AEATYQKRLKDVQERLLQLSDAALEVKEKPNIFERDPWLKQLDWTGQEIKMNKPKRKG EEPKPAARQKKPGMPKWYKDLFMSNASSINLTVRTRIAPLLLKLTWDGHPLVWSDLHG WTFQVPYMDSVKYDNSPVTKCDMSDEKNPVLRDDQRHIYFKLPHKDGPAARCASPLAK GYLQYFEAGTLSSQFALAKEALEMNASCSYWISARDRITSQIVVRDADRDPALAGKSQ QGYILPQIIPMGTITRRAVENTWLTASNAKENRVGSELKAMVKAPPGYSFVGADVDSQ ELWIASLVGDAQFQLHGGNAIGFMTLEGTKAAGTDLHSKTAKILEISRNDAKVFNYGR IYGAGLKFAATLLRQFNPNLSEDETNAIATKLYKETKGTKTTRKALATSGFWRGGTES FVFNKLEEFAEQERPRTPVLGAGITEALMRRFINKGSFMTSRINWAIQSSGVDYLHLL IISMDYLIRRFNLDARLAITVHDEIRYLVKSEDRYKAAMALQVANVWTRAMFSQQMGI DDLPQSCAYFSAIDIDHVLRKEVNMDCITPSHPEKIPHGESLDIKQLLEKGEEAHLDP QTEPQGGREIDLSKIHYTPRETVMSTLNSNTDLRYLRAQITSNDAELKEIIKEVMKDD PASKPSPRSSGSGSGTPRGRRPKPKVPPPPYAQPQKAVLMEVEERWGMAYKNAFANAK KPWLIGHVQKGQAWNRRAGTDDGLWEV EPUS_02728 MSAQQDGRPLSGPPYPPPGAITGGVPTVSVDVPISAVFLALFIG SAVGHMTIFKVNMRRGHKFIMSALMFGFSMARVATMVMRIVWAARSTNIRVAIAAQIF TSAGIILMFIINLIFTQRILRAAHPHFGWHKAVSIGFKALYALIILMLIMVITTTVQS FYTLNRNTRRIDRNIQLTAASFLTFVCFLPLPLVILGVIVHRKTRLEKFGIGRWRTKV GILLASTALLTLGAGFRCGTAAMPLRGRNNPAWYNAKWCYYFFNFVIEAIVLYLYILV RVDRRFHVPNGSKRAGDYSGRNKQFDKHDSLHSEGWMETRIMSEEEVFDDEEFCDCEE GPLKDVER EPUS_02729 MPPRRTTRAATSTPTRTLPAATRPLNHGNTPGRTATLETTPLPD VEAELSFAYGSSNTKPLPLQLVAHKKQSHQQILEIVDIGVVEANKNFAAQAVEAEQYG TTTAVARAARAARRSSERESRASSVEEDQTPVPQISRRRKGFSTEKTNQWLDDIEEEA DSQERAGTQDDAGSQRVSYVQAKAGTQGGQNAQEEAGSQGDLKSRDDSSLSNNSHRSL DRDTESSPPETQRGQSTMPDLNHWDHTYTQERGLHSQGTTQPPTDAWSRFLCYVTDVR DFFLLRWNRIWWYFHDYSFEKFRTHCYELAFMGCILFLIFAGALFMTTVFCWWFCETP WSLSPSGSWHHRVNGMCRYTAMDWRSRDTTADATSTGTSASQISRLMKQIKRQEELVH DLQAKQSVTSATVDELTERQAELLKYQSELQGKLAKAEAAQAASSSRSSKSPWQSPYL APIFKRINYASPGLGAVIDPYLTSPTKAKHFPFYQRLLLDSAGIKKYQSRPPIQALTP WTEIGDCWCAALTESPNHPTSKSKIQMDGRNGRYVQLAIMLGYDIFPDEIVIEHLPIK TTPFPGIAPKDIEIWADFGHLSHKEFASLTAGPRGLKEVELYPPMGLLGTFQYDAVAN EKEGRYVQVFRLEYNQNQHDEFWTKKLVVRVKRNWGGENTCLYRVRVHGVPVHPHPEL VAEDD EPUS_02730 MPLHRQEEERRPVTPNSWVNQHVEPQLPSSPSLAASVGLSSSGD GITNPGRLGPLGGRPTGSLVRTAYAQTIREEEKKIYLELKDGNVYEGFSFGAELSAAG ELVFQTGMVGYPESITDPSYRGQLLVMTFPLVGNYGVPSRYVMDEVLKDLPKYFESSE IHIAGLIVASYCGDEFSHHLAHSSLGKWLRENHVPAMHGVDTRALTSHIREAGSMLGR MLHQKHGVMNGFPNSDHLATNSHIPDEIAWISETDMIDWIDPNVRNLVRDVSISQARL MSPPKDTALYHISGRPLRVVCLDVGLKYNQLRCLLNRGVEVLVVPWDYDFTSLAGKGY DGLFVSNGPGDPAMVSVTVKHIARAIEDGRTPIFGICLGHQLLARAAGANTLKMKFGN RGHNIPCTNTLSGKCYITSQNHGYAVDALSLPKGWEELFVNANDGSNEGIRHVSRPYF SVQFHPESNPGPRDTEYLFDVFIDAIRSCAKSAEALLQPVDFPGSTLAENEHAHPRVQ VKKVLILGSGGLSIGQAGEFDYSGSQAIKALREEGIYTILINPNIATIQTSKGLADKV YFLPVNAEFVRKVIKHEKPDAIYCTFGGQTALQVGIQLKDEFADLGVRVLGTPIDTII TTEDRELFARSMESIGEKCARSASASNLDEAMHVVQSIGFPVIVRAAYALGGLGSGFA DNMDELRELCTKAFAASPQVLIERSMKGWKEIEYEVVRDARDNCITVCNMENFDPLGI HTGDSIVVAPSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPFSKEYCIIEVN ARLSRSSALASKATGYPLAFIAAKLGLGIPLNQISNSVTKKTCACFEPSLDYVVVKIP RWDLKKFTRVSTQLGSSMKSVGEVMAIGRTFEEAIQKAIRSVDFHNLGFSDTFNALMS IDSELQTPSDQRMFAIANAMHAGYTVDQIWELTQIDKWFLRKLKGLSDFGKIMTNYTA TTVPSSLIRQAKQLGFSDRQLAKYWSSNELAVRRLRAEAGIRPVVKQIDTVAAEFPAY TNYLYLTYNGSESDIAFNDQGVMVLGSGVYRIGSSVEFDWCSVRAIRTLREQGYKTVM VNYNPETVSTDYDEADRLYFENINLETVLDIYQLESASGVIISMGGQTPNNIALPLHR LNVKILGTSPEMIDTAENRYKFSRMLDRIDVDQPLWKELTGVDEAADFCAKVGYPVLV RPSYVLSGAAMNTVYSQADLASFLNQASEVSREHPVVITKYIENAKEIEMDAVARDGV MMGHFISEHVENAGVHSGDATLILPPQDLEPETISRIEEATRKIGNALNVTGPYNIQF IAKDNEIKVIECNVRASRSFPFISKVMGVDLIAMATKVIMGQPVEPYPAVDIPKDYVG VKVPQFSFSRLSGADPVLGVEMASTGEVACFGRNRYEAYIKALISTGFRLPKKNILLS IGSFKDKAEMLPSIAKLHQMGYNLFATAGTADYLQEHGLPVKYLEVLAEQEKDQKSEY SLTQHLANNYIDLYINLPSSNRFRRPANYMSKGYRTRRMAVDYQTPLITNVKNAKLLI EAIARHYDLAIQSIDYKTSHRTITLPGLVNIAAFVPGIATPGSHDFEMVTKASIAAGF SMIRIMPLGFDSWVTDARSLKVAQHNSLVGAYCDYNFAVAATHTNADQIQHVTADVGS LFLPFNHPSGSINKVATVIAHFAVWPAHKPIMTDAKTTDLASILLLASLHDRKLHVMS VTTKDDIKLIALSKEKGLKVTCDVSIYCLFLSQAEFPECTALPTADDQRALWNHLSAI DVFSIGSLPYQLAGEEAVPTVGIADALPLLFTAVAEGRLTVEDVRRRLHDNPTEIFEL HEQHETTVEIDVDRPYVLQAGPVWSPFSGKTMRGAVSRVTFDGSTACLDGELMAVPPK GMDMSAHSLHPHSHSPEARAMSPAPSSRLDGLADRRGSVLDASMAGRPSMLSPVVRPS TKNRNLDHLPDSSFNNIPSTMLPQPALPSALSPSLLSLLATSPFKNRHVLSVSQYSRQ ELHLLFTVAQEMRIGAQRFGIIPILASRVLCTIFYEPSTRTSASFDTAMQRLGGRTVV INPDYSSTQKGESLQDTIRTLGCYSDAIVLRHPDNNSAAIAAKYSPVPIINGGNGSLE HPTQAFLDLFTIREELGSVSNITVTFLGDLKYGRTVHSLIKLLQYYEVKINLVSPADL SLPTETRDQIHKSRQLGVESEKLTPEIIARSDVLYCTRVQKERFPSLDEYERLKDAFV VDNSVLKYAKSAMVLMHPLPRNMEISEEVDFDQRAAYFRQEGLAKTSGHFYQFYRRPP WTYMVWYCIQATSLYGILAGALFGIEASFSSLCILRSIWYRYCIMETSCTPRPP EPUS_02731 MLSSLFRPSKGPRRQTDERSPFSSPSPEAVRQGPPNERSPLLRP HQNSATRGAERSETDDDDDADDAGFSHDEEEAETGNEDGHRCETSLLPIFSAAHLDAL PIYNLTHAIRLLIVSKCETTLTWDQLRSPQVSQFLLKPIQQEVRAAHFSRATEYALMA NCLQFNKEISLNPGNSGASKTRALVCELLAIKLLREYSTRELIDALSYDFDPLQGQSH PENNTNMSRVERTAKHNAWSPPRPARISCLEIAIRAQAKRFLAHPLVVQQLEAIWAGS IVFHAIADTLHRPGKGMREQTADALDQRHQPKSFSKSSNIARRSVTLYDPRDASLFKL SRLRVPRYRYFLSTGSYAILLGLFLAVLAQRSLEITSLEIVFWFWCAGYILDEIVGFN EQGFSLYWASFWNTFDLGILLLLIGHLCLRLYGIIMPDTRKHSVSNLAYDVLAADAVL LFPRLFSVLDHYRYFSQLLIAFRMMASDLMAVFVLILISCSGFFAALTLSFGDDTIDS PASVAYALFQMLMGFTPAAWDRWDNYNVLGKTILTLFLFICHFLVVTILITVLTNSFM AIVQNANEEHQFVFAVNTISMVKSDALFSYIAPTNIIAWFITPLRYFVPFRRFVKINR TLIKITHFPILFSIFLYEKIMLRSSVVDTVEIIEPRGRPPADINRSAGISIFTPHPRR QVREASVATWRKDRALAAVFRRPYDGTLRDTSKSHTRQRTSKAVTNWMQNLGEEGVMS PPQEQDRAVVDRLEGHRIFHRRPRFARPRRDHTNITRSIASDPEDFGGFTDVLTPSVQ RLPAYQTTPSHEPNLEHEPEPAQATDADGDDELVTSDNEDDGMIFPQRPHNASSPVSP LRTARPDGYFSTRSPKPKNRESSTSVSSPPLAQSRSWRAEERHTQGEVTNRVVQRQRP RPHLRNVSSATIIYNPVTRVQSSPPSKKQSATRGTKSIGIRSPKSGAMTPAAKSLSNT PGRRTPKKFVSEPARAQAILPPKNDPTFMSAPNLTGMMRMHNRGAEHRRSSLDMDLGS DIGDNKAIGGGFVGAIPASFATQMAYATGGLRRQAAASEDQEMFGRLVLARMNKLEEG MKEVIHEMRESRMNESNNSRSRSKERSSRPSRQPMKKSRHREKEKRDSEKEKRRPVIY VGGPKSRSAAASSKATDKEQDWEDEAAFEPAKSGSI EPUS_02732 MKPLYSLPVTGYLIYRSYTHNSLTPLGIVTAALTALLHSSHRSA LPFSLLVVFFLAGTRATKLKHDVKSRYTLSSTGSGSSPSSSAARRGGGDGGEPRTHVQ VLANSGVASFLLLLHLYRTNFKHGSWSGHVKAQQEEGCVPTTGSVEGLLLAGIVANYA AVAADTFSSELGILAQNEPRLITRPWVRVPRGTNGGVTAAGLAAGVLGSLIIAVASTV VMPFCGASQTGRLGPVGRVLMAQEKAETYRGWTLTDKLIWILAVTGGGALGSVLDSLF GAILQASVVDRRTGKIVEGAGGEKVLVSSRGKGKTAKREVVHSERNRDEALRETKAAD MDGRDISAVPEESRSVLTGMDWLDNNQINLLMAACMSFGSVALASWYWGIPLSRLWT EPUS_02733 MDIGEADSAGNDGIGTSSSSSPKVAPNHVWAKIEAIESSNRARL RLPPSLRPGLLADGRLLTHSEKEVDDDNDIDEHEGSDVKSRFVPEMVEDPPEEANESS NSHKYESGYSHPIRMPASLEDELQEAEEGTMLHTNESGCSKVAKSSGRRQDQFAEAGE DTYSDEYETESPEDRLMEAGGDAYFDEYESDDSEPVHSSDPGDGRHQGSGDAEPVQIP QSSTSQSQDNSATILSRNDLTEQVLDYLQHSMLEDVYGDLLSHPRRLRYEPKWPRESG NAEAILRQPKSRAEANAKFEELVGPLRGWHKLSENKNPTETLLLQEKINSILSQHRQK QCALPPLEICSGDFLLYHNLPDAVKEVTVWSLINSAHNAIRRENWVAAVGLATKAIRF ANPLKYAPLVSKCWFWRGMAMDGLVAAKKTSRKEAAECFLEAMRCIGIYQEGELLKEA AAEYKFELLDLLEEQRGQDEWSQHLGRLLTGIDGWFQPEGKIQPRPSPPLVPQDCWPE DTIWADIDPDDWSLASQASSEEQLDEYLDQLSRYADPKWFSSGSLRQRNVDWAIVSRV EEVAQRSTYIKKEMLYQLCRGLSPAFEQMVQAETFTEGFDNYPDIEESIAWKILNYTR VKARLKRPIAPLREELTPTDMSVTTVDDSAELDPQSLPDESRANGGPNVEKSFRVRHG GLNRGHQLTISTNNFNEPGPSHGTPTGMRKRMLRVCITAEEKIAAFQHNLLDEENEGQ GRSKVRLELENNPQWQYAIKTLEAHFDQHVQQEMAASKVGLSSAQDMVTCRNEDYLRS TWGDEGVRPPTPALTRQAREQRLQERRLVNERSLKRFNVEMEYMTYQRKCGIYARLPK ERQECIAEPKRPSDTRIDWFEQEVEKMRVGSVTSHGLTLTTVDGSHSRERLATIGGSE ADEAGTESRGQDHIHHISPLRPQQRSWQPSPTRIGDESSDEDDAPIDSISSAGGEVNP QAHTEVDSLLDQPNTRQLKAKLGIDAMTEAMQKALLKDQVISLEDLVLVGESAIGATL SRSNSLCSPALERDSIWDEDTDGVRLDPSQVKAIPETRTKEVMAPEGNIPMFQDNVPA LRSGVFQTKPLTTSIFQSQAVNTENNPQPQAPTSNIFGLPPLSITFGSSTPSNAAPSQ TAPPKNIFGLPSVNTSMFGAAATSGTFPNQPSATSNIFPTQPPTTTSIFPSQPPTTTS IFQSQPTTTSNIFGAQATSTSIFDTAVDFNQPATLSTTFQNPFANLIKQAPQVPSAGD DLNDRNDADDEGEDNTRKVEKQAEVEEDVKGVQLHRQALNSVDELDDGEGADNEKEDE DEDDIRKAQEGVDRIGGSYIEEQKEEDTISETET EPUS_02734 MTSIKQQILDQFEVVRRHRDEAQNDLSQAQETITLLEAELAAVK YYGDLAYAKVTEEQGISKKQIEHTPEVKVSNAATWIDDVWFCTSDHTDILRPAEEAWA KNLHNPQSAILLVTEALKTKPAKKDRLRCKLLMVAIQVSAGLPEPACAGVNECINECG TDPRFKDIAGIAYYIRGRIFLALEYYRLAHWDFSRAVFTKGYHEQVKKWQGYCETCIL EGKGVGEGGQEEVLQNEAVQEDVQWSSDGANP EPUS_02735 MATSSGFSQSPFDVIDIRREDLDGSLLKLMLKCLEPDDNGPRTL PTLILYDEAGLKLFEEITFLDEYYLTNAEIQALETHARGIAQHLPEGSRLVELGSGNL RKVDILLSAFEESKKAIEYFALDLSLPELRRTLSAIPAGTYHYVRCAGLHGTYDDGLA WLKRTKDAAKATCVLSLGSSIGNFSRSDAAEFLHRFAEVLGPQDSLLIGLDSCQDGDQ IFRAYNDSKGVTQAFYRNGLTHANRLLGYEGFKQNEWDVVGRYDEQLQGHEAHYRALV DVSIDSLYIPAGSKIHLETAYKYSRGQMTDLWHASGLIHQAAFGNQKGDYNIHLLSPA AKPYPTKPEDYVARPVPSLKDWQGLWAAWDTVTRGMTATGELLTKPIKLRNDLIFYLG HIPSFCDIQITKATGRKHTEPVAYAAIFERGVDPDVENPELCHKHSHIPDTWPPLLDI IQYQDRVRNRVTSLITSGEADSNRNVGRSLWLAFEHEVMHLETYLYMLLQSSNIVPPP GSTTPDFEGLHTASETNAVPNQWFRIPKQEVRIGLQDPENDSGPERYFGWDNEKPPRN VVVGEFEAQGRPISNGEYARYLQATNKTAIPASWAITESSNKCMNGSVNGKILNDVTS SNQNGTDDLGQKFLKDISVRTFYGPIPLQYALHWPVMASYDELAAYAKWSDGRIPTLE EARSIYSYAEASKKGQEEEVSSSLISAVNGHLSNDGVEETPPQGRGLTRLPEQSGKQD INGQNIFIDLTGCNVGFQNWTPTAVTPHGNRLCGQSDFGGVWEWTSSVLQKWDGFEPQ KTYPEYTADFFDGKHNIVLGGSWATHPRIAGRKTFCITNEASHYQRHLTIAKLVNQLH SFGGGDQRTHVRKKKKSVGQNNGDENQSMYDDQSTHDESTITDLSSNDLRTLGEALGY SSDINERSQSPSERRASRKTMKDKKNQEKAFKNQQKFDSSVTQAQIDRVARVIHGAQY NVDGKGGHPRTETNDDGDIFNRDDTFNPAIKEHMSWLQRQVKVSRTRNGKRGADALRI QQDKDAQNGLPVQKQDIEELVSGILVQLGIHSHKGPHARSTHTDSLAPRSKKQTVSVV LQLRKEIAADIEKSENEARARQQRMEGYWRYVNETVTDRLANNAQSVDRATGMRLKGD AGRGTSAQRLEKLDHREDMDGQDEGPEETG EPUS_02736 MARASKRQRKDKVPEVPTIVPWHDLMPSANISIGASLMDTIDDL INEGHIEPQLAMKILGNFDKIVQEVLADKVKARLTFKGHLDTYRFCDEVWTFLLKDVN FKLDGSTTLHSEKVKIVSMAAKKPDEK EPUS_02737 MPRKLPWATKDTPVPKEKRPRPVSRKREASSSDPEQVARMKTSS STPLKTIKKRVSSRTPSTSPPPGPPNEEYMMEGYDHDDIYIMVEDEFHSVAQTFTQHL HHAEYQRLKKKARDAAPPTFQPTDQMRTEVKKKLEARALHAKQKDAVRNITTGINLSA EEDEEQDDDPWLGTSLAGLMTDANVQKRTALVGLEKMQSTTRAAKGFGRGMGDSPPSR EGKVSVLDIFAGQKKDKENATNEQERERIEKDPNHSRRVQATASIVRPQESSRNEELS ISREADPNSTRGLTKTTKPPSIKNAADSTSHPRFREPSIATRKLFDEFDDFDHTASVT KRPRASPSRKDHKRKQTNDRKLQMNDIPTFLV EPUS_02738 MSLETLSTISPTTNSAVITRQALPPQEIERLPAIGQEAFASYRK SHPTLGSRQEVVAKALDLLSRRKDVLAKELTEQMGRPIAYTGIEIDTTVKRGQYLNRI AGEVLSEDVPGDPEKGFRRFIRREPVGVVLIIFAWNYPYLILINSLLPALLAGNTVIL KPSPQTPTIVEHMSEIFTEAGLPKNVLQYFHCGSPSHVELIVRSPQINHICFTGSVAG GLAVQKAAADRIVNVGLELGGKDPAYVRPDVEPHWAASEIIDGAIFNSGQSCCAIERV YVHNDIYEPFINACKATLSKYKVGDPMDKSTQIGPVISTRAKETIRSQIDEALKAGAK DETPPNSSFENLPQQGNFIKPTLLTHVNHSMSIMQDETFGPVIPIMSVPSDTAAVKYM NDSQFGLTASIWTKDVGVGEELAGKVEAGTVFVNRSDFPSPDLAWTGWKDSGKGVTLS RFGFEQFVKLKSFHLKDYPGR EPUS_02739 MKAVRFHGQKDIRLEEVEEPECGRGQIKIKPAFCGICGTDLHEY LGGANLIPKDTPHPITNETLPLALGHEFSGIVEEVGDEVQGFKAGDRVCVQPTIYDGE CLSCRRGLVNCCDKNGFVGLSGWGGGMSEHIVLPQDSVKKLPDNISLEVGALVEPLAV AWHALSISPYKPGDRVLVLGGGPIGLAVVQVLKARGCDSIILSEISPRRRQYAKEFGA HHVIDPTKDDVVAEVTKLTHGEGVEIAFDAAGVQVGVDSAMLVIKARGTLVNIAVWEK RATLNINQLVFRERAYIGTACYALGDFELVIDAISTGKLTPEAMITRKIKLDEVEEKG FNALINDKDNHVKILVDIGASTSQ EPUS_02740 MAIGGALLRISQTLIRALQLCCAIVACGVFAYFLAILAQRDLPV ATYVRAVTGMSGAAIIYTAFAVLLTLCFAGVAFLGYLAIFLDICFIGCFAAIAYFSRG GARGCSANVDTPLGNGPSDSGASPRLGTACRLNTAVFAVSIFAILLFILSAILQFLMI RNHKKEKRYGPSPSNNYTSGPGKTPFWKRNRNKRATRDAELATAPGTTGYGRPSHETG FTDTTMVGGVPEPKYGQPGYGQPHGQTAHAASTNYYQGQSNAATNY EPUS_02741 MAYLVPIHRPSSVRHALKLPFLDPLEEALIVAKSNRLEIYAQAI DGLILVHSTSVYGYITMLERLRPSTSTTDHLFIGTDRYQYFTVSWDASLKRLRTEQSY LDQADKVLRDSQEADRCHVDPSRRFMTLELYDGVVTVIPLQQATDRGPSAKRQSIAKQ EDRGILGSPVQVRIEELAIRSSAFLDVDSSSRTKPRLALLWEDNAEIPQLKIRELEYF PDAAGDQASAELKTIAEFRDHLDLGVSHLVPVSAPYGGFLILGERSITYVDSELKTSI PRNLDENATLWVAWEKIDDQRWLLADDYGRLYFLMIMVEGKRVQDWRLDLVGTTSKAS ALVYLDRGHVFVGSHSGDSQVVKIEEGGLKVAQTFDNIAPILDFAIMDLGRGTEGGQA NDFSSGQARLVTASGAWQDGSIRSVRSGVGIEELGSLGEMSHITGMWGLDSTGDSAMQ DTLLVTFVDETRIFTFDSEATIEEVDHFHGLELSETTLSASNLSNRRILQVAEMSVRI ADLDSGMTTSTWRPADGSKITATASNEERLLVVSGGTTLHVFDLSNDLTTISSKSFPT DSQIAGIAIPPAPTSVCIVAFWQSASIAIIEIPSLDTLHTQILGPADTAIPRSILIAN ILPDSPPSLFVAMADGTVMTYTMDMTKNTLSNMTRIVLGSEPVTFKKLPRDLSTDSES TLSNIFASCEQPSLIYASEGRMVYSAVNSDKASRVTHFNSVAYPGAIAIATPKELKLA LIDAERRTQLHTLPVGETVRCVTYSEGLKMFGMGCVRRKLENGAERLFSRFKVADEVT FKQLDSVELAEEELVECTVVIPVAKDEDSDGIDMFVVGTSMQDELSEQLVKGRILIYE IDKERKLSLMTELGVKGACRSLAICDGKIVAGLVKTVKDNPFQLSVVLIWFKVVVYAL VPPSIHSHNINLVKLAVYRTATNPLSLTVTPSTKTSPALIAVADVMKSVSILMLIPPP PNTGLSWALSETARHFAVMWSSSVSVIGDNEWVAADTDGNLIVVRRNVNGVAEDDRKR LEVTSELRLGEVVNSIVPVRMSSENMLTMRARSSSTTAGPNAAADLPAGPAVTPQAFL ATIEGGVYMLGTISAGYQDLLMRLQQAVSARTKGLGYMPWAKYRAFKNEVREADEPFR FVDGELVEGFLNFSQENMEGVVAELGAGLGVQAVKGMVEGLKRLH EPUS_02742 MSRPVILYVPGAWHSPDAFDKVIALLSAKRFPSRKIHLPSVDRS PAVSSIEPDVEAIRSVALSEMQQGHDICVVCHSYSGVPTSQALRGLGRPQTAGGGRVS AIIYIAACLLFEGVSLSGANTAHGGAVQSDAYKLPDDGNLLLNKDVNTAHGFYNDLSL EEAAYWVSKLGTHSAVTLDLPANYAAWKDIPSWYLLCMQDKTIMPETQRAFVKKAREY LDEAGGPGTGAHRLRMEEIDAGHSPFLSRPERTAAFIEKAATSDLN EPUS_02743 MARNLRAKTPDNDEILVYDVNATATQSFLQESQGTRVQAAVSPR EVAEMSDTVITVLPEPQHVKSVFEKMLASALPAPPTTTSRLFLDCSTIDPTTSRLVAS LVHASTSESSAIFVDAPMSGGVVGARAGSLTFMVGCEPDMLSKVEKVLLLMGKKVWHL GAQGAGLSGKLANNYALAINNIAAAEAMNLGLKWGLDPKTLGNLINSSTGRSWPSEVN NPVPGVVGSAPASRDYEGGFGVSLMKKDLKLAIRAAQEADARLELATKAEEVYEKVEQ DYMGKDFSVVYRWLGGKE EPUS_02744 MESVDVVVIGAGWSGLAAAKTYIELHPSATVVVLDDADTVGGVW AEHRLYPGLVSNNMLGTYEYSDFPMDEYYGVKPGQHIPGTVVHAYLRNYAQRFGVLAR IRFNSKVESAERKEGAGWVLTVVSGDQRSQLSTAKLVVATGMTSQPFMPALEGSEKFA APIFHSKELRKYADLLKTAKSVVVFGGTKSAWDAAYAYATAGVEVNMVIRQSGHGPVW MAPPYVTPLKRWLEKLVHTRFLTWFSPCIWGNADGYSGVRNFLHGTAFGRKIVNTFWA ILGNDVTTLNGYDKHPETKKLKPWTDSFYTGSSLGILNYKTNFFDLVRQGNIKVHVAD IDSLSDRKVHLSDGKTLTTDALVCVTGWRHHPPIKFLPEGTDDRLGLPHFSKQPDQEI RKAENEILTRFPRLKIQPASSPNAKPMIARPSNSPAAIQPNQPYRLYRFMVPPALIDD RSIAFAGALMTVSTPLIAQTQALWLSAYFDGKINPKDDITYETILHSQFGKWRCPAGY GARFPDFVFDSLPYLDLLLSELGLKAHRKQGRMAEWFTPYGPEDYGDLIGEWRAKNTK I EPUS_02745 MPGANAFVTEAFTLLGVALGMIILRTIARATSVGIRNFQLDDYM MLLAAVVYSLETAAAYIVGAWWRGLANNGMTPEERASLDPSSQEYALRVGGSKTQVIG WSLYTFLLWNLKLCMCVFYSRLTDGLAHMKIRIRIGYGLIGATYIATLLSILLGCRPL HKNWQINPDPGNSCQPAISKIDCLVTVVLNVVTDMYLISIPLPLLWKAQLSKRRKSLF LIVFGGGFFVMACGILRCALILLDPVNGAQQAGSWAVRETFVAVVIDNIPMIYPLCRR LIKNIDESLASHYAGRHKLSNGYSSSGSYAMNSRKDKKPKFVHPLSMRNATLSDSAES IVRADRVKNGQDILIVKESTVDVSPTEHSNEEIREHPHAQHPGVGYAATCNHAGGRGD VLRISRRGSAQWESPASGS EPUS_02746 MAEYVHQKGGDHTVEPRPTSITPPRSSQEIKEKTDLAAGLTETV VEGAVTKDGIKIHPQPTTDPLDPLNWSPWRKNTILSIVMIKYFMFTYVTTTTVPSFFE LQDQYAASYAQINWTLAVPALGLAVGPLLWSSFADIYGRRIIFIFGTVIALASTIGAA LAPTYGGYMAARFFQGLGVSPAATVGLAAINDMYFDHQRGQKIGLWVLALDTGIFVGP IFGGFLDLVSPQWIQWFTAIIFAALLVLELAFMPETLYPRNQMLSQMPMIGPDDISNT ADLEKIGGQRGDASKVALPRTKKLFFFNIKPVPGMRHPKPWDSITRFLKTFQFPVVVI AIFSYCFTWYWFLLSIITLLPVAYIQYSPQIQGLLFLGLLLGTVLSEIFCSGTLSDII SKRMAKKRGHSVRLPEDRLWLTYPAIILSAIGIIVWGISIDKMYHWMVGQIAFFIYGA GIQIGNTCIAAYVVDAYPLQSMSVITFYSVWLNLSAFLSPFFIAPWQATSGFTWCFAA QGIITFFAAFPVMFALQKWGPSMRAKSGQPSWVNPEFDIL EPUS_02747 MEEETRGPNEQSQLIPKRANGLETERSHDDDEVYQLKGGDVPTS KLVIREFWIVLKGSVPVILAYALQNSLQTISVLIVGRTSPQDLATAAFSYMFATCTGW LIALGGTTALDTLASSSFTGSKNKHDLGILLQRAFITLSLFYVPVCVLWCCSEPLFRL LGQDPQLCKDSAKFLTCLIPGGLGYIYFEAMKKYLQAQEIMRPGTYVLLITSPVSAAL NYLFCYVAGWGLLGAPLATGIAYWLSFFLLVLYSRCVAGHECWGGWSKESFRSMGTFA RLAVLGVIHVGTEWWAFEIVAIVAGQLGTIPLASQSVIMTADQVMNTIPFGVGVAASA RVGNMLGSRNARGAARSANTAAWLSMFLGVLVLAVLLGTRNHFAKIFNDDPQVVQLTA EVLPYVALFQIADGLNGSCGGSLRGMGRQHIGAAVNIISYYCGALPLGIYLAKKAGWG LAGLWVGQCIALYLVGIGEWIIVAFTNWEYQVKKAFDRMDPRERMENGLLAPEGDHQE EPUS_02748 MEPRFLGSATEFAKAELTPVTSSRGQNNTHLPVQTGRRPLLHRA RHADRIPRGDGSTPPHRHGTASVIGHVLEGEILSAMNGEEAKVYKQHQTWYEAPGCHH RISDNNSKTESAVLHGTFVIKTEILEREGPGVLVQIDPEYRMESVQQGAGQVAQSVVD SVKERLPGAES EPUS_02749 MLFKALTLFSLSGAALCHGDHEHQTTFSGPHKSLWYNTLPGDGG TQADSVFSGISTFGRLPYFPCLASDEEKFDIAFLGAPFDTGTSYRPGARFGPSGIRQG SRRLNLYGGYNVPMAANPFNFWGKVIDCGDIPVTSYDNAYAIQQIEDGHNTLLMRSPH TAAHKRGLSKHGKTLPRIITLGGDHTITLPLLRSVNKAYGPISVVHFDSHLDTWKPKV FGGAPSKQAAINHGTYFYHASQEGLLANDTNIHAGIRTTLSGPTDYDNDGYCGFTRIE AREIDTLGTAGIISAIRERVGTENPVYLSIDIDTLDPAFAPATGTPETGGWSTRELRT IIRGLEGINFVAADIVEVAPAYDTNAELTTMAAADTLFEVMTLMVKRGPLTVEGLGES GQQGEAAAAEEEEKEAQDADKGAQEL EPUS_02750 MPALGKPSNHPIYPRPVSPLHYEPLYTRPEDVLWEQDSAGDRSD NDEVVRAAKRRRIEKLGEAYLRGDGLLILSAGIRGPLGDGWINPWKKRKRRKGVSGRA GSDVQRKAEVPETVQRADVGRDDEQAKAVLHTKKPKIEVAIHTVEQKRSIPAVQELED ARHLHGQHDPFAANANIPKSKKTPEEPHPESWLKKDRFDVRSPRDIYAEDAQLQLSSP SSRSKPPCPSERTASPKAEYDAPQPPILPLPDASDGRAETMIKLLRRRNDPPKSPISH RAQHIADARMDKQPQPTSCEAERPAPPEPTEPSTNSDTQERVENKTVEQTTTNPDESN GQKPPLPPAQASSVERNTLQTQNSLAQELSASVFLSAIDEPDVRTSPPLISSNDNDPA LSKQQEREQGPIDAHRMPPPTLSTVTSNTTVVSVLPSAQAEPRAHAPPPNESLLSTGD KLSEKTHDHLHCDENISFQFSTQAAIVAAHCQLQNELTTPQSPNDQLAAAITSNPSTT KAKSKSGITLFSAFNKPGKTPLADGSPNTQKMLNAVTPFDLHTTIKKGFPSIPPADAE SPTLANCKAVTKGTRRKVRKKASFAPDAASTAGSNSGSSQGSIKASLKVCKHAAEMHS LNSTKKAENRGVAASAGSTGPEVSEFGKLGLDMETSVEDQVDEPEPALPATTGGGEEE EKEGEEEEVTAVVDSFSLSKHTSTNQTWCKTTTTSESAGAKQDAQMAGPAGMAILPHH VPLQQSDTSISNARDRASGIGGALEEDGNENENENEGEGQKTFDLSAAMDEVGSFLQS WDTEREVRQIKRSQFQSMDAKENHIETDQRRMGTGNGNGKAIPELRTSLRQLRHRSRR EPUS_02751 MEPWLDSLSDEWASHKNSSSPGNSKDTPQSRASSRASNASQSRI PHLTQNYSQSSRKGSFLRPRSSHGLTRAQTSPILAEQTSSKLNVVAQKGDTNGRSTLP RRASSAFSGSVNSVQHHTIQHKSTVGDENTPEWKRRLARGEDIAGDGCDLFGPTRLEG MFKKPSPSRKGQDDTTLPVAGPVKPWSMPELYQSMRASRSRIPEMEVVAEEDEDGIQD GSAGAANNSTRKRALRGVVKDRVFSLENHSAENSPIDPRRRGVQRDSRTRTSSGQEEI QNEEISPITTSRQNTIRQEALRQLTEVPITSLQSRLEDIAERPSSRSSDDGILYGHGG RQSEDFGEITSLSLPEDLSMGTQDFVTRGGFVNSRRGGRSNEGSFQKKTLSSSIPPSL DQSVIDFQNMPFRSSPPPYMTTTRPSQESGKPTDPNPITPRRTQGSESTDRPRSSGSP LKLFGNYDTFTNDKLLRRMSQFECDDAEGPSKDDESSHIVKDTEELRVSHFGQGDLDS FTFNERVERDPVTVAIRLPGEARIFDSSVADETTVQQFDLLEGSEPHVRDESPQDTSA AEDHAGESKPVPSSSIRDRTPKRRRTLLRHEIEPTRAVAVTEATRSVTEATQLAGKKR KDARYDIAEAAADAATLAARQILWPKTARSRTSAVGIDSAVEDQRYDNANPHHRITEE LAGQLASFGMGITQMTNDSRKPSVTTQDFLNEATKIMQIIRQRGKPTSGLSSVEEPHD EAEIDPDSILDLEVEGEDTTLDNFSRPPSRNGSMRTRKERRAVEDASIVNHLQKYQDG DHLDLLITSKLGSLHLLSDPNAKEAASVPLPDDSDECNDQEHLSSPANIRIRESEEHK HKRKYSASTLEGPPSDPTHASCTASTGTTIPTGSSASSGNKGRIPPGMVSVPEQVGLM TFDHSTKSWVRGKGPKAASPSSRKTNSEEDPFGDIPDLSIDEQQEAAKNALPPSQVDL AQRSLPRLAPAPVVSSRPQTREGAQILTSESASAATKLTPLDSSMPVLDTRATSWATT NSKPTSKPPSSPTQAEDASLDHDEEVEHEIRIHDGRASEAPPSPHRSSKKARAVTIAF SSPLVSAIAYHDEQPLSEMNQSIASNGIGKGISSPQKPQHVPSQSASSQLAQSFNGRA FVGRPVSRIDEQEEDNTAHDLSVVHVSHPNAMTPAPKSQVTNRITNSKGTSIICLTPL SEFSLHQVDRQRHPEASYVAPRAHPNSLQQAHGSLALAVDDLMKAITDAEPYELYWEH LRRLDLTEKGLTTLHSLDEYCSAAEELRVSRNELQQLSGVPATVRTLLAQSNCLSSLT SWGHLQNLQYLDISSNGLESLDGLGCLVHLRELKANRNRIRNLEGILDLDGLLHLELR GNELATVDFQGGELTRLQHLDLSNNQLEAVRNLHALSALRTLHLENNHLSEFGVANET YPMLREVRISFNRIEVINLAAIPSVELLYLDNNYIHDVQGLPTARHLSTLSLREQLNS PKLLNTIFSTSNECRKLYLSNNNAPLEGLRMPSSPHLNLRFLELGSCGLTSLPDDFGK KIPNCRTLNLNFNAVKDLEPLKGCGRLNKLMVAGNRLHKLRRTCIALTRLPALTKADL RDNPLTVGFYPPFREGRLVVHGDVKTEVQDPYTLPPGDQITDTKWLTHLDEGTRMKRR TIELFLAKGCEKLVELDGLEFDRVALLQQDEIWQKLTSMGVIAKQ EPUS_02752 MHLFSTLAVAAVLPFLASAHMQMSEPFPINSPEDPAVPANLKDN DYSSPLKADGSNFPCKGYHKQETSHVSKATYKAGGQGQLSIKGGASHNGGSCQISLSY DNGETWKVIESMIGGCPLTTSYDFTIPQDAPSSTDVLLAWTWFNKVGNREMYMNCARV TVEGSTPGRHRRAQVVSRDNAFDSLPDMYTCNLGKGCTTKEGEDVAFPEPGSNVINGG GGSSSSSGASGAGNGSGGSGAPAPAVSSPAASSPAAPAPSRASSAPAANSASPVSAPF PTGTNSTRSAPAPSGSNVVIPIASNAPAPAAPTASAPAAPTAPAAPAAPAGGSCTAGS IVCNSPTTWSMCVGTSLVSMGSVAAGTTCVDGKIA EPUS_02753 MAKRKRSSATDVAILSQSTTTDIPLKSEHSGAVQRASRRQSQPK PAKIDANPDTNSKVLDGPEALRASPDAHSESEKFREDKIAGQRTKEDKTTPATPKAVK RKESSDSSLSELSDVLEIPASHSKTKINTAKIPPSFLDPEADADDLDADPEELSAALS RPPPVNSSYLPLPWKGRLGYACLNTYLRNTNPPVFSSRTCRIASILENRHPLKDPSQP AHATKNRPDREQPADIKRGQAFVEGLGLANARDIPTMLRWNDKYGIKFLRLSSEMFPF ASHDVYGYKLAPFASEALAEAGRVAAELGHRLTTHPGQFTQLGSPRKEVIDAAVRDLD YHAELLSLLKLPDQLNRDAVMILHMGGIFGDKAATLDRFRRNYAKLDQNIKNRLVLEN DDVCYSVHDLLPLCEELNIPLVLDFHHHNIIFDKDKIREGTLDIMDLYPRIKATWTRK GITQKMHYSEPTPGAITGRQRRKHNPRVATLPPCAPDMDLMIEAKDKEQAVFELMRTF KLPGFDTFNDITPYTRDDENRPWKPPAKKKTPKKSKKSKKAPEGDEGMLADEECEPQP PAIVPDEEVGMGGPLGRVYWPVGMEEWLRPKKREIKKKPDKIATPAKNKKAAVKSAAE DASNEPMIKEEEASEKSSSTKAKAKAKATSAKTAPVAPSAKKIKKATNSVPTPSTSDV EDDAPDVDMPDLSDASAAATPAAAAAAAAAAQSQKGKAVPAALARRQSGRRGAKKAVV NYAEDDGGTPTAPSPSTSTPSRTFQRWALTLSKPSRAAHPQQVLRAQRVVREAQVELD HLLHGVGGVDAHAEGLSGKEKVTPSGQGGVVGELV EPUS_02754 MPTQHKPAPTLNEEDGKSQAIDAENAQCAAGLNQLPIDIKVAET AKTKPGDVRMDIPAGQTMTFENLGTNLEVLVEGTTTLGEKKFKMKQFHYHTPNEHRID GE EPUS_02755 MDPFSITVGAAALTHLATKIAVTLKDTYQAYHSAPKDMLEIADQ ITLVAGLVDVFAKGLDGTGRKFPKTFQEHAMNLVRQCETILKEIQRMIPGSSTRSVNT ESLRYAFGNKKKIEKQQTALKGVQHMFMFMTTCYMYSLPQEAEVASAAPVGNFHGVMK HVPIQISMNQDGGNSPTVAYEATLTLRPAPRVPSRSYERRKASHHERSYAGERRENER SRLANMRRSPYFALALLEPPLHSEDDRRAESEEATMNRRGKEEAKSKSAFELPSDQEA TEEVDTLLSRWFDDDTHSNTNHSHDESYPIPHTDPEVDEHDSDNDRNLPSARERPENA PQSMPIRHWVCDGCERESWEVDNENDRTLKRLKRYKCRICTDFDFCGSCYPDHYYLRR KGSLILNMSHSQDQAVSTTDCAHTEAPVSTRSYFICAFASFGGILFGYDAGYINGVLG MAYVKRTIGHVFPVTKDNPGGYALTSSQRSLIVSILSAGAFFGALIGGQAAECVGRRV TIMISCLIFAIGVAIQIVSTSANMLVGSRLVAGLGVGGVSAVVIVYVSEIAPKRVRGL LVSLYQFCITLGLLIAACVDQGTQALNSRNSYRIPIALQLIWAAILALGLFLLPESPR YLIKKGKPEAALKALSRVRGQPLDSPYLEAELAEVQANYDCEMRISSTSWLDCFRGGF RSSSNLRRVLIGTSLQMFQHGTGVHSIFYYGTTFFQQSDIRSAFLITMIPNIVNVCST PLSFYTIERFGRRILLIYGALLMLICEFIIAIVGTAAPKSDAASKVLIALVCVYICVF ASTWGPTAWVLIGELFPLPIRAKGVALATASNWFWNCIIRVVTPYMVDADQGNLRSRV FFVWGCTCVLCLLFVYFCVPETKGLSLEEVDRMMEETTPRTSKRWIPHSTYVQEAGLF KGGGVTLRPAVANAGEAEHEEKVHGGDGDVIAGGRV EPUS_02756 MDVDVGKMPEPHRLSPTTDPGSIPTLDGWIENLMNCKQLAENDV SRLCDRAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFRIGGPNPDTNYLFMGDY VDRGYYSVETVTLLVALKIRYPSRITILRGNHESRQITQVYGFYDECLRKYGNANVWK YFTDLFDYLPLTALIDNQIFCLHGGLSPSIDTLDNIRSLDRIQEVPHEGPMCDLLWSD PDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLVMEGYNWSQDRNVVTIF SAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL EPUS_02757 MASSSNSALSAESLLNLMVQSLPSKSAAESSPVVKDSYAAIGLF AHACMLAVGFRLIGLGEEHKIDSQEVQRLPAEWDAPSTYAFRYAHAQSSMEFLVKVSR LGSKALIFALAVPDDKTASFEIKVQDYVSEGSIRESTSSSDINSAIKNIFVSSGRIAD LSSLFKVNIIQKLAPGIRKEGYEETSTTPEQPRRHDETRPPERDPLRDDRPESARPYP FNDPLAAAPRRPIPPGDFPPPDFEDEYEMNRPPRGLPGFGERRPLNIGERDLYPPGLG PHDPLRIGPGGGGLGGGGMHPTFDDPLFAGQGGARPYDPRAPPGARYDPVGPGDGPPN LRGGPRFPGGPGGGNMGGNPFGGFGSGDFI EPUS_02758 MFRPYTEKEKAKLAEKYTPAQRAVIDVGEEAVTNKYLAYQASAR QNHWSLKYYDDLSKIDPVVDHPVRAPYSNTDPNQRLKTDDDFDEDLANFIRNLPENED SPEADEAWTAFDKKIRLTVGKEEGERNPRSAAAPDLFRPGEANLDGKILKLPSTDLRG RIEEAPPALLRLMQMTGFTRDQINALRVKSIVDHRVVNQTRLGKIGKMYYLSVAGNGN GLLGIGEGKSEEPGEARLQSQYRAIRNMQPILRYEGRTIFGDVKGKVSATELELYARP PGFGLRCQQYIWEICKCAGIADLAARVTRSRNPMNTVKATVEALLSQKDPEEIARARG KKMVDVRKVYYAGLV EPUS_02759 MGCGTSRFDVVDNVTSSGSSTDGLSTVQEEHPFSSSDPTILDRL IYVDLSQPRRQVSSYPREAPTPPSLQAQHATAGPSSRTQPRQSSSPLAALARGANDDS PLNSSSSSGRGACSDRYTTYFTPSGTETQGSSSAEASPRSVGTRSSSSAKSSPRTGET KSNSFNSLPQGEEKRSNSSSTSSSPRVGGVQAGSSDTPQIRGLAQRLAQTDLSGSRQM ENRAIQGGQASCFSREGRPKQDRPSSEGQASQMTTPMNRAEPSSLPSANRPSTCFELE QPLFDGYTSDVDPSLVRRERIARYRPVADTPAHNSPARGAGPCTPPQKPPPRGRPQGV PRSSSSSSQDSGHPSSSRHIKNATAKDCVH EPUS_02760 MVPSLTPVDTDLIPDESMSHTVATTSSWTDLCSSDPLVADVSKQ VLMLEIAYAAFCGVSYVLIPGPRLYCQTMKQVGLMQYARAIQEALVIGTYMQIKIWFP MIGHPENEIPRMGDLTPFARSEYIGNSPEEDTVLDLYGTWDAWNAIRSFCKYHTRLCV ALRLPKHLPPVDIQARWYSEPVKLLTIDSTSFTKNPKGYPVLSKPHQTLINRYMRLRL PPWILLCNVGPISSTDDSYPKSDPTTGLAASLTLPGTIASFPTLAEAAQEPCQRNDNA TPHLSYLRNLQRKQPPQSQLEKFGSGYQDYLQAPLQPLTVNLESITYEVFEKDPVKYD WYEKAIARALHDWIEQGKPTSNPDGRVVVAVVGAGRGPLVTRALQASDDVGVEIDMWA LEKNPNAFVLLQHHNANPEKWANRVKLVKSDMRTWRGPWRKRESGPRERIEQALVPEL PTSSVSTDPLAQEAPSGPVEVEYCGIDILISELLGSFADNELSPECLDGVQHLLNPTH GISIPASYTAHLTPIAAPKLYADINSQAHSNPNAAETPYVVMLHAIDYLSTTASPPPA DSSHSTSSSQQQPSSSPAIQKAWSFAHPNHQLPDSQPTVNPTSSPNTLHPVTAITNSH NTRHTTLTFPIPHRGTIHGLAGYFEAVLYGNVELSTNPLSMDQKSEGMISWFPIYFPL KTPIYAPSASELVVNMWRQTDDRKVWYEWIVEVLASIPTPSAEPEPISPPPVSGHGQG KGKKGKGKEKEGKKEREKDGTQTTRLMRTKKIRIAMSELHSSVKEACLM EPUS_02761 MKFGKNLPRNQVPEYASFYINYSGLKKIIGNEAAKGEKADLAGF LYSLDRNLEDVSYFYNKKLADFSRRLKLLEDRYGRSSEAVQELDAEERQDLMAALLEL RGQLRNLQWYGDVNRRGFIKITKKLDKKVPTANAQKKYLELKVYPLGFATNFQLLEEV NKINDWLSILGESPARSEGSSHLSTNPLRRVASGQNLNLEPEKLALVEQHIRNDMVDQ LSASLATLQESGLSTDGTAFQQFLKNLLQRSIFCKSRKCIAALLDRIYFLDDHDDINK RNCIHRLVISIGRSRTSSDAGASAEVSFKGSDDSDQFITPAAAPILPPPKVPQEAYQS QLLGMEDESVLLLQYLLDQLNPAQRSSLLARDASGRTPLHYGAQYGCKIVCQIIIQHL QAWKLFKAESGIDGSGWQDNEGWAPLHLSVVGGHPLTTKVLLDAENWLGSRESKATMR RNQPKSSAVLAMAVKSNFLDIVRLLIEAEVDINYQDQQGETALHVAARYGHDTCAQLL LEGSGLQKANTELAEYTYAWTPLFIASVDGNLGVVELLIEAGADVDRVDSSGWTAKEH ACLRGHIDVARLLAEVTVMSESTPSETSTNASTPPSSSSSLTDRKSNASIGQQTGVKI TEPVKSFGHRYLTEESMILVSLGTMDTRKTVRAVSLDRIPLANAHSTQLDTALSIVVS ASGAKGEPEIIDLPVQDNISTEPMAFLASDASKVKLLFDLIPTYAGSKDRVVGRGVAL LSSIKPSIGSKRITLQGDTTVPIIAANTLDVIGTVTFNFLVITPFKHPRMSITEQQTY WKSRASTMVIGHRGLGKNIASRKSLQLGENTIQSFISAANLGASYVEFDVQLTKDLVP VIYHDFLVSETGIDAPVHTLTLEQFLHVNDTRTPRGSRPPSPIQKDPKLADLKSLEPR RPRSMSVGGPNNYDPFDMSEKMKHTRDFKKKGFKGNSRGNHIQEPFATLKDMFRKLPK TVGFNIEMKYPMLYESEEQEMDTYAVELNSFVDTVLTTVYDLGQGRNMIFSSFNPDIC LLLSFKQPSIPVLFLTDSGTTPVGDIRASSLQEAIRFASRWNLLGVVSNAEPLVISPR LVKVVKESGLVCVSYGVINNDAEKVQLQVKEGIDAVIVDSVLAIRKELTESAAAASSS SASAPSASSLQVPSDSHMTSGLVSAAAAAAASVKGLSDGMEKTKSS EPUS_02762 MAAVNRMTRDIDEERASRLAKEAVDLANAGQLEKASRKLREAAT LGHDNADVQAAFLRLHQGQDNSPLLVLCRRYALYNDTQAGTEAARYLKSNQERLPRAT ALECLKLILDSNYATLSSAQDTIIVELVQQSSDVRQYFASELQVSSTEFFDNIYERGD CAANCLRVIVLDDSLWKSEEDRLRVEEDLFQLLLAKLMESGHDLDGRALKGIALLLVA DPTRLHPFVDTEGFDAILGCLDIRLATDLRTQATLAGSKYLEVSGDTGQNYFTDFVRS HVGKQKSEDLILAFSAAASLFPIIPAIVAPLFLTEGFLQSVVPLLEGKLKGAKVEEAF LQLLNAACIDQACRAAITNHCSDWLSYIISNAEGHQPAIAATILTKLRSTSSSENPAP GPEMGRDGDNLQDLVHLFKEEISNKDVPNLCDSVEGLAYASLKAEVKNELALDREFLL SFLQALRSNSEKADVAVGGLSVLSNLTQYTLVLSEEQKKVAQLKAYANASKPSVPNVL NDDEHVVARCNAIIEAGVMPVLIECNKGRTSSSKSLIDKIILNLSKNPKTRGKIAQQG AVKLLLAHLQQLSGKAEKDVRVNVEAAHALARILISVDPALVFPASSFPNITSAVQPL IGLLRPATTQGLSDQPRDLLPTFESLLALTNLASSSDNSPANIIVKSAWDAIEDLLLS NNAMIRRATCELVCNLAATEAGLAKYADRSRRSVQRLHTLLALADVNDLATRCAAGGA LAMLTEHDAVIAGVLEVKRGVDILLGLCQDDNQDVVHRGLVCVRNLTCATGNVGQRAR DVIKGEKGIEILKACLRQLTNQDMLQPGKEDLKKEEEEDLKEEEDLKEEEEDLKEEDT KEEDLEEEEEVKKEEKVKKEKEKDIFLLNILLFDLLLLLDLLLFFDLLLLEILLLEIL LLGILLLLESSSL EPUS_02763 MNAVDGSQHYEQPSSEQNPSLLTIILDTNPVAWSLLSGTLSLSK AVASLLVFINAHLACNYTNKVAVVASHCDTAQWLYPTSAQQHLQNARQAKRRLDDSGL VANDQLSEPTKRFRINGPKDDSTNGIKLKFNIDQRKPIANSDKYRPFRVVEEELLDNL SKLLSTTGPSGISSNTSTMVAGALTLALSYINRQNSAFAESSGGATNTADSAPPNASS RAAETNDKNLLHSRILIISVSPAHDLAHQYIPIMNSIFACQRLSIPIDICQIPTPNAP SSSTVFLQQASDATKGVYIPLSNATSGGLLQYLMMAFLPTQASRIHLVLPTRVDVDFR AACFCHRRVVDIGFVCSICLSIFCNPPENGDCLTCGTHLELGDYGGRPMVVPRQKKRK KPRSTLDPSRVSTPTPGPA EPUS_02764 MANPARRLDGAHPAAGTLGRVAEDGNSSPTRSKAVGLLPFGTTS NDRLLFNSDHDRDSEASSSPRYSFEHQNFDNVSGHSAASEIDLSLQEPGQHTYLNPHG ETTNRQDEPSHEDAPPPSSESPPRSRSHQQEFFGNEGGRLQAHQILEGSSGTPPPE EPUS_02765 MEPAPIPISKVTSFSQLDFQPRPRGPMVDDNTYFRVTSSFLLGV STSAWVTEKYVIAPFWPSNLEDTPFGPTLASQPQDWRGLTTAFQAELVCEPLSLDTVR NVSRPYTYYIDDKPYHSQANFPGVRLKSPGDCIVEYDGGNIHQSGTSVNSSLAGGGGW WANLSDIIPENTWKDGEITSLTNSTYEPRSGSGCGPRQYHHDTESASVEYGQRFLVYN SAPSSEVLFDETAFRQDRQSFSLEDLGIPDFQTSFLSSNWNEKMRSPDQTNFFLPAAG PLALLAARYKTDAEKLRASSSLVRDAQQLHHRFFAERVQSVMKNLSTSSSRFEGLETR VYSRIRINPAIGIAISTLLLSIMVAMPIIFIHSRLTRRPLDLYRDPGSAGSVASIIAS KSLETRKVFESADRRSANWLNLELKFKRFALDRSQLVLLEDGTNSLHGRRTTAWPKRT KVWVEKMKACLRKKTRLKTPEVKDWRPLAVKGWGLISLSFSIAAVLALMIALNRVSSS HGLYREAFVQPWKISIGTQHSFSLAPYSIIPTVIAVAIKLWWGALEMVLKRLQPYISM TAKPRPPFQGLTLSYIASPLIYSAGKAAKNRHWMLALICTGAAFTEIFTVGMSALCHE NPRRVSRVENATRAYELRTVPQYYSYRGKPRGARMSYPAEVQVIKHVYGNLLTNWIYG ATLQLTLNDLKPIWTAEDWSFVPVNLTEFSGVDESTKSEGGISDQSPLSGPLHNITLD TPALRARLQCSPYTEILNQSNWLIRHDLTNTSEWNPTDHPGGPKVGYELTDSGFRAGS TYLRLADDNYFATFYARGNQLTCCANQTSDGPGRAAVGYWSKLGTRSASTPTSNISVM WLVGYPIEGLYQRTSKATGSTSSHWIWSEPPKLTGINCLPLVESADAEVTIDPVTSIV QSHNITGEPRNFTAAWQDKYITHNRSTGTDAGPPPESIDYIPQGNTTVSFGWVFLDAL MGSATVSSNIPDPTIGFRGAEDLSDRTFNFRMKGYNLDFMSYSMLNQAQNNVDVLSDP SNLARLASNAFSVFFQHFVAANVSDASASGGWAFQKIGARLPADLGCSVGYLDDPECR DSPAPPPDQNTNRTARLQVDRRVRSIEFGEVAVWLCVSILAFLGGATMLVFWLSRAHF KNLPRDVDIVASVLGFVYESWGLLKWVRGGGLEGAKDRGPLVQMGPFTGADGTERWGI EIVGSGAETGADEGVHQGGGEQEEIQHGDPDEEHVEDYGQAEEQAGAAFPLMTIHPLT PAPP EPUS_02766 MEKGRAPSPARQPPTSGFEIVGEEVLLEEQSFSWYTSDTFCPVN IGDILQSKYQVIGKLGYGSVSTAWLCRDLINHEDVTLKAYVSAHRQARNEFNVMNHIS HWSKRKSGSILVRTMRETFQVLGKKGPHQCLIHDPLGLTASQIQEMFGGKIPGSMLKA MVHYLLLALEFLHAEAHVVHTDIQGGNLMLGLKNDSVLRDFEDEEWEEPSARKFDGDY VIYASRELDIPDDTGPLILCDSGDAHYGENEYVGEVMPDLYRAPEIVLGIPWNEKIDI WSVGVMASHRSASTRIAFLLASLLISIQIWDLFEGKRLFTERLPNREESEAAHLARMV ALLGPPPPDLLKRGSKSGRYFDDRGNFIAKTKIPMGSLEDEEENLGGENRAIFIRFLR KMLQWRPEDRKTARELLQDPWFKQPE EPUS_02767 MSFPVTTLSLLRTAVGLSSLILPAQTSRLFYIPPSDSSILARLF GSRDLVLGLYLYLSRTDDSKTRRTALLLGMTVDAIDVLSTVACVLQGELGWEAATAAG GGAIAAIGLGWWGLSK EPUS_02768 MPFRRHREGGDVCAIYVHAGAGFHSYANEKHHLMACADAASVSM ALLKNGGSALDAVEMAIKVFEDREITNAGYGSNLCMDGTVECDASVVDHYGRSGAAGA VSMVKNPIGLARLILEESTRPLSLQRVPPNLLVGQGAIDYANEKGLLTVFNDHMVSRA AKERWNKWKRDLDLADEQDKQKSALGKFPDQRFTNHAPIISSSQVSTPVSPASIQSAA ETPHIKSPSRPLVASTADIPDTYFDAHTKNHARYLGVPGPSLSDPIRRVELERHPNID GNRATAAYDIATDDNHPWASKRRRLSKDGSFDNAQSPLGRLAELDSSKLDMSLAIQPT SDLQPSKHNEDHVVDTVGAIAVDCFGNIAAGSSSGGIGMKHRGRCGPAALVGIGTAVI PSDAEDPDETSIATVTSGTGEHMATTLAAATCADRIYSSVRKARGGGLQSCTEDEAIY SVIDKEFMGHPGVKNSHCPGAIGILAVKKTRHGIMLYFAHNTDSFAVASMQSGDRKPS CVMSRSNGFGSIAQGGRLAKYRGNGVRH EPUS_02769 MASQTPAVVMDKYVFQSLVRTLGQTESNSVAYANPGFAGNDSPS FVFPTAIATKGSAAGGSGSGSGRPAVANKPSFLTGGAGPGSQLSGKRGTEDLDFYIGD EALAAGAGPGYGIHYPVRHGQVENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPLNP PENRENTAEIMFESFNCAGLYIAVQAVLALAASWTSARVSDRSLTGTVIDSGDGVTHV IPVAEGYVIGSSIKSIPIAGRDITYFVQSLLRDRGEADSSLKTAERVKEEYCYVCPDI VKEFARFDKEPDRFLKHTVTQPNGRTVQIDVGYERFLAPEIFFNPEIYSSDFLTPLPN VVDGVIQSSPIDVRRGLYKNIVLSGGSTLYQAFGRRLQRDIRHLVEARIRASEARSGG ARSGGLDVQVVTHKRQRHGPWFGGSLLGQTPEFRSYCHTKAEYDEFGPSIVRRFALLG GPGST EPUS_02770 MKQRQAIADRKRLKIGHVSPQLREGSNEEVLHFEVQALLDKAAK DASGSDSVNGDVSAQEINGTDVEVPERFSEIELDIVELSSTGDGLALSPSGQLVYIVP FTVPGDRVLARVIEHHKFRPYARTDFIKVINPSAKRNDGGIGCKYFSTCSGCQLQMLS YDDQLAHKKSILEKAYKNFSGLDPSLVPPISDTYGSPLEYNYRTKLTPHFDGPKGPKG QRKFTEVPSIGFTMKGRKKVMEIEDCPIGSPIVREGLIRERAKVAANIHKYKQGATIL VRESTQRTPKTTSAPYAIKGDTEHLSSAAKSAPITTDPETGRPVIHKEFPTYIEEKTY ISDMNENSVEYIDDWKFTSRAGAFFQNNNSILSKFTAYVRGHALPPAPKLGEPAVKYL LDAYCGSGLFAVTLSSLFKSTLGVDIDATAITAARANATDNNLSNIGFIEADASALFA GVPFPPAETVVVIDPPRKGCSPDFLKQLLGFGPKRVVYVSCNVHSQARDVGVLVQGNG KVRYEIESLRGFDFFPQTGHVEGVGFLNRVEVQKV EPUS_02771 MAANRSDFHEGAPAKRQKTSNGNTDPRNNPYLQHMYPDEGTEKT SNGYDTPPRRMNGLAGSGPLARFQRHGTTAALARSAEDGKANPFTGQPFSERYFSILQ TRRDLPVHSQRDEFLQMYQKCQILVFVGETGSGKTTQIPQFVLYDDLPQLNRKLVACT QPRRVAAMSVAQRVAQEMDVKMGEEVGYSIRFEDMTSQKTILKYMTDGMLLREAMNDH DLSRYSTIILDEAHERTLATDILMGLLKEVVVRRPDLKLIIMSATLDAQKFQRYFNDA PLLAVPGRTHPVEIFYTPEPERDYVEAALRTVLQIHATEPEGDILLFLTGEEEIEDAV RKISLEADEMVREADAGPMKVYPLYGTLPPAQQQKIFEKAPGPRKAGGRPGRKCIVST NIAETSLTIDGIVYVVDPGFSKQKVYNPRIRVESLLVSPISKASAQQRAGRAGRTRPG KCFRLYTEGAFKKELIEQTYPEVLRSNLSSTVLELKKLGIDDLVHFDLMDPPAPETLM RALEELNYLACLDDDGELTHLGRLASEFPLDPALAVMLISSPEFYCSNEILKHTVFIL TAVKWYP EPUS_02772 MEREELELMSTPFDDKKYYENIRRALVSGFFMQVAKKEANGKTY TTVKDNQTVLLHPSTVLGQDSEWVVYNEFVLTTKNYVRTVTGVRGEWLLDIAPNYYDI STFPKGEVKTALIRTQERMQRRQKMKGGR EPUS_02773 MQTVWSRSIRPQNTRCIPCSTQIPSTLSRRTTTGIFRKQLNAGD AFTLLLGPVLGGAFVADAKAKDKRRRQWDEKIAAAQAEVEEMRRNEVKPYSSTRRNLR RLPALSRSYSSASAIRLTVTDEEDSIEAVDYSSTLGPDQQDLLSTPIAQSHDGGPGHE FSEGLNESNLVQKSIDKCKRLQRLVAIKLAIRMILHIHIGKSPRYICNSADYVYEPGH LPQNANELIRHLKRVRNSLRLMNSEDLRSSWRAYQILTRRDTCKLDQDICDLAGQLRR GEISVAQLVEQFAAKVLSSPESPTVRGYIPLLSALSQARFDELGFMIDGTMIEARLPY DRHAVFILLWQYGKNKESHYFDRLLKKLTTDSAKAQFGEQWEWRTINHTLIPVPPSRD PQILQILIYTALKCNQPHRAEAWSTMLGYARTGNMWLSHVIRNFLKYYSVHKNWHKGQ TWMETALDQAEILAGQGVRHLQRIVFAMLDCCASHGKRSLYRDILRAAVQCRLGVYSA DLGLALPQRSADILREWQSYHELVYNGETEALSSVQKARMFARKLGHIRKLGPEEKNA SNRARPVDDKEEAGRGGNTRSSAEGLSELLVDVDTHPEEGSATSNSAHDEAEAAPWKE LCRQQQTQLDSLRRQLEALRSGQDLDPASMGREHDKHPSRTEEKSTKVFQGIAFRAPD SQIFPKTEGELNITASMKVPSLAWRPISSTSRSKNIQNESNNISSLPLPSQKKEKNQL LSKNSPRDVTSKKAAAIFTVPKTLASLQKETEVSPAGPTRPKPTLRFHPPRAKRTGSQ LQELRQQKLARSPDTQAPITPAPLSSESEEEEKKKIAPPHATHPEAPTAPSTPVPTQA GEEKTAPPITPTTLQPPSLLPPYRILRIQESGSRPEEAENMPTARRDGILRLDLGVEG RGEALL EPUS_02774 MVGKRSLTNVFKPDSPPNGDIEHGLQKPKFARFRDVVDMVTAQN QRKKIKNQLTEGVDRMQLEKYRKSDEDLKGIKSKRVRNFYKSQNERLDAWLEVDTLVM TMAEDILESMNPDRDRDGIPEQDGALQGVGGRLQELLPKEAREERLQGEKHAKWAINV NVIANILLLAAKCMAASYSSSLSLIASLIDSALDLLCTVIVWTTNRLVQWQISRLSRQ FPVGRRRLEPIGILVFSVIMVIAFVQVLQESVEKLLPGGEKKAAALPLIAVGAMGGTV IVKGIIWFGCIRIKTTQVQALAQDCKTDVVFNTLSLLFPLLGHRLNVWWLDPVGAAIL SLFIIYDWTATSFENVTRLSGSAVSKAIQQKFTFLAYRFAPVVDGIKSITAYHAGDKA WVEYDILLPEKMPLASAHDIAETLQYCCEGLDEVDRAFVTTDYASHGPTGHATDHLGI GN EPUS_02775 MSLQRRYITVDEELGKKDDDHREGRRSAMSSPTTTNFTWRGPRR KRILLAIAVFSILYIFFKNLPKDAATATRRQGLKSPTVGSSRQAPSAPKGPPPRSDSS VDERRYFEGPIKYYRLSASFGAGLGPVHDNRNVLFVVSNLKSASRILPLACDMSKQNK NRIHLMLTGRDDIAIEDIQKINGVTEADCSIRWHDGRPDFSVYSTDYRMEVSVRASLG HITSVMKPRVVLVDSPEREDTFFTTGIKDKTRDLGLPLIELPVNAAEQLQWISKLDGS SLKAWNEINIEILIHSPPESSGSLIRLLRSLESADYFGFPHPRLTIELPNNMDPPTSQ FLSSFRWPPWSHRGNSKLTLRHRLLSNHLDATQASIRQLESFYPSNVPQSNVLVLSPQ VELSPLYFHYLVYTLLDYKHSNTALHTSEGIMGISLERPFTTLSGKDSLSIPEEASSK PLFRYQAPNSNAALYFGDKWVELHSFLSNRFAVDKDLHKDSDAAYPISQAYPSWLNYV LELARARGYTMLYPTLSSLEAALATIHTELYHPPEEHSDHTTPTMAETPPSKETPLTA SSEPIEITHGPRFEPPVASGSLLHLLNPKLSSSDSGGPLPQLSDLPILLYTGEYLPQG QVSLNQAAFSYAEDFSRDRGGCNTAGSSGGEEESEKKKKQQTRPTRKPWSADDLFCLE EGEMEGSLGVGRMPPSTQETEGAAQEEDGGEEHAGGPVDVDKDG EPUS_02776 MATVVVQQHQVPLRQSPTPPPLGAALTLNIPRTSTPVPNKHIPY CPAGSAPPSSQTITPPNSPQAKSSTAQPRSVLHPATSHTKLLNTPPVFGISAKTLSLA LEQISTTPLPDPHQVFPWLHGLHAENQIQLAFFVAKKKWLRRTPKCLRAITIVKAGGD LSVSRLKGSVAPDEILSLCDNNDKGFLECDPREGFSVRNFHIQAAKIARVSDIIVYGD QTTDQRIIKSVAERASIVQRRWRKELESSGQCLEHFNTFVLTTPFEEVEREHPELIAV DSAGKPTAQSMDFLQWERDEMCEMSKASEFTQGVYQGPTPDWNSVAENHSGNIFDVFI ETGDQAQVPDDECLSSRLQQLESSDSVHVDFPSSGSILPPSWSTAEVDGLLCMCRWIY DLTHPDNITSISTSTRQQSPKDQDGDIQMTSFAPPEPRKVLIHCADGYTESTLLSLAY YMYAEGVPVHAAWLQMHCERKRNFFAYPCDVALLTSIQERILSESPARRGRLIAQKGS DDPPTWLSKMDGSLPSRILPYMYLGNLTHANNPELLRSMGISRILSIGEPVSWDQKEK DRWGAENLVLVDKVQDNGIDPLTDEFERCLEFIGQGKKDNTATLVHCRVGVSRSATIC IAEVMASMGLSFPRAYCFVRARRLNVIIQPHLRFVYELLKWDEHLQLQRAGNEKGEGK DRSRGIKREMEWQSVCREIACMNRPYARS EPUS_02777 MANHDEISAMASSNLLPSDSSSSAPGMKLEKNAGLIMATNNSSI VSKRSVERLYYPKPHFFQYFVRKPQRRSPTINRGYWLRMQAIDSVVKAFLQRQSTQRK VVINLGCGYDPLPFQWLAREPGLCRNVLFVDVDYMELMITKTNIIKETSVLVDLVKPN HGSMQNFVMDSEQYYAVGCDLRDLQSLDLAIRSLDVTFGLLEQCLPDGPDHPFAKTML GHFQKLHTPLRSVHKYPDSHTQTQRFHDAGWDRVDLVNLWELWSDQSFLAPSQRLQLD SIEPFDEWEEFALFAAHYFLLTARTKRVLQEKPGGADEEARSNVSTRTASASDQPRGT YGLEFVENPKLKGRRRSGALLRLPVGPGYTNTAWVHHGGMISTGRTSSSDVYGLPKTR VDRAHLPPQRISARQCHTITRLINGYSILIGGRTSPSAAMQDCYLQTETGWDSVQDLP TPRFRHSATAVMLPNSIPGLLVFGGKSASNQVEHDVLLWDRATGWRTLPVFGSRPQPR FGTICVALGDDFGVISGGMRADGVVLQDSWRWTFVYRDRSVLAIAFAPCSLKIDPGAK LFFGRFGASYSLAPGQLLLIGGIASSGCIQNAYEVLSLDVSNFTGADARNELELHVSK VDVRRGSNDPKPMLVGNSVVDRAGTEVLIVGGGAVCFSFGTYWNPGFYILYESTAPAC LDWALVDCSTDPPLQNSLSHVKSQQDQQTAVERIPSGTVADFLGLVKQSQPRILEGLD FGPCRTLWTKEYLSRKVGTDRQVVVHEADGRSMNFQRKDFTYVTKSFGVFLDEAYNGG HQYLRSISASDPSKKVANLDLDFPEIAQDFGLPPELRLAADTYHSSPLRITGDVAMWL HVDIMANMLCQIQGSKRLILFPPADMVQLDFPPGSTTSNLEIFKDGDPNDIVPIAGTH PHEVILRPGDVLFIPPLWAHTAAPLQKVSIAVNVFFRNLTKGYAAGKDVYGNRDLEAY ENGRRDVEKITRAFDGIPPDLAHAYLLRLAHELQSKAERYAPSRV EPUS_02778 MAEEHHIENFDPTSFFVLHDFNSDGHWDAREVRLFYGFDSDPSP GFETTEEHKEHAIRDVFALFDPDETGTISKEQWMKHYSEGRRLPDFGLGPGHHGDDEY EYEIHHFEKFHGEDTKEEDLTHPEDIAHFKKHDRLEDEQEKLAALEKMSIVEGNIPLK FRKQQ EPUS_02779 MPTFRAQPPVKSSTQHNNPLQKAFYTFLHHYISNQDTAQEASND FGSLLSSMPKRYTLYPPLLLLPTNIFSTTPTWTAFISQLSSGDLQIFYKFIIEAFKHQ GVTHIAINAPISSLTPHGISNTTRIPSGLVPLSGDFGPRSLLSSDNDPSAQPLEADFS AAFWVHTTQNGGIFQTWAPLWTMFSRGNISEKARILSKGTFDGLDGADGMLQQDLREI AVVDMYVGIGYFAFSYLKRGIGRVFGWEVNGWSVEGLRRGCEGNGWAVRVLNVGDEGL VRDGEGRFGQDALEVLVAELGQDSKLRMVVFQGDNQWAGEILTKIKALSGRMVESGDS CSWLDVRHINLGLLPTSRMSWNDAIDMLDQKKGGWIHVHENVDIWDIEGKRESVLLHF RSQLGDTKAASCSHVEQVKTYAPGVMHCVFDIHIEPKPISPGVMGNG EPUS_02780 MRHYGIATAVALLGWSGLSSAANPQPVQVPVSTEWFGYDGTWSP VNIRVGSPQQWVSSFPSTLSHDIWVIGASGCDETTACVSKRGGIFKANESSTWTSIGP YALNFQPALGVTGSADYGLDTIAIGDAISVPDQIVGVMNSTEFLLGSLGLGIIPSNFS SNKNQATFLTSMVENQSAIPSYSYGYTAGAYYRLKGVPASLTLGGVDLNRFVPNDVSF NMTSDFEPVVSINAISVSSNPLSTSATTPNWTQETFSLLQSSQAELFTIDSSTPFLWL PGKVCDAFAMAFNLTYNETLQLYLYGNGTDPSVLSDWNMTFTFTLADLPESPNTVDIT LPYNAFNQQLSFPFPALNTTFSSPAVNYFPLRRASNNTQFTIGRVFLQESYLAVDYER NNFSISQAKFANDAVTRVNLASITRPQDSIFAGPPRDAAGGLSTGAKAGIGIGIGLSV VAAIGLLLLLYFRRKRSNASESPPEKKGLFKRKRKDGSKGPAATASELLADKRHPLEV PADKSACRFELQGNTPVEMPAEVPSSYFAADKARNATALAELGNRDSISRNSIPRHLN RSSQSPSLPPYCPAQVGGRSGSNVSPSSTLFNPTGLGSGTQSSGISGISPHEPSPTNH SRKNSCALETGASRSQPLSPHEPSPLIQPTQLNNSASQSHLALPQPRGGEPAVPRRSV SRGSRFREEGISAEPQPPQQAAQRFSWQDDR EPUS_02781 MRVRLCFCGCALALSNIAIVTAGQQAGTSPLAPKARHQTLTPVL ARRFPRDESDSPSSGSSITPLSPPYTNSSTLSSTLSSTLSSTLSSTLSSTLSSTLSST LSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLS STLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSST LSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLSSTLS STLSSTLPSTLSSTISATSSSTLSSAISSTLSATVTSTTSLTASTVSDSSNTNDTTSL TPTSSQPTDTSYTTSQNFSTTGSYSEDGVSTMAPKPNITLSDSFNSNSTNLPSSLPSS TNDTITASDCSGVITLQSTPVEIVTETLTESFTVTVTATDQTITTPPIETPLPPCVTR FSTLLSISSSGDQGNNNNNNSAKQGTETFTEADFTSTSALSVAPPPGQTLPTPQWQTP PGKTPPTPRPTTQGKPSPGKTPPPPEQSRPPFTSTIYVTSRIPVPYTPIPIGPQFQRP PDPHGITDPTPTAPPDFSPNKENDNNNNNGQDNNNPTNENNNNNGQNDNNQNDNNRND NNRNDNNQNDNNQNDNSQNDNNQDGGNSSPGIGGIIASIINQSWMPRPAPTNPTNNEN PSSPAPGSSAGKQNPENPAPVNPGAVISNIMKMNTALAPVSPPAPTAPPGQSGVPGQS GQSGQPDQSNPNIPIVIYPSDVVIGNQVVPIPPPSGQPKVVVVNGQSYTVAASEVVGP QTIVPLPNASGSSQILPAPVVIGGVPVVVDGTSHAIISGQTYAIGSSAEPTTIVVNGQ TISIGSNGVGFASTTLAGSEFRSTAIAGVSIGVGASAVVISGTTYNIGPTATPTTVVV NGQTVSIGSGGVGFASITLQGSSFTTTVVGGLTLGIEPSQVVISGTTYQIGPSATPTT IVVNGETISIGPSGAGFASTTLRGSSLTTTAVGGITLGIGASQVVISGTTYSIGPSAT ATTIVVNGETISIGPSGIGFASTTLQGSGLTTTTAVGGITIGIGASQVVISGTTYNIG PSATPTTIVVNGETISIGPSGIGFASTTISAAGATATSTARRTSASTLPSRTGAESSS STALSGGPPPTGTVLGSITPLLLAGVIALFLL EPUS_02782 MKVPITLPIRYRAPPSIENLIQIQNGTFYRQHPGGLQESNTPLF PNLYFSLPAFPLRRDSETGKQQQHWAVVGASGGSTFLEILRGTHICLPPNARTFPYLS SEDIEAKDYRLRSPTWAIQYVGFTSGKGQGLVGGIRGSYLSARYESRREETDWSVLQY LKGEMELNPSKELHYEDASFNALLSQVIKDLRLEQLTSLPVSNLSNGQTRRARIAKAL LGRPEVLLLDEPFMGLDPPTLVSLSPILRGLAYKSSPRLIMSLRPQDPIPDWITHLAV LGHQDTLALAGPKKEVLFAVHRWANAHKGPQNGTAAKMAALMTNRHGPPPLDFGYTLS ATGVSRDVTNSQIVSSKTPTYISATDELVPEHLSVAYRLVWQKAAGKPREKADLDDLL SLTCLLPAEFNRQDDVPAPSNESFSISEDPRRGQHNSGFPVSNFPMRPHPELGKSLIE LKNVIVSYGSKTVLGYGVQSGFQVPGLNLDIRQGTRLALLGPNGSGKTTLLSLLTSDH PQSYSLPIKYFGRSRLPSPGQPGVSLWEIQSRIGHSSPEIHAFFPKGLTIRRSLESAW AETFAAKPEPTNSSKALVDAFLRWWEPELNPCHQPLPPLEAPAIPIDDSVSSSYPSFK HSSQTANELEWASLPLNTFGSLSFQSQRLLLLLRAIIKTPDIVILDEAFSGFSPEVRD KAMRFLGAGENSVPHQHQAATYRTCMDDGDGESSRWPLRDDRTRVETICHTTRVTPNE PIVGKEGMTHEKKKRVDRLRRKTKSELTAEGDLADDSVEYAFHGLSNEQALIVVSHVR EEIPDLVNEYIRLPGEEEVSEQRRGIEMVVATGVLACKSEVIALPTIAGSDSIFLGDS NGDVRILSRALRVVRLFHAADPSSHGSITHLKQIDATSLLVTICEDLSSDPVLKVWAL DKEEKKTKGPKCLCTIGVQNGRRQFPVSAFVALEDLTQVAVGFANGAVTVIRGDLIHD RGTKQRIVFESEEPITGLAIRESPMTILYIATTGRISNLVISGKGQGQPPRTVDSQGC AVRCMSVDKETGDIIIARDDAVYYYGPNGRGPSFAFDGPKKMITTFKDYVGLVCPPKV AQVSKSNTYRRLGGSGMGDLFSTSSFSLLETDLRYIAHTESLSTELYRYEEKTLQQKL EILYQRNLYILAINLAQKAGVDTATQNVIFRKYGDYLYQKGDYDTAMQQYLRAIDNTE PSQILRKFLDTQRIHNLIEYLEELHEHDKATADHTTLLLNCYAKLKDTEKLDAFIRAP GELKFDLETAISMCRQGGYFEQAAYLATKHGENSLVVDILIEDSKKYPEALAYIWQLE PLAAYPNLMKYSRVLLEHCPDEATQVFIDYYTGNYRPKEEVTATQEPQPQNQASAFQN LSALLPLPYMNRSAVASPAPEAQQQTTTADTKLASNADTPTPEYPIPRPRTAFSSFIP HPSHFIRFLEALTSQPNISSTDKTDLYTTLFEMYLEAANSESTTSSEREAWQHKAKAL ITSQPQISTQSSDQPLSFIPTSSVLLLSSLSNFPTGTTLVRERANLYTDILRSHTTAK DTSGAISALRRYGPEDPSLYPIALSYFSSSTTILSQPGVKEELQSVLRKIDQDSLMAP LQVVKVLSQGGAVSMGMVKSYLSDNISRERKEIRNNRTLIESYRKESAAKISELEDLG SKPIVFQARRCSACGGQLDLPTVHFACKHSFHQRCLNTGSVSQAAQADATAEGRAECP VCKPQNDTIKAHRRAQVESADQHELFKAALERSQERFETVAEFFGRGVMNLNSVGNGP GAGGG EPUS_02783 MGSFAMKPDISVVETTAPVPIPSSELPASSSPSITLVPATLLER YQCWHINSHSWRGPLSTEQYISREAFLEHQLLTREGKITYWILTDTFLSVGADGARPI LAACETLRKEGYLGRNGNLRKLVTHGVGSVFCRKEYRGRGYASRMMTELGKSLETWQQ EKGTKASFSVLWSDIGRSFYAAHGWKAMSNTRISLPVVSGQNSLQTQNKPDCSGVRDL NAQDLRDRICPKAIAILETNLRLRSEHRPNIPHIAIRPDYDHMEWQHARENFQAKTLF DRDPNIKGAEDLATGCALIWCRVWGEVPQNNKLHILHTVIPTDVKGDAISSIAALLLR AQMEAKTWDMHGGVELWSPTPDVVNAAQSLAGIERVQTTIRDKESVCSLRWIGGEGEE VEWVADERYCWC EPUS_02784 MYRGPGFKQFHPVLGSMAGHQEICDEWDARQLKRRHGSGQANII FRSRTGIRDADFDSVNAVNNQNGGTTSLSDRNSYQLDRKQEQHCLEEVLMVFPQVQHD FVRSLFKERHCGNTGMLVESRAADSHIEAAIIAAIAEMESFPLQKDLKRKHSPTTQEN DDVTVRWNKDIQKNEAYYKEALILLAENFNRVPTHFIYRTLREKGNLYDAFHLLAEYE NTSNDSSRKPYSRTKLARRVLEKKYQRNCEPEGHQYVSIVNELQAARQQQHRQEVRRK RQKADEEAEAQNFKLHQLQGSLVDCQCCFNEAPLNRMTHCENDDTHFFCNKCIELRAS ELIGAQKYELSCMDTSGCGSELSKEALARALPTKVFNKLAEIQQLAEIKAAGLDGLEQ CPFCEYQAVCPPVDTHTTFECLNPDCEKVSCRKCNGESHVPISCEEAKKDRGLSARHA VEEARSEAMMRTCPRCKVKIVKSAGCNKIVCSSCRAVSCYVCRKDITGKNYEHFGSGS ARCPVQDQSPEDRHQQEADKAEKAAIAAAKAQDAGINEEDLRIEAHLNKGASREQEIP GRLGLHAALHLPALPQFHLMGVPQHHLLVGDQGVGPLIAEHEMNNFHQLLQQAHQQQH RVREMLEIQQQHLRRLQPFLPTPMAAPVPNPPRADRVVVPPIRRAGQYLQYAYPRPAV GAAPAQAPLNALNGEVNAGLADRAQDVFQQDLRYTDRRNHNHHLQRVGDDFPGYPQTP LLQDDLRNLPLWAQHPNDGPNLPDLGSTQAQEQPNQA EPUS_02785 MVAPPANPLITSNLLSTQSSLIQTLCSFLTVSIHHLLYLRSIYP RASFLSTRAYNFPARQNRHPAVCGWINCAVDAVRDQFEKNTVEKVAVCIFDVEKNVVL EKWVFDLGTFPVIEKVDRDTPFEKPAGGNGGLQVSLQNRINIADLEAQFRAVLSRIAS ASVRLHPLPKNAECSFTVSIEIRDDADRPVGRLEKEERKWIAAEPEPWNPSENQHAQS QGDSEAGSRVQSGLDALAERGKTVPIRRLEAGELRMEVWVEESKAKFARGSTTSTQS EPUS_02786 MEQEVIQLLQSTQAREDNTRKSAELNLLRLYTNPELPFALLSIS THNDVAEHIRQAALLTVNRVVLATWSPKFDDDFKGRIVLDEEAKAKVRHQVLAISTGD DGGQGGNRKVRNAASLVASKIAGVDFPDSWPDLLPQLLRILSGSTSDLQVHGALRVLT DLVESGFSEEQFFAVARDLVTGLHNVATNMQRKPILRALAISVFRACFDTLEIVMEDH KAAVKAFLDQALQGWMAFFIDTLKIRLPPTPSEDEEKQEEGAPSQWRGLIALKLQVVK TLVKIRGVFPAILTPHSVVLFQTAWEELSQLRPVYHELFINDERQGRLEDADGLPYTL DFLVSDEIDLMENLLKAPPVKAELHGQLKQASDGPQSVGWLQEILHLAVSYAQITTEE EGLWEIDVNLFLSEETALTANYTPRVACGALIVSGLVEWLKEVPVEALLVTCKGLFAE PNTSWKAKEAALFILNQMLRDFTELDRKISSDTALGFEEFIDQSQQDSSVYLRARAFA VAGLLARTAGESYTQKATAYLNAAIQTLAAAEAPDLLRVSCIRAVQDLIEALPTILTK PLQTPLIESVSSYMSSQDLNDPEVDDLKVSLIETLRDVIMVDVTCAYTSPAVDLLFTL ASHGAANFQISLLVTETFESIVSSVTELGHEPYVSLCGKTIPSLTGAFDVGSMTQESA LTNLAAELVSALAEYGSAPLPDGFVAAVMPKLNRVLLESSDPELVRPSTLAVKHMLSH GTSQFLGWNDPATGKNAVEITLIIIDRLLNSSLVDDNAAAEVGGLAAELVERAGGEKL GPFLLQLLRAVALRLATAEKAQFIQSLILVFARLSISSPQDVVDFLSQVDIHGENGLN VVLAKWLENSVNFAGYEAIRQNVVALSKLYSLEDPRIGQIGVKGDLIVQESGRIKTRS RAKAQPDQWTTIPANLKILKVLVEELTSASANSFNPSAAAAALDSEGSEDGDEWEDVG AGSTGVLDLGLGMTKQELMAYDEEGSPTNSRQRDDETSDYLMGWFREQAQKPEFGEMF NALNPEEKDRLQRVGG EPUS_02787 MVEEGPEEQDHQGGEDEDEDEDEDEDEDEDEDEVEVEDEDGDNE QEDRRRERDTTPGSTHAQDRDDLGEQNEKHKQQQLQEQREGKGKWKKDLGSNSEQAIK ADRGEADASDDTIKKMQQETVQAAQSEKK EPUS_02788 MTDAHPNSIHEQSDHHLASGTDLRTASSSPGHAATSYSGVRDSI ELQTLLRKAHEDESCNPITQGGDSSSEASSEASSDAGNDAGYHTGSLSRVASLSKSYT VNEEKAVIRKSDRRLVLFMALLYLLSFLDRSNIGNARIAGLETSLSLRPGQYAWLLTA FYITYISFEWMTLLYRIVPPHIYISLCVLSWGLVASLQSISTSFSSLVIFRALLGVAE AAFGPGVPFYLSFFYRRSELAYRVGLFISAAPLATSCASTLAWLIVKLSQHGPLEPWR ALFLIEGFPSIIVAIFAWHIIPDSPATAKYLTARERKVAKLRLQSEEKPTSSSKYTTT ATNSNSTQANHLSLPDLLTTLRSPIPYLTSLMFFSANISFSSLPVFLPTILTSLSSHP SPLTSQLLSAPPYLLSFLFVLLISHLSDTIPHSRGVFIITASLLSSLSYLAIGLAGRY HALLGPTATLLIRYGGVFGAAMGFFSAITLIITWTLNNQQSDTGKGTGLAILNVVGQC GPLVGTRLYPESDAPFFVRGMLREREGEGELGSAYTGEDVEMVGLGGGDEEEEADGEE TSRHLMGRKGKRRKRKDGKESRGGQSFVYML EPUS_02789 MSTGNASKITDWVDPNDKSGEFKRGRSAFRNFISREPGAEFPPE KDRYHLYVSYACPWAHRTLIVRKLKGLEDIIPYTSVHWHMLEKGWRFAEPDEKVPGDN VTKDPLHPEYTHLRQIYFQVEPQYAGRFTVPTLYDKKKETIVSNESSEIIRMFYTEFD DLVSEKFKNVDLYPENLREEIDATNEWTYDNINNGVYKSGFATTQEAYEKAVIPLFEA LDKAEAHLAKGPGPYYYGENITEADIRLYTTIVRFDVVYVQHFKTNIRDIRSGYPHLH KWLRKLYWDVPAFGETTQFEHIKNHYTKSHRQINPPSITPVGPHPNILKKDEEVPAVE AALKAAGR EPUS_02790 MMLLTTTSNSPRARAVQFFLRWRAFQAFLVILIFLNLLAFLPPR TYATNFEQEAQTRPSNLSAAHEARQHIGRVFIASLLKDNEDLLKGGWSDAVVNLTRAL GPENVWVSIHESGSRDGTKRELQVLDLKLSALGVGHKINMGDIGQEMDDIIPEDGPGW ITAEGRRLMRRIPWLAGLRNKSIEPLTDLVANNVTFDKILFLNDIIFTSDDALELLGT RDGDYAAACGFDYSRPWPTAAFYDQFATRDSSGQELISLYHPYFAEGRSRDAMLQGSL VPAKSCWSGIAAFDAAPFQNLQHPLRFRAVNDSLAEYFLEASECCLIHYDNPLSIFKG VWMNPKVRVGYNGERYDAVHRPQNWPSTTETTLGLVTRVFARILQLTPRPKLIDQRYD EWAEKSMYHTEIGSDCLVNKMMVVSGKGQWSAVRESS EPUS_02791 MSWKFANYHNEPPSELPNDFTISTKAKTDLWEKPPSTHSFSAPI LYQKIQLSSFKKARVAVSADWKELYDQGGICIIINKADGSKKWLKAGIEYVENAPHVS VVATDRWSDWSLRPMPSEGGTGATIEMAREKDGSLWVYLLEGVRRSPLREVTWFFEGD GKEDCWVGVYAAKPGGSADLEVGFSHLVIETD EPUS_02792 MLLERDPDSEKSGYTANSYLAVLHEQMPRLYEPGRKFMQDNARI HTAKKIINWLQEEGIELMEWPAYSPDLNLIEHLWAQLKQWINDHHPELINMGKSEEDY QRLFRAIYEGWDAIGEEAVANLIKSMDSRVNAVIAAKGWYTRF EPUS_02793 MAGPPYLHPEGVTVYYTEDAYLSRVLIFYWPVTTAGSSISSRHR ITIFTKIGHASFPPFQVSPQSSYYGAVAQLSDERQRDETYRVMVFSLAKYFDTLPAAS KENGYDSGGRTLFDDRHAGKIANKLCKVTNPIRVLEDVQSFYSSSSKLQQTSSRPSSS SRSTSFSSEQKQAIRLAMCEVVDTEERYVSKMHELLFNIISEFREKSCTKPPSSTSPD AQALSKLFPSCLDAIFTVNRGFLDSIRHVLETTEDSAIESIASGDDEKLSSHDFLGIR AFSRVLCDWFPKFLYPYKEYLYAHTTFSALLSSLMRNHAASSFSRRVRETGEQKLRSM LMEPVQRLPRYSLLIDSMTTPLPVGEPSLKLLAKARDVVSEICAMETPVSKILDSLTI TYEEEKAYRSLSPTKFLSSLATGEDMVVMRHVPRLAVPEEERDRDREKEKERGRDRGG GGGGLRRALSRRVSPPKREQREQQMLKENLPPRVLMPTGQQKDPLLMELEMLRRENAA LRDMLDRCTCGVADSGGGGPENYAYR EPUS_02794 MGRVMQIAAFSLAEVTYAVGGSNITYQIQESVKSARFRLRTKQE NVSGVFLPQFESFTTNDAKDDFGLTGLGKGGQQVQRCRETYRRAVETLVELASLQTAF VTLDEVIKVVNRRVNAIEHVIIPRTENTIKYINSELDEMDREEFYRLKKASHFVSNKK QRDQAAADKELQRQRNKTDGGADDEPLDVLGTQEDDDVIF EPUS_02795 MAKSAPQNPDFFLISSEDTIYEQDILRNPGSVRPWLTYIDHKLR NGTIHEQAFVQERACISLPRSYKLWKMYLDFRIKHLRRKNPIKQKPEFNKVNALFERA IILLNKMPVIWEMYLSFLLRQPLVTKTRRTFDRALRALPITQHNRIWRLYKTFANSAG GDTAVKIWTRYMQIHPEDMEDFIELLIETGNYTEAVKRYIQILNNPKFQSKSAKSQFQ LWSEMVELMVSKAREIGDNTASGLDVDAIIRSGIERFADQRGKLWTGLATYWITRGDF ERARDVFEEGITTVMTVRDFTLIFDSYVEFEESIISNLMESASARAAKGVTNEDADFD LDMRMMRFEHLMDRRPFLVNDVLLRQNPNNVIEWEKRVALWNDNKVEVVQTYTDAIAA IQPKKAVGKFHELWLNYAKLYEDGGDLATARVILDKAVKVPYKSVAELAETWIGWAEM ELRNENFDRAIEIMATATRAPKRSTVDYFDETLSPQQRVHKAWKLWSFYVDLVESVAS LDETRAIYDRIFELRIATPQTVVNYANLLEEHNYYEDSFKIYERGLDLFSYPVAFELW NLYLTKAVDRRISMERLRDLFEQAVESVPPQFAKAIYLMYGNLEEERGLARHAMRIYE RATRAVSDEDRSSMFSFYITKSASNFGLTSTRPIYERAIAALPDKEAKDMCLKFAEME RRLGEIDRARAIYGHASQFADPRTQKDFWDQWEKFEVGHGNEDTFKEMLRVRRSVASQ YNTDVNYIASQAIARANASKANQANGAGDAYADHNADDNADDGRDAMAALERQARAPV GFVAASTAPQNGNQPVQPPQPAASVANPDAIEVDVDDDDDP EPUS_02796 MASEYQRPGAIPPIPSTRARRIPDEEWNTHRDKLVKLYIEEEAS QKDIIDIMTKEHNFIITKHDAVTKDTKVQYQGYVLDNDRLERASKRRKDYITTPPYFL PDLPPDLERPDGQAWSPFPEVLMSQSDLAMSPEKANATPTPTTFESMLLEGGSVGQGS TTDPTEKGEMLGANEESATIFQHSRFPPSLEVPASPLKQPALCSAPRNRLLSSGGHAL AMSTTSNENLFYVANDGQSTLEAPLGISAGLARVSLHGTDPESIIPPLQLIRSQLSMC LDVAYRESHPWGSRSSRNQLVREITSDWLLSEIDDILCWSYDISASIIRQRRAGEGNR CSGNQQNLSKKYRDGGRIPDFEDGDIWIDRSASQPRGRLIGSFKAGCRTRGTLQIQLR KVSKDVIQNHDHQSQKTRARYKLLTLLEAGCNPNVLDNDLASPSDYARRESLLPQWEW ALVHSGYMYDEDKQEWIRNVSFSDIVDMTAGE EPUS_02797 MIKKEKNTKLVPITESTSWRILKFKRPPTVEPESSSAAESHKRA HSETDALLQPSTPKKGKKKNIPTQEEEQEEEKKEEQGEKKKKKKSNNKTHDYFHSPPV LRQKKKTKNTT EPUS_02798 MVRDQTERRESGEVRYPSLSNRRRSLDEAPPTDQDALRALIEHA ERATDDPSALPAFMALVNENQTHAAAFVLTLAAVYEEEKALRLEKEAEHREVLQEKET WEERYQDLDGGTSIEIEALKDRLRDKEEIIQNLRDKITVIPKPQVRVESPSETPTERE SPP EPUS_09377 MDSLFSQVRASASKANEAERKKIIDGLRDLAFSIESPEDTMQRI MFQHLQIAGARIAIDLNLFNMLATSSPLTTRQLAQAKGANEALMASVGMIKETGQDTF AATNITQTLATPGWQAGVRHYFDNCGPVFQKLPEFLAKTKYQAITDNAKCVLQPAFNI NVPAFIWLQQNPARFATFQQYMMQQREGMPTWLTVYPVSRETKEWDPDRPVFVDVGGG LGHQCAALKAKYPDLRGRVIVQDLPNAIEAALSTPGVQNMVHDFFSPQPVKGAKYYYM RNILHDYPDDKCLVILKYLIAAMSKESVLLIDDMVLPNSKVHWQAAQLDITLMASLAS VERTKAQWLTLLDRAGLKVVNIYTYTVSLQDSIIAAVPKQVSISKALPDKGETKKLDY QSKVRP EPUS_09378 MHSTSPPKYDYVPVSDDEEKIPRAWSLRESHAQFTWSRWMFLVL FATSSFFLGGLAGFGAGKASMLDVSYDVPLQPLSIAFRSNRTFLQRPSNESNAIWSSL YPGNHGFFNYPDKNPRRSTFAGFHQLHCVTVLGIVPHSLTRFSYKQDTLRRGFYQVWD AKTALENGTNPADLPPESITLNHVLHCIEFLRQSVMCHADTTIEAKDENLHGVVGFGI AHACRNWDQMVKWVEDRNAREL EPUS_09379 MRLLVLVLAALGICATASPIVYEASGVAKRVDADEAVVYPDEQF YAEDFKRSVDADESVVYPDEEFYAEDFKYCLADEAVV EPUS_09380 MGATGTISQSDLATSNENSGTKPVRMPIKPGAMPASMHGCVRSR ARNVRALPSAGSFLERWRKLLAVIWTSKNYLRVTDKGQSVLQMTENSMNALLERWVTI QTHFKGSKYVRGVPEPDRGVIMYEKARLANEDNIWNTFRTPVKPGSKPTAKLNAQGKS PQFERAHSCLRKSKAIIGLLCEAIDTKTVFVIATLHQFFAEALTTVVFHGEVATAYDM SGKDSLGRWAVGADFCHFNQDAVRKLGGVHEQPSTFGMITAVPWHLITSRPVSSHTRA RKIIQAVQKMCARPINWTPTSTK EPUS_08654 MRFNLLPMEIQLYILSLLDDNILVFLQRVHPYLDRLIGSLMTRL WDGWWTWKPPFKDLKVKHLDEHESGSDGTGEDDSETDDSENDDWGKEEGGEAEEAEQG RF EPUS_08655 MDHDSFFDSSQAESCNMPDRMDTTKKSLTSLPDELLLDVVSYLD SKSLKNLRSTCARFGRFVNRADIIKRERKEKLSRRVNRIYFINWMMGTENSLKDIEER IEQLIEPAKAYCERLIAICKDKEDLQGVEEFTAYLDNQRIAEATYLLNVWILRFVMQK DPADQARLGRTVDVEYTLYTQGNTRQKRKREPPLASRPKVGHYNLNFVYLEPFRTPPA IDKHGQGLVERARLSKGNDQSSNATLDALRKALESHISGRLKATWYTNKVDNNWSKQL DITD EPUS_08656 MVSGDAVEDLNVLSLRSRTIDVDSRHPELSPHFQHGESEALRKQ LEIAAESTNSMDDAKSNSPREDCLPTVLRPEGSAGAESRPSPTDIELPSSASLAENGA IGAVDASKQLDSAQPLPIRESTSGVAEGRRAVHKRFRSESPESEIGEDSKGTILAGER ESANAQLELDDNPDAASDDDGVPETLSTNYKQSLPHAPTPKPPKSKKPKLKTAAPEAI KHEKPVSSLIPHNGNLKAGSDKRLPFTEPKVTSIAQRKSRSNRPASKRAKDITKDGIT YRTISSEGLRGETSPWLPAKASPESRTIKERLLVRKRVQEVNIGRRPRFVVSR EPUS_08657 MPNSNADIDDLVAKGVRELLAEQKDGERLNIAAKARELGVHKNR LYRRIKGVGPRTSRKPVNHKLSAIQEASLLRYIKSLDEIGHSVRYDQISSVANAILAE DHTNNISAPFILQHVMVMPAFGWSAGDLFKLIEISYIIYQAFNDARKNSSRQFQLLSD EFGRFHTCLELLHSLLKKHGKNLYFGHDQFKVTLEECQEFLSKYSVLGDRRNSVAKFF KTIGWTTEERGTISRLITAVHGHAHVIGLYTSYLTLEASLEGRAVHEKIIENTQETLN ELRRLQRVRSITEEPEAATAQILGAGCCTDGTVVLKLDEVAKELDGFTHVLKHEQASL DSQHQNSAVENDLDFPESDEARTIDLDLTMLQTVLQEVEHILERARNGSKREYNRRVI RQATRRDTHDTHDTNDSGISDMSISPKSRLSLHASRSPTLQPHSVGTVGRSWTRTSIE KFSPTLEASQAPPQPRPSASSMSSPLLESSSPMTRQGTSPSTAVTTPLFGSPAGDPDT GTRLPSIRLPAAAIDWSSFCNEAQVTCEGWQRPWSCKISQRRRARDCGLSLRAERADG SYLYHDLPAFGIAVPHTSHSVANPQAKNVVTFKEPQNHRLIKVTHPTESAEREPRYVF QNSADHKAFQELIYGCDLEDSWDITSVESNREKECVTQTLRLWRDRYTRVPLILFYTN SRRRSPKIYIQEPKTSFEVKFQIDKKNPTNYGYISTSPKCSSRHPSYLGFALRETGFV SITFAKPLSERHGLRTAMNLSRWFYKATAGVEDFVEGADDEEITSRRNYVYWTIDHSS FQKWVVFVAGVGFFTDAYDLFAVNVVLPILGFVYWDGEIPQSYETALICATLAGTLIG QVLFGVLADIYGRRKMYGLELLVVISATLGVAMSATGERKSMDIVGWLLFWRFTMGIG IGGDYPLSAVICSEFAPRRHRTRMLASVFCCQPIGQLSGMIVSLVVITASHNFIPTDN DKCRSDVCIRTLDSAWRWIVGFGSLPAVVALFFRLTIPESPRYLLDVVGAFKSASKNT QEYYHGDVFGQSQELLEDGRNSQVLLPVEHAIPKSTVQGSPSPSLSPSPDTRPARDGS QGLVPTQSSTLNAGGRPHTLPSPTLAPTDDQIDSNGVSTVTMRTGSFPLSAHTVEPVP LNEQQPPQASWEDANNFFIKEKNWIYLVATSSTWFCLDFAFYGLGLSTPEISQNLWHN PNDPTAKAVYHILLDNTTQSLVLTSIGTMVGSLLIIKAIKYWSSKAIQFYGFIALAIL FLTIGGAFGKLLFSDFKGIIIMLYVLCQIAFNLGPNTTTYIIPAEIFPTRYRCTCHGI SAAAGKLGSIVGQAFIAHVRFPGEDAGKRRSLGWILMVLAFVMAIGAPVSRYFLPETR DQKGESKSLEALAQGKKAV EPUS_08658 MGIWLSTMKAKMANDKKGNQEEAAEALHIIQELVRSTKHQSLRE SCEKYDFLDIPAISKICCFGWAECPISPTPETIAPILKRRISKFSRGRVAEGIYNLAQ HAISELLSRSDGSFQSRTYTALNHDGYGGVTRLDIFFFVYRFTSKTLRSKASPVIACE VLESATKDDRLNESAQSTAIFMTVNLTTQKRLAAAVVGCGKRKIWLDPNEVNEISNAN SRQTIRKLVSDGLIIRKPVAMHSRARARELNAARRMGRHRGFGKRKGTKDARMPSQVL WMRRLRVLRRLLVKYRAAGKIDKHLYHELYHLSKGNTFKHKRALVEHIHKAKAEAARE RALKEEMDAKRAKTKAARERRQERIQTKRNALAGEDEPAAKE EPUS_08659 MKEHRIPSKTAVSPHDYAWAELETCIKTFSAFLTLHVMPSLSTV TDSTMMTPTPMPTTPSIQSTTSQQGGSEGSDGQDVGGIGRIPVGPSSILSTEVPVPSA ASTIDSQSISSAIPAPISTASPAPDTSISQPLPFPTSDPATISSQISSDGQVTGATPT SDPAPASGESSSLPTDDVSAQASDDQQEPAQTSLPAGLDSEPVASSVAQAEAAGILEA AATPQAISDVLSSASGVPSASESKPLLPTSTSSPEIAPSFSQALSPVSSILSPQSSLQ AAPSATATPSSLDLAALTSILQAVASPSISAAGVVALESSSQTSSSAITSTSILQSSG SSTPPSTATQLPVDAQPSADAQPSADAQPSADAQQIGGQPSAISFPPDNSINNGNAAV SGSTTASAAVAVGTATSTLANSDNIAAITSSATPDAELVTTDAADLEPAIADAANADA ANADTANGSSSLLQLAPSPTTTPSSVNAIATDALGVDNEAVGGQASAVAFPPVVPDNG NNRNENLASSSIISTAVAANTGDALNAVLTTSQNANAAPPTGTAASVIASSPLVEASP PSIGSSFTAANGSPPAGLGSSFPPAISENAASRTNAAITSKAFFTATNAGQPSQQTSG ASGQLGAQATQTSATAIFTSSAEEQPPNTAGQAPVPPSPQPTDASSTDRVIGSAFSPP AIGSTAPTATQDNNLNQNSDSNNIIAASSTSSAIIDNEPSAKSEIAGSGANNQANAAE TVSALSAQITQDPLADISNSPPSDALDSGPDASDPSRPSISGLGKEFMGTADAASLQP TDTIIDGPGAISSASAAIGTATSLITSAVASRRPTGTINGGPSPTAPITRETAGGLAT IRNAFLNPDTRPGAIGTLVAVIISFLIVVALIVYLWLFLRRKKQQQGNASDTPSSGDG EKGELNISGPINRTTQEDIANYDPSAELMGNSAGLDYGPSQPSQLDNALMDRASRLSN SRKSFLDIYGGTTPYPQDVVDGSGRPLTQQFLMPEADKFQLKGLGPMSPFAPKVPGLG SAASHQRNISTASKLSNLPADINPDLPAPTADLENPFSPTDPALASSRFNPTTETIDE DPFSDEMVSPLAPLGAAKIGTMKSQNPRKKMGPKRSDSWMTVNTVATSKPAPPPTAGG IGHNDKPSRTDYASVYMRNPFVDPPEASNGAAVPAMPAIPKHLQSKNSNRNEQTESVT LIFSPTTANAQYNHSQHQPASTRPLSGPGETEVMYNGVLDDYPPRPPSTHVGVASVRG RVYGVARKSNPFDLEVEDDGGVGAAESRDDGTVKSVRSWLSGVEQAERNRMENENVI EPUS_08660 MFGGWAHVASLPEDLTGKERVPPPAPTQLDFPSYTPQHSPSPLT TASSRDLFHLLCSIKKPQDITPQYLQILSLSVIPNASFQDLLPHVTEPVHQGGEHVPP PDRLQQTVQELRISHSDAFREVVRLPPLPGHAKPRLSRTRKFWTGLQRMSQFWDCSMD RYYEVADTEDDSSSSSGSSDPDIEMSQSDQSPPDRLPSSSSPDGPQPAKTKQVYKGRR LNDGSAMPSNFRDEAVSALVECVVWAFNCQVRAPNLPPRLQVRNLLFPVRQSFIVGRL PRERELGRRAVLEGPVMGICCRMETIFRPDCMTANGEVGGSSGYGMKLSEVVDEWRGI LDLAREVGVMLLLAQERAREGKGEVKPGEGKWWTATPRWGGGPGGLMESEILTAGRDV VDEDKIATPPPSENASADESVDGTTPKPSSTETLLPRAATHPPVRPKRKAIRDISDNN ERGGVQHKKGSKPTQTEKWKTLRSGPGIWDAKMRYMRIGAPKSISQAASPSLPPSPAH AEDKAEEIEDQIFMVTSINHHVALTSMQVSEAHLAWLAGEERKDEEREQGLQLKRTRW FDLFDEADRVEFVQGLWDVMAWLMRE EPUS_08661 MACPKVARLLTRPLARPLISHYHNALPCQAITKLRRRPASTQAS SSAYTDGPHKVRTKIPSLMMDTKSDWQLLSATLEEADPAIWEILQKEKRRQKHFINLI PSENFTSQAVLDALGSIMQNKYSEGYPGARYYGGNEFIDMSERLCQQRALETFKLNPE EWGVNVQPLSGSPANLYAYSAICSSHDRIMGLDLPHGGHLSHGYQTATKKISMISKYF ETLPYRLDETTGLIDYNKLQELATLYRPKIIVAGTSAYSRLIDYQRMRSIAESVGAYL LSDMAHISGLVAASVIPSPFPHSDIVTTTTHKSLRGPRGAMIFFRKGVRRTDKKGQPE LYDLENPINSSVFPGHQGGPHNHTITALAVALKQAQTREFTEYQKTVLANAKALAERL GNGEYAGGLGYNIVSGGTDNHLVLVDLKSKSIDGARVERVLELCGLASNKNTVPGDKS AMKPGGLRMGSPAMTTRGFQPPDFARVAEIVDHAVGITLKVDKAARSEAEAKGRKNPG SVKAFLEYLGEGEEQREILLLRREVEEWVGTFAEPWIK EPUS_08662 MPRNRPTRVAKKVKLDTAATDTDVDDALQSGDTFEQVFGGYADV ADETSDASDDSYYGTKRRPAVLRRARKQAKLRSRKLTLNKPPVTEAPAADPMSDIEYD SEVGDEVQWLKPHPRTPPKSAKEGRIPDASATPANAVAITKRSPSTPNILEIHVNMGP KSGGSTINVDLTPLLNASGSGGALTISPNAVTLPDDSTTVALNSSAAAPSLRMQRLNE AKARLLKADSPKKRKTGFTDLPSEIRIRIYRSVFVTEFQINLHTRQNFQRSSSLLSTC KLVHEEGRAVLYGENAFHLERSYTSRGRFFDEDWREIGFKDIRRFLETIGTTNISMMR YISFEFADTNKANTPVEEVERRCVNDPVVWHCLELIGNNARLEKFVFQFSGRKNLDRN DLHFLRALTTIKAKKVTNLANFSGGFKVKPELFADLKKLMVLPRDDADEVDETKKKPP TVVMHHERNRGTRFYELCCR EPUS_08663 MQFSTSAALLAFAAVASAAHGSSSAYDSYSSSSAHSNVTYTTQV VTAYTTYCPTPTKITHGIHTYTVTEATTLTISDCPCTVSVPVYTSSVTSCTTCSYSSD AKPVYPTSIPSVPSSSAVKPSSVIHPVPSSNGTVPSGPVSPPKTPVPSASTSYSPSSR VNGTSPPIATYTGAAAAKMDFMGGIAGAGLMGLVALML EPUS_08664 MQSNTVQDAVQEKSLSDPETFWSHHADQLHWHKKYSTVLRKGRK CLSNNVSHEHWSWFPDGEISTTYNCIDRHVKAGNGDSVAIIWDSPVSQSKQKVTYSQL LEEVETLAGIMREEGVKKGDVVLIYMPMIPAALFAILATVRLGALHAVVFGGFAPTSL AQRIEAARPKIIMTASCAIEGAKGPLDYRPFVEGGIEKSSWKPEKTIIWQRDQRRWNP VLKEKGQRNWQRLVKSAKNRGLKAEAVPVKGNEGLYIIYTSGTTGLPKGVLREAAGHA VGLNLSIKYLFDVKGPGDVMFCASDIGWVVGHSYIVYAPLLAGATTVLFEGKPIGTPD AGAFFRIAEEHKVTTLFTAPTALRAIRKEDPENKFFQEIGKRGGLKHWRALFLAGERS EPSIVSTFQKLLDEYAAPDARVIDNWWSSESGSPITGIALSPAVGHDHWSTEKHKPLQ IKPGSAGKPMPGFDVRIVDDEGKEVNPGNMGNIVLAMPLAPSGFTTLFNDEVRFYKGY LKRFNGKWIDTGDAGMIGDDGYVHVMSRSDDIINVAAHRFSTGSIEQAILSHPDIAEA CVVGIPDEMKGHLPFAFVSPSSASTGKLPAHPPPELFQAVNKLVREQIGSIASLGGMI QGRGMIPKTRSGKTLRRVLRELVENGTKGEYNREINVPATVEDKDVVEVARRLIKEYF EKKKSMQDVPKSKL EPUS_08665 MENGITNAAKSAEDSLHIALTSGSTKYRTHVLRSLQHDLDSADL DSEAFYDKLKALLQTYPRYQDQQSREAVRACLTSALRNESFRPGASRLITDYILSESQ RSVIAPTNAFVLLEWCVLVQQQISQNHVPPDTVLNGITVASARSLEKCLRPQVKSGVH RSALVLARRGVRSVLKPESLGGKVLATMVPSLITGPNVVMENVPYVGVIAGVCVRIPL RKPDLQEKARDILSFYAKIVLGCKSAVPMHIASGLHDFFVSFVSIEDIAEIVIPPLEK AVLRSPEVVLSGLVSSFVESLPSTFDLSKPVSANLLQPLLSSLRSTNGAIRDGAVEAF SVLVNRCKDVSELTKIAENVLASLKSSKTSTPEVRSLLARFLMSIPPASKTSHSIVLG VAPIAAKEANENALEYQAAALCKHLPVLLCNGSHVEQSVYDTISKGYRDKRAGVRKTW YLKISEVFWDVGPLLPTEAPSAAFLKSFSSEMLQAFDEVCSNPMASLQSGQASAAFIL VAMVGSGKWDSKMSSSLKRDRIVARSLLISPKPSFMLNSKIITRLTSPSEMSWAVRAL TSMGSGLAAESRATRSAWAQSLIYFLVAADVPTSVRRATREAIAAHYLHYPLPIAESI LLGLWDWLYSLHRNERESFAVASRTGTERLHTALSCVNVTKTICEENNRLVPVSAIKT QLVQSLVLCRDELIAGSNWIETCLKAGIDPGALAAEQADQLMDQVLVHSKTGITTGNP LLHVAACKAAADLAFVAPATIIPILLDRIAEDLDPSQFQDLGPLDAAIARAPEGVVFV DVLAQNAQNEVGSKGLKDHDILKWEADLRSHLAQKKGQPKKLTADQQAKVDAQLARES ATRKNVFRVQQNLRRGARLVQALAEGPPTDAGTWMTRCIGTLLDALQAGAALFIDEEL ASAYLTCSTKISPRLGTLAKFVGVATLRAIGNARLSPDYELEPLGELVTRVLYRLRFA AEQRPFDTVTLSYTLPLIFTVLDKGSVGCPPGDDADTQVLLGLEFLTFHMGSCSELHL PRDQILRYLISAMQKYTQHYRLLKDALVDLCSSISDNIQQTEIEVLLEGVTSAEAPIR SAVLQAIHAEIDLTNLESSKEVWLVCHDADEDIAELATVVWEENGLSEEKSLVFDSME YLSNPDRHLRSSAARALAGAIATNPLTFSSALQRLEETYVQAALPVMPKRDKYGMLLK GNTDDPWELRSGIGMAFREMHSVFTKDSLVPFLQFLIKEGPLADRNSSVRSEMLDAGS TLVSTRGKESLEPLMELCNTTLDAPDQGTNESDWVNEAVIILYGSLARHLPPGDGRIK AVISQLLASLSTPSEPVQLAVSGCLPPLVRLVASEVSSYVESLLKQLFESKQYASRRG AAYGIAGLVRGRGIASLRQYRIMTALQGAAENKKSPDQRQGAMFAYELLSLSLGRTFE PYIIEVLPQLLSCFSDANATVREACLDTAKTCFASLSSFGVKQVLPRLLEGLGDSQWR TKKGACDLLGAMAYLDPQQLAMSLPDIIPPLAAVLNDSHKEVRLSANRSLQRFGEVIT NPEVKGRVDILLKALSDPTKHTEEALDGLIKVSFVHYLDAPSLALVVRILERGLGDRS STKRKSAQIIGSLAHLTERKDLVTHLPILVTGLRLAAVDPVPATRATASKALGSLVEK LGEDALPDLIPSLMASLRTDTGAGDRLGSAQALSEVLAGLGTARLEETMPTILQNVAS NKPTVREGFMTLFIFLPACFGNSFASYLSQIIPSILGGLADELEAIRDIALRAGRLLV KNFSTKAIDLLLPELQRGLADDNHRIRLSSVELVGDLLFNLTGINSKTDADEEEDDGA AQAGQSLLEALGEDKRNKVLSSLYICRCDTSGLVRTAAVAVWKALVATPRTLRELVPT LTQMIISRLASPNAESRVIAGNALGEVIRKAGEGVLAALLPSLEEGLRTSTDADTRQG ICTALREIIISASPDALEDYEKKLVSILRISLVDSDSEVREAAAEAFDSFQQVFGKRA VDHVLPYLLNLLRDSDEASNALSALLTLLTETTRANMILPNLIPTLLSPPITTFNAKA LASLAEVGGPSMHRRLPSILNNLADGITSKSTDAELRVELNSAFDAVLCSVDEYDGLN TAMSVVLAMIKHDDHQRRAVAASHLAVFFSSATVDYSRYNQDLIRVLLISFDDRDSEV VKAAWTAMNQLTARLRKEEMEALVISTRQILLQVGVAGSNLPGFALPKGILPVLQIFL QGLMNGTVDQRTQSALAISDIIDRSSAESLKPFVTQITGPLIRVVSERSVEVKCAILY TLNQLLEKIPTFLKPFLPQLQRTFTKSLADPSSENLRLRATKALSTLIALTPRVDPLI AELVTGSKTSDSGVRNAMLKALQEVVSKVGSNMSDNSKDAILSLIDATHEGPDDAMSV TNSRLLGALVRVLPRDSATSLIKSRVLTHPITNASILALNAVLLECPASLTKDFLPET CTAITHGLTNKSTFIQKNGVLAAGKFLLAEGVMPNSESNPEIIQPLLTSLAVTAQPGT DIDTRRLSLVVMRTVSRKHPQSIRPYLPQLAPAVFAGVRDAVIPVKLAAEAAFLQLFS VVEDEGAVFEEYMKSAEGGKGLLNTTTQRSMQDYFKRVALRLGVQARERREAEGHAGG LGLASDELEDERELWSVGRVEVGGGEEAF EPUS_08666 MESRELVGDSIITLSAYRKSHEAAAVYCGSTESYCFTSYGCQSG CVDPPAFYQPPSSTPTPTPTPAPVLTTAQKSGIIAGAVLFTLTVILAILFWQYKKGAL KHRKIRFTFSAPNGVSTTAEFPQSYARQAPMVLDNATNLTLNGHEAGAGGRVRRYLPR FGTWSTRRGAETNAMYNHDLDDIRVWDVSSETEV EPUS_08667 MAEALAIALAIATLISGFSASVVLVDKFKAKLKDHREGRVKLTE LEKLQQSLIQAKSTVQSTYDTQYQLHGQRIRTGDDLARYILFALAAQQEVLLKNLQSV EFESLEVQPLISKIDSTRSAATNCLKELAARAERLDRPMQLTLQMKAALLKDYQGLCP SAAMYREGKAHRSQLGAMSSNKGKFSCHSCGATITATKLRIPDANATGWVLINLSGLY RAHCHAGRGWTCIWDPRAPSCYSVFQDEKRLLKHMLAIHLGNNENDVGVTVDWPADVR CGDLEKCGFMVVVNGVEMQNLAGKLVVPRPRIITTARTTSEISLMSDVSTTAAGVNPR VSNLTSEATGGIALSGIGPRAQEAAEMPCLAVDGRHQMPAGMSSYATQVRHEMPVNRR FELPGDGRFELPA EPUS_08668 MAEAVGVALGALALLSAFKDCIDLFSTISAAKSLGVDCEILNTK LDIEKTLLLQWIDRVRLLDPSDYDRRLDDLRINKAVSSILTSIRLLLSDSANLQNRYG MKPVERETAIIPTISWPRMNQFMQELENLSLRYHASLWSWPIKQRFYWVVRNKEKFSG FVQQLSSFVSKLNAVIPAIQEPVDVMTRKDLESIQNLRQVQLVLEAAKKHETGVADLA QKNISQRCQERVLERLWYRMIDERKNNVAEAHFKILQWALHPPTPEVDWDDLSEWLRS GSGIYWVSGKAGSGKSTLMKYLYQHPEIQTLLETWVPGRRLVMANFFFWHHGTPEQKT HEGLSRGLLYHVLEADHSLIPRILPTMWREAHDAGKANLELPSPGEIAEAFSKLKSEI TKDKFCFFIDGLDEYAGNPMTGIAFINSLASSGNIKVLVSSRPTPAWVQAFSSRPKVQ LQDLTRDDIKTYVDDAIGSHPHVQDLMTMEPQIIQRILEDLVDKASGVFLWVVLACRS LLEGFAAFDSPNELQQRVDELPPELDSLFKHMLNKIEPRYQVQAAKLLRICYQRKAYP EVEPHTRIGSPLSVCISEGIYTLGLALVDEHDLDMRRVPSLRPLSLEEKRMRCKMLEA RMRSRYCGLLEVHRSKGRNYRCFCGHISMPTGRSFRTGTDRYRNATTINGPVDGLVDS MFGDSGAFKSQMTTFDANGVLSRMNLYLAYVSTTDGPVKTTQVNELTREALLCAKYAD EAFSEATASILYSFSQMTFDLIKSLCKEVGFADDFPFFAEVKKHVRPSEKANGQLASV LAVELGMVNMAEHMGTLGILSYTQKTPLLSHAIDRPFSSWLPSFGLDVSPDMVALLLS KGFDPNMTFTGSSCAQTTPWKCWLLYMQYSFDLRSASIAAEVTELFLKASADVDVQVP GIV EPUS_05239 MDLVSQLRASISSPSVRQSIALLLLLFIAYYVVVIIYRLTLHPL AKYPGPFFSKISDWAIVYQTATGNRHLRQLKEHETYGPVVRIGPNTVSINTVAGLEEI YANRKANVKKSDWYRSTAAAGHAGSTAQSTHTEIDRERHAFRRRVLGHAFSDSAIRSA ETFVLANIRTWCKHLSEGAQPGEWTHEKNMDEWCTYLAYDIMGDLVFGKRFNVMENDE HREVPAIGMSALRFIYPAAYAPLQTILRPIFASPLMLKLGGRAARDALRFIEYAQSQV ASRKLAEEATSNGSSDKPARKDFMHYLLSARDPKTGAPFLTKEELDADSGLLISAGAD TTAITLAGLFFYLIHNPGALKLATAEVRRTFADVEEIVAGPKLNSCVYTHGCIDEALR LSPPVTGHLPREVLAGGLMIEGEFFPEGTIVGTSAYAIHHHPDYYPDPFSFKPERWIS NPQEYIPDAGTATTAQDVATAKAAFCPFSLGTRGCIGKTLAYQEMMLAIARVLWLFDV RKPQLKLAGAGAGGAGEDASAVRVPTGEGDPKAAEEGRRRVGEYQLRDYFLGIREGPG VEFRRRAAC EPUS_05240 MADIATVVAELVRTEGEWEWDPVRNGVVYTAGERISQEEEEADE EEESEVEVEVQRQLLTVPMVEDALRGEAG EPUS_05241 MPGLSDLEKDGMKITYLASYASLAKFVSSDPDHSTAIFKTFDRL AARNLLYLQSELAELQAQQDRYDDDDLKAGITSHDWHKLRESSRDWNALVENSRNDGR LRSRMRLAYKIRKKIKEYHEAMMLESAILSLRKPSKQTHKAFRNTFNNLGSSQDPLPC LGSSSETLYDDRNDLAALRRAPEEDRLTVFLRTCCSLLFSRRTNCNSSLGYGSEQLIS FVVSAITVLLAASFLFGAIYVLYAIQRDRVKLGVIAGFTTAFALCIALVTNARRSEIF GASAAYAAVLVVFSSRLSAYPQISASVTNRTSTRTPLSPGPLQPPTILLRPNRAQLAA LSTLHIPSIRIDLTVRSLPKLSELLRPYHTHISCVSLPTEPWTQRNLARAVIAAGVKR YIPWQFAFDYNAVGRGSGQHVIDEQLRRAGNVAPATDDRVVHRDHGAVYEFSSWSRRG LCWDLHGVGLRRKEEEKKKRRKEEEEEEEEKKKKKKKKKKKKKKKKVLVTGLGSWG EPUS_05242 MSPTLFSDIENHSYVKAIPPSRGEKLISVVKRVRSLMREVRPAE NVGFNAQDTTPPPSAQRRILPLRFNNSTYKAVPDYGAGENVIEEPLAMKLGADINYSA AARTSFMNAQGGTIKSIGTATLKCSFPNEPRREFQCVFRVLRKMVVPLIMGRKFLIKT KTLSDHPERLQYDTRLSDKFWRLFHLAKPQSLLRCSVDSQEVLANADTGSDIDLASLE FAIAGGYTIHPAQQGRDRVLFADGECVKLRGTIDVELDIMDGATQQPSLLKSRSTRLH VLEGLTSDILLGDETLVCLEAFTKYQESFVDIDSTYHYTQLQYITWYNRVERRLASAF SKSQKQGDASPSGTLTSSPIPAITSKPSTFHKLLRKHGKPAAKNLWVNEMDFERQLEA RDLREQHRRTRAERNIQTLVGAESAAARQQEQESQRRYDDERRAMVRRQNDLLAERLD SGTGTGTDSGNAVESSRSV EPUS_05243 MSSELPYRPSNMNEEESRRNGDEDEEEEVDETGYKTVKDALLFA IEISDSMLTAPPPSSSKNADTLSPAFAALKCAYHLMQQRIISDPKAMMGILLYGTEAS KFYGEDETTRGGLSYPHCYLLTDLDVPEAEDVKTLKRLVEDESAGSDILKPSKEPVSM ANVLFCANQIFTTRAANFSSRRLFIVTNNDNPHSTDKALRSSAAVRAKDLYDLGVVIE LLAISSASYTFDTSVFYDDIIYRSSPVDPDAPAYNPSALSAPDQELKTGNVDGITLLN SLLSSISSKSTPRRTLFTSIPLELAPGFRISVKGYLLYKRQEPARSCYVYLGTDRPQI ARGSTTQMADDTARTVEKFEIRKAYTFGGEQISFSPDEIKALRNFGEPVIRIIGFKPV EMLPSWANIKQSTFLYPSDDDYVGSTRVFSALYSKLLKSKLMGLVWFIARRNAAPVIA ALIPTLPSDENLSGPQSGVSPTGCPQGLHLVPLPFADDIRQNPPMAHETPLRAPDSLI DAMRPVIGQLTLPKGIYDPERYPNPALQWHYRILQALALDEDLPEKPEDKTIPKYRQI DKRVGNEVTDWGKELERAYKEHTISNPDDKTMPTKRERPAANGDAAASKRPKTEPGAM PEDAEVRKLWEKGQVSKMTVPHLKDWLGAKKLPLTGKKADLVERVEEWFESR EPUS_05244 MATLAPPVTQNSTGSKGPTAMVFMNMGGPSTTDEVGDFLSRLFA DGDLIPLGRLQSYIGPLISRRRTPKIQKQYAAIGGGSPIRKWSELQSSEMCKILDQTS PETAPHKPYVAFRYANPLTEEMYERLLADGFGGGKGGRAVAFTQYPQYSCSTTGSSLN ELWKWRNRLEGKRATGETQPDGAITWSVIDRWPAHPGLIEAIAKNIEDQLVTYPMAKR KDVVLLFSAHSLPMSVVNRGDPYPAEVAATVYAVMQRLGFSNPYRLCWQSQVGPSAWL GAQTSDTVREYVKRGQTDLVIIPVAFTSDHIETLYEIDQEVMHEDAKGYPGVKRAASL NDSPIFIQALADLARDHLQSGESCSRQMALRCQGCTSERCLEQKKFFVGQSNLLSVL EPUS_05245 MAENEDAFTMAAQDEAIIARNHDELAVRGHDQSRKHDSATPEMV VDDEESPLLPLKSHTNTTPSRNTSPNRTDRITEPWTAFEGLPWYKRPSIYWLLPAFFP FCLAWGGLIVPKTYLVLNLICQDYLSDRAVADPNFHTLPLILGEQNDQCRDAHVQALV ARFQLYSGLLSGIFSAFVAPYLGALSDRVGRKKIIGFSTLGIFIEGIAYLMVGTHPQA ISVYWLLPAFLLDGICGSFTSVMGNSLAYATDCTPPERRNVAIGYFHGTLFAGIAIGP MLAGAMMKWTGGLLVVFYYSIGSHLFFILFLFILVPESVSTTRQDHAWEKYRIKKVET QEESWLDTIKKYNVFEALSILRPRGPGTSNALRRNLTLLAAIDTIMFGVAMGTMGIIL IYPQYLFGWDPVQASSFLTIANVCRVTSLLVVLPLITRLFRKPVPAGGKSAHRGADML DIRIVRVAILLDLIGYIGYATAMTGGMMVASAMIAAFSGVGSPTLSSAITAHVPPDRT GRVLGALGLLHAVARVIAPTVFNTIYSLTVGHFTQAVFVCLGSLFLVVFVLSWFLKPG VSYDEPDVSYPHASSPTEESSSTANA EPUS_05246 MASAQSFDIEPIARFNGASAGIRRPKEITCFSFDDQHKYRWDDS SLRYYHPPTLPADLNRGFDTFRALDNTRDDHLDGLVDTIALHEKEKGAKVDADIITWR GMMTKIMATPFSKLESWEMNATCFQGSIFIEENHATKLFSKQQQNQQKMPAGTPSQDI MGFWGYKFETLSLIPDTWDPTSREYIESREDEIVDNHAQYCSIVRTGFGKIKMILGGE VDAVWDVKPTHPSSTAINYIELKTAAKIHTDRDQVKFERKLLKFWAQSFLLGVPKIIV GFRTKDGILTDLEEMETQAIPEMVKRGKGLWDGNTCINFAAAFLEWLKTIITEEGVWR IRKVEKSSRLDVFKIEESGHGDILSRNFMDWRSSGGMRMTSIVSTRWKPYGDKPAVGG ISTVSKCLPESASRALGFGKPSSPAERWAFTHLPYSSVKEVYLRRTGTNKARSPSGQA QAQ EPUS_05247 MIALPKTNCILSNILLACSIVLFAAGFFPHKAFLPGLATWPTDR NGSVHRAPFDKVIFMVVDALRSDFVYSSDSQFLFTQSLIRSGAAVPFDGHASPPTITM PRIKAITTGSVPSFLDVVLNFAESDTTSTLGHQDSWLAQIKAKPGTKLVMYGDDTWLK LFPGFFDRADGTTSFFVSDFTEVDQNVTRHVPVELARTDWSVMVLHYLGLDHIGHKSG PRSINMAPKQREMDRIVEGIYHAMEMSAHLKSSLLILCGDHGMNEAGNHGGSSSGEVN TALTFISPKFQAVYEGSDSPRASTSEYSFYDKVEQSDIAPTLASLLGFPIPLNNLGIV IPTLLELWPQESDKLDLLVANAQQIAEIAHATYPEAFKKFSSSQACASANSDAEILSC AWLETRHAVQAVKRSELSTPQAMSLTLRFLKSAQDLLSGTASNYDLFKMAAGIGSGAV SLILAIMSIPDGTFDTLVSGSAFALIVLCHSVTMFASSYVEEEHQFWYWVLGGWLMYI SFKDQRLISFYSLPPIRGLLMSTVTFLFGIVRHFNSTGQKYAALPSISSAVFPAETWL LWTSTIATYALISRCLTRRASTWAEAGSRQLSLLPVPVCIAAFMFKVAFTHADSPELL KNFQLLSPLIAMTSRYSLVGQARIVFLGIAHMLACALYYEAPWKKQKTREYPGDSFIH TFHNLLGLFLLTQTRVNNIPVFLLFSIQLSLLSTEPHLFNSLAEISLTSLLFQQSSFF ALGGTNAISSVDLSNAYNGVSGYNVLLVGVLTFISNWAGPIWWVSGTALLIREKEQAD GNSRDVNNSSTSALAPVEQGPKGGEVGGMQGSHGQNLQSASFTHFALLTLFTCASTLS VMLACTLLREHLFIWTVFSPKYLYIAAWAFGHHSIINGLFGWGLLSRLSER EPUS_05248 MAYRRPSATEQNASIRERSLSVAHQVAEQQGWLERKLKPKKITA RYPFKGAPLLYATCGFGSLGDALFGYNSGIMSGLLVNPVFVSRFFVDYGGADGRASSV NPSITGISVACLQASAAVGALVAGRLGDMIGRKRCVRLGGFIYFFSAFIQIFAPDFAT FVTGRTIQGFGVGFLSMTVPIIQTEIAKPHRRGLMVGIEYTCLIGGYMLSCWVDYGFN FLLPDKMSWQGPFIVQIILSFILLAMSFFLPETPRWLAKNGFMDESLQTVADLHSKGD VNAEHVQQVFLEIQQAVIYETTLGKSGWGEMFTRYRKRTIVGITVQMFAQLNGINIIS FYLPTTLSAAGFDDRKSLLYTGANAIPYTAATVVTWYLADAWGRKPLLILGGIAMAVL LSIVCAFIEADLSITTRANGQYAFVMLYNIVYGFTWGPMPWLLPAEIFPLRGRSKGMA LATTSNWIFNFIIGMVAPDAFAGINGYFYLIIAGFCLFSAGLAHFYYVETANCTLEEI ATAFGDRAFADSEENIMGVVNPEDEKRKSAVQ EPUS_05249 MSRCRAISLPRGTGDAVDSAELSRIERQNDGSNRGQMQLSSLYE QKNVPHGTAGSQQATITSRWLEGPVLRRIGAQFEDNQLASSAAHITDNSKGGTGRHQH HESTLHRVDISRGTLQASQLSNLHPMERFLLEASSEQPTIFAEPIIAGFQTLKNTFVA PPLRPSDRTRMNRRTNFLMEGIELLPRVEAVQGMDGPWS EPUS_05250 MCFKDNSGGDPGPAPRPVVTRGPAQGGSQPYAPPHQSQGPGRTG RSPPSRGSRPATAGHGGPSYSAPSASSRPQRPQRTEKLMTAETLDEYLQALHRGFGDL EYGIIGGAALLKYGSRRKTSDVDVIIPESISEVAESRLLDTKVGIVRTDRGHLGYMAS DGLCYGLDITTDRALSQSFRSGTDTTRLPGSEALFVNIVFLLNSKAYSYLSRSGPDAM QKKDSDARDIIFILGYMESASVRASKEQCRWVIDYNFWMGFTRAYDGQEARLMAIGLQ RERTPSHSNRSSLRASSERRRSDESRRSSGSGRNNARGT EPUS_05251 MPRIDFQIMSDLHLETPLARPTYDDFAATITPESPCLALLGDIG YACDPRLFNFLEDQLHHFQIVFFLLGNHEAYGTSFPAAKALVESFAVRTDEVRCTSDI GKFVFLDQTRYDFEEGITVLGCTLFSRIPEQQADTTKMFVTDFSSIENWAVSDHNKAH ESDLSWLNAQVDAIMAKEPGRVVVIFTHHSPTLLDMAMDPRHKNDSAKTNSAFATDLS AEKCWLSTQVKLWAFGHTHFNCDFQDPRTTKRVLTNQKGYSRAKSITFDVTKTVSVEA SIPSAPIGVSEKEDQEPQRSRFRHRNGRQNRQIDRQIKCGSSYSSLPGYPVSHFVRGT WRPHSIDDINAGAQIFCSFHQIGCIGVYLMGNKASKIIERHQQGDYLKEPSSLHQGPE LAVADNGLPARQDNRYKGSFLLTKQGIQNVVWLEDVLALHGSNTTGWDLALLVEDPLE AATYLASFGYHQTAPEERFQYEQDVTEHSIRVIQSASKETAVTLLPAQHWYFDFQHQG QNSLPPLHTFLDSVMEFWLKISSKDYSERLELALYIADLISQCYNLTDDDDVKVRSTE YGEKLKVEHRELHYDIVAGKQSFNHTARHRYHAQKYREIKAGLFNPVPYQKNGYRPNL GTLEE EPUS_05252 MTATAKDASALAATTGSDVVAVASTSSGSADISPAHSAPEMVEN NNTDASGARNVIDSTASAIRHPRKRERFGYLLTKDFWIVLLIGQILALCLTGTNTFTT LLVMEGTSIPAFQTFFNYVLLNLIYTSYTVYRYGIRRWARLLWKDGWKYIILSFCDVE GNYFTVLAYRYTTILSAQLINFWAIVVVVAISFLFLRVRYHWAQILGILTCIGGMGIL LASDHIQGVNGGDISSGNQLKGDLFALAGATFYGLSNVAEEFLVSKRPLYEVVGQIGW WGMIINGAQAGIFDRDGFRNAVWNGKVGGYLTGYTLILSLFYTLVPILYRLASAAFFN ISLLTSNFWGVIIGLRVFGYTVHFLYPIAFVLILIGQCVYFLGKGVFGEAKKAWLGED QEKGVLGIGTAKRKIQKGNGGLRGTDGLGQGVEHESAGIV EPUS_05253 MTVYTYGALGDGHIRLLRLLPAAESTAALEGNLIVRKLRVSDRL TESEPTITFNDDGVLSFVQHEAQQPISAELEQTGAGAGEVSSELQIQEAESYEALSYT WGGKPDSSHFIRILEGGQAYNIPITQNLESALRHLRPSSDATYLWVDALCINQVNHAE KGSQIPKMADIYNQATKVRVWLGEANDNSDIALKFIRRVINLSDLDELVQNPEISGEW AAFLDLMKRPLFSRRWVVQEIALARDACLHCGTESVTWREFAEAVSLCTSKHHDVRKL FKGSQSFDHHPDFLGEIEELGANRLVYASSNLFRKSDDGTIMEHLLSLEALMSSLSVF EAENPHDVVYAILWLANDATPSTERAIGSSLSEHWVIHTPTPGSPTPYAESPTRASSP ASDRRTLQTPNDSAVQSADTTGHSETHSPIDHTNQYLQAQTLSVPNYGQKGHKRTASD LTLPPPKIRLQMPVDRKITVDYSKSVYEVCKDFLAFVMAQSESLDIICRPWAPEPVHE KEQDLPSWIPKLSSAPFELVDKVYKRVEADALVGLPGMRRKNYLASGKRKAIWRFKES VDRSLFVCGFILDKVNVRSETAQQGIIPAEWLKVVQWDPGRAAAPDRFWRTLVADRGP DGSPPPHIYQSALNYAFQRKGQRGDLNTRELILFGKCPSMAIDFLRRVQSVVWKRSLI STSREHFLGLAPSKAREGDLICILYGCSVPVVLRRKHRTASEDIPIYPTTGTATNEDI VPRVRSNKRDHYYEFIGECYIHGMMDGEALRHQRIRELQQQEFELR EPUS_05254 MDLRTSNTICDAESLPESRTRNDNSPALTIAQVMLAYLNMILPK DWRRDANTPWSRSPSPNFSLDAAGLVALADIRTIALRTALTGTSAFLDTFVLCPGIHS QQSAPELNGGEYPACGAMTSGYVFRVENPATVLYLQKVGLTGQLTTLSVKSIQHNASQ WNNLISRFFTFQTASFTSSCAYLVAVAMTATALTLLAFSQNWWGLTVVLFLCTSRLCN VIVIRRRTNVSWQGAAEPGVKGDLLILLSQDRWIRMKGMVDDLKAVTSGQWLRDMTFL ESSVAAFATLLVFLDAALASNVDQVGKMILLVLLIASAGVLAIANETTQMLQMHGRVI KVEGSRTKYQRRLEMVEQLIRESGRDDWAMRMGMIVRKDEKDSRKDSQEGVTM EPUS_05255 MGPASKRAEEAKKSDWPKMGIRDPQHQADSRRSVDMAEKAEEVL SPRSELVKRAEAKKAGRANTSDSDLDRFRAAARRMADAAKAAAEANIGELDQHAARMR RLEIGAKMRDESRRAERERKQVTADLTERVARGWKCK EPUS_05256 MSDTSPSKTPQPYQPNVRRRGAGPGSEVDEAESDAGSQEVAELN RRYPALRRNVEVVQRAPSHPRPPADRVTHPRAFQPWSPTTGETVPRTSARGADHSPIL RNEVRRSPRPAIPPIPTRPDRPDRPHQPNSPEPHPHYRIVPEPPRVIVGLSAAPNAGW LPNTVVSGAAPQFAMGWGGPQAHPLTTDLPAEDWAARAPATTTAPPPPPPPPPPEAQG LREIHFQRQGQRDRER EPUS_05257 MAPNAPKRKIDVVDLTQSSDAEDSFESSLGSQPRKAPRAAEAAS QASKNSHPVYRPSATQPSGRYSPNKAGQRYAGQSKAPYATPPSSSQQSPGQSFSHSSY AQAPYTPNPGIITGKKTYEDASTRNNWMDEEEVRGEIDLTLDEDDDDAYHDFELYGIF NTKIVGVRYYTGRATLGEYVVVKREPRNPYDSNAIRISNVMGDQIGHLNRQTAARLAP LMDSKALLIEGALTGPKGTYDCPIGLKLFGTRDPVAGAALKQQMIALNLPVAEHNRAE AERKRKQKEREEALKEARKANSNSLRKGTNTMQIDAGSSRYANLNMPGAGEPTQSLAQ VMETAQNYNPREVQDVVNKFGAGEDVLSAMPMADQPARLKTQLLPYQRQGLKWMQSKE SCTLPPTTSSDVVQLWKRQQNGYLNIATNFFTGSAPTLAKGGILADDMGLGKTIQVIS LIMSDDFRAGRPTLIVAPLSVMSNWSTQAERHVQPDHLPKVLIYHGASKGDLKEADFA KYDIVITTYQTMALELFPYGASKPIHTPAAKGLFSTSWRRIVLDEGHQIRNPKAKMAQ AACAIRADARWILTGTPIVNNLKDLYSHVKFLRLTGGLEQLDIFNGTLIRPLKSGDPN ATVLLQALMSTICLRRMKDMKFIDLRLPELVSHKYPIKFHSHEREKYDAFSAQAKGLV DQMKHNEGNNKTFTNLLEVLLRMRQCCNHWKLCGEDRVNRILALVEENKVVDVQNQAN RRALQDVLQLKIDSQEECPICMDSFKDPMITACAHVFCNGCVERVIQEQHKCPMCRNE LPDITSLVPPAAGFGEGDNEEEIDIDPNITSSKIEALIKILKASQNAKDTKTVVFSQW TSFLDVVQTQLQTHNLPFTRLDGKMPASRRDTAIRALDTDPDCKIMLASLSVCSVGLN LVSANQVILADSWWAPAIEDQAVDRVHRLGQKRKCTVMRLVMEGSVEEEVLEVQARKR KLMGQAFGEEEGRGRKRRGEERSGRLRDIEQLLR EPUS_05258 MRRCRSLGLASGYGIGLMCVWGIIWSGVLLVYSDPKAAFQRLEC RDRKKEEEAVAAVSSINGSLNSTKPANSSLRNRKIYGVVGGAHEPTEEDKDLREDTDK SNHILVWQSYPDGFRHRLDWVTDLCTSFRGPGWSWHNQTLPAADYPNPSMLPTPSSST KSLMRIAVRDFLIWYFVVDTVKTAIMADPYFWGVVSISSPGPTVTYLPSIIANIAPFT KLYRLLLSLLATVSALRTNLSSGSLFFALLLPLLNLHIYTRAPLLEPLLYPPFWGSFT TSVLDKGLAGWWGKWWHQLFRMGISEPSRFLIEKFGWNPRSQKAKLLQLFTALAISGT IHAGASYTTFNPQSRPWSGPFVFFFSQAFGILAEQFVFKTMGVSNLVRNWPRTLRRAG TLVYVMAWFYITGPWLADDFARSGIWLFEPVPVSLLRGLGLGAEGEGWWCWARAWPRW WNGVEGTPWWRKGIAI EPUS_05259 MSKVGALRAVTHRLNNTPVKELPHIAGFLASSILDCADTLKSTS GLSAGRVDDLSLQAHKLKARLASLLQDRSAEGRFTAIILVKATIEAGGREILGLCEPW LRGLLAILNRPDPVSSKRLCLLTITRIFSLTQQYPTLIREVTTPLLPAFVTVCLNLGG LHPSRSDEAPSTRSIPYLETVLQCMLHLIPDHPSTFRPFASKLYPVLANHLSGQFTSD GITRLVHSVFVTLHFCAPKNTAHEQWFKAYQAVVSSLHGVADEVLRAVVEDWESSDSA RRQGSVRKTFDRLPHSKENDPLGVPPWEGVYQGSRVLLSLLRLLKTFLLSQTSQVVSL PLGLTLDLTSRLLHLRVPANSKESQTNVRFNPEIEREEREELLAILPEIHQSTLDLLS SLVQAIGSSIWPASHTIVEQCLWIFGSESSNESIRKATYNLFGILMPLTGPATTKDSF KPLAAIAEQCCKDILSGSSDSEPLDQSKVGLNSKPLLNGHADSFLQPSSKRTTKSQPQ ISAIQTAALKFMCQLLEHVPAKAFPHSLRAQIDRTAILKDQKRALLASVLNPAPRTTG KFATPSIMPFLVRTSQDELETEGLLRPRMPVIREAEASAYELETDSNAGVELEHSKDA VSSEGPDPEIPKQPIVHGLGSQNDILDRLGDSMQDGAATASNVEPQQTTVTSNQANIE PLPQQTNGSSHSNHAAKRNFDSTDNHEVEDTSSKRIRGSELDREANPGLKLAATSPSA SASTPAMVVNPGPAMQVEVGAGGAAPDKGKARATSVSPRPEEGVNTMFENKNVDAEKE EDSDSDSAIPPLYLKTTDSENEEYDEDDDEDML EPUS_05260 MLTHDSEKARRYIDLLDTARCGGLWDEVPELVRKVTKHAPQRSC LILTATTEHKVTRYITRVSGLTSSTSRSQAPELAQLAPPLLSSVESQSHPNSDVLQCQ TCVAQIHWLLSEPGSAVSRLPTDITWLFSEILKVQSSPSNYTTLCMLKCVYMKALFLC QKGDISGSLEVSKSALLWLHEHESKLLSHPQLRAWSEQILAHMALTAVEGAHLSSEAH EQIDIALQAFRQWAISSARSKETLRDDFGIVRPLKQKVEIWKAYYLFLSELLQDGIDH HVLGEKHTRLHQVAELRRIESIYENELLRVTRFPKANESNQAIEEWVGRVIRNWEILC GRAWPESELGEGGRDAYGRNVLDILYRAATKTFHSTLILRRLFQVHKALADFSLAYKA LDAYLELVDRGKARAQKASESLTGIGDEESIIRTIAEGIEGLCIFGRRDQAEKAFRLT GKLGEWIDDFTTKRSEAPTNQSPDLETVHGNAHNKMAEARILEAAHRAIGIGRAFWAL WTPFNERRSIYQNEALASFKQATRVLPDVSPSLETAYALALLLAETRDLKSAIDCVKG ALSTFSVQAEEHDFAQQRRTMPLWHLLALLLSARQDFNTAYEMCGAAFDQVTNAENLL DNLSTSVNGNMISNSEKAPLNNESQDLIGDMDWTERERIIEIKITELAIIELTEGAEE AVNGSNELLSLFSKLFGHLGVGQEERPQPRRLTPPENSTGTAKSLRGSIFGRRRERPT TTAQNHAANAVPFLPQNILARYPTQRTEAPTIKVTDEDSRTEKHKSHSIRRSHSHSRS DRQSHKLYKREGLKAGILRRHSRSAETRPASSIVSSPRQSFETGQEQISRRASIIGAV PNGVSEDSPVDQLGAISTAEVIAANATPLHSHNKVPEAKVSLPSIGHNIDHTELPPPP GHESQPPQQDVRLPIVHSLTTSTQPTSRFSRTAEQIHAHGILVKVWLLVAGLYRRATL FDDSLEACGEAARSASQVEALVAAQESSITTFTEPAWEGGKSSNEIWADVHAERAHLA LAKAMPHEAIKHFEEALMCSLDHPEATVGLSNILLDIYEQKIPGEAPRPGLNLDVSEK QQQSHAKAATTQNGPLNEKTQGAHRAGDELRKTPANLNRLAARDRAYGLLCNLTKLGS SWDDSEAWYTLARAHECSDTKAGTLIGTDRYGNRYFENMEEELPLRTRWIDYKDKEYD ASHIEPGWHAWISYLVDQPPGKDEILQTGIREWEPKEHRMTATMTRAAYRPYST EPUS_05261 MPRKRWIDKNHAATFQLLYRPQDDPLINDENAQERTLHPVSGSS AHSSARATKGLHIADLENELDFESVRENEGEAANYGIYYDDTSYDYMQHLRDVDDGTA EAHFVDATPVKVQGKGKGKMVKLEDALRDASLDDADTASNTASQSLMDENLSSFSSRT RPRTYQDMQDVPDEIAGFQPDMDPRLREVLEALDDDAYVDEQDEEDVFGALTQDGQEA EIDLDEFEAIIDEGDDGWESDVTERAADQPSHIDSPAAKLDAAPADSEDISSTEAEAA SAEDGDWLRDFAKLKRDKASQPSPMKADLSVAPHSDIQAPPSTLYTLGGTPLRQKRRK GALTNPSACSMTSSSLARTDGQRLLDDRFDRIEAMYALDEAGEFDDDEDGGISLASGI SKQSRISTVSTKSFADGPVRSDLDNMMDGFLDGWDKGHPGGSKGKGAKGKRGKNGNEM LGMKMLDEVREGLGPARVRAQKA EPUS_05262 MVLPKSKNAVGLGNSLMNDRFGKGKGSDRKKVSANAGAIPRRGP NGETYITNASKEASWVKMRSVTEQAALDEFLSTAELAGTDFTADKMNNVKIIHADQRN PYLLSAADERTAMRKHQRNKGRLTVPRRPKWDENTTPQQLDVQERESLLEWRRGLAEL QENDDLLMTPFERNIEVWRQLWRVIERSDLVVQIVDARNPLLFRSDDLERYVKEVDPK KRNLLLVNKADMMTAKQRQVWAGYFTKKGINYKFFSAQLAKEQNNARADVNDTSTDLS EDDSEGAAVDESLEEETKGINIQDETGDDADWSSEEELNSSASSSSSLSSEQTRILTV DELESLFLQNAPTHLSKTSTAKTTIGLVGYPNVGKSSTINALIGAKKVSVSSTPGKTK HFQTIHLSDRVILCDCPGLVFPNFATTKAELVCNGILPIDQLREFTGPVGLVVQRIPQ SFLEAIYGIKIHVRPSEEGGTGTPTASELLRAYARARGFATTGQGQPDESRAARYILK DYVSGKLLFCHPPPHDPEIDGADFNRDLYDFSHLPSRRQAWLREHHAADDADDADYDD ATSMLPTHTDAPSQPADPSTASTAQPERGARSKNLDTRFFGPGSGSSSPAHLKMPFNH QYTEQGRKQLSGRKERAMVALERNVDPSEVRGNGKKHFKGDRRKRGTRGRVDVGEED EPUS_05263 MQHHCLASKPQHLLLFLLLWIAGVLYSNAAETSVPSASAASSSP TVCPYRTVNYITHSLAQQCLTTSRPARSSTTTPAASRSSNSISQISLVLSTAAISAST LPSSVQTVDERHLDKSTTAIKKPTASAPGLEEEADAESPLGKDNFLSFEEWKRRNLDK YGQSADQIGKPKKHDNLHARRQPVNVLDTLGDEAEIDLDFSAFAPEKPQIVLSKPNDM TNSEARGAIPGKEMPKTQSRSKDAGMTFKERFNYASFDCAATILKTNAKASGSSAVLV ENKDSYMLNECSVENKFLILELCEDILIDTIVLANFEFFSSIFRTFRVSVTDRYPVKL EKWKVLGTFEARNTREVQAFLVENPLIWTKFVRIEFLTHYGNEFYCPVSLVRVHGTTM LEDYRHDEDTNKAEDRDDEDDQVTEAYGTTETAFPEAVAEILVEHVSSKLATQEETNL SANESNFEDVKHSLDRTSSSPHHDMHTSNASVYEPLQSPQTRKVGLLELFQQRCLADK SQADHYTANPIVHRSAPVEVLKQTLTTQKTPTITDGTKWQQQASACGASQATTSQHPP IASGQDPPAKFSAGKSSVQPSTTSALTKATSSQGTNSSKPLASSTQPPPSNPTIQESF FKSVQKRLQMLESNSTLSLQYIEEQSRILREAFNKVEQRQLAKTTKFLDYLNGTVFNE LRDFKQQYDQLWQSTVIELETQREQSQREVIALNTRLSILADELVFQKRMAVLQCILV LLCIGLVLFPRGAMNSYLEHPLLQNMLTRSATFRKRGAFLDTPNLSSESTQPSPSYKA QAKAAYSTLQAHQRNLSEDFQDGAETPALAYSPPTPSSYDARSEIGEREDEHIGSDC EPUS_05264 MIARSGGIQLLRHGTRRPIRSRSCVRTFSSPSATSVNTAASPAH PLASVTTQLDRVSPRFEIDPSAVTILNSPAAFYKTLKDKIHGARRRIYLSTLYIGKTE HELITTLDEALRDNPDLQVSILTDALRGTRESPDPSCASLLANLVISHGERIKISMFH TPNLVGWRKKYIPRRINEGWGLQHMKLYGFDDEIMLSGANLSSDYFTNRLDRYHVFSS KELTDYYYKVHDAVCGLSYRLRPSKEAPDGFTLESPLHRGLPDPVWHPKRFKAYAKTV LEPLIHKPARQSMFPVSFSREKTFVYPVAQFDILLGEANNQSFGDYEFASYTSTEKPT LIKLLRSLAENPELRNCNWTFTAGYFNIDPEISQLLIESAPESAKTLVRVPEQSTHSQ PPCTVITASPWANGFFGSAGISGMLPAAYTLLSRRFLRDVAAAGRESSIHLKEWRRGT VGEPGGWTYHAKGLWVTLPPTQVASSVSDSENEDNRFSAVEGPSITLIGSSNYTKRSY SLDLEIGALVVTGDKKLQRKYKEETEWLQQDAVVVTKDDLMKVERRVGLNVRIAMWLV ERLGGAL EPUS_05265 MSPNRPLPRARTATVRRLYDPAALESTHSVIDPAALVLYFPSPY TVTGEDVLELHTHGGPAIVKAVLNAISSNAGASSKDSVVHTVRYADVGEFTKRAFYNN RLNLPQIEALGDALSAETELQRRLAVNGTGNELGERYERWRNLLLYARGELEALIDFS EDQHLDESPAQLIPSVSKQITGLERQISLHIQNASKGELLRSGISIALLGAPNAGKSS LLNRIVGREAAIVSAQAGTTRDIVDVSVDIGGWLCRFGDMAGLRRASWPSSSHNSANP IGAIEKEGMRRAKARALTSDLVVVMLSLEKTMDSCIATLHIDEEVFEAARECERLGKA MIVIINKIDKLQPALTPETEQKLCEEVSTMFPSVSRHLTFLISCLESSIPSNASKDPG NIQGLLGGLQSYFASLTTPSGPNDQNFDKSYWEQSLSVSHRQREYLRQCLQHLDDFLS QTSSQYRFDNNEDLGSGPDIVAAAEHLRYAANCLAKITGKGEAGDVEDILGVVFER EPUS_05266 MLLPSFTYFERLSTDRPYLRSFIRAFLLPERQNLHKMHSVLPET IREKMVRDEDQDLRRSFDLHKIETPVVLICGHGGRDQRCGVMGPLLQADFMNALERLG FTVPAAQELPVLGAGCKGADRTANVGLISHIGGHKFAGNVIVYLPPRYGLEHGKVEGI HPLAGKGIWYGRVEPRHVEGIVQETIMSGRIIEELFRGGIEADGTVLRIH EPUS_05267 MDTTCLPISSSDSFNEDGIDGAIEGLGKLDIGAGGSTCDFDPEI EDDPEDDLEDEAEDDSDNDTSPPFTTFEASHPWLFKLLRRSCPIFTGPEAAMDRLNTV KPARFYRVCDEQTFTKFNSEKGFVNEAFAGLPSWYFIDAGAVVKHINWETDPNPFITR FISMCSTEDKTR EPUS_05268 MRFSTENSVESEELHFFEAWIFDKNDIQVRDDSLPPAHLDDWLN LSGQYAKPNRKDGSTKPPNAALRLIFCPLSGNDPFKLGVGGQTYMSLENAFGLHFTTS NAILNNNGTFARYFFTDSSASTKFQRMALVLKVPNCINIGYDAISLSFDPKACITRAF LHGIRFSEWERLKTNFRSLPLSYAHPMLLPVLLFNAHQVNMHRYRARIDQKVYESEQE IGYAKPGRLQQASANKSRNKQYLDFEDITKRLHSYQTELTSIGHVARFSKVCGEFLLK TLQELNGIPMTSCEHEFQKAGEPLLHRVEYYTNSCISLLSQSQSLKERVQSHINLTFS LIAQEENRINHSVAVDSAMIAVASKQDSSAMKTVALLTILFLPATFVATFFSMSIVDF SPSEGTRPVMSPYMWVYWTITISMTATVLLVWRIWWKVEDRNYQTRFEKARLENEMQV SPIRGVAFGESDRERPIREVGQASGISFRKPRQSFGQSQTTDTGGNFTQTEGFFREAL VEGATIERNTLQIGQENICDD EPUS_05269 MAPRLSQRLAKKEEAMIQEAIAKSAAGGATTSLSTDAGAQNSTN NVDSISPSEPVAEAVATASDNLNLQEHTGLEMGAAMQNLTEGTSIANSGPSMENSNTL VPVLPAETVVTSSTTVLDGPNLTDAAAAPMIDGQEPSIVTTRKRKAERIITQERRVMP KRLAATKAAGSYAMDTNIVEDGAESPQPAQTYSGRPKRKRADRSLATIASASGSQDAV LDDSDQSEAVKTSPKKRKSKTSVKSERMKPAVRNTRSASKNNILAVPVADDDENDEDF SPDSRRSKEKQAAENKLDKTNTAKTAKTGKASETGKTTETGKTPKKVVRITGEFTNKF KVKLGFTPFPKNTRPSRQSCHDVFDILKKHHERDSIKLERYADDANSVPNTGAQGPMH AGQDVIFHAIVKTILSQATNNENALTVELSLIHRFRYDFLGCKVKGTSPNYHMLRKAP HAAIAKALAAGGLHNMKAKQILACLNYVHARNMELATEEQRLEAEQIENGEKTDFIPG MLSLDYMKSMSMQEKFDHLVSMPGVGPKTAACILCFNFEDPVFAVDTHVFRLSKMLRW LPLNTTNRIHAFMHLDKRVPDELKYGLHQAFWHHGQGCIRCRAGTDQNTKGWNEMVCP IEHLVDRALKDPVKIKKPKQENDEDGEKPKKPKQVKKRPTVYPHSKLTAEEAKELGYE LRTITVDDGYGVRRANVTGKVLLKWVLMSDRDAIEHTDRKATAEDMREEISGAEEEGE LSDEE EPUS_05270 MCGVLALILANPSSISAALELHEALYLLQHRGQDACGIATCASG GRIFQRKGNGMAARVFEEGSRVSDLPGFMGLGHLRYPTAGSSANAEAQPFYVNSPYGI CFAHNGNLINAPALKQFLDYEAHRHINTDSDSELMLNIFANELNETKKARVNDDDLFA SLSRMYDRCEGGWACTAMLAGFGIIGFRDSYGIRPLILGSRPASHGPGNDYMMASESV ALNQLGFHAVRDIKPGEAVIIQKGGEPVIKQVQARREYAPDIFEYVYFARPDSVIDGI SVYRSRQRMGDRLAVTIANALGPEAINEIDVVIPIPETANTSAASVASYLNKPYCQGF VKNRYIFRTFIMPQQAARQKGVRRKLNAMTMEFKGRNVLLVDDSIVRGTTCREIVTMA REAGARKVHFASCAPPITHAHIYGIDLASPSELLAHNRDPDAISNHIGSDSVVYQSLA DLTGACIDAAHDTSADTPTKFEVGVFCGSYITPVRDGYFEHLERLRGEGRKFKAMDKA REAVAHGVATRDQIEIATKGLVVTEDGQIVPATNGTSEAPLTNMSAIDKDSWPPHEEP SPTVSERMDISLHNFGDYPL EPUS_05271 MSQLRTDKAFRLSTAHLTEVQSSTTNSEQIKQDRVGFNKDQRRF GQDDKNMDKHLGLPRNSLIDLNFPTKKVIEVQDTEMLDVLHDGSITSNNAAIKREIRG SNIPPSGVSIIHVTDDGAHYEIGSAMPTDADFRSANTPQPQMEHGMANIQHHESHTQP SRGADHAEGRTTSYRSPPSLDEEPDVQILDAAVARRFPVYTNSKIGPGDYLTDAEFER MMKANAPLSAQEINDEEGVDAEDVVTEDFIVDHLRSLVIGPSTETSSRSVGENSWPGQ RVADTVNIKGLNLRRGLTVELQNGSFIWIDSLKNNIWGPVTIKGYKLARDGYCGAKLP SGRLNELVWINEIDEEGHQVGLESVLQEVQVSEVKRVRDVVYTNCPWPMFSSHEEIAG RGLTQTAINNAAESEHGKLYCRWKFIQVKSRLKNDDEACLTLLSPEEAIDKAGIEPSL LRKAWRGDEDPIPGGSSTMPAFDVETGKMTSIPSYSLGDCFCGAGGVSRGAIQAGLQV AWGFDVDPEAIKAHAENFAAYGTKSLELTDSAIIELIKKNPRKFHVDIAHYSPPCQPF SSANHNKNVDRDFLNQKALFSLHDLTQLLKPRIATIEETAGLMHRHGEWFNALVHIFT NLGYSIRWKIVRCNEHGIPQNRVRLLLMAAAPGESLPKFPRATHGENGSGLKPYVKIR DVIYNIPSTAKNQNMLSESKKPYLLTPFSDDSFAKCITTSGGQFNHHPSGERRYNVRE MACLQGFPTNHAFNNHSITIATRQVGNAVPPTLAKPWLEGIIKSLRETDMKQKMRNKR MEG EPUS_05272 MPHHPSEEEAESNIFDKVAQQSKDTSGASDHPIHKSEDQPGEES KDKEAHQETIQIHSSKGPQISDEMPEKASKEELRARAAELNK EPUS_05273 MPSLLSDADKETVKRTVPKASNKIQAVAVARLYVAYPDRSRWTY TGLQGAAVLSNDTVGNTFWIKLVDISTSNRGVIWDQEIYDTFAYNQDRTFFHSFELEE CLAGLSFVDEKEAKKFKQKMDDREKNATKATKAQPFGSNAAGGAIPRMNGSKTHSRLG GFGSLLHPHRNSSAPTVTQAQSAVSPSEPVYDGPSSRRNSSGIDLSDPTWKGMLDELL EMGITRDQIEQNADFIKAYVAQKQATEGIDSTPDTVQARSQVAPPPPPPPPPPAGPPK LSPQNTGAASSNRRGPPPAPPPSRRPVGGRQPSPPTPHRDDSPPPPPSPPLISQLRFS ALHLR EPUS_05274 MFFQLPFRHLLLIEIQALRCYRQMYGRHLHLRVLATPLLLRRRH YHQVARLLLPLLLLYPQAGGSAAPLPKAAGGRDDLLSSIRATGGKGGGGLRKVKDSEK RDRSAAAVPGTEVAAANGNGASTAGVGAGADAGGGLAGALAAALSQRKKKVSGSDDEK EDDDDW EPUS_05275 MRPASSLGNVSPSASLLRFLQAQRDTLCFFSTSSSTDSTSALLH GPRLLEQCQRRVSYVESRRERPIPATLRASYLDILWPRHCEGATQALPAPAPNHLQHY RSSQSIQQQHRHASTDSQSFFQRLFSFRHRKNQSKLHPSDLPPGQNCDDPSSQLFNLS RSLLRSTAGADLKLRCTEFDANGSVTLVSGEFKKSELIAKYGLLPRDLRKIDSSVLPH ILVRPSAILINLLHLRVLIQSDRVLVFDAYGSTDSYTQSLFMYDLEGKLRQKADARLN GNSTYLPYEFRALEAVLISVTSGLESEFEGVREPVVRVLRELEEDIDREKLRHLLIHS KKLGTFEQKARLVRDAINDLLDADDDLAAMYLTERRALGKQREENDHQEVEMLLESYH KICDEIVEISGNLIGNIRNTEEVVKAILDANRNSLMLLELKFSIGTLGLAAGTLIAGL YGMNLKNFIEESDVAFPAISVICIGLSAIVALYGMRKLRKVQKVRMWGEGYGSQLNSV RGNWRNEAMEHAGLGALPGETRLERIKRQRQENGPVLWPGMDGLGVDKTPPQPTVPIH DNTVSNQTTMKGNVKTRLSATPVPAVSPTIGIKKSP EPUS_05276 MAAKTTRYSRAITMSACTYLSGGGLKRVWRFFRSPIGSLLAKKR IGNTAGDPAAMQRARNGRLPTTMFLLRWGQELPTWEETSQLARPATTGVTVHVRNVFR SVEIDVIFLGAVGVENVIEDKAKFWMKAQKYEDGKNDPDGDYYASIYCAEIVNSFTNL AFISLAYKGISSCIRNGHDTVFLVGFISYLVIGLASFCFHTTLKYTTQLLDELAMIYT TCIMFYSIFSYRRATSTKVVIALSVFLLAMFITLYYHYLKNPLFHQNMFALLTAIVFF KSIYAMEVTLRPVRRSQANQSHKATSGEQAREDQRDLAILRRMWQMLTVSLSSVGLGF LIWNLDNAYCPILRRWRRDVGLPWGILLEGHGWWHILTSVAQYINLTWSIWLRYCLNG EQDDVELVWPSFFTSVPVVEPTEKAKSESKLNRKKKI EPUS_05277 MALAPRHYIKVLEFHLRAVDIANIKGVPKLGQLIHEYNSAAYDR QEKAAYDSKAVSNGYEKHTRKTEGAVYDVANREDGGEPREKQEPVCFGDHLYSDGPLF FKRRELYPDPVKHPAGGFVRAHAGTEERSNGT EPUS_05278 MPAGSSTQPPVKLSLPLQFQQDLYNLLRAEDLLVILARGLGLLK IVTNLLHSYDATGNSLVIVVGAEDRENDWIGEALAEHYAISRSAQARGLRVINTEKAS VPMREKIYAEGGIVSVTSRILVVDLLSKLLDPETVTGLVILHAEKIIATSVEAFIVRI YRQFNKIGFLKAFSDSPEPFVSGFAPLANMMRNLFLRKPSLWPRFHVTVAQSLEGKKK AEVIELEVPMTESMRAIQNAILECVEVSIGELKKANSGLEMEDWTLDSALHKNFDVII RRQLDPVWHRVSWRTKQIAGDLTVLRSILHSLLSYDCVSFVKYLDTVLAAHSPPPGST RQNQSPWLFLDAANTIFQSARSRVYSGKVDDGSVLPTSNLPDTLRPVLEEQPKWELLS DILDEIERENYFNPVIRDDSNGTILIMCNDQPTCRQVREYLQTMRLKATKPEENGTGQ APHEVEEEAEKPSAEFMLRRKLREYLVWKRTFAQVSASLFEENQKSLNGIKEASISNN FRGRAPPNKRRRIRGGGAAGTNPRTANGAVSAADEKAAQVANLLAEIQPAGDETLVKE DVVIDDLTEAGDDFFELYDPDDSLIIHPYDADMDDNLLEELRPRYIIMYSPSSDFIRR VEVYRSSHTDRNVRVYFTYYGGSVEEQRYLSSVRREKDAFTKLIRERGSMAITLTDTG AVDPQEAFLRTVNTRIAGGGRLAATAAPPTVVVDVREFRSALPSLLHGRSMVVVPCQL TVGDYVLSPSICIERKSVRDLISSFKNGRLFNQAETMLQHYKNPFLLIEFEHNKSFTL DPFADLSSISTLKLPENDNKDLQSKLVLLTLAFPRLKIIWSSSPYQTAEIFEELKKNQ EEPDPIRAVQIGLSPEEEAGGSMVGGEQAGAARTFNPVPQDLLRCVPGVDNKKLQRLV LEVRNVQELANMSVQELDPLVGVESGRRIWKFFNRSVFEGEGEA EPUS_05279 MPKNKGKGGKNRRRGKNENDNEKRELTFKEEGQEYAQVLKMLGN GRLEALCFDGEKRLAHIRGKLRKKVWINQGDIILLSLRDYQDEKGDVILKYSADEARS LKAYGELPESAKINETDTYGHEGVDDNVEFDEDRDGSSDDGKDIDIDEI EPUS_05280 MVARWHFPQIPTLRLPRSTTMPANLFQMFYTPVFAPWRWDREHL TPNDILYPKLMDSYQNMPKDILRWHVVANTAVKEVPKAVMRERLRRRLREAFRDALKQ LGYDWHGRVLQPGQATGQPLNDLKGTWEIHCRGRAGLDCEFLELVNYAKSAVSAVDKS CKQHAGIVRKVGREAQWWEGVSA EPUS_05281 MDVARSFHPPSSSCEAHILIIGAGITGLVLAQALKKASIPFTVF ERDPHVSFRGKGWGLTIHWSLQTFISLLPQHLVDRLPEVYVDRQASERGENGNFLFFD LRSGEARWKVPPNKRIRLSRERLRSLLLEGLDVQWSKRLASFTTSLPTSTKSDTPTIT VHFTDSTTYTGTLLIGADGVHSCVRSTLLSYHHTPDSQKITTYHLPIRLLGVSVPYPA SLALKLRALDPFFFQGGDPATNAFMYFSFLSSPGNYPVSEDSAARDTYECQIIISWPY RSGFRGEKEPLDVPEDHEERVKVMKKLADGWAEPFRECVLSIPEKGTAVTAITLEDFV PSEGIWDNLGGRVTLVGDAAHAMTMFRGEGANHGITDVAILLESLLPNLSRPSSSSSA SLEHAIHTYEREMIRRTAPAVLTSRRACMDAHDYNRIDDQSPLISRRLMVTEEER EPUS_05282 MTESKADENSKRNGSYSTRGRDLDQAYWYVQESRSHNEDRASAG ELKALRRKVDWRIVPIMFCCYTMQFIDKVALNYAAVMGLNRDLRLKGNEFTNAATWFF ISLLIAEVPNAMILQKVPVAKWLGVFVLTYVLGFHLVGELLQLVRLPPMAARIFLGIF EAAIAPSLMLISSQWYTKSEAAPRFSVWYSGLGLGQILGGIVSFAFQQVKNPFFSGWK IMFVVLGLVTVIIGFITFFFLPDTPMKAHFLSESEIVVLLKHVAVNQTGIVNKRVKPR QILEILFDVQLWLMVVLTILISISSGVVTTYSATLIRNFGFTPPDAALLNMPSGIVSI LSTLIVGYGVRHTSNRWAWLIACCVPGILGGALMSFATSNRGAQLAGIYLVNAITATL IIIYQWTSSNVAGHTKRVIAVSLVAGSFSVGNIIGPQTFQARDAPDYIPAKITVLATQ AAAALMAFLTFVYYVLANKRKDAAPVEVEVTDQNREHLWEDRTDKENKSFRYVY EPUS_05283 MATWLKKARIGMPLKMKRISTTTCRTSMTQKLRCTGGCKTFRAE TFHASWVDRYADFPAILLELIQGFALNELAAHAPRETWQYICEDAIRIVNVIGDRDIR NEDVKPRNFIVRWQPGEGKFKAFMIDFALCKFRRKDQDERDWREWKAIQDEEGAVGYV MQRYLNGAFVYRRSDKYLRLDEDFKAEEI EPUS_05284 MLIQLTVGKVDAGVAVLLTEDKRLIEFPSILLPSSITSGSIVDI AVSQNYDAERKSQAAFADLQSRILNTYGIHSPSPPTIRLRGATQTSLVLEWDPIQLAT SNLKSLSLYRNGSKAGSIPRPKEMLSTKISGLAVDTEYSFHLVLRTTGGTYSSPVLTC RTHKMTDLSGITVTPGLLPEQLRASLEIAVERIGGRIADSVRIDTTHFVCTEGRGKDW ERAVEMNIPVVRPEWVEGCEREGRIVGVRGYYLDANPKQRHVGQNPSLSGTTAASLNV AVSAKDSQAYLPERRVPHPSDPRSPDRENHTSGEGDGPGPEVPPSPPPKNEKSRNSAS AEEEATSVSPAADSAGEGEEREEVSDEESNDAPPAQDTSSPWNQARRSEASMKAKVEN AEDGEDEDAGQSEMENVPL EPUS_05285 MAPSASTNPLTHRLLSIQRTPARPEMVFRTVGSILGTPLPSQPE LEYLDIVSPQQCNADTRAAIEHLLLNCMPQSRWVSVPTGKLQKPGRTNSYRRAWTRVR KREIGCDENILIMVEREMLGSVDVASERPFSELEVEESLRRTAGTPWWMRSQTPRQTV WKTSFSQR EPUS_05286 MNSRGPSSAFESKTFGEDLSFHIKQPVGSMSISPCGRDVVLASK EGLHVIDLDSPYSPPRYLPHRTSWEVADVQWSPFAARDFWVISTSNQKALVWNLGIKA RQDAVEFVLHGHTRAITDINFSAQHPDVLATCAVDSFVHCWDLRVPARPVISFSDWFA GATQVKWSRQVSHVIASSHDKYLRIWDERMGAYPVRSIEAHDTKIYGIDWNRHEQNRI VTCSLDKSIKFWDINVLENVPERIINTPFPAWRARHTPFGCGLLAMPQRGNGDIHLYD RRRVKEQHLSGTVPPVARFEGHKGQVKEFLWRPRGTIIEGVDHREFQLVTWGTDQELR LHRVVPETMAAIGYQKGVTQNSRLNFTRRGAKYRTFRDEPSDELFDEVFDSQVGSSPA TGQSFHPRPRGSTSVGMSKVAIPLSQGWLHGSHLAARVGMHGRTSGRQILNPIDWMKN VKIRSWNPETLGEEITQVGEKFAKVVFESVEMAQRKAVISLHAPWGPSSAPMFMKFDI KFPHAYPHEAAAIFNVQKTSGMTDDLAARLSTELRTIAETYVARKRGCLEAVLRYLLR EQDMESIVSWILDESVENSKIFEDVVLEQEVSSDEDDLGGPTFQGASGPINESSELLN ANVLVPVAKYCGALWADNGKLVCFFPSQPKQPTSFLEALASRHNDGDESGKIFEGFGR LQKDPPGARTVPRTVISGEDRISEHSDDSSFFSSSSSGSSDSPGSLPNHSFIRDAWQA GGKSLQRSRSTDHSNQSALGSSQVQLGAESPSNVVSLHDLSELLPSRRKLATEYIVFG KGPDVCGYNADVATRHGLHDIAHVWHLMRLILWNEVPLASSSSIDGSSDILAIARSVA SIPKGDDSGVDLSYDTAMERSPITASARVRWGESALGGRFLVPALVRYFEGLGDIQML AMLSCIFAEPRVSSSLAALSQQRHQHSLMQQKAPAFSVDYHPSIEVAKSTRDRASITL VTSANTSTKPQDVHLATLIDKASPSNPLTPYSTGTTPPQFAQHLPPQLIDGRRSSRFP STDVRDQIARHSSSTAQSATASLSTSPEEMRSTHRANSNHPFPLSRASLSAFAPSFSQ SPPKTSGGSGGIKRPSPVGSLMGQHVHAGGRSASIFGGTGSARNKPDLAATTARPRRS SARLMHSSSSTGQSRSMSSDGDAIEHVGADSSLLTTLKSGSNIGKPLKLTTRKGTKRK LKIKSALHNQNMFDNDGYASVPLLDPSFEWKYRAYRSSYARLLGVWELHTQRAEILKF DNLLGSFTPKFRGATADRSVDENELTLSSLLPRKTLDVTRNDSKQKRGLEIGRPCNRC GGILEAIEKNGIPIGWHCPSCNLAGNRSSTRTMCAICTKSIVGLMVPCLSCGHVTCFE CHRKWLARDSININTTDEVNPDLPEAGVENSCPTGCGCICSSHQSVSAPYPQTSEDEE EEEQAHSTDAASQSPVRTLTASQTADLMSTHGPDTAIGAFLSLSSRAHSVSSSKATTT SSSSRGKTKHHHLTIQPPQGKEGGGSLLPETYSDAWAKYEDMGRGQGAGLNRTATLRE RGSDATIRKGSEAEARLRRAGSFV EPUS_05287 MEAKPANCENADAQTAVSLAKPTSLSQPSTSDSRTFSNGSEILL LPLAGQIGEYRSFRGRRGSISADNDWSHNPFNALDSLLLEMETGTEASNMEAPQEYRK SIFSLSDKSMVPKSVKLRKLPDLQSVNLRDDSSGNHSDISALDMNASQITIRRLASTG NLSAQHEYRGSCGSAYSSSIYSEQSAESEVENENRAGFSTPGNQSRNPNAQEISKDDI PEEHTILLKDHASNAEHNLLTTKPLRPSRSTSDILNRDSRTLQTKTFLLEHSPSESMT PSPSSVHRSGFSKSTVKEGRSETGSEAFNLESSDSNLESKRVAPHAVNEKAARLLGLG QRQDSPKSPVTPTASQFPASRRNSNERSWSSPRIIRKMVSKISLTTQKTSPTSVLSPT TSSISRHDIESPTSHLETLSMRKSRSVVDGKMVGDARSTCLQEIVEEASNSSADDITS KLLLASNSSSISKRRGFRPLSVKIGEAFSPFSSGQSRIGHVDQGRKIVSLAEEYSQDS WKGLELSEKVNWTENLDPHIFNANFVATLTSKPAADIKDHPAFRVDPFSVQASETTLQ FSTSSQLASVSQASSESKSVISGQTSLHRNGQNVETVLIGLDLADHQSRRSQTTVSPE TVNAANVIQSPGSNAAPHNSLSSPPQSKSEQALGANRRVLTNNSPTNGIRGLRNHGDL PPSPSFARFNSRSISEKVPKMPSLFGSGSFFEESGEGKRTRGFSNLFKPKSTSKNHGR GENKHH EPUS_05288 MRTYDDTFSGTKIYPGKGKLYVRGDSKIFRFQNGKTESLFLQRK NPRRIAWTTLYRRMHKKGISEEVAKKRTRRTVKSQRAIVGASLDVIKERRSQRPEARA AARQAAIKEGKEKKSAYESKKKQEKAKSAAGAARGQVKGALSSKQQAKGAPTKVTAKT R EPUS_05289 MGSLDMSSANAVHQVVIIGASYGGIPVAHGLLKDVFPALSAARK QKYKVVLIAPNDHFYWKIGAPRLIVNPAALPLEKLLLPIADGFKQYPRDQYEFIYAYA TSIDPDKKTVSTSTDESVHYDSLVIASGTTFATPVWSTSNGLDALRADLAELHEKLPA AESILVGGGGAVGTETTGELGETFGGKKELTLLSGSTQLLPRLSNKKVGKDAEARLTK LEVKVIHGVRVTSYSKTAENKTAVKLSDGTEKIVNVYIDATGDRPNSKFVPEAWLDPR GFVKTDGRTLRLDVPDVQHVYCIGSVGSYSTGNVFDTKFAMKALLESIRLDLIGKRET SSSSIASLDPTPPGWIAWLTSWVPFYGSTETGTRKVFYKKFESDVQLIPIGPKQGVGV AFGWKMPSFAVVMAKSKDYMVSNAPKLVDGTE EPUS_05290 MTQSGGTSGHSRLLAQADQRHLQAASTRTESDAFGEIQVPSDKY WGAQTERSLENFKINQPQDRMPPGVIRAFGILKGAAATVNMKYGLDPKIGEAIQQAAA EVASLKLIDHFPLVVWQTGSGTQSNMNANEVISNRAIEILGGTMGTKKPVHPNDHVNM SASSNDSFPTVMHIAAVLEIEGDLLPALKSLRDALKAKAESFEKIIKIGRTHLQDATP LTLGQEFGGYVAQLDRNVERIDACLPHLRQLAQGGTAVGTGLNTFVGFAEGIAEEVSK LTGTEFKTAPNKFEVLAAHDSVVEASGTLNTLACSLFKIAQDIRYLGSGPRCGLGELQ LPENEPGSSIMPGKVNPTQCEALTMVAAQVMGNHVAATVGGMSGQFELNVFKPLMIRN LLHSVRLLADGMRSFEKNLVVGIKADEKRIATLLHESLMLVTCLNPVIGYDMASKVAK NAHKRGLTLKQSAMELKALSEGDFDKYVRPELMLAPKEKK EPUS_05291 MATSDNYSETRVGMSKDNTRTRVEVGGPKWQGSAYPADYDKHEF QTCGDDKNDDVEPRMSFRRAMSLIALAFLWTGSQIPAYILGAVPPYIYSDIGGLDRYV WFVLAYLLALAAVCPFVGSISDLMGRRYVALMGGVLLVVAMIICSTAQVMNTFIAGMA IAGVGAGICELTALAGTAELAPTRNRGKYVAVLMLTILPFIPSVLWAQLIAAKAGWRY CGALCGAWAAVGLFATLFFYFPPPPVHPRVSTRKQILAEIDWIGGLLSIGGLILFMAG LLWGGYQYAWSSAHVLVPLLLGAGLLGVFCIWEAYGAKYPMFPARLKREPRILALILI ITFISGANFFSALVFWPTQAFNVYNHDPIGVGIRGLPLGIGILAGACVVLWLLSAYGH NKELMIISAVLMTAGCGSLAAATPDNLGKLWGLLILAGLGIGGIVVPATIISTIICPD DLIATITALTLSVRVIGGAIGYAVYFNIFISKFVSNAQSYIGGVMATQLNITDVTAIT HAIELTAVSLIEGIREIPGIAGNETAYQMVIDAGKLAYSKSYSYVYLASIAFGVISIV AACFLGDIDKYMDDHVAVKM EPUS_05292 MPQSETGTRSYPFNVAPNFPTETTLGRNLWNATGLALCSLLFGF GAGTGLITWAYLQGPFEAGSEEESEMLEEITEMMNEYPAMEVLLNDPGWEEWPVPPRM VSGDAGKGLHFVTGTLTGSKGIIQRIFFHRNLGMLTMIVYFGNGIEGWPDVVHGGILS TMLKEAMQRVASEVFPLGTGDLHKLAIQFKKKVIPGEVYTLYALPASNAALSNGESIE SLYKMQPTERRDAIIAYIERGDASVDQPTFDQTTLAFGYGVFRVRHPFQLDEHGNIT EPUS_05293 MSAIAEHPDEPVEVIQVLFALHPNFGAQELCGPLEVLTRALQKN NDPESKAFECTIAASTPAVTSSSGLTVKADMNFEDAHENLNDYDVLIVPGGAGIDAIL KSDPAKSEPTKLIRAFAALQTSDPSKERTLMSIGTASLLFAQAGILQGLAATTHPDYY TKLEILCKDAARRGNLEQTDVMEENYVVNNARFELGEKLEENPFILSKRPDGRRKSIA RKGSNAWKESVRRRESVARRADMRLGGLRLITSGGVTSGLDASLYLVAAMVSHDSAIE VSRVMTYNWSKGVTVEGIDV EPUS_05294 MTRKANKDHAGVQGDFLDDFLDLGGDDINFVLRGTFTHVCSWTI VKQPICILEVDADYRSTSGVQAKHQKELDAAELSLPTSYLALEDLPYLDACIQESIRV HPGVGLILERVVPKGGVRLPDGPYVAEGTIVGITPWVVHLDEKIYSSDSGDFRAARWL PDDGERLEDFDARKQAMRETELTFGADDRVCLGK EPUS_05295 MATIPVIVKHQGKKHEVELDPESNGETFKSQLYSLTGVEPERQK ILVKGGQLKDDTILSSLNAKPGQTFMMMGTPSGDTSALEKPKEKIKFMEDMTAAEIAK QEGAIPAGLQNLGNTCYLNSTLQVLKSVPEMQETLALYKAEPAASGSNLQSLSQLGLG GLGSSADLTASLRDLYKQMSETQEGFPPLMFLNSLRTAFPQFAQKAKTGHGYAQQDAE EAWSQIVSQLRQKLKISSDSSSEKKEAISFIDRYLAGTFETTLTPPAEAVDKEEPIHG AETFLKLDCHIDKDINHLRDGIVASLSEEIEKKSEALGRDAIYTKTSRISRAPKYLTV HFVRFFWKREVGKKAKIMRKVTFPSELDVVEFCTEKLRKQLIPVRDKVREIRKDEHDI QRARKRQKLRHKEEEDRKHDDAMAEAAPLKKAQEAKERKEAEKSGKAKQPENNESDVY KTDAEYEAEREASIKAAKKELYAAIDPDLAADEGSNRSGLYELRGVITHQGASADSGH YTSYVKKTGRMVDDPKAPGGKRREEDGKWWWFNDDKVSEVDLEKIETLAGGGESHSAL ILLYRAIDLPSKEEIES EPUS_05296 MVWQPGHGRERRGPRPPLPAFEFPSSQEASECSCTIRVPLFVNQ VHPNLILPSTHRQHQGTTTPSPRTSPPPQDPIVTPPPENQIKMNPVPIEPGLPSSADP FADPLFGMTFHNFAVLKIGNVSDVSPRSSGRTHGVQIPYGVTKLEIAHFLGRDAQADM IDTSLGTPIHIIMERSTSKTMDCYIEMKTQGAALEHFRRHWDEITGGSCRPPRIGHRL VTVDMATTDELLKNMFPRTKCVRWENGRPIIMENEDPYSTGFTGYFTNEEMVGMVRHA EHPQRFPWAAPDTYTLGDRNSLFITYMKLLDVLVYKVDQGNVAMLSQPLLLEYIFAGL NCRGFGERQRGLIHARGGRHAVPIQVLQIHRYWPFEVIGKKLHIKDEELCKNYLGLIE EGVRVLGLAELLPSYFINAPGRMPGGLDPPIPITHFEFNELSQGLKFSDAIKLEMHLI RKIFKAGYKEVHGFYPGIEEPPLQQILAEIRGEATQTSVELSMAASMERLRLQTAKLR KDSPPQSPKGTPAPRDVDVVDDENTSLDVGRLPHAPVTPTDVEPRRRLSEPQPLTRTL GDSFEITPLRSSSGSKAVPIQDPKTKLLVTPTKPSKRTSLRIDDTIQEENEDDDGED EPUS_05297 MPDGIIIRTPPPTNPSPPMVNPSSASICCRHIRTNTNPIFMDEL PFVQFSFALNMEARFPSAISESGSSRPFILPQGICSSIFDQFITRTSGAEPSDSFTQT VKALMPEGVFSSHPMSLPMEVSPGHPSLTVNFLTLTIFLASNKLLEPTANVSKKIYEW LKRGSNAGLMEHLLSTGGPTAQALAENLFRLAIDDDDPRTVKKMMELGVDPNQQIYRT VCGSIITPFQRACQMRSVGLVRVLIDAGADVEGTESHGWSALTCALEYFEDDDDPCPA ELVRLLLGAGTKVNPRDGRSPLGIAAKSGSVETVALLIVAGAEVNLPDGRLGTTPLMK ALQSEWFISDDIVIAIVMKLLDAGADPKATACYGGKFMTALEAALLRDSNELVQLLLD RGAHIQESAFLMVVTALDLDTVKLLLNSGARVTQRAIEIAAQAGDCELVLFLLESAEE SIKERIISAAMIKAIHYGFTDVLDALDASDVELMVSDELPTAITAAADRGDTPILRLL LSKNSRHRAIVSQFLGDSLSCAILRGHNDITEMLLAAGADVSPRLRAERGWPLLEAIH RKDLDLTRKLLAAGAAVNAVSRSGRLGTSPLIRSIINAGAWVNAVERGRWKTALTLAV EKGDAKTTQLLIDAGADVNFPCFGPTPLEAAIKNKDNDMVEYLLGRGADPDEDSLSAA VSGSVELARLILSAFSKQDGRLPKQFGCHALGLAIRSKNAAMIEFLLANGVDPSTPVP WKHGRHIGLDAKEDSALGTAIKTDRSADLWIVQMLLRWGADPNSIVNNFLISTPLSAA IAERNLAMVNILIEAGGNVNASITAALWETPLQLAVEKGCMNIIQVLLQHGADVNASV ADGLMGTPLQLAIEEGRIDIVQVLLQHGADVNVSVVVTSTHTPLQLAVERGRMDIVQV LLEHGADVNAPPYDRYGATALQFAAIGGYVGLAYLLMEKEADVNAPPARTEGRTALEG AAEHGRIDMLQLLLKAGAQVIGSGGEQFERAKNFRFQERSHCCKTNPGITLHPILGRL EPUS_09508 MCADISHQPCTTREFMNFLLYIENSAENLQFYLWFRDYVKRFDQ LPENEKNLSPPWVPEQSKLKIIHTKANSDIDMSPEEKRTAAQEVSQAIKGTDFDAKAK LTTNEAGHNPFSTPPRTPVSDKESMSSSMAGWGDDGSTLFSGRATSHTKQSAAAFETA KAFQPFTVQPYREEISRIIATYITSEGSRCLNLSDKESAKLLRALSITTHPSAFREVV STIERTLRHQAHPNFIRYTICNGNRPRVIFARGLGIGGIVGGLLCAVIFTLSSAGRGW RALSAIGFFIGIATLIAAWKGMCVVLHGMHHRHLRPWELFSEEDSSDYEMKKDSMESH GSNNSYENEPWIAKYEKRNVIRKIFDREVWIQEPALRQIQDTIFLQAVLGAFVLSAVA VAIFVPLPKGNYF EPUS_09514 MWTQGENNTSAQPSASSASSREIPNPSKLPELKYYKLPNPTSIR LLDIVESESDKVRCEMRIMDLNSCPEYAALSYTWGNPVTVYEEPMPDTQNLKYPEDAD KLPFTYSTPPLGPNGEALVVVDGPREDYGTQRSIEVNGCAVHVEENLFLYLVAVGHWR AQHARTGDDSDHVHDALRLPMWIDALCVNQTDLAERAAQVQLMKRIFKSASIVFAWIG QSDRLSSIATDAMEMILNYASTLSEAIFAPTHDSEVQRPELMDTSLSSIPGMSVAHWF ALFSLLQRLYFRRAWIAQELIFSKSILMICSGGIMSITLLLTARSEPIDRPPLSDAGR HFMKLATFAETQCSPQIASASTPRSLEIEPRNAFSFILGYHRVRGRLGSSKAGMPLIR SRLVSSRVNSKAVHSWGVELPEHIKLSDQVMVEVEPGVFRFHRRPLRLLSVLSDFRNL DATDPRDKIFAFLNLATDDLGLIPDYCANVQDVFRKATEAMLKKTGTFSVLSQIQDPS ETKIKGLPGWVPDFSARLERTPFDQDGEEYQFYTSSNFPTAKMHFNTDGTLGVDGFKV DTVSSVTDMEGDAIIQVLKLALKVPAHYPANPLAWWCKDHVGRHLRPRAVTRVEALWR TLVADQLTEMDEDYGTLDSRAGLSTGFVNWVITDILEARGLLVQYIDIDPDHWMVKLI IDSFCTRMCLWSAMYDERQISTFTNLRDLGGVLDDLGSKKQDEEDQYQPDQHLEIPSP RMGLEHFPTAHQIAACFRKHVQEPEDGEDTLIGSYRTSALLRLTQLERRQLRNFEKCM QEATEGRRLFCTEAGRFGLGPKPTGQDSQCTDQIWILNGAKVPFVLRWERENRYKIVG EAYVHGVMYGEETNTLQEIRLV EPUS_08199 MALIISAGILTPIGLEETVVAGSFVNAKFEYAVDPTPFGTETPP RDLYILSRTCAGGLVPCPGVEPSDFALIQQGGNLPNITLFDNYVLPNITDCFASGFRS VGDLRTSPFEIQYRQYQMVSIDEESMDLENNREDAKNKATNTTGKFEILEKLVLLDKI VVREGIVADLVNGGVGFRNHTVPSTPRMRHGAQWTEDILWLEPVTACVDTNWSVQHRQ RSAPFSTHNPLWSSDLSLVNRGGGIVPTQIPDSKLINPPSQSDPELQSRALLAKSLFN YRLSEFLKLSRSNATFGSRYDFLDWRMWAGMQFWDFDGRKGLTLGPMEDPSLAPDTRW EPPDKIELDSTQFNLASFDVQAFQEYYSSRYSPWDPAASSPDVSIEDAYIDLVLVRCG FLLTPPAPTIEASEYSFQSLYVCASTVRATVKEVSFQYKQTSERPSLSDLSVSHVSPK NYSSRTSKPLWAVEKLEKKWNVSSIQPLWGIVDGNASESSSNFRTVEKESLYLPDFQI NDSRYQGWGDTMAASKGPGMFLDFVYNVHDALEYHARDIDYQGTENAQMLAKWRELSA SPETAAKIPNLIWTDLAANMLVGTKSLLSAFPNAQFHQVRSNKRRIFFDYAWAVPALI CAAIWILCAVLCLLLCLFPKSRSRMRLSRLRVLINQLSVGRALVVAEKSNAVDFRGST KEWLAAAGRKMIYLPTLEGSVDDGIELGSRPARGECSREGGAWMDQPRRLATTRSRRA SF EPUS_08200 MVLKLYGSAMSFSRVLVTILEKDLPYEHILVDIAKGDQKSEAYK KLQPFGKVPVLDDDGFLIFESRAICKYLARQVFQSRASNYTSGPKLIPGGDDKAYGLF EQACSVEQSYFAAASETIGTEIVIKKVKGLGPPDEARVAQAEADLDEVFAYYDRLLAK QKYLAGDDLTLVDLFHLPNGSALKAFGYKGTFEKYPNVDKWFTGLQERETWVKAAALA GTAA EPUS_08201 MNLRERLPFLTSISACWIGRISDEGFVDESIRLVSAYSASGISK SHWYSVRARFTYEEMRNGGMTDESTKTKTKTKKKKKKKKKKKKKKKKKKKKKKKKKKK KKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKK KKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKNKGR HTGLSALLAAAQRSAQDDTSQPQ EPUS_08202 MAPGASDPRADADLVGSLSTHSTTEGSRAPLQSHTTTSPRPHTS GETFAHSSADFRPYAGILAESQSVRSATPYENVTGRLAEREAGYLASSRTTPIEPSIR ALTMHQPSRRTARPQRGHPLTRRTEAAASSLPDTDEAPPREPTDRTTRVSGSRDGQEG NASRSAFSWVNTRRHAIETDLNHARADSYESNRRASNLQTPLSDSAVPAHRSLTAREY ESGPPKICFKTFFATGRGREARESEVVYHYLERIKSHHNREVPSQHARMRHAYDSLDN WDLLRQVVGRAGAEVLALVSPEYQFYDSDLPSSWRSDVKATWRFLHQHYGFLGHGTFM TETLILLDPKCNLREFKRIIQATIHFEPVLDTILSNHNHSTETTAPAHHPSYWRASPE LANKSRHEAILHIENIAHLQELLHLRGILGTANSWSTSIQSNYYCPSEVFRNAPQIRT ADDVVQWGEFAMSFVEASLSCTLPRLLRFSPDQIGLNRFLRGRGRGTEALYDGHVRLT RMFHGLSGGGAHPP EPUS_08203 MASVPLLTAKTRKGSAQAFEKLNQCFAATFRSIKLQLLWQSTKW RSPHEMKKTAIYDSRTAALLHMLPHIVPMATLVTFLVANIKTTFIGSISTDTLAPLQF AAKMVEILTQASIATVVLGLVRNQVLGGKGFPLGGLLAPFTTTDISSLWSLQLWGCLT STNIDIALRSALHIFLPVAIVLAALVGPSTAVLMIPRPMNHLKYSRLAFYNKLEDVYP EKVDLIGGNLKAESAFNATSFQAQMSAGSNWRLPIVDQYGVRNAKFVASITNGNWDAV ADVANSSFETLATVPTTMSLTSLVRAPGDYLWTVRALQPYVYTSCWSSLVQVSDTDPS GRYVDFGPAKPYLKNAVSYKPLLLPSNATMTDDLLSLYRTDYTCQGLRFCGSIMWAKL PPNITSHSLVMVTAQTAQPEDQWLLSSCTIDAYWSTVTTRLSASTVFSSDKPANPKED PRKVLSELSGKRLVSISPAWAQRAYTVTMELERAAKYSDDGEYLIQGLQYAIALSYVA SDQQNWAFSRFNSTEKWTSEMNGMNQQQYTAFMDYIDAHHFLRHFDEIDLFVNNTVWV KPEDLTKLETRRFAIGYGYDISAITTKLSLAVVMFYVAAISGYLLYTIATGTVAASWN SVAELVVLAINSQRPIGLLRNTSVGIDTLETFRQPVNIRVNHNDSVELVFDSTSQTRH YSKILPNEKY EPUS_08204 MSDYRVQQQYLNDAKPRYQERCSQLAGKYPNLRNEDYKRWYPYP NPESRRVACFQFLPDGTVQDDRFNDTDSLMAFLAVEPSPSTRRLFILESFNDKIRDLV SLKLDIDPQVFHRHTRIAIWESARTNAGNTPLLPSLIDSTRSWAMLYSQLMQLNLEKQ EFTLRCFGSERHIASSRYDGKLDGIGSVSRKISFSGTCRGQDGWDGALFGTHEKPEVA RAIKAPQPWQGGYNDFIKYSTVQEAVDAETPPRTSTFDDLCFYHTHHCKHLQLDSDPK SATTFAQKLVASEYMLLIEYNRFLLYHIGWKLSRRNNFEIFNSVWVEQTWSDLNSFHQ RIQTHHQNIVMATADLELKKSTTQGWMSTTEDFAHIEEQFLSLRQKAESLLDSFTGLA GIVGNHQSLDEARSVGLLTILGMAFVPLSLVASLFSMADEYRPGAGDFWVYFAVSVPF VALIFAMVFLFMYWRRLRRRLKWITE EPUS_08205 MPQKQRAVPKPRPKDSTAPSWSWASVFMRQTMAHVDWYRSEWWL TSDMFLRDAFEEAHCEPEATTTPFGKLKDAAYLRLDAVLYPWYLRSFCQMAERERGWN RRPGTKDLFIERPNHSTKCTMETQELTVDDANG EPUS_08206 MAAISPGRPSGPPRKPKKQSATTNTHRFEPFSERIARLRVDPVH RVQQNQSTPEDTGLSTTHFGQSLDHWAELNLSENFIHFVQKARPLCESLPQIIHHADS IFALLLHHIAERDVVSSEPLLSLLAHFAHDLGSRFEKYFAEAVNLVLSVAATHDASEV IEWSFSCLAWMFKFLSRLLVPDLRPLLTIIAPYLGRARQKPYVIRFAAESMAFLVRKA GNMYHKNKIPLENVISCLFEDLQGVKNQKEKLSYQLGLMSLFSEAVRGIDGELHSSSV EIVGCLVDHAKQSTDIDNPHLEILEGVVISIIHETTADGFFPLAKLIRDFSRTLGEQS QPGDVAVSARLLRVIIGTRKGSRISDWNTTMEGLDHVANIAREPAYSSSVILQDILTT VAMTFQFSPLDALLPFNTRFMNHFSSEPLSRYFLPFCLLTDQLGRDRFQSLVLPQLQK YIIREWQQDQLGCCLAVRQLTATGIHVSVPSQPGCPSSQYSIDEEILRILCADETVPE SPQFVKDALAQFAEDVSLPQDKSVAIKFVEPLRLQSLKMLRKGESLNVTSMAFSAGRG FKTYVRLAKFTDKLDKSLWMEVCDSAVLPAHNPIFLTALSEYLEALDMTDAELARAPK LIKRLTQNLLNCVVEIKIISVRLMHHIVSRIEVQDLLSTILQILETPYDLQTVRQITM LIRRLVVLHKNVKSDMLLRQAVPYFFLGLLTSESGPIVQEVHSAINTMCEDSADEEVV SDLVMRSLQSSDHSSPPQESMGEEQTPTAKPNRYQCTNIQKVKEIAEKAFTLFDEPKK NLDKMLNGQWASTDNGPILLSRLQALQVLKIIPQATERRSRFVVPVFLSMQPAQDYVS LYPGSAASTSSHTLSPESIPRAWTFPERKAFLELLGKFKNPKVLYKSQQVFDALLECL TAGQSEIQKLALQALFTWKLPGVRRYEESLLKLTDEKTYRDELTTLFHSDVESSSIRN EDRSELLPILLRLLYGQMINRSGSKANHGGQESKRKTTLRMLFKMQHDEIADFLDIAF GKTSIQIGDDGSIQHGTLSVDVMSIEKQNGLVRAAESMLETLQGQMAPFGERILAPVL YCLYRACQRLNEKRSTDDASKHGSKNSIARNIRRTAVHCLCMMSTSCHGINWSIYLPS IFKYAIDPKLDNFAVENAQGISGLLQLFATWSDDASKVPYLSVYNESLLDRVADCLVT PSTKDAVKIYILDQIFLRLPQLAAENPSLRQQVLSVLRPRMQHLLRVLGLLLESKETR KVLDSVIAVLASLTPLVDSTVEVAGLLSACTTLLEESSDRVGPRVKGDLLRAVLHLLR LTSSNAESLVHGQLRKTVSSFFNYFKDSPSRSALAEILEVQSLGDPQAIITASLCSRL NAISGDKLDSIDYDSRLEAFNAINAMDVADLIPELWEPILQNLIYFARAADDFAIRSN AVSSLRRFISAACELQRPGFHTLLQTTIFEAVRKAAKADSEAVRADHLALFGLMVQKC YSWTEISDMQGLLVSNDEDASFFNNILHIQHHRRIRAMRRLAAEAEQGVLRSTNICHF FLPLLEKFIFDPKDDEGVRNLRGQAIVTVGSLLQWVEWGQFRAILRRYKSYINNKTEA EKDVIKLLGVSADALISSLCLETENQDTEMQGLAGVKRQTALRKSLPSMERLSHELGT HFIPDLAAFIHQKDESQVTSRIPVAITTVKLLQILPQAQIAQFLPPLLLDIAYILRSR SQDSRDIARRTLAEATSILGPSCLNWTLKELRTALARGYQLHVLSYTVHSILVANTDH LKPGDLDHCLDGLVAVITDDIFGVVGQEKDAEDYISKMREVKSRKSFDSMELLAKSTT VQHLIRLIRPLQTLLTGNISSKHSRQVDELLRRIGIGLSRNPIAGSRDILMFSYEVIQ ELYHNMQPAKEHMMTNDELNHQRFLVQASGSNKTPVSRDSPSVYKLAKFALDLVRSTL QKHDNLLKPESVHGFLPVIGDALVQAQEDVKTSAMRTLTAIIKLPMPELQENASLYII EAVMVVKDSISTNGEAPQAALKLVAAILRERRSVHIRESDLSYLLHRIMPDLEQPDRQ GVTFNFIKAVMARKIMLPEVYDASKKIGMMMITNHGQSARDAARGVFVHFVLEYPQSK ERWNKQIKFLVKNLSYQYPEGRQSTMEAINMLLNKVGESVAQELIAKIFIPLILIMAN DDNAGCREMAGALLSRCFEKADAEQLKSLLGSLQGWVQQSGNLALRKTGLQAFKILFE VLGVKAEKEVPALLSETCQIVKELGHSDAGETWETIYHALQLVLKITAVFPALAMANQ QSLLWSRICALLTYPHPWVQSSSAALMGACFHDIAIANGKSSLASLPLIGSHGLQLTG EAQLDVMRSSLCVLKRNWSSRDMSAQLGRNLVFLGRCFNANSLSIDTTAPSTTREVEN PDLDDDEEHKSDDENEVLPQGTRVPAIAYLLTQLSLILRHEPRKLTSATLLPKQSSLT VLAALIHHTPTTTLDPLLPTTILPPLAHLTDPTLPTPNSSSSSSTDPNFSSTFRDLIT SASEVLDLLQKKVGATEYVKAMTEAQRLRREKREGRRIKRRLEVVRDPEAAARSKRRR GEKEKRRKVARGEGFRAARRGL EPUS_08207 MVVNIIVFGPVNGLLKKFFARLHKILAKQDLSFAIVLGDLFSEA PNETQAQETFDLLNGALNVPLPIYFGVGNHPLPARVTEKLEASGEVCANLFFLGRRGT LKTSEGIRIAALGGKLVESDGPQLTTLSNFDANFTVNDARTLHGAHSTDILITNQWPQ GVRSGSRFYLPDDAEPPSDAQCISDLCVALKPRYHLSTSAASYSREPFFHPATEDEPE VTKTTRFESLAAFGNERKERCVLAFKIDLSVASAITLPPDVTPIPFTVSQKRRALPDQ NTSYSRYGNGNGDTWRRPKRSRQSDYTKLENCFFCIGSPALQTHLITSMAEESYITVP RGPLPPPGTGSDLGIPGHALIIPHTHVDDKVPAEQRAHLSKNEYEEMQRYRRALCRMV QVKANGKLGAVCWEISRSHIRHVHWQFLPVPSDLISKGLVQAGFKVAAENGNLPSFKK YDPAKMVAEKGDYFRIWIWKPAGAAKVPGLEEAEEDDENGDETSMVMPIPSSERFDIQ FGRKIMAQLLGLGNRADWHDVMQTEAEETADAEAFKAAFEPYDPALESTAETNSA EPUS_08208 MACYRPGMSITLPRNFAPMGLDSLDEPKTPEQLFSEATLPPPPH HTTQRIRRSRIGNFSTHSHVLPTVLFASDIPVPSVEVPRDTGPIRPAWQQRIMQSSPS DRLQLPTNRHHSRPKTPLAQTKAEEPNWKSNAWTMDRPSSSLSMRSDSSSSSLESFVS RPSFGGSCTSPESDMTDPFIPQAINVISDTPSKPSRIFTSFSTVPPKPRWTIEQDNHI WNTYQMYLSDPTITPFKTVPGSLPPLGVCHRVAREARRTWPKATRVPHEIVRRHTFRD VMDESYAVRDKTPEGVRETTPTESSQADRRPPWPKESATRRRLKELCKRKFSIAPHYQ RLMQSRSPSPFLESSPRRSSSRASRQSSLVHETTASYTTRDLGISLVASGATAPLAQL VTGDSPPKEAEEWFNTPIEPPSSSPPVAATSQLGLGINSDSMTIPRLASPFKPNTWGP SRARRNPSGSGQFDTVHATGPRLFSPCRFDPVSSTANKQRAEQHLNTEVSPDVGDSQQ AKQEFVFTGSSNDINQRRIRLRNRGSTMGAVSNRERIERLFTPPGTLQEPLTENNTSS PNVNSTLMPPETEEKLKRLGSPFELDPNKRSNRSKTPRHVPSLSDPFVSNPFSTQSNT QSIGERLAAFGAMQGHNSQNVSQLGENLSDAERIRQQLLSRF EPUS_08209 MSVSEDLINFDLIETHKENIQQLPSGRSARQLVNILSPTPTGKL QSPSLDDTKTLNDAIRHEYEHEVQNIAESDDPLDVFDRYVKWTLNAYPSAQATPQSQL LPLLERATKTFLASSHYKNDPRYLKLWLHYIRWFSDAPRETFAYLSRHNIAEGLALFY EEFATWLESAGRWSQAEQVYKLGIEREARPAERLMRKFGQFQQRFAARPQDPNEPTSP ALPTVRPALAAKIDPFASTPRSNDPQAAQRVGSGTSSRPAKAKMAVFSDSGNATPPAT APPVEGWQSIGSLHERKKENAMAAKPWVGETLKAGKRAGTTEKMTIFKDQTLRIQETN QAYTAPNPPPPVMNSKTGRPERVFVNLEAVYPNSANPADEMSFDELRAIHRGWADKDW REESKRALQAISGNTQRSPPSLSNAVMDKLSKELEKKACIDENESSQQSTPTTNSQNQ SQEVRPAKQRRMKIREVKQETQTIKTNLASPTGPKIRRKLTAEPTMTFHSKAATNDVY DLFNQPLKCEAPKEDTQSAGESDIDDDGYSTAGESTGTGMISGAVSESGNEDTSVSVR TTMTGDEETSNGTVNTAETGGEWTEFSTSKHIPPQNLTGHQGSDEAPLIQHVDNTFSN MAVEHAHPEEELSTPIEPEVQVVETTANTPFVPIPPEDYNPAPLRTFRDPSNVAQSRL PFMTPIVEKTESSLAANTVYQKGEQDYFTARTPSRSSSSKIDSPSKIGVDRLLVSSPF AENASPHRSGGRARSPTQLDFPVSPSPKSKRASRSVLEEQEDDLSSPRKKLQITRGHG SPTKIKVKVTTTPSSPTTADHEDGFIKAAIPTQTTNPATEKSPIITDLQCNPTEPSIR NQILANIQPPLSSYTGFFNHPDHTFGRYTQLQKRRRRGNLEAIKAESPPSQAIWEFHI IRTIHRRLLNKNNNNNNSSSQDECRALDSIITAHELHAFADEAYLVLDFCPTGTLLDL INLVRADNKRAGKAAADAAGLDEVLAMFFTVELLRTVESLHGAGILHGDLKGDNCLIR LPCNFSTAKQSLDLGAYTADGSNGWAGIPSIWLPSVSDFLLTYSSAGFSFHAGAKGGH LK EPUS_08210 MASNQEQLIQQALNDLDIGAEKSIRKVAAKYRVSKTTVAYRRRG RNPRTQANRRTQRLSLEEEKTLIQWIRDLQRQNLCPNYPRIRSFVYEILRNRGDSRPL GKNYVSRFISRHSELRTSRSRAMDIKRLSALDPTVIESFFSEFEQLRSQYGVEIENIW NMDETGFQMGQTTSNFVAYDASIGRPVAPQPDNTQWVTIIECISYHRALKPYLIFCGK APELHMFPAIDELPDIIWAFSLKGWTDNELGIDWLRRIFIPQRPIGKHSILILDGHDS HSTGLFQYLCLQNDIHPLYLPAHASHKLQPLDLGPFSPLKAAYGQLVQRFALTGLATL NRRVFTKLYIEARQTTFTERNIRAGWHRTGIWPLNKQKLLNDPEIRNFGRTTPEYQPP ATSDGLYSTPKQSDNLRALIRQIEAKTTPQTRRAVRKLGHSAIQEHTGAQLLRTQLRE LRQLALKQELTKRSKRIQKETKQRSWNLEQVRAALAPKKVHFVRKEGGEKRILRYDIE MASKRRRDVATLDTQLIEIYDDLANEHEEIRLRAAHTLLSKFASLDSNSEQKIKTILQ RLFRGLCSSRKAARLGFSIALTEFLAQIFQSPVEQPGLARSDILDILDKQTSPEGSTS GQDERDHYFGRVFGAEAIVKSCILFRPHPSLPLWRDLLQFSCDLALKKPWLRQECGWL LFTSLSYLRASSLDAEFAVAIIESLKANSLVRTPEGVAIWLEIETCFADATLPKGVWK HRDPLCKGEAALLSDVMKHAKAKQLTDSGESATSQGAATWSQQLHFSWDVILSNLFLP ADQNAKVSSKRITFAQFWTDVVDKSLLLPSSSPERKHWGLLLLAKAVATSPSEYLKNI FTPNTALVWAHHLGMDERYLHRSAKKAVQALQARANRDPSIVSPAIQGLVLTASGLYN FDTITKTKTIVKLLSVADLSSLEDLVPAVCEVIEQTEATDEKQADAKRRSFADILVSI CARTVVLVNGKNEDPNPVAEMVLDTLIGLAYSNKSLRPGCRMFKPLPSFECRKYLRSR IKTCLDQSSQHRAMKLWLLRHTIHRLKDVHEQADHEHAIVEFDEKTEKIVEKAWKRLR KVAKTTSEASIQSSKAPATELLEMLYGLAILQVYDGDTDAPGILQDLNDYQHSLRRHS SDHNQTGDSDPMLEILLSFASKPLRFFHQAGLQAFQAFSSQISRQGLQSLIRVLETKE SSSGTQDIFDFDEGANHPLGDVEHDQSNGHISSSTTSDAELLDSDVEQISAKSSSIGE GSDEGIEDDQAELEAFDAKLAAALGTRKGRDDVDAEDTEGSDEDMYEEDMDEQEMEAL DEKLAEVFRAQKPTTNKKQERKDTKEAVINFKRRVLDLVEVYLKEEQLNPLALDLVLP LIRTARTTSAKQISNRAHDVLQGFYSRCKGSNVPVIDDGGGAVNEVLTYLKLVHEEAG RDNSGAHAAACSRASILLVKVLMKAQVNVGMMVDVYAETRKRQLLDPNCMVQPIFFTE WNNWCVTARDQLAS EPUS_08211 MEASSPLAAMQHSSAFLGGHCGFRADASTAYPNYATIKGFGPNC FNFKDLSMKKARSDYFSMQPVRGSSPTASLAADLSQNFHIDQSPQLPTPRRALFSTQV MGGLSGRGVQTVTTPPVPSSSPLPTSDSMDISPLPHKPAFSRTVEIELQSPTPELTPS DSSMLSEPSPIEPPAFQFPQLTIPHERRKSGPRRPSLSRTKGLSTSSIPQKPLTETQP PLFKFGNVIGAQKAASSSLCNLSEAFDESPPKEKLVVRSNPLMPPPRLRQPFAGLANV SRNASPLGHVRKNSNPVTRPRKQFRRSLSMFEHPEDVMNQGRVASLSPIADVEAPHVP QLPHTVCQDESNNLPRISKETLVEVLDGKFNHLYEKFVIIDCRFEYEYEGGHIDGAIN FNDKEQLSSQLFDVEPTSRALLIFHCEYSAHRAPIMAKFIRSKDRQANAEHYPQLTYP ETYILDGGYSSFFNDYRSRCFPQNYVEMDAQEHARACEMGLGKVKQRSKLARAQTFAF GQHSPSMEDSPTGPSRSHHNSAMETDFASGKKVDLDFRGAHDPHSFRNRRMFSY EPUS_08212 MRLIDSLCETLTRALGLLTISPWNQDTRLQQPVGPSQVQEPHQA LETLFPSTKPLNSQEGGISLQYEKLPVGDPPKVILPDGPIFAPPNARPGFVCNYTAMK GWRHTAGVGMRNAWLEKPIGDEDATGGIYNIFTNYDRFAPIGTVRKYHLNVTDQVINA DGIIRNDGGKVFNGQYPGPWIEACWGDWVEITVENHLQYNGTTVHWHGVRMLNAFEHD GVNAITQCPIAPGDQFTYKFRVTQYGTSWYHSHYSLQYADGLAGPITFHGPSSADYDV ALEPFLFSDWSHNSAFEDYGLELRKPPVAMKTVILNGKGFYNCSNNPNPDCEALKIDP PSIFEQVFERGRKYLLRLINTSTASTFIFSIDKHILQVVAMDFVAIEPYYADSILVGI GQRYHVIVEARPSNDLIPVEDQNYWIRITGANGCFDVEPNQANEKLGIIRYNSGSTKT PTSTRYNFNTDCADEPYESLVPVVPMDVTARDRPANDIHQDTGDNFEVGIELPDENYT VPHGNFTRWDILDTPMWLNFSDPTIDHIGDYAWGTNETAMITENFSKDEWVYIIITGQ GTPKQVSEGKREFLPVAHPMHLHGHDFVLLSQQTRPFHPDDLTNGTFKYDNPPRRDVA LLPRNGYMAIGFRVDNPGIWILHCHIAWHASSGLALQIRENEHEIQLTPQFVKEKDRV CENWKTWFANESNWWTAHEFQEDSGI EPUS_08213 MPEHLGLLLVHYLHHICSKAFSRNSKLFSCFGNANGNVSLSASF WSTIASEMSSLPKPSMTSPASEVESKTHNLSPIFQHSPVIKNLDSVGTKTIIQRRGTA MKASISRSALGKDSTSHKRVKTQASQNQDQLIVNTPQNIAVHSTPHNFEVQTFGLGRT TPAFWAKQLLSEEQQSNQDPSLFDAAQSVVCFLVYIDTKFKHIEQRTGPES EPUS_08214 MAQSQQEPGEDWMFPVDPDLFSLDSFEQANQKQNLFDWFSDIDN ATYGNIAFDDQKDQPAEDGLPSIPFDIGDSIPRNLLNNGELELESYFGLADTSMLPVA NPGLQMAHRPPTDFVARYFDPTLAASNCDTSDSWEYQSHTPMTTVPQRSEPCHAEVTS LNAFVDPNSILKHLAIEHGTVAGGSSQATDLDTPKNNVREQLQLSHDASLVRHERPVR RKRTTSKPLFPGCLTLDLGFPSATAKKLRKRKMKEECEMTKTVKSRSACLRCRFEKQK IILICLRHRTAREFEY EPUS_08215 MASWATLQELPHLHIDPPATIEWPESEQDKSYSSEQPWRLRKYD PYNNGVGFDEDIVANLEQLHVPKGILTTTATYNQLIRFTHIRQTRFQGPPNRDGSNLN VVLTKDPKDGRAIEVNGSDSLQYMWRCYAAVLRAIHREGQIKPGVGGTWVYQPWNELA HMMQTYPQELERLENERVRRGPFENATTSGFEWLHSFGEHLSEMMGCLQAIITWAQEV GEDPREYVHEAFSRFPATVQLLVVLKSREIAFEEAIGNEHFEDVLIDKRLWAIAPRDF YNFGRDWKIPARKWFTFLNGTVKPQLARFRKQLRDRFDYRLLVDWGYGRLWKKLYIFF KPESQAEKEAIKQFSKFATGHSKLAFNLFAIHPLDIEEVKPDPCSICLCDFEYDDLVM ETHCNHHFHPACLFSYWDDEHRFQNRCPECRTSQGILREKVNFEAQRVDKCYESNHEL ERLEQAYMVALVERVRGNIAFHDAPPLMELWCRSRVERLLKEERERKKLNVQGWNDLS EPUS_08216 MKLRAKDTTVQESAVRITRNAPRRRKWEPDEEIAGIETAPPALK RTKIQENAVTETTLHIVKEDLELEGIHATVNGGSKKQTQKSKQVGRKQVVTATVHDVG AQDTKQEAEEEVLNYNNDRTPTESRKAKQPSTKVRRTKKEQTDAGEEEEREVDGDSPK KRKRKAKDIAKIKEVKEQDTDIEDEAKVLNGDTPQKPKRKRKTKEEKEAEAMPLAART AGLRMYIGAHVSIAKGLQNSVTNCQHVGGNAFALFLKSQRKWENPPLTDESTEAFRSH CQTYKYDASSHILPHGSYLVNLAQEDPERAAQAYSAFIDDLHRCERLGIKLYNFHPGA SSSSPLPDAIKRIANQLNTALASTKTVIPLLENMAGSGTVIGSRFSDLRDIISHIKPE FKSRIGVCIDTCHAFAAGHDLRSPESFKQVLKDFDEVVGIQYLKALHLNDSKAPLGSK KDLHQNIGLGFLGLRAFHNVMNEPRFENLPLILETPCEKPDPDDPTGKKTVEDKGIWA REIKLLEGLIGMDLDGEEFGRLEKELSEKGREEREKMLKSIEAREEKARKKLEKGQKT LVDMMTGVGKGRGQGKAKRKREKEKVEHESSGEESEADLD EPUS_08217 MPEPNFLPIEDYGLIGDMHTCALVSKEGSIDFMCWPQFDSPSVF CRLLDGIQGGHWSVRPVQEDGFMTKQQYLAASNILQTRWINEEGVVTMNDFFIVENKQ EGTSGSVRTRSSVLVRRLECIRGKMRLRICICPRPDYGRKADKATISEDKDGWLVDFA NSQLRLSIYPKGNIDVSLQDGSLAYCTVDLQDGDEIFFALYEQNPKTTNMSSITSLKE SEIRTNLFWMNWAHKLQYVGRYRLMVERSLLILKLLTYQPTGAIVASPTFSLPEAIDG QRNWDYRYSWVRDASFTVYVFLKMGYGGEGEAYINFIFNRIADWQKAGANKALPLMFS IDGVSELPETELDHLSGYKNTRPVRIGNGAAFHTQLDIYGELMDSIYLYNKHGKPITY DQWLSIRAIMSYVCKIWKDPDMSIWEVRSRKENFVYSKIMLWVAFDRAIRLSEKRCFP CPKRLEWMQHRDTLYDEIMDQGYNPTMGSFIQSYETRTAIDSAVLIAPLVFFIAPNDP RFLGTLDTILKSPEKGGLTSAGMVFRYDHQKSDDGVGGREGTFCMCTFWLIEALTRAG IYDPKYLHKAVNMFENMLSFGNHLGMFSEEISISGEQLGNTPQAFSHLALVSAALNLD RGIARDIPAV EPUS_08218 MHPLPPPPNKTGKNQSAPTRPSVAPIQETSAGPEQSSQLFSQAS TENKKKRKHRGKKSKRNRRQSFAAPSEGSSQAAIPEIMTEQKVRDRSHSGLRQSFYRL GQSGGSMSNTSLDSEALFDHRDQPLMRPRRESRLGQSLFTGQRGGTSPNGGPSSSFRR DHTSSTMGNDPSRRQQAFSKNYVSTGEDSDSGDAPHDKTPLMSQSMRNGCSSDQQGAG GMFGTTRMNSNHRRESNSTQSSKKRKSRPSREISFLRHEHTEYDVNNPPSVPPSPTAG AELDDFMFAGADFTLTRSPTTQRVSNTYGNDAVIDVDSKPRSLPENGSDAQGTGAQRS KSIALPVEGDVCFPTSGMSETGEEEYVSLGQGEPDTTGARRRRRRMWPDLAVLDEWSL SERITLHQESRAKKISEPVLVGGRLRPSGQGWHRTEEDSPYRYTYFNEEFESTIHAQT ISELVQPGSSFKELFVPDPPEIEDDSSNDEEHSPSTREGNVDKTSLSPSLQDQSERAS EKRAPSLISELKPSSRDASGPQSGQATPQHNKASKPKRYGPRPTFWLDVLCPTNDEMR VLAKAFGVHGLTAEDIMMQETREKVELFRNYYFINYRTFEQDKEDANYLEPVNIYIVV FRNGVLSFHFSQTPHPANVRRRIRQLKDYLILSSDWISYAIIDDITDVFQPLIQSIED EVDYIDEKILQMHSATIALASAANSINNEKRSQAGEDNSSGFDMLRQVGDCRKKVMGL YRLLGNKADVIKGFAKRCTEQWDVAPKTEIGLYLGDIQDHILTMTSNLSHYETLLSRA HSNYLAQITIRMNERQEQSADILGKLTVLGTIVLPMNIICGMWGMNVKVPGQDVDNLT WFWSITAGLIVFALICVVVAKRVYGIV EPUS_08219 MFQPLCYLSVIPALLSRFSTPHVAAADHLHRNRGLSIRSKKKEH GKEPSKHTFSMATLRGMNQADLSKKLFKVIKTESHAIGAYESAGRERLSIATQISDWG ESTNDDSISDVSDKLGVIMSEIGEQEDIFAQNLEDYRSILKQIRNTEASVQPSRDNKA KITDEIQKLKYKDPSSPKLVTLEQELVRAEAQNLVAEAQLTNITRQKLKEAYDIHFAA TIERAEKQIILARYGRRLLNYLDDAPVVPGDERQPFAHANEARQVLNDCEQELQSWQP HLEPVNSSAGSLGTNLMPTEERENLRSPTSAKDSEFEDAPTLHHPEDTTETVVEPTTE VKSSTEPIRS EPUS_08220 MDTLVARYTRSPFENEGFSSEEQQDYTDSLPPLSLKFALPPVAR PSAFLRATTDDHANPNCPIKLAHGTTTLAFRFKGGIIVATDSRATAGNWIASQTVKKV IEINSCLLGTMAGGAADCQYWLAYLGIQCRLHELRHKRRISVAAASKILSNLVYSYKG MGLSMGTMITGVTPQEGPALYYIDSDGTRLAGNLFCVGSGQTFAYGVLDAEYKYDLED EEALELGRRSILAATHRDAYSGGFINLYHVKEDGWVKHGFSDTNPIFWKTKLEKGEFS NVTSELS EPUS_08221 MEDNARARAKEHIQSIQKLRNISENLCPDLENNWNLRDLAKSLS LFSDQLYSDGTHFIWELLQNADDNNYAIGVVPEVRLVLTDRCIHFWSNEVGFSKENVD SLVSIADSSKGEDVNTIGEKGIGFKSLFKVADAVTVRSEPYCFKLDTASPLGSLGMIV PVWVDPNDRRECCNADFPAHGTLFTLQPKPGFYKEKLYFDVLTFDFSFLLFTRKIKSM QLVDGRRNSWGEVTREGSIQVESGTGVIRTSLNGSPESLKQYAIFQHTVHDMPPEANR QGQAESIVTLAFPQENQKPSLEDQQTYAFLPIDHFGFQTEQTSSSSSTGNRLDVPQNL LIVGPDFRNAEGGLLLNDIPLLERVVANSYSFKSMAVLRKLGARDFTFPDFVEYLTRF VRGNPEDFQKKPSEWHSSIAAVLLTHLRSNAWGIYSEELSSCKELPMVPLRDGKWCSA ASDDVLLAGAFDQEIPGGLTVRFISNDAAADNSRHDLFRLLGIRSCDEFNICKLILDK QSSVQYMTHLIAQTVFLFRAGYSPETGVRLRFANSAKRTVYGRRVHVPFRHPGSDIRL LFEPDYQGTDWLHSFYETAVEGKHKRQWLEWLLNWPDVHIWPPVVADDHLSPHMRHIL EIKGSRMLLSHLKGQVEMDPHFGVNPWDLALVTENIKTLSVSTNVGLRQLSEAVLPGL GAASLVALPILEFDEPENEAWGFLQKYGVLTVPCLDFYLRQLKAMKAQDDLELLDANL SEIYQILENYSDQKQQILETFEASELIHVGSGRWKSAKQCVWKASFPCSTTPVLSELY GGCRELFRTFLDIKHAGMGVLIRELEHVESCHGDEQADAFRRLLPALDRLSSKSAVSY TRRVRLETIKMFPVSVYEENDETIAAHLAAAHERFWIADIPSLKSKFQGLVPLLETSG IGTPKAFVNVFRILDMDDKKLSVVVVRKEELYEGVEPTLAKELTSRLQKQVPYILGLN GRGDEGAVVFREGQIPDNGLQICIKRGLGLPDFLAPLTDQFVEFFGLKQESHMLLSRV LPTEKAEQFLEFLERAGVRGIFGDQDSETESSSESSCGYVSAVEEATDQNIECMLGRL HIHETQALTHQQSPVGQTYSRVHLNSNPSAEGMQREAQASDDPLASWKDTSLVPSALD PSIALEISSSNTSLPDPTEVRRLAERLAERLAERLAERLSNISIVEITSQNVGRNATI DSGIAFNTTGSTSSAVLGSGTSSATGVPPMSSGAQHSSGDYGMSAYSEPAISSSHSNW PSSEARRSSYRGFVDVTMPEEAGLSQEIGFQGEYLLYKILEQELRPHFTSDHWTSNNR DRVFDNPFGNGQMGKYYADFTYRDDDGKLGTYIAPRNGETSVNPTNHVITYHLEVKTT LDGLRTPVRLSNNQIQMAKRHSLRLVPSSLTQTDIYVLVRIYDLGGEGVPKPC EPUS_06894 MFEKSLYDLIRGLRSHKGNESEYIQGILKECRTEVRSQDMDVKA TALLKLIYLEMFGHEMSWASFHVLEVMSSNKHLQKRVGYLGAAQTFRSDTEVLMLATN LLKKDLSSASIPTMSLPLITLPHVITSSLALSLLTDILPRLSHSQPVVRKKTVVTLYR LALVYPETLRVAWPKIKERLMDADENSSVTAAVVNVVCELGWRRPQDFLPLAPRLFEL LIEEGNNWMLIKIIKLFATLTPLEPRLVKKLVRPLTNLIQTTEAMSLLYECINGIIQG GIFSATENAGEGDELAALCLGKLRGMIVVENDPNLKYVALLAFNKIVVSYPALVSAQQ DVIMKCLDDPDISIRMQALELVSGMVSTDNVASIVKRLMKQLRSSPINANELEDDGLD STPIEPMADSDGEDPAEILIEDQRTSEMPPIPNDYRREVIARILDMCGQDKYSNIPDF EWYLEILVELIRLLPPRISSDTDLSNSAVESVPGTSLAERVGFQLRDIAVRVKDLRPE ACRAAESLILISNRSALYPPVGSGADPVLGFVSWMVGEYSEYLVSPQETLNALIHDSS AALSPQILCSYLQAIPKVFLRIAAKASDGWSLSERAAISLLLARVVEFEETLSTHPNL DVQERAVEYLELFRLVTEALSSLQSDDRAPLLLTSVIPELFTGLELNPVAAGAQKKIL PPEELDLANSINPRLSSLLQLSRTEEDAEFDDEAFQAFYNEREAAPPVKAQNLKASVP KVAEQLSYQAAEDPDISARRKAEKRERNRDDPFYIAQGNDDSGRSSPIDILRGSNGKD LDLDAIPIVDLRLDEIDIRAPGGQTEPSKQKRPKAKRRVEIAADENFDSEIPSSGNDS APRSYEAGHAFPSGKPPRPRKNLLQVDSSGLHQLSLIDDANADRFNLQRREEEEAEMA AALKEVERMRLEMQRAAERVETAEGVDAEGTVVKRKKKRRPKGQTEDAQGGAAAEQPP EETAVVKKKKKKKKRSEEEAMRADT EPUS_06895 MAIKPFEQIYPGLNAANLKPKKDISPQEWERKQRLKEYRIAARR EKRNRKSDEKKQRKLNATILRRMTRNPEKYTKNAGRNRLRAIEAERRKIQTSAVHMAQ RLAAVYDPSGAMFNVSPVVTLEDGRVTTVEALQKRKEREAQKAAEKRGEVEAEPSHDV QTNGVHTPSKAALQHGNMNSDRMEMIESQLSQTPTLSKRQQKKQAEFAPRPALPKPII PEGIEIPSDEEENWLALWDLDDGELERRVLRAKKRAARERKEFRQYQKSGKAERRAAR DEKRRVYREIKQSWQVIRQEERRRRQFLTSMEDEERKRLAVQVNVKNRKDALDAAAAL GFTLENVEGVDEITPKTQAMKGLNIDFNKLEYDGDGPSGLKIIDEKAKEKPRGNRVDL GAVPEESHTSPVFAQKHASGPNASALGQQDFVGFGADALQGHEAQVVNYNHKVRRKLR RAMEGAKIRREMLVRSKAIEHCEQHGLEVPAALKTPDKPISIRGQRTLPSGLLETAKQ ERTRAKVELTEFNKQARVLRKQAKEMAVEAGIRVYLELMGRIPKREGLDEEMAARQAE RDGHNGPAAVEQMTSMADLIASWPMPEEGLGQLEGAFEEDDYAAFGGEVEVAAEEDEE EGLDTSEDSAARQLRREMAREVRQDGGGSSEDGSESSGSDDDSDEDMSDVS EPUS_06896 MVTQPPTLPPIRVRETHPPPPPPSLSLPSTQNPLHPTSRNLTQS LTYPQAQTPTQRRANAKFAKSEEKRMGKPESAVKKKADRTKPPISRGWIVLLAFVVCG SLAFELLRLFPVAWEFVAGFVARVAALFK EPUS_06897 MRALLHTRPSFIHHTHCLRPPTPRTVLSLPFSTRPFTTTSPILT SDLYSSRSNPHRAYYQTHGRALFKCLTLAFLTYQVVYWTWLTLETEEIKDQKNREIKA LEGEFRLLDEGRRSHLAERREGKGMEEGMAKK EPUS_06898 MPFESHLQPLELPEQDISSFLFNRKDRPFPEDRVIFQDADSNSS FTFGELRALSAEFAKGLQSLYDFRKGDVLGLFSPNSIDTPAITLGALWAGVIVSPANP GYTVNELAYQLKDSGAKAIVTQLTTIDTVRKACAKVGLPEDRILLLGEARDQTGRFKH WSSVRNISGTSRYNKANISPKKDLAFLVYSSGTTGTPKGVELTHYNLTSNILQLNAGE YGNLTWDGSGTSGDIPLPRKGSGGDKILACLPFFHIYGLTTSILLPMYSGVKIIVLPK FEIEKFCRLIQDHGITYIYVVPPMCLLLSKHPCVERYDLSSIRMTNSGAAPLTRELVE AVFRRTGIKVKQAYGLSEASPTCISQPWADWATSIGSIGKLFPNMQAKFCAMPGSGEE HDSSSKATEVAQGRTGELYLKGPNIFRGYHNKPEETKGCLDDEGWFRTGDVGHIDEHG DIYITDRVKELIKYKGFQVAPAELEGHLVDHDLIDDVAVVGVESQELGTEVPRAYVVR KGGKKAVEEGDGERIVQWLNGRVANHKKLRGGVRFVDAVPKSASGKILRRILKEEARK EYAELEKEGRLRAKL EPUS_06899 MGLAEPRKRIKISHDPNNLTWAQSAESYGQKLLSSQGWKPGQGL GARSVKHSNSPIPSIKLSYKDDTLGLGLSQKSSNPEQTRTGLDAFHGLLGRLNSKDEV EAKKLEQNSEDRKLARWAQGRWGGVIFVPGGLLVQGDKFRKAEDDMNLLGSGFEEQVE PSDLKTRKAAKALRKAERQKRKEAKGKREGKCSVSDSACKTKNDDLTDFTLENPKIKE QVDRSTNSTQEIVVADIGKSLGTDQMQAKRKCPKEKRKRQVDEADRVMKPCQEGEMIV ETVQLPTPPSEAVETPISARAAPSSSRSGRHLLRGRNIQAKRMAFADTRLLDEV EPUS_06900 MPSSEQTPPPSPPPPLPPAPRPRFAVLHQPERIQHFYFPEVTPS PAEAESGSSSSSTNQAATQPDKTAPASISASAGEPVASAANQPTSSWASICLPHQRQS GLSASLRRWDGL EPUS_06901 MSKPNHEYRLLLPASQLQSGSRLTSDLSSVLSRCPSDIYLLIKQ PSVGVADFSSSTSAPALSKCSNHATDTRLRSRTIIPEVIGEVDIRLIEQELNRRCGAS SIAIDVSTIASSAIFDDTPQVLSLNFSAPSESQPERGQDILDHDASLSLILDMLRNRN YTVLYTTTPAVPASHVAGTPKQYEMYSYDESLHTELKRDVDSEPVKVRNNQTLVDGPL FEKYQFLTPGLFMGLLVSFLLLSILYVAISGVASLQVSYAAFDKENGPSGQKKVQ EPUS_06902 MNALLKPVDASSEAASSANEPDIEQEWDGIMEPPEIDHEAEYID EDRYTTVTVEAMDLSRKGLHKVEEAEEHSDRSKDGKADAVKSSLEQRQGKRKWTKEKP RKESQASKRKRKKFRYENKTERKMTRLKEKSKNSRQAKVRRAD EPUS_06903 MASAAANFAKKMQSLRVEADEHQAKSEELQAKVKTLEQESLAKE QEITSLTHRNQLLEAEVEKLETALKEAKDAAGQIAQHGQQNESLQRRLQLLEEEAEEA DKTLRETNDKLRQTDVKAGHYERKVQALEVERDNWETKFEEMAKKYSALQKELQELEV SMGNI EPUS_06904 MLAARDQENLIYSQQTNAANKPLNKAIRGLPSKTPGQLAPKTPF KTSLDTENKARIFETQKTGLKALGKENENHLRPEKKDGTLDGRAFVTPMGPRTRAPLG MKTTNAKAQAFQTPAPLQQSTKREKTLKKASTTRKSIKTKIRIAPSEPVGADILSRDP DSDVPDIEYCPPPPVELPDPPEDITYDESLPYLRGKNLYAGYGEVYDIPRDEHGISLT ERKKEEAHARLLQQMENKLGEEMAKPWSMEDDEDKIVDAMIAAGPKKIMNRSSNVDTI RAKGAVSALASQPQTRLPSAAMMDTASSMQKKKSAFALLGKKTPLQPINPSHMRHNAA VAASKTTMGYSKGRHVSSILPAKQAPAPRTTAKIDQSKIHPLEFRELYGEPPAGSMMW HRFMQHGLFDSDVDDDEAEDDLAGQLCGADVHSEDEDEIFQLPMPEEV EPUS_06905 MVSFSCEACGDVLTKKKLDQHRNQCRGASFTCLDCMVHFHGTEY RSHTSCITEDQKYQGALYKQKPSKANKRKSVSIAEPQNNHALAPRAAYVEDAPDIDIP PPAPSPPPAVPAEPVQGVNVFDFLVAENTPNASRVSLGGSHEQMSMKRGAQSIFSDSL NDKPIATADQNTGEEQAYKAEYEEQGFTYGTEPIRPAQYANPNDSLASLDFMTPAAKA SRALIESNEPAAPSLANSGVTSDKKRKRGSPEALDLSTINTKGARRRQHQDDTPMADA PPSSADTPSLAHSGLTGGLNRLLSETQDPFPPTPDYSDEKEYAREMDRKSHHTRVEHP ASPLKRSRRSKDDSSTPSGPGFSIKGRAGRIMSMVGGASNGGALSVLNPGGLQNKETA LVKVRRRTSSSEEGHHGSREGRRFERKKHKVQRPVSSIFSATARHDSPSVRSQTHNEK LSSNASTTSRRRRSSNESPEARKRKVKTIEYHTTKDAHRAASLDYSDSDDDHNSNSTT KPPTTRPRGGGTNSTSGAGAGGGAEMVVFGEEQRLKLRAESFLSYVTKGPDSEKGCSI NKALKRWHRDGAGTGVEYTGSKEDEQKELWRGLRLRKNERGEVVVFF EPUS_06906 MAAQDQALLFNSRSYLKKFAKGVRSGADPHRASLRKYFICTNIY DEAFTEYPCKGWQELVDCFCMLVDKCDSLQVLVIHSAAFTSMEEVEIIASTIKELAGQ VLGHHPNLTRLVQYGPANATTDVGYVKWALIDKDNSRLGSWAPNNDSRVLSEHKDLSQ GGRRLQMTREQKRARDWMMSEMGGSSEDDPDSPDEDAANTDEDKVNDEGNADKEETDA NGDGADCDNNEYDDSETEPEADDEDEDDELREDANDLDQQTDMMDEDAPRIKRQRVE EPUS_06907 MPQQEAPSSLTSLQKDSSSSQKHSDPKCEVLGNLSSGEQPIIYH YLDFETPLPTRSRPSKHNEATAFNDEIPLPAYPNLVPFISPFSWSSTRKTFILTLSSA VTMLAAYTAGAYSMPAEELRQKWNIGVVTFNTGITTWAVGFGAAPMFLAPLSEINGRR PVFVFSGCLMTIALLGCALTDSFAGMLIARFFTGVGSSTFATMVGGVVSDIYHTQDRN TPMAIYSGAALFGTGLGPLISGFIAEYARWRWVFWVQALLGGILTTAVIIFFKETRGS VLLSRKARALNAYYEEMEAVGHCEWDIGPLSHTFETPQSLEPKYERIRWKVLADENRA SISRMLYLSLTTPFHLLLTEPVVFFFSLWVAFAWAILYMSFDSIPLVFTTSHGFTISQ VGAVFAAVSIGTFIGTVLSIYQEKLAKMTEWGRMIAKSPEGRLYFACIESALLPIGLF WFGWTTFPSVPTIVPILAVGCAQIGIFSIYLAVFNYLADVYHRYASSALAAQSFCRNI LGAVFPLFSEALFRNLGFPGASSLLGGISTILTAVPFVLVLFGPRIRARSKFANEMNK G EPUS_06908 MASSDLGHNRYPVDHHHRPKQEEFDDQLLTRPVSTSSGNSQFFD DTALYLPATTYTNPGRHLRSKLSISTNLGARPVKPFYGQIDGPADEEVSEASPDPHEY YRSLHDPFAAGTVGQNNQHDRVRTARSNNVSKPKSSLSSVRSNGAPTQNTSRTDIRQP YQASPSSQFREGALISARSKASLPSLDKPRQASFQDLVARFDRGGGSQSVQTKVEIGN GSRNISPAASIGNSDGSSRNVSLSKKGQTKTRSAVHRPSQDSVNSSKHKTSQVAYQSP ANRRESVDLGVSRTASRSVTDLRPSHPKAPRRPLFGEVVSSNPTKGTFSYGISQPVLR RGSVDSPMHSPNSMFPKSAVDSEANLSATSPDAWYRGFNPTLNAGTSGCHYDGTESQH RRAQSDVSAMQRSMQSEPSQIQNMMQRKSPVEAVNPNAGMGSRRNSQSRIPVRRRHSH ASDSATSAPHSRTSTVPTGHATHQGDLSSTPMSPPDASQASGSPRRKVDPPRRTDSPN NAPARGSRNRAAQQDQKSPLLRANIIAPPPKISPPLRSSRPRIPLSNASTTSSRAKTI ERLSTMQKQNRDHPSSIPRSRRPPELDNVDLEARRRKITQAFNKTIRENAQKEKVAAD RRRRARERAQVEEAAQRNDSTRTIRPADVFRRQSADKDAGPEEVEGGGDVFRTPGEEF TASEKSLRVADYTVSAHRAIDTAQENGESGEGNDSPTLGRPSVFRSRPGSRSDSPSEA NDMPPLSAVTADTDGTLFDNEPQIEQTEPSSVSGTVLSQIMNLRDLSPTDSPAMSDNG SEQAEKESIQIMLRNTTYYDHTESPSQERETEHDHQQTFLTEDKLDQDKMEQCSSTGS WTSSIRERSSVEGFGEPTVEKSPDHSIQRRNRHSPFSTKSNRGGSVGSQSAAEADAYK SVSRVLEKEYSTTSVNRNHFGDIYQKILEQSPDLARQGGWDTQRVTQLCLQEIDRSKY ERLSKVSSPFKRSQETSDQVSASPRPDSVAPEKYVQGHKYRASLNNAEDFAFTSPSIV DWMQFAAADSPTEDREGTAPPLPPKDSKASSARDGALTPKAEHNSELSSVGLNIHIRS PTKTAVSPTPPPLRPPSHSPPPVPYLSRSIDESSAAFGQSPSIYDDTPHSSNFPHKSL SPQHPPVPRRITSLSRMASPYNFPEGLPSSSKDSMIATSGSYPSERPSLERPNLERPS LEEPTLDLASQRASPSPEQKRVIRRKHVIKELVDTEASFGRDMSVVDDIYKGTSSSCL DLSAEDVKILFGNSAQIVKFSIDFLDSLKQAAKSVYIMPKSQRFQSQRASRAASASTL ATTTSDDQAGTETDQPTEFEKDHRTHIGEAFKKNLGAMEKVYTVYLKNHDAANRKLQS LQQSATVEIWLKECRQYAADLTNAWDLDSLLVKPVQRLLKYPLLIGQLLESTPDDHPD REAIKDALRELTEISVRINEMKKHSELVEQGLKRNRKESDVRGGISKVINRQTEKLKQ NVGVSKVVEDREYAQVRDRYAENLAHLIVVREDVRTYMGVASRTTQRFNELALAVDGW IDVGHTNYPEKESRWRQFGMIVRELVTVAIPEHIGAIQKVVVDPMTAAAKMLETLSKD PKGLIQKRDKKSIDYARWKNMKDRGEKIDKKTSDRMEEWEALNREAKDRMLKLIDLTG HLAQGCLRSYVQIQRTWQMIWQRKLAAVVGVSTPDVSKIAKEWQEDFDYHEAQALSLG ICNGSLVSEVVNLVTFATPISNFDGASSPRQPSWNGAGKRSFSINSDASPNFSTEFTP RHSGSFTASPMAETYDRASHSLPAPRMRGVSAASGPSTLSEIIVRNGSTTALNNTANQ GASINRPSTSAGSSGHRSPMPPRLSLDAPSPTIGVIKPKTTTARPDSGSTFYSANGGR APGSETLSPSPIPHTTTDSITSDVFSSALPMSESPFTATPSTTTTSTNNEDRRIDVLF LAASVYEFNIDRSRREAGFPYLTYVTGEIFDVIAERGELWLARNQDDPEKQIGWIWNK HFAKLTET EPUS_06909 MAFLDRVNIGNAAVYGLQRDLRLVGDDFNVALTVFFVPYVLFEI PSNIVLKRLKPHFWLSICMFLFGLVTLLQGFVQSFSGLIATRFFLGLAEAGVFPGCFY LISMWYKRSEAQKRYTLFFSSTQLAGAFGGLLASAIGNMNGLRGYNAWRWIFILEGLL TCVLSFATYFLISDFPEEAKWLTEEERVYIKERLRTEQGDSKADRRTTFRDIVEVFKD YKIVLGGLMYFALIVPAYGFAYFSPTIIRTYGYSPISTQLHSVPPFAVAFVFSVLIAF ISDRLQHRFVFILIPLALGISGSAILLRVHNNVDAQYGALFLLAMGIYAAMPVVICWF TMNLQGHHARSVGTAWQIGFGNLGGIVAPFAFLSRDAPYYRTGYALLMSMICLAVVSA AAYFLALWRENQRPERIAKEELTRPEHVNRANLDGRLRHIL EPUS_06910 MEKGRIHFFYPTSLKWENLQEIIYGPIILITKISILLLYSKLFA PSRKSATYINIQLLLYLCILFYTAITLAKIFQCTPRIKIWHPTLPGRCIDLGALFIAS SVFNTSSDFAILVIPIFVVWRLQITFERKVWISVAFTGASFAPVAGILRMVVTIQGAA SSDATYTMFSVSLWTEAEITTGIICSCLPALPALYRRYGPQVRAKLFCSTSSRQKSTT TSASNFTFPRTKIALRNYDAGDPELAYGDYLELGTTPDRKTIGGYVRGPITKIEGGVY TDHRSAKESVRSSTVVGDDPSAERGIRKTVSVDHHASSSA EPUS_06911 MNSLAGKVAIVTGASKGIGKSTALSLAAGGASVVVNYSTDDAAA NQVIKTVGNKKAVAVKADVSTISGVESLVRKTLEHFGKIDILILNAGVLPMKDLEHTT AEDFDRTFALNVKGPYFLCQKAVPHMRAGSHIIFLSTTLTTASTVGPPYLLYNATKGA IEQMTRVLCKDLGKKAIFVNAVAPGPTGTELFYKGKSEQVLKMAAGFSPHNRIGTPDE VAQTIVFLSSAPWISGQVVRVNGGMA EPUS_06912 MGLIAAVISALLAYITVTTSAPTRNFERTAHNVQLVSSFGIPGR NYTFDYLVIGGGQAGLTIAARLAANSSLQIGVVEAGTFSELTNGNLSQVPATAAVYVA KDVNDWQPGIDWGFITAPQQGVLNASVHYPRGKCLGGNSERNYMIYHIGSAGSYQMWA DQVGDDSYRFENFLPYFQKSQKFTPPDQSRRPANATPRYDPSVLGRDGPLSVIYPNYA GAFGTWIERGFAAVGIRPIDGFQSGKLIGSGHPLTTINYDRNVRESSETAFLEPELGE QDPNLIVFPSTMAKRIIFDSDRKATGVEVDTGGLKYVLNAGREVIVSAGSFQSPQLLM VSGVGPAETLNEHGIDVISDLPGVGQNMEDHILFGTTYRVNLVTGSAMANPQYASEAI QQFRQGFGPLTSIGADMFGWEKLPRNTSNFSANALTDLATFAEDWPEVEYLTPGGYVG NSTVLGEGFPKDAYNYAGVAAGMVAPLSRGTVSIISNDTSDLPIINPNWLSHPTDRAV AIASFKRTREIWESEVMREITIGEEYFPGRALVSTDEEILRYIQTSFDTIFHAACTCK MGRTGDPNAVVDTKARVFGVQGLRVVDASAMPLLPPGHPMATIYALAEKIADDILNGR EPUS_06913 MTTLSQLETYTNDLTVAAKALADRYRDAGVGSTPHLAVPSDASS QVHRARRDVLAIVGRLQTLLAEPAEFIQHLASQNQLLACLQWLGEFQVLAYVPLSGTV PAKDVADLAGVPETQLCRIVRMTATAGFLHEPQPGHIAHTALSAPFVTKLSYLDAAMF LAETAAPIALQMAAATQRHGDSNRPNESAYALAFNTSQTFQSACEQRTKLQRQWSAYL RCADTGDSFTELLSRLDWRSLGNACIVDVGAHSTETATALAERYPALHFIVQMSEPAL ANGAMEVGKAKETSLRITVQERAPGALQTVRDAAVYILRLPAPSPGVPSHALSTRILA ELRAHLGLLRANTSVTLILVPRLLPEPGTVDPDVEATARLRDLSRLQLANEREMEMEE LVAIVNSVHDSMGWLVVVNKLRSHDSATVALGVKYQAYADTHHEAESTIM EPUS_06914 MAALHSPPRLPTPSSTASRRSAAPPGPKLRDSCHACASSKLKCY KEKPTCSRCAKRGLTCEYVATKRGGRKHDNRSSINSNRNSTSNSTSNSNSNSNSNSNT PPATPATKNVTQAVPPLNGWFTPNSTISNADPPPSPGIIHPSPKPTTSGGSANLFPNL LPPVDQSLASAFTDLTTDLDDFFASPISLSLPDTSDTDILGPPHFFPTGVDSSSNGST NLFDTFPLFEDAISEFFAPTSNPRSPPNSRASPTSDAQSYQDTHVNDSPCFCLLRALG LMKQLFPNPSTACMTSATQGLNKSSSLPTIQDVIAQNAQTIEAVSAMLHCSCSQDAYL LTIISLIVFKVLGWYAAAARKAPSSGDGNRSMQSPCISLSRSSSHLEEVLQDSAGRGL SAHGSAACS EPUS_06915 MAPYIPYRLDGKVALVTGSGRGIGAAMAVELGRCGAKVAINYAN SRESAEKVVDEIKGLGSDAAAFQADVRQVAQTTKLMDDVVKHFGGLDIVCSNSGVVSF GHFGDVTEEEFDRVFSINTRGQFFVAREAYRHLNEGGRIILMSSNTAKDFSVPRHSLY SGSKGAIESFVRVMSKDAGQKKITVNAVAPGGTVTDMFHEVSQHYIPNGEKYTAEERQ QMAAHASPLTRNGYPVDIARVVCFLASKEAEWVNGKIITIDGGAA EPUS_06916 MSFGTLYTHKPNPRTTAILAIAKAHGLKLDIVYAERENKENYEK LLQINPLGQVPVFVGADGHVMTECIAIALYITSQSDTTTLLGSSRRDYYEILRWMSLA NSDLLPAIGGVVLPLIGKHLAVRKNTEDCLRAFYTDCKLLENHLQKNKYLVGDQLTLA DFFTVGTIVFAFVVFHKVLHAEYPRLTEWFNEIYEMPMFKDVAGDLHLANIPYPTLPE DK EPUS_06917 MSTLGAQATAVISGSFLSGAMMSLSLMAVPVLLDTTNQAPQLFH QWTRMYHYGHQVLPTLAVGTFLLYGYTSIKKRSTKQSWATFALAGITTLSMLPFTWIF MVPTNNELFRLEELSKAEPLVKGMAEAKELVVKWSWLHLTRSLFPLMGAVMGTLGTFA K EPUS_06918 MSFFLLLVVCSFMTPVGAFLLSLLLPGKKEKEHEEALTEESAEI DGGVWERLSVFEHIEQGLKKNPDGPAVICTFQPADYLEDLVPWDAKVQRPDSLWQQHQ PNGVLEDQNNDHQNVMPNGTCLILSYIQLHRTALNLAAGLLANGVQPNTTMLMLIPNG SEYALLLWTCILLRITYVSLDPASLDISGITILKHTLQTLKPQLVVAPDALSGKALDI AVSELQLPQPIRLCLSSPGTRGWKSLVDVSADGAKSPVDEAALVAAARHDNPERILSV IYTSGTSGRPKGCPMRVAGMSHVLHSQSWLVDSEVGAFALQQAHNSRGIAPAQTLQTW RAGGAVVMTGQELNVAAAAKAIKQFGVTFIAMTPPMVHEMAAELAARPLDVSFVKKIQ VGGDAVTKGVLIKCAALFPRAQVCVNHGMTEGGGSFVWPFFDTPASKIPYFGEICPIG AVAPGSVIRIWDTEKKCVVRKGELGELHILSGSIIRHYWGGRSEESFYNDRKGRWFNT GDIAMVDRDGLVFILGRRKDMIKRAGVGIMPAAIESSIEAFTGAQTIVVPAPHHVLGA EPFAVLDSYNGKTEAQIKDHVRAALGRDYALGGLASLKQLGFAEFPVNLTHKVIKSEV QTAVVKHLERMTRGDGKVE EPUS_06919 MATYAILGSTGNCGSAIIQNLLQSPQNKIHAYCRNKAKLHRLLP QVVDNKQVRVFEGSIYDVELLADCVRGTKAVFLVVTTNDNIPGCRLSQDSAAAVIQAL QKIKAESGAGVKLPKLLLLSSATIDDHLSRDMPAWLRPILLASASNVYADLQRTEEFL RSHADWVSTIFIKPAGLSPDISRGHRLTLDEEESFISYLDLSAGMIEAANDDEGRYDG RNVGVVNKTRGVGAKFPRGTPMCILMGLMRHLFPWLHPYLPSTGPA EPUS_06920 MGFFYSQLFKRLPYPTGSFVGKTIVITGSNVGLGKEAARHYVRL GASRMILAVRSLEKGHAAKQDIEASTNCAEDVIQVWQIDMGSYDSVKRFASRVNSELD RVDIFLANAGIARFEYSTTADNESQITINVVSTFLLAALVMPKLKATAAKYHTRPTLT ITTSEVHGHTTFPQRLAPDGQIFAAINDKETAEKHYEEMYPISKLLQVFGVRYIADKH PASTFPVTVNCVNPGLCHSELSRDDPTMKYTILKFVLARSTEVGSRTLFHAGAQGADS HGQYLSDCEIAEPAAVVTNEEGKKAQDRVMAELVKKLDAIQPGVWNNI EPUS_06921 MENEAPKKHKGGYRQINKTLNICAFEDYLEAQLSHLPQIADIEQ ISPRVIRVLGQNAGKFTLQGTNTYIVGTGSKRLIIDTAQGIPEWANLISSTLSNSQFA LSHVLLTHWHGDHSGGVPDLLRLYPNLSKSIYKHSPGKTQQPILDRQVFKVEGATVRA VHAPGHSHDHMCFILEEENAMFTGDNILGHGTAAVEQLSTWMDSLRIMQKHNCAIGYP AHGMVVRNLPGKIRGELASKAKRETQVLQALTKIKKSLGRGKGSVTVKQLVTAMHGDN LDVQVREMAIEPFMEEVLRKLAEDGKVAFEVRGGEKKWFAIQMIE EPUS_06922 MGEAPEIPAPPQGDPHSQFLCLTICGYRRPGMSEEDYRHHMTQV SAPMTKGLMVKYGVKRWTMIHNTTETRALMGHLFDHQMANLAEFDCFSQVVFKSVDDY KRMKEDPWYKQHLVGDHEKFADTKRSMMTIGWITEFIRDAEVVDGMKDC EPUS_06923 MAPKLVAHRFRWGAACEVQHQLFRNPTRSDTVFDAIGTNDDLIS LATAEKVVPIVLEVLLSEFLVDLLLASNSEQRTLHLENAIRHSWHEGLTPVVSQLMIT EPGFLPYSKWLSPILAIPDRVNENPAKKLARFFAGDFERMAHGEIIMGTGNVSKASWY LRCMNVIDEDLIAAYVARRCEAGFSK EPUS_06924 MFPARFDALSWIDSSYWVSILLVAPLLLISFYLYKTWAKLRHIP GPFFAQFTDFPRFLWVRSRKAHEIHIDLHAKYGKLVRFGPNMVSVGDPSEISNIYRMH TPLLKSDFYHVILPMSKGKVMPGLFATQDEALHRTLKKPIASIYSMTNLVSFEPFVDS TIGVFFEQLDKRFVKTGAVCDWDVWLQYFAFDVVGELTFSRRLGFLERAEDVDGIMRG VWKWFEYAAPNSFISRLRPARWSPMVEFAMKRQVERLSAVGKEEGLNQRDFLSRFIAA MDKDPSIPKWALPAWTGSNILAGSDTTAIFLRTLFHNLLAHPSTLARLRNELDAAASS GHLSIPTTWKESLGLPYLDACIKEAGRIHPPFGLPLERIVPAGGMTVCGEYLAPGTIV GMNAWVVHRDQETFGKDADAWRPERWLVEGETRKRMESGLLTFGGGHRTCLGKNISHL EIYKVVPTILQVYDIELMKNSQVLHIENRFFVPQSGFQVRLKKRASEKHSKN EPUS_06925 MSLTATQTATSQIRLSPEHVGIIRGAGDIPSGSLETANRLLQRN HDEHHIFWRDFAGHNHTVHNVLTSLALGATPAELQSAFEDNLPGQRPLPPVNEEVIRS FHDEAKFYEKIGDQTHYTNYLIFFERLIEERGWKDVINEYCFSRSRVADAMLIRMFDG AFHSIIHLGLGIEFEQASIIAEGLAQAAVHDHLGTDPFFLDAEKLANESAYEKHNLVD LLKEVRANETIRTAAHWDDFAVMKMKVGVLGRSLKEMTQLAAKFRVAREEVEERTAEM ISCCAYLAGAGQREGKERKIDFFYMHDVTSSIFLTVLMRQPWISIEDKARCVEWKCRL DLVWYASCGTPELDVRNISEYKGGPADGMDWDALYKAINAMHDDGHVAKFVRALKNGE EVSQPFEKDNAAFPVKGDSWLRLARMAYNATVGRVHEDKWVAFVGFDQAWEKVPALGA EPUS_06926 MSDQQELIHVDTSYGRLALRSVGSGDGTPLLLVHGNSSSSRIFK PVLESKIPSTRRVLALDLPGHGESSNAEDPERTYTMPAYAKAAVEVLQQLNIDEVVFV GWSLGGHIGVEMLPLFKGMKGLMIIGSLLVALRDAPLDDARTKWNMREDLSKEDLTMF AKGGTGGPFEEWMAEAAIRTDPKSRRVLFSNLGFGDCSDQQKLVENTSVPTAVVIGTD EPHLDNSMIKSLKYGNLWSGKCVEIEGGQHCPLWEKPAEFIPILEKFLEDVAN EPUS_06927 MATYAVFGATGKCGSSLIEVLLQSPDTKIHAYCRNAAKLTRMMP EALETKRVQIFEGQIDNVDQFLNCIRGCKAVFLAISMNDNLPGCRVAQDTTTTLLSAL GRLRIEIKAHIELPRIVVLSSASLEKKLCHNLPAWFHWVIFRSNSNIFEDLRVQERML RAEQDWLSTIFIKPGGLTSDKQRGHKLDLDVQETFVSYLDLAAAMVEAADDPDNRYNM KDVSVHNIGGSAKVPLTLPLLVLFGVLRHFFPWLHPYLPLLG EPUS_06928 MALLVSQSDPSSTLQLSQRAPLLLSTATPASHFFPLTLLEKPET PATWTDYERLFQACLRAGDDKSAHLCLERLTTRFGASDSRVMGLRGMYQEAIATSTED LERVLRSYEKILRADPMNVPILKRRIAIKKSLARPQEAISALVEFLESNATDAEAWCE VADLYHSQGMNAQALFSIEEALLIAPNAWNLHARMGELEYSSVAAGTESAQATQKLLA DAVRRFSRSIELCDGYLRGYYGLKIASHRLLENLDTSTSSTLSDIPPRNVISQLHDLA VKKLEHIIDTRSTQIVHSDSARAELIAAQELLDRSR EPUS_06929 MSRLENTIDNPELNEPATELDGEGSIGGVHNSPDYNSSLSSTSE HRDDYVHVDLPYPSEIPDDIHPSDSASESRPRSHRRHTTTSSRAHPRPRPQPQRQDLE PAGYRHRDPPPDSPESVDSAEDYVGGPPYRPNPPPRPVYYGGGHGPHLPLPYAPSNSS GASYPPVPGPPSNQMVHYGAAAPAPYGPHYPPMGVPPPAGYPPPAQPYPMSHHSGSHV GSPPFGVPPPFAGQPMMPYAPAPGYFPPQYPAVFAQPPPWDPYQRFRQSPAPPPPNPP PPPAPAPAPAQPPPAPAPQQHCQTGGSNALGGEERA EPUS_06930 MHMWPLPPEPEKPKTPPPPPAPPEGEIVNLDELLGPGKKVKGKG AKKSIPPPAPPPPPPVPSLDAVNPTAPLDAPAPAPAPGGKEVDKASKKAGPAKNGPKK VVPASSFSAWMMGGKAAKPPAKALKETKKPDGAAGSQNGAPANEGSCIVM EPUS_06931 MSVRVLIRDHPRRTVALTTGDHALVFRHTHSSAEDKQKSXXXXX XXXXXXXXXXXXXXXXXXXXXXLDLAGYRTVNNAQGTLGLITLNNDVFLCAIILASQV ASVRPGETVQRIHAVDFYCLNRSDYDHTHGHEPNPYPGETFASDDIDYGGGSDQGDST AEHPFHALKKLLSNGYFYYSCDFDLTSRLQERAENDAPFDIGNLDEGLLWNSYMINPL LEFRSRLTEKERKELDQSRMLTSVIRGFVKSLIIPPSSAPLRSAGPSNLPSALTVISR LSSRRAGTRFNSRGIDDDGNVANFVETETIFSSPSGVCFSYVQIRGSVPVFWESTSSL LPGQQKIQITRSSEATQPAFDKHFEQLALTYGAVHIVNLLSASKPGEVELTEKYRAHI RRSPLRQGGGNNESSEHHLLRQTEFDFHAETRGAGGYEGARSIRPYLETSADGFAYFM SQEITEKSAKASQKETIRRTVVVLQQEGVFRVNCLDCLDRTNLVQSMISQMALEGFLS HRSEVASADFWVRHSSLWADNGDTLSKIYAGTGALKSSFTRHGKMSLAGAIADARKSA TRLYVNNFADKGRQNTIDLLLGRLMGQAPVDLCDPVNDYVTAEVLKRAPEYTSSKAIR IWCGTFNLNGKSQGASEDLSPWLCASFGTSRPDPEIMAVGFQEIVELSPQQIMSTDPA RRITWENAVKKTLNANPSKTSSDEYVLLRSGQLVGAALMIFVKASILNQIKNVEGAIK KTGMSGIAGNKGAVAIRLDYASTSLCFVTAHLAAGFANYEERNRDYKTISHGLRFQKN RSIEDHESVIWFGDFNYRIGLPDDRARRLVHTGDLETLYENDQLNIQMVAGMVFQYYS ESRITFPPTYRFDVGTDDYDTSEKARIPAWCDRILRRGTNLRQIDYNMAPLRFSDHRP VFASFECTITTFDEAIKAALRKKLYDKRRREVGPRHAAADGPNDDAESNSDDEADLMG YESIAPGLPPASSDRRKWWLDNDKPARSDIRPPKEGMVPNPKRAINPFKSEGIDEPDW VQVERSDSFGRSRSRSRAGTGRRPEPPPARRTRKVGVGVLPDGASNSNITQPDYYPGP QRIVTSNDTTERLLSPASHSLSRSQTSSGALKKAPPPKPKKPSSLASPPSQTSTFTLK SIDSIDRPQLDRASTSSSTLQATKAPYVQPRPGNRNTVSSQAQNQQAQSRPYSHPVSL TASTASPPKQPSRTNTSNALIVRNSSANNDSASESTPPQERGARSSTLKKTPPAPQVQ LRRGTSASSSSSGKFDAKMPQRKAVVTESAVAAAAPPAPILPPRRQLTDLLNDDDDDV EGDDKTDRGSDRLRDWKPLQPC EPUS_06932 MLLSSRQNPPRLSLQRVNSHNNLAAARALLSSSPLPSPGLPSNL PRHGKKAPRINSRRLLRLTIWLAVCTLLFLAVGRIVQSDQSSTPISYVSPESQAYQVV DKILPEEPSPVVVTDQRGRSKWTISIPPALDFPLTPAQYASICKKSMEMSQQVAMLKK AHSGPTHIHKAHHGYYFKDQHFMDITEAESHDVLPGVRNAELLRLKTSSSQENSQRQC DRSMIYALETSAAGLGPTLLGLWLAYGLAQSENRAFFIDDTNWPYGSYSTFFKAPPSP GCLAPPKTQVVPCPHQARHLLVSAATVAHTFGHMFNEHFEDGRKMGVMRQHQIFAMMR AGFETLFQDKLNKEDQAYLNDRTAELNNTIRASGGEEIGVHIRHGDRHPLEYQYQKSY IPLEKYLDTAHNLSVQVPKASPSQILIASDDPDIYIDPLITSSITTPKIERAQSHISL ASKKTLAASGKAGLGWEGGFFKDVFWALGVPAKDQIPRIINPAQRPRRHAESGKEENK DTAARSSAENLDPHKKPSEAALKLRELIARSYLLDLAVLGQSDKIVCAVSSYGCRILA VMLGWETGIVQGGWRNVDGEFGWRGLDY EPUS_06933 MSTAVATALPVLSRPPMDPPTTALPPLPSSKPRKSPPMVTKASE DSNTTLSPTKLRSPSATLRPSALPAPQHSASTPTVPTLRSASSLGKASGSIPEKTVRK TISIASFPQPPKPASMQTTAASVSSSPVFRRGVSDVLNPGTMGSNRLKRPSRVGTATT VSSYRGSQTPSLLNGDGEGKSIPISSSHRVSDGSNPSPPHSRSSSAQGSCSTSATTFE DTDDVPRRSREDADEAQDNERNPRGKEAKGNVVVSVRVRPDSSAQESSQADGEWMVDG RRSLVAYRGREGGDYHYDNVFATHDNNAKVYDSSAKRLVRRVMEGYHGTVFAYGMTGT GKTFSMQGTATSPGVIPLAITDIFSYIRETPHREFLLRVSYLEIYNEKIHDLLSPPTT GTGMAAPQQEEIKLREDSKRGVYATPLKEEIVQSPTQLLRVIARGDHARRTSSTQYNA RSSRSHAVVQIVVESRERVPLGGAVVDFKRAAVTPGGVRVSTLSLIDLAGSERAAESK ERRAEGAHINKSLLTLGTVIARLSEDKDRSGNPTDKDGKHLPYRDSKLTRLLQGALSG NSLVSILCTIQIGSQGSAAAANNHTGETLNTLKFAARAKNNIVSHAKRAEEAVGSGAN AGLLDRYRSEIQNLRAQLETQQKAQVEKEEKQLEKEAEQRHEEQMLEMQLARTALKER IEHLNRLILCSKSTGVNTAGSGTVSALGMHSRLSGGTTTDFAGGRSVRSSVSQSTLGS PGAGLYRNPSVGSVTAAQQQTLAALNAEDEDSVAGEFADGFASLQAQNRALQADLQDK NRYISTLEKRLLQARRSSYSRMSMAFSSLNKAGGQDEREAAAVVREKDAEIADLRARL DDKERMVTALRSATRKRELAELTPESSVTEQSRGSGDQSHGSKTNSIISNSSPVHPIG PKGLLSPQTTPQGKTKRRSVDEMSKMLDEMIQDKVESGHIVKGRSGSVRVAAGHSRRQ SSTHLRRQSSAATTPPLASLSSAAEDAKLE EPUS_06934 MPFTLCHPSLFLNPAAQEDKQTDNKKLIRTTPHEPLSTNLRYLA LSFREESKKLVAKPQITEEKQEQETVESAKALKPKHRDSHPLHSSRSPPPHKEKRPLH VPRPVMNQVVSLPKLESPSNGPARTSFDGRPSSHGDDSRPLPIPVISLTTPNEGNESL CEAALRPLSSIRVGHPLPPPGRATVTVLVTNTDENGRSTSTTSTSETTPRSLSTDWVA RPFTPPPRKLIANTQHGGQPMPGYHAPMPVVDQQFRQNNPPTTTAHPFMPARDNRSPF LGNQAPTPFVNMTPRHPSFPNNHGQNSFQPVQPACAQPYRPQASRNTQPFAEHPPPAR MVPRPASHFPPSNQAPPSRVHTSEAPPDFRMLNSIPIRFNPYLKDASARVHST EPUS_06935 MESIILQLYEHLNANNSTTNGTNMKEESVTSHDRSEKPWKYIGY RGFCDFVASDNDFFILRRFSALTARLLLILQDELVELETQLSVLESRLSEKLAPDVHN GSFRQETQKTRLDLIREIDKKLRAYNELIIQHSDLRSRPRVPQKDVASLANWFHNNQN AILEEETDFINHRKDLFAMVPKMKTPLRRVLEQSSHFRLTRLWRKSPVLEDDNVHYAS DVRIDQFITFTITGLGLAMLISPLWILAFVSDISRRLAVITAFVVVFLGIISFTTVAR PFESLSASAASEKAELQKEISDW EPUS_06936 MSELLTGSADRRRPTRQPTREVAGEVTKKIIGLNNQALPPPAFS SPKIGGAARFLPQEELDMEPVKDHGEGEGDFREGCGISATDFTSSASSKPSLPESEPE HPLAAPPGWRRYWGLLDWEDRNRPDIISSPFCKHCYDDGVAWACKLDRWSGCKVSHPG RCLYVISYQQHPKEDVSINDLPEYRMRRHAREWRASVGTPLEIPTAKTERSLFIRAPS IPEQGTVKAEATGHEPIVPESRPTYSQVRSAYEDITRENKQNKALRAHFNMPRPALFP DKPSMPTWVEDVERFQPGDDMRRPWSRAMMSIPFKSGTKAATVEALTLEDESE EPUS_06937 MPSHKRLHSRSEAKLDQPAIVKRPKRTLKPKEKSLVERINALSV AQFYDQFFPPSVAGTVGSPTNLHITLSTWKEMSSPFREACVDLLKLTSRDHYHSSEKG WSRAKKLKEMGHPAMKYLLLRPVPAAPPTVKEGKLAGPEQTEGFLSFMITEEDRSEVI YCYELHLQPSLQGKGVGRRMMEVMEMIGSRVGVEKAMLTVFRSNDRAVEAYERWGYRV DDFSPEPRKLRDGTVKEPSYVILSKGREGFAREERAHEQNDPGGLSKSADENVTDNKT ASAQHG EPUS_06938 MPIDRRKALVIAAAIVGRILVFAFFPQLPELLTGQVEVSTPVSS YKRLQEGLFLYIRNVSPYEGGIFHQAPLLLPLFSLLPGRSSISLTTGLLYTLMDLANA NALAKIADSGEAVSSRLFTSPRKETRLDGAALSIAYLFNPFTIAGCFGRSTSAFTNTA IVHAVSNAVTGSPFRAMFALALASYLSMYPILLFPPLALLCWDQAVQKSNQKVGGLNM GMNLTASLFACINGFLWLSFMVMGGSWDFLSATYGFHLSVPDLTPNVGLWWYFFIEIF DSFREFFLGTFWLQLAAYVGGLTLRVRRQPLFVITSLLGLFAIFKPYPSISDVSLYLA FVPLYRHIFPCESFQTQNTIEANGTQ EPUS_06939 MGKIKKKGTSGQARNYITRTQAVRKLQISLPDFRRLCIFKGIYP REPRNNKKASKTSSQATTFYYTKDIQYLLHEPLLAKFREQKALSKKIARSLGRNEVND AVRLEKYGTPKITLDHIIRERYPTFVDAIRDLDDALSLLFLFANLPSTSTVPSKVIAR CQRLCHEFEHYLITSNSLRKSFLSIKGIYYQATIQGQDVMWLVPYRFVQKATQDVDYR IMGTFVDFYSTLLGFVNFRLYTSIGLVYPPKFNAESDERGAELGAFTLEGRVGDGLEN GDSLKTIANGHSQTTNSVEVQRQLEQVVQLEESEQDQENDNQAGKEENDDALDKFETA APEADTLAQPDLDSNQTASLFASFTFYISREAPRHPIEFLLRAFGCRRIGWDPVLGEG AYTNDEIDGRITHQVVDRPSLPESTSLGESGEQGQNVDNNSRTLRPGMRVPGRTYIQP QWVWDCINAGRLLRPDLYAPGATLPPHLSPWVQAAPGQYDPRASLAEQELDGEAQQAL EESRNDGKEDDPSSSDVESSEEGSVDGRMDIAGSDNEEDEVDEVPAEEDFAGFEDEEA GGVGSGNEHEDERSQHQKELEAEAAGLPFSSTPVSGKPSTGTIGTEAKKRALKKQKEE EELERRKMMMSRKKRKLFEKMQYSNSKRDAEAEKLRSKRRRLERAS EPUS_06941 MLYVVAPYYSAIFRSTKLIQRTGKSSLINALLHTEGLALTDVSG KAVTAFPTEYRYREEHQEAKFVIKAECFTDSELDDHIQELLDEYRQPYMASAKELSEV EYKMAEDKSHAAKQVFETVFGNTEMFFHDTGDHYSDLDLESMKDQSEGAYDRILPQLQ HLSRTLKWPEDMADGLWEDKSATAVRVSELPQPWIDSGLWVFVKIARDASMTNAVCVV LSLDLLAFTSNPIFSRLELSLLIYQDHGDMKDLEKHVSKDLLDKVKDQQNQLSNNRDK LSVSEWMKACAEVKIKYNTLLFSSRNERVETQFKDRYAADFKEYIPALFCVDNRDYHT CRNEQEAILSGIPKLRMFCYERPAKAQFKSAHHYIWTELPSLISSIKIWVQAARSPVK QNLASAESLFNDFDKIETAWREVIENAFGGMLSNCGVLILSSNCLLRILIFPDENLQE IIERAVTTCECQGKMHHCASFYTFGHLKSLQRIASYRAFIRKNGTHQTKTVGKRNWNR ELNEDANIVLADDWKSLDEQIATGIQWYLKRVKESMDKIIASAIDTMAPQEFIRNMRG RQTRLHFKLDIEFGEFRMDLGATKRNATAGDEASHFMQSSLWQAVDQMMSLGAGVIAR NRERILEHVTSGLFDELFRKINTNLGELALKHLNSIATIRAEISDAIDADISVMTAPD TAVFEKHPEFGQKVVRMLSTAKKSLELLQNAAARPVAWAHQRGYIQDV EPUS_06942 MKTSVLSFFLLSLISGSLASVGDTCGWAEKKGACQDVKDCDGGF TFNDACPNDDANIKCCVKKECVKVQKAPLESLGGRCYDKRYNKCAGGHYERNLCPGDN NVQCCIRDPSGASQDQPPKETSVTIPKCEDIKVIPVSNGGFDHLLYNKETTQLMADVA SNIGRVTEVCGKLAGLVKAKQAGFVCDAAGVPVKNIAKHAKECLGKNQCLKIKAYSVP YCVGKEDGCYSSESFGTWVDPPK EPUS_06943 MATFNADSNTWYHITESGVGFSGSLGSASASDSGAAAVFIHAFN ASDPGDSWQILPISNTTFAFRSKLGTARHQLDVNLNPMESDESRTQPRLAPTDLLSKS QQWTIQPWTDGESWKLQNLANGTSYNLDVHSGIGEPLFMSGTTEELPKREGQHWMFSS KSAINDRAFSTSEGVLSPTSVVKISSSMGFSSTSTMTSAFAMPTQSLQASPDQQAVSK SPSLGVFVGVPVGVSAVLILLAVFLLYWWRKRRTRKASSQNKPANPGRGEAMPTWPKP ELDGKPVPDAGWLARARYSDGAGA EPUS_06944 MHDQYGPIVRVAPTQLSYITAEAWKDIYGSHAGQAQNPKDQRML IAPHKGMPDHILRANDANHSRYRRLMAYAFSAKALEDQQSLITAYVDILIERLKENAN NAQNMTAWYNWTTFDIIGDLTFGESFHGLRDQCWHPFVETITEGIEVGAAITAISYYG LGFVLQYLTPKFMLDKFERMQAYTREKVESRLQRGTERPDFMSFIMRNDKKGQQMSKD ELEVNAEILVLAGSETAASLLAAATYYLCTNRQTLEKVTSEVRDAFETDQDMDMHSLA KLDYLLAVLNESLRLYPPVPSAVPRITVNGGRISGQWVAPGTIIGIFQFAAFHSPSNF HESEALVPEPGFLTHPLSSVRTTKMWLAHLEMRLILAKVLWNFDLSLEEESKHWTNQR MHIVWQKGPLMVKLTPVDRTEKVKSG EPUS_08496 MQSLLQYRRLRREVQEDLTHVQQAVRPADPALSTSTAEPKEVVP EEEEPKELTLVSGVTVSRPDEGNGSVVFEKWMAMLTCCAIAIPLTMLASIEGPTQYAF DEHYGVNAMAGSMTTGIFLIGTGVGSLFAGPFSETFGRNAVYFSTMVVVLLFIMAKAL APNYGAALAFRFLCALFAASPMTVAGGTIGDIWTPMQLPFGLPVVTFAAYAGPILGPV ISAYTPEIGFAWADWISMIMVGAALVFVLLAQPETFGPVLLEWRAKHLRDLTGDNRYQ AKHASASSFGTRLLTNAFRPFSMAWTEPIILVFSFYLTLLYFVLFTFLNGYPYIFERP YGISTSLTFIIWSAMMPGVFAAMASIPYIYHLTKKAAAKAAAAGESLQPEVSLYYSMA GASILMPVSLFWMAWTCYSDISIWSPIVASGVFGYALVCIFTTSYMYIIFVYLQYAAS ALGFMTFTRYVISGALSPASIVMYDNLGPHISLTIVAIVTTVMAPVPYILYIYGHKIR AMSRNVQNKA EPUS_08497 MARLPELTPPESSGSRVPSVHHHDVVTERPGDLDSLRLIGTTRF EPMNDVKTILITGGAGFIGSWVTRHLTVRYPEYKIICIDKLDKVSSLANISCLEAFPN FHFVQGNLLDQATVSSLLAEHDIDCVMHFAACSHVQNSFEDPSIFTLNNVVATQWLLD AVRHHGGPGRLRRFIHVSTDEVYGDVVDEFVDETKQFMPTNPYSASKAAAEMYVWAYA KSFGIPALVVRSNNVYGPCQYPEKIIPRFYTLLSEQQPLTIQGSGLNVRRYLYAADAA DAADGFDTLLHKGVVGEAYNLNSASPVTNLEVAITDRPFNDHDYRVDGSKLETLGWRQ RVPFAVGLRATVDWYRKNIHAWWPDVTKTINVKSTATIRGDMRSRVGDNEVKSVDDEV TIGEISDASSPGVLG EPUS_08498 MGSNTPESQIFLMFGNGWIANLMKDILLEQGKTIIMSKARIESR EQVLSELTKHKPTRVINCASTRGTPNVDWCEDHKVETVRSNILGVLNVVDTCFQLGIH VTQIGSACIYTLSEEEIKHQPPFKETDEPFYQGSWYSRSRLLSELSIRHYSNLLLLRI RLPIAADLHKNNHIGRLLKYEKIVDLTGSGTVLPNLLPGALVLSEHAVTGIYNFVTPG QISNVELMELAKKYIRPDLTWQTFVLDDMLSTLRAPRSNCIMDTTKLQKKLREYGYEV KERREALEEVFQIMAEKGL EPUS_08499 MDSDEIHITLNPLDYIPPRNYVRLLFPFVLKPGAEDKVVFNDLR EALHKTFVQEPWISGKVFRQAPDTPGWRPGQLEIRYRPYSPDGARPYQLRYQRLDTDW TYADFRDCGFPSGVFPEELLLDAPRLGDVDVAGADIFVGQANFLLGGLLLGMTTVHAA TDAAGMLNIMKLWAKNFCELHDRDTSSRVAPSPFTPPDRDRTLPDRIWERESSGGRSS RNPDDPWLQGLAGLDSDYPGEDVTGATQRAAAAATASHSHTANGNSFPSDHPRVMLNR VMFLSSADLAALQKECAAEPLPPGMSPLSISDGINALFWRCVMRARAAAATARSYTLD EMSLFESPVDVRNVFAPDFPPNYLGNCFLLNTVRMPLAELIAPSTSLGRVAQALRQGA ASLDSQAVRDAYALLRSTADLSRVQGRFVERPDSADLLLSNIIALPMHEINLGDRYFG NGGIPHALRVLHGPYAPSVRLGHVLPRNPKHGGVELSLNLFDDEMPYLDADEEFSRYL MTIEA EPUS_08500 MGSTAPFEPIAVVGLSLRLPGGANDLDGLWKLLEGGEPAWTPVP ADRYNEEAFYHPNADDPNGTSNHPGGHFISGDIRDFDHAFFQISKSQAAAIDPQQRLL MELAYEALENGGISRESIAGTSTSVFTAIFPTDYNGHLYRDPLDLPVYYMTGVETAIF SNRLSHVLDLRGPSMTLDTACSGGLVALHQACQSLRGGESDAALVAASNLILGPDHFI GLSNLHLLSSTGRCYPFDERGKGYGRGEGIAMLVWKRLDDAIRDRDPVRVVIRSTVIG QDGYTPQNITYPNGQAQADLVRTAYACAGLRPEDVAYVEAHGTGTKAGDKEELQGIAD VFASTTDRAVPLYVGSIKGAIGHTEAAAGLAGLLKATVMLDRELIPPVAGFANPKPGL PLDRMSIPTTMIPWPHAVGITPRISINSFGFGGANAHAILERGPRPLPKTSAHDAAFP RLFTLSANSAASMKAMIQAQHDWVEQRAETPLADLSYTLLHRRSALPYRFSAVAEDRA SLLKALSQGFATPAIKPSPTELDIVMVFTGQGAHLPSIHPCVTRHPPPARRYLLNEAE LAQPATTAIQIALLALLRAQGVRPRAVVGHSSGEIAAACAAGHLSHSTAIKVAFHRGC MAAAVKTKGLGPGAMLSVGLSEDEAARYVKGLALGNAMIACINSPRSVTISGDADAVN EVDERIAAVDDNIFRRKLLVNTAYHSHHMRAVADDYRARLGVLRVENRAAVADEEVAF FSSVTGQLKTSGFGAEYWIANFVSPVRFRDAAQALGKARHQAGQQTLFVEIGPHAALA GPVRQCLQHPDMPKSPFVYHAPLQRKVSAVVSTLTLAGKLLELGVRIHWNAVSAMVPG ADTAMVRHDLPAYRWDHSTKHWHESRVARAYRLREEPYHDLLGVPVLDATDIEPRWRH FLSHAVMPWLADHVVDGLTVFPGAGYVCMAIEGVAQLARRRYLQRPLEMVALHDVSFK RGLVVPDTHRVELQLSLRPQYRSDLAFYFSITALSDSGEWYEHAIGVVEGVLSEDDVK TETTKEALPKLPLGSDTVPKDTLYRQMDAVGNTYGPAFAGLHSITMAADASQASSSFE ILDIQASMPAKHQRPHVIHPSTLDIVFQTALPLVGRRLGPGSIMPVHVDELLIAATPS LQTPGSGLDISTLLTSSHFRTAVSDISALASGQRVLSVSGMEFRSLGSHPRGAKDTAG TVNRTREICYELDWQTGIDYVRAEDLPANLALTDLIAQITFRRHGQSVIGLGVSVDLS EEFLNAVQTHNKVNSHDFVDITPGRFDDAAERLKGLPVQFRTLRPGTNPVVRGFETGT YDVVLAASANWLNQAAVLVKPGGTIILVLSGRNSKDSAWRATLQRTPTPLEEQLTFRD NSQDRLIVMAKPASIHLPAKIHILTHSTCNTPAWVSAVENGLRARNVNVLLDTLSSST VQCLLSRGAIGRSSNDTVIVADDVPNLPILTDANTFNAAITLLRQPARLVWLSPDDPA PFHQIEGVARTAHAENDDLRLTTIHAASGLLTNRSGHERLVDLVVGAISQAADPNMPH TEREYRIRENGAVLVPRLHYSDKLNRAIADDGDSGPETEGHYFTDSQRPLVLSSEGKA LFVDDDKVYATLPADDIIDVEVQAAVLSKAGSAAPMGEYAGVVARVGANVKTLDLGDR VVALAPIVGASRLRIPHTNAGRIPFNMPSTTASALLLSAMAAAYALRGVARLLSSGGT VLVHGARTPAGRAAIALARYIDVRVAVTAADPAEARLLKEQVGIDAPDVLVARRSLHR RSARDIFADGFDAVIQAGEDALPAEALAHIKPFGSVIVVGHSSSAVVTPKLPLNVAFH LVDITSLVQARPDLTPTLVAEATAALKHVPLSGLEIPVRDVAEVAEALRLINTGVLGK VALQVGSDSIVQVIPAAKPDTWANENATYVIAGGLGDIGQRFLVQMAQRGAKHLATIS RRTVDPDSQHALQIKLEAIRPGIRLYILKGDVSSERSVQAAAATLSHLGAPPVRGVIQ AATFMNDRPLELTTYDDFTSVTKIKVDGTLALHRAFASSELTFFLSLSSVSSIVGASA EASYNAGNALQDALAHQGKQHSGKTRFLTINFGWIDDAVLTMNDETRQGALRRAGFSL FSARELTRFFDYILGAATDPNSSLSQAIIGFDTESLASATAYNGTIHSALFSQVRDLR RDIGVTPVEEGSGVEASAGSWQTFEQMISDGNTEAVTDFISCAVRAQLARLISVDAGS IDAHQGSIMALGLDSLVAVELRNWVMRQFDAPLQSTEILANQTVHTLAEKIATRSKKV KCVAA EPUS_08501 MSSPEPVDQPSGAAAQITSIVSTDDSGPYSTAPSNAIGPIPSIA GIHATGPYAIGPWIERVGPPKSLLLLFAMLILRPFQEEHQNNKANSHTRQFVSSRGNE YFCEIDEEYLTDRFNLTGLNTEVHYYQHALDLVNDVFDLDCDDEMREAIEKSARHLYG LVHARYIVTTRGLAKMLDKYKKADFGKCPRVICDSHPLLPMGQSDMPHQKAVKMYCAK CEDIYNPKATRHATIDGAYFGSSFHNILFQVYPALIPEKSRARYMPRVFGFKVHVAAA LARWQDAVRLEQQRGLREAGVENAAFLEDEGAVADEEDGFGQGGDEGGEDVERVAAEG DGAMEVVKE EPUS_08502 MSYYDDERPRRHRSTRERRTRDDYDADPYYKSGGGARDTSLVRR PRNDSFSSVEELRRDFPPAAGYPRKSAAREGRRARSDGGRDKYDDPYDDRSFHHDDYA SSKRGSKGYDDKRRPRRRDSYSDSSTSRSPPRRRKSMGEQALAALGLGGVAAAAAATG KARDHSRSRRHRDRSSSSSSRSRSKRSGGMPRNKEEIAQALKAAVTAGAAEAFRSRKQ PGGWGGDKGKRVLTAAISAGGVDKLIDRDPNKHGTRNVLGSALAGLATNRLVNGSRSR SRSRGRGHRGRARSESRGGLKDLASAGLVTAAGKSIYDRFRSKSRGRRSSSSSYDSYD SRSPRRRRDKKKRSSSISAYASKGLAALGLGEAADKLNGRDKHRSSTFSDDDYYHRAS RNGAPPPYGGGGGYSDPREVGQPRSGENHSHNNGAMVHRTPGDVDLGPHRFGDPETDS DSDLGDSSEDERARRKARASQLITAGLASVATIHAAHNVYTSMEKRDERHEALAKGDI SPAQARREKNKTRLQDAVSISIAALGVKGAVSEWKDMKEKGQKALELKQKQERHRQKR EARRMKMQSMGMQHRYTGSAPTLSSSHANTNDTGYGSGAGWPTYLDDNPYSTGHLPPQ APYPPTHAASPPLYPPYVSSPIPPPPGPPPARY EPUS_08503 MDAVRPRERLISILNDNDSPSFAVRPKQFLPSDLHLPKQERPTL ARLVDYCYPRYSSTPVPSTPPLSRLNSTSSKASSSTMDSPSPKTPIYNYDPQLINPYD PVLRQDVSSYLPSPSTITPLMDTMMVVAPTQEQMLAFPTKQTDNGMPSNYAGLPISPI DGQQVPTPSSSSKSNSHLQASDAAVNAPTKKNKYPCPYASSHHCTATFTTSGHAARHG KKHTGEKGVHCPVCNKAFTRKDNMKQHERTHKGSASGSNSDDTNHKRSKAAITKEAAR AKKTNVEINQQSNTAGLIHSPLSEVASIDPSVIGTPSLADSHGHFNDVTMAAHPEATQ NVSAYPPLGDEQSFSTLSQLDRNAGTSLTNTQAPMQRAYSDLDTLALAAAYDPYSQGN VQ EPUS_08504 MAVANASLAPSNPFSASASSRAAVPMSPQPNPLTQLPNVDFNFE DLRQRMSAFTVKFDAFIERGRKRVLEERNEFRERLGELTGMLTPKDQRLKSQSIATLS SATTTHRSLLAREQSEKEEMNTAIRSLENTHATHCKTRDRLKSQIAQTQRQIDSKLQA QRDYNAKLESQSRLNGPELAFWETYLGVRLEGAGVLDRIKVVFTLEGGRGGGNGAGAG DREVWFELDLSQRDYEVRGMGGAVEMKDMRGVEKVLDKLNESRDIGQFLAGMRGLVVE KMKA EPUS_08505 MSLAASRADAHIQANRDLDPIMSRSSAEDGEQREIDEEHLLAQS NMQMLDLGTGRREHVTTFDYMLPSELRVSTQQLLRARKVEEEGTEAAKLFQENKSKVN AWNSISTQIAQDNADREQLDNLMNGQSHRARLNKEIKEQGGQTYGEVSNVRIDDYPRR GKGGGAGGRGMSGRGGRGAPMHVPVTRTNGRVLATASPSVPNGPKADRPLDPAIDPDR EYSYKTKKGGKTISVSYNGGQAVRGGPTVGSGRTANDARATMVGGTVHIGPAAQTKAK SEHSEAPRAPRETPVTVVLNNEAFLEVMNSMTAKPTFNQHVQEKSKPAPNSKAAALVE QPGKTTKRRAAATKKSDSFLARSIETPAEAYRTLEACNKLCKTDATIRLAPTCEFGYP PPNTEFGGEKVPGDSASVHTDGVETVSTYNSADFKTQSSAGTGSRFDQGHGTIVIGGK LAQKDSSATSEAASGSNGPGIRLLPPSSHTESHQKDDLITLEDGIGQSSPDVKTSSNH ALSASYAKSLQSPSIPHIMDEELDDDIPQSSLTPRSSIAPRFITFRGARYIRYDQITS QDAEDSQVGIHSEGSCPQNTQPTVQAASFSSSTNNRIAVTNGLNIGLQTLQESSAGSI LGEYNLPGRSIVSTGPSSQASVASSRWANGMNGFPLASASFPTPSLPQTCLSPVPSRQ ANQHNEPLQKSQTDDTEIAAAPRKSTVQQKVASLPNLAASKYAIQGVQVATRPPPVES PTFHKSTKTASVDQPDRSQNAFRTASPTASVGRRGEDKGAFDTTLQVFPESLVPASRR ADYIPNMHSNASSKTESSPTDRNRQTTENGTASTPKPPRKQTDLTASIWSTANSEIDP LVPSSKTFENQVRQSRFNLTSDNVDSREETTVVSDNGAQRKRNPFGATTQSSKPTLAE NKKLASAVPVQPHDGPVMVERSGNIPDTNHTAMTLQNLIEGTKANAKAQSSTFDFSKS AGSKYPNTIQSSSANGTKQSQSGASGLPLSKAKPTNGLMASKYATSNSSTSSLRKVRR AKLDDSSSHESEI EPUS_08506 MSTRALRKLQREQEREKQLTAPSSERQDDHTEGSDDEESIHLIA PAQPKKKRKNKLNAFQMLEDEDLDETKDDDNVPLSPAEKETLPPEVYNVSDHSTPQST KPKRKKKRKPKDKGKERDSSTITTGEAEIPRNKTDEVDEIDRALQELNMRKNQAAAQH DRSETLQAQDAESSWEKDVSNMLAVDSKHLNPTNEMKSLFGSIALERPNRNQENPDDE GRMGLESALTGKHSPVSHGKELGSLAKRRNIFIQGQENWPLATSGGLVMDLVPDSTGS YGRYYGISHISTYQDTQRQFRQIVESMSPDAMIHHLIYNPYHIATLLQVSEIAKHQGD HSVSGDLLERALFTFGRSVHSAFGVSIREGRARVPFKQPANRELYLAIWRYLQNLEMR GTWRTAFEWSKMLLSFDFTSDPYGITHTLDQYALRGRQHDALIGLCSEDVFGRIWSHL PNMQISIALAYHRASQPKLARQKLALAMHRYPYILSHLCSTLDISPLPKSLWGKAPST DAEKFFTELYVTRAKDLWSTPETTALLVEVAETLGSYSQSWTNAPPTPKLEISLEDAR HAMLLDIPALIALIPRNFRNLPTAQYDVLPPPPSIGDAGLTARAPATADGPGQHGFLG YLAELMTAAARGFRGGGTVGTAAESSNPATREEEHEINNRDLFNTTLTHANLSEADRT AILAALADDLDPTSQSESEPEEPQAPAPARAQPSGFSPREHLPPTTNRIIRPDAMRNY RDEERSSSFFDARLPEPVQVRDPAGARLATVPDREAAMSGDADVNAPLPPDQHRPRPR PTSRSPPPQRMPGFRAPPPAPTVEDEDEDEDEDEDEDEDEAEPNNSASIGGDAIAEAL SSLLSAARPSQQRASNTTSTQLQQSQPQPQPQPATPDTIENDPQRIQRYLLSTGLQNL QSSNPTEAAALQEYTRRLRMLRHRDQEWTLGVVRQRVDAAAAAAAAANGRKAEGSGAE LVQRIRAAMG EPUS_08507 MRRAAIQAFRISRRSSIRRTAGSKSTFNFLNHGLSLRPAASVRR YSSAPVSLHSSMYFRNFSLAFVTSLVAGGAYYAYTGDGPKQLLRTDAADSVSPPQTRA LTTTSNAFAEATGKPQHPPLAAAATEPSRRAVVVDNDQFYTGSIVGDGPLSKDTDDFG RKVLEMMTPAQATERLRKNEESYLVERGKGVVRYDIVQLPSNNPIEDDHAEKIVQVPS TVAATDNGAPSSDWMFWGVFDGHSGWTTSAKLRQVLISFVARELNSTYKAALTNTVSP FPPASAIDAALKTAFVKLDDEICLESVNKLTKNPSKRLGAELLAPALSGSCALLSFYD TRSRTFRVACTGDSRAVLGRRNPQSGKWFATPLSEDQTGSNPNEAARLKSEHPNEPYV VHHGRVLGQLEPTRAFGDAFYKWSRETQDKIKRHFFGKTPHQFLQTPPYVTAEPVVTS THIEPERGDFVVLATDGLWEMLSNEEVIGLVGQWIEKQNSAQSNSSSTAWLTSWFNPS KPHLPVEKGGNSGKSGLTEDNSAAPIRQQQWDIDHANEARFVVEDKNAATHLLRNALG GKDRDMVCALLTLPSPYSRRYRDDLTVEVIFFGEGEATGNVLINRDATAISSNGELKA KL EPUS_08508 MRPSLLILSLTSSLISLLPTQVLAESRSLPPLYIGTIDTCSPSR NFLNYAAWVASSQPCKAHGPNAGDSSALSLIGAYPVTNAGCGRGPVTVGGYDNVTFTG CLGPPGPYPTAVARDGVEVLTCAPVKREKKVRQCESELCERFGRKGDLTTVLRCRKRR VQGEDEEEEEEDYE EPUS_08509 MAKHRLYTTPRNDIIANDDLIFCTPQHGDTTTHNVSLNRTAPIF GTFDCPSESRARSRHHFRRGAISEASGQSFLPLVDGEHAAAQTLLRIRSITDNEIEII FVPPNHRQSHNSNSPSRIQIDRWRELADRIHRGLLNISNSTLQQQINVAVATLQSLTT NRPSQNRPAMEGNGRAGQSTPFRNTFRFGREGWPTVDPEDSATARPATIPGSNFVVPD STLNLFQSMDIFAPPPPRRSTMDHIRDVNVETARYLQHIRNMLNDTVAAVHGGRDVPP GRLFTLSMELSRVSQLMIILLSEFGETVRAQLAAARDSSQDQSSNYDITEAALPTWTD DDDSSSSESGEPEGWANIDLAPDGDYPQEVCFHIGNQQFTFPMEVVENWMMNARNWDR LEARNAEFIRRDFERFTETGTLESIAHRFARPDNVRSRRAIAREARYEDIDDSSEDDE DDYEDIEDIEDSEDGQADPVSFFSDSDDDMTGTEDEAEEEEDEEEAEEE EPUS_08510 MYRRHHAALVGRWQPSLLKRSQLQYHIRPPSPPSLARNFHSPKL AQFMGLFATQTGTDYASYILTLIHDYSGLPWGLSIPITAILLRSLFALPIYYAIILNQ RKMDMGEPLREAYRNAWIKKIQEAARHAGENAPSEFKAMSLGGNSEVTMLFSKHLKYN PYVAMLPVLYWPVWANCVYVLMGMSGWGDSSPEKVAAYPVAPDPSLASEGLLWFPDLT AFDPFLCTVFVGLLINNAASAGFQGLRLREPFSTAHGWPRFRYRFYTKSSIVISALFG ICFYGAEVPAVLALFCVSSSACALVQRGLMRRWIGQSTNTIMPAQPREMKMRKGVATD AHGIRSYGQSVLVPKEFDHLFQRTQTTLDSRRAPLASDPAQSIAQKPSHRVRAEKE EPUS_08511 MAVLLVPALIASISLLPLLGLYILRFLLKTAGDHLRRRTSARRE LILQRVQLDEQHLSSTREAPQRTEEEDWERVESYAASTAEKRATHQEKDYAGVIGFFH PFCNAGGGGERVLWAAVRATQQRWPRAVCVVYSGDHDVDKAAMIKRVHTSFGISLHAP TLVFLYLSTRHLVLASTYPRFTLLGQSLGSLVLAYDAFGLLVPDIFIDTMGYAFALAF AKYLFPKMPVAAYVHYPIISTDMLGSLDDRSGGKGVNAGAGAGLRGKAKKIYWRLFAR LYGWVGSHIDVIMCNSTWTKGHITALWKTKNQPDSFAKIVYPPCPVEEMERRINVSAN AEKERKHQILYIAQFRPEKNHPLILRSFAEYVRNLPEGSDPAQLVLIGSVRSNTPDEL HIYNLRLQAHELSIDKITEFITDAPYSTILKYLQTASIGTNGMWNEHFGIGVVEYLAA GLIPVVHDSGGPKLDIVVPHDGKPTGYHAETAAEFAEGYRRVMQMEPEERYAMRVRGR EVAKTFTEEAFARKWIEQVEKLISMQTGRA EPUS_08512 MAAIPLTGHEALSGILGSISIACWIFLLIPQLLTNYRNTSASAL SLPFLLIWFLGDVCNLAGAAWAGLVPTVIAIAVYFCFLDAILVLQWGYYHWIYRAGGV GEGVQGKTDAGAAAAAVADGKGNGHGNGRVGEGVDMLGEEEPLLARQRSGSITIPGSQ RPSRKRRTSSSATAGSATRRRSSQASNLLLDRILEEDNRGTATKTWMKNLISILGVIV VGTAGWAIAWGSGAWTPTPVDSGSGGAGTGATGPFGAQILGYGSAVAYLGARIPQIVK NARDRSCEGLSLLFFILSLSGNLTYGAGIMAHSLRRDYLLMNTPWLVGSLGTMLEDAI IFTQFHIYAKNSDGAEEAVV EPUS_08513 MASLAKVQASLVSPELNLSLATCNFDFSLFRVAAPVEYNELGVA LSNKRRKVAEEGSSHKTARKLGSLFASTLPKTPRLIKVYGLRTSEISNSSNVNPKRDK TYGAFEEYIGIDGTTIWAAATSGPEAIAVHLLACILARQFPAAEATAIWDELVAERKK HLEESAQAGSFQDAMAASLSVSKEDLFEWDSGARAWLRAADEAEPTKKRQKQLMLILD NISVPVNNEHRVYDSVVRAWTSAMRAMDEMIAGSPYSIQDGAIVLALSAWHIYPDMIV FGENYSKKVDQKDELVHPGGVLTLGLDSKQEEDRGVYWSLALAHLRYYGDPVMSKHCL TSDSARLSMDQLLQVALGSVFRYWGVKMPNVYKAAKLITLMHLCYQNGLPRTSRDRDD NEDVPFHHTQWMTLLGKAASDFLSAADDKELYRRLVGLGLRRGPLLTSKKGTCTGVWR LDTANLVSLMRKEGDMVEFLRELALQCNIDPHSLVIRVRNCGSVAPIADLEISPMDYS EHEVRENSVQYSFMTAVPLNASALSGQKATMPNCRLGRWELIRADQCTTERLDPSTPE ALTEMVDLHLEIDAENITTADDDLSFNWAEPPSYYKSSCANLRQTAISVATSVATETC GYDVEMQDIQRFGEDEPSTSSQEYRRKKGRGIDYSSECCSDSRLNFKFLAGDPRTAAL YVREGASKVMSFKHTVKLDHIIKCFEDGKIDPCLLRRHLLSIAETKLPLSTSRHILGD TSQTATELAAQREIVRSLHVLSMAADVYHDLPGATISSTIATSGSITLANAKWAQDNS KPRGLKSIVWQELDRELCRFRLSEYVGRNSNPVFACITMFESGVFDFDPDDFKEVMAI SSGDSIYVSGQLLRAPTYRSLSEFSVRRVVGNVGRIGLSLLMPPPDPRILSVDPESWK TVTHMEFDGIAKDSFQETSLHLGFSGYSLPKTTGGHRGAYIAASYVETLISVHDRGRW IADLSFMEDPYAYLYVRACSDPDHVCRAERLWDLIPDLVSIDNWDELLDPPNGPAVVR AANNWQACLAATLISKRLGKRAIICELWCQECISTQLTDLARRRMLKGLYPKGDMRID GVSSDEEKEEEEDDDDDDEDDDDEEDDDEDEDDDDEDDDDDGLNSSSQDGVPWVHDLL FIMLTGPPL EPUS_08514 MEPALAPSLRVIGSRTSENDIRTITPVAQDVKKSDDEENTTTSP AAAGVTAITRGETLMPEAFPEGGLQAWLCVLGSFFLLLPSYGLMVSVGTLQDYWREHQ LKAYSIRDIGWIPSVYVYLGLGLGLWVGPLFDRYGPRVLTIVGSIIYIITMFALAECK KYWHFMLCLGVVGGTSAALITTAGLSAVSHWFDKRRGRATGFAMIGNTIGGTFIPLIL RATFPRYGWAWAIRILALAMLACLIIGNIFVKGRLTPGPPSAEENKRGVMSFHLFADA RFTLFVLTVWGIEIVLFGSLGILPTYSSLQGYPSMTGFYVISIMNGVSCLGRILPGFV SDYVGRFNMLLLMLFLTWIVMLVIWLPFGSNSLTALYIFAALFGFGTGSWMALVPVCV GQLCRAEEFGRYFGTCYFIASLATLVCIPIGGELVETVGPQPMAGFFCAVLFLSLICF VLSRWACLGWKWKWNVKI EPUS_08515 MSFSTLVQDIAFRDSRSDERSSQLSLPHSVARSYASTSATSVSI AGDISSQLHGGYSHPLTRAWQAERQLTKAMLIYPLFITDNPDEETLIPSLPNQHRRGL NRIVPFLRPLVAKGLRSVILFGVPLEPTAKDALGTAADDPAGPVMSAIALLRRNFPQL FIVADVCLCEYTSHGHCGILREDGSLNNAMSVERISDVAMAYAQAGAQCVAPSDMNDG RVRAIKLKLIEAGIAHRVNLMSYSAKFSGCLYGPFRDAAGSCPSFGDRKCYQLPPGGR GLARRAIQRDIGEGADIIMVKPASSYLDIISDAKEIGKDMPVAAYQVSGEFAMIHAGA KAGVFDLKTMAFESTEGILRAGAGIVVSYFTPEFLDWLST EPUS_08516 MAPAIAIGNSTNFKSFSAKSQLTMPRTLLLAPPSLSSHEERLEN IVEAHDRAATDIQMLDRLSLGLVSLPEATYDVILLLTDADGSRREGQSLLNRDTFALL VRALKTSGKLRSQDGQFGVSEGQERTEAILAGLRYEVGEGFIKPDYGAQAPVPLTFAK NKAVAQAAGGFNRDNTQSISLALTGKRKSQDISGATPAGVGFVDFSDDLGKPELDDSE DELIDEDTLLTDEDLIRPVKIPAECKPKAGKRRRACKDCTCGLAQKLEAEDKAKRANA DFALNTLKLGADDLAEVDFTVKGKVGSCGNCSLGDAFRCDGCPYIGLPAFKPGEEVRL LNDEIQL EPUS_08517 MFSQQTAAHLDLQARRLNYNDTFNPQPLCSFASKPKSTLIKVTR TEKRNDRNHTGLADGTATAEDHLPRYFAKSGHPDADPTAIKKQGGGKGNWGKPGAEMD DYGYKFANSRRRSNSSTQALGDFKTKFEAVDQDPVFEEEVHGPTRTNTEDSNTLEKEE STDTSTVAGSVEEEEGAKKI EPUS_08518 MSSYNPLEHGSGWAMVALTFVSLLITALAKVVSMKFPDAAEIGA RKRHRETVLLAGWAAQQRVEDLDYLVWEAYRRYAKEAEEEERRLGHTSKSIFGTGSGD PSAMNKDDEEKEPRREAVCASP EPUS_09411 MADPFSIIAGVLGITAAVIQSSKIFLELVNDIRRCPEEVKSVSK DVHAFYAIISSLNVTLREVDVKDAIAYDDALLTTIGNLAHPLEHCRVILGELKVKIQQ QLLPFPNDRRFRINSKILRWSLFTKSEIRTLQRRLEATKTTLCIALDAITAIHLLGKV ATSQLVKRTNEPVEARFPISRLFEDPSIHNSKTEISRRAFQGSLLLELDTDIAEASTL PNRQIFWRITSWIQETQHAFMLEDPSIRRHLAQIYQELGEQRMELSEIRLLNESSKEP KNSPIVESSNSINLFGEPVNTTLDQANAEDNDSASVDILRAKFSGSPGYHFELRPSFY PEQYSTESLFNKKIFTLALRKLTGVHRTQQYFLLYAETPRKWQRIVISATFDDFQENS AVFQLSDTDDCDDSCKLLPKALQAFFDTLLPALELFNSVSSISLHIKDLSGQIFTESS AIRVTEDLLEKEMSKEDQILQDIDAMGIRKFLESRVIMKSRISSSCYRVLVDNREFIE RKTPFARAGKQGENGFEDFSRALKLLNSLRGCASVVQLIGVVLDDTRRYLKGYLYESP AIFSLRRIIALANFRSKAISWQIREIWSSQIFKAVSEVHRKGVALGVLKLKTIDLRAD GTAILTLRTSYRYLQNRNGTMPPELRNDPQITDGIQPTMVNFRTDVFQLGLVLWLLAE HKPEVIGCLCPRSGCTKFPRILCDAEHVNPVELPPCHGDIPPFFNDIIRGYRSPDPGA RLTALLITKDLLYTSEIPPNMIDLLDTYAPEVNFFLPICDECGALTRNLRYYCNVCRQ GDSDLCPECVELRGIHCFNSEHRLLKYVFEKVEYVDRS EPUS_09456 MSLNSRSFNIRLLRNPIIIDNDDNDDNNNSDLTARSNYTLPLMT IDDLVIRCKNTDKDTGGAAHTTIATSGPFSPEIPESDSAATWDEEMQGDPTTSPSGKR SRSLSGSEDDGETEGDGSPSSKRARSSLRPSEVTENQPRPAYDDRSPPGDQEYEVHQV VGEWGSGYEVTALTKIWLPKASVHPKLVRKYRAEQRAATRVQTRRSSRLQNRG EPUS_09457 MADPIDRIYANLRDELACAVSQLLQQALIKPQHLRNQNATFIAQ IKASEVFVLRHYNHTSTPSPVEAQNADCELARPPAPNVSDAFSYLANQNSTDRHPQFT ASVDRGMGSYPTHYVDGPNDESPLGFPLSSSGSPLTLLSPTNSGQSSHEDGLCVRSSP PSPPLPEDVPEDVLIGKENALCNQQQFMCEEENENAEDVAFSLRTTTGRHIVGKGKG EPUS_09458 MPSRTDRTAFINRTRSASRKKTTLADHTDEQESDCDAVPAGTER GLVVKGPDILGNMWDKAGRAGRVEVMREVLYDMISALRARQKYEAPRPSLIPHGIAPS CFQGEVGFSGLAGRFQALREELEISNAGEQLYRLRRRVALAQFYNDYTHAQADPYAFL YPERNEELSLESLKPTRKRKRTSWSNVAKRRRVRLSTLIHNRVVDLMFPGLILSDENI DTEEGRTEEDRAERAEKVAKRQAASQKVQNWRANGKPWSALTRRFDWGILLLLPTDLL DQNLRMMKEATLSCFFDALDFFFTDSGRLLPNATALVPALLSEQPPSKKLRLEYELPR YGRTDEDNHQYFGELLEPCEITSCSNEELRHSTPHSPTEQIDRQLPCSDLRLRPNSGR ETSPRCSAVVSPHVEASDSSKVKTTVIVVDSLPSNVQGQSGNSILTRNIAFGDKFVSP HHFEDALYGTSINTPDTSFNHDVELADV EPUS_06403 MESSYDSPSHEKSRIPLLKGTEDYFSWSRVMKARLDRLKAWSPI VSHPPVNRGRTKAPITLARFREQFDQLNLDLDSTGWNQRQWDAAYEDYKEEIREFNEW QDKEKMALSEIIERLSPTVLTRMNRYSTPKTLWEALEQAYAAPLITEQLRALQNLLSL RRSQYPDIRQFTTAHKTAYDHLTYNLRFSWDPTTLPTLLLLWSENNDSNSSSNWSKFL EKYKNGTQLADPEELYTTLHGLGEDPKKDTKKPAPSANVITGKRKRNDASQGGRSKQQ RTSTCSECHKTHALKEGQFCWYKNPEKAPEGWQKKHPHLLNK EPUS_06404 MAVGRQPRESQKRHREPDLSSLAPKGASGPAVITQPPDQSTRVT QMNSAVVDRQAGWPAGAIEGSQLEANKAPQCLEFATARPPPPPPSTSTFQLALRGISE STNLQRLASRDRVHFSASPNIAQEPISSLAGIYTSTIRARPNVQPGEATGFAPTAATS FQAGVATGFNLGGIAGPQPNAVANPRSTTAETNSLAQLYNEWAADDCPDPISDAFGIP DGVVDDEAPWDAHRRSNDSRPQTFAQNPFASQAAWDFPAISDVPGSVGASDRGVSTKI ISTESVSPASASTRGVPIVGESREMTSRRPASTRGASRKDGSTKGASVVRASSQGAHR KDASYRASESPSSQTLGMEEKVSGSKHVPKAQAASSDAAQVSTFRAEKALQVEPLITT PIVSRWTDILFLSQRHQSAQAPEAAKHRPLPSFTFSNDEITQIREYRADNKSWKWIGE KMGTSLRRLREAKDMGLFAEGGKSLSAPDPFSDEQISQIKQYRAEEQTWEWIAKETGT SIKRLRRATAEGIFGEVPVSFSAQKPISDEQISQIKKYCAEGKSWKWISREVNISKWR LLKKAAEGLFGTEGKEKPAAHGSLIRFTEDEISEIKEYRIQKKSWDWIAIKMGFSTTT LTTRAQKGEFGVHEGQIYRRNE EPUS_06405 MNVLQRTLETGRFNEPPTRLGSRTKHMSSVHGRNADTVSTKANE KHVKMPCKIEDNEIPLTSAHDYFCLKLGNLPAVGVDTSQGGSSFGRDATFFQAVPGEY TLLDVCHSSRTAFTQLTMDRFFDLDSLCRAVPTQGQAKVVKMNASATNIEHAEWREPP NWRYQSSKAPSPFACTFWLSISAFAKDLRKCLDHCTCVLGGAMVLPYLTVDFREEHER IDETRQRAACIAVHTLFNRCHLYMRTHKGIPAAAGKRDYPFCSHFMIIFDNARYEGWE IAADTDGEWTDETCMMKRIFLSTLYTAESVEDLYTWICEIHCWGATKYGPACMEEIRT FLMPSSLKQTSKGELIAEENQQGTKLENELSTLT EPUS_06406 MAPGLNYGVQAFEGMKAFRTPNDQIALFRPDQNAKRIQHSASYI SAPAIPEEHFLRCVSLAVAANAEYVPPYETGAAMYVRPLLFGSSAQLGLNPCGEYTFV VFVMPTGVYHGLHAVDALVMEDFDRAAPDGTGSAKLGGNYGPVLKWSEKARNEGFGIT LHLDSKTRSEIDEFSTSGFIGIKQEDDQVTLVVPDSKNVIKSVTSESVFQIARDICGF KVEKRQIRYDELKDFEEVMAAGTAASLVPVKSITMRSKGDKFEYAGKDNGEPGPICLK LLKILKDIQQGKVKDQFGWIMQVEAPPDSFVAGSAGLNGATHEGGVDELP EPUS_06407 MARPPPRLLALAFGVVLTIIVLYRNMGRPSVPRLNSNPLSTSVD DLAHISPNMQKGDAIMGHLGNETLKAELGRAAWKLFHTTMARFPDQPSEEESAALKSY IYLFARLYPCGECASHFQKILKKYPPQTSSRSAAAAWACHVHNEVNKSKGKPVLDCAN IEGFYDCGCGDDEMEKKSKVEDKAEMSESSKTKMAQDGRDLNVDHFKLIQIAKEGPTN GG EPUS_06408 MCITEIWTYHECGCHYLDPIPCYDRILQSPQISCKPFEKEASAS RLSLSSTPSVLENDVVTKANEPVAIPPSQQDSSGPDDDTAAAYDHRLHLVRICSIRQI IQKTFLEPICDDCVLLELGLISESTVHQRRCDNHDHDERELDGTEWLLESSVEITVEP PADHGKVFPLRSKPGNVSSSSEDGTQDETPRRGRGSRRAMEMSRGSLTIDTGSPLKRR TSMQRLKQTGQRLRRARKQHDLPSLSAAASRPLQSSATGPSSWVEHLRSDLGQRVRRR RPDLRPNSQAAHQNESSNDASSSASEDDHILSLPSIPATASSAFSTTSTNGRESIIPP LDQLISSSEFDSSQLGSTHPPEPRLGLLHPNQGEPARTYTSSSRSVKPDHTASSTVSP SSPVAELGSTGSGIALHPLSSPLPARASSVHGTNENKTSEDEENGDNEKGKNNNDKGN VGRGKSRSDMLLIRELVEAGMGMSWAAPQSAG EPUS_06409 MGNDGQRWATMGNDGQRWATMGNDGQRWATMGNDGQRWATMGND GQRWATMGNDGQRWATMGNDGQRWATMGNDGQRWATMGNDGQRWATMGNDGQRWATMG NDGQRWATMGNDGQRWATMGNDGQRWATMGNDGQRWATMGNDGQRWATMGNDGQRWAT GNDDGQRWATMGNDGQR EPUS_06410 MATTKDSEKGGSFSKDPGNRYSISRDRRASSKGNIDTIVAADLL DDRFQQTQRGLKSRHAQMIALGGTIGTGLFVGSGQTLARGGPAFILTCYIVITLSVYC VVTAITEVATYLPVHGGTMSYYGYRYVSRSMGFAMGYLYWYALGILVPYEITAAGLVI QYWDPDASINIAIWITVMIIVIVGLNFMPVRVYGETEFWFAGTKVVLLVGLLFLGFIL FWGGGPEQDGILGFAYWVNPGAANTFILEGSTGYFISFWQTMILSVFPFVFAPELLIV TGGEMESPRRNLPKASKRYFYRLVFFYVFGVLVIGVTCRSNDPALTNGGQGAGSSPFV IGIKNAGIPVLDSIVNAVIILSAWSSGNSFLYISSRSLYSLAVSGSAPKVFKTCSKRG VPYYAVGVSSVFTVLAYLNVASSGSKVFNWFVNLVNTAGFISWICCAIVFLRFRKAIK TQGKTVPYSSFVQPWGAWWVLGWFSFLLLINGFATFFPQNWDVADFFTAYISIIIFAL LYFGHRIVFRYDKWAWSPSEVDLLTGMDEVEEAERPPPVLDTWWKKVKSILE EPUS_06411 MGQPPRKPSTTAEVALVSLKSCLVNLPASLVSVLSNANTAAQDV VIELQCRTQTLSSGTSAREDGAGSQKSVYVGWTGMPSRRRPALGMNRNSYANGVREQE ISPIEIDDTFAGLLGLTEGQRVGIFLHLDPPVAHTVHIEPLTPADWEVIELHASFLEL NLLSQVRALPNPSHSATASVQASHSHPLALHLSPTSTANVIVTSLIPPIPSSSPFAKI ASDAEVIVAPKGRPRTSRAARQDDRSVASTSRRSARSGGSSLRAKATTERDIFFLRGI DRGICHEWFSSDHVENENRGLCIWVHGKILAEDNAFMGRKWVVVSIVRPAGLRAQLDQ HQMQQLKEQESNEAGLPASKVVAQVRTWADAPDEQHAALSSTLCSILGFGRMVGCITK VEPAPPPLQKAGTSPVRLFPFLPDSSSKKEGLRFGGESKLSRQAVANRVQEIHGSGNG LLQGPISNGMLLPASEEPSTNLHFSGGIVRFQPSSGRDGDKSFAWFLGSEQNFMFDVQ PEIPRTMKPNVMFPTFDPGLPTEPPESVGIDKMIQQCISNLRFSSSILLTGGTGSGKT SLAHLLCQRLSEEHLFNTTYFFCRQLLTDETRVSQIKDTLTRLFMSACWCARLGGQSI VVLDDLDKICPVETELQVGNDNGRSRLITGILCSIVRYYCTPDSGIALLATAQSKDTL NRLIISGHIVGDIISLKAPDKEARRKILVKLTSPKSTGLEKTLNGHVRNSSNNSNHIE ENSWMDPRPNKPTASAPSTDTINLSPSLDLLDLAGRTDGFMPGDLSLLVSRARTECLT RLITSPTFSDATTANPQNNNQLTLTKSDFTTALQNFTPSSLRNVPLTHSTTTFSSIGG LHSTRTTLLETLFYPTKYAPIFANSPLRLRSGLLLYGYPGCGKTLLASAVAGECGLNF ISVKGPEILNKYIGASEKSVRDLFERAQAAKPCVLFFDEFDSVAPKRGHDSTGVTDRV VNQLLTQMDGAEGLEGVYVLAATSRPDLIDPALLRPGRLDKSLLCDMPDREDRLDILS AVSKKLVLKDEVGDRLGEIADRTTGYSGADLQALMYNAHLEAVHQLIGDTAPPSAVGK SNTENGITKKSSRGTNSSRNKKAGKKFEFYQFLYDPTLDAEARASPHSTSSALEPHEV VEEKLAAMRLAKKTERRRLRLASSSWYHMDDDDVDSHVNGIGRKKNGEADVKQEVVIT WANIERALATTRCSISEQERMRLGAIYHEFVVGRNGEMPSGDGGREVGGRSSLM EPUS_06412 MALLQYRAPVDYEAQQEAFREFLENFKSSESASEETAADAINGL HIDGDGTSDEYDFMDDAENGGAARSGRNDRGHRDKRKYISLLQDIADRVKSNILIELD DLDLYERSLGDEQNLKLVESITNNTKHYVDLFAEAVDKVMPKESREISFKDDVLDVIM SQREKRNQTMSQAMEADLDTVLPLSTFPPELTRRYTLNFKPLTPSGSSNDRSAKALAV RNVKGEHLGHLITVRGITTRVSDVKPAISIHAYTCDRCGCEVFQPVTTKQFTPMQVCT SSECQKNDSKGQLFPSTRASKFLPFQEVKIQEMADQVPVGHIPRTLTIHCHGALTRQI NPGDVVDVAGIFLPTPYTGFRAIRAGLLTDTYLEAQHITQHKKAYQDLLMDARTLRRI DQYKASGHMYEYLSRSIAPEIFGHLDVKKALLLLLIGGVTKEMGDGMRIRGDINICLM GDPGVAKSQLLKYITKVAPRGVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLAD NGICCIDEFDKMDDGDRTAIHEVMEQQTISISKAGITTTLNARTSILAAANPLYGRYN PRISPVENINLPAALLSRFDVLFLILDTPSRDTDEQLANHVAYVHMHNRHPEADTNGV VFTPHEVRQYIAQARSYRPNVPKSVSDYMVGAYVRMRQQQKRDEGSKRHFTHTSPRTL LGILRLSQALARLRFSDQVVTEDVDEALRLVEVSKASLYNDSRSQGDQSNSTKIYNLI RGMRDSGAAAVGDGSRGELSIRKISERVIAKGFTQDQLEQVIGEYELLDIWQRAANGT RLVFIEAGDTDDEMNM EPUS_06413 MPTLKKYAVLTAAVLAALTAAAPSPYHPSQLEVRQPPPGDQSGG AGGDGGPGGQGGDGGNNNGGNNSGNGGQGGPGGDGGQGGAGGPDDSQGGDGGNGGAGG NGAVEEIINGGTALILRSI EPUS_06414 MPARLILGKSDDRTRILVQKFWCRFEPIINKSACPVTPAMRTSK LSRSSLRVLESIVRPSREVPLRFRGCQRCSSSAVLRPSSSAQKLPLDRRWQQRRGAAA ATAILEEAQAEPESLAQETIIENLDPQEAARLSKVRNIGIAAHIDSGKTTATERVLFY TGRINAIHEVRGRDAVGAKMDSMELEREKGITIQSAATFCDWLKVENGKEEKYHINLI DTPGHIDFSIEVERALRVLDGAVMILCAVSGVQSQTTTVDRQMKRYNVPRITFVNKMD RMGANPFKAIDQINYKLKIHAAAVQVPVGVEDDFHGVVDLLTMTTLYAEGARGEKIVR KSEIPEDVRALAQERRAKLIETLADVDEEMANMFLDEVEPTDVQLRAAIRRATISLKF TPVFMGSALADKFVQPMLDGVCDYLPNPSEVENTALDRRQNETPVKLIPYNSLPFVGL AFKLEESPFGQLTYIRVYQGELRKGLYVYNARTDKKVKINRIVRMHSNEMEEVSSVSA GEICAVFGVDCASGDTFTDGQLGYSMTSMFVPEPVISLSIKPKHSKDSANFSKAIARF QREDPTFRVHFDVESEQTIISGMGELHLEVYVERLRREYRVECETGAPQVAYRETMTE KVDFDHLFRKQTGGPGDFARVMGWLEPTGNLDGNSFEEQVVGGSIGEKYLSACDKGFH MACERGPLIGHRVLGLHMVINDGATHMTDSSDQAFRTATQQAFRQAFVRGGPAVLEPL MKTVVTAPIEFQGNVVGLLNKRNAVIHDTEVGVDEFTVFADCSLGGMFGFSGNLRAAT QGKGEFTMEFSHYERAPGQVQKELVQKYLKAQADRSKK EPUS_06415 MYKSAMYRLLINRLKANAQSFTGPYSFLEHYSYGLGADDLTAYG EQEMKRSGKVFYARYHNLTRNQLPFIRASGQDRVVQSAERFSEGFHDANLANETAAET QPSPTVAVIVSEADGSNNTLSVKNCPAFSQKPNIDVGINAQARWAAIFAPAIQTRLNN DLMGANLSVGEAIELMDLCPFETVASKGPSSLSPFCGLFTAMEWKSYDYYQALNKYYG HGTGNPLAPTLGVGFVNELIARLIHSPVHDRTCTNRTLDSSNATFPVDAKLYADFSHD NDMEAIFAALGLYNATDPLPVSSIQDAEHSDGFSASWTVPFAARMYVEKMRCGADGKE YIRVLLNNRVMPLHTCGADGLGRCTLDSFVQSLNFARGGGRWERCYIS EPUS_06416 MLIWTRRLLSIFSVATLTLDPPSPFDYPGAPFSIGYLEHQRSLS LFRGLRGVAQRHLGKKVECGRKEARSCTDSEDEARIEVQDYMSQRNPASGPYSNAPGR DSQSLPPLSPSLSRPQSSHNVLPESPYTSQPQAGPSKTTLPPLGAVTSTNRLPPLLTD PSPSRPFGMQNILNPSHNDEQTRSQMEPPATSGRPSSPTQSTAAPSLPQIAKRSTQIS PKAEQTRASSSRVERRILTPRSPGLRTLSLGGRRSIAMDLFGTSQSPMTRGDSRTYTT EAEQGRTSELPQLPPPTPVGRSSNVYPSLAESAPGQPGRPSTGKIPFAPSAIQAQGES PSTSHSSYSHFSQSSPILRYGPPAQHPSSAQSGSTRPSVTLPAGQSATNLGEGQYDMS KGSYGISIPTEGGQMLLPVELDVEQASKTANEKRKRNAGASARFRQRRKEKEREASQT ISSLERNLRALEDERNHYRSERDFFRELCTRQLGPGQMPQRPLTPRAPPASRTSLGDT PPQWQDISRETSEPLPRNLRRRTGSYPTTFPAPLATSPMLPLQPHSYGYGPQAPAPIL QNTFPPIRPSGAPSYPPQLAPSPHSQSTIPPTRPPGAAAFPPQSSFPPQPPPLPQPPS SHDPFRRDIYSRSWNPGP EPUS_06417 MSLQIWKVTKPWLEIKCALGEGPYYSKEHNHLRFVDIFDRKLHI VDLAKGPGSLRTIDTGMAVGVTADIKDEHDFILTGAKDGVTKFNLETGEHEYITKLWA ESEGPEKVRRMRSNDGAVDSAGRFWLGTMNDPKETEITNEAVLFRLDTDGILHRVLEE VSVANGISWNEKDDTMYWTDTPTNNVFAFDFDAKSGNISNRRVFYHHPDDESYGNPDG HARDVEGNLWHACYGGSRVVKISPEGKLVGEILLPTRNPTCPVFVGTELFITSAQEDE PERYPESAKCAGCVFRVDVGVEGMPKHKARIP EPUS_06418 MAEPVPSASNVTAEAAADNEEEASSHLPKNAEDRKAAAALNSLN ANEITQDGAGSSAAKQPSKADQEALGKAMSRLEIASGAGASKKKSDQKKAEEKKEVEV KKKVKVAAEDVNFLVDELDLTKVKATELLKAHDGDAIRAAKAYIAPPSKA EPUS_06419 MGDHFLDADIRDWSPSTWRSKPIKQDVKFEDQAGFGKAIQQLER LPPLVTPLEIVKLKNSLREVALGNAFLLQGGDCAELFDYCEQGMIESKVKLLLQMSLV LVYGAKKKVVRIARTAGQYAKPRSSPVETINGTTMPSFRGDILNSYEPTPEARKPDPS RLVEAYFHSAATLNYLRAAISSGLADMHSPLDWGLGHVLDPTVKDKYQKIVHTITEWL EMMQTIGVSTGGGLETVDLFTSHEGLLLEYEQPLTRICKHPPNVLLTTSNAQSPRLNG HLLPSSSFPSSGYYDTSAHFLWIGDRTRQLTHAHVEFFRGLSNPVGIKVGPTMPASDL APLLDILNPYHEIGKITLITRYGCDKIATHLPSHIEAVKKSGHVVVWQCDPMHGNGRN ADITSATSENSSPPTTTTIKTRHFTDILSELQQALQIHKAHSSYLGGVHLELTGDAVT ECVGGAGGLKEEDLSLNYTTFCVRG EPUS_06420 MAPALPMSPQKRVTRARAAAKKAGDVPKTNRDRTASRSSARRNT ELTKEDLARTDMPVTDEPLRKSARRVAAAAPARRIKVTAMENAIAPQQQPVAESETSR THPKPRTTRSKKATMEEDDLGESETAVEPVRPKRQASGTLQPKEAPKAAASRLRGRPK KAEVAQDEVDVESGNTKKQGRARLGSATTDTRALTIPPSKGTVPKKKVTFQDVPGCDK ENQPVPQAKGTAKGKSGAPASGIRAKPVRRPVATSRTSVKKSTVPDTAEETAQRVLTP KKVTQVAKSCSSLESDEDELNGAKTPIRDLAQSPRRNVNIASMVSPVKKLDFGSGSLA SSPVKSQLTSTLLSPAKRPVSSPFKEAFKESPKRSDIPFKIPQGPRQNEANQGRDMAS SSTILLQSPKRVALEPSMFPQSTSKAVKSPFKASLLQSPPKRPISPVKTPSIVSTTKS IAPSLKEVETDTMSSNIIVSSHFRASQSPQRTPRVQRMTPEDMGDKGRCAIDFDESVV DIRSPLKLAMNTMDGPDHVQDIAENRSTSESDLSKHDPTIELEVHSGLQDQVVMKATD TSKTQHPKDLELESTAPANIMPCDQTPLNAASFLFRVSRLRDDDESSEDELQSPVRTL QTQTPATVLGSKSRMSMANPPVVDHNPGFTPLAAQLSGWLASSPDKQTMKKYRPRGIF SPVAAQHVRGEVVIDRHSPATSRVSVEPRLSTSARKSFGGRKSLGLRSSLASSVNGTP DKSSYFADEMAVKDLEEAIEGMEAEDSDCDMQQEFTCPESADDAPVDDLAPTGVVEEM EFVESQRLDDSAEAERQDVEDIVAEAPGEASRNYSAEIEAQTRTEEEEDRQHVHETSQ VSTASSTYGDGNNVPVTPMAATSEQVLAGTQETSITPAATVFTANRAPLNDFNTPLQP QPKKSQFANTVVSKVPLRPEGHTSPIKVSKKRSRSLSSGPSSVKKTPVLQAFSIPQSR TVNSFSPARSEASIPSSTMTTPGQQSFAVDDFGDSTLDGIEIDEDDENLPPITPTAAR VPSLAVAPSKTPKAQTPATSSGVLQGAVVFVDVYTTEGADASGIFIELLTQMGAKCVK TWSWSPRASVGVNVNANANANANANAEEAVPAGGNAKIGITHVVYKDGGKRTLEKVRD TEGLVKCVGVGWVLDCERETKWLDESAYAVDISILPRGGSRRRKSMQPRALLNMNGTL SKATGAAAGRRSVSAEMMQKLKDELVNTPVRGHGCDKASDVSSQPREEEAEAESDSSA SIESGFSTPTGVFAISTTSAADLQPATPTGFVNYDPSTSQTPGGPNDRAADGDAASYS PTTPYYLAQGAKLVQMTCPPKQTRKGLFEKDDVQGQSEMGGGVTMGKGGSGGFPISGQ LDDVKDAGVRKRLADARRRTMGWRPAVASPLGR EPUS_06421 MASAGERQTLRLGNTAPNFDAETTAGPINFHEFIGDNWVVLFSH PEDYTPVCTTELGAFAKLEPEFTRRGVKLIGLSANTIESHGGWIKDINEISGSNLKFP IIGDKQRQVALLYDMLDEQDATNVDEKGIAFTIRSVFIIDPKKTIRLIMSYPASTGRN TAEVLRVVDSLQTGDKHRVTTPINWVPGDDVIVHPAVKNDEAKTLFPDFKIIKPYLRT TPLPKEKTSAA EPUS_06422 MSLVQDSSAGQAAPGFAEPPRAPCTASAGSLSQPGAGIAQRPEF EFNFPALSSTWKTNSNVSPVGSQSSKQKSRHTPIDISHYVALGGNNPITYPKRTEKSP SLLEIYAQHDKDDSGTTKPRVKRHVPIVIPAFAHPFVNDLTEKPPFKSTVQAWPVPPR LRREVRQLPSSQEVAGVLAIPTHDPNIMRVSLTNGIADKMNTPQANHPTSEVMRNGRD GFAESATPTSGHGGVVSSTSQQVEGSGSTNLSGLVCNVYRTTGREPHALVGATTTILG DKLYVFGGRILSQRRPHLTSHLYELDLVRRHWSKLETYGEIPPPRYFHSVCALGDTKL VCYGGMSPASYSTLSASQGEETPPEVVVMSDIHIYDVPTRTWMFIPTPDTPQGRYAHC AAILPSSATFTSPTAPFSAIQHNPSSSNPHSGSIGVQLDGAGGAEMVVVGGQDSSNRY IEQISVFNLRSLKWTATNSLGRQCGAYRSVVTPLTGMPVSSVGRVAKNAEDLGDTVPE SRCSMLIYSNYNFLDVKLELQIRHPDGRLNERFMGGAISPPGLRFPNGGVIDHHFVVS GTYLTSSKQEYALWALDLRNLTWSRIDAGGAVFGQGSWNRGVLWNRRNTFVILGHRKR GLVEDYNQRRINFSHVCMVELEAFGLYDNPRKAAPSSGYVSVSSPSIPTALWPKVASK TGIRPVSGAAESLGQLALGLKELADMDLLAIGGERIPVNSHLLSRRWGPFFNRLLRES SAGQDGLADALSLRPHMDSQASRNSSITITPSLNHGSTYSGSTTLHGNPSISDTTTGT KAQGSIHDLDSPDTIHLPPSARPRTLYLPHTSQTIRLMLHYLYTSSLPPATSLLCTPQ ILCSVLQVARPYEIDGLLEATVERLHEVLDGRNAAAVFNASAMAAGGGKGIEKMAYLS RGRRPSEAGTINGNIDKTRDNHPGRTLSAKTAGLRIDTSFGETRSGLRRGRVQGHQRT QSEESAASNSTAASVATSVSDADTQSEAHADKRKEKEMWTGDLSSVIGLQKRGLRGLM EGRRLREKGKTDSNPSFNAASVGTAAQTAGSGVG EPUS_06423 MARSTAENIAHKHRDPLNNHELVRVVQPNKPVLTHDVRDLRVEY AEWATKEQENSAIFIFVDETYCHFGGHFRNKPKITKPKGADPHLYARFDPAEQFQLMV WGAIGLYEDEIKFPFWIWEPETEEDK EPUS_06424 MAKKKQQQQVENHVSGQANKPLSRPAHALHHPTVVEEIKADVQD GLTTSEAKSRLDEYGRNAFGDSGGVQPAKILLRQVLIMAMAVSFGIESWIEGGVVTAV IVLNIVVGFWQEFNAEKTMDSLRSLSSPTASAVRDGRTISIPTAEIVPGDMVEMRTGD TVPADVRLVEAVNFETDEALLTGESLPVRKDADATFDDDTGPGDRLNVAYSSSTVTKG RARGIVFATGMHTEIGSIASALNAKSSRRRRVKRDPEGHAKPHRYLEAWTLSTSDAVG RFLGVNVGTPLQKKLSRLAILLFGIAVVCAIIVLAANSFSSNKEVIIYAVATGLSMIP ASLIVVLTITMAAGTKRMVERHVIVRNLKSLEALGAVTDICSDKTGTLTQGKMVAKKA WVPAKGTYSVGTSNEPFNPTMGELSFDPLPPSKIDFRKAEAEGHAVTYTELLENNRFL EDYLNVASLANLAHVYENQNGDWNARGDPTEISMQVFASRFDWNRTRLTSGDDAPWKQ IAEFPFDSDVKKMSVIFKEKRTDERHVFTKGAVERVITSCTSIYLNEGDEPTEVTEDI RNEVLQSMESLAALGLRVLALASRTYSGQIDDVNEINRQEVENDLTFRGLIGLYDPPR PESASSVRACHEAGISVHMLTGDHPGTARAIAAEVEILPSRMDRISKDVADSMVMTAS QFDKMSDDDIDALPVLPLVIARCAPNTKVRMIEALHRRKLFAAMTGDGVNDSPSLKRA DVGIAMGQAGSDVAKDASDIVLTDDNFASILNAIEEGRRMFDNIQKFILHLLAENIAQ ACTLLIGLAFKDVRGLSVFPLAPVEIMWVIMITSGMPDMGLGFEVAVPGILQRPPQNL TRGVFTLEVLVDMVVYGLWVATLCLASFSLVLYGFGDGNLGENCNATYSEACDTVFRA RATCFACLTWFALFLAWEMIDMRRSFFRMQPGSKRYFTQWMHDVWRNRFLFWAIIAGF VTIFPTLYIPVINHDVFKHTGISWEWGIVFISTFLFFLGVESWKWAKRIYFRKTAKKA GNNTQDLEARTFGHYMSESPSTSGSDQDKAGQMKEKSGQ EPUS_06425 MAGSQPVGGGGPLPATVSNASESTEEQSTVKDSTTSSNPAAETT TKKKKRMLQKQGDPLHTDKSTASSEESSNKAASKLTPQMADSLLEMNPALRGELGTLD KSKAREMLKKMNISDLLTGMSIGGKNQKDMASYKFWQTQPVPRFDEKADDPKPDGPIT IIDPEQVAKEPEPLLEGFEWCELDLNKEEELKEVYELLTYHYVEDGNAMFRFKYSKSF FNWALKPPGWLGKWHIGVRATKSRKLVASIFGIPVHIRIRDVDLKATEINFLCIHKKL RSKRLAPLLIKEVTRRCYLAGIYQAIYTAGVVLPKPVGTCRYFHRPLDWLKLYDVGFS PLPPGSTKARMITRNQVASKTSTPGWRQMRSEDIEAVRDLLQRYLSKFQMTQNFSTEE IEHWLLDKSADEHDVRTIWSYVVEAPETGKITDLVSFYCLESSVIRNEGKTDGSETVK AAYLFYYASETAFAEKEKGYKERLKGLIGDALVEAKKAHFDVFNALTLHDNPLFLEDL KFGAGDGQLHYYLYNYRTQPISGGVNKENMPDASEKGRRGIGMVLL EPUS_06426 MAIALAPIFKKAYYSLALCGGLYLVFVFALTFPIVQRNALYAHN VNPTLWQDLSDVEAFGFLRYQVQPFTLSTPDNATLYAWHILPLHLAEENSKRLLAQGD FKIKSSEEVLDTVAFQLLASDPNTHVVVNFHGNAGHLASSIRPFTYQRLLGLSSKTRP VHLIAFDYRGFGLSTGTPTEEGVLTDAETVLTFLTGLIPPQNQNQNPKHQISTLPIPP QNIILTSQSLGTAISTSLLHAWTLIHNLPPPRSLILTSPFSSLPSLIDSYSIKGVIPP LLSPFRSYSFIMDKITSFIADTWRTDHRLAELILSEKDIPINIELLHAQDDREIPWWE AQRLWDFVVQKAAAAGKNGGEKTVKIEDVDCGKEFEAKRSEGSYVQTWREERREGDVK YEKRLRFTRTKHGGHNRLACSEEVAAAVWRALEM EPUS_06427 MVGADSQQNVASDQSSRSSTHHTSPSTNTSRSAATPSARPPISR AASDQSSRNATPVAPSQPTVQAPSQVMNPTTSPAQAARAHPSSHLNQHSRGFDQSGFP LTNAPRLASYSPSSPRLQPTYRSSRSLGGSEATSPSRIRVRDLSHIQSFASEELLTRP RFPHRTVSGFSDAGRQYEISAMPVCDIIEMVAGLLTKITTTNDKQYEHLHRPVPQSED GAAMSTQTTSVLAFHGKNVPTITILSYLSRIHKYCPTTYEVFLSLLVYFDRMTEVVNR RFLQKMRRDTARTPVSPISPPPPTSPAASSGDTPRSRSSPAGEATPPPSGSMAPEDPP NRPASLSGPGSLPNTHGDLSQFFVVDSYNIHRLVIAGVTCASKFFSDVFYTNSRYAKV GGLPLAELNHLELQFLLLNDFRLSIAVEELEAYGTMLVEFYAREVVVQQQQAAQPHAQ QISSMHAGADEVYMRDRSATSDSTQTPTPP EPUS_06428 MSSVNTIPTPVATHAATDTTSVNRPPRNRKRGRGPPKDAGKDGR SMRLHSSNAEPAGTAGIADSRLNGDAREFVPASTLPTAKRERPKRHQNLKQPPQGGKN HIEATAITPPTDVATGPSKRRASLLRSTAPDIATRVHEDIAKSIYECAICTNEIGRNS KHRKVRFKMDNSLLRSNGGVPGVIYRRRRYLRHIAAGVRRNWIRDLFLVYLHILVDKL AADRRLSPNRALTHAI EPUS_06429 MHAYLEDVSWAHAQEELLRAFAADPNERRLRFKPMKPHQRTFIH SIAEDFGFDSESMDPEPHRHVMVFKTPKFVAAPMKTLQQAARIKRAALNVGAPIHNVP GTTSTSSRGTSPRPDQPKPHWNGILLTGPRFALTESELLPHLVKAAPTTVFDVFFLAE RDQVVLRPSGSSTSERTRQSAGVLEALEPRISDEVRKHGLAKDVSLAVFDMSTAAEPQ LMQRKEGKESALSKSGADGWSQVAAKSSAPARAPQVQAIGQKPVYTVLGSRLAEARKK KLENEEKLRKQAEVVDDWEEEVEKDELVNKAGRERENAGTEASQDSDGHSADDAGEGV LVMESGEGLEPVARTV EPUS_06430 MGQSQSGEAPHQLSTEQLSHELALRFASKCFTHLEIAHFKDNFK ALADHQDGVEYWKEETLGRFLLLPDALRVGSVVYQMATYLGAFPFPSLAPCILTREAM LKVVTIMTERYGKVLKRGKRDRVRLLFRSMAVFDRTRALSLTAEKPTMEQLVAEQKPD DMMEGEAAIKEVRSGVAGFAIDEPVDDDLDEEEDDDELALAALDSLDAIEVFKEDQKA TREKKIHHAQIPVDNFRRLLMLLLVLAPLRPQENMAKYGEDLSEAKLRELESEAECIL AAFDPDEATGAIRYTAFTRAISASFPFLFDPLNALFEHFLFSKNIDLSKHRISSISTP PPSMSPKINPITSDSSDQSCILTSELVSHLSTFLVTKPVSTSPVNLFHTGTRFHPVYS TTAHGTSLTSFSRQVMSWQSATLLLVTGTPTPPASNTSDFSRPITVGAFLPSPWSKSS SSSSSDSSSPQPLLFLLSPRHALCPHNPYNHSSASNSHCSPKTGIALGCIIPPASRTS AASQPPVLGPVSLRIDADISTAIFQHDAGAGTGAFLPDPGLEKAQAEPNAGRGAVGRK VEFDIDTLEVWGITNPEAGEEGEDEVVRQKRRLDWEEAEAARRAGVNFGGDKDGARAL LEMAGLVGDKGRSGGSV EPUS_06431 MDEPVPACETGNEYDGQLGLRISAIFVILVGSGLGALFPIFANR HRNLGVPDWVFFFVKFFGSGVIVATAFIHLLAPANEALGEACLADTVIAEYPWPEGIS LMMIFVLFFIELMVTRVLMKAERTNNKQSIKKEDKTLYMLAAKGASNGSNSRRASFVP GADHYGHSEQHVDIEDAFTRYDPTLDNYAAQMTAIFVLEFGVIFHSIFIGLTLAVAGE EFRTLYVVLVFHQTFEGLGLGSRLAVTPWPEKKSWTPYILALAYGLTTPVAIAIGLGV RESYPPGGQATLIVNGVFDSISAGILIYTGLVELIAHEFMFSRAMMNAPIKLTLLAFG FMSLGAGLMALLGNWA EPUS_06432 MATTTTPSNVSGAVPPNQPATASNPGLVQMQLVRFPRQMLTNVL PPRPIPHRPRQRHRKKGFDILGLPAELFVMVMRDYLTICDRASFALVCKAFAQKVTSF HTMLQLPAPHQDQDLYGMRRFFRNTLKSWFPDHLKFCQICGKYVPKERGYWEEILLKE CAGRAGNTARNFFAWTASNNAMKGPAHHQEQQSFSRNMCRAAYTDTAGSIDISRSREI LPTNVVPRHYDLTLECDFEKFTFEGTVIIDLDVAEDSSQVCLNTLELDIHSTTIQKPG ALVIESPRLSYNEDTQTTTIKFDEKLSKGQKVQLKQTFTGQLNDQMAGFYRASSKDKD GKQRYLAVSQFEPTDARRAFPCFDEPALKAEYTVTLIADKHMTCLSNMDVESEKWVDS KITGGKRKAVKFHKSPVMSSYLLAFIVAELKSISTDKFRLPIKVWMTPEQDLEDGRFS LEVAAKTLAFYEKAFDSEYPLPKMDMVAVPDFSAGAMENWGLITYRVVDLLFDQKTAG AATKERVAEVVQHELAHQWFGNLVTMDFWDGLWLNEGFATWMSWYSCNEFYPEWKVWE TFTIDTLQGALGLDSLRSSHPIEVPVHRAEDINQIFDSISYAKGSAVLRMISKYLGED VFIDGVRRYIKKHAWGNTQTGDLWAALSEASGKDVSTLMDVWTKHIGFPVITVEEDEA KKAITLKQNRFLRTGDVKPEEDTTIYPVILGLKTKEGIDENLMLGSRSATFTLPDLSF YKLNADHCSLFRTSYPTSRLEKLGQAAKQGLLTVEDRAGMIADAGSLAAAGYQKTSGI LHLLKSFDMESAYIVWNEILTRISAVRSTWIFEDEDTKAALKLFQLQLCSAKAHELGW EFTENEDHILSQFKSLMFGSAGMAGDEKIINAADQMFKRFQDGDLEAVHPNLKPAVFA LALVHGGEKEYNTILARYHSASTAEERNTCLRVLGRAKSPELIDRTLGLTLSGEVKMQ DIYMPIAGLRAHREGIEKRWEWMCSVWDELVKRLPPSGNMLSSVVGMCAGGFTRMEQM KRVEEFFKTRDTKGFDRALDQTLDSIKARNSWLERDGEDVRAWLIQNGYLGKIQETMK L EPUS_06433 MKVTPKAGCKRKRAPSPVNERPKFLRFPPAMLAYDPTRRITRNT KKINDHNKEPMLLNMPTEVILQISENLDVLDRAALALSCKGLAAKLNAHNHLD EPUS_06434 MPRSAASIWAVPGLKAGRDALYRGFVLPHLIADGPMKMIRFPDN MLNTTSVLSQPPAATGRRYRAKKKFDLAGLPNEILLIIFDYLDVFDSATLALTCKHLA GIASTYSKLDLPEDKACKYRAHKPHEAADFLKKRVGDRFFSKRLRYCWGCKIYVPRMK SHWKRKLGKKCWESRPRGRDRNRVTFAEWWATSQTQQMLAQWDKGKALKCPRCKYCRD GFSLVS EPUS_06435 MAAALVQPNTSARSHDGTKSGSSVGVFNKMEEIGRGSFATVYKA MHINPQQEKSLVAIKSVNLSKLNKKLKDNLTSEIAILKGLHHPHIVALIDCKESSAHI HLVMEYCALGDLSYFIKKRDTVGNNPMTRDMMQKYPNPRVGGLNEVVVRHFLQQLSSA LSFLRSQNLIHRDVKPQNLLLNPSPLYYAKEKPEMMPFSVDDSSLLPVCGIKSLPMLK IADFGFARSLPSTSLAETLCGSPLYMAPEILRYEKYDAKADLWSVGTVLYEMVTGKPP FRASNHVELLRRIEKGEDRIKFPDEVIVSDAMKKLIRSLLKRDPKERSSFPGFFSNEV ILDPIPGLIGEDRPPEKTSVSQARDNERRRVSLTDPVGLVRKDSKGQERRPYSRSQNT SQETLQSQSPLPRTPQRQPAAVLGRPVAVRASTEQEQIDSRPVGRLTSSPTQLQRPTL TSHATAPVRPELQQQSADSAAPVEPGLSRGSPLANPSLLRAQVEGDENAPTARSNEEK EKERAAQDIAFERDYVMVEKRAVEVNAFADELAASPKIQRGARQNLSPQSGAMVRRAT TPGAPTLTTGAQPVSSKAVQIAASKARPDALHHRQSSFERRYAPSPNSASSAISKALN LASGRLFGVGFSPPLSLGRGGRSPPGGYNAFPAYPVPQGSLVLVGDRQKVTLDEDTKF VQTIEECATRSDVVYGFAEVKYQQLIPSAPSAKTGLGLRQAMTSLENAENGDSGGPVV LEIEARVNVSEEALVLYVKALSLLAKSMDIAGRWWARKNRGEILGDTPSQRSHIVSPT NQGMGIRVNNVVQWIRTRFNEVLEKAEVVRLTLIESQKKLPPTHPSHPNNHSMEAASS DSMGTSVDHVVVSSGVTAEKIMYDRAVEMSKTSAINELTGEDLSACEINYLTAIRLLE AVLEDDDDASSTKSSSTSDKGRKASADEDDVPINGLEAEDRKAVRNLVSSIRARLISL RTKLQTMQKRASTPTNGAVPKSTAIPHRPSPPMASTSPNL EPUS_06436 MADLQHSTTCEDGSSTKSITHHVAGQERKFSRSPHPYHRRGTSL LSVQNGDDEHPQPSDKGNELLSGISSSESGTEADDERGRFLKGLPAPVLRSHKGLRDT PFEDSTAQPTPSATPPAVENIARKLVPQVRSKQYTWIVDEGTTEQIIREKYTKRRRSE VVRRTTEIVLFFLVGLVASYGHLSEDALLACGSAIACQFALVTSLYLLYPVRAAFQAR QAGHSVNSSISRAFHIPSRFDPAPLLYPVFLPLVVSISLISASRSFVLPNVILGISSL SPRAIPTAIYPAGLDTFHWLLTMVPLIFVNVPVHPSLENMTLLYPLHVCTNRTVEFLT TTSLDPTERHLLVAALVDLYLFAESAQSEILKALLWLGPMLLFVACLKPLQWELALAR IPSWRLRKSNRRPHSSKSLLHTMDRTICAQFVRLVARDKSRQSIDSDDDGDIKPTVKK GKAAPKLSITTSGLLKHPMSGFIDHISASAVQEDEQSRRSESVGDSLIDHDFTPRRRH TMPAGGTNSVQKYRTTSSGRPKRSVTIGSQSFLTLTPAQAATRKWAYAAFVYTAVLFI VLGPIRTYVSFFALEGYEPFGWALGYLLGNNSRFRFWVSSRCLDTWIRLPHLSSHHSP APMGWMERLRQITLGPANMRIILCVYCILVLVAGMATVLELSSIAEVDTRRKVFHGVM VVMLLPTIFIDPCFIALALILILAIFLLLDLFRASQLPPISKPLTAFLAPYVDGRDYR GPVIVSHIFLLIGCAIPLWLSLAAVPRSGEYPWRGWDVSARDVSMISGIVCVGMGDAA ASLIGRRYGRHKWYWGGGKSLEGSLAFAAAVTVGLVTAYTWLRVGGWVVYDWRPSVLV LGKACLAATGASLTEAVLTGANDNVVVPVVLWLLVRGLRL EPUS_06437 MSLNVIALISGGKDSLFSLLHCIQNGHKIVALANLYPVSAEPST DGPVTLEGEDLNSFMYQTVGHTIVPLYAEALDLPLYRRGIGGKTVNTERDYAFEEGVD PDEAEDLIPLLEEIRQAHPEADALSTGAILSTYQRTRIESVAVRLGLTPLAFLWQYPY LSPPKEREDSVTGLLDDMEAAGCDARLIKIASAGMKDSLLWCRVSDPSVKARIIAGMS RFVDGNGSSLRGAVLGEGGEYETLAINGPLRVWKKRIEIDSKSNIVVQDEGGATRLTF GKARLAEQSSVQGDSESPLVRVPMLLDASFNILRQTILQADNLHSNLLDPRDFHAWTC SELRASTSQVLTSSTINNLTSPKLSRNVTEQTIAIIDQLDAILKATNNSQDVTACVAF ATILLRRMSDFAAINTLYGKRFNHTNPPARVTICCGDVLPPDVLVSISFTLARAGPAR VHGLHVQSISYWAPANIGHYSQAINVPMLLPGGSDEEVVHLAGQIPLIPSSMQLLEQD FLDQAILSLQHLWRVGQCVGVDWWTHGVAYLANAEIPEIHRRAKVAWEIWKGANTAAP NAICEKQDDSEGDTGNFDVWDLKHNHQMQPALVSSKQPTSAHVHKLPNHDVLSRSSSS LQATNTDIPPLLVAHVSSLPRSAVIEWHSLGLARLPRCSTSRPPLTVSTTARDSLSIS TCLLGPISPEAAADSDDDSFTQTEGQEQKSQLRAIAFFTIQIFEIAESASSNKGLSLK DQLHLALSRLEQQDDVPLMEGTHWTFGDLTIYVCSEGGYNALNTSGLATMGTLIPCRS LWGEEGRRVAIAVMGRRERASSGNSTINALV EPUS_06438 MEHTYPMQQAQPSWFVYQPANNPQHRQHGHFMPSPNEQHIYNGH MQHQHGMLYQQPYTAHQVQHQQQQQQPPLHPKSAFHGNMAMTPMASPQPRQLKPSMPF KQDSSLYHLDTNVYCIGSSHSPSTPPLSTAGSTISSPPSTSIPLSTPVNGPHFGFQPY EVIKENREVDLYAESFANTDWSRSNSPPMTPVFIHPASVSNQLELPELFSANVSCPSL SPSPSPIPCSTPQSSTSSNFDCCDPRHLTVGSGEPAITVSHPPEFPPLPTLAFEDEEH KFALTGALQTPVTIEPSFTCTLEETQTALPTFEPLLESDSEDEFNPFIAFTTTGDNVV YNGDKRQRVVSFSEEDEFLSESFDDLEEDESFAVASFPSPPAETVMNITKSKKKSPRK LKKASTPSESGSDYVDSANMASGGVASRTSGQDHAGSSQPAGSSSAPSQTSSNDGHAV ASSSDAQGQTPAPVNRRGRKQSLTEDPSKTFVCTLCSRRFRRQEHLKRHYRSLHTHDK PFECNECGKKFSRSDNLAQHARTHGSGAIVMEVLEHGEIPPHMAYDEEGRPALGTVLF EAAQAAGAQSTSSSGSESGRSLHSLSPASDGRKALKKRKREDSE EPUS_06439 MSKTVHIESTAQFSSLIASSKIVVADFHATWCGPCKQIAPMYEQ LSAQLSRPQKITFTKIDVDQQQELAGSYGVTAMPTFMIFKNGRSIQTIRGADPKQLSA AVKKLANEAEAAGDAGNGGFAESSGDSFWVASEPAKGYTNVTDQVDIKGLELLNFDGE FGNVRTLFDSTRPKALDGGKPSTKDWVESDTDDQLMLFVPFQSTLKIHTLQITSVQSN GEDEEAPMRPKTVQIYTNRSHILGFEEADDITPTQSITLQARDWDPKTGTAKIELRFV KFQNVSSLVIFVVNGDGEGEKVRLDRIRIIGESGEKRDPGKLEKIGDDHD EPUS_06440 MGRSTRVLRPTYYEILQIPHDSKPIDPTELKLAYRQALLLHHPD KNYSSSPATLNTTHISQYALSIDQITEAYKTLSSTLDKAEYDRLLAKDARRLNKAPKE VHHPGLEILDLEELSLNHQTNTWTRQCRCGDELGYNVTESDLEEESEHGEIYLAMTRR ILLKGPDPSLKYENLEIPVSPALLCLGGLCTDSEKKAPNGPMLMISSD EPUS_06441 MSSSGEPNQEDGHKQYGGPYTSSHPVPTVQKYKEERGERENNVK KREDAQAEDGEEIEEGTLHRAENAVKRIFGHGHVEKVPGEPYPSENRHTTADSDETPP VPDKEAQDQSEPPQAPSKDDAEISHQGERDQKDDGQSQTASQAAASQSDPRQKRKAMK HMKRDDGGREVTDPVTHLPIVIHDSTGKDLKKAPENEPSAGSDHRTQTGLTNASKSDN QLDEETRELQEGHKGMKRLFPPPNFDETQAELMKTYQFAISVSIGSVVVCATLVLLAG QLVWIKGFKRQEDGFNQWIRMFIPVSITFVLAALFGGFLIWGIGNWVSRRVEEIWEDE VWDASRASETQEIEDHDKMPESTQWLNALLTSVWPLINPDLFTSLSDTLEDVMQASLP KLVRMISVEDLGQGSEALRVLGIRWLPTGAASRSVDAEGNLKSKSDSNDRTSPGEGEI QQDVEGSPAQDGEGKSKDAEQEDENIASGMEAEQGDFVNMEIAFAYRARSSGKSLRTK SKNAHLYLKFYLPGSIALPVWVEMRGIIGTMRVRLQLTPDPPFFSLATITFLGQPKAD LSCVPLNKHSLNIMDLPLISSFVQSSIDAALAEYVAPKSLTLDLKDMLVGDDFKKDTS AHGVVVVRIISATGFKEGDGNLGPFKKGSSDAYVTTGWGKFGKPIASTRIIMGEQTPT WDEYAYVLVGTEELNAQEMLRVQLWDSDRMSADDDLGRVEVDLKDLMQSSDTKGKMCD REDRFKGPDGDEEMPGTLSWSIGYFSKAHITAEQLAAQSKEPDINSIEDLKKKVSQMA EHKLREATAKDESREISQQKAQDFKEREDTLIISSPPAREYPSGILSIQIHQITGLEL EQLNKNRSDTKTVSDSGAEEEDSDDLPTSYCNIILNHQKIFKTRTKPKNSKPFFNAGC ERFIRDWRSTEVMISVRDSRVHENDPLLGMVYLPLCHLFEKRSQIVDTYPLVGGIGYG RVRISMVFRSIELQAPCELLGWDYGTLEISRVEAGRSLSEDLRNLKTKFRSSVASSKM APDSESKGSWIPKHHKDSIFIAVKKRYSTPLIVEFYRSAILGDSMPAFAVLWLKDIPD EEEKTITMQVWKGSKDGIKRARSCVGYNGIDENERPLGELKVTMKFWRGLSGYHKGLA RRGQESDLKDVMEVLDTANDNKEGKGDEDDLPDGDANASDTSSSSSESEADSDPENGV KKATSTVKHKAMDILGGHNDPEDGRRGPSAQLQDYKQHSKSLHRRHRGLMQWKGVRTV DWMMGKASRGKEGVKGVFRHGHGKGEAGVETEV EPUS_06442 MADEYVVCTLSTCPLEESIFSYQPSLAANASFLALFALTGLLHT IQGILSRKRAFWIAAVLGCIAEVIGYAGRIISHYNPFSENGFLIQICCLTIAPAFFSA AIYFTLGDIVTAVSLRSSRVKPRGYAAIFIPCDVMSLILQGTGGGMASVSSQNGEDPA TGTNIMVAGLSFQVASMTLFILLALEYILRVRRIEGKEVSRLPVSKNKLWLFVGLFSL AVICIFIRCIYRVIELSEGWDGDLIRNERIFIVLEGVMVLLAVYALHIGHPAFLDRGK AAATTTETSPTIAEEAKR EPUS_06443 MTATTATWRRIASSEILRRSSHVISATSDSVFVFGGELLPRQPR DNHVHIVKLNEQNALSSIPSSESNSPTPRVGTASAWLDGKIYIFSGRGGEAMSPVEEN GALWAFDSAVSAWSVLSPSSDSAPHPPARSYHSLASNGVDQIYLHAGCPETGRLSDLW SFDVNARVWTQLADAPAPPRGGTSVAFSDGLLYRMNGFDGKTEQGGSLDVYEPTTNNW STKTFAPDGTTGPTPRSVCALLPLKLGGNATLVTLFGEHDPSSLGHQGAGKMLGDVWA YDVQTAAWSEVAVTNSESDRPLPRGWFAADVVGGDKIVVQGGLGETNERLDDLWILSF EPUS_06444 MDMNSYELQRLENIKHNRQVLKDLDLDRVSRDAYSEKKPTRKRR KVETPPSRASARIASSTRPIYEIDVSKDADVEVQRRRPRQAKTPPQTHLPSVALGLDV ESIRTRWTDWMPSAPPPTRDESGTFHFASHPDFLPNKSPEEVLREGCFGGSYFRPLRS QKLSIKIEDDHKELPDPWTTGLDISRYLTSPDYNPDVNKFKVACGQSIEEWEAAGWIN HDYDVRGWFQWYIRFFQGRRCADDDRQVSRWKKCVGESGRWRRMLLKKYRALGVREVF DDGTDEDAPEVSPVMHQTCHHWAFEVRQNVLDDFWSQQR EPUS_06445 MVSFLILAALAAAGTASFEGNINYDSPSFNHAVLGIDVPKVKAR HLEKRDYTGWNTSSLNFTHGVASGDPYPDSVILWTRVSPFVENDASNITVEGTVPLYS HETERYIRASTRPICVDWRVSANRNMSGAVVTTGRAYTTSDIDYTVEAKGLEPFTSYY YQFNVCGAGTSSPIGRTKTAPAEHDETPIGLAVYSCSNYPTGYFNAYGNAARKDNVDY VLHLGDYIYETRAGVLGRDPRASNPPRIIFTLYDYRTRLAQYRTDLDLLLSHQQFPWI PVWDDHEIANNGWRGGYSAMNNTEESFVRAGGISVDQRKMNAVRAYFEWMPIRQASLD DNLRIWRSFKMGKLFDLLMLDTRHYDRSITTLGWNNNYIYNISNEASRTLMGSNQENW FYRQLSESAQRGATWRIIGNQLVFSRINTTSWFGTFENPYNGDQWDGYMANRNRTYQH LFDNNIGNNIMLAGDSHANWVSDLVWFDESDYDPATGAGAVGVEFAGTAVSSTGFGRN ISQATNQSRYLVRDNSELQWSEGYYRGYFELQISREKIDASYFGCPTVATRNPFELSL ANFTVMAGANHLQRPVAGGTVESGYLQTGGVRQTNLTYNTQTGQYLYTNYSQMWITYP PAT