-- dump date 20240514_074401 -- class Genbank::CDS -- table cds_translation -- id translation cubi_02672 MFKEINSILLFICITSIFNFYKGEVEQLAPATKNLSFLKLKNGI YGRSTRSGGGRRGGASARFGNRAPQTQEGQGPLQFPPPDYPEAETDPLQYPPPDYPGA ETDSLQYPPPDYPGAQSLLKYPPPDYLETQGPLQYPPTDYEETVESRSPRPSRPHHRP SNRDNRSPSTRNVGTPLGFNQHSNPNTGGNRGPRTSHRAVAAPPPPPPPPPPPPPPPP SRQDPRAGVGNQSLASQLAQGGARLRKTGVKLT cubi_02673 MRILLLFLLVLALPISSINCSSLSESPLELVKERSKLDLTTHPV DERACKEKLLKTFSCHKLYSLLIKVRYIYSKLISILRYLFIYARESDIKLDNFEELVN SEIDMLSLESVAVTSMFYKKSCEKVYPKAVIPIISRFDTNILRHHKAPLKSQECDSAY VSYTLEILFRKVRKVKRILKRSSQLTTLKFCLTRYTYNLHKLAEFCQTVFKALIRAIL KAHPGVSKFVTYARSKTRLSSGGYDTSSSSSEDERYDMLFEQIYSNMSGGTSGGSSDG ASGGSSDGASDGASGGASGGASGGASGGASGGTSGCSYRNEPITHRSSRGVTTSSKAV SDAPIQKKRQKCTCTTRSIVRRELCPFCTEKNEEKEREKEKEREKEKEKEQNLEQEAP KQGAPFQFKLPPKKKKKRPQINLPHGFLPNPGELDPHPIRTTFDYAIQHKKMLNVLKL PLKVALQELDIETSSEEELFCEEDFSSIFKDRTSKDKKPSEIDPETGKTTKTSKSNQS KFLEKCRLKKQEREQRLHSPKTGPQQQHTHQSHKLGAHERRQRHQLIHEESSRSTRHV KTHNAASFPVLETPLRPRRKAEHSDDTKPHESIPQTGAGMFKQETLESQHVAAIGTRT GLTRQIGPDPAVRPKIPRPRLKREDSIHEDHQRSRHRSDTRITRQDHHEDSESDESLE RKFMSFHISGSGSRSHAALKHKEQSTRRSGERTRRETREKTSSHHHRKEKTVKSTKLA FASALGGSSSSEGKSSIDKYDLSDILEQSN cubi_02674 MDSNNVQAKKARYNETKIALIDYLNELKSSNLNSTHSLPPEIED AINNQFSNLSIEESELLLEEIIYPGILILIDHPNALIGDAILRIFLLNSVKTVLLSSK VDFTKFISIFNIEDWLKLEPRAICMRISIISALIESRVIINGILSDLKQLVALIFKGF QNETYFIQLSATKLISLLINMKILENFILQEFLTFQSNLILSLKIQVSDGLINRLQFY KYLFSDINTRKFLFQNNIELKNELENHSSINGKKPRFQILNEFCNFVHFLVFHNDILI SNQGIELFNLALSDESRLYSNNFQVFIKDVINSVKAFISTFSPKETRKHLINKFSTNL KLLNIVIGNNNSNFLVNSDFGILIINFISSILKYYPEFTNNSVFIERGVVVMLLKIAS SFKLFTRTNDNTELVHGKTSTNSQNELTELEIEFMINYFVYSFEINKLLLINLSQISS IFQITEPQIINYSTLLLSKNIISCKKFVNSSFFTNFNLKIGELLSIYEQDDLSNWQLF LLIKYLILLYSLDLIKLIPNSSHSKTIKMISEVIFTNFNRNSDSCTKLEIRKYSLIGP NVILTCFDLLNLVFKVPNLSKSLFELSFSISEELLRICLSFKISRVKMVRGELFHDDY VEFLVKIISILRNTDIPANSRLVLCPDILDYISEFSKNGFSPTTLDCIFFLIEIFLRN SPENIEIGIIENLIERLLLFYIPYEQQDGYLQFYNSYKQLCSIHGRPTYLPDPVQINE DFVPNDYSIQHKYMLNDQFCVDSIIGRFAECNLDCIGE cubi_02675 MERNKFFAAISFEDESLARSIFESISEAQVNICDDDERTLLHNA VSKNKLFIVEFLISKGANVNTSDDNMWSPLHSCCSSGYFEIAKLLLESGADCKARTSS GCTPLHYAASKGHDDIVKLIITKDKSVIDIQDIYGRTAIFMSACSGRQECFDLLFQAN ADLSLKEEATGDTILHAAINGYHEEIAHKIASKNPEMLMVKNKDGKIPLDLSSKEFTE ELFEIIKKNFDPNSIEELLSK cubi_02676 MWENLDPVWGTGAFEKFYSGVFGVLLSSRPEELDEWWDLNKECV QFVDAHIKYLSNPLYSLPRDSALDVRSGGSQLGGFLRERFPKTAELLLEPQVLRLCED ISVELSLHQLEVFGFIDLALQDPSLQSDSGQVELASLSSTILELYCRELKFLLLIIRE LANNSHPCVVLGVLCDSDSDLDNREEQASSDSPGESKAGSAFTSQCPPICIYGCSMIL ESGFIRNAVNSVFQSIQEVIKLGQANIKTDSQSMVDLPSNSETSDCLRDHYRNVIIQL TDTIAILLSRFSPNLDEVEALMELIPNAARMQPSFLTNRPTAADFDSWDGFGTLFSDY NGKGSGSECQDSKQAISRSDTRLSLSAKILLIIIICFDNMPNRWERTKSISATPNSIH ETHIWFCSSSRLPKSSKSNFFSTKHSLITKLSQDVTIGTSGSLSIQEEQSRTLSGEFW DIDAPLGRFAAFSINGAFGQNSKKRFMGLTHWRTLRFIQDELLPRLDPQDPVGLVFIQ AIYDICSVALSPTQDPIQWSRILQLQRRILMERDSKIYSSERDNTPSNTLRAPTKNSV QTSNSEPVISILSPLVCCLDSLCRRYPLASWGASKVLSSCIEMFPTLSMYSSFGETED GTSASVPLPPVLSELFVDLLDLAATIMAVGHYSLGQQVAHFLQNQPSNFWFHLPSILL FISRTLLRKDDILSQPEDHPRLGIWSGAGLDNPRSFRPICRAVLRILSAGFSSRLCIS SPPPPPLLSPSLNLHDSRSVPDFLILLLRDSFENRIFEDPKLLYTLLIGSLDVFSQGV LHSQSSEILAILSSKIPLLSKVLQHSINQNDSGIFLIKLFSSIISLQFRISSINHSNY CLNDENNRNAVNNTEYNIYGKADKHGKTNSFFDANGGLSKSQLPLIRWVMLEILPIIH KLNSIPTIRKWTISALVLKFVRLTLSNPLPESQQNFTEDSDATIWLFRCLLSIDSPSF HQLMALVIPPEDPFLSFLQTQNRNTSSRPKLLTAKTGLEIIRILFQRDNLFLSWVNYH KSSLRRLCTGSLSRTGGPINIQEVLLDTEALDAVTSSDSSLIHIHEQLALPVQEDLSP SQFELLNLKKNEYYRVSLLRYIIIGTDPDLLKTASYIACQLLHRDPEALTALLTSSPA LLLQLRSSFSRIFSSPDLDRFPTSIQGLILDSEDSFNIIWNNPNSFIPAESESGTKSY SLVADQQAVYWDEITEADPTSSLYSCENNLLGMSFKTLLPIHNELELNYRIYIDSLVE SADLSLWCSLKTTLLGELPLSSKLEISRTNEAVLNGFLQLLPPTFIPNKSSLYNKISR FHLTSTRTLIAFALSKAIEDRFMVSSSLIGSVSVPNIAIGARSKALDTASSAVPLLLG LGLGMATDVPTIKEYLIFSTSNDENYSSKTYEEIYIPDASSSADESTFPLLTIMDILS APPQIPLSHPNDQRPCFPSIDFAILSQLEFYYLTLRLTVNLLKVPQLLDFSLRLLSER FNSRFSILKERILLPVTWIPPEYRWLHFLHLALIVHLVSSEIFVVSQVSSALKEIQCL ELHNSLLRDPFWLSCIQNLHALFRLFLTPPKTSSSSHDQLDILSPILNIAHYFLENES SPDIELLIQQNPSQTYLFCSFLPYLTAQTQVCGIYRTSLQSIVPDHFGNQKLIKDRFP ITLAPSISSISCRLFINEYVQLLGLLLNKFSRTSPQNHTELESRDIDANVFSTLQTII ELCRPFINFSFFKKTPEPFSSAILSIFSQTLLTTLRHVHTSFSSENIYSNDESSLRLY LPNNSISEIQRQKICFTTIQDCCEDLVRLLVELEKTSSTNYNSNQYFPQGWKNTLLLL LSNCIAMDSIQFFNKNNQETNEPFTSSFLQQIWPEVWTSHKNNKNLSNKSSSNRPFST FIEFVSLLINNLRILSKRHEHEILKRLDDFLPSTLESRNTSWVLKSQEFILLSQLDQF KISKDIDIGDVENENHRISELCNWMPFNSDLLFGAKNQHDHSFLSLYLLFLIYNKIHV SSQSISINSSGLVPCITGDEHIQNLYALIENSKFSRQCCHGSLVFWNYITINWIRMSP SFLRRIIESDYINCLLSQEVVLTALQDCHQLFLDLKFVSPQVSFNLQLEKAFERAELV ISIFSLLLEIMNRGILPKNILAGYGSIDNFEVIIGWITKLEAFFSSLFDFFLKHLLFC QQKKSDWQFHSENNQYMANSGRFGSILVIEKFSPILCSVYSLFSKVIIAGYHISDLKS RMITGTEKTVNLNSSLKHVNAQEPDTNFIMINILHSRTGQILETASQMASLIPNFNHL NSLIFSCVSWFESEVEFLSHKSSRSGVEVCFSIQNSPPINPLKLKLEIARWLSNCISY LTSSLKDGIESKPSHVVQDVVSVLARASLTILLCNSKYSEPLNSISSILSAEDPFQEA VKICITSINFMLSSEIKNNFLNSKLSKTSRSCKSELELLKEIKIKLESFHPIPN cubi_02677 MEKNRVKARTPISRQNAKSVGGFKNSQNFPVIERKGNSIGFDKF KDGFVNSMENTPVRRTTNRKGDPNSSCNRKCQSTESYRTRTKLESSERAKGMCFSPAF KLKFSHNVFISLGSLLELQKKYYLKGVIGQGSYGVVRVAVESQTKAIRAIKIMNKNKI RQINPKDVERIKTEVRLMKKLHHPNIARLYEVYEDEQYICLVMELCHGGHLLDRLNVS IDDSTGKCVLDMGETQICPCPECNEEAINGTVRGFRTSLEFMQREELISKIMRQIFSA LHYLHNQGICHRDIKPENFLFSTNKSFEVRLVDFGLSKEFYKLNNGEFYGMTTKAGTP YFVAPEVLNSTNESYGPKCDVWSAGVLLHLLLMGTVPFPGANDADTISQVLNKQLCFE DSNYNILSSLAKDLLSKLLNRNVEERLDAKRALQHPWISQFSDGIYRMSSIGEIETHE LHSSKNNLFGTTEHSSFQISMLKSLRRYYVSPLLKKIALTVIARYCPDKWIENLRNVF YKLDTRQIGKIKLCDLRVATQQIAAQQKLRVPQHHIDLLLDAVDSDGNGEIDYIEFVA AAISPRLSCRKDVLTMAFKMLDQDEDGLISTRDIKKLISNEKILLSSATVLEAGEDSI LEDLNVEVTRITGKGNGNVTGVSFQAFEKLLNTSYDKVCRCYHGPREGESRGVLPVCG EDSMDTWYDDLLSCNTENENPGQFLIENEPKMIQKKASLYVCRRTGFRDLLALTQGAE KKNKFQADMSRKRSLVNHQERDDWYNRDGPQGDGKSRCEINEQCNLGIVGFIGNGLGV RVGGNTKNPSKVLLCDN cubi_02678 MAQQYIYNKDYINQINEQVYREIGIPYDLEHFVRKGIKPNNYED LIIHNSTTYGSQPIRNVMPENATDGKLNSIIGFGVVVLTLLIIYFFNKILFWLIVIFS IVCYFFFANKILENIETGDKKLPF cubi_02679 MDDTNPNKRVRLNEEPNSQLSIPIKMDNVLNKILLHPCESLSDF IEKKKVSYAPYYAHHFFQDEEIALLDDYDTQIHIYYSCNWFDVYVEVDVTALKNKPKE EVTLNEEKKNHYIEGIIKQLTTIPLEGGFCSKEEFMKRLEIPLSWLPGVSMSKFNVEL EVGNVEEVDLRRIDLSETENLTSNLNRESNNAKSHPVVKNFQILHRRIEWFLHWYIES ASSIDQEDRWAVWLPIVKRKQSFIILGLITTYLFFSMPKSRMRISQVLIFPQYQGKGL GSSFLDIIYEFAIKDEDIMEITVEDPALSMMQLRDILTIEILLRNNIIKKNFLKPLKD DEILKILQNPNGSINILKDVTMTCFPSQTWEDVKEKIHKYTKESPRQIARLLVLISFF RYLPNPLPKVEFNHEDEIRGLRIRKDEEIQSNKKSGDRPTIDKLFRVAVKKRLLADNS ESLFGSSQSEMVQNLEVAWNQVYSSFYATVKKIRTVYIN cubi_02680 MYNVAVLLPSLDYRNNTNGAINRASMGIFWEQISWLVTVFLAVT FSISSIESRYVWCLCLLPPVLYDFSVVLMGVGILRLTVSWCLLSDVVIRIVHIIGLLS RGISLVMLISYVIIGIPDLEVPLALITGAVIFQVLFASLSNSLRCPWVILRFLFVDIW IGLLLFQIFLRISSESKYYREISKDHFNWENSVQFHGNLANIAEKFQQIPSWWVVFWP CWFWCGVIFSFSTVFCVLGVADRMLAVFGIFLGCLSTTILITCLDLADFLNDTIYIKE NKYAVSYHVYEQNSHIRLWHICIICTQTMIAVMSAVISQGLIEESDEGFSSISSSDDH FISSNIKQFKKMISPYKIGSEVILTKVGTGVFHKIENQGNIKLFRDHSDSKEILNCEI ISTHTLSTANSPTSPKSLSPVLSHTPKSKIESVSDSSSILASHDDNHEQVCIICCDNN CESVFIPCGHGGLCSSCALREFYRATSAIATYKLQSSHNYSTKINAVIIATQDL cubi_02681 MTLFGQVLIGPPGSGKTTFVYGMHQMCTALNRPNIIVNLDPANE NIPYIPDVDVRELINFEKIMFECRLGPNGALVYCMEYLQANIDWLIDGIRTKRKNASY ILIDVPGQVELYTHNYVLKEILSELAKELDIRLTAVHLIDSTLLSSPTNYISALLVSL SAQMSVELPYLNVFSKIDLLEHFKDDLPFKLEYFSQLEDLNQLLTFWKHESNMGDHPL FLKYKGFQSELVDLVEDSSIMQFIPVDINDKDSVLQILQLIDKSNGFSMLSEYSEYSA LGIETNINMLPNEEMYGTIYERYIEKYPENQNQKEK cubi_02682 MDENNKEIINDIKINPKSGLTKEWDEFLSLYHQRSEEINNDFKL HSRFYRRSKSGWRSFLSVIIKSIHSFFLNESLIGIKYLKQAKWTWSNVICDFFCGLAE AALSIPQGLSYSSLANVVPSMGLCNGILQPIIYLFIGSSLYISIGVNSVESIVAGTAI DNLVGNGASLEVRSEVCSVVILFHGISCCLLRIFGLAHFVDFLSDSVLQGFLSGVAFS IIVKELPFMLAIEPPNTNCVPIRAFFILLDKLPKISWHATIFSIFTILFLETIIWIKE MFKTNFPVPSQLIVIIIANVASAFVSPNIPMIGKIPKSTLLIPNNVIWSQPPNTFPQP TGISSLPFFARAWIAALPLTFISFFTHYSAAQSMEIRAHKLPERPKDSESNSKEMELL KEKDEIELDKNCEYSKQRNIQSTSTSVQLPEKISSETKTSPRINIKDNTQSSLERSQG DLDQIQDFNSSLSPCSYKSSVSPSSSSSVILEEERRISLSIRFESKSSFSNSQSAIKN KLNSKYSDLENYQPLRHESSNSSNVSKTPQETFFDNMSMNECNTDKSKEAELNILNST SSRKKHIESRSLFSVGTEILVLGVINIVGAFFNCLPGAGSLARTNLNFTLGVKSPLHN VVYSMGVLLVAMFLLEYIRFLPEAVLGAIIAQAMIRMVNIKYFVKLVKMRSIDSVFWM IAFIGTVTTGMTYGIIFALVSSVIYLIKYLYRPKFEILGKLPGTLIFKSMDKFPQAVQ LPYVRIARFEGPLTFINAERFIKNLEKMVEVWLDEDLRISNRNDYREEAFDEYLVKNQ YFPSFTSSTNFGERIPTIIQDLNENHVERVIIIDACMINEIDYTALQVLQRFNNQAKK LNIQLWFASFSHPNSSFLLRSGFYEIIPLVHCFVDLSEAVAAAQICIGQRYSSCI cubi_02683 MFEWTNIFSSFSRPSDQTPDADRNDVSKDFIEIPEQFMCPICLG AFVDPCTLSCSHSFCISCVKGSHECPICRSPLREELWLSKSDQLMDQSILRSMNETQI RCHCGAEISISEANSHASKCSYCREDDVPTTENKLIASLNKQGFSNNHQQDQSGTNTS NTRSTSNSSGPTFVCPLCLMNSKIPNSSCLKYNVESLISHCETMHKDEYSESQQANDH MTTMCPICVHIGDENAEVECKNFLQHLKSKHLLISTFLAAARASLSAGSPETRFQLLE DILFQYALSRSRYESCIHPGSNNSQSFGSSVIEIIGLDDEQDNSEIFQDDNDIQEIS cubi_02684 MKLVITAFKVLVVAELLAFLGFRTKYGLVSAEKKVSVSEIVFES PIESLEWAGTDHNVVIAKTQKGHVYRSTNRGKNWRDITDVLARMGSNSSSSSYSHLNG FSIKSITISPVDKNIILIVGSKHSHFISSNAGETFRRISHSGTIHTWIFHPNKPKLAL FSSWTEGCNKSFLQTLKKSNSAGNKCIHQLFFTKDLGATYQKVVDYVVQFHWDGNNKA NQNRIFFTHHRKKQGDQPRFGGWMKTIDFSFTDDFGSHIETPVRGGNKFLASNGYIFV AKILDYERQTVSLLVSTNDANSFTQVQLPHTLTEKSYTILDTSEKTVMLHVNHGEDSL KGTGNIYISNYSGTRFALSLMNNVRTLTGECEFDKVMSLEGVYIANVKNDELDNDFGH ISATLHEFTESETEDEDVETSKTEHKTKMGKIEQPIRTVITFDKGGEWNYLKAPTVDS RGNRIDCDESDGCYLHLHGVSNYQSLAPFYSVENAVGIILGTGNVGSYLSFDHDDVNT YMSRDGGLTWQEVHKGAFIYELGDFGGLIVMANDLKHTNQVIFSWNEGMSWYDFELGS KPLQVDNILIEPNSSSMEFLLYGSRGKSGILYHLDFNTLGQVQCVGAATPDRPDSDYE TWSPHDGKNSEKCMLGKQLLYTRKKQTAECYNGQDFRRPIEKKICTCTEEDFTCEFGF SRQIGSFECRPESLDIVFDDVKTGQCTSSGIFYVTAYRKLPGDACSGGWVPPPVAIPC PGHAPTSSHARIVLVIALFIIIFMLKQGMFGDWTRFGELGYDAYKNVQYKVLGVAKRR SSSLQGILSAISSYINGDSSKVVFGSSNVPGSSPGMMKNNYTTLNNFNSNMFMEDDED EDDHALDNMTKISGNINHNINNNNNNYNSRVGSGSNLSQRQRSISNNYTSAIQNNSAM DPIFDDLDVFEEDLDSHAIFNQNNGLSNLDPKNDIISSNAPINFDSFNPTELEVNLSS MSNTSFPKITPPPTSNSKSNIGNNFTQEDSNGIELL cubi_02685 MGFICPCVDAVHPERISKKDDIKKKNNGISSGSESESDSDDVRD SIEIPKNFLTRGPRTSVSAEAYGAWNKMKDFTPPNYPKTKEQERRIREKLLESFMFTS LDEEELNTVILACVETPVKKGTEIITQGDNGDKLYIIDQGTVECYKKTSTEPRKHLCD LNPGDAFGELALLYNCPRAASVVAKSDCLLWALDRETFNHIVKNSASKRINTYETFLK EVEILKTMDVYELNKLIMVLKNLVFEDGQEIIKQGEQGDTFYLIITGNAVALKDNVEV MKYKRGDYFGELALLRNTPRAATVKAQGRCKVAYLERKAFKRLLGPIEDILKRNTDKY KTVIKKITTKV cubi_02686 MAPKKKETQVADVPFQQVREGQTNFAVAHIFASFNDTFIHVTDL SGRETIVRVTGGMKVKADRDENSPYAAMMAAVDVAQKCKEFGIHALHIKLRAVGGVKS KTMGPGAQSALRALARAGMKIGRIEDVTPIPTDSTRRKGGRRGRRL cubi_02687 MKVISRVSAYLLLISTFLVIFSNSERTIDEYNVKNSIVIFPGKP YYGVKMNTKYPLIIRVNKKHLVDGRYQFECFSAGPDPQGEFKIIPLVTEERLNVSQGF LTTIFNKLFPKSLVFTNNELFNTQKNSSSSNLDFPNYFSKYWDPYS cubi_02688 MRQSIFLGSSVRRKNCVKNYLVSSDSDIDDENSNLNTPPRNPST KLKEEYFYSDHSNLNEPSSRPSISLATSVRRKVYHIDSSEDSYTEDADLIYLNNNPES FMPEILENLHESPTQPCIHYESEFEPNSHLSSNYSTIGNRRRNCIFSSEEEDCALITS RPSIKYQSSVRRKNNSRKILIIESDSEDEFNLDITRRRSSFCFGPELISGSKIPNYTP DKQTSWRSLDSDLEVNEKDDSSDTLKELEWQTAISHFDFEDEEIERNELIVHPDYGTE QEDETGKHQEILKKDEKEEPLERFGLFSDEEQEKQFDSESEKENNSLRNLNINQVANI TKEISLKNVSDKFGAFVRQRSSLAKFWYQNFNKQVFQNRLPEEIPIKWTGRLQRTAAQ TLFITNVDGSKRVIIKLSKYVLDCEFRLKKTLLHECCHVAQFLLDSCIKPPHGQIFMK WGKVATKVFPELKVEIYHNYEIIYKYRYQCLRCFQMFGRQTKINDETKIVCSVCNGTV IFIGKGTLSNNSKGFQIKPQELPQTQKSKSNPYSEFVKEKFAEFKRSIREGRTPSRRA PSIMREIAKLWKQKKTVDLIQEFEKLSINN cubi_02689 MIYGWLLNFDIVPNSISEKNNISLENCRVNYWTRHNTECMDGCS MVGELRYGPNYLSSNTLQEFPYSVGINCKIPSFGTFFEKKFQKEDYWIKMDVCTNMIQ SLIDEVVIELKYDSRKFFKNSVPINSIDSNQNIIDSTYIGAYHMNNIGEILRYKHIFY KYVKYSLIIDLLLICLLALNSIIYKYRLVKINKIRVEDQIIAKKVDK cubi_02690 MMHPLSPEYIDWELHYPNYYSDQEGFKKEIYLNTKKYPIKYSED KVFSERSVAISKKLKILDIGCGYGGLLAWLSEHYKDKLSLGLEIREKVTNYVGERILS MQQNGTGKNISVVKTNAMKYLPNYITRHQLEMIFICFPDPHFKKRNWRRRIVSYSIVP LYWYLLQEGGLIYIVTDVYEYYVWAVKVMDTYKLLFERIPDEELTSDPCVTAIKTSTE EAKKVERSNSPAYSCVYRTIPVKV cubi_02691 MTSFSISLQDCESEILSNLNDSFELVMDCKFNDEDNLLYSSHVD GKIFKFEFDFDENKLKSVSKVFGKKGSCRNIEFMNGMFIFTLNNIIKLNLEKIIAIYN TGETILLDKSGKAVWKTPKSGVGVNAVTCFNNNIIVTGDDEGFVEIWDIRDKSNKSFS KFRDNEDCINDIYHVEDKNSLIVISGDTLGVYDTKSIISKKNKDHLLSLSDPQEENIL CSKTIRNNSKIVCGTDEGNLLFFSWGNFGDCTDRMLIPFVDGFEKDNSVEHIQIYQDQ FAIISTSDGILKVVEFFPNEILGTLTLNSMKKSEDINIECSKTTISNLNNFIIHSHGI KLDFYSLSMVDDIISKGSSSSTYSVSKKKRKKSTIHNDFFQDL cubi_02692 MIANKRLIFIIFGLLIGLISCQERENISIESSLNETNIPSEPAN LRSLYGKGQYNTVYEPVYMQKVQYVPAKTYKKVMYSVPEKSTYVVPQKQQMRYVPVGY AKGTPSPPQMYVPVTKSEPNVYVPVTYSKGMRYLEEETPENTVIHE cubi_02693 MIRSTEDTVFEYDPENRNEQESQKNENFPSNDSPSILKSYRRLV SSNEQMINGELVKTDYLKLIKAFSTDENIKLNRLKGTTLSSEDMNGSLVRIKSEISEI EDIIKTYEAIKKGEKEVNANLNIALLNDSTSLLSEIEDIKNNLNSLIDKQKSLENSMN SLDTLKQHNFTTKDLLDELSNCINSVSNINSEEGANSAEKEIEISIECLKNNSENALD IKNLLELERRVYEIESKIGIDRLSAMPYSDIQSAIHNISQRLSLLDTNRLEGIFRRVQ ALSTSIEQLNKKRKDLNDSLFNETDSTNITKLYDIIQKWKCTGAALPFILERLKLLKA LHQDVSTINSRLTVMESQQIEVEKTLEVCKSSFTKLSSSIEQVCKNLQK cubi_02694 MHLRVLLSIAFFSFIYDFRVFSIDSPKSIALHEVDESVIQKEIN ARHNIGSKTSNSHSLLTRLAPFNYCGTLSKLVRSKYQWKDVSFIWQKRFRRFGYWVCF RDCGGGGDCLYSSIISSLNLNNTNVSSLRMLVADRFVGLNTTSIYSPNKNVKAVDINK IIDAAGNILSTSNSTNSTYTGSSSGFNSTEILSNWNETIYLERMNILATMEAIGEWQD SWSPASILNNDFVYGVDVSTNIKKAFLVHKLLSKPGNTHWGNEWDVNYIESIYDVKVI ILWKNRGIFYPTLGNKQGFKRIVLIYYDDYIGHFQVVGIKKMNSSFRSDLLSVFEKER VPTSLKKLYKDDTSNDLE cubi_02695 MFKLIGFTLSTKNSDDNGKFEFREFGLTLSHITLTEGTQVSVYV KFPKDEGYLQEDLIIATVTKSQPNACIHQQEFPTGSVLYCKGSGVVDCIANLPIQDCC ENGCCEASHPSDEESEINSIGSNEEGKDMEMEDLDIDPELDSVSTASEKEIKKDGKKV IKAIEKVKVEKKQKASALVNDIKKNQDEMISPGFKKELPSGLKYEVLSISRNVKSDTP QIALVGSKVNVKYEGRLAKTGKKFDSGNLSFTIGSGQVVPGFDQGVKGMIVTETRRVF IPSKLGYGARGCPPVIPKNADLIFEITLLSTKH cubi_02696 MAAQAPEFKLALVGDGGVGKTTLVKRHLTGEFEKKYIPTIGVEV HPLKFNTDFGPLIFNVWDTAGQEKFGGLRDGYYIKGQCAIIMFDVTSRITYKNVPNWH RDIVRVCENIPIVLVGNKVDVKDRQVKARQIQYHRKRNLQYYDVSARSNYNFEKPFLW LARRLTNQPALQLVGQHAKAPEVNIDPSLVAQAERELSEAANAPIEDDDEDL cubi_02697 MNKSSLWYLRPDEYLNRFLEQGVRCDGRKPEVCRNFKLELNKLS SNHYGAISVRIGNSSYIACCNPQIIHTGFDQESNNVITNSRINVSLELPTICGFTGNS NHSNFVSNTITECLNDTRIINKKAFITKFQDKEIHWVIDINVVCLSYDGNPFDYTLIA AIASLCNTSLPENLIWDDTYNWFRFSSESISKNEVRKEHKMQDTSEFFLKKVPIFVSF SYLNDSIWVCDPNYMEDSIGSTVTICCVDDQINTLQTQCISKYTPGRLKNDLSQLIAV ASKRADEIRACLLGK cubi_02698 MQSSKTITEQFEELIKKAKTLNKTHPIIPEENVASKKNDLVSVK TEISMLQKRHDMCVVQKNAIRDLIKLHKEELQLLESALRTIESEEKKILEIIFRETLD NDSLTKTTSVEMVERDNDSLHAKSVLTSQNVSTSTTYENLDYEAPKNYADSNYENSEY LNNENSHKKESDSEESNDYSDDYSEQDNLSPKHTVSRRINSDRMVRFSMDDLKISSET KSNPAPKSNSTNLTNTARSSNSIKGVISIPQRYNYIRNRNSSLMKEISIKKILEGNRK LETEEKDEDQRALVRKLHTLYASKR cubi_02699 MAPISKRPNSKSKNESSRFVGSTKKIRTNKKDFDSDSTLSDFDR EVTGSTLKSSDVYFKWMQFVQNDFKNNTIEPLKGESDTVKEVKISNNHEEESSEESQI EMIFTEKQRDYFTDYTNEGIQQIPEAIYKVNLETKESSEKEIVSLPGFSPFKLENVQK SERIRMIEKSILKTPKLRKGKLILDDFQLEPKFVQNWKKHIESFGTLDSHELEELNIF FAIINGYIDVEYSNLKAITSPWVISLCILHISKHIYKSRQLVMNNNSNLQEAIKDKAN SNIDHLGDDRFRDQGFNRCRVLILCPFKGIAKQFVDTLVAILPHGKVVRGYDRFEEEF GFPDNSSENKETNKESSEELNEDQKVENFNYQNDQSIEEKIGKNDELYRYLFEGKDND DEFKLGIQLTKSGMNLYSSFDNSDIIIASPLGLRRVMGISEAEKKPDVSFCSSIEICL VFAADVIFMQNWDHILDIFKIMNKLPKKSLGNCDIRRVYHAFLDGKSKEFRQTIMIST YRMEDISSLIRNQTQNRRGFIRLWKPLEKMIGYTEKKLPLYNAPKLVEGIQQMFIKTG DSGSPEDSLLNYFSSTLYPDILAALDGKTQRVLIVLSNYVTYLRVRKYLLQQNAIFAS CNESTSNASLSRNRFAFYKGELPILITTERFLFFRRYLLKGATKVIFCGPPIYPSIYL DCIQSINFSQGPDKSNPKSSAPLAITLFHWQNSLALERIVGTSKCSSLIQNAKTSKPV IFKISV cubi_02700 MNTIVKGFYNSIFKQSKRLEEARGAFREGDVERSILVHKEYTHT EEHLGAQSELLKVIVFGGLDGIVTIFSLVSGCVAAGFTTIQLLTICMGSLLADAFAMS VGEYVSSKAEKDFVNSEEQRERWEVENCPEEEIDEMYNIYLSKHGFSPEDARKVVDLT FKYKDFFISNMMFEELGLVFEPGGSSQPSSLKTATFMFFSFSLFGLIPMVSFVSFKYI FSLSSLLDRHSQVLSYTTACICCALTLSILGYIKGKFCSMPPVKSALTMLFSGLISGI VSYFVVTFVTYLTS cubi_02701 MNNTPGETKNEFWYRINEQTSIRRNYKENDLMQISDSYKTRGIK NQFEGLISSYYQENNSIKNCVMNAESHKNAKDKKRIGNNSSIRFHSQFLNMMKKGLIC FSCGSILRSSFGSKIYSDINSIKTCPSNSDVTGFTCIVETCGRSVCNPCLQFSRAHDV LLFNLELSTCIICEYQNEYNQRNSVNPRAPIEWHWAFHTSEDLTFLRKVRSGLMNGNG LHIELSGKRQGCWRRRKDGKRKHFSFKQHGGFFESRAVALEFKAVNIKTGDQDYQSAP LMITALGESYSAIVPRRIHRGNQILQNQQRFILSSINDGSCAICFQKQANIRQNGCKD TKNESRFVNCVACGRVFCKLCILYIQSSNPCSPIAANFLSEELVCALCQHLTAQPTDK FAHFIHDFCSLLPSNTPLIKFDKYNMKWKTPYGSVSVVEFGGILESRREAYCQLETGQ SLEVTCSYDRNIFYGEIGNKLGYYTQFAKNGMLRVYGPFETYDEAGRRWDLDALKYYG AEKAMRKAFFPKLLTWLSLPQTLQRYFLAPWIVWSMDKDENCQKFQKKAGLGTNAISK TYDVIIIGAGVSGLKSAETLLNKGLNVLVLEAQNIPFGRISSYSQWTNHKKRLSSEIC FYREKKDSKENYRETEKKKNNDQITEESVIQLSEETVSIANELIRLSVELLEEVVIDM FHPLSAVLEPPIKEFMCKSGNEYFEKVNPAKLVLQRIKEFGETVNWRWILINYCLPLS LKRLNIKNLSTSSKKYIEKVTCGDYGENLFKNIEQFYWERLPRFVGFEELPWREYWCY PKNNFERFKTPANILHYVQLQKESLIERSFDECDLIDCELQKLIQNNDILNNIHFNSP VSDILYNSETDQVNVRVSNGTWFSSSCVICTLPIGVLKKSFQSSENNSGQVNGLQGQV SKISESKNSIKFIPSLPYETIRSIKLLDMSSYTELFLKLNITPILSNSGSTPSTNSNI ISSSPSSLTSNSAKCDKELDMYFSSLADKIHHLDHPSITMYTHRDIPNTIMCGISAPL AEKLSFNRGSESDFTPNSESLSSQELANICFELLKEIVPKELEIELVDFSVKSWKDDP YYLGSIPIHSNNSKETNINQLIKPHFEGHLYFAGDGTTVDGFGSIQGALLSAKRVTTQ VSQFLSN cubi_02702 MQQTTVIKSKTTSYVVLQDLGSGTYGRVVKAYDQNNPTHLVAIK LFHLEEHEDEGVPATSIRELDILRTLNHPNIVDLFEVHYSRPTDGSPLLMGVFELCAH DLKKHCKIHTQQLRDRYPDLKNPIAEHTAWAKELMFQLLNGMAYCHAHNIMHRDLKPQ NVLMGLDGVLKIGDFGLARACRLPIPEYTHDVLTMWYRAPEILLGIDKYSPSVDVWSV GCIMAELLRGGHALLPGECEIDMVWRIFRYFGTPSEETWPEIGKLKYFDKNFPVWTCN VKENLKNLCKLADASAIDLLSKLLVCNPNKRISCKNALFHSWFDDIDRSKYVMWDSRV VLPSPRNINTRFTEMINDENVQQNNRVNRRSVTKGVSSDKRRRDSDKRSAKPTVVGTI L cubi_02703 MSLENTHTVEEASIGEFSILKYVKSEILVNLWLTAYFEKRIKKK QLMSINIDESVQEIIKITNSENEWVPLRISCGLLSGVVKLFYHKVEYLDNKCTTIFTR MQNFSKNLHSSANNSTSSKALNKETSNSKIDRPQNSMRQVKSVIDIEEINRTLDSINE DVIDQLEMVPLSQTSEQSGNQNLELILSQRSDHNLCHMDSAPIILDMEFDDFINNEEK EDVEMMIEDRVIVNEAGVEIDLEASIERRRGASVDFGEILANGLEEIGSTERGKLLEY GGQEDLLDMDSHQEFRGSINTFRTSSISGSNRESIGGFSAALDLLQDSENRLSISAVS EKILDKSPKSGSISVLTIPEISRSVKVSEAYSGRARTKRMKVIDIAQDTVTYSRPLEN GDESLKLVFSNSKSDIEKLKNIIYLSKNSEVGVLGLSKILPIRLSGSYLQRFTNNGES KVRNIRKRVIQKSNKSSFEMGFVEKTPNSLMENCFSFENSGDHIGQEIFENFGSEEQN PNEEFEIRTPVRRKNSQSHENEKDELIFTPWTNYMATEQRNITDISPNAYYDDERKIR SLFSSREVKTMQYLNSKFLKTDRLSFDDLVIGSDASVVAPIFVQILHLKSKSMIDIKQ EEPFGEISIFPILDNTQNIIM cubi_02704 MKKTRLDWLIAFFLFFGISLIEGKNEGGILESILGTTLKEFEKS LVDSQNLEKTNDTKKLNETQVLSEAYEADVSKNKDVPLATSSLSKAYNPLKLGELFIV PLTSAVADNHYNSYGPDGRDLFLPENGIRRGINHWLSDGNAIKKDIVYFTGILSEPRT VSGIVINWASSPGEVRIDVSRDGITFEQAVDWTTNRLSSKEFEQKLYFKEKPIRIIRV RIGMRNPVNKFFGINFTGLLVVGAPVVQLTSGITSMTEEFCWQIENGDIYSKGAELVL GSCIEAVASGDSREIFEFNSKGQLFNPVSKLCVQLKDNIVGGGALVLDDCRKASDGSG LFELMPNNQLRLVRGGNLCLTSPGDKPGLANVALNSAASSTSVIKSNIENGPAMAVDG KDTSFWLSEYFTLDKDSPNVDFSIDIGSVTKLKDIFIEWKYPAIDFNVDLSENGKEYQ TLISVNNNGLMSTTYSLDGKKARYIKIHMTAPSQDGTGKYVYGIKQVRIFSNTMRSAV EDCNSVKQHNDGRDKIFPLPYNGDNFAPGLLLKAHGNSVKTRLNELQELSGKITSILP NLDACRKTSDGRDTTLKMQATKLGFLSEKLEKLTSDYNLEYKFTKPALGGSELYPGED CVAIKNDKSQEAISGFYYVRPFCSTKPLRVYCDMNTGNTIYPMEMSVYSSRAASSACA TVGLKPLLLRDKKESVAGIKKMLSMMNINDNRRVIPLTHDFGCDDPKGCNSQFTQLGS GVESFTVISKDSRASNSTSETLPELVLCSTNTNLKHESNAISLACESRFSDMKALKSS PLLTSILVKCPTSCNPENGGVVIGSDVYRDDSSICLAAMHANTLNRSTGLVQITPIEG LENYGASRRNGISSVTYSGKRWTKSFVTSKYDGLCPNDSSLTMLLSFVEMENKGNEDL NITSNYTMPQAKSLGMGIAVDTFEAINRMERYMDNIGGITYSPAMKESIGGSQVLVSK VRSQLKPTQIMDYHTRTSADDMLVRLHTVSSVLYYHMEEILETIVEQEDLLNKVRELK STQTGFDNFKMPISDFIQYGKIFESWDTPGLVNGVGNWRILYEPIGGGGRSGVLGQTS SVGPNTPDRNVAIGSFSRIKYKKFYDIDYHVDVYVDSYEGSIGLTFRMQNFERYYLLE FNQNKNGGFKRLIRVMDGQKSILSTKYDGGYATGSWHHVRILLTGSRIQIWVGTEANS VQNENKKYTNPVKVFDLYDSTLLSGSVGFFSSAIKGGGVYFDNINIEAKPCTKPTMIS SLLRPSTPPTAPVCSSYKSGSFGGVHNEFMFVDPEFSNDGPSNWEFRSNVGGLQTRSL IQTSNIHSISSDNIGTHAILGGNRQCNSGVFEYSFFPQCPNGIIGGIIHYESESNYVI FEMGSYYSRIRAIKDGVIKTTASNVFVGYQVGVWNNIEIQFGTLGTKILASTNNYGKT QLLYSGSPLGIHDGSVGLSSFRCPGVAFQTIQLRPLSTMKSISGLFVEKNSSSKTGKE ISTQDSEKNTASTSTNTFTSYEDSEMTCQAEHTTLRRKDCEKLSGGKQCEANYCSYCC ENSSNRTSKEQLKCKQECHKKDIIDEQSKKVLEKLGDCHSEDAVKLYSEKCNVGGGRG QFNINMLNDEWTDQFVCFVDMCQMCCNTQPLDGLTKDSKADEASLSECYLQCILQDYK TISIYKRGRK cubi_02705 MDGEKLSQDLNYLVLTWESKYEKNDQISKEKVDPTINYNRNSEE AYQDEDTVSPIINRHIQEIEQEIQRINKENEDKRKREEEEKKEKERIEREEREKEELR IKKEQELRLKEQELKLKKEKEKEEKEKEKEQELRLSETKEKEEKQKADEAKRKDIYSG PYDSKNITNFEIQGKVYIEKISNYQNSNEYKEIISSTESSIKSTRMNIKKTIQLSINQ ISSTHQQILSSSKRIKELLSGLRSSSKSIIASSDSPSPMYSYGLYMAASLFIDQCWTQ ISAFPDSVWSYAYSCIHILDENNEFEVFLEGLILTECRVLYSSNLEDLKKRENETEEN FGKRLNSIVRFYLSINILRGNFGKIWLWFVKLLNKESPNRLFVFVVLAVIQIVPHFFF SQFKNQFMKIIDYILKFKLPQIYTLIEENPFPIKGQCKQLEAILNDLKSPSCNTPPPN GFILKDKHLDVEC cubi_02706 MKSMPDPSLQMKVNQYMVIGRGKPTELNPHPKVFRVCIFAPNEV VAKSRFFYFMSKLNKVKKANGEILSVTRVFEKRPTYVKNFAILLQYRSRTNVVYMYKE YRDISKTGAVSQMYEELAGSHRAQRSSIQIIRVSQIDAKLAKRPKTTQFFNSKLKFPA IRKLPMAPKSLRSTFTASRPTTFQK cubi_02707 MRKELGILLSDWKKIVLLVLFLGQIFMAAHGYKHGDSVNIIMNR IWKYDENIVTSEFSFYSKVPLCHDNRKIGEMSFNEVVRGDQLKVIKAVFGLSENDKSF CSISLTNDQLYSIRHHIRNNYVFEIYVEDKAIAKTLGYINENNEAILITGYDFILGYR GSEIASLDVKTRNQHTINIDKTLELNKSGKLSLINMYYSVKWVDKSNEPIGKVGKGVL KIPMIRWLGVFNSTFLTILIIMMLLLIFMQILRSEFSRYFPMGEDDLNLAFDDDPIEM KGWKLLHGDIFRSPKYRMILSSFVGNGIQILFVGLIICITDNISMFRNLSFDLETLKF LLVLFTISSYISGFVSSYIYTSMGGKKFKYNIFLTCFIYILPVYLLVISVKSLTLGSG PNLFNIYSSIKVFTIYSMVSLPLCFLGGLFGERRSKKYFKFPCKTNRLPRQIPRQKWY NSQRLQLVVSGILPFSAVYVELHYLFVSFWNYTPFYFYNNQHHNNFLLLTISTFLLIL VGSTSSIILIYIQLNLENYKWWWFSFLNGLSPCVYFFLFSLYYFLSYSNHIYGFIQFR LFLMSNLIIAYTLTLALSCITFITSYVFIHYIYKHIKSD cubi_02708 MGGAQTKQLGGFRVCNYGENSLGRLLELERSFDYIVGIDGVPLT QVSDASHDFFLKRLKGVGKYQVKINIYNSLSTRIRTIILNPYKYNEKTEGNTSSRRCS TESEKDGLGKNAFVDGIESNMVEFDAPLNSLRVYQFLSYDDRISGLGIGVHWEEISTK GIKIVNVQDSSPAQASGLISNEDFIVASSTLMRPFYSTDDFLAFVKKNDKVSLSFIVY NTETEVIRELFITPDSNWGGKGLLGCDIAAGPLYDIPLREKEVSFSKPHHGNITYIEV ISESEDGQGPIRYSLKEENEVISKDILQKEESEDKDISQQSLKTQLNKTSRENLLVKD YSFDLYRIKQSEESQFDEKQDIIEQALINSGINKVPDFSDHLNRGPIEVPNIENNQED SESHTESNINTSESFLIISDLNEQKDSITDFKSSPTSSDEGQNIKISTELSSNHQNSN PTQEQGTPEIFVNPEVNSNEVYDSGSDHLISISSAETSQHQTQNQIQNSTYKANSHCS NSSSTQQISAEDVSEEKTGAPYYVSKSSSEPFPANSPKSEVSSGMHLHADLHVVAGTA HLISGESTEKKDLQSNLGLERANCENKDLTCIDNHDIDTEDSVLKDLRVKAEGVSKSE TEQRGEFSLALEKEDNATKVENQSGPVKSQNDQIKEINYEASRIGTLDSLGERHEIQE KDQEQIPCKNIEIMSPEDERACEILKKLIEIHPRLDYDPPGTIEYTDQGEI cubi_02709 MQNPLDHSMSSQNAESTSVNKILANVQGYYSGDYDWNDFYGDDD EFSVDEDDDVKLDSKAILTSNSKTFDSVIQDKLKVFQNKGSTDNSNLGDVRFRQRIRN RRMRDKANDDDNPDYLHDEIVEQIQKGEEIAAIGPEEMNEIRNTAWIPKGEYIPEDIA MGYHTAWDIGKRGCVAVKLVGNWDSHISPNKVRFFIMDGTDPIAFLSYYTKSDLKVSR GEKSEKKGIIFAKDLRDGYWDYGRSKIIFTRRNKSGQTTIIENLPTQFMDAMMVCIRE IEIHKKVTKIIKERRKQANINILDKNPVKAGTISNSSNSDESNHKNLGIDATKNNSEL NQPNFIEDSEVIVPLGNALIGKRASITKLVAPKIDFEKYKN cubi_02710 MADWESLLLERVKKRDQPSISDLLGLYASYTELRKKFVSAQLCK PKTPQDQKTSDLEKTNTYNQQIKFLQTKLSQLQEELTNSYRNKSNFDDSINQLHQKIE RLENQISEKDKTISKLERTIAHLKSSRS cubi_02711 MRYLETIIFSVFLSLFVAFPVKAADEKKYDGPVIGIDLGTTYSC VGIYKNGRVEIIPNEQGNRITPSYVSFTDDERLIGESAKNQATINPVQTLFDVKRLIG RRFKDDSVQKDKTLLPYEIINKESKPYIQVTVKGEKKQLAPEEVSAMVLLKMKEIAEA YLGKEVKHAVITVPAYFNDAQRQATKDAGAIAGLNVIRIINEPTAAAIAFGLDKKAEK SILVYDLGGGTFDVSLLTIDNGVFEVVATSGDTHLGGEDFDQRVMDHFIKIIKSKTGK DVKSDKRALQKLRREVEKSKRALSSAPQVKVEIEGLMEDVDLSETLTRAKFDELNADL FRKTIEPVKKVLEDAGIKKSEVDEIVLVGGSTRIPKIQALIKEFFDGKEPNRGINPDE AVAYGAAVQAGILAGEGGSDLLLLDVTPLTLGIETVGGVMTKLIGRNTVVPTKKSQVF STYQDNQPAVLIQVYEGERPMTKDNNLLGKFELSGIPPAPRGVPQIEVTFEIDTDGIL QVSAKDKGTGKSEKITITNDKGRLSQEDIERMIKEAEQFAEEDKLVREKVDAKNALDS YVHSMRMSIEDKDKLAQKLEEEDKEKIKEALKDAEDFLSSNPDADAQEIKDKLKEVEG ICNPIIAAVYGQAGGSAGQAGGDDYSGHDEL cubi_02712 MASILSSFSSQYNELWRAIIRPPRDKYSIRDLGPMRFAIGKSIF KRSDFTLRNRRFQALHCSHFEPIENERPSESLPCVVYLHGNCSSRREALPYVPLLLPI GITVMAVDLSGSGLSDGDYISLGYHEKDDLSVLIEYLKSSKRCSSVGVWGRSMGAATA LMYSGVDRGDGFLRGIVVDSSFCSLRQLCHELVHHYVPLLPNFLVDSALSFIKSTIND KAKVNIDEIAPIKSIGHCKVPALFISGTNDTLVNPNHSKKLHDNYGGEKMLMIIPGNH NSERPKFVKASIVIFFYSVFECFKLPKSADALKSFINTDLSLLFNYDELYYDENGKLP KPIAQSFYAIQQYIRYKVTNNSPVPSLNTAFQRDENVRSSKEHIKRDEMTRSDSNESF SNQNQNKGIQMRPPDVDKYIDRMIPLNNENIKQSSSTIYSTDASCSRSTTFETINQGL ERGDTMNTIGSFEEFKDAFSPVSYQIRSSGTEFSSEEKLAGPESSSSYVTATGNYQIS PPGTKYTTNNSQQYCNQNQNIIHQHSPSYHPYGFNQKGILQTNGAMGNGSILLSNGGG GGGIGYSNVGMSSGQQPSSIGEGARNSSIASSLPKKVAKYNYQRN cubi_02713 MEEDQEWSDSSYVLRRRYRLNWSAIIRNVPEGLEGLYETFSRWS VSLGFSLPNLVEESDELCIQKGEKKIYIVSFPSRSSTKSFIRLSRRNIWREISKDSYS ELYVYCDPAYKLRPFNDFETMNLSTCYWLIRGIPKDIENDEVIWDFVGRRNPYGEFLR RLVYIRDEEGVSGFCFLQFSSPLTSYRALKWEIKHSKEFGPNFNRKNLIPEFVGTSHV KALLTLCKGLDKFETKTLKSLESSIKNFIDSEQLNNVNISRGKVLQGYLSFWKRSKCV SVPVDRNSEFQYCGDENYESLYREALYTSGIDKFPRGRGRLYYCNSLNFLWDWDTRVF LDCQSKSLFEFDPELQSLKFIYNSGKSSQFDNTGHTFGEDYSDRNIQEDCHFKTQISK EKLRKEKEIRVASFLETARSQLNYNMNNYINSNSVEDRNSILDSEYDSKRQKITQFFD TSSHITHEKSQTGQRPNFCNLIYNNSDDGAKNPCTNNVCKTPGQQAPECGEVAPSVCM WSVSDVGVTEETMGEEINRIDAGSKNGIVSEEELICFVCCRVFGSVWERVNHEQKSSL HKYNLEIWRDKDEF cubi_02714 MANYQVDWEIVGIFEDNSVENNFNLFELFNNNNYKNNTSLKNIE DYFLDPNRYCNNTDNSSNDKKTTIILSRSWICKLYILSGITGNDLSVCMDFLENLIFN NTGLSHLNRVFCYLKYLPDLLSFPLFHCKFQSHLTYKKNGITQKDEIIEKVINLIKKC LIHLFSDHGGKPNIILIAGTSGSGKSTISSYLASFLRVKCVISTDTIRHVLRSTEKYK YNKALNCSTYEVHKYTNIQPKQNGNNQSSESSIVLGYLLQSSIIEDYIYEIIANSVNK EKSIILEGVHITFSLFKRIQSFAKLKHANLSTFLIYIQDSNEHIQRFQQRSKGILNFK YHNNISNIREIQSYLINSIGKLPSVISIDNTSNDIDYIVQEKILKQVTMKFL cubi_02715 MKFCESIIYMFLMIIMVVRGAPTLNVRNPGEHGHSNSVVTTSAT KATSTLKTNNHSASEELLSMKSLQNEPNCDNAVFPSEVFVSQPRDDKVYIRPSKIPES GFTRAGLTKFTSAFGIHILGDYMVPDDKLSYLTELLASVLDNDQDGLVDATQNTILDT IRSSNAMMVILSGNEESVEKLLDPNEGLPPEYSCMMFLLVEQAKNIIRGGPHQKNCPQ DLERTNDRGLGFVVDFISQAAYPVTFSLNEESSMRMENLYNSALRKGWFLPQNIPVAN NELCDEDCKRLSFQSWLATSILDQDKCSCIKANVFKLCTSEEIRNISPDDFAYFASAL KRPTAYSSPAIIA cubi_02716 MDSILKSKYTENRIEYDKFSLISINSEFSYNNTIDNIQNFNKGT NNCKTSLNPKRNLKMNSAGLRKDKGGGTGTGIIGPGLKIGKEKRLDLIRDALKSLYVD RTKPHLQELIRRLKERVDLGANYNLQMMIKDLSENEEEFLLFDNGEIYFRNCYVPENH WVDPKNPNNPYSREIWSGFLQYINNLVCVRGEGNFNSSIIMRNSNNYLIEPSNHEFIL KQKENESDFSLLSSTLSSSSSSTSCSTNSQSQPNLLRYQFKGGRYGVAMEIHKAKISQ LENLSLGELSHLVQLAINGGILQYENNVLKPRCTCVKIAAAALSVDVDQIESNEAPTD DSNCPATTINNNLIDLKYKLNEILIMYPNGILLSLLKRFFNTYWGKKLSPTVFGYTHI STLLLSDELKQTCRLYFDSFNHVIVQSTKFDIPNNVRLLEDEKFQKRTSFFDFGLFVP IRNMSDISFEYMRLLNK cubi_02717 MARCKPKPLRYGGILDQNEKYNVLEEDVTKKDNDLDTNLHLTFK QQKKEEKKEGHGLEYKRQILIQNNDLICCLNVWENVKMIISESEIMDLGESLSELREQ GREFIAIFGVLEENKSKCYFKILDNQLIEELKEVEFCKSDQGTNVEKTIDTELSIESK VLGCGTVGWSSLKPKIPYSHVRKALLLLQVEGFIVFTCEILGVKRSRIISNSISTSNN VSINGKGFKESVLNMNIRMYLTRKSLDGKLLSRRKDGKSSLKSIFSWLNEELRFPILE GSNNKQAKKTRSYQTVQIKPNELYSIFQEYDISIKRMGSSNQKDGNWSQSLGLISELR NYQKDAVLFALNVEKDTVKIHLEYPPYWFCLKLPKRGEEKEDLLYINMINGEISLNIP PLGGGTFNIRGGFLCDEMGLGKSLEIIALILMNPRLDYQTNFQKSKDFISFPTLESKE NSFDVECPCGVSNPIIDLKVVRCNKCKVKFHFQCCISDQITMDSDTNLLCSLCQSTEF NQRLKTKSTLIIAPASIIDQWYDEFNKHLEDGRLKVVKYKGVRYIQNYLRNRALKKGK DYGCGYGIIKTRRDILDYDVVITSYEILKEEIYHVLDQDSIANKRSMRFKKTYPILAS LLINIDWWRIVLDEAQMTEGYSLVSKMTSKLICYNKWCVSGTPIVRSCSNDLIGLLLN LSNVGFDLIHDSLYKKYLGYLSSILYIKTIKSKTEQEADIEIESEKLDENDQEDHDHS RDHDEDLIGEKFQKDGWIGFENSLDYITSQYISMKNLVKHLEYVIGSLFYRREMKQVK EEISIPPAFYGNTYLSLSSVERFFYIKQCELGYNNILNYRSIDNFLRNKKELDSLITM LRLACIHPQLGIMGIHNRNKNLNNQENYYDLDTQNDSSIASLNTGLKIMTMDQILDKL LNKCRIDIEESVRKYVMNTLGLAGIYFTRNFKEDDDEKYNKSSIFYYKQVLDIRNEDR VDVLQQIHTLWNLGEICSNESEKRELFRECNELEIKYRSKYYKEYMEKQESFIKLRDK TNSLYSDNNWWHIFKRLSKVRGINGRFGLGSGVGSVNEEDLLLDRIENFQYEFKSAKD GDSEYNLPSFNSIHGLIVALDLKVKHMQESRTRLLSQLSQLELLSLSQDWDQDQDRDQ DQDQGQSQSQNQSQGQNQEQNQNQSQSQNQNQEQNQNQYQSQGQNQEQNQNQYQNQSQ NQNQNNHKLDQGNRQGQDIQYQLILDEIIAKVSSCSLCKEVQSSEIEEEHQNSAGRRN QSGQRRDLCVFCIIQNHIEELEYSLYTIKKKSFFRFSESSNSNFRGTKSNEADNGQQK KSIYNDSTYLRDSETIAILKFLNKELKRVFKSGSNMKEDLDESDDLSSISKISTKHIK YIESLKLELSSSKAFVSATRQLINSYLELIDCKQRIQVIQDGEPNLSSNHNNIIHQSE IPFLAEKYESERISALGVRRNLKSQQKFLCNLKLQQRSRKTFKDQQEQQKEQVQKQDL EQQQEQQKEQEQEQEHEQEQEHEQEQQKEQQKEQEHEQEQQKEQYQEHEQDHEICPIC LNKLKEDYSQVILPCAHILCIDCYRLINKKSNNHKLKNQCPKCRFAFQDTNVVLIVPD NDNNKVNVKVGNNSNNEKKTYSSLINEFSNKPNQVDFGIDYNIIQSKSILGNFGTKIE AIIKHIKWILNYPGTSDSFGIHSHDPQLQTIRGNNDKIIIFSDFQPVIDILSSALHLN EILFKKYSGGKSEYHIIREFSSNNINNEYYPHNNYRVLLCNHLNVGKGVNITAANHII FVSPILNKSDELQAIGRIIRMGQTKTPHIWNFIINYSIDELIFHYLSNFYSNQNPQNS FFNSNNQHSLNLKIIQHVSDTNYSNFKQKEFVLEE cubi_02718 MISMPERYEQIDAHIGQGTYGKVEKARDKENDIIVAIKKVKICE LPSDLTESRQKVGQCGIHFTVLREIKIMNEIDHPNIMGLRDVFVQGDFINLVMDYMES DLRKVFENRIRFSESHIKCLLKQIILGINELHNWFIIHRDLAPANIFINSKGIAKVGD FGLARSYGQPRREYTPEVVTLWYRCPELLFGSTKYTHAVDMWSVGCIFAELLTGGKAL LPGEDELRQLGRIFELLGTPNNNNWPQAKELPLYCEFSPRPPLNFSDIFPNASEMAID LIQSLLRLNPLERISASQALDHEYFKSYPLPCDPSELPLIYLKN cubi_02719 MKLDVSNMRFISKDEWRILTAVEMGMRNHEYVSPQLIESISNLR RTGSYQLLQNLLRNKLVSRESKIYEGYKLSYLGYDFLALRALNKRGIISSVGARIGVG KESDIHIAANEKGRLVCLKLHRLGRISFRNVKNTRDYLRNRKASSWLYLSRLAALKEY SCLKALYENGFNEGEIKVPEPIDWNRHAIVMELIDAVPLNSVKQLEDPYGALERLMKM IIRLADCGLIHGDFNEFNLLIDSKENITLIDFPQIVNTDHINAEMYFKRDVNCVIELF RKRFGIQVTEYPKFEDVIVFNSDNVHSSNRIINISKIDRDMNRLDYLNTIISQKAEQD NSEGQELQEDGSTQEEEEQEDEEQEEEEEEEEESHDDDDDEDDHDHDHDNDDNYDDDD YNTDDDGEKQEYDEFKARMINERMNNLWLPKKKKTQLNQDKIRRYLVSQYDKHHNSKG NSGSKKSREYRKTKAMIDSIKNDY cubi_02720 MRNSRHKKNNSIFDDTEHETESDNFFSEVELRRRVGNKKEFYED NQIKEINKNESNENKKIDGTCSGTNKTDKHSNLFTNSHKNNNGDLNWVTRTIWTIILL SSFLVILAAGHTYSAILVLVLISAVYQEVNSLKKSAEEDKRLPFFYTLRWYWLGVTLF STGKLWWVPLIEKYRRNYYILYLIVYYHSLISYIGALFGFIAFILSLRNYTLRYQFSQ FGIMILSLLLVVTQSVFMIANAYRGLFWFILPSCLVICNDIFAYIIGKIFGKTRLYRL SPKKTVEGFVGASIITIFAAIILGNILSKNQIFICPQNELLEKPFSMWYKLRCDPNPV FLPKPYNIPPVLKFIFGKDHIYISDSQLHAIVIGVFTAFVAPFGGFFASGLKRALRIK DFGSAIPGHGGITDRFDCQILTGVFTYLYIRAFIFTNPKSVSLDLVIKLAKMLPEPEI QHLISVLSDHISV cubi_02721 MKLGTFGDKYSAFFPLHLSIDLLIFTISIFLLFYVVNLLLWRRY DKHIYKHQSFEMMLVPCRRNIYSSIFRFTLNFITALHLILIPLITYDSVVSPEGCSIV FWKMKAEVFLVEYVIALIICLGRKLFSRRIKLTLVIPSNLFDCNFVCVWIRDNSNNNS NNNNNNNNNFCNPNIIQSSSDAQYIHKDPKYSTLNQRVLDFYKRCSAFLYGEVDGFNY YDCQVNVDESSGIRYFVAGSTRFVFSMETGNFLPQIQSNKVPVSQIEKIMNIGGHSEA SVMSYLSVFGNNESIFETEPAIVVIKRIFFCPTFILQYLMLSTTFFLRFFTWSFCWSA LILYTNFFSFFKEILRNNKVLKETQTINNRPVKVKRGNIKKSIKASDIVLFDIILSDV GDIAPCDMILMEKLVLVDESSLTGEATPVLKKPLNLSKLSNSQILSTGDKILKDSLIY AGCKILKIFNADESNDSLKSIVLNTGIFTFKSRILHTASQNMISDDFHDDIPLLWLLT LFVASVIITVQCFISPFNIGSVFFILGTLMQLIPIWAPASVQSCINNSVSKLKSNSNI DSSFPRRILFASKLDALFFDKTGTLTKNEYVLDRVERLNSDLLESRGFFTGNGNYFGR IIKGVNEEKIDILKTATSTCHSLSFNPDNNNDEYYGDLIEKEMLNFTKSSIYERSSTD GRSLKRFIFERESEFGNENIDSNQKAANLEKMLNRGCEVLKIFDFSSISRSMSVIVRC NVSNKVFLFTKGASESILKCSIENQTFKDIELKTSELSLSGSYVLLIAYRELKSDPES IETYRLLNENRRFEFEVDLTPIGILCFSNCIRKEAPFIIKEIKELGIKPIILTGDNPD CALSVAKQVGIINGQLELSDFELESDRQFKIEIHGDQKVVICHAIAGKLVFLNESRHE VKLEQVIDNLNKIKLVVTYESYEMMSKVVLNNDGTSKYDEKQAMLNKRTLLDLLKDNI SVISRANHINKQSVVKQFIEDGKTVGMVGDGSNDVAALKESNLGIFVNRTGILNSHFS LNEGDLNGILSIIQEGCGCAANSRSLYLFMIMYGFTLVICKNILLYIGQATLPTMGYF YYSIIVNFPSVWGIKRSRPAKKIKKYPVDSGLVTKKSVLTVVYFILIIGINLGIVLFL LSNKPWFVSSYKRNMAIPIYIFARQDGFESSTVFIWMCSLHSHMALIFGLGGYYREPF YKNKVLVFTWLFAQLGLIFLIFSEPNLLTCFFKINCIESITPGTLLSLNIPKFSGNNV FPLSWKFELVGWIAASFLACIYIYRRINFNSISKIKI cubi_02722 MRKKISIIGAGQIGSTIALLLGQKDLGDVYMFDIIEGVPQGKAL DLNHCMALIGSPAKIYGENNYEHLQDSDVVIITAGIPRKPNMTRSDLLTVNAKIVGSV AENVSKYCPGAFVICITNPLDAMVYYFKEKSGLPANKVCGMSGVLDSARFKCNLSKAL AVKPSDVSAIVVGGHGDEMIPLTSSVTIGGILLTDFVKQGKITNSQIDEIIKKTAFGG GEIVELLKTGSAFYAPAASAVAMAQAYLHDTKSILVCSTYLTGQYSVNNLFVGVPVII GKNGIEDVVIVNFNDEEKSLFSKSVESIQNLVQDLKNLNL cubi_02723 MIERRKIAVIGSGQIGGNIAYIAGKDNLADVVLFDIAEGLPQGK ALDITHCMVMFGSTSKITGTNDYADIAGADVVIITASIPGRPKDDRSELLFGNARILD SVAENVKKYCPNAFVICITNPLDVMVSHFQKVSGLPHNKVCGMAGVLDSSRFRTFIAQ HFGVSASDVNANVIGGHGDGMVPVTSSVSVGGVPLSSFMKQGLINQDQVDAIVCRTRS AWKEVADQLKTGTAYFAPAAAAVKMAEAYLKDKKAVVPCSAFCSNHYGVKGIYMGVPT IIGKNGVEDILELDLTPLEQKLLSESINEVNTLSKVLDNAPAAGA cubi_02724 MASLSEILVLVENKGGKEDIEIELNANLPEAELNKLREQLFMIG FELQSQNFGEDKGSSDSDCKNNQGRKLVLKKQSYNELVVKEVSNMSTQNQQEIPNTQT PKSALKNKKDNFIDKSQKLDEELAAIRAEKAKQYKGSTSHSSRNANNTCNSNNNNRNS SDSQSSFRRFMNFDWFTSMFSSRRRGTSQRDRMYLDSSYYRSKNTGCCGSSCGGS cubi_02725 MPDNSRLFKEKLRRELIEKHVKGIENSNLISLKFQERLIDNEGR LDDEVILKIQSFLRPCDLEEISIERSDKSKCGWIQCEMKIPKSSSSYSKSKWALDKSS GNIIERSTLSLFCSTNCYYLYLDLSISLSETHPHLRSHALINIQKWLNLDQIEEQNDK EAALKNEMQERTDLTNTSNCTANDVPLNSTSDIRLLETDKDQKMVKFKDEEITKEVNT KGQAELENILETIKSFRSSNTQYKFNQIVKQFDYLEIEESESKNSLDLNSVDLNDQKT TEITQGKEETQEDQGSQEELDDPEYTYQDMMQVLQDISEDDDDDYDSEEDEEENDEEV RGDRGEIYDEKLEKKNKRSGNSQDYVLLMTQFYDNLSPEVVILDLLTSFISEETRDLI KSKCIVNLNKNSKKEESNKENNKINEFNTIQIDRRNVLKDNLSGYISSIEWISHTKLL VFTIYRILDTFIFPFSVPNLKQSCLELLTFILIEVITDHEVHFDITNYFESLQDEIKT KAEQWIFETRRKYDSKFIDNIKLLFISKD cubi_02726 MVQFCIHCHNILLLKEHEDKLSFYCPTCPYVFKIVNQISKVTDF IPKKMEEPSIDMNEIASSKTMGKSNLMMVALVKREICVFILFIR cubi_02727 MSLVNKGQESESSDESQDFGPGFSELVEESISNGGQQFDCVESD HSKDQDELGHSSKMKKRKIEGTNINDGVKRVKEENDNDDDDDDDDDDDSLGPMPTMST TVEFNEKVEIENKGIQEKKDRDKELEKVVNIPKTDFYECSFMHKKQVTHVVSSNRTGF AITASKDGVIKFWRLRSEKEILREKESLTKESSSSVITSLEFVKGFQAHRNEVSDISI SNCEQYMASISEFENNIKLYSISYFDMISIIRIPIYPNRCVFLSSLRGGGRGMSCGSI NYTRNKNNRSDSNINNINNTAKLSSGLIYPQIVVSELKTGSIYIYSIKGDNLIRSNEE DEGDESFLKYNNHKSQITCLRFLPNLGIVISADMTGGLEFWDPWTMALPKRSLPDCGL FNQIKFKFKIETDLFELQKNKCYAISMVVSSDERNLAIRSSDHKIRLFSIQTGKCLKV FDENISYYNIMQSNPEYDYLHIDRLEFGVRSAVETEIQNLPEYYDMQNMVFDETCRFL IYPSMIGICVLDIQIGRRVLIIGKFETGKRFLNLGLLQIHRGGISYNNQISHVDSVII SSAYKSNRIYIFSKRLPLLDNGDVNLKRDIFNELPSNEEIEKQKQLEKFLNKGKERSN AYIRIAKQVILHTTKGDITLELYPEIAPKACENFSVHCFNGYYNNCIFHRVIKGFMIQ TGDPTGQGIGGVSIWGKEFEDEISPDIKHDEPFTLSMANAGPNTNGSQFFITTASCPW LDGVHTIFGKVIHGKEIVKEIEHVATNRNDKPIQDVLITSTSTIFN cubi_02728 MVDPSNTSDINMNYEDQKQINRFSSLLSRKVELKCELEKFKENL QTHCDALDEIALCMDPEGILIRFGESYYHVPEEEATERIEELKSQVESKIEEISGELS GIQQEMDKLKVDLYLKFGSNINLDE cubi_02729 MERNLILLVIVSILIKLSFFLFTEHDLDEYTNGFFNTLGQNFAE VLEFCSFKEYGNLTYYASKRTNFPVILLELISGICSSINSRFGLILPFIIINFIHFLQ GILIYSYLIKCYPILSALSKTMIEKKYYQMSVNFLLGLFWINPISIYYGSLLSFGIEL ELLFQLLLLWISVDSTRKYRALLPIICGLNVYYSPNISISLIPSALSLFLLGNNHYLK LQVSPKQFDNYKMYFTKFWDNFQSDYLTSKQIFKTISFFTSFLITFLSLHIFSLILIN NRNDNNHIFTFKQYIYTYIIQNFTLLENKDLNPYLNVYWFLMYCIAPEFRLFFQIILG SCLIIYAFIINISLGKVPFKALQSQLLLCFIFKSNPTFLNYLLIFFFILFDSVTLFES KSGFIASFSFIFWIICIPLAFLIRPIWILENSLDSNLYYSLTLIGNFSIIIFICEWIK SLFNTLVKYNQNLDNIKQE cubi_02730 MDNQHSETLLGTLREKYENSAILSLSSLFIFVTLCNMFIMYRLL LDVIPYPIGVTFSQLLVGMMLAYVLGGCDLKKVKDETLSRFSEYAVPVGVYLLMFTIA NILLKSTPVIAVYPSILALAVVLHHIFRYLFCDQRNLLSFKTVLITALSYIVACFDTN LVPPTLLGLSILYAISSAIFRAVCLEKALHVTERDANKLYNVQVFCGVFVLFFATIIF EPEFFLSVQSKSFSEFLLSIGCLVTVGTIPFVKNIIANKLVTIGQQAPWRFSEIISVA LFFVFGVIFFECDITITIIISFILVITGRTMSMVDIINNDNKRHSGSHHKKKHAQKNT LAFNDVHEDAAAQIVDPPMKDMSMQNSPNGYEGDHESEMDHELMNEQGIIDQEDVDPE SGIVQNEN cubi_02731 MKVETPQIIWHSKDSKFADRVYSLDFQPGTSRLATAGADEFIHI WEITREAEWKLKILSRLLGHEKEVNCIRFSSTGELLASGGQDDSLCIWKPTTEKQQVV FGQNSEDVLGFPEYWKRITVMRCMAPVISLSWSPDDCKVVVGTEDDRVTIWNVYTGKL LRQLDAHTHIVMGVCWDPKDEFIASQSSDQTVRIWKGRTSKAKKKSKPINAFNSNTND TKTSSKEDVEMEINNKIGGNSEHACTEISLNTGPEMPACNANCEELPKEEAGVDFQNV ASGTPELLVAPSESSTPICDMGTIQNTATQQINKSDVKSWKLHHTIKYEVSDNCSKQK QNNLDIEMNPDLVVGDCATPNNTKLSSNNNIKRRCLFLAESATTSFFRRLDWSPKGEM LVVPTGQYLLNKELENDQGDNKNGQVLVPVSYIFLRDEYSCPVAVLPSPDGTTSSIRF NPVTFCPLKSSNTSQNAFFSSRITPQNGEDSWLFSKHGNRGEIVPRYIFSVVTLAGTI YIYDTQHFHPIVCIRGLHFQGMNDASWSSDGHTLAVASSDGYITIIFFENGELGEVLI PSSVPSSTNNTIESKEKIQNFEYDENVDKNSFKTNNDNECIVIDGNSKQSVVSEKITA SSNISALDHVDTQIPFPPKTKRRITPTVLMSYDS cubi_02732 MNPKTKSMQILDDNPINSKVSQVVTTDEGSVGGVSVYEEEDDDI DWEVNPEAVKKLVEKYQGEEFPLFMGEDVLDPNNPHIQALQALVYDDETPESLARQFR TVGNEYFQDGKVRYKDAIIAYTKGIEQKSADKETNSLLYSNRAHIYLLLKRYVDCVDD CRASLKENPRNVKAAYRGCRASMCMQLYKQALNFALHGLKYEQANPELLKLKSQLDER LSEIERKKKEREELEKRDGRSENEQKRDHIISERGYILGEAIYDVIHTYNHEIKYLQG ELVCPILILLDEPMLCEFICEAKESSTLCDHLKVMFPKDRNLPWDTSGRYNWETVSVF YEPGPPHHNIVWEVSIEKSIREILDVVKYIPKIPTLHIIAKNSTFIEEFTKITYNVIR DPLLVC cubi_02733 MGLSGSTTVENCRVGKVKLRCDGRTRKESVSAGNGGPGRVVSFF EELNEYLDIEFLAEDIEESESFSEVGETLKRGKSHDVSLVSVLKENSKEESRPELMRS ETYNIGSEAFGCGCEKSSSGNFKRKLRSFLSPELCIRIPNESRKFSKEGIVGSGKVLV GRDDLIDTAMRYICDTEKNASLPLFPSSLYASNITCNSWYSLTGFLENGISFEVPEGV EAISLMGYEFSVDDANVRRSANLHSISLLENLRLVLREKKTAKFTIIKPQIWFKRTEE SLSHLEEENLTKRSLVSNVRDINDDQDRFYSQGIIYCSMLSGTVSLAEGKEGVEVWWS FESPAPSLPNEAIKLPLVASLDVGISKLENWLLNETVQHPETWVPFFVPQKKLKNSAP IWVHRLEKLLLNAQILWKCQYLIKSLNTYNSCFLALAGTNSAEDDYRVGASSILSKFI NEDHITNYTVCFSELISQFESWRVNHRITSDYCMEMMSLICVGSLVTSESLLEIMLSL KQSGFELKLSISLQKESPFTDSKIPKKMLEEKFTSFLSKILLLRGLSLRSLLNYRTRE FLVQHLKTKIQSLQMNGSEKVNIKESFGRVLDVFEKDQAFEKATQTFITGLNLISSLV LKRSHFLVVGETIMSAMLFFAEVDRNITFAKIMGEKLLESGLKAAGKETLEQVSWKEV SGIETLFSLDEISRAIETLGYWIEEETSLFEAYSEKMTRENYFLLNQRLERIYESIKI KVHVLRIKLSPAFINFLLPRAVDEVKEELYSLRKGAFSRLQDNKENCRGMIFENCCQS KCFTDSNNNSHIQEIETFMPGIDMNQKKISGKDQELQQLSSCESESDSSKPELKRISI SSMIFSMAKSLVSRERNISFTGIQCCNTDRTDFRNLLDLADSNCGEGAYNPPTWSISE NTGCRTLVEKSTYMSSQKRADKLEELILDWIKLRCWNFTDSDLRWIDGCKYVGGGEFE TALMQLSSDLNTEGVAKRILQEVDHSEVRQSLRHVTFEESSPLILVRSYILPYGELLL PNPQVSQLIGAVRSCNYR cubi_02734 MGSIDESIYYGVYGTKITWDVGWIPVERLRGNSYYSESWLLKND VNSSMEKLKEELEDLDSQINICDRQIEESLQEIMESQQIDNLGETDISDLNPNNLEDP NVFLENAQNVKIHLEDRKKAVQESMRNLRSATLLFRWDKKSLPPYLHRLDAPWIHLLN VQIQRDKDFQNWIQKQWDDTREKFFKSRGLKPPKIKRTISQAIGTSEEKVPVKSGSKK KKGSKSKEDEEGSDKPPQVANEDMRFVAKMIYGEVGVSETGRKFYATKALENARVLRD DATEAEKVMRGQWRYILLYCGGDFQESGLVPLIATTGTKYSHPKFKIRHALALISPYC EHGNGVSLIRNLSGQRHIYYYKSDLLHYNLLLIIKALSFLESYEIFHGNIKLSNIYVS ATGFILLLGDFMLPLRLKHWFIEVMKKNAKVPLNTSPELRYALMKPNYKTYEDFEKEV DLHKNDVFCLAMVFLSLSLVYEPKETDYKRIRSFVKESLLKLAADPAWSADPKKRPSF QNLLKSQDCEMLLGDGFFEKLKKLFLMKPIDKAVEELLQPEDEILHFSKLSDGVVLRE KKTNESTCNYM cubi_02735 MVESLVEFEYLRYNDGPDVPVVENPHYFVLIRFLGLISNTSMAI EWTFEDVQDMFENEELTEYSKNLHLKLLGFLGKRFPPHVTLERNLTKFLDERPIDVSV IFWDKIESNTGTSDKSLEENLDKNQTFKNSENVKSEEADKQENENSEIYKEEGELLDT QLYNPYRDNEYKNVHSYERLRTIRILLNTCIQESRQLRSIFQGMENYSMKCKEVVPGE CFFGLSPPYIGDDKLGHHYWYINPPNDEVIFKLYRESSLTGELTLLSDNSDTLCNTFK TFLNSEDLYEIGQKLEIKYNALIVAEKAKLRKIRQMRSIRNQLESSWGNCAPTDEMLN GGRTKRKAAMNIDYSYSKNENATRRSSRINKHGYDSDLLNYEHAPVASNNIVKDRSDR LALRNAKKQQIEESEKDQDNTENDQDQVDDNSIVSDQTPILPTSVHLEHNNPISQSSS HTLNSSIKNDVNLNNINNTGTLLDAKNHSSNMKSVNLAPEHVTMQLVSEVVPIIPANS NNLPSNFSTFNLSQVQTMSQVTQIPQISQTHQIPQMPQISQVQQIPQIGQFPQVAQVS QISQIPQIIQVPQISQVPHFQTYNSLSNSPTITSNGNMQTATNFPNSGKSSIQSEYYN KN cubi_02736 MHPTGLSVSDFMTDPENSCEEFSFNFEHLISLLNSIVEKKKGLE SICVTDHDGVVVLKASNSSSGSKSVLINPSIPVVFASLISSCEKLEEFGKASFVIIRT NKDSTFQINFHPLIIHIVTNFHYEGKISAILDYIEVPNYTIWWCLLNIQLIYNRGLQH LSTSSLIQHELTYFIPFIC cubi_02737 MIEKYDFTLSSSYNNELSKHSCIYGNDMATNVYINDVNDAICTE EKAENTNLDIKKIKERSKTMKEIEQLKNMSEKLQKSSYKFSPIDNTVDKELTRSCWTR EEIALFCLRSMNNNVEKAVKSYKSFTTMMCKFNSKNGNEIDIHNPAILSQLRTGKVVI FDKLDLHGRLLVIINLHHHDPRFQTVDDLILLFVFVLELIMIENPYEYAAERNGLSIL INASKIGFGDFRVEYCLRIFQLIMKSFPIKIGQVLMFKPNRLIKFSIKLAIITKKKLK KKFQIINKVFESPDSITDFDALSHFIDRNYIPKEFGGKHDFISDNFWELKYQGHMLAE LIQKRKVSTKIQEPTKMPTN cubi_02738 MGRNNKKVICNIKPSDKECRPLTSSVPEMLDEKGDILKEKCDEL ENKYVHEIYETMAEHFSHTRGIPWPKVKNFVSSFGPGSLLLDVGCGNGRFMDCVKDSK VFFMGTDRCKSLLESARTRNPNLQVFVDNCMKLNIRSGTFDGIICIAVLHHLSTPERR IQAVSELIRCLRRNGTLLIYVWAFEQKKGTVGSRSFSSKDTMVPWHFQKKYAKDNHEK AENIQQCTDQIKEEMDDPQKEAGSLGNIVRVPPEKYLIALQRYYHLFEEQEIVEICNK GIEKYKEGIKGQGDCELEEDVSIIETYFDCNNWAVKIAKN cubi_02739 MFSDRSNSGGKASGLIRERAEGRKDRYHVYERDWGSERTKQKNY KKEREFYSRSLLDREEERTQLPQDSSQLDRIWYDSYEDGGSASLNDHFAFDSGLLLYG NGGVSELTKYSKEDLQKTGKVQKRRRISQKRIQGNIDNERWELSRLGGSGIGLGIDIM ERKDNLFLNDLEFDEKNNKKIHIIVDRTYPAFLKTWEMNTIRSQLEFSRWDQETENDE ISIIKDKTSDIAKLARTGSSILKTLRSKENKTKMRQRFWELQDSKIGALISSDKPHSP ENSIRLQEEAGEGESGSFKQSFGSLFKRNKDESGNHRNSNESGTDSDLFGDLENNPRQ QILKTRQNLPVYKVRDSLLKLIGEHMVVVVVGETGSGKTTQLTQYLHEYGYSRRGIIG CTQPRRVAAVSVAQRVADEMNVDLGEEVGYTIRFEDFTSKSTVIKYMTDGVLMRESLN DPELERYSVIIMDEAHERSLSTDVLFGIFRSVLLNRRDFRLIVTSATMDSEKLSLFFG NAPIFNIPGRTFPVEIEYLRYFPDDYIDAAVRQCLKIHCTNPLGLLSNKDDTNNQKSD GDILIFMTGQEDIEATCVLISEKLDSLMIDGADPLLILPIYSQLPSDLQAKIFKPSPY RKVIVATNIAETSLTLDGIRYVIDCGLCKVKVYNPKIGMDSLQITPISQANASQRSGR AGRVSSGICYRMYTEQTFLVDMLPNSIPEIQRTNLSNVVLLLKSLGSEDVFSFPFIDP PSSSSISTSLYQLWSLGALDDKGSLTDLGRQMARFPLDPPLSKVLLTANKLDCLIEVI VVVAMLTVPSVFYRPKDRVEEADASREKFSVPESDHLTLLNIFIQWKRHGSHVKWSEK HFLHQKALLRVEEVFKQILEIYSNIINIETIPKVDWKPNPMCWDNLRKAFCSGYFHNS AKIRAIGQYVNLSTSVPAYIHPSSSLFLSGVNPDYLVYHEVIITSKEYMNTVSAIEPE WLNFYAPHIFKLNIYDSNKDLISLDNSSQISCKKPNSDEIENVNTITYTETGTMEEAQ NSTNLPNPQPELCKKSSSKIKSKKNKHALSFSFGD cubi_02740 MIEDKSTFNQNECIGGINQSIGITTEKAQISVQNFGSSSISSSS NLGGSKSFSTCRRWMVSGRFQDILPKESLTMSLMDWEDLYRRERLAQVHHSVYTTSGC FLQLDQRQLVMSWISDVAHRLAWEKSTFHIATSLIDQYMFCLERDPISRRKLDRSSLA ATFAAAVVTAASLGECLGECHDRKHCPGLPPLHRFVQSSGIIRSSRDIVEMQIQLLVQ TSRYGGPSLLNKTPAHMTLHYLSRLKHLVDSLYNSLSEVLPGSSWPFGSQNRENFPPK VANSNYNGGLDGGTTTNVNLETGRPDEILCCKKGACWANKIGLSTTVGQAVLGIREKI NYAYLFEKIMFAHELALYSGLNLLVPGSRIAACVLINILISYDPILQFPSHQNILYNS LCLLDYDTGIRPFMPYLDPLVRRVISMSMESIQALENSGAGGKHGGAKAGVPVGMPLD DTCSGVILIHKHHVIPEKLLNGLWVSNLIAMHSRMNQPNIMTPFSLSSPNSIPVSPHS SLGSASGSPGTFQCSPLFYSLGGSRCYLDDKAIVQNIGKGRFAGVGGSSGGLAAYGAN TGSNNSRCGGRMQYLENSGGLSGKRVLTEGEHSEIQYDSGDYKRDSVSLKLLQKQQNH RHFIGNHLHLVSNRVSDDQHLYTTCSTATNSPLLGGPI cubi_02741 MKKNTRLVKKTRIKKEEREKKQKEGELENTENKQTLVNINDKTY FSAMRLVEESMWTRWSLLKLDPETREIQATMFKVLNGLGLMYQVKFVSIYLMILMRNT WEEVQSNMGKCFANKSYGYKYNLLIISCIFISSNYEELEPPNYSNIVQAVQENGFEMK SGEKMIRKQVHILERLAWRLTGFQIELPSANSFLMQIIQNSNLPKTSKFKVSRLSTEI IHNSLNSEIITKYKQSLIATSSITLAITIEFETHPKKKQLYIDNVVAYSGYCRKEIAS IQRILRKTSLDRK cubi_02742 MVLEIRIHDDDEENEEEEFNYFVSGSQGEWPESYERRIARLFEQ LEEKLTHLEERISENQGTSKVSQEVCKRVNQIFNRIEQINQNINDTLRNWRIELAGNP LEYREQKEVLENYLAQQRTALDRIAFLNRKVEDLQSRKVETESMGFGKFNSYTNSQPH HLEAFTGYEVHNNHNQSYRLPHQDSSYELQIEDDNFRQQQHQTHYMSTMQNNQQTFDM VDRQIAQETAIGLGHIQSQMYEANQIFKNLASMVNEQGETIQNLETTIDNTVYTAKQA VGELRKAYNSSTYKFSLLANYGLPGFLLLILALILTLYFLHII cubi_02743 MLKQLHQNSKNLLKTVYFIPLEGGWVSVLISVLGSILLSPVAAI GIITTYIFKLFDIIWIRLSYLWCSERHLLLEPLNDIDYLMWRTAFHGQPLIMCFFVTD RIPANQVEKHLIWRIENKDAFHESNKDYPYISSKPGSKYVSLSNNLRENKRFFCKVES SLGRLWFKYAGNEYDTSRNIIKLTPKHARIILSKPELVMDKDSLKTLSERISDFSDES ESNYKESSLSEEEMKNFYNLLFNCGDCQLSIERPCWRIILLDDVIWNDTQSSILIGQF HHVIGDGSTLTEFVKKTVLDCQETSKLKQEKMKGVTRSARFWHQWVNGLIWAAVAGPI TLLKAALQARPERSWDTPSKRRSKRSNKISSAGPVNLSLKEVNLVKDKLNEDLNLRER TIYENNNKQDTTSNAYISTECNSQLLDNRYKTELISRKRTQNLSNSKDQTSKVSKKET THFSKRITFNDIILSCIASGYSKYVNYYISNRGDSGETSNSSTSAHSPVAMSPNPGLM KDMPLPATVTPSSRRSNDYEKENATRSTSPFHFDDKSPYSSVLKYDSITSSHDLDAEL DFHSNSQIKEKINGLYSGNYFPHKENDLSLLCHSNLNVVVTTNNRVKPPTKLDNGFST IVLPIPTCPLASPSERLRCVFESLQEYRKTSLPIIFIRYIQYTLCLSPYALLCLWRPH SKSCSMYYSSVPGPKNCCFMNKKIRDMTFALPLTDHIGLGISVFSFDDKVSVSCTFDE EIILNPSLLLKSIKLSFEELKAGVLAKI cubi_02744 MVSSLVTEPLDLIRLSLDEQVFVKCRGNRELKGILYAFDPHMNM VLGNVEETYYEEVSKPDIQTNENKLKKRRIEMLFLRGDLIILVKPAVKLGKHT cubi_02745 MKSFETFKNVCLVIAHPDDESMFFTPVIKQVCGEGTKVHLLCLT NGDYYGFGKLREKELFEACNALGILGDRIRVISSEQFQDQPNEKWPCNDVISEIESFV DEFDIDTIITFDEFGISGHINHISTNESIKEWIKGSRRDKYPKVYVLETSNLFVKYSG ILSLLYLYIFPKNGW cubi_02746 MQNEKAKKSNFNAKTLTTASKFYTYLNNLLIISPRRRLGIWRRV FGEKGESKKCFVSISGDSVLEPECFGVAKRELSILEEKGVSLRGYNSSLNNIMDLKPF SDFKGHDMHSLSYWYVPNSVKHQYYVNYNLNRLSDWQVDTLSQILNFMVVEKDRLIGK WDNIFTDNSRQNLSPYLIVSPDVCENLTICEIVALNSLLYSGGNILAIFPNPQKCDAY YQRCKYLFGINSLNLRIELFGQSSRSINKSWFPNIDLTICTLEKSNSLINRLISDNML SECIKTIIIDDINFVGDPEKGHLLENILTKISYLNLVNDFYTKNNYFKGRNGPLTCLY VSNEKIPNLEIYTDVLKVGKIFENYRYEKEREPDVYIKRGNNVFFWNKVKANQTSNKD EKYEIFEIFENDKNNNSFQKTAISDLKYFSDLILESLISNKKALVFCPTIEWCKKSLQ TISMEILEIVSNKDNSMPYKYKHLKNNQFGLFANQITQDRPLRINIIEKLKKVSKNGS KTENDLLLFDGILNYGIAIHNSKFSFKERKIIEDAFKNNHLKILFCTSLHMMDSEIKA DRIIIRSIGLGKINNLTKKKTGNREWISKNTLKQFFGRISHPNSDITAKTRMHASSNI CTSNWAGDGFKMGIFIFTGDDLEFKYLDNLLNDANFQSLEFLSHLSELNLFRLILELI QTDLVREIGGLELLISRLTFRGKLEGSNFNSIFDIFAKDYQKIPRVFQFKGLNEDMLL SISFMAMNQLVFFSSGKENYDINEISISSKYVQQFQDKFGHLKSPIVSSKYALIGSKT KDKLNKSITAIIIKQNDFLAKLGSGKKYLLSFFNAIGPCIGNSQIIGTCLASALVQSQ LHPCIVLEIYSDLIKSKLLGLDLSNNLQIYILGLLAYNGSHLKVNWSNYLQIFSELTP REILIADFYGVNFKVISEIVRTVTSNSNLIPDLSKLSPVSIQHSYFYSETLNFKGVIE KYRLISIYRFYYACFLRDLCNPMMTFELIMAKYSIDSNAIKLIVSSFSLSINTVSSLC KSIGWIDLGEIIFNIKNHLESSISIRNVKYLYKITQEQNHYQMVTSPHNTTNGLPPAT PLLNDYHLDQQDISRIMNYVNMATSLNNYITPNIAISFYFSGLNCIENIATASKETLL RSIQNANKLDDYFGQFTNKPPEPNLNLMVICQEIVQQARKVLSQSENLHDIDSLSNSD VYTENHEIDIDLNDLDLDLVQNVGELTAKSEYL cubi_02747 MSSPNLEKGNYANAQVEEEQIVFVKLLISDKAANKILSNSGSIL KKVKQVNHVFILVSGANKYFPGTNFRVATLEGNEKNVNETMEVLDFLLKNTDDEVQEE NLKNYEYNIRLAVPRSVIGSIIGIKGEFISHVRTVTSAHINISPIFVTSEKACNERII TISGNNSNQVIHAFIILTKKVNSSPEGRSCKSIIYRRADFFRRKSQKTEQEKIDPLLN SQLEEMNNFLNKNPINSTYKVESRSLEIKEKPKLNFKTISNGRWSEQVDLLSHEEGTV LEKLGSKLKKVEIIRDELIEGDKVKISQQAGFVSGDDKIQEVSVEKFYEVPSKSVQNR IPTNIFIISFLALVTGAFFLQYISNEIIHV cubi_02748 MGISSVILNEDFTGINQNTFNNYLKVYEQLLDLVPSNFYEHIQL EDPKKNLKPINKEEVLQSNITNTKFNSLTRNISNKYFGVWRQEVFENQNVGAEKKPNN YGIKAEKEFNIENVFKKRFLFWIINNYENQRVPNLNTVNQEPTL cubi_02749 MSINEKSIKQLLSELVGIQVRNDIKSNDEKSHRNLIKKIEDKIW STILFKKTNKRTMDDSDYISFLDSSLSNIIVQSHVGPESVENMNMIMEQRSKVIENRM FCFLPLFILSHNLVRHYYQSSSGICILQIMYILFGSLDYCSLDFWQDQSLVKTYQSDF TWRLNSIMQRNLSDSVTNYEKDNEELKFDYKTCLVTFSSLIFKNSAFSKSSRDFWLDI ISDFALGIVTFRNLVSDVHLSVENLFNSELTEYTENFEEIEISKETNKIAQIAFGNDN FSLTDDSITKGTESKISICPKSTISINQNPTYLKTNKNSLLASFMGNSDDELDELEEM EFHLQEKLDGENEVTVDGVEDALEIIDNKQDLIQNKSQILCNNQKSGLPPDMGRKKDT RIVDSQLERRNDKHLNMSSFNYFDGLSKLIKEKKEEFVDENHRICNFSKVKLPSLLKR ISGRSQVEYNRKYLGFSWDQQYLSLSRTNKIFNESILSVLTTERIKNCTIVTPDHEII NRDRHIWIKLDSLMKLFQGLDSEEFSLCPRYRRDRKDLSGELLRSYNIFIDQYNISLK LVEEFGELSPWMNHFGQGDKFIYIGTGRKTNDFSASQSEFRNLYNGQDEQIYLSEDLV SMICLFGTKINYLRSFAELLALLGDKMNSELKSGTIWKNEYPTCSQTIREESMVSIRI LSKTILELLCMYLRDIELCFARLNNSRNGQITHKNSPSNSYLNITVLLAEFSPAFEML ARIFKLRSPYSRSENEIWNIPHGYFLLSYIYTYLWQFQINTSCTIKSNHHSNINNKKG INNKIDKGNYSNGHILSVIYKNLLKGIFKCKLDSIKSNKEEGNLEIDLKVNLALNKSI LRSIIPVLFRILIIKKIHFTETFKVINQDLKCMSLVKTNEFSEKNLSIFFSDTNTFTQ DFVLNYRDDIYLDSEAEFRDQDLEIKEVSTVTVKNFTEENTLRFSQEAIVEYLRRNEL DFNQVYGIYFKYLDQTFEQITKLEYNINKYLDLAFEIGELIGEKDPERKDHNVEILPL GLFEFLKEVIQNKVKNKKLISTGRYGILGLGEIGIENLMWRENCNTAYTKQLNNYISV NGDLKYENLMRFVFGLDLNLIQFRKEIIREEISNTFEIQKVGKEQGEDDLLRLFQMIV LLEVISKKFLNMKSFIGRLSLYIWCRKNTTDYNLYLKFSQGYLYDAFSEELQKLIWEI ENNINKIHSTIQIIKEYLVMLFRRLDPKILLKSQNCYEDKVSYKSCNYDYKWRVVQKY YYGKLFNHLSLNEKGFMYFITSIVCQICLISSDLVSKIDSFKDIPKRPSSFSPNISDD QDPNDSQRNIILEFVNNWKSNNLEEKVSHYFRVYKSLKIYISDNKSIFSTENSHILYY IDS cubi_02750 MNLWVLVIIFTDVVLLVVKSFSVAAFGGARLFPYRNPQVGVIPV SVGSGHGNYVVRIWEESVTSDWKRAREERRECLVMGRGISCGDSDCKKYMKSEKGVAK VCNYNEFRPENRWIPSFIDVDLPICKVFNLRNAEGIENTLTESLFNSPRACLCFGEEK SENYKILSPPISGDKSGGWRFELFQDEMEVPKIYPFSRFIVDVRVLVNPGWNFEFVLS SSSLHINKAAFSIVSLKKDYLCQGFPEDESLQILQPTSLASKGSQLIQTYSWNPRKLN TNIKDNLFDYKFPVNQLTTTHYYICHYYHYNSNSGNLLGSVYFRLNPEDATQTFSLLL LIIIFTPLVLFSIYIIISSKYKTNIEKLQGYILFEDRNQVSSLFF cubi_02751 MDSQSYRDVTDNRVFWVSDKKGQGFSRTELGGGRQVVPDLSRVS QALFNRSNSFPASFCSNNEESYFVNFDQITAMGEDQLNPFVESIRDANTLFLDDNISF IGSKETDSYFDNTSKYQRSVTMDFSNNSKYPGICSYYPRNVNCIDYSRSNSDLARLLE NKDELETYNTDNSLTRDSSGSSTEGTGHFIYGSSNGYIEYGNEGIIDSKHSHYCGPNM AGGRLPSPKRDRFMRQSDSLSSLTSDQLKDIGFINKQNNKRRSKRGYAPVKLFVNRVP KHMTNEELLKIFNKYGLVVECNIIRDSNGPKGCAFVRFSNIYEAQNAILCIHGKTVLD KEVGPIQVKYADGEIERLGLSPDVQPCGESVKVFVGSLPKNCTEDQLLLLFKQFGHVD EVHIIRDNNKQSKCSAFVTFPRKFMAENAIMFLDKKYIFDNSKRPIEVRLAKSRAKQK QQQQQQQQSQNANCRPNNSMSPNHSDFNTGNMGFGLSYNNKSYGSFGMQGMPLRGGTE LFDPIPEVPPSHMQFSSIQGSHHNVDVAMQNFVIGHGLNHGHLSHQLGSHRHGNHSSH SKVTTNGISNTNTDISNTNTASPTNSSSKLNTNSSILIGTNSSMNNRLDSAPTVIHNM RNLEQQMNQELAGGPKRGMHMGPSHSSLISDSCHKGGPKNEDQIKFTPPTLLDFWAKP ILNV cubi_02752 MSQHILSGWNKFFNSILLYLGLSLIGGCLSENYEFTNKKTVNYS PIEELINKKFDNRTQELESLKFIIGEPWEGFEVAKTQLNSSLVNGNIKGFNSLSGENK VFGKYKRLETKYPSGSKKKLIALQNCKTGTTALINYSPMRIKTEFSIYLPFGSNIDPI DNQGLTYLMGFIFLNMGSNLDDYLLNFGEYIEKQKDNSLTSVTVSSLYTKLTATVSDK KATEALKRFTDSVGYTSTSTDTVTSHDKHNDEFYVKNKPLTTKNLLNSLCRLYYIYKS CLRDEEYRISYIKTSMRAGSSNKSKEKDRDGSGSLDNNGEDPISDYFSNCGIFSTSWQ EHLTFLSSSMCSVQNSIAEESLSNNLKDWLYNLKLLIKEQYEKYWLPENMNLYIDSAV DYRLFEKSLANEMVVFGSECDNKGKLFIEKNWITQEAERKNNQENMIEKVENSEFNEI VDSDTEQLKESIKIFNVVPLSYKTSVLDIDYKIELENIVHVISISLTRVMDIITALYL DSKMVRRLRDELGIIQDLKLTWRLHYSSQNLRLIFRFLLKSMEVMNAKKVIEDFYSYS IFLLRQFERKSKDKEFKSEFKVSKEIFEIVDEIQRLTEINWHLQYLYEDLPTQLTTPI NNCGTPIQVFQLNGSLSNWVRNEIRIYDPLLYKNYPQLILSSIHRSHVRLPRECELMG WNECKTFRSDFDGNISEAKSTYDTGSLVFVLMEFIIKHIVEFKASVIFTDPYFRYRTD FVLKVKAYNYHDYVNLQFSSYYQKNSMFREQDIIEFTNTNNWTLPDKIVNFETSMTIP NYQKYKNSISMENKLLFPRVSFKNDLGITTTLENFKLYSPIVFVRSIIRTELSPCRIF NDDLCGIDDMPKKQKGLIMVNILANIFNLSIEKFWESEPIPGYKDIISGLYQSSNTKY NNWRIPGSLTKPFHFGSLMLGINEVEFNFVGSSCSLIEYIKSLFKEMNSRFKPLNIVE FYQILRNLMKNEIKNRKNRTPMDFIRYYQGLLQYEDHFEDESFITTGISITYDNYLTF HNYIMNTFFSKNSENPRQEGFIETVVLGVNNDDFNKEYTKLILDWVYFKKSDSYKHIC MFQEPYFAFSIDDEPLIIRQTFTDGILTTSSASVKFQLPCNKNSSTGFNSNFSDIDIF FKDEGEDKWETNDNLQPRCIFNVVVSRVLEKILREYYSSIVKKLVVEMSQNHSNNANI PPHLNSNFSIRGEVEVSFYKLNSIPQFTLYILSSHFDSFTLLSILRASIQKFKTDIID NEETFTEEVFSSVKSHFIKYYCSRERIQIRNLRASLMEMSKWRYNYNWKHESCKVIRT LKKKHIVQFYYDYFLLDSPYRRSYILLLQAPPFNRLISNLKRKDNSVDSTYVVFQNYI VSLLRKRGRIDYYNQTNTTNNPDWNISMLSYPNILQSDIGILNYKYKNTKRYQPRCSK FVLKATNTQ cubi_02753 MKYELDFRNDQVIYGVQNVQKENTYTTVKAAEISPLRSGLMINE ESEGKLGVETNNSRGKASIGLNNGFCENYYSGLPIQQQPQVYYQTKNIPMASDAINTG QNVPIGDYNMSSLHSTGAIFQQSENANFVANTNPGIQIKRLNIPSNSVKSVSTIPSSG VNELPTKVGGSGYDFRDGGVINGTYQQTFQTQNLVRNITGNSSNQQISRIKNANFPEN LNTNTDSSMLATNPSNTNGYFSENNLSSSRTKEEYLHYEQYSNVNTNSLISQQNSNFQ ANYQSNNSSNAPFFSTNCSNPSQINSLINPQYLNSVPLLAQVRIESIQDLPNNIQHHS MSIYNSITNMDYSIVASFNNIDDKNETYRIGPFPSSSMMNSKLTCIVQDDISIPFSWS QPVLKLKIIEENDFKADIIGVCSITIDINSVGIPSQAYLIDPQTNQVRGILRFVVKLV PNQQNPNYRFDQIHGPNSILNRRYTNDNSIFNLFKGFCCAD cubi_02754 MAGDKRKRVQETEVDSEGNEEETSLKKNSVEVDVEEDDFDGLPS EEEELDDIDDDEDDDDEEEDDDDDEEEDEQEPTQGGDEDADDDDDDEEEEDEEEEEDD DEE cubi_02755 MSQEKWFVCKVKDFMAKRNTKISNLAKIYSDDLNDMENFFELPE KSSPYTLFMCYKLYSLFDPDNKGSITLKSVEEWAKKQHNSFCQDNNLNVDTKIFDKYK GKTQRICNSIETYKNNYIYHLKIKEGVEELKIDSQNIIITISEFISFISPLFDNIEDI IKKNQKSLSNCSAVHFAHILESNISLTNYFGTVTNTDPVGKFVPCTSSPSLYLEPKAK SVSRGESRPFKNSILYISDSNLYHSSLWSPCTVPASSSLHSMARELDRLQAANNITNL D cubi_02756 MPKGLDAYLDSSKLDREISTLLECKTLSENEIKQLCELAKEILE EEQNVQQIGLPLTVVGDIHGQFFDLKEIFRIGGVPPETNFLFLGDYVDRGYYSVESVT LIVALKVRYRNRVFLIRGNHESRQITQVYGFYDECLRKYGTPNVWRYFTDLFDYLPLS ALIDNKIFCPHAGLSPSLPSLDSIKSLDRIQEVPHEGPMCDLLWSDPDERYGWGISHR GAGYTFGEDVSEMFNHTNNLQLICRAHQLILDGYQWSHNKNVVTVFSAPNYCYRCENQ AAILRIDDLGNFTFLQFDHAPEKVLPRQENQLPVPDYFV cubi_02757 MVRFFIEELEVFFPYENVYPEQLEYMKYLKQILDAHSHGVLEMP TGTGKTVTLLSFITSYQLVHPNMGKLIYCTRTVPEMEKALQELKIVIEYCKKEIENDK IKSEVALRGENESSSPLNSGHPFNAASILGIGMTARRNMCINPRVAVHADRDKIDSMC RSMTAPWVRAKYQMEARERNSMNEGETDSSKMTEIADIEEMLDSGCTTLCPYYEAYER VWNSDLVPTGVYTIDEFKDFSKNWEHPILGKKIQFCPYYASKRLIQTAKIVVLNYQYI LDPKVAQASLLGGGTVSQGFSHGANPNGLNLGSKLAASLFPEQEGAKEPSVVVFDEAH NIDNVCIEALSVNMNRQILNGAARNLRTLKSEIENLSSLDEQRLQDEYTRLIQGLRNS GQIQDEAVLQDLERFPVLPDEMEKIRKGLIPGSIRRAEHFITIMKKLILYLQEYIRVY STRIEGPLTFVKHIEASCYIQSGLLKFCDERLRSLLNTLRIVESDQYSSLELVCTFFT ILGSYSKGFIVIVDPYPEVSGLYDPVIQLSCLDSSIAMRPILKRYQSIVLTSGTLSPL DLYPKLLGFIPVISQSLTMTLDRTCICPLIVTRGSDQTPLSSKFESRGDVSIQQNYGK LILEITKKVPDGVVCFFSSYLYMEQMLSQWYESGILAQIMEHKLVFVETKDIVSTTLA LHHYRKACDIGRGGIFFSIARGKVAEGIDFDRHYGRCVVMVGIPYQYTLSKILQSRLS FLKENYGIQENEFLTFDAMRQASQCVGRVIRSKADYGLMIFADLRYNKKDKREKIPPW ILKHLKPEYSTLSTDMAVSISSNFLKLMSQPYTISKSIIKPNSE cubi_02758 MKDKIGGENSESYNQDSKSLPPLLPIETGKALSFYVPYSCGRAR YGVLNLPHGRVDTPIFMPVATHGSIKGLSSSQVEELNVPILLGNAYHLGSRPGDEIID KLGGLHNFMRWNRNILTDSGGFQMVSLLKFADITEEGVEFRHPYTNANLLFTPEKSIE VQNAIGADIIMQLDDVITAKSTDYQRFDEAVDRTTRWLDRCIKAHKKPDKQNLFAIVQ GGIFKDLRERSLESLKKRDTPGYAIGGLSGGESKDSFWQIIELCTRSGVGLPENKPRY VMGVGYPIDILVCVALGADMFDCVYPCRTARFGTAMVHNGLLKLKLSKYKDDIRPIDS RCKCYCCKHYSRAALYRIVLKDSLACQLMTIHNISFMMEFCNDMRNAIRNQNFEEYCK SFVKNYYSSTELDHLTDSEIKEGIPKWVIDAFKYIGIHI cubi_02759 MSESNVTSKTDNKDGEDVGVFVHSESDLTSLARENINFATKDDE NRQVKMIKSSESSFPTIKSTIEKIKMPARFLQKCVDVIFHGRWKMITLNCFERFFMVY SRFVFRHSVAVIVVVTLICLFLGIGHFFRHPVEDSERQFALPNSKAKNDEKFYNSAFP GARTRHEIVIFKSMTDGSVITNENLHIMKSFHEGLMNLTFTLNEGEAKELLEAYNELR NSTSSKNRATGENHLNSTSSEEGGHLRSILEDPIIKMNSIEEYELIKRKLIPGQKFGF GAKRNFNKNKFGSSNQTNRNSTRYDSKGNLKPKEKEKHYLDIEMFTKNDYIAPQIVEE KDSSGKIVKKYKFNFSHVCARVQGGSCRRPSGILWMYKDTKDFGKPIPAPYVINTLTY QSFRTDMWLSPTGMEYENKTDYVKASRAAILEYQLSDQDKMKKFSFRWEEQFMKYCEK VQRRLQKGDYGDDLRDGSNFLAFASDLDIGSGTYTSEKNNNKKNGTEYISNDDFIYDG LDDIYITNTEKVHIKNKTGNWQSSSIPNIKRISKGATKYLFKGESSNAPLSLFFNARR ALSDELTEQTYIHTLKDYAIIGSLVVILLIYGWTVGYGSNIYTSRATSGVCGAIAALL AFIGGAGLCYLAGLEHTSTASAAPFLVLGVGMDDSFVVINSFNMTYPLKNAEDRIVSA VRDCGLSISLTTLTNLLSFAIGTSAGYLAIKNFCILTFVGLLFGYITCLTILLGVLCI DARLEEKKMIKIFGREFFTNHKYPDPLVPDMVVPVEKFSTVALIGFLVSQYKHPNSKV DTQELILIENNSVKNGDSNEIEISKISSNFEDASINSPIDEEEVIDKNDKIKMLYKNK ASGSEDHTRNTTYLNNSGPPSTLFSNKTSSIQSKENIFETQRQEYSNYGSEKCLENDE KIFPNNLNSLNQIDKLKDKIVNLSKSEKNNSKFNKKKNEHNKAKSENKSQEMKVIPNF ADILDTRLLHYKRGRSNAHSSIQLTENIEVSDYTAEQLKRDRGMVPKSQTESLLLSSP NSEGSYISENNLQPTNSHFTPSSSSSDSTTGSSESELTIFPMETKQNIGRKSRRFVIK YYATFLTMTSTRVIIFILASIFLAISIYSSIKLKMGLDLKVLAPPSSQVYKFYVNHEQ LFNKYGDVTYMMFQASQKLGDKNNNNWWDDSFIHDYKELQTKIHDSWFTEIKLDGMVA FYDSLLVKGLPKNSVEYSKMLKAFISSPYNRHFEDDFVFNQNTGELEAWRSVLIPIYL PDTSIRGKYMTDIRKIMDSVPGVKEPIAYSPLFIFYESDVSILPQTLYNMGCALIAVL LASLILMPSISSVIIVIIILCMVDVCIIGMMAQWGLQLNMLTMVNLIMSIGISVDYST HICHCFAHCSGKDRNTRVIETLGLMGIPIFHGAMSTQFAVTVLAFSDSYVLQTFYKMM TLVVCIGICYGAIILPVILTVFGPMDVIKYTKAQHK cubi_02760 MSTELQKQINSQLRNNELAFLSQRALKVNRSKKERISFLFDTKT EFYARKGVTAENRIPIYEDLGRLRYLGEQGLSELESLNPRISHEAFNEFFENTDISSI QMLTAQEAGERLEKLKRFVDFLVPYFLLDSTKICIEYLIQAYDINVLLGEYLFYSFLP YHDMAEFCQLIKTLDIPESSEIKGLVDSIKATGTVITSRSHLSSILLRNSVLFKNIIV FWEKRLEIFHLTKNIALTNLITWLIIEIIEDLSRSKDLKNLHVFLENIIEIIIFGTCL KGSQYEDLRSTGYCILYKLATPLLNLSNEIQIRIIEELFKSISKNHINSSNYLPETII LMNHILTQLGTLSHLEYLDHEISQFILEKQIVFINTFKQLSNWDGEFLQILTLITKSI INFGIIQDQRFSKSCINFIESILNILGDTSSFLLMDGRQSKMVKVIILTLIDLYSQDQ IQNTYFVDIIQIIHSNYPSELLSALNFSLSVSSSPNSQLFELKEKAQMFLDIVLKEEG SDLKSKEGQNSRMFLTLLNSQDPSVLNSCLDMITNLIQKSSKNFKEMTHFEKRIFDLS LKHFLGSFNNDNNQNDFNEKSALQILRQTQTIKISHLLDLENSPSQDQGHCDHQYQWR ITLIFKSFLRYFRSKITTGYPTLFDFFSKEVSFGLNFKDKNGNFGIENMIMESIFSHI FGQDFEKKFMDLFTSISLIFENVDSELKNKMNDLDLILLENSDILISLFTLISHEDLS FSHDLKKISSRLISLIYNHDQIHVYFKQIFMKPNLNYLELSLILLSLKKLNSDLKSSY LLPNLSFLEFGINLNNDQQIINLLESLYYKLYYYINSLFKSKDDKKTTNNLVGTYVLT ILRSIFHLVSSRENNIFDSKLLNITLVDTLDIGILSINHLGLPIFQILNNCFDPDYKI RNKKISNLVTNLSRNLFNILSQNDFYFDITNFEYDLYNSPFYGKNVYSETLISHSYSF LEIVISKLPISQDSKNNTFSVSNLLKILYSTLSYAVIPAFLGQRSMSIKMASFRLCKA IKDTLQLVNIEKVFKGINLKFSDILLDYDHSNDTGIQFNYQENNLRSCYLNKLGPKIN NVLEIIDILLNHQSEIIVENRNQNLLEIIFRDNRSNNVSGTLLLLNLVMIFELIFDQE IEDIKVNLNLLSNYIRENLIYGNIYSDYHEILIEIAQSFLVKSDIDHKDKHSNSTEII GLSFLKQGIENLLNYIDNKENIETRNNLILGYLNKIQRFENDFQYLDLIKENKLARQT FIEILLIVLDDKITRNLRNDDKFVSFIVGIISQIVYYSPENIKKISLSQYIKEKSLEK LDLLIIGLLKYCILKDNYKGLNTKIQLETDLGIGLNEQIILEWIYCDLNLVSLEILNI REKELSQDQKIEYNFNKDLVSQTLRYLEINRNRLKNFNEEMDIDILIKIEFYLIRILE FGIKFRSTQFKDLENILDYFEIKEKSNDKNVISKFMLNPMIVSSITSMFSNIQIKPKN KDTTDHSKSYFKICKVLFSIYMNLVKNTKGGIDKKNFAVKSTFYSLSLFIDYSLQNSI YFDHYSKERIKGILFKILISEQIKEIVETNRFNQINELLCFMEKLHNEVIYKEKKQRF KKSQGIFMIIKYYLSMKQNSEYTDLDILIKHLLKNKLFDTRINVYRDLLVNVENEMEI LMKFEDHDQNDHGFETKKVILENLILMTKTIIYFLNKTLLNTEIKSNEIQDLLELFDR LILIKTLMNKRILKKLGTVLKGNETDLEINEKLKSRIDKLEKEYLLTSFELDRNGDNV IDNNNTDDYYINDNKGIQKEIDQLIQSIIEDDNMKKYLIVNWDLSKDPSFQVIKSLRR RFYNILLNNKMDCLGIILKECLDKNIKVSDLGSYSINKHDKKSPIIFNTIEYFLDIIV SNKDPKYLINYKMKEKDLEMLDERMETGSDSDIGSKYGSEFENEKEDLKSKLKLWIKN WYIIDLILKVFVLQGEEKYQDKFSKLIFSRIIHFYKNHENIQDGYGLIFKIPLIQNFL LEKILTLEKIEAVSILGEIQTILEDTIRTIKFLNNNANMNNINIIEKDILNDRKVKSI LISMITSSYRGLDKNQNEDSVTQKALLLPYLALLSTLLNSNLGSVMMNAEIRKEILVN VLLHDQMVSYYDLSELTNLLKSERSKKLKFNSKREKNKEDKSFEYLEDLSISKQKNDN NTFKLRFYLGELDKKIRSSSQMIGYTFESYIIRILIIYCSLTSSPTSHSYDEDFIGGY SQILKEISSELFSKLNFNENIGTSNILIGQEIDIYYSKLAILVLIVSFSINFIDADKL LTEKSFIERFSQFYLLLVNLLIINFSKLHSIKETDLKIVENNSQDSEIRNNQEKLSGR KRTEEEKDNNDKYYIKNEKGGKESLYCFISSIFGKDVLLSHAFVNPNWFGLDVKDLKK LSNSLLNNSNSNIKIWRLESSISTLLSVFVLKLNAKKLRELILLIKRLIHRNGESFLS KVSSMTKKSGDSKTGNNSDKQAIRDLYGEISASESSNLVKDIYSCRIWILILLAVFES TGEYGVFCLIDDIALDVKSICDLTQMNALTCVQNITISQYRNIQSPSKRSPSHQQIAN LSHLDNDFGWYWYHLGIYNLILIKMIYFYLSGQKEESKDVPSSIEDYLVNPIITNLDM FALFDPNSTRSLSGCGKQWDLILGDIWIHSIRCFRNNDLILAGIIRLLINKLRNGNEQ VRMFSAEILLKIWKDEICSISILSHLSDILPTIKELLSDHSEEMINIAKSIIKNIEER TGEDISKQLY cubi_02761 MRTSRYHQILNQWIGKTKGLLVDENRDANRVQLAGESKGVVGKD IELEWGTKWRVKDNESDNRKNEKKLIGVVSSIPSLTEIIRPFGDLPNEVYMDLMSKYK CYLHELKIRLIEIFRINSDKFKIQYKLDIVDERLALVEELGQEVYKLSAADLARIHTQ KDKEECLMVDRLIPVVEKAKSLIITEEEKFLFELSSKLRTNLDQLTKEFSELQNQISQ VDKQLKEADETSSSFIADAIETFRKWSENAKEVIKAVERAN cubi_02762 MSEMVEYDDEIVCLGRGGVFRLKGMTKEVASEELIVNNPSPFLE GVEIYAQEPQGKGYCFVPKDSKNSVVLIVTREGEPNREINIESDFPVRKLQYSPLGSY LLVLTVFEQGKNENNLRVYKLKERENVSLLFSFPFKTNSAKVLSTWPPYRWSNNEIFV FKVQDTSVSIFKGDTENLENPIGTLNFSKSIQLSVSNEINQNPSKEEGVKNSALNFTV LTPQSAQNMDVFVYHAEMGNENEINLSSIGQICVKEAQTCQVSWNSSGDSALVFAQIE GETLGKSYFGSSSLHLIRIQNSKTVVANSAWKGNKGGNAELDNGNVGFKPSEKLSLRH QVVVPPEEGPVNDVAWSPTSNDFLLCKGIIPPELTLNSGIDGSPKVSFGKSRRNTIRW NPSGKWFAYGGFGNLAGDLDIWDIEKQKLIGQTNASCCITLEWSVCGRFLLASTTSPR LRVDNAVRIFRYNGDLLRRVNFDTLHSAYWSPKTTSYRHSVKFRSVSPGREFNSKPQP EKQIYRPKWASSGFAERMRAARDSNHVPTVVNVQQKPKNEYLIPGLPTLEASASAAAS SRQSNHHHKQKQKPKK cubi_02763 MNQSSHTGRGSDYSDNRIMNQNIHGRGNSNGGYYNKSGTHNMVF QDLSGNHTGYHEIQQHNHSSVPIMVEDHEVLGGSSQRMDNLGGGTQNNSNTGSLTGSQ SSIGSQSYQSQNLNSTSLTNSNSSSNLNLIMGSNPGQNQSQNSNIHFPLNSIHPPNPH HYSYQNLNGSVPHQSPSQFQHQGNLRQQIPQNLYQRQVHHSAQSQPSSRPQSVPPSPS PSPPSSPALQACQINGYPSQGAYSQSSQLLHPSPSPSPHYSQSSQNSQTYQLTQPSQT FQVSQPSQITQLSQMTQPSQISQLSQIAQPSQPSQPSQITQPSQFSQPQFSQPSQPSQ PSQITQPSQPSQPSQITQPSQITQPSQLSQQSYLAPLNPVKNYNIPIEKVVWDYLHFL YRQNMGVLGYLTPYIDDSFNIGKFHCMVTEFCKRQRISKDALVIHSKNWFKDYLDQKE AKNVDFTLEEEIKRYITLKIKHYENLGFQLEFKKISKFYCKLVPFMIKSLWRRLENAS FQRVDWSSRQFLGSEIDPKFCNYDMINRVMKLNSSSSDGSNKDNTEITGVKLTKSQDY DQETMSNERYEGADYMMDPSSDPVTRKNHETVMYKGIIEDDLDHTNRLEHSQNHSHND LQIKDGKDPDEYDEQTREMVIVSRTQKWLLRNVKLEDFKHLIKMDQIKMENALRNSAS YKSRSKSKPRSSDSVFSVRLPKKTQNAILDYINLCQYKM cubi_02764 MINHFQEFWTDSERNHEINFSAILDEIIISELNEDPNINLQNWT SIPKMKIGLSYYLEIVDKVGEILFYKYSFNILIFLFIQIIQRHSENILDYNKYDTFLI SSNIFLNNTWIFYLIVYVIGIIYSFFVYSKEQYLCKFLFFQNNLSSQYERYRLGEISN FWCIIMGILSRFREIVDAIIFSYLSSHYLQLSQAFLFFLVRFSLVIIQIRSFLAVGNI SSSIIWITNFQNPTQTSALKPQSLLSSSSSSSSSSSYNSKTKKDISFDQGYVGYRDMN PNISRVSPSSSSSFHSTKSQEQDNNIDQSINAYYDTGNNQKRCHDLSKPVPPGFLSSS SSSSSSSSSSSSSASSMPYPLPNFPIEYQDSFILCKFSQTFFSQGLASYFYPNGVRLL RKNLTKLSSQDQQSQAKIKPFKILQLADLAFYLDLFSLENILNHLYILNKHKETHEFN ISTFFLWKVLSHDLIIDICKLFLLIFVSRLSKIYLILTLTISSLNISSLFFYLHVNKQ VINDLLR cubi_02765 MDLSKKLNITDVASELKDKRVFIRVDMNVPVKNGQVTDKTRIIA SIPTIKYALDSGAKSVVLASHLGRPDGQRKMNYTLNVIVPILEELLGRSVIFAKDCVG KETEEICKDPPKGTVILLENLRFHLAEEGKGVNENGEKVKASSEEIESFRKSLSLLGD IYVNDAFGTAHRAHSSMVGVDLTPKVAGLLLKKELEYFSKALESPIRPFLAIMGGAKV ADKIQLIKNLLLKVDRMIIGGGMAFTFKKVLDNMQIGKSLFDEEGAKIVKEIMDIAKE RNVQILLPSDFVIADSFSADANARIIKDTEGIPEDWMGLDIGPESSNSFKEFILSSKT IVANGPPGVFEMEKFSKGSRSMVEALVAATESGSITIVGGGDTASLIEKCGSANKVSH VSTGGGASLELLEGKLLPGVTSLSSKS cubi_02766 MSKEIPSLVNLTTRDQQQFKNIVQLYDQRIYKRSLKLTEAMLKK YPKQGDLLSMKAFILGAMHPDNKDEKHKEAYECAKEAIKQNMRNPMSWHCLGTLYKGD FDYNEAIKCFKTALKFDKEDLVVLRDLATCFIQIRNHQGFRDIRNEIKRIRPDIRTNW IASALGNHFCGYINSTINCLVSIDQFGSSEDGNICSKKAILDGENYGILFSFLEPFQR SELLLYFLKVLLDGKKYQQAYNFLRSNKEFILDKTDYYTIMGNLLLKCNKNYTKECSE CFNHLLELYPDDDFPLFGCMITDQSLKNVILPPPNLPNQLIKTFEIQDKEDENTVFEN EPVIPICGIRSSFFHNRGTSGIMYYPILTNDTVGRNEYLKNKKLSSEKIDNSYGYKPS SNINNEVLEFIIYDDNELAEKMVEYQNSLRKIERFFEEKKSQFPASDTILRLDMAFSK GEEFLRKFRDYLKNKLGSRITGLKSLIGYLIKMDGKKKSLIHTELNKIVSESESLYEK AQFGKNELITLYYIYSQHLDCMGFSLEGLNYIEKALKLDETRPDGFYIKRKLLKHLYR FEEAMEMIDHARLIDINDRYLNTRTICACLESGNFDKAKELLKKFVIRINEQSKSKEN EIMPSNETEIKNLQMIWYEKRALKNRELLDSSDNSYVMIFDHYLRLMDSMEIMKTDQY DYHLYCLRKMTLCRYLDFINMQDKLFCNTNYREISIMFWRRLWINISMIINGKLELKQ SYYEKDKQKGKNKSNDGSESLKETIEFIKDNERCWSKSHEIIQNYRKDCIFHTKSFTP IYIHYYCSQKILGKLSLETCILVCSQSIYRVYILEKSYIKENQIGIFPVLLVHFYTNM LKYLRNGFNDVSKEYGINIVNIIFKQFQKFTNNENLNIDNINTYFQDYISSLNINNIT DIESLCNLVKISTINGSFKNIETYLDNSDIDLNKFSGIFNQKIVDLVKLLVIRSLKST NSDTESLNLNPSNFFIEKHSCFSKSKLLNKIMNTYDIYTRRFINTNNQEGQNLKLILQ VKHLKDQISKETVDISNLPILALSI cubi_02767 MFERIFDRYSKGIYRVIDTCASLVYWSLRVTRYFFPLTIALVLL SFTIFSLTWIIYLGFYWYWIPPKFISFPINFDYQNRYLSQFLPDYFLNSDNAFISKFP NSTQGFSQLNYKDRAYGNFISSHELSRQLLYEQSEASAILNFNNITWRYKSAVNKSNS FSNQNLLNQTKYLENLDMPTENINNFNTGVLKYLVHLKNYWSSKIYNFVTFNWYQKKR YYKGIDEGINQIIHKHVDLRFKNRMNLEVLGNEIDIIVELFYFPSQYNINITPFQITL DLIQCLESHLNYSIGIDNNSTNSSKILASFKKTSAIEYLPNIVLKFKEYISIIPSLLG IQINSLGLGLESKISVKLVENFPLRRKHPFNFNSLETNIKLCGAKIKMKPALHISKSN LIFQTKLPFWKEIIRNHPILMGNVISFIITLFFISVLSFIIFLSGLYFLWKKYSANNY NDFHISSSFLPTGTVTYTNCTPTSSYQINGNGLIQTNNQDFSTKNIPLSNCEQLLIDY SMTNSSININGLLPLETKQISHPRNQYMGHSQSFNDRNSDENIKSEENEDTHEKSIHS PKSNLSEA cubi_02768 MTFSSELGDVGTGGDNSTKKVYIDYNDGGDENREGDGKVDESKS PSRERGISDDEQRNTEGRNEDNRGELAEERDRSRSREKSEVDVSEGCSLLVRNLRFET SPGRVRHHFERYGPVRDVYLPLDYYTRRPRGFGFVEYMDPRDAQDAVNRLDGSLLDGS TIRVVVAHDRRKSPETMRRIQRDLGRGPRMGGPPSRYDHRPSGGYPPEHGYRGGRYRD DYYGGRRQGGYRDDDRNYRPKRRYSSRSPSYHSPRGRSVSRSPYRGGSISREHSHSIS RDPYQGKRYRK cubi_02769 MAKGLRFLNLIKPALCVIPEVSSPDRRVPFKERILWTLISLFVF LVCCQIPLYGVLSSKSSDPFYWVRVILASNRGTLMELGISPIVTSSMVMQLLAGSKII DVDQSLKEDRALFQGAQKLFGLLITLGEAVAYVISGMYGDIRTIGAWNAILIIIQLFF AGVVVILLDELMQKGYGLGSGISLFIATNICETIVWKAFSPTTINTGRGTEFEGAVIA LFHLLFTKPDKISALREAFYRSHATNMTNLLATVLVFLIVIYFQGFRVDLAVKYQKVR GQQGSFPIKLFYTSNIPIILQTALVSNLYFFSQLLYRRFKSNMLVNILGQWQELDVGG QSIPVGGIAYYISPPNSLLDVVSDPIHTFFYISFVLVSCALFSKTWIEVSGSSAKDVA KQLRDQQMIMKGYRDSSLVQVLNRYIPTAAAFGGMCIGALTIIADFLGAIGSGTGILL AVTIIFQYYEMFAKERESGNIVF cubi_02770 MLDFDDGVRDLVKRIDNVTIQLAGLFCSLENELLELYNLCGSGN ERSNRGLKKSEFIEGMKELLKNSKLNSQDNIGDEYLIALDNSVISLETLTSALVGTKC YVNCKVVNENEETDDLKGISNTLGDCNELSLGEINENSSLIRLCRSVSRLTKHRNKIE KYDIEMNNELDAIKREKTRELENKLKEMEVKLRSKEALCYAHEKQIEYLKYDLKELNK INSQMISQINIQELNNEKDQKLELYINTDTEKSISSQFPSNELSLYSDNEEKGECIYV ISNKKEEEQRITPIKIEPINNFNQVINCQTAHKYENTSVQETFREEDLDILELKDQIQ ILHVDLQNLKEENCRLKLQLEKVAESNLVFPEKITPEESEILYDILVDNNFSEKYTET DTEMFNDKKFIIKNIQKTINISIFNPFPKLQKTQLKKIEISYLNTLPIQQNPKPCLVM WEPIIDCPSELSSAINTSNNIIPLPLSSYGQFRKSRKSGRKNPPTIIEGTTLSRKVIP INRNSFYCDLEKIIKKNTKKQESLIT cubi_02771 MNGIDESLEFLQTLRYGILSKNLFLELRGIKEFEDQLGKRRGQV GVWIEYVSKIRRDIEVTRDGLHHEVAYMIDRTELNQELDDWKNTEMQKKQGIDDSNLE LMYKQDYCLLILRRALSSTNRRVLSIWLEYLSFIEEYENFMETKLGKELIGLDLSNEY ENSLKSCNDLDLWLRYNAYLCKSRLELTNSRLVLDRSLKSLPIEQHHKIWERYLEYIM EVQIPELSISVLRRFLIFSYVEGIRMYIQALIDGGRYEECLDRLVDVVLEKEGNLRVQ ETFEGLKKGLLVGGINYKVSEMDSLIVLVLAIISEYVLKINPKKIIEYTMNLMLRKLK TCDGRVYQEIIDEEVTQEDRNTHKGGAIFRLTFGEVVCKVAQIFMRLADWEQVKSTFN FGVENCHFVYDFITIYDSLMMFSTIHLSRVLKSSQNLDSSSSPMDEDPQEIIKKSISD LERVIEEHKRLLFRTLVKSDTNNVSRWIEYINVLIQEETKEKKSHPSLKVVKIFEEAI DTIDFSKVKDKSKNILWVFYASYMTSAIDNGHDRLDLDKNRSGKSDQLIDLARDIFER GLSEDYIEDYSLIWTEWIEMELRFGNFEEALNLSRRSICMAKEQKSRITLRSSRIWHL AADLEMSFGTLESTRVLIEDLFESGMVTASLSVTFGAYLRSKECYEESFSLYERSMNC LTIQYSFGLWLDYIDSFIFHHNNGLSIYKLFDEADTDSIEYGNSKIDRLRDVFDQCLE SLLNWKKANINEKDRKYYLNCVFIAYSVYSAYEAKIGRVSRAFDIFNKAMDELEDHDQ HKLNLYSRWIKLTLKCRDISYTREIFDKAIDDIKASDLIIRLALRYVNFELNMGEVNR VRSIFIFAGDLIPNIYLVNEHIEIFNRFWNTWNQFEVEYGNEDTIKDMLRAKKNVALV SGHSSIHSTQLMQQEKQKEYHQSDPVEVSDQNLEDRNISPNSDSDDEILLSTSSDEQS SINQESDEDMVDSESDSQIKQGDSYSDNNEEI cubi_02772 MITSLVEILDLPRKSKTSYRKNEDIEKLFKIVNESKNSRSLLND RNFGMNNSEGSYKEAVESLLEICRSLMRQGDSLLAIKQVKRFLFTEPRSLESHSFISL LYMKIGNYFRSYEHIMGVRFMTNDCDYDAKIASGSILDTLIFQICRLPLGLKSKSLIG FNFDDELPFDERKLEYIYQYKLEKLNLPPGFSPTTLDKFTTPISTSQINVGDLVHVEE PYALAPELPSDLSIQTTCFHCLREREVYDHAFSCSVHPNTCPFVFCRWECMVKHSRRH ELECEFIGTIIVISDKSGLPVSFLLLALRCLIQTHLDSISLLTKTEGVSQKLLDFPSY SDIIEIISPDFLSIFDLIAEEFTNLIPIHLRLYFSRKELRTFLITLYSNKLPITVTSA SSAFNSSPVPVSTGLGFFEKASKFQHSCIPSCVYYMNGENKLCIRSAYNVPENANLTI SYILDLYQPTFKRKSIINSLKVFACMCSRCLDDSENELYLEGIRCPFCITGFFVPSPI FKKSETLESPVSQSNLRGFFKSLIPRKPTTFTKEKIAKDNIVSPGAKIEEKITPDIKT LCERARISCKSGKVQMRWKCNNCGEFSNQLSSFCDELVSRMEKHYNLAINSFNDGKDI EARKLFEKFVNNYSLITHHNHYLLYNSRSHLVGLYNFSNSNDSKMSYKYCKPIIVSSN KVFPSCHHEKVHLFLNMADIIYKKEMIQKVSQRGVFNNSKDLIMECSWLSLYNSMVCY GPKSPIYYYCLSRMRLYSSILGVITPPSNMRIRISSIDLFSKLNRDILGNSTISKSAD YLSTLSEYSALLFIASIKGHLMDIAQLLVGQVKEILLNQVTYLPTGLNLLGMAASNLR DDVCALLLEEGSDLFFKNEYGITPIHALCTYPELEEDDLDIHNDKKAAIIARDMIRKA ISLDMADKSDSKNQNNSGENESDVGKNACMKKMFAYTGKSGEKKMIERGSNRYKLLYG KTINWLGSNTPLHIAAFKGRKYLCSELIHGGTDPNVENIELATPLHLASLEGHLETVD ILLKCGSELNKENYQGNTPLLLAIYGLKYDTVRLLLDKGANLSHRSDTLKMNAMHMLA LGLCCNTTLSFQLPKKLDDLPLISHNGYNNKDLKTYIYSMGSPHTGFGNNSSTKVLSI PIHTPIAEFLYISPREMLIRLRNCFDIITFLTCAYDLSPMFIQRDLNGYTPFELLDSS WNDFLKLRNESLLSQGLWYSSLSEEDKISTEELWSHIMQRVEKLLEKFRVEENIYDVN DDDPDLELPLPKKEYRGIPELELPNKSEDDNEYLVRKGYMEPIVMKKPYYGNYSIGTD STIYTPGYMGGNFQIRELKEIIMRRRKEHMETVLKKDKTNKSGNVYGKMMQSSNSKSI LGVGKTPSLLSPRTTEGKALESKVSRASTKIGGLSSSSPVSSSLSPGKKDSIVKHEFT KINTINTSPNQLISLNKNNADSTPKKLVLKAVSKPNDSLSSSPPKVIMKTPTVGVTPK PLTVGAKLPGAKLDLSNVKVAMPKKIEIKTNLIQPKTVAKIVVKSKLVPKKL cubi_02773 MSRKDCEDDDRELERLLEHLTLQNDAFSSSNKLLMSYYKALKTQ TCNIMSLIKALICITNPNISYREDEDFALIVNECKLKWVESLYDFLNITEHDLETIII SPILHSNSLSEAKFELKMSLIKSELNLTKDLISKAFYTNIIGSLNLIHDSKGIREFIL EILNLFKAQKSEDSVPNNKLLFNLFLVKLFNGQNSEEAMLITSNKNEESSQFFSEKLN FVDLSKYFCFDKCILFNKFNLRFLVYNSTGGLCWSDRICDMNTQFLQDYYFLFKFDNP KRKLIFNIISSFNQFISNFIINSVDALNIDRFNNNSALKLSQNQHYELQSKNSSVLES KYLEPLSPMETFNTINDVLSSSNSLLSTSIIKPENTDHSIINSINTFTSCVSQEIQLD KIDISSNQITNLLDNNLPILQRSDSNTTTLSEFIGESNNYLIPPSEPQNNINIDFFYE NDLQSHEWVLL cubi_02774 MSNMAGEVIYNYKRSKGPSEWIHISNLGRKCYFGSEKDLLKELQ AFGEVKEFHLGENSNSFVLFANLPASIRFYEHCMQMHAESPMLIGGRKIKVDYSERVE IRCKKIEEEDYEQNEKFLEKKGLILVKDFISESEAQELLNWIDNNGQWETKLNRRVQH YGYSFDYNNKIISSVWERDIPCILGRLIERMVGLKLIMEIPDQITINEYEVGKGIGNH IDSHHTIGENISVISLGSGILFDFNELKINKSLSGEFNKARKYDRVCLGAWNQV cubi_02775 MPPIWTKSDFLELAIGENWGVPSDILGCSGGSWYSISNSAKFYL RVLEKLQNEYFSMRNNSGISDLENLRLCLNRIRNSVSYISEEFPWSRLKYIYEKAVLR KSSLDFKELHRFIVDTLSKVKNLENDHSIVLPGIVCLDDLKKPIFLLYVVSRNDCQHS TGFENFKTGTSFGQNIVRYSFSVINVSGFGTEYHLFGSSLMSNNPTSLMRDSVFLITD IHTERLLHSAFWISLYRLSFVPSKNNIHYLYTVLLPFLNEKNLYDNWVREDHKFSKNL GIGNIWIPNPKRKDFGSSSRLVQSALQLLFQTYSISIFKGIKPEQKANQFQLFLNWSV LKLMEEDFNHCIQNSDSLSFVNASTIISAFIKVFACEISNYSFGLSISDIKPIHIQKE NEQEKTTVLDFSLLERRESLVLSSNNASIPFREWYEHLSHFKTNFEKMFPSSLFGFGI GSCTLSPNIASYPITRIGLHSTFGNFGQFRLDGPNIMMLAGESTEPSVDIPIELDSID RRITCIEDVINVLETCISACVLLTTQSETTKNSHLLKFKLIQHVFQDLIPIPLPWTHP QKKERCFWSFEQSKLLRSQQVRLLELLCSSMRHFLASSFSIGFNHKIPQFDRLIIAGA ISCIADNIARCFSSDYRSPLSVHLTGRHQGPKNWFYVDLLNFQEITDFGIYTDPSTVT IRSMVLDYFYSTHYYNSDEALKLIPIFSFEDKPGNLDEGTIELLMQISTEFGFPHHLS LTKEDQRKMKTFSIPLFFTGESKEMMDIVPEFYSLRDIFFYLKFVITLSPENYPEISS WNPANSFLNWSYNSNKDCYHVRGFEKSLECIYIPTKALDTKQHKGLWSSFTGWLTNSG DKNYFKNFSKLADPSTIINTFDSLRNTPSESLTALNYGSLSSNSCSFSNQNQVLVSGS NSGIGSGSGSGSGPVSGSGSGGSENSSSSAHGSSGSSVSISDESDILYLKNLPGLSDQ LLPSEIERIFQYLTTPYLRIPLLLQFFSDENRINSLSSISVQHIIWISILEPWQWSPP SNDSKRGDSQTNSSLLVPVEDVKEISTSSGLLFNELLRSPSTIFKALEELMLMALDKD TGSFSGANSKIILFVLRISIVISNYTKYLIRYHDNWKNMGLGKILLLNGDQDSMFSHH EKNFEIDSMVGSLINGVFREFEVLFKDEKLINLLKLSYTRLRYLIENLYLKMIIDWMR KALKELNISALCVLYAHIASIYGQVFTVHDLDIHSVTLLLSSLVFLTTHYSVEEGTKT GKKFSSSSNSSSSTSSSSSSSSSSSSASSFSSSSSLSSSIHQSEKKFSSLFIGSPWGY TLNNVLGVPDLDIFSIQARSRSIIVKWLSNHVKEANLVLDTVVNAVAMKGIGEIDYNL DLDKYFENCNGKGLGPSIREWIQLPSISGSGRFVPLSEIPSDLYDWLSCDDIDSVKNK INSRLGSKNSNSNRSSYRSLSWWRLKKKFSRALQTKNQRKGEIRSDDTQKETSNSIMD GLGGLGGISSQNRYLSTRLEYNEWFKTVLSINTETEINVQFSLFSLKNNNLRVLGNWI HEFEDFKDVIRESDDFQEEESFSMAGLGNQFQSADIANTQNLYWCKLIGSRMDLYKWE AFHKTNQVTFKTRYSRNSLPKGTEWIIDIFEPWRAIFLEGVSTIYIDDGNSDSNNSNG IFGSFSSKDQVGVSVKNNIYKPPDLSNVVRMQFLYPLYEPGEGPESPNQKIGRSVVSS SEFESEENIPSQSPEIVTHSLKEIVIQRFPPVILVFDIVEQGRLYYKQLCHTSNISYS LGSLSNSKFITPLLSTRDFYCDRGGTISVGSTVAGLSGGTGAVGVGMGAGTAGLGGTG AGGTGVFSKLDSNMDGKFALASGMPLSRGRKPSRSLVIMRDYHKEPVGTEMYVPPRFL LGLIPQVFLETHEFWQSQNTGNIRGYPRKSLRSSKAERKGTTSKEGLSAQKDLKTRQE LDKDKFEIQSKYQDQNFRDEYKMHEEDLYLLDIFIFSCSNPAQRYGLIGDGISLIQRR YIKNPNNVQTLINLQTLYGASGELHSLFNTLQRLDDVSHTLLWSNDNPIDTKGVIPRE NLSFELKLDHIEFPRLHLSFVRRERDRSNTLLTMPTNVSSGFCSGQVRYVCEQHSGLY LSWRNIFDYPLTCELLRGLPHSILLENDDGDFFILAPATAKPVLIPPIGKTAGAGKDN NYSSSTQTKEQNVIERLSSSVGNTEGLSSLITSTSILSENIESITAAPGQYIVSMASA ASQTLFHTAVSALANATKSVIDGTIIKAGEIIGGGVMVGGGKGVGGAGEISGGMDGGV NRVSSLLYRGTYILDRGDKVWISKLGPTKHHLYPVHTSKSFIFINSVVSGLYLMLWRF LEQQFESVLSVLDIATSSDMESSVSMEDEWNSEEKQLWQVFNSLDHAWDCHPDAHACR LKMWLYCLRHLSNSSFSNTKTKYLSSSLKSDAFLCTWDLYPDIEGYVTKLGNISANCR LSLEEELEVLQAFPHFVKDSPELNNRLNLVISAFEKRKKSSKENLKSFKGEKTGGITE TDLEMIEFQLFNPQIPQIDDFDSWMDISCIGISESGGVSNINNPVSSLWENLSNTIGA LNLPPVINNSGGSSESGYSVDYGMNGTRKTFSNGQIGYKNERLVSGEDATEFLIKFLG RSTLSKMISSAISATVSGISGGVAAAAAAAAAATATNSTSLNQFGQNFQISTSGGPLP IDIPFAQNNSSSFVSNTGGGRGNSPVYLSDWIFIYELLTGQFPMEVLPGESTHVWGVL LARSLPAWDWKSPNILVSILRLLILNPGLALSSRMPRFSEELKKNKLQIGTVLKSQEC FQTLIRDASLILTHEYKTGTLVTHSKYYSTNTSKSSKNSISTFFSSLFTPSVGKITQE NSIKAWTISPESRISRWSISLTRGNYNCEERKLGCIGSSGCHINKQDLLCYSRSPIYA AQNMDKFLIPVQKDPNISQPNINNSNKQEMDLIYDLPGMLFSHPISKTTIGKSSLERY RNDIKEYSSMISKRKDFYILGINYQKLFSAFCQYHGITETGTGNGNRNESVFSSGNSF ETNSERKSSGIRWEEIRQGIMDLRMLLLQLYFKDGQFIDSAISRLNEIANCNTKIMSQ DKNISRNEKDWFLYWSSCFGKLCGKEPILHFDFLVSQLMSSNSQTDIKKLSLFVSDED IEVIHSLTAATMMSVNRRSQVSNALIQLRDIICFLSSIQDEYRLRESGISSQNGLALN VSSNTQKGLRWLERSLVSLEMKLRNVARVLSSERHYVRLMDKKSGKEIVSKQDLEQSK TENVVALYDPRFLVFEFAYSILLRKDQVELIHKLYNSATKGRSICHQMIMGAGKTTVI SPLLSLLLGDSQRLVIQIVPHALLEFTRDVLRSRFSCIVKKRILKFQFNRNSICTSEL YRKLVHAKEQKAIILCHPTSVKSLFLKTIFLFRILRYWNNDIASSSGLKMALRYISIS ANINKNKLVGILDKGGSSIKQILKFGSSGKDNQHSLKAFKKREIRNARSQEISSKLVS TLSNEDKEELYLQYKKELDLCLRILHIFKHESVVIVDEIDMILHPLKSELHWPIGEKI PLDLSGDVIFPDNTDGNDHFGSRQILDHEYNGNSNGSYGTDSTGMRWLIPWYLIESLL TKPNQISLLSNDRMLKSGSVVGILIKIQSKIESGISKRSVQSNPHLVFLDEEYYEKEI RPLLIRWIIFVIRLHKFSGLSDELCELYFNSRGSLRTGVSEGSNNFVGDVNFNIKRGS GENPRDLKMSSLISRLDQLDDLSMKLLNLSKDWVNEYLPHIFQLVHRVSYGLLDESTS GVSRRDWLGRRFPDVGTGQSALVGSEQTPPLTRHLLSIPFIGKDTPSIASEFSHPDIV IGLTILSYRYNGLRRNDIYHLLNSQKRSCESGFGQLKDRPSVLEFNKWVKLSGGRVRG TKRDQLKSIISSLHSNPQNSAKKHPTPDLISNLESPSSQTIKGNQKSSQISLQCCRAS QIDQICLLWPLDVINLEDQEQLEQLYGLLRLQPLAIKAFLGRLVFPELLEYSEKQLSA SGQEIGGEMLFSTRLGFSGTPSELLPVELGKCEYEKGSDGEMIHYLTNGAIVSSIEIL DVDWTVRNFLLDICTCRDKEVHALIDSGAFITGLSNVQVAEFLLRNGLKDIDAVVFID ERDKQMIMLRDGFRIIEISQCGVSLERRFAFYDHVHSIGQDIKHTPLAKAILTVSKDM VFRDFAQGAYRMRQLGQGQTIHIVLQAQVADLIEKNALICRMISERNLFTKSDGSCGD CRNLISDSSDLISLRGSFGIPNPLLFLRCLCGWLLIQSITKEFEQSQLLCVQSLENIW RKKSFRRMVNEHVQWEEDCNSNRKEDKLVDVFVEKLSFEIPNTIPFNVSIKDQLRSKL LENHDLFVKDSGFSASGVNSYTEVGGSEGRLADDLLGQLEEMNLYRGHQIERVGGFDR EMEKELEMEMEIYQERELEQEKEQEKQQEKQQETENANLNIKWAKPSLDVSRDFWPFE ALLDGNTMLVLGVGAGNGTGLKVGTEAGDRAGSGLETKQTSSNITGGIHRQASNSCQN LEKIFLPLSEYNVVSSTTNNITASIDFPNHLRFTSNLYNPNIARNYSSNSNIQQRLNN LNIIIEWETQSKYDDGNSIKNNLPKDYLVQLKEAFAVFDGDSSGKISLDDIPDLIKTL NWVENVNLFEKEILKHLRLKLKFKPKPKITSQNNINLCKGKEFSLSLNEKDKMIKTVN NLLSVESEFDITGNKTVGEIESGGILPQEDILNFINDHPWENSSNNMENGKLMEMDSS ELILESSYLQEEEKKMNIDFEELYDVLNSVLNTNSNKCYIGVTLEEAQSIRWLMHRIC SDCDSGETLRKRLNPNMNKLFSIKIYHLSNTLLLLDEMNNKMISQLYNNNNNNIKKPI DFLERGNQGIIPNTVDNNEQENNIEKINLLELQAQSIYKFVNSESIFTRQEMSTVVRT LQNSHCKLRKDFYVNIRKCRRRKRENETNFLENNNLLSVIFTTPNESAILQCRGLASR LSSRLDKYNMTIIDFFKALDKNQTGTISSVYLYASLESLKIAPNPLDYSRIIHFIFGN NQPDFGGDLNSFYHIPISQENFIKAFSTNRSCNSSFNCKSEEESSVPISNNIGMSVIP KIDESIIAQAEIKLRNNINIYRDSFNDELRFGIGGFQYFDSVFLSRLKCKLNLHSNFQ KVFEIPGVITIWNPDQLEGKYRGVMKKNKERICLGQYGSGNYGSINGNFQSQILEITD NNSSSMSESSELKKFINIVFPNPKKFKPIFRGSLLIPNTNMETGIFTIWKPIPPSSQF VSLGVIVTKGDEIPSLNTIRCIPVHWCRIVNYRDLPCIGSLYQKQTSGQIINENKISK AVIHPVSFCLTSNLQLLGAVVNIKSHGHSENTNVSGSFINKDQFLQIYNKLYIPADEK IASILNYREVLDRNTLFSRLFWLDIAYSEISFHYIDNNYNDNSINFKNNETMGPQQPK SIPQIIHCSYLIPQVSNKKNQIPSQNPISLFGI cubi_02776 MVKQFTNIPLPEGWDQIKDELEKYNEMMREAENSSSKGRKKNEY LWPIYRINHLRSRFIYTKYYLDKEISRDLYEYCLDHGYGDKDLIAKWKKQGYEYLCCV NCISTSNTNYGTTCICRVPRDQLEEDIECVNCGCNGCST cubi_02777 MNKKVLPRAISIDCEMVGCGENGHISVLGRIAVVDDKLKLLMDA FVKPSMRVTNFRTKWSGLTWDQLKFGESFESIQKKFLQIVEHYRKESSSGLVFVGHDI SNDFQVLKWTPPESEIRDTSTYFPLRKLLIKSLLEKGEITRFQKECFLRQKPSLRSLS KHVLNVNIQQGSHCPWEDAKSTMMLYLMVRERWETLIFNKNMIVNPPTTGALNNEQIE DLGEVVIPNRTKKNKKKKGRKGERQVIINNK cubi_02778 MRKLKFHEQKLLKKVNLYSWKKEDNERETKILRRYYIQDREDYT KYNKLCGKITKFVSGIRKLPPEDEFRKSTSEMLLKKLYHMGVIPTYKSLEAISELSAS AFCRRRLAVVLVQLKFCPNLKDSVKLIEQGHIRIGPNTITNPAFHISREMEDHITWTQ GSKIKSIMQKFNNEYDDFDFLGN cubi_02779 MDNKTGYYKKAYIRTEFPEKIYSTDNFGKTANKILSSLLELTSS SILNEQLQNEINQGIDQIQVKTYYDEIERMLNNELDLEKDMHMKYVDTAILERLKNLS PTRVTRNRLSRIPGKIVRIPSGLVSLQEMEQKRRKEISWNSYYLTRLCRSTRLRRQFV SVNSIVLPHYQSRKRFFDSISNDTEKERVKEGTTIVTVSFYHQIRGMKISEFDILDTQ TLSDLRDSFICHDSKQEEELLGFHPSGDCFEINGDLYLDGSDDIKSSFVNTLRDFTSK PNPGTFEMKSTKISHLKIPINSHSSYIHSGDCEHRVTFTNIRLFNPNYDSPYKDAYPI QIYSHSRTITFCEICGVNQVSKVIFNSVNLPRNPSQLCDSCTFAFLYDKNTKQTIEKC IIRSINNR cubi_02780 MEKFRQFADESTGINPYIPVWGQTKTSLIRKILGIPIFIARTAI LSICLIMFVIFSMIIELMYLDHLKIIFYSIFLNGLSRVILLCLGCIWISEDLDKKVSS TSTTEKKSKVTRKVVYVNRQGFCDIFVCSSVLGDPEYLFMEDSGIYLASNSLSALLFS IGLRNMKGITCFSSASKLKSQYSLRPLVLFMEEANTNGTCILEWCKTERIPDTSEMRD LFGENSESMVIKYKTKSLYGPQFTTGDSISHLINMLSKITYFEIDLKIVSSEVMRNRI TKKTDGSGDKDKSYTNLKDLQRKRRIDDLLYSIQNVQGKSSNLPIVTSGAEEARGFID YWEKTNKGKSI cubi_02781 MSIYCAHNNIICESDGFLVSHLSDTNLTFDSFSKPSIISCRVNP LVVLSILDSHLRRQSGHQYVIGTLLGYINEGGNVIVTDSFVDRHSFTDDGMLSIMIDT HETMFELKQKTNSRLQVIGWYSTCSGINSVSCAVNNWFKTDVGSSKFQQTPLLSDPIH IVVDPSFSNGKLSINGYIQVQSTWTNSIVSVFRPIPLDIVASPCERLHISRILRPLLE KHHLNAVGIPPKSLPRTILGPEDEICTVLPLNNSNYNLQSGDVSQLLTKLILLVQRCQ NYVKKVLSGEVPMDPMIGRQIDYAIHSIYDFEYNIYNIIKNNSTQDALIIGCLTELTR VQLSLSEKLQSLIIT cubi_02782 MVSSRSTSPNRRSSAGSSTSSINARIVPFISNYYSLLGDSPNSL ADLHISNPDPHLKWQIPSFAIGGNPRIQGSILLDNGILSIKSFEKDTLFNIFSSLPTI SCKIPVEIMDINETTQNIVTVSIHGHVISGDERFLFFQVLQMVHGPDHHNKENFLIFN NIFYVFPVPFDMKSMYSMQSFTNQPSLSHSISLTNDLKNTDLEDYRCNNTEHETTGNR HTHSQNHHIKTFPTYQDVKKSAKIKHQVKIHGLSANSGLSDKDILSAISFQLKNSNEG FAIAINRNKDEAIVQVDSVQSQELLCQRGIYIQGLKYKVSNMTKKHGSGVKGNKNSNN SSNNNNSFRNNTQAEGPSSSSALKKTNSNKKGVPDEDGWITVTSKGKIK cubi_02783 MIEKKRDKLFGVATSIAKGAEVASSIDSLRPVVTNGIKNETREN KSRWSVDSFGSLDNQETDLSSFGTPITQKGENILINHTKERKLIVSSNCIQLIPKSKY LSLSDTNNKPLEVEKDVRDFQIKEYMIDTMPPEKDQNDLLSKQSNENLIKGEIRDLPL VPNIPFSLFISYFREQRNQTCKKLLSVWRGYKTRSILRGSLRGLPLLEANGDGIVFHT RKVYLGYTIHKILLSIIDLYDLILDEESRASQGCVGGGTNSSSWLDAMYEQVGDFKNK YISEVNSALKEGSGRRWVSDIVNAREANRGDISRKPRERKGLLPMRFENKYKEKILRS KLLLKSNKTVIRKNNMGDQNITKGRGANDIKSLLGGKQEHLSKDEEYINGFSHIYLPY CLVKSNSLEEVSSYLGVKIDQITGIIQEESILNTGNSSSNANNYNSFETESGFELTPG LGRRMGEFTNDNTPFLDRTTPNPLREPNFNMYFTPNSFVQGSYKDQGQDLAEYYTPVQ EEFGDPMIENCEYGNEHIEKQGITQKSISQIKPKPYLKRKSKSILPSRETDIELDKVK SKVKELYKGKNLIEKKISRKVPASGGYELSGSRIPNISSTLNIISVSNSPSSRNSSSK VSRIPKYTTVSLNNTFKNSQNSKGVDTPQIIRSRYSDF cubi_02784 MYNGVGLRTVRGSGTSGHVQKNLSAYVPKHWERRNDTDINSKQR PKAPHITRHDPELIEHEKLRKMELELLEFVEEQESKGLKGADLEEVVAKKRKELSSLL GKSSNFTKNSNNNHRHSDIYQEDGFVNSIFDTDVEKLDSNQLSRLKEEELKVFRRALG LEKPKNGRYRQTENNWRSRDGPTYKTNSRKEDWERSEERGRSRQKGGERKSPVRGDQL LFDTRGNLNHTDHGDFKQNLGNNQGLENFAHFYERGNYDKSEDIKEIQNKYKSDIQPR IDLSSHSESQSESRSDSPSHSHSCSRSCSRSCSRSRSRSQSNSHYNSNSSSRSHSKSR YSYYALSNNTLQKNSSFHTINPKNKTKREKYSSSARNLAELNSFERRKKYRYRRPRRS RSPSTVSRYRSFSRSLSPRMAPAGSHVVCM cubi_02785 MDSAFNANLHGVSAEPTPLIISSGGLNGNLLRKNGEEGAGGLIL GETEGNSTLGSRCDGQDDSGTADVDTEGSEESHNQYWKTKLCLMFSKGACKNGDNCRF AHGNEDLRTPVNLKKTKLCPFWLSSACSIGENCPFAHGTTELRVTNDFYKTSVCRYWK MGVKCDAGVLCRHAHGEAELRKKTNKHLLRRKDDQIPSSIQEDELVISMRNNKFNENS RFLFQVPPPPPLVYSNSSQTIPSLGKIRQNQSHSQTQPQSQTHSNLHSNSRMGLGSHT QSLQTKTLPPIFMYVDRDAEEEQKMKRNYSCDDNFRKEGFSNSHSHSHPHPHSHPHAH SQPQSHSHSYPPQFNSFQDNQNNDITNVQCIRNSSSLNNLRDWGSNSKNDQLDVSQDI FGFSGLEKNPGIPSTNMIKGRFQDEDSEKQLLDLKSNETDLSSFCSLRRMEDSSNSLV SNISDLSFGDDFFGGVLHNKFQNHQVLKHSPGSMFNGILSCNVESHGGSAVGHNCQSS SIVKENKRDETKCLDHFKNIPDVVPSEGFISKINQFNTNLEIAHSPILVRIKLLDSSE ISSLSIGEVPAILIPSEDMKSAKVHFLSI cubi_02786 MNDILGSKTPNNHPGPSSDEEGGSLEMNQELCSDPSVPLRAQVE GEGNRGQNQDLDLDLEQRHDLDQEQAQDQDQDQDQDQDQNQDQDQDQDQEQDQDQDQE GAGSERSEGKTYSQKTDADASSVVTQSSQNAISEIRIPRGRGRPPRNANRTDIGPSGL VSITSIWADDDKPKGKRGRPRLRPLEPEEKVEPEAAPNKPLKVKRPKGPKDIMHEIIH RLYKRDKQQIFAEPVNAEFVPDYYQVIKNPMDFSTMRKKVSQDEYKDFDSFASDIKLI ITNCYTYNKIGTMVYRMGLILEETWDKSLEGSRTRYEQSIKNIEEYEEKKRAGEIISD SESESQPTWNQTPTSPPTIESPNPSNQRSMVTRRMEARLSSSHSPWVAKGSGGPGVGG GTSGVGGYAGERDLALGSDLTNRRALGGHPFGGTMIYRGGELGRYPYPAIPTQGHQNP KPKGPTLADICRGGVKSIKENLEKLKIDKFEPFSSLIRQLATQPCIRTPTVDDWYVFD KQLSEIQYRNSVKRFIGEDSIQALKKIMDIETALLEIDPNPSISKLPLSDTRLFGIDT DDFAVFNQNLSVDGSFLLGVGENHVKVALTLEDDVPGLDLSALRELVTKYTQHPLSTQ QNAGVTKPNQGPFPNSSGIQGTGVGVGVGVVPGAGHSATHINFSKPSFGVTGQSQHPS LPHIQAGEQKPDLPSQKPTMTGIETKIQDRKPSNYNRFNQQGEGLVSSTETPGMSNIP MSQIGQTHPHSRVAYKHNYPIAAGPGSGTGAMSGAVVNTPGKAGTSGITGTGSMGSYY ESCPPTKIQKFESSHYPVHGSTSHTFPSSHTTISPHTSHPTRSVHTIQPTNLSQPNVQ PLSSLTGGSNPGGSLSYSQVNGRGIQHAGQIHVQGHVAGPGPGHLHGHRIQSNGLVAN STSSNHIAPGGVGNGTNPNISVSTSSSNNTNNIRLSANLNISSNAATATATTNASISA NTISPVPKTPVASSTNITSANTANTTVTTPLGGSIAASVAPGSATNTSINGGNSMNHV GNVPNSAITTPTASTTSLATNAPNIQYLNNETRRLPEKLNYPQNVMANQIHHQVHPNL NRTHGGKPNSHYIQINAGSGGPVGTGAGTGAGTGAGTRAGGTGVVPGTAQLVDHTMVG GGIGQQKTIPSGYMPNGEYISRGADSNVGNSTNSSATTNNNNNNNNNNNNSSNNANRY VMYQQNTNKKDLPIEIKERARLDQNMYIAEGMGVNGINMRSRQQMLQMQSQNSAQVHQ YHQYPPQSQIPIHNPHINNNSVSSVSGNNNYYQKYNNSNAANGNSNMHYHNRNILQIG QTPSNIPNIVNPINNITSGSSQFTQDGIHHLVQKKQN cubi_02787 MTRAPFQSTSPRNLEFLPNINRLSNEPIDIANVSKQKLQKQLSD LRRKSVNLENIPSRSQQEDPLSFNELNNGYSGGLINTSGSSGANSHGFGQRYGGAISN LQAELVSLRKKYSQLEKQYKQELLRRSDLEQLEKTIKDQKLYIRELQNELSDEKRRSK TREMSIKNKNNSEYATNEQWKDMLARSEQEKRQKQTQLTEKEAECAKLLKQIKGLNNQ LEEMRELESITKNKLSRFESEMSNNKDNLEQIKRLNEENKSLTEKIDQISSELNETLY NREYSIQEINDLKHMNQEITEKMGKNEQIIKQAQENIDLLTKEKEDIILERNNLKSEV NEIREENTRLLTRIEELSEMKKEIETSISNYKLRINTLELENKDKVYQIETLETNNGK YKEKEINYVKQLEEKVQALDKLKKELEHLRKDRNNTIGNLEDRIKMLEISKKKSEEII SDLTRKNEELIRKINENNEICQEKNEINDRNMELMEINKNIKEQINEKIRENQSLLEE IQIKSKALDDGEKNLKMILAEKEKIISKLNQDLEYAQKQIDHLRKSEESNLEKANQYI EDIESIQLERESLNKQVQDLSLRCSKFENARNYLESKLLKYLENHALKGLELMINDNN DNLEVKNKLIGQSIDIIMKEFQCLKEKNEKNESIIREKQDEIETIIKEKKEETFKKET IIQEQLDELEKIIIVKEEAIELIKKEKNHLENLLKEKEEEYEDILRAKDEELENRLNE MEEELNQVIKEKEKEKIDESESKIKHMEEKFAKVIKDREEEFEAKIKEMMEEIEAIRI KKNEEIESHLKESMERFENIIRERDLKIESLITEKEKKDEEIQTILKEKQQEIEAIFR KNEQETFDTEKIVQDQLDELEKIIIVKEEAIELIKKEKNHLENLLKEKEEEFISITSE KEDNIAKLVKEKQDLELEMKTKDDYLKEITKERNEIEIVSNQLNQSNNQNELLKTEIM KLENTISNLHLENNQLSEKIQKLEADHIQIIQDAQRFQSTIKDQESPTNSLEFNSNNA KQMYIQSLQNEINELRSLNSDLAERLSELEVSMIQQSSSERS cubi_02788 MNYFSGKFCNLEDYKQLCGDILSYYSSLNKPRKLEKNQREHSIL NISFDPKNFQLINSSKIFSEKEIVENIRTVAEVLIWEDQNEEQGYFEITCEFEIFSIL VELVINIEVNYSIRKQSLQTLSIILQNIRNINILYYILSNNVITKLLNNTFRSGSLHN TSQNSYEIDLNISIISKEEEEEDELLSYYIALLRTLALRLNKETIRLFINNSTEFPLW KNACMYINHRDTMVRNTSRNVLLNILRIREKEIIDYIVLEEIKHFNYLGQIEEVIRNI EIDPNITQDLFRESFYSHENHSISIIQILISSLIKQIHQFGELAEKVDFGWPEIEEYM CLIINDQQESHPYDDETNEITQTVNMSPISATSPITLSYTETDSTNEYNSPIVNQLNP TFYDRLIKPLGKQVEIILDLMELFHEFVFLEIQSISWLFCNSILHQVFEMVLFQKITN EISYINQGISRNTLSIKIYLYITYQLILFLYNNNQNKVYDLLISNIWERFLKLGNQQT LFGNIFSTLINYLEQDSEFVLMFGLLSLYSIWGKKYEEKIKDAIIEDPPENSLSCNET TLSERKEKKKMEISTKKDLETSNIVPNLFQSFINNFSNFFHQKDDQESLIEEIYRTKN TENISLVEIEFTNPICSNLSLKQKQIHQRSFEKRRCKSLPRNWKCGQEDKNNFLYSLE SSNTMMKACIKEKILKKDFESIISQSELLIKKEFQSFYSKLNFEILSHELNNQGLECL LFLAQFLEKIVSISKKNNYMTKLRPLCLFIVTFLTLGVIQDHETVRQSNYFQDFCSLL DTFMGYFKNITLRHIGDLLRRDLNTGEKYSIFNEIGNLNYMICENFLEWKDEDELLIL RMFENYNNTILWTQKVISNFCILPLIRDSSSRKLSKRYLWNLIDTYPIFRWFPNNSCN LFVNEEGSGNSRSIFIDKLRSNYNNLQIFEIFCGLLKRFRRDKNSNNDLKDLNKDTEK KVNETELERSYGIDNNNCFRVLYKKMRILVETKSYRVSVIRAKKVNRPSNYGKNIQCN VIFDLSRKNLVLTSLLMKPEKKSIMLANLKIIRCKPVPIITRSYKTAIRYLVALLLRY RRHELEEDVILDDLLYELPLREDLLSSPNIFKGAIIQPRERKVNRRIYHLNNLLLPVY TRVLVDNRRGGFGEDLNFSDYALYMEFESKKKSQEFIKNLNELRRDEHVERLFEEYSS MFK cubi_02789 MEKTANLDPKMKKGTPNLGLKSTSKDSEGKNSSSKINLNLGPTK GEDTELKKIPLFVMKPYMRTPKLLLNNYCQKEKRPKPIYNKLAASKGMFRYVVILTDP KGKEENSMRFETKESFINNELAQHYAALLALKHLEGSRPLYKLFPAPLDEAWLSMETD HPLVSRGNEFVSQKEKALFESEKTKKQAEKKNKMDITGQKQKLEIPTLSMSYNIRKRT IGIVNKHFPMLSKSYSFKSWLFDNCSTFSGLPRSVSKPKLVSLSLEHMSETNKGKYST FLKFNGLNIKMVSLSLKYWFGVENKDKEAIIKLIESCGFDTEKSTAALNVVIKEIEEY NKSRKDSFQLQKGVYMDHFRISNICIVTDIDISTYPKHYVAWLCIMWLSIHLKENDLP RLITPKKAQIEVKDYSTSKTPNKEEIGLINDQIKNLFISSLESNSKQDNTVEDQNKNI SRHSPQSNSSFKVFRYEHLSASKNAEKIVEKLKYNQILVVKGETGCGKTTQIPILVYE GITQFTEGMIYCSEPRRLAAVSVSTRVRSEVMKENRLVKKDLIGYSVRLDHSVTNNTR LVYCTHGILINIIKSELMGISRNSDIDTQQFRIPTNSVLILDEAHERSLDVDLLLYFM KQLAYQRSDIKLVIMSASIDVLEFVKYFNKSFNDEIQSNINDSEIQEQSKYINKEEIF KIDTINLPGRTPFPIEISYLPIKQKNHINNQFKENNDASIQDYEDFSDLESNICKPLD IPKLKDHVLNIIKSLGDQEGSVLIFLGGIADVNHLTKLLKREFEENEQDREYCEDFTE KIQLPIPLYVLPCHSNVNYNEQLEIFKPVKGKRKVIVSTNIAEVSLTIEDIRFVIDTG RVRISIYDPIRHVTSLQEVFISKSSAQQRMGRAGRTAPGKCFRIFSQEELQSQPLEIT PEILRLPIEHIILDILCYLGELHSDKDSNIHNYRGLRNSFKLIQLIHSLQDFFTPPKL SSIETSLLSLRNYGALDDHFNITPLGELLSRWPVDLSIGILFIYGLIFDCIDPIVTIA SFLTCEIPWKLEERRLPKSSTNCDYMIFIKVYNFYIEHFKTVSNYKTSNLQNIENHQL PFDPKCLDISKMETIYQTKKHLLRVLSIQNHTENLDETSIFSKWNLIQTCLAASLYPN VACIRLPKKIKYVESGSGLFAETIQFNKLILFRKNRLSKKSIGYFFNPQPKEKPITIS QAYDLLKDSEDVSRVFLSESSAIYNRMYDLGTYHCIMYMSLFQSFAQKRTVLRFPIST SMYSLLLFASDSLQLNPLSLINQMKKASLDPNLNNLKKNKTLPDHDIGQEDSLNHVLI DDWILLQCPGQIQSILWYFRYVVREFIQFLSQKD cubi_02790 MKEKPRGKNEPRLFAKDIRQWVNSNILDHKHEKDTIYKGKFGRN RDNLTTKNKLCGICMSNLVVFGLDFSLKCFHAVCWCCTLRLRYLLKNKECPFCKNNIE TLFFTSNTGYFEHLIDDSFEGVADRSLEAEKLEGMNSIKANLGHPKLEEVPKSLLDEK IGVIYESFACRWVFERILEYRCWFPNCRPKFPTKDSENLDNELKSYKNAKLLGEHLFQ VHHVKCCYVCIEKRKTMLLPEHYLYGAKDLSRHLRKGEMSLKPPILPHISCPICKIWC FDREDFSDHVRNEHFSCQICEEKELSRQSESFSGGSRGEESENDEEELTNRDGIQVSN SSSTRENRLPKITYVYRDYHALQEHWRLKHYPCDHENCMFIVFENESELIFHKATHHS ALNRRGNVTVPIVSSYRQQTQRRVDQITSNHQNSAHTTNQHVNTPLDISTPSLYAMDE LSNAILARIKQEKSLLWRVILIHDLSRCIQIIRDELMVQLGLEEKCRDFSSWSLKVIN DLFSGNEPMIRIIQKLGSAYYKKEISAQSFIIEIVSLFWGNSQNHSNNTNLLQNTKKQ FKNISASPSSFKIFFSPVPEPITIFEDSLKERSGIYKRIPAQLVDRILIDEANWSLLY SDVISMILIALILGLPKIENRKELIKALTNLKDDIQEKKLTIISNTSFPRFSFGNLQS SIEIERFHIQPQEKTENYSQENVNKKHIKQKFVHFEKLIKPDEMLISPKVTFLESLNS FLSVCFPKIPLSQLSEFLPETSEKEKISDKFKILIGSQTSTEINALSSLYQHTSSLVS SANTIERILGLRAPFYRLAMNASGSSDESQTQNNIESLAHKQWLNICTKAFNKICIYD TEIILIYCKACVKTLKDPNFILLERQKEELYEKNKEENFSESAPKTVNANSGVISYSK PQASSFSSNCLKMSSSGNWASKTYLSTNNSVPKTVVASSSPIQSSFSHSSFPASSSSS SSTTNTTTSTGKATNYIQAVKSKDTINKPNNEKEAKSKGINEFPELVGNGENKGKARM RPENPPKWVCMLCTHVNSGSRNRCQICSTKK cubi_02791 MNVQRISNKASVFLNHKHFHPGNSKNREKVWLAEEKLREEEKKQ EELLKKRKKEWEIEELRRDIKQSHKKIKNELKTQLEDDGTVDKNKQLHDVLIDKTRVN KNWIKSELYEEDVLIGNHSSVWGSYYDLNTKKWGFKCCNSTKRSSVCSTRSKSKSQSL NSSDHSLASGLCVPITGENSISSISQYNNDNRSLLLNGGKFFSKKQKGVSEFLNILKQ SNSKSLD cubi_02792 MDDFLNYKNLENDSVGKEFITRSNYPCLDLATISTMTSLQGLDT SLFHGQSSPILRTDTKVSESVKKTSSKANITENEKIKSFKEEDKSIKPHVSFTKLKNL TVNNKVAASEQKDVSMEFNYIRENGIRTLYRMNLSPPKKFGNPLPHKVTRIRVNGLEM KVIPSIKLSNDINSREIPTGFKSSDHLVEHYLGKVLRSSNSLFYIEKKLQQALYGAVF VAFELEECEKDEKVERHEIPNTIRSGNTMYSQDSDIPCFKFDPIKSRVAIKISSMSLR KRKPSLKENMEAEVTYSSNMRGHKNVLEYSEIWQDSFKNIYIKMDYAEYEDLFEVMRR RRKPLTENEARWLFTQIFNAVINLHNNNMAMRDLSLENILMFNKEPHFFDLSQDSDNI GIIKPGDSIVIPKVTDPGQACRIYPKEKDEVSNMWKVYQRHPRDSGFQLQKVDFLFGK SFRPPEAYQTGSLYDPTKVDVFCLGWMLLFTLTKYQPFETCRLITDHQKQNSLKNEGF INSLFGRLVHGNNKDYKVAKINEKSYVSKDQNWSLIVNGKILDLFRKIKATNLSSEAQ DLIENMLIPDFKERFSMQNVIKHPWLKIPNNKHSKYFTPIMASTLSLIKSQKATNKQI KQSTNIVSPSKFFGKLDNDPNSKNIKSSNIETTLDESDLRDSVNSQANILISKVNNDY LCSMVKRTNDKLSMTRISIARRKDSDVESLIRNLNSNSISDSRQVNPKHPDNELINQK LANPLSKVLNSKRNGPSIINNLSSSSSSSSSSSXXXXXXXXXXXXXXXXXXXSSSSSS STSTSPLPSSPSQNRNQADKPPSLTSVPSEKIRVRKKNLESNFMLDLWSFFSNGGGGN ISTHEHIILN cubi_02793 MTELEDSINEISSHEWESNLAKIICGSLVSLNELIEDISDEDLN EVLSDQNKFCVFECFFEPLLYYKNKHNLPPNMPKSNDESNEGEFILKNPLYSESENKD LGELFRFGKTPKVSIQELFGFVLSVLHFGGFNVSSFVLSVINMAKFVEKIKIPICQHN WRPIFITSLLLSDKLWDDSCAKSGDLARTVGFISPKTLKYLELIFCEELDWKITFKLD TIKAFISKIVNTKLDSELIERVISSETYQSYCYEGIHDQNAVKYGVSVEKLENKNMMN NSLQSLELAKINNQKIGQKFNQTMNNYRKRSESPSFFNNQNQHQRIPPSILHQPQLQR GMSFHGNHRPFNTQAANSAFINTTATAMPRPMGNQTIIPTSFGANQHHLNLQNSNVKR PSVPMLTPSGGYTTLNRSFYSPARNQMSVNNNNNNSNAVYGSSLNLKNNIASLTSPKS NFTNKTHQYPGLSAVVKTPNSNTLALGRNSNGENFPIGNYIRSVTPDTHFKNKVINSA KNPEVAHSNSNVNLNLSSSNERGRDTSCLSNLKHPTTFHTATRMNSNPNIEQKPSSQS RYSGLIKRSSSEHSRLNPANSQGNQHITQQLPRPPPVPQFRRGGEDGFFSMARNKVSS AFSSITRTFGLSSPESNQINENHFQESNIVLSNSNQISPTNNPNKCELKPVESESSLT KRKIESNSSQDFNQQTSFQEFTHQSNSALISTSSKPAFSNSNQRNLSMPPKSNPVLSQ LSEDQQKSALVNKIGITSSSNSCSGVEKTPTQVLSEHHFRALSTERTCINNHNNHQHL FLRQSLGTGYNQIVKNVSSTPNSIPEKQPPVSLSAAWTSNSSYIRAPQTLLHKPSSLS DRFGGNMHVNTGRQSVGRTASQVQNMVSQTPSIGSELFGRAGKTARIGTGVSLNRNMS LVGKNVHSQETNQVNSTQATGTRVPNGIIGSLFNGKPRMQSSGPSNPANTGLRPVSMQ PASSKGIFSSSSVSKSSLFSVDNLINPISSFLKGKSPSISSSILKTPGVQIGSGPIST FGLSVRK cubi_02794 MKSKIIIAFTLVVLLYYIFDFSGDAQILTESFLKIRVKSENKLS NSNSNGNDEKKNKDNSGVSDGKQANKGVSSPPSPPSQSPPPPPPSQSPPPPPPPPPPP PPPPPPPPPPPPPPPAPCLKSKLTYRQEIVLNTSITKLKKFIKSLEELEAELSGELKA LYRELKEILLKMLECLEECLKNILSCINCLESVQAELNKIISKAEEKANKDLMELLME VSNFLSHVKEYKHYNLPQIT cubi_02795 MTRELMNKHKRIFFHKSVLLVCLILIIPFNLNTPTQEMFLQYEY SFSNIRDNYTRIEVVRGSESVRKMREALQMSIFTYFIAQKRLSDIRVSGFGLDSNEYL FAEEYYRRALNNLKIAKDNWENSIKTSPIFSCSNEHIGGNGSRGINYDLNSLFLLPRG YSGDRGVDFQMDIYEDVDPSYLPPPPQKKLNKDIINNLNLGFKFENLPAKLSKSINDR ENSKKQNRFGNRGSQIKNIPKSIPTLKNFSFNTNKYSDDFYSIDKIMGNPEHDYSSGK TDELLNDISPSIKFGNFDFQNSKDLLNSKDPLNSKELLNLKLEKKPNLLNKSLKTNDT LKKPNKNEKSKIERSRGVLEREPEIPTDIAVQIEKKLGGPLKFIDVSLKDLSKNKNCK NLFMQYIRVTLTCIQLYESKSSFEELFQCSKKQIDLNFRLLQKLKKLNINYTFHNNDV SYIKNKYKIKSIKKPANFRKVKENEEFFNLLVSNGIFNSNNSSNTTDISTKNMLVDDQ LKNFDDKLNFEIVSESFHEPKCVPSYLESYMKRYGFTKNEYFTNPTLSKAVNYLAALE AEFIHLTENNQRVEHDKLYTYKMLTDLIPREIINQRAQKPLCDKEEKN cubi_02796 MHSKEFLLNFDDVKALVERKLEKNREFSPKIRFIKHLASYSVTI PSLTRMSNEELSKAEENGEEIYMDTIIVPALPRSLKTEDNLSSLKCRFSYSQKPCSIS PATHYDLLSKIFGYERCQEVNIFADRFCLRRLIDFALGSSNSENADIMVSTKLRVDNQ GEVRKISPIKMLPININEGFPSVGFQFETFLTRSEIGLGLNPDAVLKMADSCFVVDEI FFGDLKLVRRCEIDAVRKQHVYGNSKERSKQEEHRNSLCHKNWEQKIYNTNTENMEKR MCPPCRVFLSDNFNSSNLSPENRVEIKSISCRNTHNFSWVSVYFQMLIGSTPLLIRGC HNRGFFMQGSISSFSLDQVKEFSGKELGTQKRSNLDFPESNWDTLTSAIAFSEAILLY MSNDLLDLIGSYLLKESGPGDHFVNFTIKKKQNNIIFQLLNPNDNLPNIAGPINYWNN YLSTK cubi_02797 MINENNSGKKLRGKLKILLERLFSNDGISHIYLLLVIILLVNLG IGLFFCGRNLNKESELVSSSIFDININFPKGEKDKNTNNSIYLPRDEISSQNKSQSSK STTTTTTKTTTTTERPSAITTASTTKTETEIYTVQSPTNNSVPTCLYSSKFPTKYDLN GLLFWNVSSDTYIYWGYHNKTGSVYILGNNRQIDNTIQFSDESSTTKDKFDYDQPKLI EFKESKIGSEAKIGQINTSNVIAIGKKTLPSYWYMNWVEQSSSKSKETFKPLFQPCLG SLYTYSNNNLQGFFQDQGVVYMCGFSSYTLEKDLIELTNIPFVRISTSIQDDNKYLSF VKSRSKNRIYRLTIIKCQEKQGNYNQVLTYSLNLSRSVREEDCDANSGSWLMYKSGGS LFTINNGVGDWQIRTETGRFEYLKETGELKREPCFPNWWSTYGGVTSKQYVPST cubi_02798 MTVATSSRRNSRRSSLSSESSLTNHTASAKPKNSYIQKNSSVSG GGGTSHSCYSHKGRSGSGFGSSSSYENRAYLVSGGGSCIGNNGSMGSMNRGLLTPNAH LDDLSAERVGNSSPNWTLSTDVCSLKDFKGLLECKSEHAESVLRKYSGIKGMNGGRGI RQVLASIVRGSRTSKNRSSSVDSGSCGASSSSSSTGLVSNINRKNTAGGDGTGVRITS EESIDGVSNNDSRECNILTGQPIQKAILTQRDIMELRGKIDKVLSTEDSFVRSALQSF QQFDLDGDGNLTIEELLDLLTTLGEHLALPPINRKVVANEISIRLNSKLTVDSNASES RMLISFPFFLKYYLSVLTTIRQKHFSSVRANGELQQNRAIRKHLVHEDDINDLYTFHY QLGAGTYGEVFLVTENYTRQRRVCKIVDKVKCRRKLDEIDHEVEILKQLDHPGLVHIY EVYEDRLNLYFILEFCAGGNLFHSLQDAIQSGFRFSEFHVSRIIQQILLAIRYLHLKR VVHKNLKPQNILLTNSFGSGNTSVKLVDFGLAEIFSSDEMILLDSSSTPSTDSFLESS LQSLPTSSLSSYLNQGSVKTQTHAQNSLFSNPCRNNVNEVTNISGVSSNQNSNPSLNA NRNLGSNLDGSHLKESSNLHKHQNQQQKESSTSYSKYLDFTAPEILKGEPFSYSLDVW SVGVIMYSIITGRHPFCGRSRAETRHNICFGAPAISEITCVSNACKSLLGKLLEKNPR ERISVDEALSHDWFSISHSSYCEVDIGMPLLAHLKVYTRQSELRHILIHMLSHQLALD TTQINMATSVFKSLDTDHDGVLSVSELSCGLQKLGISSRESSMIIKAMDIDGNGIISY SEFISACHIWRQNEIRQLKSFFMKIDRNNQGKITREEFKQLLKAQKSKLLSNITKSFS AGNEVNYRTILPSSNQGVYTEWDSVLDEIDTNRDGLIDWKEFCTFIVDYFNTSRESLR ACYNSGNISNLPSPTISVSSPFATDPTDVVGLGRRVSGLRPMQETKPT cubi_02799 MWLPFNALRSKKLGFLVVFLLSVIFLDVNRELFKNVKNGVGYIV EASESSSSPSMTRLDAERKRKHPETAKLPRYPIPGMRYDELSESDSNVHGKKKSGKSK KKVSGASKIAEEGEKKTSKKKKTKSGVKSKKSSKRSTSSGSQEEIPSSRMEKPEKSSG TDSARVKHKSSRSSKHKSSSSNTESSPERSRKRHDKSKLTMSEYKSFLPGVSSIWQHP STRKTFKHLNTKYGNYFPKIATRPYRKSNECSSLFDSAKKAYENKEIVIHPAEFVGLS LTLASKLREVLGQYVTIQESCLMLRILLHLYSGMRTRTQFLNIIAAVLQNDILLGRIS DDFGMIKEVMYILDFLLQLPKHRADTKSMQMSAIEISEFFHLEVYPKDNSYESKLLPI YRKNAEVLMSEIEKRLGIAVARDEIMSMIYIMLNFIEDDRSQEQCTEIMLAVIGATYT TPLNASQMIELSNIAKDVLGCKASSSNVMSKEQIINIFGPEKDIVDMRQTTLVGGPMS DTLRIWKEVGTLDYLGRVISVRFNDCHGLKAWKLNRICSMMEIMNRKLIKTGSTHEII TLEELCGALRYKARHSGTGWPLAIKATLQQRQNSLSVKVEKLFSHFLAHEKRKLASLE GSKKRRREVFYMVPEFSKPSFLDSVTPSSPAKGIPSADPYKPDFFYTFNSMPSWVQNR FIFASAFMEELLTKYGNFVHISPVKLYNTLGGKLDKTSDLSLVIKGLSLTQEQFKALP AAFCDYEEKMLFKHNSNSSQLTYDEFLEAFYEYSLAKYVEGRYFIEAAVDVTEIMDRY LTASNGLMVEIFQQLVTQERLSRVYLFQAFINNRIKVYSNENNINFITTEQSNRILSG CVDNSKLSYVAEMRKSLSPTFFTDNMIEILAKEWSDYENIILPQVLNLNSSEENILQS IYSSGITFAVLSIDGWELLLDPTVYLRNLANGVSLSLNYVTNSLQTTGTKENRLIFIY YWLQQYFIYKLRKIPRFNLEEIRTLVEALKDQEDLSKDMIQTIIPTISDLALSNDLIE DFSYALEAYAFYEKYFIFAKNLDLEGSFATDIGVVNGKLYIPSYIWSFIKDRDDDVNS DIDNLSIIHFSETTLAYFTRMFVTQPHNQDFFIRVLEWANRQNINDDSIFSIALLNLQ DFQTYIQHNTKFGYNLLAFLIPWVDHYPSNYHFRRLRSLPTGTSPGFFSPEETKEPEV QPPNRSNEILSEIERLSLEHKNIMSQLDNQMIKEESAMLVVEKIRNDIYILLSELKSV SSQLVSSAVMILSNRKITPFINTTNVRQLNEMGLKFGEYGNIIFDERIAEKGLTGLFV PALVLGNINLETGILEQPPPGFDPEFSCSTQNINRMQAFRLWWNSVLPKMAFHCSDPL LSRDLQSVENLLPIFTKVGFSMDSSLISSSLLELTTSYPFPMDLKSMTAIVDSFLKDE EIIFLTLNLPVDSLENAYRDLRMIEGFYNKKATVPLLDIPTAFSFTPNLEIPGNDNLD RTQGNSLASAKVNFLDYLERITVEYFASSPRRMPTIGIPRLTNLAMNFSSNFDQLVFL ISSIFGPMSPYISAPSIGASAAHLADFYIMKIPFSEIIDDQYIEREFKNSLLEFGSPL GKVTFTQNNLDDKILNFRWNYLSDHFFQNDEKYFTSFLVMAELIQIALNYASSKTGVF VSLNSETQRQISFSFITGQNLLEVFRTNIDLSNQNLSYSLENKFYSVLAKSFSFIISQ LRPFLDNSDNRSLAEGILQNAISDIYTKGFYPENVRHELINRITLFIDFDSDKYQAIF SDLEVSLNSSNISLPKNLSSHPSVIAQRFLTQRSEANLLSGQNFVSRTPICNFVLTFA HFLTKVLDKPQNKNKIGNFDSFYYSNLDIWSDVCGVNKLEYVNYRSVFLSIIKNISFK LGSPLSQNEINRFTLLMDFFNQNIREIILKQNPSFSKSDSNEIIFSDLNTCLAAIETF FTENDLNIDEIQISNSESLAFTDYFTSSHFYLFSKEEESQSRIKYKSMLENLFISNIQ SDLENIPGIKRQISKNFLSDEPLNAFIDSNNILMEKLSDLYKKLPFKFKERKLKSGKK EQSSITFSYLDVEKDQYKDNYDLEGSFLHGKKIACVNRAVLFQHWWLLVWPKIPFYTN DFVLGELLSRLEILTEAFQNACMFTSSEIIFSSIGKLIAGISGVSLKKNHIYIMIETF LDFENKALEFSGAKDLESAYSFDIGMLNSMFSIEHKIPPIPIPKGYIKVTLISDLNNL AQYLFRIISEYFAASPRNYPTILIPNTLFLAEHFDLEKENLTYIIYIWSDLESENSIR SFFQAPTIGASFEDLADFIIENFKLNFLNVGYSIHYLQFFSDPLFSTFFKFGSPLFRV KNINLEVRCSREEESCNPFIPKFALSDSRQKLLEENLSLIITKSFTLTSNKMQLPPDS EIFKFFFVSDVADAIMLGINVLDAVRVLGFGDHKLQVSIMEINKFSVLFSKIFSRIFS SLLESFNAYTYFKKPALNLNFNQMKTLSAKNFNLMSQFASELMILTVLSNDELKKFFS LIYIDDLFAVETYQAFYGITTSDYTRGMITVHNKAKQLTVHLQIIQFNYLYCFILFLI HIFARAGLSQLHISFRYHYLLSFENVIRATIISNQEGVAGAVFALISHKDRFSLGNFT LDKFKQVYLYFKNHVTSFFNGIPIQLVFASSEALIPFIKSFDDATKNVKLDSFTPVTY LNEFQSKNFPYYFPKSQSLSPSFMIEDILNNNSHRNMALLNFQETSFVTGSFFSPWVP FLIGLETVKHSYIESEASDDRLLNTDPGHVYLRRKIKSIYTLNQNLDLTLEYNSLVDL GSATLESGIISYQNDQNTQNENQYQNSLNGLKVSVLNRAVLFREWFIRVWDTSPAISR DPIIIEFLNDFESIIKIFNAPLTQVSIISTNLISYFVSKMGIYLDRIIPPIINEYINF EEKMVTEFGYKDLSEVLESGVAGLLLFSLPISDPLPRNKCHIKNKVWGKGLDSFKRFQ ILDYATQKLTEYLSTVPRSALTISIPDVSIILDNFSENQPEITKCAIAVWAKDRPDLI SAPSLGLDPDSIFDFMESFLSTRRLDQDNFEISHIYQLSYGLLDEYPIQDFFYNYNAF FVLRGYDSFYNEAVGPLISDKATLDAITDVLKLISANVLDTYEFNYYSDNDQDDQVEV KKKGEFYVDLSNSLDYKTFTIRMLMGVSESFSTRKLFQSIGALNLPKDLNKISSDFIR KISVLKVCVYEYFFENTYEFLFGKLNIYIQKSGYKLTQHETFNLFLNHIFVLFSKNLV SDLKLISDCFSEYKFQQRPNYFSTIPDLGPVSQQTGLVISAFNNFKTEGLETAFVPQI NLLVFYLKSLNSLINHPGNKFILSIPASINFMSTNMLLRLSGCDLITDNGFSCLAGTI MNSLIDLGSDVGNLTQEALKEILASLFKIVGEISYASYTKLDSEIKYIDPIKNRSHLA SNLSYYNSNLLSILFGNLENLEEIINSEFRQKFVNITSLSKSLNDSYKPDHLTNTQLL HILGIYNKGYFWSLSDRLYNVPAFFVKRLATKNPLNLDEFFDQMGVSGILKVMNSVFP TLLKVSVLKNGDIESINNKFKKTNIVIANRAAMFHSFVHITTYPYSGDGNSCAILPDE RSNRKGISLEESIRFFSSYNGQDVLGSVFKIWKYFNNIEFYKAYQLLDAFYAIEKRLF GLDALKGTPHSVYSDAKRVFEILRLFLPIILDLEIPEYEGEEAKNLGRIIMLLGRRFF TEVDIKNELTLEKSIKIARHFWPLEWDYYFSTLKRSSVGYYAQLSVLENLKSIRRTPK LAQEFMSFVNEYIYDGMGSDPGLRYFSDYKRVFVKREKFLKKAFSKPFVCSEEDLPWV HPRMEYSGISFPQFNFMMYVITAWKTYVESVIIKKALNINGRKWSRILKEARPGLISI LDSFSRGNSLVSPSSLSLFTNLAYKLLGEGNSNAFPSKADIKKHFEVFLTEIQRFSRI RHPGTISTSFMWPAIDCEASTSSPALKGDTDMIMVVPGLTFPMVLNNISSMDRSARKS SKASKTRELLIDSLGEFFSDHHEGYFDPEDNESELFGSETDEGTDDQVQEEIRKGIKL EDLTDHEDDNSSLATDNE cubi_02800 MRFYFFSFVLLLFFVATNALRVRGGNEQSVLESGNSDSEETTTT TEGTDTQNPTETDETTTTTTAAPSKGGKFPSLKDRLLSFFGFGSEKNGKGQNQNQNQS QNPAEPEDKEGSDPSNGNPQEPSDNDQNEEGPSGEGEEVKVEDSEGNKQGEGEGNPEN TE cubi_02801 MLRFFLNLTTILLSLSLFNQAYGIRVEPRVTETLDVTAKENISE SQVCVLKTLKQLVLSNGRIPPKITKKRINQAVLLCKEKINTTVSSTIAEETIFMTLGQ YGHLSEIDTTKALKFINEIQKESKTTVGSYTRMYKLSQNSHFCKLYEDFILSAYPNEY FISFLPEDGERCQELLKRLKQNSFYSYWSQGGVVADQAQPIIDDNVSNDPIKTRETVI NNIFQNPQVQETQAQEVHKSDNKTQQTNLVDEKRYEEYISARSEIENMELEVDQDSGC CSSCSCGKKKTEKEDKNLQKMVDNSMTEEELELMRLEKELEKPKSACCSCCTSSKKKN NNKEQKDQENKTNESLDDVVGVDTNLRKSETEENKQKNSRCCSCSSSSKKNSKKPKED DYNIKDEETDRNGEQNFRNPEDSVSEEKESKSKSLKKNMDLELLGCCSCCCCSKKGKE KEKGEEEKKSRKLSEFEEESNQGLEEQEEPNKVKKDSKNKKDKKDKKNKKNKKDKKGK DKDKEKTEDSELNARILAGNSLIEEEFEKDENSGCCSNSSSSKRSKNTKNELRELEEL EMKLEEEERRLAQQKMRQQS cubi_02802 MRINKGLFLSVYSFCLLFGPITRSIYVEGSKKLFGLHGNQNYGF SGEKDQIKEEDLVVTMDKLRRVNSCFVPEVQENTWYAPMVFYKRYKHLSQIYKKCSIL KLKNFCDEFSEIIECPRDLSSGEVVVSSQGKEASTVFAMLKSPIEKEKQQVKEKFELF MGCVYELGKRSSLRVRCLHSNKAKKFPLKIVTKVDGRTNDFLYHFNHELIIYSRMASS TYGASKIRLHPSIYSVFVQPNRRLISRNVLLMEFIEGPAISSIISELIDYPNLLKYLK NRVNAILEESIRIYDSKFPSLRTDEKINVESKAKLLENSINIWINNYTERTIVFSVNL YRRLLYLLDLFHRGGTSFYPEELEDYSRGKWKSSRSFRIHCDFHQGNILVKISEKFKS ENSDVYNLTYKDILDIDLYNDLKIIDLEDAVELKESDFPVPIDKLIGTHPCPVYLTDA EGFSARLLSNIILISNTLHQSLSTLQDFFLGGGTKSLIFDNKVVSDWITGSNPERISE LGNYSFKLKDSILKRLKIHSDNWDRYVDLITNDEILKPCISYYHIKYGKKLPPKSHLP CSWLSNILFSQKACHYLQISHAELDPFQLESEDKDLKVELGELFRLSNMTLCHIEETE ALSISVNNVSSSIEEFLPNVSEINKTEWGEWSALFKNQTFGEGSNTKKSLGTSSNGVI ITNSNSKKVSEHNSFPCSNHLSVVNSKSNMSRNGNKNKNLKAEEKGKLVFGSIDDPKY KSPSNVLDWMEVNQVVNGDEKSKNLSRKQDSGTESLVQNDTISQEFDKILIDLMGLAA LQRIISFCSKFSSEIDCDNVSSSKITRDGPISSYIVRTLENHNSTEVRNSSYNFSQFL DPCVLYSNESSTNSDTQESMGWLCSKQVKDESLNEERVFKIIVDGRLFLNINMTFVNL SNDTFAFQDILGYFGVNETSKYTSKFNQEAVSMIERHRRDALEFWKLESKIRNMDNII IDQDQGLNSSNIVPVLPKMFIFHSKLNTKKIQTKIVFPSEQKPKIIGKYLHFLPLSEK RSDLSKQISSIIQTGLLSENVFFMVNPSNNRLSNMVSKVNNFQNEVFSMIWISYSTLV LSIIESILRSHSYQILISYRALKFLERFRSKRDYDISIVKSKNNVTSAEIEIKNNTTP VICNFSLKKIIIQPSENSEILSLLGELHNLTLYNTSGAKFIGIGESISKICSIDQLKD KSDVQTLIKDLILPSLDPISTLKNAVETLSKVKYNSSIEVRRSNWFVKHVCSFISREL IKIKQSNNSNEALPTLIRSLNLIIQLRDRIYSNSSFSKCIKTEPQSSKFEFYNCTAGE YISISEIISSELLQFFDKQNHLFKNLTSISLAQELISYVNDHLQIPHPSEEPPLGGLG HLFKKDFNESIIGSEDLSRNSTILYERNKTIAFDVKWPIIANISEIINSKKFT cubi_02803 MIGSRKSKEELYYKISLGVTVLVFWVCSRSVVLSLALTSAFLVV SYLGNKYAFASKSKYDEDLPKCILYNKILRKICNILTNRILVNFVLSTVYVTIYLLLR SDIKGVEVIHRGDFDSLAPKLAEASRSLIESGEGKFETSGAKNFEGISLVIPIRDEDE FISKTISFTLGLPNRNLLKEIIVIDDCSKREVSSLLNEQLPSSYLEYIKVIRLDKCEG LIRSRILGADASKSSVIVFMDGHCRPKDGWIQPLIDRLREKPKAIVCPMIEDIDRYTW KDLGTFGLKMMFDWNFEFNWYEDFTDTIPIASGGLYAITREWWEESGKYDPGMLEWGG ENIEQSIRIWRCGGEIVAEKRSKVGHIFKRDPKPNPENKLVLQVQRNQKRAAMVWLDK KRYQYFETVHNVVKTLNELQSGVDLEQRHEIKQRLNCKSFSWYINKFRASFDRGGLLL DNFRHFKHRKSGLCLAASLNEVVTGTNDKAVIFKKCNSGDDTQKWSVIFGRRLILNGR IGFGCLTRGPSDVVENSILRVVPCEFKKALEGYNDSQYWNIDANDELLFDQGYEDLSP EESSKLRNSLRLFNRVSSIPFSNDGNDRISVNGDHAFNSSNRCVTNLNDKAVMKSCPQ DDQNEDYMFDPIKMAKFM cubi_02804 MFKILIFVLFLLCFQFSSSSDLAGQEGPKPYIKKDYEYVSSLND LLEINRLSRRNFYIKGNPIGTGRYSNVFFSWKVDLSPETKNKIGEETLVIDPSLLNLT DHQISILKGSPVALKELKNIQEWKILREVSILKLLNGYSEDDLDLKDPEESYKTQGKK SIVKLLDIVKYHHPVRKIQKGGVPKKHIGLVMEYIDNEQFYSLLPGLSYRDLQNYMRQ LLEGLKYANSLGVFHRDIKPQNIVIDKNRGLLKIIDWGLAEYYSEDEADFSPRVASKC YKAPELLLGIRNYDFSVDSWSVGCLFSQMLFRLGTTKTNYFTGIFSKYPKNFVGIFLK NSLSPDALFPGWDNNDQLVKIGSLLGGDNIIYISNKYNGTISDELTLSYLKRTRKIFN STTTSFTDPRTFEFLITEENQDLVTFQALDLLSKLLTLDFKSRIHPKDALKHPFFTLN PSQHLWITKVPDPYLRSSIYNNLYFSYKKSGSIFSTDTKITSSNRNKDFCPSMSPFPL INSNFSII cubi_02805 MSLNTKNKDPERFKIGDHEMPNMDHENDNSSLESLNFVIPKGDE EKSLKDRNLERREIMRELFKVGNLIEVVYSQGACFEQRLIVFSILSILGMGAYGIVVL AEICSPGNKRSRNSITVEITDSNMKQLFEKLDQRTKETRKSSKERTGGGTVIGKKLAI KIVDLEANDSAELEDPLATARKEISIMSELQDCEQILKYYVTFASASFLYILMEYAEG GSLYGLYSKYGCFPEELLASVAQDVLKALRRLHGQDHSKSQHYILHNDIKSANILLTN NCVAKLADFGVSRKVKSDLRRNQEDSGSKVKMDSLSNDREILGSPFWMAPEIILGNSF SGLMGEPGASDIWSLGITVLELAFGRIPWPNFESLEDLLGYILRSPPPQKIVRKDVRE LFSPEFWDFVDCCLTKDPSLRKSADTLLKHPFITLKAKRPGSLNIFFQTISGVQIHNS NYIQSIFNYVNHLFSSGVKVPTKYHSLTSRNFIKKISTVSISRYFSRKRSSVVQGIGN TSIKSSRNQKALENHQDPRLSISHSPTNYKDENGSPLLDKRVSMGQINRCLESPSIEL GKLKLPDSSSPPPSSSPYSSFLLLHGISSLKSTPEENESEISEEISVTCSDEEKILIK ASESKRKGIHDKQTKKRFGNQIHNKEIIPSEIENNTKKEVSKLKTRKFVFSCCCSKND AN cubi_02806 MKFIFTISTALAFLGACLAENFDQFYTPTQIIPGLHPPQPSPTL TGAITNMYMSGPWMSPLEHKRWVESLRDYKLPIGYIPMARRYQRVKESAIVERARQWA EIAAWRFQSDPKYSNSPFKYTVKDFEGMFQKFSAFGWSQEACEQVLTDMGIHSESTEV WCSNIEPWGHDECADLTWPDFVLVDDIENKFRGKLEQQSICRLVQLVRPSQLPNVKYR TVYDICQQNILALRDPLTVETVTQEDAEVICRMMDFTMTPECRRVPPRHIQKAQELSV AFGEAVYPRLLDFRVSDACKVVSAMKDEDTQTLEFCRNEMITLLWNRAANMGETVCPW GWDIISQFVEACQEVYKKHSKRPKELEEKAVILTGGFFNPTQTYTTRWWKTSPIGQKL AALLINEPALSQSLQEGIFSPTQLMWAYNIYDEYKAMGFNVYSLVEIVNMVREFNINE PQTTCESIFNSYLGKFENNDENAPREQFTRAEVRKMCAAIEKQSVEICRRFLVSPLIG VARDVRSYFLKELRGNTLMQLEDVCLLVAAMNIPKISPTKGSVQRRCSHAMNRFVLKN ANLTFAQRYGLTATQQDEICNRMDFWGSESCDKLPMIRRTIVHPFTRKLYDLSKRYGI LEYRFDEICKAFDLMGDNPPREKCEFVMVSEFFSRWMDTSNSLFQLSHHVCSSFFERR TSGKELNEIKKALNSIKDFMDEKGEYQIPSTEKTYQQFMDEKIRSAKPKTRKYDVMPS LTEGSQVESLPGVLKTLESLETSHSPGIEDIRGKYYSSLNKVQLEMLNRIVSHKPMKD EKKTTVEAFAKVIYKLNQFTVMESCVGQLVDDLNYSVESAKSICALIDPLQSESCLSA QRIDFITAKQMHQKLATKTGFSQFINLAHICDVVNYVNILKYANKVKQGRMRIATPSC RNAVKTLLFPKYLVAQSNQMLNQICSILDITSDPNFNGGILKTNLERYYAFKLADAYG HKLDLLPKKRTHPITWQLIMSAIRRIKPTAQSKVTRSQCTSAMQAAFGKFKQFSPVES TEACCLALGCSDFVVNGDFLSPPASNEASPQEMSILASSKRALESPQEVSQ cubi_02807 MQPIGAFGMVPQLVNPPVVMVPGGNTGKGLNGNGSCCSGNKSKK KGSCGSCGRCIGSLFIILFAFICTSCCYSYPIYNSFTNSEYFKKQEEPIWTWKMALNK AKEFGNSISLTKSAEDENEIYGKSGRNRDLDRIQFLKLNSTDNETASTFINLDNYLDK NSSNLTSPLYNSSYISSILYSNAERKLNIRGGGLNQTKELIGFKTKQIPNKYFNKEYC ERGKNEIAGVWKDLCNFKHNVTNLECIDMMNGPAICVGIRNRKYEVFSVSKQCKFVEP EIPVEIFPTISDLCYNGNYSNNTSLGTTNITKPICPCMITSSPVDNMELNGNSTITGN LNTKDFIILNKANQDTQKMLRGSEKIPENPYNSSLIDFGIKSLNQSNLRNNTNLLINM LNS cubi_02808 MPNVRLRLGDWICPVQSCLEVNFARRKICYKCQACRPGLNQNRS VLKWSQNFAVPPFMKPGDWICTLCGRMNWARRNVCLECRTERSSFQERVMMKGQNDSD AISSSKPSLNRKKKILNDLSDNSKSKNFRNSSCSELGSIKSFKTEQEEIGFENPNVTK LHNYGFGDCHNSHNKRIMDNSSRRYYSKELYIPQEPGQLSSFFYNKQRTRKADLNSGE MLRSSLQFDNSTSLNTIISSDILLQQKKEDFYLNGVKEREALFSYPKDLRELCLSSKA SFIEGFQSTPNCMACCSRPNNGRNNNLPFMECNWPASAKDWRFRGNLINSTFEPSSSM MHTNHLKFKKQSPSLTSNPHFSVQYKARKG cubi_02809 MNTKYSFLHIFIGTLLLSLVILEPINCKIDPKFKFGPLYPPHDR TIISDFDNYAIHYCGLKDSLFNIAHCIFHALTEVQEEKELKYEDYENIVNLLLQGKNR ASLQKLISSTNNCENMVVPYIQFEKPKSTKSIMGVKISTKVEDYCKFAYRCINNGPST LIKNKESVLQEYLGRWISSASQGNEGFGLVLTSRLDFQISKLKIRSKEPKELFQIGSK LAAESPVKRESLCMEIFNNKEICSIVSPYKPKFNGWLHPPIDPIKNKPFKFNPKSMID EKVNNIVNIQINQELPPYCIPQEIFSFQPPEIFTKEKKKIHFYTDLSQTEKNIINQFL MHFVINYGKIPRVIFDHRSRFAIEGWLSHKNKSPIISVHNSMYSLGRKLQYKDTMSGM LYSNSGSKKETYPYDFPQFKIFSVYSDKTRTFEISDSNPLISTCLKEGCAYYIGRSSS MCPVLVIRASAIISIKNFKQSSASFLAMFIMAFAEKYLFFPGKVESIDLIIDCRGFSL SSISTLLRIKPIILYWQDEGIINQYPLRFNNIFVIQQSDIWGTLKDILARFFLSETIK SIRVISNVSHNPKDNADLKLLWRYISPHIIETDMGGTRPKLQQGEYYPFKILPGPYKP FNTNLTNTQVTPSVSDWPKPDFSSPKNLYKLLPQSVFSTKAKTLDGNEDVIPINWKDS KKLLDSIPKTLWPDISTSIPNNEPKDDLKKKEGSKSSKDSETSKNEENDDTKLSQETK DKPGTPKRTISGADSLKDISHRNTVPNGGKGRDLLLQLLLTQKILNNLKQLYLLRLEK SKNRHNLEEQCVRLATDHILFFSDLVKKALIEISNQLANIKHAKKAPRNLPQINMRMS IFEAYRDIISTLKLSRAKEILSSKSFSYLERARKQILELETKLNKARQEIRTLLKEDP GILEEKASSTWDILLSVFKDLAQLRKNISDIELKEKKISIKLHHLCEQLTSSLGVTRS FLDNYSKYDHLSMFESSLLLNMINAIIKGQEYSFEKPITGK cubi_02810 MLNVYRKGFRWLFATTLMLLFCFLILPSGAEEHEDIVKVVDNDK STKVEDVSKNSLSEEVIELEGADSSEGASGIQNPEQVYEACLSLHESFKKECTEEEIQ KPLSDLVEACSDPVLNVQSVTAIASKIVSNSNDSNFSDSTECSIMVLTTSLYISSNNQ VEEEEESGSLEAESLETEDSHMESELENN cubi_02811 MIKKFLFSSKFSTSSWIYCILLVVLNIQYSKVHLSVTKWFSVIY NLLVDSFNSEQGESYMNDIKLKMLSLPMFLVLLCFFRVLSNFFITILLLNWRNFESNY LFENWRFIKKVEGVSQRIQEDTIQVVKLILSLFFRIVISISRSLVHIPILIEYSKRVK GIPPFSDEFEYSLIAYLFMLVSILMLGVYFTSRKMSSKIMNKEIVEAEFRKQLILGEE GVPRLNLSLIENALVSELKLIHSDIYLYSLRYNLTNGSLSSMIEFLPTIILIPAIIRK DVTIGGRATIIRCFNTVASGITCFFLNWDDISELYTAISRLYKLESLINKCKDEPSTL LNEP cubi_02812 MIIFHSLSRSSRTKFLFFLISIFACSVSLLYFEYSGNSQLKIFG DTLQLAVKSTSNVHASELYMSFFALVSYKLIIVFLKSISSYLSKKSIFFWRLQITEHF LKNWEKIYLIEGASQRIQEDTMRYCRLFEKLLIFVIQDFFKLIIYLPLLLELGKHITK TWLIPNTKHILLILIIVNSIIGTSIIIFPSRYLSRLVYKIDKEEALFRKNLVLYELEE KDFSKFDFIGNYRDVDNTHKRYYKRFYVIKVIQLIYFRLSMITYWLFAHPSMKPPYTL GLLKQTMHAFDEVSSVFNFAVTHFKSLVEFIAVYSRLNLMVKQTIKDKESE cubi_02813 MSHSRTLKILGLLLLGICLNVEVKSQKVSTTIESDLSLNSTISK NGTTAPIEPVESSNATMNSSSITNSSNVDFGKKLINPEIIPVNTNYTQDSCFNRTLPI NCNNSNSTLLVPITSRFTTTIIGDSNNLGIKYEFKSEDDVELISFRLNSNDKNAAVKV SSLVNGTQVNGGLTSLELKGNQDDFTINNNVTNSTSNVGKITFVMVLTNTTISFTVNN LVYNILNINLAEKPIRISKIQTSGLENVKISVIPFIKNDEMERCVLKIPITGNDANLN ISPYSNTCLSSNVIISESSLVAGSQIVLGIPGIVSSKSVKVPSKTHIILSSKSGLVIF HLLVNDKGLFLKGVAGTDIVQSKGGYFPFQSYGFQSYTIKLIFTSLFVTISVNGFYAF DPIVIPNGEIPHGILFEGLHRNPTSTLLPTRTCTKYSYSGTGSCFIDGILPVLKSPGT NQTNESHGQTLVAVRVVSDSNERKKKTFISFNNNADVSEFLVEVDGKKAALITNLTNL DKVDYENISQNDTFLLKDENIGGLRRNYFNNLENTKSTRVSNTLKDYSVNVNGNDVSQ ISSEFKPIKKSCVLEQHRGSYISTVCEGNKLCFASGSTGSPVGGTLHFIGGFLNGILK VKIYTKMDDFSFEIEYTSSGNISVYRTTAIKENEPIYKGNYLNSSTSTFERGIISIKD LGQFMLLSIDKIEVTKISKGGYGPDGNISCVQFFANESDDISIFFEIQ cubi_02814 MFFSGFGGRQGNPTPDSGDGGKDSVGKGSGGKGGGSINGNFDPT ALERGAKALKQLDSSPNAQKAFEIIKLQEKTKQKELERDIEQSSAYRSKANLERTRLE ADERRKTITHQQEEERATSQYKAKLETEAYYKKLKEQESQNARMLKQQHEKFLEQEEI RKKNEREILEMRRKQSEYDNKLEQENIKVRIREETIGRIKAERENADIRLSEIRAKAK ESRITHLESIRTIFGGIKEIGNSLYQDKSKLTMLVGGLTAMAFGIYGAKNTTRVLSNL LETSFGKPSLIRETNMSFLTRHGLIPRSNFFSPAITYRLLGLRNRLIKKPKIFENIVL PSELENRLNWTVNTLVNSKRFDVPFRNMLLWGKPGTGKTMFARKLAKESGLDYAIMSG GDVGQLGKNGVTELNKVFDWARKSNKGMLLFIDEAEAFLSKGRESINYNKSEDSRNAL SAFLHQTGTESKDICILLATNVPETLDKAVIDRVDEIFEFPNPGFNERLKIIKQFLEL HFNSRYLSGEFVRSSSNSIKIHPSLDQTVLDILAKKTEGFSGRQLSKLVLGMKSIVLG SGVESLTPEIAESALSWKLEGENSLNMCSSSNFLSSTIKSSNLNFDSSSNYQMNLK cubi_02815 MSESSILLYENKSERVSGRPWKDTPNLKSRLKKRVTGKKKSYDE RIREKKEIQRIRGIELAEKEERANARKEAKERKRQKQQAKINREILSSGVQVITRTDK IKNWNKKARKMLRKVSLEALNLK cubi_02816 MTSIYWVFLTFYFFLGVIAEDSTSKKSLDSLVFVSCVDGQKKDE LDNYVSQEYWKTIKSDNPDALFWMGDSVYTKCGSPVCVSKGYLVQSNNEFYRDLLKTG LFVDGTWDDHDYGVNDGGKEVLFKDVSQQLFLDFISVPVDSPRRNRKGVYSSHTFGPP GKQIKVIILDTRYHRDRNYVHEKYGISSFAYLDTPLTSIFAASLRFFASVFGYGHAYE GDMLGEDQWKWLEKELSNSKALANIIISSVQVTTLYPVVESWGHFPNSRKRLLSLIGK TKPRGLLFLSGDVHWGQIFESNDSQKSLVEITSSGMTHSVSNRILDSFVISVTLPIFT GWSLSNKSPNYYTKENYGKLDFEYICPEAQQGKENLVECSGIIVKASIKDLNGNIKLF KKFKFDNESNENHLEALSKIPQMITEKSIKQIFGRICIIFVTIIWGIQIVIVFAYLIL RIITSFTRFSKKKKED cubi_02817 MDIVKACFRFSFLGLRSNEQWLGELLSQSSEDQEEPKKIESNLE SQIFGGLSVKQSLALLRARSYFESLEFTRAFEVLNDEVSLNKSGIAVFMKNYYQLVSL ERQNTKTDRLEDMVVPPSEASMTSLKYSKIEVEILNFLSCLSNSDNVEEKQEIIGLLE WLASIVIYRQNRYLEALEFQINSLKKEPLNWSCWQDLVRNLTSNGQGNVVSSFKTCEN GENNDFNWNEIFFNENLHRNPGLQPFKKKQSSGLSRNIRDSSFKDFSNSLGLTESFCG HIAYALYLETIGKWKEALCEYNQISNEIKNSPYVESRIAKCNRELGNLEAAVRIHESI LNKDKTFLGNSVEFASILSEAKNVKELNLLAKRCVELSKYSVDTCIVLGIYHWLTNDK YKALRFYKRALLIDCKSSPTWVLCGYALHELGNTRSSLFSYRTALNLDPTNVQAIFGI AQIYSRLNLHSYSIKLYEKALNQSPGDAFLWYNHGISLEKAGDYQEASRSFYKALSCE SSKNLSSDAEIKYMGKLLKLETDQWNHAGSLYWARKIIVKAIELGFLEIASSKVDSSP DINFTYLSDENEFFSNWQRLRWSIRMIPQKLPLDLIIALECILQLHSTSIDEKSSIKS ELLIENIRELLNNPKSLQISKEN cubi_02818 MRFVSVSDYKDGILSQNSYLNRPNAYGFFRKNFKARSLDQSSKA ARRILNNLIPELSVSQFNDSIRIFNSYLESYKNTPKDTSRIESLISASAYISVRREGG YLSLLDIASRLKSKNYRSFASLVRRICIRLKIKNLPNPSIEESLNYVCTKVEKFLKEK MILKPTERYQKETVVFQNSEKETEEMRNLMLESLIRDPSGHLESKKSGNLNPNFYLSS KQHTKAKVNGKTSSDSLIKAKHFALLILKTILLNQDHDFIDGHDDILNPLWLSNGVCS TPTISASLYFSFKLYCRNISFNDLLKATGISKSSVIKARKSLSLQFRLVSEKLFPGWL SPEMLSSNSELPPSIFESLTNLIQSHYSNNST cubi_02819 MDINLLNPDPRVEAQKHKLKRLIQSPNSFFMDVRCPGCLQITTV FSHAQSVVFCGNCSAPLCQPTGGRCKLTDGCSFRRKND cubi_02820 MLKEFSQSFERYSLPEKSEYLEISILVEQDFDSIEYSKLSEAHF LQLINLAQNQLKHFLESRFIYEAVPENSKIIAVSSNLPLIITLSFLLEDKKKSLLVYD ELEDSFIGSFTCFDALLIFYILYILVKNENCREIDDNFPFKSLEELSTCSTKFWLKEV LDTDDTLSRKLITMTANLYEGLKLCLDLESNYKRIRLKGQEFNFNDLGTWIIEDRNKL SEFESVQEDLRSKSMGLYPICYFSPLTILSDLVQNLQICSGISKELQPESETITITER DDSECEISPQGSIFKKIFHNKVLKHLGIGRKTPKCLKTSSSFKLVLEMFLKEGLTHIP IVTEEDEIFTGIVLTMEKCCMYLARCVSNQEKAQMEDNIENIFNIYDQEMYHTLESAV VQLSGSNCEKNCFEYINENMSKIETKKIGKEREFKEESQVKENIKHENFNSTFLVDIC LSGAISHILLSEDQCLVLVDKKTKQVTALIEFNMSNENKNDSVLYEEVLPDAFYEDPD LMKIYSLKIPVKLANEFSGFINDDQFTRRFPHIKRMRKVVIKEKDSELNNSSISLYET KVEILIGETKECLPIEANNYLRENGIDKVWDVIEVPKNPPLTRERFSQLSKIWPLSFL KPRFNPEILSDQTQRESDRLVKLACKVGEFAHTNGNPPRGCIITLKGKIVAIGEDSRN SDYPWMHSVMKAVDNFSRRANASSPTLQKVTNSVESGQEGRYKEKYVQEIEDDQDQLI LMMSKYKISEDEILPNSELKDQYLCTNGIVYLSHEPCISCSMALVHSRVSKVFYMYKD KERGFLGSNHKLHCVSELNHHYRVFKARIN cubi_02821 MSTKNPILFSALCVVKGSISTLFGVSGKVSKLKFKNEKVSFNYS LSKEIEINDDTLEELNNIISYKIKENSSFQVFKIPSKEASSIYGKEHLESDEVPPENV ELRIVTLRNFYLSATRNPVVRNTKEIGNVTIENILLDDKNSALLVNFKVDHPLVKASE ENFKNLYCEEYSVQDIKDGKFIVPSLEDSLPISISLDIIGDELVNPWEVKADNAYGID YNKLIDKFGCKLITKDMIDRMERLTGQRAHHFFRRNIFLSHRDFEKILDVYENGELFY LYTGRGPSSESLHVGHLVPFLFTKYLQDAFKVPLVIQLTDDEKFIFKSNLTLEETHNF AYENMKDIIACGFDPELTFIFTNLEYIAELYPDILRIEKKISCSQIKSIFGFKDSCNV GKFAFPAVQAAPAFSSSFPNIFGGRTDVHCLVPHAIDQDPYFRMVRDVAPRLGYLKPS SIHSIFLPSLQGSQTKMSASVQNSSIFVNDTEEAIRSKIMKYAFSGGQATEEEQRRLG ANLDVDVSWQYLRFLMEDDQKLEEIGKKYSSGQMLSGEIKSILVDELVKLTKNHQKNR EAITESTIAKFTNKSREELLKLFSKKN cubi_02822 MRKFYTLGNGRYTLGVCAMENKVESSPMKSILNRITLTGEFTIL VFMQDMILSEDISNWPKVDCLISFYSAGFPLNKAISYTKMNNPIILNDLERQIPMRSR LEIFNILDKWKIPRPECVVINHSELSGGNDDIFYEEYDFIKYKGEKIFKPFIEKPIFS DNHDNWIYYPENSGGGCKKLFRKVGDRSSEYDSNLWKVRKDGTYIYEKFLPTFGTDIK VYTVGPMFAHAEARKSPCLDGKVQRTEQGKEVRYSVMLSYEEKLIAHQIVKAFNQTIC GFDILRTVTGKSVVCDVNGFSFVKGNEKYYNDCAQIITSLFLKKLFKKNKIIPMGKFE KKKKNLILFGKQYKDFKIQDNSKFNNLKQEQQKDELSTVIVIMRHGVRKPKLKLKFET QDHRILSLLSNEKEETRLKSPEELSLLLMKNTLVLENLFMSLEDHSKIMPDNEIHTIC KILNNHLKLQAFLGDGKGFSGVNRKVQLKQINHSEEGLSDKKTVLLVAKWGGELTRIG CEQAEELGKHLRATLYPGDSEGLLRLHSTFRHDLKIYSSNEGRCQVTSAAFTKGFLDL EGDLAPILVQLVIRDSFAQNLLDDPQLTTERRKCKEIIENLLNINKNVGYEEILEIWR NYQSDLKETFPSEQVIRTLMSCGNPYSTLKRLYMLMKELVMKIHIEQDEENNVVIYQG FRQSLDSLFKIHSFEKNDGFPVSQEIMQRWSQLTSSFYNEETQRFVTAKIPDIMDNAA YDICYNVNFVKSEVSVLLYEIYETVVPVGEFVTLFQYGLSGDQKVNIGKAITKNLIEK LLQDIKYSYLKKFKDNKNEKNIDDNQHKTKGFIFKYGSKIFNNFKLGENKNNGSFNFP RVHPEEHIRLKEEEAEAFGIKSPWRIVRSRFYVTSASHIQSLANIFSSMYKNQIPLGD KESLLDLESTFSELNYLSHIVIRVWENRSVSESDQDRFKLEMFVSKGVKSKIGENFGN GIERNNGASIQGVSELFPLKSVVLKHLNIIQFENKIRELIKD cubi_02823 MKVKVILRAPKSQIPLYMTLNDAKFGNEEVTTSLNVIESNEVVR WVNDTSVKSPTNLQVAPQKQLVISEDLARSISKGISEIFNSKNKVNKINDNRVRDLKS NSNLLNIRHKYNVCHIKDAFPEKKIATELIKKNEDAVDNNIETNKDDVGGEKNPEIGK VEKLLSQDLEKVFPSSSGSMGALFGSRYNGKELKSNLRKKYFKGLNSKSNRSYEYNKK FRSNEDFPEDFHELFPKFDDSDEEKDVNINLKDNDIDLSKTTEMIQKKIQDEIDNASK MESIQNEIAKTFKNLTLNPCLCKASESFNKPYDRNQVIIQKHLSLNELRKKIPLKNGY NHASPKNNSHNMYFLIHGSGKETESAVLPKDLKSRPVSNFPNKSSYTDQGKISKSPKN ANLKDEYHGLNPNFKYAHTPENNDQEIKHPQGEGENGDGHRNEGKNEDANERKSLGEG EDSGRSEVLNKFKGSDEKKSQKEDSDPKSRLFNALSRLNLELSNTNSALEQCLKEEDL VSSIDEVFIKGLQEKLENLELLYKRMQINFNQDNPLQDLNQIDNQSKYFHLNDDQNNQ YNDNEAKMFLNEDNNNNNNNGIETASMINSNKVPNTNLPLLTDIPSQKKDGTNLVMRK LPIQKIVHSQNKSPTFQTLNKIDSKRSVSVPAHEKQLSNLYCEKSTNSLGEIPSLNKP QENSRNKSLISIGSFSSVNSNHSITSKSPLSKVGLKPNSSSEKLSFYSEEESRGRTRP KDYSHPRTYTRDFVAENKSNVVLNASKSSSSLLQNNQNKKSTKLQKKKPSIEKTYQNS FNNDNNSGKINSVNHNQQQLQQDGINHFSSTDEIYPSLEVGGPIARMNRACGGFVPCF PGSYLNTNYDPNLGNFPGNHYNRSNYHHINNYSQFPQYQQPNFQRMNSYIGTNNFVDN NTPRCPYWCNLICRI cubi_02824 MTSEQDPINGKPEIGDLVDIKYKTILKGHPLISRIPNVRNEIRY SFVIGSGIVDTLIEEKICGITVGESICITTFQSSDPLFISEISSFNTEAPPTTDNGEN DIGNFKIIIQLLSIIKKENVPDIVASTKRIFTSPIQRMQLSLDSKNLGNHYYNLGDYH TAKIHYENSIKYLDSFEEWTLEQQNDSKPIKISALLNISNCMIKLEEHKFVPKYCTEI LNLDKNNIKALYLRATSYLKLNDPDEARIDLYKAATLEPQNVEIRTKLQQCTKIINDR KNLKKKKQNTPFLF cubi_02825 MGRYIILDTGAILRLGKHDFMDDVLLTVPSVIREVRDKTAIEKM FYLQDRIQVMEPSEQDCGFVKKFSKETGDFGFLSETDFQVIALTLNYHKKHDEISKLN RKPKQISFITKAEEEVKCEEEINRVDEDLHEVDTETDEQNEQSTEDGEGWETVSGQNG GKEESQSENEASKTLEDDVFSGGWITKSNITSVMGGLSSGTQSNFVVACMTTDYSMQN VLLQMGLNLIEIHGLSVKTIKRWGLLCCGCYTYERDTSRKFCGKCGNATLDRVPIKVS SDGTIELDCYRKKVNLRGTIYSIPKPKRGVKNQEIILAEDQLMMGGRQRLLNHQKKKW EKACKERDPFSNNGADLESNWGKQFGSFKYPEIKIGMGRGNPNSNSWQKRHNSKKK cubi_02826 MTTEPEVTEQVLSKKALKRQEKQALKEAKKAEAKSNQQNQQHAV HWSSVLAYSTETTPYGVIPFNGKVESRTFSKIKNLNSNQKGEKVWLRGRITESRCKGS LGFVLLRQTFYRLQLVVDANNCASKEMIKWLGCLPIESMIDVYGTIVVPETPVISSTQ DVEILVERVYCISSACSELPFQLKDANRVDTEDEDSTIIKVLQDVRLDNRVLDLRTYL SQAIFRIQSEVCKLLREFLIEKEFIEIHTPKLLPGASESGATVFKVDYFSNTACLAQS PQLHKQMSICGDLERVFEIGPVFRAENSNTHRHLCEFVGVDIEMNIENTYFELVDVFD AMFRYVFQGINSRCKNELLIVSEYNPFTPFVISEKTPRLTFEEGCNLLREAGVDIPED LSNFDISTEQERLLGSIVKEKYNSDFYMMLKYPLKVRPFYTMPDFDEPEKWSNSFDFF MRGEEILSGAQRVHNYDLLVKRCQECGVPEHSLRDYLNSFKLGAPPHGGCGIGLERVI MLFLNLGNIRKSSMFPRDPKRLSP cubi_02827 MEEGYDWDQISNFQIVDGMNISSSSLLDDELLITSPDSSNSGNS VSLDQKEFSRQICSPLSQFLVGSDGPNSKNGSQKHFSQHQHSNIPNSNGEGNFGLNNN HSSLVGDSQHYGIQTGLTGSGANIRDNSIYNNGYQLNDTDKITTTEKEFTKRKRPYNS GNNSSNNINVNGGSSNRIGGSCTQNGLSGIGRSVIGIGGIGTGVANNSLTKTPSKTRT RKVREASEEFGNASGGSSTNSKSGYSSNTGEGGCSFNSSSNITEDLNSVKYYEGFKLP LGDLGRKMLLKKLREIHTQNPTKMEKALTDHGLSYTRIRFASVQQLFKISYVCDVFDY ALSIHCEFGRPRHRDSSKSVQLSSVNSNSNLMSQLSHSNISSRRVLDSQQHNRLGGTA VQGGVSDKFESHSTINNGGVVPRAQGLLDDSSKGLGKLDNDLDTYTPETSPCSHRSYS SNKSLYSKDSSFISNTPLNKIDHDITSPISIFSTTSGSPASQRKRRGSAKSNYNFSPP PIPVTNSPLQSGVPKDISSLNTELSSYMNNQSLLNYSLTNNNISNNNVNGSSSVSGFG AQNDVCNNHFSEQHHQLHHNIPHYNNVSNHLQSGGRIPSPYHKKHEEHDPLDSIVPIN TGSDNVLHKPAKRQKIRSKKKNTLADIRSDTPTHTNFNYTSSSQPGMNNSSNIFNCGD IDEIYCTSTIDTEITPFYQPESETAHLGEFDTAHHQDSIHFISSSESHDQNIPICSAF GSPFDSSLIISSFDTESSQNSGTITCDSPTSFYLYSQTNVSSNYDEYMDSPCLNGFLT QGLNSIEMFNSSELEMDNFDIGCPLISI cubi_02828 MNNLQKLDSRYLKILISAILIIGPCILYFISTSKWLFLQQSYLI ITLSYILFVLMTVFMISTFLKNPGVINPCSKLNNPPCSLDLQVNAQIIKVKFCFQCKI IRPPRSVHCNICNHCVDRFDHHCPWVGTCIGAGNYKLFMSFISTLFLLELVMLIGSCK MVNHFTYEAIKVLNLGNSTKIFVHTMNNSAGASVVVGFACFTILFSLSLLIFHCYIGA MNKTTYEEIKKLYNETSNPWYSGISRNIAELFLSPSPKLT cubi_02829 MDISYPEETEGKNPLKLNLANRVSEKLSKTGIWQNINVEWSKNF NSYIVTVAQVLFGSEQYLKLSTFIPLSDLDHIHTSFFQNLEQDSKEILPYINSKLDHQ YVLAIVSNDDELILQSINFDLKKIE cubi_02830 MKEKNLIFRNYKPRSKCFTVFEEPSTKFQKVMSKIQEEKIDCEL NDMIPLERDYNFDIKRDFEYITRELELKNEEAILKLANRK cubi_02831 MSEIHFQCIGSKHLLSPPSLVLTYNGNKCLINVGENVQRYCFEH KIKLSKLRSILLTNISVETIGGLPGLLLTLIGVGVQKLRIVGPEPITQYLLMFGYVIK TQKKQEKSLVTVISINSQEISDHFDGVKSDLEIEIIQIMQSSSSGPLKLDLDCEVSLE CYLNQSLSGIHNYLNSECNDNLSSKKRCTEGSSSCFSTPSILYMFEFPEKRGKFDILK AKKLGIPPGPLYSKLKNGESVQLSDSKIIQPNDVCSPPIKLPWSIIFHTLNLTELEFF RSIISHILGSKGFDISDNTLESNISLNNYHNSLSNFYIFHFQADYDSSLGSYTNILKL DSCFIQNRNYLSKGIIRIVKLNERLNLLKEQEINPFMTSYFLQEFLNHISPHIFPISP AKVDELAWNQQFFISSNQKSVQNTNSLANIQKKRAKFAPISQESENQILFLKQEFEFI FSNYIEINPKTIFPLLYVLGTGSAIPSPYRNVSGCLLRLDDNTSMLLDCGEGSISQLF VLCKYDLDLFFKVIASIKIVFISHTHEDHFLGIFNLIQLKNIVNIKQRTENVSKLTQN SSFHYLKYHKNPEEVKEIYFNDQIRSRDFGNLVIIGPKKIENIYNLFQEKITLDKRKR IGDCEIYFIAIDKRMNRNFEHKSNQNQLLDDFFLKLEFFPVKHIRSSYGLKIMLKLDN KVEHEKDSPLFKIVFSGDTATPCASLEHASKDCDVLIHEATFEDSLLKDAQEKNHSTV SGAIGTAYNSSAKFLLLTHFSQRYFSMPKVEMENEFFKNYFLNKTLSGNEIPRFK cubi_02832 MSSNIDCGSTMDSFEISMKLSDSIYNEEDNQFRMEEDVIPDYVF PQESENNYNEITGSDNLYNQNYYENSNYIDDVNMTYLNSNFNEGNNTKISTKKKRSSL SSNVSEGGGFKLRHELTSEDNIPEEVTLSGDYGITYRYIAEFSIYNNKLSRMMPLDCL PNKFESIYFMNEEGTLDSFVKVGDSEINGGLNEVGTEKPAEDQSCEELTLIGTLIPYE YILNYEKEKTTILKDNFRKLQRVRQKGGGSYKPKKIKEIPKSILKQSELKTFQITCRI HSWTIEFGGNLFDTPYIWVNSMSENGSSKTCYRLCWPASKYAKLFSSAKIKFEVISRL IKTLTSPNSNRIGYKTFLDQVTGKVVEKSAKSIPKQPTEEQDSEQIPEINSDPASETS SPSKKANKDANLVSDQPTTTWGEPVFVVGLSEKEVLLMFPFIEFYLQSYSKKYNLSKT LGHQIITSMKNKLTKMILSEDSTLDENYISSNINSLLQNNELSEKIFSNNKLQSSSGA STTTNVNPKKRRSSTNKSEDFLKFTLVKFRNFTESFGTCQKILKISDFCNSFGDFLEL PIYSSSLLSIQSFQSKSFVLEKSRCISLEILNQIYFEFNKVIGKLKEEKASGLISQQG ICSLIEVIQVFPQSTLFSTIKSLVNAISERVFNTKKNNPDDSNQVGKINSSIKRSYSS IRNDSEHSDSENDSKNNESKLKFLDNFNVSRSVIDSVSWPVVLDKILAKMNESSISDF GDYLDENSFDDYNNGENLLVDETKVEVNGITADQNSPTQLKKQEKNNYCTLDSESFFE ILNWSIDTLGDGKIGKEFFENVYNSQKKKNNIGRNDHFIGVDRFSNKYFLFNNVNTQN TLNKSVLIVPSCMDSLNNNFISHPTPCNNPLCTLSIEGNSPYNLTSTPEIAEIHNFLK LKTLGTNSSSKSIIKSSEPNYKFVSKKKEIESLINSLCEDHFCEFQLKLKLKSTFHGK ELEHEIFNTTKYVQESIGQNKPVFVPFNISLIKMDLEKQFFYFSALNIIKEISMIYLK ITFPMIVCVLNDSQTHFSILDKMFDLSTQVFDQFIKGVDVTYYSSQETFKKITILIKT VCETTMDSLHIFGVQIDKQISQNYSNWIRELEALGGIEPPEDETHIPLGDIISSSFNK RISAIAVYLKIWMQSIVPEDLITISSGGNVGSNSNQDSILSPSNGFIYSKEEFISIIG KNYEKQLESLEKQIPKQMDQLYYFKSGHFLVNLCWMNSILTKNRKANTAMLKLIEQFT EIPDHMANIEKIKVMNICYNVGNLINNQLSDLNSNNIQEESEVTISPFILINCQVNSR QNSSCADEVVELVDKLKESFENIQQLNIECFSRKRTSNRIKNNNLSNSYRDAMIADST FTIAESRETFIKKNLNYDKESQNETRYISLILPLNLGGQNSGLPAGRLSHLQRRSFEI FNFKPTTPFYIIKEDVFKSSIKDITERNTFKISYNLGEKSHNGYIRRIIIEDYDVWDC MIVESDDSKKNKDSVLVCLNLWQIIPN cubi_02833 MGQKSILSYFNKRGSENISGDNIKCDDNSGNNLGVKNKKICMLG TENGLSKGFKKESEEYYPSESKLREYFGDEWFEVLKDELRKPYFVNCMKKVQERRNYV KVYPPSDKMFSCFKATPLNKISVVILGQDPYHQPGQAMGLSFSVPKGVPIPPSLRNIY KEIGKGNPGHGDLTSWAEQGVFLLNSLLSVEEGKPMSHKDFGWDTFTSKVISHINKLE RPVVFMLWGRSAQAKASNVCRKKHLVLESAHPSPLSQKKFIGCDHFNKCNEFLRKTQR PEVDWIRTKK cubi_02834 MAKSKRVKKVLVTKDLKKKRKDKSEIIENVHEYIGKFKFVYVVK LKNQRNAALKQLRVRLEPGKLLIGKNKLLQVAFGADSDSESAKNAHKISSFLHGERGL IFTDLAPSDLNKVLEESCTMEFGREGSFSDITCVIEPNTDLECLYRNAEFYMRKQFPQ LKPTPLGSEEGKVIICKEGSPLNKYQYLLLKHLDIPSVKFEVKPIACLHNEELTCFGN IDME cubi_02835 MSNFSMDINSSLERAYRTVPILKPVVWSGIKELLDVSKNSLGNK QREAGYFGISKRASSGKGIVNDLGITTLERSIQYLSSRLESYDSRRRYLAEAFNFKRA PQRGGCGQDKDNYSELGSGGLPKYLQTSYSNFLSYLIDESTTSIFQIYYSEIFDLFST EWNERKSKILDGQKPNIVSGYCSENSQLSNRPEFGLLLNNRKPQFETIQEHGIGSKRS EDSVNEYYYLLSEIIPNISISDLTSDKYISIQKMCLSSFNSRIQLIQEMGSILAPVEY KEEISSLWRLLTLLVGNSSKNNYTTRQMISNSIRALEVSAVDNVLYNNSNILLGNRYG SGAGSNVLISLKNFINFCKKLFISDTTDQQNMNYHMNFPSEQVHYWFLAYWAFRIGSG QILWDMAFKFSHVPQNFSLVCKCLAAILLSTSSSLLISQTCDDIISGEENWIKQCVQF DFNRDLYSSKEYRELNSMFYSKPSQDADFREQAYYQIIFSIINASSQSLNLMKLLPNT NVEDYIWYQLHIILKTNDDNESAKELNTLYNKLRNQGDQFSPHGGESSSPDLSIHHQE EVSPFLLTHTSRRGEVLGSSTKYSSNRNQTSYLHERSISREVNNKLSQNDRIIKEQTS LNMARLMCYTLHFGEAMRWIYSSHHELKIIQLQWVLYLAIAGLIPLWKRTENDQMGAF YSFSDLIEPEERVLSLYEVLQKSIKNFNIPFLFVAKLARLFPESMRTKILQDYVEFSL LHDIVEDDYTDSASFHTYIEQGKSFVKFDTYDSMNTSDYSEICEEINSIIARLAKNRG HYITSILFFMEANLLEEVLDTILDCLKLPVCYSFTTLNTRQQLFFDKLQTIINKVSSD STYLHQGLTVQTKLDEVKYLNEIVHTFVLIQKGFYRSAKEYIESKKFIPKSESGINSS NVGFLPKIIEGYVVSLFELCRSNDSIGNSIPDDVHNAIFCNFHIINSLVNSLTSMYGI QASSAEKIHKLLKEMLVLISADQLALSKHS cubi_02836 MSKVKRERAIVYVGHIPFGLFEPQLKEYFSQFGKILRIKLSRSK KNGHSKGYAFIEFESMEVAQIAASTMNNYIIFKRSLKCHVLPKESIHPYIFIKSKKKV SPESNKTLSEEEKQAKTKKSVISKKKKLLKITKILEKENINYELPSV cubi_02837 MEERGGNNKRRKANLERSEFGSPSLEVSLKDKESYTLIERFNSR FENYYRLQQICPEKEFQDFMNSLSSPLPTSLRVNVSHSLHSLLEFLLILESEKLLNET KADDNSPIFKEKRLSKNSKSYLLNQYSRKMLKRESRLAKFHDLIVSQDEFGTLSSQEL VSMLPCICLDPKPGHSILDLCSAPGSKSTQILDVILSSRNNQLGLSLQKGLFICNDVS SKRLDTLSSRLARIPSPNVLITCIDASFFPSFKPSTSNNTCFFKFDRILVDSPCSGDG TLRKNLDIWTTWKPEKALSLHKKQISLLSRAFKLLKYGGRLVYSTCSLNPIENEAVIS TLMKQFPEAKLIEPSNLDESELSISRGLKTWGVYCDLKEQNETQLFFTLDSVPETSFK KSLTQSMFPTKEWSESGNFMKCFRILPHKNDTGGFFFAIIEKEGVKYMSQTERGFKSS SCKYENVELDDLELILSFYGLCNQSEEGSNGDFESFPLQIRSHLKNVEFLEKSSLVKR KSYDKTIYLIGKDVSQLICNYNLELDIRSVGIRAFEYLKGRISGEGKCKWRINQISSN YLVRLMKRRLVFVSVSLLDLLDDENRFIEGIKILDLESKGELLGLKELCSETSGEKKL ESGGILVVILPPSVLKYGGSSKVNPSFQEFYQGVPLSGILYKDSGISLFVSKNEIKSI KQLILI cubi_02838 MVLADLGGQLASAIRKFQSSTIVDEAAIDLCLKEIATALLKADV NVKLVAQLRNNIKKSVEQEDVAGGSNRRRQIQSAVVEELVNILTPSRTPYVPQRDKSN VIVFVGLQGSGKTTTCTKFANYYQRRGWKTALVCADTFRAGAFDQLKQNATKVKIPFY GSYTETDPVKIARDGVREFRKEGYDLIIVDTSGRHKQEASLFVEMEQVVMETNPDDVV FVMDSHIGQACYDQAMAFCSAVDVGSVIITKLDGHAKGGGALSAVAATGAPIIFIGTG EHFDEFEPFETKGFVSRLLGLGDISGLMAKINEVVPLERQPDMVNRIVQGIFTLRDMY EQFQNMLNMGSPSALLSMIPGMGPNILAKEDEQAGIERLKKFMVIMDSMTENELDNEK TINASRIERISRGSGTSNEDVQELLSQHKMFSKMVGQIGKLGLGKKGGLDMSSIMKNP TQLIQKMQKAIDPRIFKHMGGPQNMMNMMREMDKFQGSPDFQKMFGGMGL cubi_02839 MMVLLKGASHKKVIFSCFLLVIFLAIISQTLAGDQGSSNTQNSS QNGSSYKGNFLYLSLNKYVWVHDACSWFHALMCITCYGVITYKVYNDRSALGISMQTL CALCFSEFCGALLNVWFSLYTGIMLDWSFQIDIISTFLSVLCLYTVWRKFSNSIEDQY DTFGLNILVRILSVLGSNSVDKDAIRSGERAGKIKPTGIAGSISRSLYWLVLYILAIP LSFIFLMFRTRRRLGLITSLLAYDDAVRALALVPQLYMFHIKSPRKVSEHLALFVVFE FVLKVLALFYWISMPLFRLPHESRNYNIVVQIFNIGILLDFAYHYFRAKFNGEKTLQY ASDLIIPFSL cubi_02840 MNSESEIVHITSRVLKELSSNQSKTSSMPHPKMDGKVTQVIGMI VEECIDNSLRAGVQRMLTRESSEVKAADISNYVKSNYGISNKCINK cubi_02841 MSAFVESEKSEYILSRGRRTGRCPDEYCRSKSPSGQPGKSVEEL CTCGKHVCPSPLQDSVSFQAESSYNTDFKQWELPTFPKPVPEELPKSVPFESDSSYHR DYRKWELPEKVVRPAEQFLESPENRDFMTSYKSNYKQWELPEFKKPEPPPEVVSSPYG KINSVYRENYQQWDLPKKVVNPSEVYNPSKEDRNFETVYRNSYQGVQPPVCPVSLLPP IPHPPPGRHTMFWDSVSKNWY cubi_02842 MSLDPSQLSQLEGLCESFYGGDVNQQKQAHEVLLPLTCNLGCLT QLQALLAQSSNPHALMFAATGLSKLFTSCWAQIPDNQKEETKTFLLNYLYKCGPDMLR SAPYLVGHYVRLLAQLVKFGLLDSLSPRPTITDQVGQFLSASTPHWIIGLIIFTTLTQ EMQTSTGLYFAKYRRAAILFRDTSLPVIFQIAIKTLRQFHLGSVSVSNQHEEYRLLRQ VLQLTLCCLSYDFMGTVPDETSEEQNTVMIPHSWTILREEYIPIIFFDIYAKCCSPNS PMPDCASICLQCLILYSSVRRSFFPTQADRTRSLAALMTGTAGIIQTKMGLEHESCYH ELCRLLGKLNTANQLTELSSSEAFGLWIDQVYNFTIKSLEEWSVLPNSKHYLLGLWSH MVIPLLYQGDRAPQNLEKYIHHITVTFIQSRMKLAEAIARGSDVEDPLESEVARTEQL DVLSQLSRCQYHETAEFIVQLFEQVTDAAKNGSISQDCFISQITWCVYMHGALIGGHS IKLRRPLLPGSSSSSGTSRPDQKNQVSHHVLNGKLARLVFGLSQQTDQLAETPESLEL AYLYFLEQFRKVCLGDYAKQFIQPETEDATLASILGVQSDDDVLSLIISKIGRNLQTK SNMESVVQKTLSLFHELVAGISIVQYTDRTTHLIVSGRLLLKNEQVKKILCNHASPEF AFLNNPRYGRHRTSYYFTLSKLLFLDSKEEEPGTQPITTFEDFMKPLEKVFTLIWDEI ILGNNSSSNGGGYNIVQFKIPLMALARDLRGICMACVGAESYNQLFNWLVNRPKQLGQ SRIHLFTWAADKLWEDSDVMNALLKFMSEFVDNKSQRINFDKASPNGILLFKEVSSLI CTYGSRILSKPDSSFQNIYKEKYKGLATTLAMLCHALSGGYTNFGVFEVYQDQSLENA LQLACRMCLVIPEHDLQSYIKSLKSYYEFLELATRCFMSTFITSLEPQNLATICYSIE SGLCAVDNVVLLACCATLDHFVSFIFTTLEKERIVLPNGMGISRNNDNMNCELGGGLQ GGGSPSLSSQGSTPEGKAVYRFMTEQPNALIRIMQLMFNLITTGDLSTWTFSRSLLGM ILLFSNEFQNIQQQLINQQIDEKKAKYQALIQDLLKGTDGTLSAVSKDAFTRNLHFFA HSIRSNSL cubi_02843 MSMVQSKSNRKRVLLKVIILGDSGVGKTSLMNQYVNSKFSTQYK ATIGADFLTKDIIIDNKLVTLQIWDTAGQERFQSLGVAFYRGADCCALVYDMTNPKSF EGLDAWREEFLIQATPKDPNSFPFVVLGNKLDLDQKSRKVSSQKASAWCKSKNIEYFE TSAKNATNVDAAFEEIGRRALYRETSEDQVYIPEPLTLNNNNQQHQEIGFGGCSC cubi_02844 MSTFCQSKSVAKQVSAKGSEEEAFVEIDKLQSAGINVADINKLK TAGLCTVLSIIQATKKELCNIKGLSEAKVEKIVEAAQKLDQSSSFQSGSEVMSRRQNI LRITTGSEQFDKMLMGGFESMCITEIFGENRCGKTQICHTLCVAAQLPLEMNGGNGKV CFIDTEGTFRPERIVKIAERFGVQGDAALDNIMYARAYTHEHLNQLISAAAGKMIEEK FALLIVDSIIALFRTEFSGRGELAERQQILNKTLSKLNKLADQFNIAIVMTNHVMADP AGGMSFMPNVAKPVGGHVIGHASHVRLSLRKGKGEQRVCKVYGSPHLPESECVIQLSD GGIIDPID cubi_02845 MDQHQLMLQNRIVKTTFAIKSKEAAIQSIDSIKDDEKVYREVSR MFILNTKSSLKSQLQQELDDLKTLLNKMKNLEASWESKQKQANQ cubi_02846 MSGKSGKSIKGPAQKQQAAKKTAGKSPADGGKRKRRKRTESFAL YIYKVLKQVHPETGISKKSMSIMNSFINDVFDRLSAEAVKLVQYNKKRTLSSREVQTS VRLMLPGELSKHAVSEGTKAVTKYTSASA cubi_02847 MDPKRIQKGTEKTLSSIGKIGGEFKVEKKPEFINRRLSLFKTLH EKQIALLQEKASKNEPIKITLQNGDQRDGIKFQTSPMEIARQISKKLAENCIVAKIRY SKSSYIEDDEVRGIEDDEACCQLHEDEDQANGWRLWDATRPLEGDCELEILTFDSIEG SGVFWHSSSHILGQCLENEYGAQVTIGPALNPGFYYDSYMGTHSVSNTEYSDIENCAK TIISEKQPFERLQCNKEEALELFKDNPFKVSLIMSKIPDGSQTTIYRCGSFVDLCTGP HIPHTGIVKAFKVTKNSGCNWLGDTGNDALQRVYGVSFPDKKRLDEYLHMLEEAKKRD HRLLGLNLQLFFFDTNVSPGSCFWLPAGARFYNKLIEFIRSEYRIRDFTEVITPNIFS CDLWKTSGHYFAYKENMFIFDVEEKEWGLKPMNCPGHCIMFKHLNPSYRQLPIRLSDF GVLHRNEFSGALNGLTRVRRFQQDDAHIFCTSEQIQEEVFKALDFLFFIYGQLGFTFD LFLSTMPKEHLGTEEQWREAENALKSALEKTGKKWKLNPGDGAFYGPKIDIMLWDALK RQHQCGTIQLDFQLPIRFNLQYRTDENISEESSNQELSHQNADQNAQNSLKQGYKRPV IIHRAILGSVERMSAVILEHTGGKLPFWLSPRQAIVLSISEKTVEYAKTVEKELNRRG FDVSGDYSASTINKKIRESQLLQWNYMLVIGENEARDKKVTLRCRDVSVPQEVLTLDE VILKFSSMGFPSSIDSNLSG cubi_02848 MPSKKQDRGGCVLVFEKFLDGIGVSGQLRTAYLATSEVVKESMY PMKENCIKRYSGANPTWQNIGSKTSAPNNNPITFTDTKGTETIFSQPSYRSGL cubi_02849 MYLLGSFSTLFINSANKNLPDNLWRFHVLVAAFLSSQTKDEVTA ACMNRLIKNGLSPEFINKQSFESLRDMLYGVGFYNTKAKNLKEISRIIIENYSGKVPD KYEQLVMLPGIGPKMANLILQIGFGIVVGISVDTHMHRIFNRIGWVKTKNPVETSKEM EKMLPRVYWDEINKVFVGYGQTICKPINPKECTIRDYCSHGRKGRKKVTTKELKYEE cubi_02850 MMRWKTISFLLSFLSISLITTAKDGESNYYFFKKATSSSTLVGS TFNGEFDPSNALNMGNSEWRSANGLSTEQQIVYTAYISATAKAIGLKIVWSSSARETQ IQVSPDGINYEIVVPNRRATQNEKEFTEDFMFDHSRDVRAVKIQMKARPNQYVGIRQL VALGSGSPLAMIISGITDERGDNCLQVIGGKVQEEFAQVDLDSCVYGISAGDGRELWK MGPNGQIISAASNPPKCLIVANGDFSGSSPLLIQDCDVAEQAEDGRSFWEFNDNSQLQ VKGTNACVNQINKAGVGVGTTKLELNGTASSTMDALHTVGAAIDSDIATYWASAAFES AGEHKVSINLSANTTYQLKNLRIDWEFPPFTYSIAAKVDGEIKQIARVEGNPSNTTLN EMNGVISDFIEIVMEKPHPRYGKYSNKYLYGIREIELVTNNLRSVVSFCRDAAMSKDA RDKYFLNYVEDFNSKASEMVKSAARDVMSRTRNLGVLNDRVNTLLPSIDECFTDKKEY VSRLEDYISNAKQLKEGLGAVKNEISVGIGREPGDESTLPAEDCFAIASRNPNSISGF YWILPRCAPEPIRVWCDIKFGASYYIWNDGGNPGSYIPVTSVSDIRRYCANVGMEPLV LRNIEQISGIKTALGIMGFKLSEGSGIPLAVDYGCLDGKCTGSYQDLRDGTSDLSSLV LSLASPESTATTLFGNDAVGLGFSENYLSFFNLRNSNIVGIVCSTNVVLSQKQINHVD IDCDTVAYKNPIFEGPINTNIVVQCPPGCGEYKTKVYGSDGVYSEKSSICRAALHVGV ISANTGGMLNVALEGPKNSYEGSIKNEIESEKLSGIPTRSLRISTISKECPIDVISKG SNDFRQLSTATTLNQSVKQGQKLQTTVKANPTIKSDLWEGAMNEPFISKILKEASQYI DESYGVDQSLSMATQEESKIAVLEMRRRLKPAEMLTKSQSPKILDVVDDVDNIANELL KVTGVYKSVTKELLDKLDDVEAIHSSQTGFQSFTLNTQKMPFEQTFSVYDMPRTQRGP SDWGYTTTPIDGRTKLLGQNSPITGSSEHSPRGTFAQIKNRRFFDFTLTAEVYPPISG IFGISFRVRDLSNYYLLEFNQEEERIRLLRLKEGITYILDTREGFKLQKGIFHKIEIT VQRDRIYSKVENIKLQVVDEGLLSGTVGFYSNGVVGGVYFDNISIKAKSCKKFFMSPA PRSPRCSNYKQCYLSTFETTYDVVNGNDNSNWEYVKEYKGRTNAMVVKTSGESRVLLK ETHTCKNGYFSFDFNPPCSNGKVSGIFRYTDKDNYYQVVVSNLSTTLIKKIKGKTTLL KKSMFGFTQDEWNRILVNFEGSTIFVYSTKYNGSTKEIIKVTEKDTQITHGRVGLSVK NCSETAFDKISLEPFRVDSDKDIQTSNKETNSIYKPCTLNVHILQREQHCETMFGANS QKAITCTNNYCTECCDYYTNMITDRTYYNSCKSTCSVNDSSAENSTKEYINQLANCIS GTSKAFDVCTETDQKCKVEVCDLCCNSTFDGLKKDIQGMVVKACQTQCTHRFETDKVM A cubi_02851 MLDLSTSSDESDWDNSWGRPGDFTDLPGLNQDHSYPYIFPTNWY SPEDQEKKEPNYQTEFYSNDISTSFLNSVKTVPGNVVESEKEDVTPDYLDNSEYNNQR ANFFDSHIPNCRSQRLQYNTKLCIEYIEDDISRIMWNLEQQFQECVLTKDSKRQRNHG FSIEEVSEIDSVEQKKYKLRSYISILRYITMMIENCASIEMQWAKDELEMTNMLRNNI SEAFMKLQKVNLSNENSNNNFGKSNDLQKNSNSLKVRKSKRIQAKVLEEEISTVQTGS PKIEPSDFHSISDESSKFCFCKQPVLDNMIRCESGNQCIYGVWFHFLCLKIVNEPQKT WYCPGCTRDEEYRALWFHIFQREALKKSIEANILNNKKEESEANTKPILSNSKNEKKR NSCKKERKRSKSMDGCPKKKQTRGSFFDRDNEVRAYNESFNTNSYEEIKKEAECTEIL QNI cubi_02852 MNLGEREYLVSEDIDNDKIPATTSDDVLNPHIPQFIAKAPWYVS QKESSLEHQRLKTNEERCDLDSGTKRGVLREIHVKYRKGACTNCGSMTHQARDCLERP RKIGAKWSNVDICPDEIIPEVKKTKNLDEKRDRWKGFRPEDYKPIIEQFEAVEELARE KRAKKVEESLSKNKDDPTGKNEELYQEKDELKLGDFDETTFGTSSDKTRTNIRNLRIR EDTAKYLRNLDLNSAFYDPKSRSMREDPLHKSSNIGNTYRGDNAIRNSGDVSKILLME AFAYNKYKQGESIHLQALPTRSEMLYKSSISNKDSENLKKLEEFSKRYEGNSRSSTSK LELNERPTVVGDLSGLNTKPTKKFVSSIYVEDEYISNHTQIWGSFYDLETKKWGFRCC KQTCRFSKCTNV cubi_02853 MFVLGRASKRFSVVKESRPRLVVINNRQVRSFSGNFIRTSRYTA LNFIPKYLFEQFCRPVNFYFLVISLLQIFPSISSTNGIPTLAFPLVFVLFVGAVKDGW EDLNRHHNDKIENEREVIVIKRFPFINYIHGRTLACDLEEANKPKNKSPSLKHVISFK NDTSETSDLNKSWFLDIEGSERSTSRELKVGDIVILRNHETIPADIVLLSTSSEDGDV FIDTSSLDGESNLKRRFSHKESTRMLGNNIKDVIKRARYLEGLIECSPPGKDLHNFDG TAIIRLPPISEGLVTQGNTITQFPINVDNIVLRGCILRSTEWAIGCIVYAGHESKIQM NSLKPSKKMSNVDKFTNRMVLVVLVILFCLCLTGSLLTYKYISDGVFDKLSYLGVSDV NETSYRATGQAIPISLVPVVRFCTWIVLLANIIPIALVVSMKIVKAIQGQFISRDRAM YDPEKKAFVVARNSDLNEDLGQVRYIFSDKTGTLTRNIMEFKSLGVGGVHYGSIDTSS SKDEDPSMDFVIPQVSISDKKIFSDIRSRTEQSLKIGSLLINIAINNSIMIENQGEMY NLLYKSGRIESAFFPENTISITNNTPNLNITSNTNTKLKSRLGSNFRTTLKSFSSQSS KSSSNNGSNFRQKMSSNFPLYSAQFPDEAALCYGAQYLGVSLLCLNPKTKHMILDAFG ELLDVEVLAKIPFNSERKRSSVVVRIKRLNSKQNDKDFGIISELYRERIMVFSKGADS TMLPLLLQSDIENFQKDLDIGNKMANQALRVLCITEKEITEEEFANWNKKYQVAINSV EGRESSLQEAASLIERDLKLQGVTGVEDRLQDEVPETIKCLRDAGIKIWMLTGDKVET AREIAASAGLLIPGYEILELTENTCSNRSEIKKSLLSISKSVGINFELDKSERFLRRV KPSSSNSEKETNFSIIIDGAILAEIFSGSLANSDFTSECKRFEDIISSISSIEKLFVQ ICCKCHTVIFARFAPSQKGNIVRIVRKHMDEVTLAVGDGANDCNMIQTANIGIGIRGL EGNQAFLTADYGITSFKDLKVLLLVHGRLAYRRICKLALYMFYKNLTVSIPVFIYGFF TLWSGTRLYFDYWYQVYNVILSSVPIIVVSVFDFDVTKSESLSKPHLYKYGSENRFLN LKICLIYLLDSAWHIFVIFTIPYILFGVNSTNMFGSNQSIVGATIYYLVILVVNVKVL LMADHIHYLLGFAVAFSLFSWVCTLFICTASFTIGSDVYTLWIPLYNNVLLWITLISG LSISLWPDYFVKVLFSEWPKVDDK cubi_02854 MCEKKGLSLPPKNDELRREEKESLEGEALTLRLNFKDLDGVVEE LSVSSSTEVGFVKLLIAKSKNVQAKNIQILYNGNNMINPMSLCDHPGVSPPSIDVDVY LNSV cubi_02855 MDDLEKAIKTLNSPGSTPSEKLKSLEVCELVVGSSEGWKICLKH FNTGTDDDIRFWSIGSLIKMIGVFPGEESKTGPCKLNMDDKKVIREALVEYLRDGIRM GNTKSYLKNKISELYVTMIYVDYPENWPSAFLDIISLVTAHDWMPDMFIRILCTFDNM IVSNIGMQSAEEISIRRKIKDIMRVNGDLNHIVQAWIFVVSKHKNQTLNKDIIELLSS SMKMMESFIDWIDISYAVNNEVLSIILSFLNPIDSPVVVETLNFLSAILLKGMPPKLK IQLFKDLNISYLVDNQITLNIKNITPDYFQSQILGKWPILENPETIISMEQRSFGDLY KDFCLFLLTFRANFVLKVVNELFFAIKNLSSELESKKTEESDLVAFRTGMEILETLLP SLNIILSIESSEFMQIKQETCKFLTHFSSVLSSTESYISRLSQDLKNNTSFFSNFVSG VLFALLNALKKPDSHYFFQTGGETEIDLPGVVEQGKNSPDFGALFLSLLDLKPEIVCE FMDMIIENITKDIQSMSFNQVDSVSFLIAKFGQASLTEKKKLLKSRNTSLSESKLLIS SHYVRFVGSLLKCSEIMYFNCKCYNATESGIHREIFLRNSLLQIFRNFTYLVGSNCGG SLNIWNDETRKILNEILDILLSNCGFLNPHYSISYQSSKSVVGIAKSFSNYLFDYIPK LVIVLRSHETLSFIFKLAEKGSIKDHCIDSFEIGLGSKSLNTICEAIGFLLFSKLKSR SSLSEQQEVVLQYEEIVKPILELSHKLIEQISENSSRTDTKIDLLKWRSAMELVQMIS SISDTLTEQVSALSHLWRASLNTILLLARSSNSLLISESGGFQISVLSLMHKFIRIIG PEICNYIVPICNSFLVSLIKPDNIRQKEFQGGKYSEACEEISHLVCHLVNLYGNSEDF TNIFGTLIPEIFLYILKLWLLCWPSPASLCNIDERFRQTVLEDIAFSVEAHQARMSIQ LSLLKIINQLSKETITLELLVKLCSTEGEKMNEAKQILNQVILNPVGVTSSFGIKSYV NLVPSAFLFREALARIQNPVLCFLISSLLPSLSTPIHWLEYNGDRHLFSDQDIYIQSV EVITSIYLKVLTFSNLSSSSSISQELEKMGIEVATSFLWFSILNNSHKEEPLLNSQLA LFRLLIKGEAPLVLIERNSNKNNKKQTQIGQKYQQGQDTNSIVVPQNVVMVTRDLVLS GTKAAFTQKNSSLVNNPDAISFTRKSIYLFSGYHYFVLLSFMPGNGLLDETFKLLNEI SQTIDPQFKQILRKFIEDYQSYVRQVL cubi_02856 MDRTTFMDGLRAFHESQGRAFRPQKVSGHTLDPYRMFLMIVARG GYSRVNKNSRWFVFGKPMGIEIPEGKQQEVGFGIKNYYLNWLREYEKALDDSTKLAMA AEFAANNPDLVPNPGLALSYYTQTPSNTNEEAPLTRSAAASLSRTKGGRVSEQIESSS ADKIQEIPDPSQIIDSSDAFYVIPCESNCVHNKKSTSNLTIYDLDEPYVPKYPITDDV WYLMAHFRKPNSNMEDKIQIINNLMAIAANEKLNLSSFPSILNDFSEIMTICTFSIDS LILKKEELQNENLLDIDQLKIIIERRVCLLDIDLIQKHLLASSSSLVSLILNKKENLD FICDISTNSNINKNFPRISTHLSNQVENDQEGVNQGGNPFKMSDYSNFSGNDSLNHSN TLKSCYLKDPEAIKSLEQISNFYSEHFKQKKDLSVIHLKALLTALLTVFRTSSGIIFS YEKKFHCKVLPLVLKSYHYLQEPNLPTEKIILKLNNNSNDFTINSGPQIDSKSDKKKN SCNYDDYFSLNKENEAYSVNEISLNTEISRETKPWKPPDPDLLEQVLDYLNSETMSIW TALSSTINSFNQLIPVVFDEGLDEIMGLVNNFIMEIFRSCRTCCQFSIPSLANCMREV RENSCLLPCLIYNKEGIQFLSDTLEFGCNVILNITSVTAQSKVKCNQKLLNSFFQTIL KLSSTCIGFYSFLKDEENFKSGMFCSNIKSIKSLYEELVLPISLATLTTISENVSFGR IFQSFSSLNYHYLHHHTQFTQSLIENQPNNQTINQEILNMSDNNDSFVKDQILILWGR ETIGPVFELLKSELDDFVNNKKEQIPVSCLMLSQYIIYISSELMSWKNSQDPNLQKLH CKSSREIAIGILSPFIDVLIELAWIPSTFRNLFWSIISELSNFGVSNPSVTLGITKFT VQSHSGYSGSNLQID cubi_02857 MEEGFPARRIAMQKITERLLQEFDESDPENIPYFIVDFMCKNYG EHLSGFSRIWNAEYEFEQERFAVIDFFRSQFINSKIIGDFIAAGFDTLEALCTITPKD IDEVEKFSEKNWLPGHKIRLQQIFSDISTRVQQWRDEREQILNKSCQHLGSNRLVVGL SKRKSKY cubi_02858 MRKQNIKIPAYKTGDLKMNLTSLSGIAKICLASVLFFSIQINSQ YVRVPVETCPGNFALVNGVCVHQIETQKIPVCPEGFVSKGSECYLAEPLLKECPTGFQ MQDKQCVKEVIVERENYCLDGMEMDYDKGKCYYREEVKAVCPQGSIHFRDSCAIVREP MKTCQEPLKFDFSTNMCIERKATLPTAACPESFVFETSLKMCIQDHIEPKICPEGFKD IDENNCATWIQPEYICPKGSQLENVKHQSLCRYVKYSNPILECPSGFTLEGQVCVSTS GISTRVCPIGFVEVEDQCVNYQEPLFHCPEGYEKTIHNGNKVCLNTLSVEPEISCPSI YSYFDQSSNLCISETTTEKECPANSFRSKDSCLQKVKGEWECPPGTKYNSESHFCQEI ITIEPNIICPPNSKFDQTTSSCIGYERNLHVCPPGYDEVGENECASFVSPKRSCKNSQ NLVNAQCEEINFSPAEVICPSGSELSNSVCVLTSEDMSKRKCPLNSLDSGDYCSVIES PEKHCIEGYKFNPETQKCTKITTRSPDLVCEEPNTLNFLGECVYTETIPKVCPNGSRE DSLNENFCIMETKPKSNCPEGYSLINNKCKKEKRAEVELNCPVGYEINSLDMSCHKTI QRSQICPPGFLDNGDECFKTLKPSSICPEGFGREGKFGDCVQINISESKSQCPEGSVE LSSGMCGTRKPLPLEYKCLKGTKVGDSCLVESFMDASYECPKGYYLSEIKQCQKLVEY DCSEVSIVSIPCESGLNTINTSSLGFYGQNRSGICSQIIRNQKTCSRTEAFPPKISCP LGSINIGKECLKKEHLPMTRICSDHEKTLETCFKEFMIPKVNICSPGSYLTEDNKCAS IYRKAPELICEEGYNMEEGNCIQMTSKVCPPEGCTSRNIVDSLRECPPGFLFEDGICI FRHEVYPEKICERGELSSTNQQVCIERIVKICSHNKCEVIHKQSPELKCRENESLNSK TKLCEKVNIGPQILKCVKPFKLIGDQCVHQVSKECSGGNCSVTISIPPRITCKQGTLI SSNQCEIIKRTPSLLTCPKDFTLQDDLCAKYVYKECLNNQCEKKVHYPPIIECPSQYS LFPGGNCSKKVQHLPQIKCHLGSSLKNSYCIREVEAICPVEGCLIRKTLPPILTCPSG FEKNSQNLSHGGPVCMKKLFNNGEISCPKGSIMFNGSCLTYSVKECYKDKCEELKTIS PTKVCPSGTELMNENVCKESEIVPKDMICPEEYILNGDKCVLYKEKICKHKDCKTQKV IEPEVTCPNGFRLDKAICIWEKYHSTLSSCPKDSMMINGQCFSMLKKECPNDTCENKI YFDSFSRCPKGFVEAGKKCIMVEYSGHKRICPPGLILKKNHCLRFAQAKFKCPIGFME EGEKCIKQVKTEPIVTYDSQCVGNQCNLSSSVNIAQQKQTHHHTHSHHHKQKKHL cubi_02859 MILIGFLVCIFSGILFSVFSLFFLLCLVIRRINKKRLNNYETTR NIAVLVVGDIGRSPRMQNHALCISKRFCSKPDFSGKSNKLLKRSSSKDSFELDDKINN NHVYLVGYNDTTCSSAITGDKNITLLGIGKTFVDQHRKILPLWAFLSIKVVEQSLRIF ITIMQIPNLSGILLQVPPSIPSIPIALFVSFIRGAPLIIDWHNYGHTLLIKDEKRVIS SSILKRIYQHIFVTSYKILEFTLGRLSDSAFCVSKAMQEDLSKRGIQATVVYDRPNAD FKPLDSVSKRHSILLKYFGSLEDDICLEDYNLEKGYTQETPLIRKTESEIKQRKKSKE NKKFTQKNQKDNTKTENVTIYDGSSFTDVQDIVSGALNRSVLDIYQISESIKLSKERI KSSTRGSLVSECLIEEEFFLKMEPKVLDEISSNFKNQNLSDLLSRDDLFFDNHIIETS PVTELGISRVQSGEISLKVQLKKNRPVILVTSTSWTPDEDLNLLLEGLLEYDVLASKQ INNNNLSEQLPDIFLIITGKGPDKKLWLEEASKSRMKHVKIRTVFVEADDYPKLLASS DLGVSMHYSSSGLDLPMKVVDMLGAGIPIIYFSYPTMNELLKNEKLELFFSSSQELCS RLTTLLKGFNSSPERKVFPSPNLNRILKSNNLIKETFYQEWNNSAVYHFDEMVI cubi_02860 MSNSSNQHKKRSEYLRLGELAFQKTEKINGEVFSLMYGSLVAQF VKDLDNAELINSKLEKIGYNIGIRLVDEFLAKSGISKCDSFRDTAEVIACIGFKMFLG ITAETKDWNSEETSCILVFNENPLADFVELPPCYSSSLNYSNIVCGVIRGALEQLQMQ VVCYFLKDILRGDTTNEIYIELKERLQEEFFDDDDEEEEQENEYKE cubi_02861 MVVTVSLRNSSDSGKPLELESLKENLSKGGQDHILTLLESGDSE NVKRLVSQLMTLEGSCVGGGLLGYINRAKKLLKDSKDGVNPREGCYPEVPEVVNLEIG SDDFKRYEEHGFSVLKNVAFIMVAGGLGERLAFEGIKISIELSMASKITFFQLYTSYI KEYQRRLKEVFGEDISIPLIIMTSDDTDTMTRKFLEENDHFGLRGDQVYIVKQLKVPA LIDSEAKIALDPEDKYSILTKPHGHGDIHTLLHGSGLLKDLSKKGVKYLVFIQDTNAL VFNSVLPVLGVTAIGSFAMNSLTIPRIPCEAVGALCKLRYPDGKKITINTEYNQLTPL LKSCGLGSDFADEKTGYSPFPGNSNVLFISMDYYLKTLEKTGGVVPEFVNPKYLDSTK TAFKSPTRLECMMQDIPLLFESEYKVGCVQMQRWATFSACKNSLAEGRAKYSAGVSID TASVTEGDFYAAASQYLRLASKEKGVVCRIEESEKDPVTGKEDKHSLIKHEMIAGIPV RVGAMVVLHPSFAFKYSDLLQRILEGPINITSKSYVHIEGDVVINGKFFVDGSVRIVA KNGSRIVIKSLVVNNSGTIRYQISEQEMAHATNNQKIRGYGIRHEQIREIVVDNGEEV IIDDGN cubi_02862 MVLISWECVCDRTYPGQSLYIVGNHPRLGVWRVTNEQEEENKPI RLITDKLSYPVWRTNKPIRIRGACLLEYKFVIGKESGPCEQLEWEPIEGNRYLQVDEN FPEYRYVIQCSWGNISKSTMRFEENLDNNDGTDDHSCRVKSGARQSPTGNAKMYSEED DESGDGGTHESSVTPPLILSRTGSRLKLLLDRSRLILSTKGDINQYYTLENTIGRGSW GEVKIAVQKGTGIRRAAKKIPKYFVEDVDRFKQEIEIMKSLDHPNIIRLYETFEDNAD IYLVMELCTGGELFERVVHKRVFRESDAARIMKDVLSAVAYCHKLNVAHRDLKPENFL FLTDSPDSPLKLIDFGLAARFKPGKMMRTKVGTPYYVSPQVLEGLYGPECDEWSAGVM MYVLLCGYPPFSAPTDSEVMLKIREGTFTFPEKDWLNVSPQAESLIRRLLTKSPKQRI TSLQALEHEWFEKQLSSSPRNLLLDNVISNFRRFQGLSRLKKIALTLIAQNIDERDIL DLHDTFMELDTSGDGTLSRFEIIEGINRIGCSPSIGIEALLDEIDPEGTGTISYTNFI AACIQERQLSHESACKAAFRVFDIDEDGQISNKEFLKVMSLSSKVKKSDDELAQELSE FMKSGDLDKDGTINFDEFCHVMRRVPSKFLLGEASDDTINMMKRCSSRTNINL cubi_02863 MESIESEESKLIGGEQERPRLIIHKIVLENFKSYGGNKVIGPFH KSFTAIVGPNGSGKSNVIDAMLFVFGKRAKHMRLNKVSELIHNSKHYPNNDKASVAVH FKEIIDIPGDEHAYKVVPNSEIVIKREVQKNSEQTKYYINEKLSSYQEVTKLLSRKGT DLEHNRFLILQGEVELIAQMKPKSTNGNEDGLLEYIEDIIGSSKFIPDIEKHSIELEQ FNELRQEKLNRLKIAEKELNALKAPYNMAIEFFTLEREIYIAKLLLHMEEQRDAIKQI NALKDEQNKQLDLKRELAHQKKALEDKRVELEIESKETNSRINELKVKFEKEESELKN VILKDEELRATLKNSKKRLTKLEESTEVERKLIPELEQRIVDLEDEVRKKQKQVPKIA KDLDSAQEKLELLQKNVKDGIEEARKKKDKAEQELSPLQKQLLGLQKSHDMLNVELDI LKQRLIQRQESEENNRKEKENAVKKIQTLNKQKNDVSKSLKNSETLLNEKSKKLGRLQ KELSESSSLLSVKKIQLDEARSFLASNNHLETRVVSESKQKSSKLSLSETVMKYFSES KKSGIYGRLGDLGKVDEEFQLALTSSVSHIENIVVQTTEDAQEVVNYVRKYNLGRISC IILEKISASIRQSMESSFKAPEGSKRFFDLVKFKDPKFRIAWYFAMRDTLIVDSLDIA TKISYSGKQRWRVVTINGELIDSSGTMTGGGPNVTAVKQTGKKEGKVPEGFTAEQVKK LESDFEAYQNRCNQMKSECKDLEDQIQSLKDEINEYSIALEKVKIELVLIEETEKSRS EDHTDTEQESPTNNQAETSAEISRLETEIGELKKQISTLEASLKQKQIVVDRYTKEMN EVGGPEMKKQSEFVQELTKSVEAMEKEISKSQVEISLSEKKKLKAIESIEQFESKAKA LRETIGKTESELLSLEEVALKIMESKKLAEEELKSFLSEHNSFQTRFEELEKEIEKLE LHDVELSNKLNVISSKISELENQGIKQLQKKLQKIRSDASFIPSIPELETEKDEQGPV GQDPELESLKSKFLAQDVQDTEYEKILGDMTSTKLKPRIESLRAQIQTICEQYSNGGP AKNFRPSSDIFSQYSTQLQQFNRRSQEVEEATNARDESRRHLDTVRQARHSEFILGFK IIASQLKEIYQMITLGGDAELELIDSVDPFSDGIIFSVRPPKKSWRPIHNLSGGEKTL SSLALVFALHQFRPSPLYFMDEVDAALDFRNVSIIATFIKEKTKNAQFIVVSLRNHMF ETADRLVGIYKTFDITKSVSILPGNYSFDVKQRNTVYKEKGEGAGDENVLENA cubi_02864 MKIGSIYITLIILIALSPIDTNALYFILNPGYTECIHFRTVTGD HFIGSFEIESEYEGVRVSLYESDGNSKIMDKVNNSGDFSINAQKNAEYQLCFKNLMNS VQQTVNFSIKNLSYNSNINNVMSNIESKRLIESMEKLYERISIASDKQKYALTRKRFQ MEAIESSRKKAALWSILELLVSFGLVLIQIYYIKSYFQVKYLV cubi_02865 MVNIPKLRNTFCKGSDCRKHTPHKVSQYKRGKESPRAQGRRRYD QKQKGYGGQTKPKLRKTAKTTKKIVLRLECTKCKQRRFLAIKRCKHFQLGGDKKRKGG PVY cubi_02866 MAKKRPNQSKGASGKGKQKSPSNGKTNVEQRHKHEEKIEEPQVI EETPVVIDFSGLSATQKKNLKRRLKKKNVDSNSQEGQGVTKQRKPLTKKEIEAMLPND PMSKTRSEFLSKANSRLRGMLDLSVLPIEEVLSKKGEQERELNKLLAEISETLSKESS NLKRPPKQLSVNTICEQLRDFELVSKDDEGYDEEFHQHLKELLVVASLYENYRNFQNQ CNELRTRIERKLSINRELSQAAMDSNKQKRLVNKVKSLKQDSSIDGDSIETKVFELPN NKGNLLDVLFGSSSKMAKNLEKKFGALVEKSTGNSVQITGLLKDIEKCWESIRQLDFS SQETVSLDPRVIKKLYSMTGNINLKSLQDELSVIINRMENSLIILGSPESTKTVVELI NKNSDISNNTVSIPVSLIVAKALQFNFLPTVRSIEKETDTSVRIQFGGKSREVTSLAD ISDSQPDSKILIFGKPDKCEVAKNKLSTLIKSLEVESIQADNKAVRRLFSPPGKDSSE DTNTRMSRSILDEFSKLRDSNKMGIVRIGSSVMLVGPASEIKKAKPILLNLLERAQYT PFTKTIHKDQLRVLNHSRREKIEKEASVEISTQKRQEGRTVVIEVLGSEQAKAKAESL IDEILAKEAHLEVLELDYCTYDKLYGNQRSHLRKLTSSFPNVQVIQAEKKKSSISLLG SKEEVLKLKEKFVEFNKQTLENSSNETKEIIEIPSGKMGLIIGTKGSVLNEIRSKANC ESINVPKNSSHVVLQGTEEQCNIARNLISEILSNSLSNSNTTTCSEQSESHPNKVSTP ESLKFDEKCFPTLGGTISTF cubi_02867 MSFSEKSKYNSYSNCSNPVKEGKKSLSNTFCTIASKATSLTKNI LSTFRLCSPYKTDESLKRPKILILGTGWGFMKLSKGLDVFSNDVKVISPNKHFCFTPL LTQIVSNRLPKEVCEIPLSELTFRGNKEMIKHIKGLALDIDKEKKQVIYFDSDQNKQE RISYDYLIINVGNENSNIIPGLKENALYLKNVEDSIKMRDAVVGCIKEVNANWDKMSD DEKHKKLTFIVAGGGPTGVEVSGAFAELTKDFFSKNEYKRLAPFLNIKIVEMANKLLP IASDKVSEYAKYILSSKAGIEVMLETKLKSVSKDSVTIEKKGGVEEVIPYGVFVWASG ASPNSFTKQICEKVEEQTFFKKAILVNERLQVHGIPNAYALGDCALVRPSKLADRSKE IYQSALKSSFGPTVNYLKNNFSAEAFPQMFNLSKAENLPKNDEILSEENLKTLLEKLD SMYRSPPPTAQGASQQGEYLVNLFNNYPSENEKQKCPAFIYNWKGATCYIYDDNIVFY TPFGSMLGGYHTQFIWRLAYTTLNPSSNSRILLSRNWFNPFKKFIGGYANDEIYKMSF SN cubi_02868 MDLKSDDKGVVVNPFLGEKSSILQETRCFSEALLNSKKCCTVLT KVLNMINSGEKLTDQEWSDLFFGITRLFQSNNQDLRRLVYLAIKSLKVNESEAFVVIS SLIKDMNSNNDCYRANSLRVISKMADGTMIGQIERYLKSAIVDKNSFVASSALMCGYN LALRGHGDIPRRWLNEISECIQGRDGMVQYHALVLLFELRNNDRLATQKIIEMLYKLP IKSFYSDCIMLRQMKNLFFLLSNQDSSWENVSWILDCFHTMLKSRSEIVSLEASRGIC DILKYLHEKKDQKALGFIDISQISNALQMLLNSNISVAKFAAVRILNDLANVNPNIVF KCQHELEPLLNDSNRIMATLVLTILLKIAQESNLDKLVKQIPAFITDISDGGKKDIIK ATKNLILKYPAKHKNILSFLATNLREEGSLDFKSFVIDTLFDISKEIPDILESILYHA CETIEDCEYPVITIRILGFLGKNVPKTNAPSRFIRYIYNRLILESSAVRAASIDALVQ IALVCGELKEDIRTLLQVCSQDNDDEVRDRTNTYTMIIDDNSTSDSLDDQDDNTFDLN NSKLFGEQLISMETDKILSLSRILGKIVSNDPETLLDLESIPIDPVESKTKGSQQTSI TSSVSASMEALNTSGVQGNLNRTENSSEKASPGVNISLIYERLSDCISTEDLGSYLFT STSAPLTESESEYLVNLRKHFFNEGKFVVLEFLVANTLNDVLLKDVNVEFEAALDGFS ILASVPIPRLDPGQAESIFVLTQNSTQDHFPNVSNVVPCKLDYLYCEDGDEDCGYNDI FTIDPVTLSHSDCIVPNYLRQGEFKNIWSILETNGIEHIAKFSFSYKNISSAVNGLLA LVNAAACDNTENVNPEASNHTLLFSGTYFAKTPFLCTAIIVNNVELGCLVKITCRSNS ESVCLDVIKCFEAA cubi_02869 MVEERLDFIEEFTKTREDLQKRSFSNVDWDVDYLLMNETLLPCR MVLTQKECAFMSMDNQSKENNEISAGEVLQLPIWLAKELHSRGFVGINYPDFLSSKMS NALKTDPCSVDLNNISPYFFQVSVILLSILQDELFLKVILESFRSRLEKLFLVSIYLH FPNSSESSKMNSDHTKVFLKSLTSIEKDMLEESSIRYFQSANALYCRRIK cubi_02870 MGKDQVKLDVASFGRRIKFLYSIWLEENATYDNRNVFKNLREVD LLYVLCGKGSSREDGVIYKSMTLHYWLFGFEFSDTLILFFRKKKLLIVTSQKKVSILQ QLVEGSSNNFPDIELILIERKGDLKESFESYKELISSISEATNMIGRIEAIGLQDGQF ASQCEELFSGDNLFSNKESLMVTTSVDYLLCHKDEVELELCKKAAVLSVQMLKGVLLQ RIETILDKELKESHKSIGRRAEAALDDKTINNTWKTKYGLDPEDIDLVYSLVQSGSNF DLKAVENSDENLCLTSGCIILSVGSKYREYCANITRTYFLNLTEEQKKLYNYCLELME TLTSRIKEGTSFIDLYSGIYQKIVEEKGTELAQKFVKIMGHCIGIEFRDPSLIISPRS SPDVLVKKGMTLNLSIGFNNLDSNGKKYAIWICDTVFLSEEGKVEVLTQGCSKKLEHV SYELDDEEPEEKKPVVKKEKTPKVKKEKPAKKQVDESEDEFDDDETEEDSEDDIKDDR HQKSKIKDSEVKRERRSKEDLIIEDRLRRTTRRVNNSEHSEELKEIENRQRELRKRKL LELQKRFGGKKEEKSDQKGDISDSEEDFFNSKISSYKSVKEYPKERSSNRIYVDTAKE TILVPIYGLLVPFHVRLLKNVVCTQEEGRKSFVLRINFLLPTGISLEQLPSTLKTPVF IKELMIRSEDGKTLNTVFRSIKELIKRFKQKGTLEEEMAEQDMIKNQQPIDFNRSKQR VVLKDVGIRPTIGQGRRQHGILEAHNNGFRFSSSKGETIDILYTSIKHAIFQPVENDL IVILHLHLKHSIWLGKKKTQDIQFYSEVGNQIDDLEQRRGRNVYDPDEIMEEQRERET KKRYNLEYKKFIQGIEELSKNSFEAEIPYRDLGFYGVPGRAGVSNVQLFPTASCLVHL LEFPPFVLSLDEIEVVSFERVEQGLRNFDMIFVPKDYTKPVKRVDSIPIEYFDLIKRW LNEMEIVYYEGRQNLNWNAVLKTILSDIEDFVQNGGFNGFLGEDSDEGEDGSDEEDDD EDEEYSESDEDEDDDDDDDDDDEDLSDLEEESSDDSFKELSSDEEEGLSWDELEKQAI KEDRKRGREHEEDRRGGEARYSRKRR cubi_02871 MQQMPLNAQPWGAIPSPMAGGMPARQNGPVFPGAAPRMGVYSTP HSPQRSSHIPPNIQSQMFPRQVPIPRPGAMGNPPGLGTQLTPAQELMMSTFTAQNQSI NGVSVPGPPITQNLNVSPSPMPSPEREKYNTGIGTKLLNSFIPWMGTCGAQPSGGQNS GVKSPNSGGIGSILCCAPENTGITTPEFPSSHDSNFSFSNNVGDQFKGGVNSSKSDLE KENKELRESLFQLGEAVHDFVSKKDKPNNESQLIATLSKQLSTIHQKIGDIKYSVFPT NNKIGIETKNDLVLNIVQIVNVVFGKIEVIASILSNDHSALAFVNEIQKSLFEIGTHL EKLDELYKSKGSELESAKHVSIMMEEDNKHLSERLKDSNEQIRMLRERIIILSTNIED KSSPQAQTSSPLYKKLESEKFELERKIESIEKQLAETKKALEISENKYNHMVKQESIN AKWPNYDLIKGRSHEDLVQLLKKIDPESKALQSIAENMVKKDQNIFTPQPNLRERVLN VQVQEKDIDFLKSDSNLGEIHKDTIRMQLEQFQKLHLINENVNKNTEKIPIERKR cubi_02872 MYALKSLLFQLFFFFFLEVCTYKFTNGVPIVSEAKVDAETPLLT SSDDCSKNPCACCSDKLLSMAEKYSKSNGGENSECSSFLKNLFCTLACNEESSDIGTL SNEIMVLSVCELECPEILKKCPTSSSKIENKNIVSTTEDEEEKEDDNEEPENNTENIN RKQIEKKEEVEIPISSNRTVISNHFSELKNMEKIIIGSSGAPGLLNFDDEKSECSIIS VPSMQTTKSSKYSLAFIQANNSTKICLNSTGGFKSMTKSVPILKSESSSSASTASSQS SQTTKVVPVTSSSSGNAPLTEEETKCGFCFTRCCCWPVWSGSIISFVAYLVMAFLAIY WQSALFSLGLKSNHFWKGGGYWLVGSGGLGVFVGMIVGGLVSSCFAGALSLGGSLSNL FMSVLLVGRLGAALGGIGGLGTGASIGLMAHSGTISWVIGLILGLLLGLVVGFSPIAR SIKMNDRYIRRGIRQRELRRRSRLSEASHHSEGFSDASGTDRAKRSSVQRHTSVESRD NATNISDNHSQSSSSAANNVN cubi_02873 MHEEWIIRVFSSSGRSRVTIPSTCNLSVLKSKIAQSLKVPEEQQ LLSLDSYGNKLLKGDSLSMHQHGLSNGSIVYLITDATPQISAQNIQRPKHMTTSDLPP LEKKPLDSNNSSSSSSLDSKNTNNALEKSERPHFKSFDSFLSERSFVTDDLPLKQSYK SFFISKGVMNKIPPSVTLKHQPYRHVDHLEMMNLSEAMQFVDYWRSTLGMLKQRIGWM YGYYREDSTYPMGIRAVMEAIYEPPQDDNTEPGKLILENDHFKSSVDKIAQSLGLECL GLVFTHNERDEVLTSNEIVTLGKLQLEALKTKHYTGYPVSSFISCTIAPCKSVKGGDP IPNAFAVSDLGLAFLRDKLIDEKGLEDNTNIVIREEEKGEILPQILEKGVSTRKFDAH WLIVRINESAPIQPKPFFSSSQFPKENRVIAQKPSDVSEFIKSRLTSVPMTSYNLLND FHLLLYLAKLFDEATAISICESILNKTPVDQHLLDILMSLN cubi_02874 MPRELVTLQIGQCGNQIGMEFWKQLCTEHGLDVNGTVIKDNGPL EDRKDVFFYLSDDSHYVPRALLFDLEPRVLNSIKSSEFKHLYNPENFFIGKEGGGAGN NWGCGYDTGEEVYDEILDMIDREVEGCESMEGFVLCHSIAGGTGSGMGSYILELLNEH YPRKLIKTFSVFPMLNNESSDVVVQPYNSVLTLKRLALNADCVVVLDNTALSGIAEDR LNLTNPTFAQTNALVSTVMAASTTTLRYPGYMHNNLVSLVSTLVPTPRCHFLVTSYTP ISISQNIQQNVRKTTTLDVMRRLLQPSNIMVSTNMRKGTYISILNIIRGETDPMEVHK SLQRIRDRNMVRFIQWGPAAIQVALSKYNPYSSQHKVNGLMMANNTAIAGLFQRCVAQ FDRLMKRGAFLDNYKKQSVFQDGLDEFENAREVTEMLIEEYKRAERNDYMLAGYNPES ASKNLLLEGSEKREPSLNEYSDDLSNYYTKENNPNYNIYG cubi_02875 MNASNKGSSRTRNPVRTRHSLLTSTSTKWQALSQDAQGLFYSKF NGDIRNKDTKWSRGLFYIGCNEVLRNIDKNIVGVIFTQKLFSLGIGNHILIACKIRNV PCIILANNGEMVKWHPGSSLSMSCVGLRGKIEPERLNTLGLKGTEYTYEVTRSCLAKL INSSQSKFDIPYLDINSKDSPQVQIQLPSIHTLVVDPKIEYPKCMRRSEKRRLRKERR SARKIVEASAN cubi_02876 MMKEKYKTFFSFNVDILKDERTKGNNSSLKNDELSFEEIGYLRY QLKYHEFNNLQGVDKENVSKVCQKRTFCNLTNNGDWVKKDIDSRRISILESINNENSE LNKVCEVIESLNLNSSQKEQIGISPASSQSSNYTVFKLGSCGNFDKSEEGATFHSEGN YYLVSMFSACKYNSEQDTNKYEKSLFSMGLVSTMNPYHVRSRSFRESIMLNYINERYN NYSKLQTFAIPYMLYQINLASAKNKNDIPSLNQSSFKTGYSFTLEINQGYKRTFMLKK LIGSGANASVFSSDVCTIVNEKLVSVFSCAVKIQHKDLGLNIREIYCGLSLYKRNKKR EPDINWKASLEIEKPLISNEYQTNSFNEAIKKGSPLVQKTARSSISTTYLPGSNIPSS RNSLNSFVAPMEILNENHSSENMCRNSLNNLIQASGVGFYVNSTNTPLNNLKRNSVSS VKTASRVSISSNYTCNYMDSSSDRNSLIEPIEIGVGVTVFCITELFIVSQSSSGMIQN ILTREMMKGNREQEKKQKNRELNDHNTSVGISILPTFFGSQSLQNILNEHYLKNGATV EEPMLLFLTYQFAETLLALNEMKILHGDIKPDNILLYPNPSFDGECWSNGSNLLTHHP LIPENHELPIFMSIIDFGRSLDIGEVYKNTFFEGNCHAKGFLPPVMLENLPWIHHIDI FGIASTIHCLITGRYMELTKWSDETLKCLEYEHTINKDGDYILKVYNYRIKNQSSCLK RNWKMEFWSSFMANCINFCPILHSRSLPNTNKFQNPLFYNNEVEPTPEQTKSLHVSQS IDEISQNIRFFLKKVQADIVSIFQEDQQLKTTLYKQLLKTRKQLQNISASN cubi_02877 MLGAQQQKRIESIRQELDYCLHKMNDVDSVGRGLEDLKILVARM ELADIPGVLSSGLLDSEKMRPMGRRFSVKVVDYIVERFGTERTLPYINSIMAFLIQGC KDMEVHVQNSAVSTIGLIASSFLTEEIFQFNQDVVDYFFDPLIHFSKKESNPTIQISS IAAISSAIDGMSTNLVIMNLNKLMGSLVPIMMNPNTSEACRAVVIDTLRKLVVLVWTF LQETSFGSSPNMYDLKQKQSVDPELNINGEGSELKQVEGISDHLILPITWKQICGIFN GILKELHPNYTTNSKNINVRSASLSLLTEVMVCCFVAQAGALLAAHNSNIPLQKPIDG IKTYAEVIINVVNLGAKDKSPKVREVAQYCIKRLQEANEAYIPNGDEMMGFTPNIKII EKEQLNNQNVSKVSKFRNRIMNEDFFKNATDEILVKHVSPPSNWRQIQNSIMEGQGQQ IKLDTTTKSSEEDRVDREEQYCASVSSIAETQSVHSNNNGNLDTNESIGQVNTNIQKC PISHITETLNQNLVLPSSNYSSTVSSNINTPLPSPLYIVAQPPVIQGHMMNYSGINQE SNEKQLILEKKVEELSKELKRLIIRQNELENVAHVLKMVAIQRVDSLEEKIKGLSDSF KETTKETKSEIDKIQSEKMDLEEDLKYLSSQERKEMIGEFRRQLYNKAKKIVVENK cubi_02878 MTECDCVMKGFLNGSSLWKSVVASTVFNAFMIFFGRNFFGFICS MFSLMILAGGICVKVAPEKLEAIKKKDLVTSEMLEKHCSSIAECMNKKIEFAIDTISW ELPFVSFVLFLALAFSSIFLRNLGFFVFTTVAVNIFLLKNYINSFYTSIVGPKVSPHI DNISKKFNKSFEKIPKMSSLKSD cubi_02879 MNTRVGAILTSLKKEILESNKNILFITGAGLSLDSGIPLFRSES NGGDGSAIWNSELEAWATIESFKKDPVKWYSTFYSNFKFWNSFLTAEPNEGHKVLSKL CSDHPNRIRVITQNIDGLMQKSNCPKESIIEVHGRIHYLRCTNPNCEYSCSKYSYIDW KEILDQENKISKNFYLKWSCPSCSSPSLPLFLLFDESYTSHAFYQWRKAQTWMNEADM MIFIGTSFSVFCTDCCLHYAYNNQIPIYNVNIRPSPIYFELNNRYLGDSELVEYPNNL VNNIIKTSTDFLVALSSRENN cubi_02880 MADSGTTRQNNKSPSDFLRQVIGSPVIVRLNSGVDYKGLLACLD DRMNIAMEDTEEYVNGVFVENHGDTFIRGNNVLYISSPPK cubi_02881 MTEISGSIWNANNWHWEEKDYSKWAKEEINTIMESIKYSSESTT PKFELSFSNSSIKGEASISVRKKQPILAYEFSISGTWLVNEMETKEKILLGQITIPEF SVDNYEDFPVTITCKEVLNKNYNSDLILSEIKKKVVVQLRKKLMEFHNKLLNRENDQR KIESEKAKREEEIKTAEIARIEKEEEKRKIYVQQIEKEALIKQRESEASTKTSTDPQA QGSIWNTNSWHWEEKPETNWVIDKLTKMIEELSLKKLDSRNSNLIPISFSEVKVTGEA SSSVRKGKKICVLDCNVNGRFNATIQEGTFSSNKELNLSGSFSLSEINMMDTHDYGRK INFDSAELGLKTANISEFIDLKEKLEKQILGYLDNVIQEFCLEFLNK cubi_02882 MSSNDFGDLVLLIGDLKIPYGAKELPSNFRELLATDKINYVLCT GNVCSQEYVEMLKNITKNVYIVSGDLDSAIFNPDPESNGVFPEYVVVQIGEFKIGLIH GNQVLPWDDPASLEQWQRRLDCDILVTGHTHKLRVFEKNGKLFLNPGTATGAFSALTP DATPSFMLMALQGNKVVLYVYDIRDGKTNVAMSEFSK cubi_02883 MSKMKFLTDIFLFWILSLIYFICSSLVFRTEALSNSRGNIPHLE LFKGNKQGLLRQHRMVGEFLECTYVEHIDPTSQEENGETYYPGTGTDRSKSRVVSLES IDISGKIIKTLECQKNLIRNWIEDINKTLKGNLFSVIEVRGWRAMTRPQSLYGEADEI LTILENKNSSPLNKVKEAVDAFDKYVMELYEAYSEFKNSEDRLKDAQTEEERRTRAQV AVLILGQKENKALVNFTRIVRNNSDDARESKRLMERLFSLLSSESYSNNILQGIELVQ ICFFLLNGLNVLSVFEEQRLSNKFRRDMERRNLTYQYKIEDKTLSKYPKFSNSPNFKK VTDFLLSTVKKSITTENEKKIKDEFIQIFDKIVEDFGIRCPYFLTSILRSGRDNYFLK GEEMFDYDIVKNCRLAWSEISSYLYKEGQIVPSAAIRQALKKALNKHVKTVYIWTRNA SGLFKKIFDQSSFENSFDISNEKRFISTCSETINGEIGLDTPHAVSLDEIAIICFNFF NLLEFYTDQYNYGNQEKLGKDGKTILATKRYVNISTLPSKIKAKSLDVAFSETLTGIL DFPPFKSNDLDRTVIGILTENFTETCTQRIETVLGKSSKYRISNPQIICEEARIILKD YNIISQKPEKVEGTMDIFEQFNILDTSLITETTKSESENLDAVGHLKLLQSKLGSLQP KSQRNQSKDTGISPIKELDPIKAYNLVRKMHLDWMEQMRNDPRYKGFTDLELKNNSPW SKQMRLWHDLIVPELPEVRYAASYLNDRPSRFPLISGDKRGKHKKITPLEELINEDLD PRYRARYSLVENGPFDEQEFYYDDQYDDVDGDSIATELAQTKEYYIKTQDGSYIKNKK GSYIKEKDGKYTQINKGDYLKKGRSYIKNRDFGYHAEELDHFNPSGADRYGEQIIAQK VKPNKKYFGSLHNLGKDHFPNSLYAGNGCKNEELPLLWGQRATILHKNMIKNFKEVKY RGKYGPGHFISMDDICYIFERDFKVKRNYFEDISKVNLLDCQNWFTKYLSKLWPPFSM ESLKTDVKTLCWDSGFRSWL cubi_02884 MIQRIRLFRHAFKNINTQILRTKRPVFSRINSLSGIGNREIVGQ NGIEPIFSELSNGMRVITIDNNKKIASLGVILKLGSRFESKNSFGSSRVLFNMILSPE GKSSRNSLANKLASNGLMLAGCFDKEYVSFILEYLKDQGMENTEEFLDGIFKFYTKKF NDEEIEITKKNVKEELLFELENPSIMLNELLHSTAWKENSLGKNQALFLDQFSSLNTQ NLTHFRNANLFSLNTVIVGTGIRHDQLIKKIMSSSKKFNILEKNSLNNLENNEYNMGA PKYVGGLVKNKLPHYGFTNTMVAFETNLNWKGREFVALSVLQAYLGGGSSFSVGGPGK GMYSKLFLDVLNKFDWVESCNCFVNQYTGTGLFGIHVTSYPGYSLKSIKIIAEQFGEM KNISERELERAKNLVLSTIYSAYENKSHYMEEISKQILSYSEYIELDEIVNCIKSIGI EDIKKVVHSILSNADRPTVIVVGTDVNQVPDYNYIVSIISRQINNC cubi_02885 MEDEKLSSANFSRVSAHSHIRGLGLKDDGTASDDGSCGMIGQLQ ARESAGVVLSLIRNKKLAGKAVLLAGPPGTGKTAIAQAIAHELGPKVPFCPMVASEVY SAEVKKTEILMENFRRAIGLRIRDVKEVYEGEVVELVTEETENPHGNFGKAVSAIVLT LKSAKGTKTLRLAPQLNDVFYKEKVKVGDIVYVESSSGIVKRLGRSDSFATEFDLESE EYVPLPKGDVYKKREIVQDVTLYDLDLANAKPQGGQDIISLLGQYVRPKKTEITEKLR LEVNKSVNEYIDQGVAELVPGVLFIDEVHMLDIECFTFLNRTLESSLAPIVIFGTNRG VCTVRGTDMLSSHGIPVDLLDRLLIIRTVPYNIEEMIRIVSIRCDIEGIKVDKESLQL LGEIGSSTSLRYICQLLTPAYIIASTFGRESICKSDIQEVDSLFFDSNASARRLAEDS SSFIL cubi_02886 MLLSCGVTPDGGILDETTLTCCSSWDSICATGTKSGHVYLWNIL RVNEEASGISPDKELVPFCVLIPNSLCPVKLIGMVFILSPSPLLQSCTDELLLTLHSD NILRYWSLDNGRCIAQLSDSAEHEVLQLVSLADKRFILLVGHQRITIIDTWSRLNCGA LKIASCPYSKRMVNNRIDEDTPDMYKIQSPNTVHFSYEDKNENPTLKPTYIYGEYGES MIFNVSTNHDYFELLKWSSNISLFDYCEDNKYPTAHFYTVAAMLNTGQVLVWDLSNLI RIWWHFIPIPHCEIPCPVPKPQKNNVPKPFMKYSQDEAGFTNSAKNASRSSLNYSHDQ IILEDHLGELELKHEQIKPESSTEDINGSSFESYDHILELSSNASDHSKSSSFDFPNI YQFNSIRSPMLAPTFFSLHPCFVSEPINFYSIPSSEMFSTQIVVTDFYLIVNAKYRLI IWKRTFLPQFQNRNQRYQPFGDLFVPNTPVFEDSNLTNSQIETGIKNSCHGNDSKDSM YDVHQTPLKRATTLNIINQNTDNSETKQKLQIWLGFSQISLGIRQSCINKSKTFSPIR TLSMEYEEKQKHFVSFLAWSSDFTIYSIQIPSIVCFLFSQNFNPKYESNVTFSEHELG EIDNFGNYNDILAIPIYISRDYIRINDKDQLNYLGHLASYWQFFKINTLYENYIEESS KSSKNTDYNDQNHGNNEIIWVHRNNFRFLFEKSCETLPNTNFTYIEQNSDKIFYAFLD LSIVESQKKLPSYYEFPFIPNSNSFPNYYTSFNDPHSFVLNNVEISDSKNKFFPVKDN IESQKTIGTRNKTIMNRLTENKLYWKSTKSLRNVWEDDITDYDKKNNISVLSCCITEQ KNSIYCIISLSNGKIMGQCLTGEFGLFSMMNCNEDKHFFGNIENGVVYQLPLPRSSCG HKTNVIEIKSITDGCIFGLTCCGNVLVWKVDLMENLFNKFTLGESINKDQHCNFNHNH NINSTKNKHSPGNKTQVISNNLFSLIAWIDGLFFTNMISLNKVIVFDVNRLGELGTPK YDKFQILVHSSLEKKCFLIKVNDNKINKITSKAESKSISRCIWDERKDKVNTECQGVT YEILSLSLETEKIQDPIESRINSVGIDKPSNFIFILQRDIVFVYDKDSCLLLAKISFN SILELKVSEYQNYHLSYGIMSSLNRFIQTSNRIEFLDHGVMFGSILIGYMPKLDFQQE NFNQGCLGVRSSMSITFMAFNPHVSADVVSIEKKKQKNTKTSRYLQHLFPLFVRSNNE FLSKLFANMAPLTVRPILRFSTLITGVNYAISIPICNNLKKQTFDIKQTLRSKLRDKT DFCFNTSKKSNSGTRKRCESVDRSRNIYSGSRESRIRSHTYDGIGNPPYRYLVSENWS ENIMRHLDIKTMSVRTLRNRLNISNNRNIISSCPGRPFFEWNRLPERKKNLEVDTNDY YIGELSGIPCTSHLVNRIVRSRNSGLNRKNSKLSQNLKVNLNSTTTLQRGETFDSRSL IKRDSTDNYENSISLFRSRTYESTLNFSNGLIQEFSTFEDSDQIWLERFLNQRNINEK FLGYKNQGEKTFEFEDSVESFNTCEFGNDLECQHEIESFRQDPSKEPQEHFSAHQLAL QMVILHYYFTYYSNLDLPIQLLDKFLLSWIIEHVEQKRLLVRFIPFHLFSITGMTLDV FNPYLRLSAKYCLQLAIRSLPSELLSYCEEIAIDTLSGIIREFLRISGSTADKESKDE TLHLLEQEVTTSSNSDFGGALCSTLGSEIKDIPPWNESCRRGHPKIYSYCSISLCRMI GYRLPFPIMNSGEYYCSNLSIEDLSLFFLSMVLYEHPFKRTHSSCIGKIILSFLISII TTYDIEIIIKFSKNFEKQQLEKKRLLKPIYARRNDTEQWYSWENLNIDSSKSNIIISS SSENFKNFGLSKISGYKGSLNYDANFYYNNISIMDTLRFLFALELFSLNFTSLLKAKN IENTCVPNLLRNSLTSWENNIFMAAHLFQVDNEDLKNNIEPQNNKKGMSTKVSNSIIC LCIRLLTLAQYPPFWTSCRHLLQLIGQLDPKTYIYILGYAGRTAYPYMGINYTCNVLS LLVYFVSSLPEYSFPYLSMVVDISIGFLDPLDSTLRKGTITAVTSVLFILVSAFPMIT FHQNTQRFAIGFDRSIIVYDLRTATKWRVLQGHTQQVDALCFNKEGEFLASYSIAEKA LRIWQCTQSGLLGGLLGISGNCIKTIDLAEIPSRTLNCSLYYRLKVVKISCKNTDEWQ LRRENKKTYTITIDKN cubi_02887 MGRVIRGQRKGAGSIFKAKVSKRRGPVKLRALDYIERHGYIKGL VKDITTDPGRGCPVAHVSFRDPYRYKIKKELMIAVEGLHTGQFVYCGRNATLSVGNVL PVGQIPEGTIISSCEEKAGDRGKLARTSGTYAIIVGQSEDGTKTRIRLPSGARKTISS QSRAIIGIVAAGGRIDKPVLKAGNNYHKYKVKRNCWPKVRGVSMNPVDHPHGGGNHQH IGHPSTVSRSAPPGQKVGLIAARRTGLLRGGRKTAKDKAEKGV cubi_02888 MKKYILFIINCVLICGFISAQSTVIPSFIPVNEGIPDHELSRLS LEVLPIISHKFKIQIFSDRIRIDSRPKCHQSQGFDGKDTIKVSFIILP cubi_02889 MGSNGNKKEFLGSIQSPRRLRTDTAEAVFGDFWEAPKDSNFHSR RVKKNRQTYGHELDENLGQKTISDSSIAEFNITNLDTQERLVFYESQGAEPYLSKYPT ITSLLTEMKPTKWMIILNKAQSSCIHNSIDEKKKQKDSKKNFSSLTNKDLPFNKMQFI WSSDLDEHKRVKLNKNSPSSQSLLSDPNDLSPIIINFPHPIQLQNVSIPYEVLDPRSV PCGSFVWWDRRVWEYNFVHMARRLSTNDEKSCKKLNIENPITPKKQGRTSSEENGQSP QRSKPRVEDGPKTNQKSKSPRNKIKQ cubi_02890 MGIKGLTKFLADNAPKSIQYQTIGSLLGKKVAVDASMWIYQFLA AIREGSQWGNLTNSSGESTSHISGMLSRTTRLLEAGIKPVFVFDGTPPEMKKDELAKR DERREKALAELEKAQEMGDGELIKKQSIRTIHVTKKQIEDVKKLLNFLGMPYIDAPSE AEAQCAELCKDGLVYGVVTEDADSLTFGTPVQIKQLNFSESSSNKVNDKSPGKQKNGM QIIKLPLILSELDITMEQFIDLCILSGCDYCGTIRGIGTNTAYKLLKKHQNIEKILQN IDKTKNPVPENFEFSKVRDLFKKPIVTKRNELEELIKWSSPKYEELTDWLVKEQNFSE ARVTSYCERIRKSRSKTSQTCLDGFFKSKSNERKMSHETPLSKLSQSEKPKEKTCTGV NSSLNRDTKKVKIEECRISSEWGVPISKSSNDKTEKGDFGGKSETHNETKEFQINKGK ENKEHESSTVENTPLKTKSPELSNRPVKRKLNRLIDESNED cubi_02891 MKVSQRIIIQALALFLFIKYTGIHSALYFYVQEGTEKCFIQEVP KSVPIHVKYENVNNLGIDCNIIFRNPENIEVFSRHVNENEHKGSVAYLPEIDGDHKLC IRCESSNWFKSEQMKWKLSIDTGNLSEHLDVDSIASKDEANYIEEFIKSLTNKVNNQV SEGEYEFEKQEKFLHQALSVNKRIVIYSIIQLLLVSAISYFSIIHMKNFLRKQKII cubi_02892 MTNQVGLIYPPFELRTTIDKTASFVAKNGEAFESRILSESGSIK FTFLNKDNPFHLYYKKRLEDFKNGISIDDGGPTIPRAILDMNSRKEKQEVAEKEVLML TSFCGNFGFMGGAVMEPEEPRKDQYTVSHPMISIKDESVIKITAMYLSRNGQSFLSEL TSREANNPQFDFLKPGHALFGYFADLVETYSLCLIPNKNYLMQMKKEAEDLQMIFRRC YKNSLWRKKEIDSNSDLLELENKDHIDLEWVAINIVETVDFEDICTDLPEPVDFSTLS KVVLESSLDTLNEHDRKDLLEDEIQSEERQINVLVVEKERANDMEVSNEVNNGKNDDA SEQEEFKNIKIIKNYVRVPKKRGYATKAEEQSLKGKMYRCPITGQSIPVEEISNHMRI LLLDPKWKQQKDQLIQRAQQDSAFTASSNIEENLAAFVSKRPDLFGTIEEAVAGSHGF EDTGGKNKKQKFQ cubi_02893 MEDYYYSEINESELLDDDRHNDETFDVGEVGDDWVPSITLNHFE MRHEHQGREGRNQMKRLEELMKSKTPLTVEEIEAAIMSQNFSREQGPGITQEEFHQQN YLDHETEHNNVRRNRVHSGHSHNKGSLHESSFQQRQRNASNQHFSTKRNDDRGYSGSR GYKGGHHDNLNHDQDASKEPEPVPEIPFSSYIFPLTKAHMSEIISNNLSSPSNWNYSG FSNDTLRNNHLMVSRDFDMILRIQLQQMAERPEIQSYSSKWNRRLLARKHNLPLGNGD SSEDRDQSKVQPKAGQETGDRSKNKFKEGQIADQDPNRIRKFGKSTYSTVRGARELIK VHNLSKDDNSELRDEVNIGENGEQSKSSISGDSLFYTGNIHHQALSRQVFEVLHNSVF NSSEFGSKDDNKVQAPGPLVYSIIEAGNDLLQDVSYFDDEIENCPTGHLQARLRLDQE LRDTIDLIFQLLFGFSKYTSVSPNTEDTGYRSGDNFKVSEISFTERNIACLNARRWLL NKILNIRKGRHFVLSLFHMPHISEGYMTVLLESILACNEPMIEIFRSSSIILPPLMAL VPRAFRYRQGQDILAPHDGFGYSGDVDQLIDDWRNIYQGSDPYDEEYVTREDLMVKAK LGTKILETTALLANRMMQSNNYRDTSCLIPSLSALLKFYTQESIQSLLGISTGVVFLA LLYNSISVVPRQVETLKQITRLLVLAFTNYLLERDSESSPIIPIKLSPNALKDSEPVV LCVLQRSTNDPNLKSILMNSISDVVGAENNDRFISELQDLIVRGTSKN cubi_02894 MSKRYFDYLEDLKYCFGKKDSDSFYLLKEILKKSVNEKPILSKC SEKLGVILELSEFIIESFKQKGFTPDELKLLSELSVLLGLIRNISTVSLENRRIISNS KIFKNLNYFIYIKLGNEEKMGLFNLVSYEILKVLDYPSPKDISYNAEHFKEFLLLTSR FLQILANISVDNNIEYRKYLFKALYPFGFINIYLSELISLALGNSISIRTETSTVACS FHLIYNLIKNKTVTMDEVVCKKELFGCFVFVAISMYFNTLRYANTENLKSSEWMFFFF KYLFSENPSTFSDLYFYKHSEDSTIECDASLIYLTMQEPYIKLSMLESNNKNISDAKI TKEILILQKYLSNTIITEYEYKEILLEICVEIETNTNFKQNLQESADQNIISQILLLN GTFDTILSEIEKGVSYLDQLINLQIENYLSSARSKNPVGFSVSKFGNVKGWVSVLRIN TPKNESNIDFFGKALINLADLLRVMLKFRKHLIKSKSIGNEEIRTIWKLISEEISMAS IIQAISTIFYGNTQLQNSFTLIQDGKFKKESIKLLTVLKGIEMLIECTTIVDENHPLI REAAVFSLRSITANNSKASEIIFSLGKKKA cubi_02895 MKDILVICGPSGVGKGTLISYLMKEFPEKFGFSISHTSRCPRGG ESNGKEYHFCSSEEFKSMISIDGFVEYAEVHEHFYGTSKQAIENIIDQGKICLIDIDV QGVEQIQKSSFGDRAYYIGILPKSQEDLEKRLRKRKTDSDQAIQVRLKNSTQEIERIK CNPNIYKLVNDDLETACNEIGALVKSFWEDISQ cubi_02896 MPSLFFYFTLLLFSISNSLGEQNDSFWKMKTSLVLTSDNRLEGV QLCTSIEDELMENAEGSNITSSLDDSLSHSNSLIELIITNQEQEEVTLFVTETVYNLI YRKPDLRKNPNTFHRAQIAENTDLDSVKNVSSGGVESSERVIYIKKHHHSHEGAGQAV PIIQSLNYSHVEFSISSGSGLFSNSNSKNFTNNSENE cubi_02897 MNFRVFLLVLLYIIGLICCDETSKYSVNEKKKINNVSKTFLSEY PLLEDFDLEFQREDEKVNNYEEISDFISKNSQPTTEKSSFFSLFKKNNPKRLNKKNTW SLNNPHTTFKIISPSLNLQQRKYKIFPLKNKKSPDTVSNNGKIISQISNSNLSKGSLE NTSSALNFVEERGTQTNGDSYSSDVRFEILKNEELREREMKQIMDEVAFKKEKIKEKI EEEREFSLVDPEEDQIETWDDTQIYKKVEPGEEKMLKYPYEIGDPKKIAIRNHPELKG YKQNDINLSEMKSRQLKHDQELEFIETSQEAQHMFETAGSPFRNVKRGIKDPLNDEDM AVLDMPLGHTPDGIRDIVEEYFWGDFPNFNYEHDRVNPPDNLAHFFDLDSNIKNVLTL SPHSSNILEPGLKDLISIHPRTKKDVKILIAERRDLLTVKDRPFSLTRSISKGFKNIF MRRKSKKAKQKFLADLRDAIIEWSNEYDKEFPMITLSPNKYISPKIIKEALNSNENKD MNLDLKQRLEFVEEMLKKEETPTPKDSKLFNIHLSRQLFPELKFEDIPDIRGIPIEEL IILSSSGILPNMGDFEHTLNSLRNELIEAERIKGSFEDPLDPRRVSRHVLIKELPPIS ASEDELAQWEMEQREKMIQEEYDEHGHRKFKLQQLPLDRIPGVPNLKLKQNEMKVGKV VVSDEEVEKLRNYEKNIYPEYIKKTDKLSREAEKRRIAHVIDKRDQVEADLKRTPAAF KRKNQVDPYNFIYENEGMNSKISDGNKQLERPHTTAMTHLDKNFKKTIFDSSKFNYGS SSEFGDNLNDYIEAEDYIKKK cubi_02898 MDEKIDLKSKYERLRKKFAQLKQQTVVLKESLETKENEFKHLKS GFEELEIKHKECVSSLDASQYRETQLKREIEEILCQLEQSKSHGNIKNDQTANQNGKM VQGILTGLLPMNGVIMNFQKNEKLEEKVAVLQEELDLKILENEKLHIKEFDLKRQHEK AIEEHLVNNAKLNNEIENLHSEINELKLKRKNEDDILDELNRLKEKDRINNKKIAELL ENINLNGNNLSNKLNKIRKLVPPVNLLQLEEDYLSWVNTCRQKGCFVFLKLESLIYEF LKRVVLFVENWSECVGYYINKFSFQDNIIEGVYKKILILLKEVDRSLIDSSGVVFQNE FILEQNFTQSTLNYSMNDQNKLNNAEIKNVLVEKSKNAMDSIQNALNLQIVAFKMEFN KLSAEFQSENKKALSGLFTVIKNIKKYLSEVNCLFNFSLNLGKEPDLLYTHTLWTNSF HVAFLELRRDMKDHLTKFCAEIEEKKQFFDFVKRILVEDINFQDENISLSKSFISSHK KLIELEAMRKSLYIKMNLKIKSNIELISAELKDANKIFESFFFSKNSTLPFFNEASSD IKNDFCSSVLELSRFLDEKKDYFEKLVSSLYQHPSIICQSVNNFNELQITMRETKSKL ETAPPKITLPELHILNKRIEQLEETKNEQLKTINSLMSQLNSVNQSSNLDNHKSDLND DLPSMEAIPDCSSSEPCKLLELRKTKSGNQTQKYIHEVFESQLREANETLENTVTRLQ LRINDLNDDIEVTRQSYDEQISLLSQHICSLSEKLAFTDASTLSSFNQEILCYSCESW STLDSILNKTKGACQKCKTKLLRPK cubi_02899 MRYNSKLRLFRSFIGFVLLISFFQKIQRISSSTIFNNGENTSYN HNENFKQKLFEILNKGKKPENHGKNPFDTFFGMLLNIDDLRDLDSEKSIFENGPKLRV INKQNPNIKKEVIPDHSTSNLDKFVKFGRNCNQLFDWGTQTKVFRHSVHDSLIPKNSQ NQITQSFLEFFNVIKKGGNDISKKKSYKSNFKEIVVPSMIAGVNNSELDGATRGILMN ELLVETKMGKVSIPKIRPDGLPSISFIGIENEVMPLHVIYIKESDRFSFKTFPNFVPP DIPKIAGQRLREWVILKYKQTHDFLMDKSKKDLESRSLLESLNNYGIYFAIEFKDDAV CYLSEVTRYNINHIKNGDSDNKALVSRISRILYARLRPFISFKSTFIPLKMSEFRSLS FIGSPVLMNRADNEDDLLTQQALFYWSIEAEKFLNGYKRKDHVCNEREIFINTEYKQH CMISPHGKNPRNPNSYNNGFVTTVSCFGLHPEGTMVLFLYKSLLSLRICQKDGSWSDI KVLSNQNRATIIFHKCHSRNSNKESFYSRVSTASFFED cubi_02900 MAPQLISKKRKFINDGVFEAELNEFLSCTLAEDGYAGVEVRVTP IKTEIIIRATRTREVLGVKGRRIRELTSLIQKRFNFPEGSVELFAERIENRGLCAMAQ AESLRYKLLKGVAVRRACYGVLRHVMESGAKGCEVIVSGKLRAQRAKSMKFRDGYMIA TGQPFRDFVDKAVRNVMMKQGVLGVQVKIMLPYDPEGKTGPSKPLPDAVVVREPKEYP INQ cubi_02901 MIWLQELCEAYWIEIIALWILLVMFIVLLRGIRKNYEVSIDWFK SSQEFLESNFSRYALIQKSFWLDSWSQFDIFATGRKNCPYMYMNVICRPRQDVLTGIL FQPLLRNYDKVYIEIPIEKMGPIMLLVCNKSELKNTLIEYPEIEIHCLQKKVKNLPKN SLVYANSNACVEFLMSSNTFSKFICSQLSERLVNYIYISDQTTCPRLTNSYSKNTSVL KACVKIPSKNDIDILRNLNLDLNYLFKNLLSLCETLLTLELPEKTIQHINSNRLLVEK TFLKMNNCVNEKVEAKRREKIRSEAIKISRMSPKEQKKYQEKKEKQQARSRIKLKKVR A cubi_02902 MFIIERALNIFTLIYEMEAKISLNESRIPKIGLESSASSFNSAL DSSTKRNSLSFNTGFIPNQLVLNSSLLSELRQESFSSSKNIPQNIEEPGKYEGKSEAS NYKQYKRKSSESKDLVKSSSKFQANVQKLESEAVNILEKGQAQSIPNLELSKIVSPDS SNIQVRKQNNVAATSSLNNHSQSKHKLNAQERQIESKDRNIQASSPRFVRVNSNLVDN SFNTHRMQNIAKGGAFPAVSPISRVYNNTNIHGTSWRTVWPNSNLMSNGGMGDALASP VSAKSMNKFSPASPQQMFSPTSYNQINSNVKYTVTPNPSVVRYIEQKSAEGLASPVGF SPLASPNYRKNVSQSKSNNSTSTANSNLNNLTFSYKG cubi_02903 MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGVIEPSLANLARQYNCEKMICRKCYAR LPLRATNCRKPKFSCLLLSSVWIKVVTKQKALRQFSFLKIVLNS cubi_02904 MDCLVAKCIPCITDCVIGELEKMGHRYNLALKLARDPRFKRLHC LHKGTYADDCIVQRVTEHKCYIVGTNDTELKRRIRRIPGVPIMYVTNHKYSIERLPES LVSVPLKK cubi_02905 MSEDEQQFDSVDAGASHCYPMAAGSIKKNGYCMLKGKPCKVVDM STSKTGKHGHAKAHIVGLDIFTNKKYEDVCPTSHNMAVPFVKRSEYQLIDIHDNFTSL LTENGSTKDDLALPTDAEGNPDEVALQIQQMYADGKAILVGVLAACGTEKIVSAKELV cubi_02906 MSTRTKRCRFHENNSGDEERNKNLGNFEDNFQLEEFNARKILKS LKSEPPINWEFLGRANEFKEISEYLKKCILGNISGIIHISGSPGTGKTCTLNRILDIL ENNSSKKLGFVKPTNYKVIRTNASKIASNFNKNSGSLNGIALFVHLLELMNFRARIIE EFKIISKNEGFQECIIYFMKQILNKRTKFVVFIDEIDLVRKNRSNGDAVFELFKAIIN FPDSGLVLLAVSNTVKIGNEMVKKIGVDLNDNGRIKLMVFSPYSHNTLRDIVLQRINW ANNHKNDSIISKAGIELCVRKVASLYGDCRRTLDACYLTLGKFVFERQSQIQKEQINT EEGEDSNLIGVENLSNVSTRENSPTLNRGPQNRQEANCLRRLRTRSMPTNMTVPIGSF QNVIGKIHQSSQGRLQIIKTLPLHQQYVLMGIILAIAEEQNQTKKGYNENFCTEENKL SFSKLTNTEILICHLKRKYQQICAELMTPPEEYKDMLEALESNNIISISNGVGSTKKK SSSFHRGAIRVNNNKESKIELMFPPENVIDALTSLPKLGTIFSSLMPC cubi_02907 MSKLDFDKWFPSYAKVNSHLINSENRSRPSFRLLCIHGAGGSDQ IFVKKDLNTGKDSPNILLDYIQENGIELLALQLPGRAGRFHETCYKEITELINDFYPV FKNHFLERVNLTEFIEAVPWVLIGHSMGGLIGFELIKRIKFEQMKEYSTKIGIDQIGF ENKVVKLLREKRMFPELFIIMSTFPPNVPVCDRPWRKNEELNDEEFKQECREWGINEN VFKKGIWEEFEKQLRCDFTMFDSFEMNSVDEALYCSDKTLYSFMYPLGVKAQLWSASQ DKKVTKNIMNKWKELLVHEDLHEIREIDAAHNFLHDPKTRREWMQGLTALLDIIILDL EYY cubi_02908 MFVFSWFRDILSWFGLSNKSAKILFLGLDNAGKTTLLHMLKDDR IATHVPTLHPHSEELVIGKIKFKTFDLGGHETARRIWKDYFATVDAIVFLVDASDRSR FGETREELSNLLETPELQNVPFVILGNKIDIPMAASEDELRQSLGLFEHLTYGRGEKR GDNGIRPVEVFMCSVVRRMGYSEAFRWLSQFLS cubi_02909 MHHKKLICLFNLLAISSIFIVCLFLINSVETQTPFDTNLPKIEK KYYNNSRISPKSTNRVYSVGNSTIFPKDGEKMMLVRTRENAPAFKGNIYVRNNEDISE PLHGNMNIYDSVRPVDYYSFLGNRFNLMNDQFEIYNSENLQNNKTEYKWMNDKYSGPN KNNNFSLNKREEQIHFLLNKGVSVSIPNNTTIDNEEFNEFVVSNLGKSNKTQFFDNVS LGLYKNNDSFKYSGFKNRKGVRDTQFRNKVQGFQGVMNITLSNSDPVELIQEKDNLLP NIKWDQDVLSEIPSNIPEKIVNFEYQHTNEEESNELDGKEGALLKEFVRLAVDFSFLS GSSLNGAVCMYKAVLPLIKIRWKTLNSINTSRMDTIVKVMLGIPFFRYFEEKENMISS CINMFFAMDMDSGEGLCINKSGYEGSIMGISIADFKIHQYYDNKSKIIKEKRTKKENN EFRPHDSANLLEMIYDDICNYFYECMDEDISDELNEYILQNAHIFSVSENQIINFFKV NQSPLFPETSERKSSIDALPSDWFLAALQQLSQMNTELPELAFPGLNIRDLGRLYFYS GRLGVQLPIRITLKMIYELSMFANHSSLLRIAFCTESLLKVTNFKIANALCRVSFSPI PLKFDPNKLYTSLKELLKMISFAPASWKKRPDPICSEAELAAEKYPNVIYPPDFIQYY VMRDKWSLYRSLAVQLYGNLAIENGESFLSIVSMIHSLIVGYIKLNWDEFKKLYVKVG IVDPEKYYSLLLSQHRGPALIELIAFSRIYNIKIKVYKKVLKNSRRVVHFELDEEITE IIQQLNSVDHSYNEGNNTIWNEDKKTLSCKAIRLLLKKEQPPGFSQGVFKKNILNLNE NIHEEVKDGSNWIWDAILPVYDAETNEKILSNEIGLQIKYKTILGENSDSVYEFLNIQ DKGNNTFPLFEIIQDEVRNYTDHTTSWRKPSNHSFGHILVSGIKTPIINGAEKLNNKQ SEFQNKVKINNAGFQSEKEYGEYYKKYYRYYIIVQPLISFHQAGVYESRIFTNKVFKY NPYFDWDNLPNRLDLEYLQSIIYVGDIYKEKKSLEIDSWRPIEQKNNTTNIFPASNLN KETDREDSEADKIKKEANITLNRNVSKTSFDKITKKNFTLLEEYNSNFVPESRAEMIK IGTSENEYLIQTPKPYSLNEKDMVQAKYPDKFASELDYIIIPTLKPWNNFVNLPKVGV MTSEPEFSFIPLKDGSLLPNKAKEKNNVFKLDMEILFNLAKEFWMLPLFTVSRALYCF GKNIAPFLNNPNPSYIPQMLIYDRIISTVVSLLPVVDTKMNNLRPIQFVITVCEMALL DPIDLDPFLNISKNSIYSSQGLSNNVPNDLMRIFGQMPAIRNICASVANCIHLSNYGN EYKNLINKVSRSEVFNRFTPSSEQIILIQKLVPRFPEVVIIIRNLLRIIYFMGRKGIS FTEDALYQIAKELSIAFHSISPYIWRSQINLQQLYEMVDKPAGGLREARRMERNYSFV PVYEEAYWRLSDRELLLFTCASILTSRGILTLIAAIVVCHYSFLRIPLSKKIVSTIPD QKDLENDERLARETTFFFLRDFVKDPIELIKSKEYNEDPMGLFTKIYSEWQDQNIREI GTYKEKIKEMFNKNLISLIFIRYDAYIDLLLDNGEELERTEIKFERFETDQEVYNSSK LNYKNHFSKESFYNNNVQSEIKGFFRDRPYFEEKFSSFWGYRPSPYKKDLRKSQKSSS IDDLFESLSLLLYSTNSYKHLIKVAFTSSLSLIKKTCELEHEIIRNSKSKNAYIHVEG VDQEVVLFVLSICNQMRVSREKAKSNAEFTKSPENRELDNINHDSEMHHLEIFEVMQF IYKIPIMVFEMNQEQTVVRDTELNRRIYNLDDINTLASSIKIAKVFDSKSKESFRYMP IIPKVTLNDFEILKNGVGPIPMEALLRGMNSIPKSMENRENFKFRKRTAFDDASENFF KRKQTPIPFPEENYPSNSQSFPELVPILRRYIPYIPGDVESKSLLQFIKITTAVIDLR RRPIKELPDKNMEKTFRSILLDDRDLSGSRKYLKKIYGLNIDEIIKKNNLFEKKLTNN RYYETDLNAYSDIDKNIYKSIDYADNPYKFLIHYFNSVRHTKINPNVIHSFIDAEGLR KRSEKQSKVANIVETIYDMFKYTTVSIFSEFYYYWAKFKYNARKFSYDNRIGVSIIPT DEEREKILRDKQISLYIEDKLDKIRLNRNSDTN cubi_02910 MLIIDRIVFATFFFALFIAIYSITILYGAVPWMELNTWKNYPYK EYSLPQMIIKSKPTSFSNEIVLFSPFLNGTFNKISHTKYDYPIYEYNQMEKGCKHLIN YDITIPPGGWIIYSSCQKSYENPKGYNSIRAIGYPAYGKALNVSIPVDVKDWYHAFHN HIKVPIKVT cubi_02911 METIRDDIIFKKDECWKESEKKFLQNEWRNSKGSLESIVSSCSI ENSAFTARSKQSQGSHSDEYSIMIDDDIPVDEMYFQFSSISIVNRVFCDISSVKYTTY SEIRHIFYFLSSFFFILSLLLFERSWSGVTKVKVDYDNFGKFPLLSKNNYSSSLSEYK YENNSENNKIYEFVVDRTLQEPIFVYYGINGFFSNTREFVGSKPPELFGYGYKCTHIL SIEDILRYRPDFRIHLAEFFRVPLYFNFTQIDNIFSVNEGKSRIFRMSRKNNSINKNI LCGLPIYSVFTDEFELIKKSPGKERIHIRTIDFPEDQWKFKMLHSFRQFISGVIDSKF FNKSDESGKKLSDKIPKLSIMLSRWWSQSISPNFIKPYGVISSSYEYGSMDDTILYPG SYELNFTSNIFPNNAWGAEKYVLFMNLSVFGGEQYLAAAICLFIAIIYAFIIYFNPNR AQIIIIKNKIDQNI cubi_02912 MNSSYDSIGGMGMMDKCYFTGKSELLNWLNSYFNLNVSRIEDCA SGAIYCQIADAVYYYQVPMKNVNWGVKHDFQYLHNYKLLQQVFGKVNQPKYIDINKLI KGKYQDNLEFLQWMKGLFDESGAIDRDYDAIGRRSLGKGPFPHYSGQVIPITSIQNSL AESSLNMGINTSNSVPTSRRANNKTILSSKNTEMERLKKALSKEMEEKEIVDKERMFY FNKLRAIEILYEELNEKKIPFMSLKDISEILYDSNDIDLESFSKKIIDSASINSNGLT STTSGTEDQ cubi_02913 MDTFIIGIREYGLRIDGRTRNEYRNISINLNKNYGEAEVNIGRT HVLCIVKSELVIPSQERASEGFISFTVDVGPLSLNPSSHTFRHSRTTMGTEIANYIER ILKETGAIDTEILCILSGVCAWSVKCEMHVLFDDGNLFDACLMATLSGLRHYRYTGAD IDSFLRKLKCEGFGQRDYQRLENTIKKLEMIPFNIHHFPLSVSIGYIESKEELLYIVD PNSDEESISDAIIRISINDRQEVCGISKFGGVKLSLNQINYAIQIATTHSGNLHHEFK QIFEGEYLKRLKYSDNKEKIIIDKFETKLKESNIEFETKPSLIMQIMPNVEPEISNNG TPNSSILPSLNNLEIKNAEFDEHESRSESKLEKVSLSDRICETLEQVQLDQSVESIEL LSAVKSNVKIKKRKKG cubi_02914 MNICDKVDISRPPSFKKTKFNHDIIEILSDSSEESNLGNVNSNY DQFRLETPIYLNELNFEKKNSKNLSFKSFFVVNDQISIKKSSWQIKNIFFSSYINDVE WVIKEIEDSELIHKNIESILFVSHHTGNSISCKFSNHHKIRTEKISIHSPYLKVPYGV FHPKFILIVFEHLIQPKMNFIRFVITSANLIRQDWEFKSQSIWVQDFFLTIKKKNCEF SEYLHEFLKNILNGSKLQEFWLSKIQEFNFEDATIKLVASVPGYFFGHEMFMWGHLRV KSLLKNVIFKKINKSERSLKEQEKIILQFSSIGRISEKWLYKELVSSLSETPETRVEI IFPTIKQVLNSIEGIEGGGSLPVKKEYICKPWITKLLHRWGEGSIDGNISTEKAIPHI KTFLKYKMFNNAVQIIWLIQGSYNLSNAAWGQLQKDKSQYCIRNYELGIFLHIDQFEF ERYDKSEKEEEFPKFVWKRKTDHSFDSKIHNNQPNKLLDIPLPFKLPPRRYSSDDIPW NIELLM cubi_02915 MVNKLLRKFKPIFDRVLVQRINPKTITKSGILLPESINKKNKGF FMAKVLSTGSGKINHFTGEYYKSSVKPGDTVVVPEYGGISIQEIYGEIENDTTSDFIV YKEEDILGIFENDSQK cubi_02916 MKILTLLQLRCNSILFLYHLIFFSTIDHTNQLKSQVSLSNKSYK ILPIIDGCKEVFGCKRIENLHFFNTAFGICEKCRGRSLEVECPSISVINDSYQLLSFT NGFNKEFHKIREYNKTISIIEKDIFNGSVVLLSGKFVDFSGVENCALDKENQIELLQE TYLIYNQYQNNEDLVLSIELKKLFQTNEGKTLEIISDWEINNKNSNHAMYAYTKLKEK VYKTKSEINSAIYSKILNNIWSLINITYNSNNLQILDQVKPCIIISCRKSKKNEFNHQ NIDKGKRTTIRDIITSVNTLKSKAKSDSDYLLYVNIFIKTLLKSLKRKTERGKISIGC SNKMVSWVKELCEILEIRTDENSNMGRYISEFVRKTEKEANLNKKTTGKNVLDSEKNT KFIEAELEDYKKLEEELKEF cubi_02917 MAPATKVHKTQRFVVDCTAPMQDNIIDASGLEKFFHDRIKVDGK CGQLGTKIQISRQKGRITVSSEVPMSKRYLKYLTKKYLKKQQIRDFLRVVAISKGSYE VRYFNISNEAGEE cubi_02918 MLNIPSTVDDPNYRYKMPKLVSKIEGRGNGIRTKIVNMGDIARS LKRPPAYPTKFFGCELGALSKWEDKEEKSIVNGAHQQNDLQRLMDKFIQMYVLCPNCE LPEIDIIVKKERVSCKCNACGYIGALDNHHKITTYISKNPPGGVESTMGSRNKKERRE KDKDRISEGCSNSNGSTTGDNEKPKHKSKKTNKKNSKKTVHDLDLFEKDVLTFESPEI VDVVERLTNFIQRNDEVSPEDFFSELRVLQVSQDFDEFMRFSVLLAVLFGLKDPIDPK VFKLRIPYISKVVEGSLRSNAIISIFETYCVEKNPSTLTTYPYLIQQLYDADILSEKV ILRYYQKDAPTSWVFGCSTQDTFEKAKKSCEPFINWLLDGSNDESDLSDENNDDYDTN NSDIGEEDSHSPIKSSYVKVKSLNLSDKLDKFESCSGSEDDSSLTKNVENTRSQDTDS DLDIDNI cubi_02919 MSSDEVEGNQVNSKENDLFCILSREYLPKERCLIQTWNNEIRIR IQYEFTNLLPVLFLSENIELVKKSQSNLQKIVFELLTSVLFYLDIPYITAIEFLENCS IVSYLKVCKILGYNFIGKDELDVREIPTDSLKEEFNFFISDPSKAIESNLPHFGSFIE YHITPLIMDSVWLIQMSLRDNESNKNSVWVEFMARLCRGNITSKERPLPSIPKSVKLI SIKDIVSYLDLGKSDNMKITESLISSKFKLQQKYVRDRTRLCFTVDSFTTQKECLSGF SILGVFIKYLFDQINLFSKLSIDEFISKITFEKNCPINPVGVYSENKFSENMIIECNH YTGACHSNYLIRSIWSIILRFDLSIQRSIGLIFEVFISITSKRFNTDKNFNYPDTRIF NLLEKLLAIFPKNIVKKSFIIFVEHVSVICKSYKGPKISENINTIHIGSDTYKANPWI ITDKKVLKEVLGLPFFILTSFLIKKGFFELSNYFREKLIEWDEIFWSEFITGQNSSKE TNDSSNLFDSQKYFFPPKTLEIINNIHKYYHLYFNSQLNQFDEFEKDLNLELLLKEIL KNERINEEINFSNISVILSELILAPSVLFANAFFADFFLEEFLVNENEEIYYVSKISR NSEIIQEITDFTSMPFSIIGLSSNILKIKIEVIINEIKNKVRDNEKSPHLLILHYKGF CLLVEWIRKYCKYYLFQKHPSCIPIFLELLWLFIKDFNKGKLSELFESKELNLINGYK DPPELLFEVSSSIIFPAISLLPRSPSIPEMLKNSIFSEIDIKYRYQIYRRLIAFSYNR YPMNHQWISVKKMLSKYLKGVTKDIIIGKHDNKHSSNTKRRPPIQIRLLISNIIALCY TNPLVVCDTIINQCNNYDNMIPLLIEILGNNIDEICFDVMIFTIINFILSRPTYIIDC RSNNSDLNCSRFGNRGIAKFTALLLTKRKIPRDILKNFLQTIIYRIDETFYGKEYTIK IQNNFLDLCFWKQLLEIVFSTPILDLSVLTGKQIQSLAGGPLLFQIFLSQHEEDHFGE SNENSLFSSLLDNNSHAKQNSDLFVSILKNGEIQSKEVLFRIAKLRYEILWDTPSNLN MDIKLLINLSDEIHWCCIQTIEFLKRSFDPELYRNFILNISDSKYSFKDIINQTFLYL DIPTGWSFLRHGIRKFNISQVDKETSIPQKGFLDIDQEAMDCIKYYFLKENDQNFLNN EACMDFYILFWYLDLCDISLPFKHYSEKLFELYTEILYCKENIDKILVQNVVDYKIDT FIEKCKMESFLNPNNVKLLPSYIKNKNHLPRQVREAIKPLEKKLRRLYSFYNALATEY IKLSKRSNLINQFLDSDCGIRRFRKELNEYLIGEDVFGDNYQQKIQSFMVWICKDFIA PRVIHSETDALFTYFWIEKFILDEEKGIFSSKKHLIESFLILISKHVSYLIRSSTPRE SQLLGLFFKEIFSYIKKFISKISSVTNISNQQEERMPNVLSNITKNDFDESDFENNLS RQNSNNILDNDLITEDTKYSKMTENINFDSSKIIEKKIFESFDQEINPNKETLQEMKY GSSPYESDNIDLLEKEKNENIVKLECEEVIKGDSNNGMESLSQKIPDLRLKGFLYECE KNVMISLSFGLGLLSERSSETLPEWTHTLSSVWMLSRFYESFPISAITGEYLLRKLPN ILEYATKRHWNDLNLSITSLILKLKQCKASWIWNSNADQYSKQDDSTSFNSKMSTESS KKNVPSSLNLYSAIPGSKNYNEKIKNNSMRSEFKRQRLNMNDNSYSYKTSYSNSTNSN KREEHRKKQI cubi_02920 MNFRVLIRDLLNKDKMFWPPLIGCALIHWSLGFASTFGNTVPYI ASYMSLKGKHNLRIGMICWIDCFFVLFQGGANYFCPNIECIIGIPYCLIIGVFSCNAG LLISYLFLENYLIYLFGYSILYAIGNGLLFASTIEFLVNQYSKNSRSNIIGLLWLLRG ASMSIIPSIQSSFVNPNNLNNYYIFNGKKMFNSLVILEKVPKLLKLTFIFSAIFQILG VIILIVMQKKNLKIIEKEKNVLNRRYFFTNQNYISEPFILLWLITFLTWPCIEYIQLF WKIHGMVNTGLGDLQLTRISCIVMVLQTLLRVFWGVFGQLAGYFNCICILSIILVIGI VLLMFPIIESISIFQYILGYLLVSIAHSGNSAIYPTTIIKHYSQSKHTELFIYLFSAK VTSCIFFCIFTDLTTHFLNINYSLVPLLIFSLGSFFLSFILRDIKKNHISKKNSKKKY INI cubi_02921 MSESRRFIWCILLKLSIEDINKKTPIHELVQNADKALINQVNCD VDRCELPSDSDKLNLKRLIISVFSYRKEQYSYVQGIHDIGRVFMSLFCEYKKSKLSII KKKLKLNQIYNNFETNVLCKLLIKKFKTKKGRMDICFKTFDKFLILYSAPYIYKSDSI DQINLEYVLSNIVLDLLYLLNKRSPSLYHFFLNLKAKDDRESKIFMFILPWILTYFSH NISVKQNKLIYYIFDNIISNHPLYIIFLVEEIIFQCKTELFDYIKQNFDSEIAQNNIE NEIYPFVHFFFQNLDISSLEWNLIIKNSHKSLSNTRLNVLNSHFLWGIEKEYKNDFKI GLSLNTKINEKAIIYLMLIISILSLIISMYIGELNFLKIIHY cubi_02922 MDERLLQKYKVKVFEWGKCFDKMLEALKSLIYLSEFENFEFDEE EKQLLALCIKNKISDYRNMISLILEEQTKQLNSNADLAKICNEYIISLRKNIKTFLQS VDETADHLIAISFAGKFFKGKIKSDISRYKLEFGLCSLRDSKKIHEEVYALFCKHPDK IGSLSLRLIKDFASILAEKYGEKELAVHMLNLAKEIHQIQVNEQGNTQKRAQIEVDLQ EIKDCIKKWK cubi_02923 MQQNKQIGSGIGSYSAFKDVEVQNQIQSLSYKQQWIAKATRVPP RKVHTTDISRIKNRLFAFNQRNCALSIGTMVGSCRHICSWAKLLGLTVSSFLFIWTLC SFSIIKYLKGDQNIEYFYTNLLCLVISLISLSMLSTSLIIPRITTINLNSMETSLLAS SGVATRNLISYKTLSTIYLIVSLLSIFPASMLQMDSYNFTTTILSAILAAHLAPSFLF YIAHLRREDGYNDDRNIHTPL cubi_02924 MDDSFRGKFNMSISNNKKERKEISLVLAEDDQDNERKVRWSDIA MGPSKTPPKKFCVICGFVGKYKCYKCFKCRPNSIVRYVCSQKCDMIHKEIDCCKPKNI FIW cubi_02925 MLLGAMTRLIGSLTLFENNEKNFSENTSGDLDFKNNKISYPKSH LNSGKHNGTVIWNYWSKSSEASTRNNSNDELSLLINRTDLLESLTSKSSLYESGYSEK EKESANSFEKEIKLFNKSNVRQNFPNSISKKSGDYEKDKNKSYESNFSLQFNYRSYPL TNSQILNWMNNSWSEYEMVEEDIKKCIIMEIDQIKDKNEENKKVITPFHSLATPKISI GDYFITRIVKFVSLTPVDFCVMVILIRRAVKKSKGTLKVTTLTAHRLVLAAALLTYKL MYDVQYGIKFWAHIGGVPQWEMLMLEYHILKILNWDLSINYHEFKKTYFEILSSRDLG DKISNKTDINRNEKIESQNKVDHTQVNSDRSKRTRLQLNVSRLNSNRKK cubi_02926 MIFPKVRLVSSEGEEFAVDVRVVTASTLVRNIIEDVGIDDPVPL PNVRGDVLRKVLDYCEYHVDNPSREIPKPLRSNSLSNIVCEWDEEFVNIQQEFLFELM LAANYLDIKPLLDLSCAKVATMIKGKKAEEIRQIFNIENDFTPEEESAIREENKWCDE P cubi_02927 MILSSILETLGSLNYEEAFSKRGLLSTIVGIFLGLIVSTTVNAT LVEISLSPFFSTYFGLLFVIIGGLIFWKVYVTIISDEENGINKRTFLLTFASLIIFSG FICFILENDWFLGITPIVRVPIYTILGLSISFAIAFSLVDIVNYISGFILSNDSPLLV NSKAQIIFVICSSLFIGIIFGFLFGLVEDSHEQIHYVNISLMKQKHYCYTIGVIVGAL CGFGNEVIRLSDRSYMIVGKTVYDSDV cubi_02928 MNQVKHRIYCDLLDGELPLLFYRNALSLENRYTDKSTPVQLCYP DMSITLGFHPHSFNLYQQLNLDAEGEGIFERPSLIVSTECKDFNNIRADVYRWGIIYV ICTSLLLMYILKIIMENQVYVNDIIGIKINKSAFHIVCLLVSLSPLLAVIERCPFFMF IYKALFPSILIIILLFFLNEVWDLLFILLLSIARLSISSIQYKMVSSLFS cubi_02929 MLLHKEEYIILFVIIFLYFNSFLCVSSNSRNKIDPALALLPWTL NNKSNIEIRVNHGCYNWKFEEDEFLTVNSLVVRTDDFGNNCTDVVNVNPRWPYMHLKG IFTMIAYDIYTGENLRSEIHIAKVESIKISTSSKRIRLGSLENLYAVGFDNEFNTFTS LNGLKIVWELENDNIKSEKNDGSQILVIGSKVGSVNVYVKIVEDDYTLISSSVTIYVE DPFKIIPHVRRAPFGSLYPIHLVKEGSVNNLGFFKKEFHYCEIDQNSEAEAQVFEGSK LIIGNYNNQDTDAEFIISTTCKDRRVDGSSFTNIVYSSFPKGILFAVQDELNLAAHQD EFNFNNFAQLYFIEGEQLVERSGFFDIILQNNELTIVEKKTIFLQLKLFNHKREFLDV PINSNFDFSCRNGCNSVSIIPFQSEDYENKSNNGFFKIVGNSVGFSELVIRLNSIGDM NYSELNRNSKLSEITSSLKINIVKDISTLFLNLPVILYPGEQEINIRENITGGKGPFF FCSSNSSIAMVDFHTGLLKTNYITGMVSVIVYDTGTSHYNFKENNLDCESLKYVYGYN IPVLVAYINGFNLELLSSNSSFYNNTIYTSISQRSLSIEIKAEPLRDLNALLDNQYES SFYSHSFNNLDTLDILKLFNPCRIVEAGNLLEFQSNFKSKSDLSINDCLLIIDDLKIA PYSQLASNYDSNTIQMDINEQKINIELKKHGSIVFESFIEFGVEIFYNSKIIKRKILN TGLSIYIFQEISFIPIINEIVLSYFESSETPSNNFFIEKSSKITFSLQGGLIDYQEKT NFLIEYSKFKLFLLINDEEREIVGTQKEINNHHSIQISQLDEINKFLLECNGEPAVGF IKFSMMIFDEYQSEVYRMKSLLKVFCKSIDHINMFWVNRSPIIGKYTCNTRNDNCMVF HFNSKKKHRFISLAYDKNNNLILSFNRFKSKWEIQNISEFSIDFVGENEQIDKNIIDL FINLGTEYNMKDVNIKFEVFISNQFDENNQNDDLTGLSIITKGIFTRPPVLISPYMLN RQLKLEDYHQFENESDGINFIGSWNLLEGMHQFGIIHGTNNFNVFTDEKYVIEYSFCK DKMQSEDSFLIENKFSDNSHDIAHIFPFCLDSKNFSSDIKTKKINIYIEDKLIIPKYL IKKELVFNNLNRLHLVWLDRINLGEINKESSSSPWVTYFTYDNASDHKNGHLNSLKIP RQYISFSKIDEYINYFESNQRIDNHLPCVKWNCLVDDKSRSILVVIMYDAEGVALEPW QNSEFKIEIEYRIKNSFYDPNLEFEEQIKNGVSDFSIEIRNLSSTTFQIMEIKQKNID IEFFAKIIDLNSDILMHSNSLSLKVYESIELEPNADTFSLLPYGTPLHIIFKGGPGEF QNTKLEWNIQLEKNAKDKVHKEILLIRDKKKLIIEPLGTIGKERVSIKCFLTNENEDT KTFKTLLFSKLIDIYVDVPNEIDVFSPEKEYLYLGYPKVYRLRTWKTDETRTLQFHHS QYFPSGLTNCRTTWSISQNLNEGGYNSVNSESKKCDFHSNLVCISRFTGRRQIDRFKI NNFLGDFTYSNISMYDYTVLLYPKNIGISYLKVRLNCNFGYKEKIELEYTQKLNILKA INSVENGIWVIKDSFYYFNIPKEVFVKTNSTLDIEYLNNSQLVYLNTWKSNETIVFFN EEKRFEPADGSIITVSPIIISDSKVVLIEFDKYDDYSVMLSLFFFNSMGVRLFPSPNY CYGLSLHLIPVKNGNHNFFVKAGSNILIYLNGLFGNRVFEESSLNENCQFILKQNPEN KPLMLIEEINSWRLMDSESDLYKNSSDSCFIIQIYSNRNRIIGSQPFCLKLNAPNHGK YNEHSNYINTLNIGSINNSIFKTKLYEFEVFAGSILHLNPIKWKALNSTPDKLNFCVI VDNLRKDLDMPFKEELSKILNIPLKLINITYDQNIQSKLFDHLNISSSNKQLVCISIN QKIDPFELWVILMKYSSIISKFYGIFWVGMNEKNGINSSRDYNSEYHADILFWELLTN CDSAKIIGNELLAIPLYNSIKYIRLRLKNLIEIHLKVIPINAKFPDEDFIIVNKKYDK LHINTQFSFSIYFDGNEIVNKFFNNIYYSSPIIDVTCEVYDPILKKIYSSTPYWSLTV LNNPLLLPSCNMDPRYSDLSREIRNSIMSNYYTRNFIYILPKVTKTTFNITIESQSSG KLKRSVPIHFYPILIKSQSSSLFLKESTFIRGLVDDFHQIKIVFPFNSKNDYKFPIQI WFWFGYRDAKLITYTIRDQLSNEYILNFTPSDLQGEIKISRNRGINEPSSFDSNIILP LDILFEFISNEQKHQINIIFENKNSDNTKKTEEKVISRYFDFSKSIKVIRYLYMIFTP LVILSISIFYFMLSKKQVIAKETNSSPFRKLNTQKW cubi_02930 MKSQIKKKNFNNKTTEPIRLYSKAIVTGYKRSKVNQTPDISLLN IEGVKTKEEAKFYLGKRCAYIYKAKTIKNGTKFRVIQGLIRRSYGNNGAVCAKFRKAL PPSSFGGRVRVFLFPSNI cubi_02931 MEDEINDIVFLEKKLGIIKRKKDFELHEEKKRKALYKKIEKEEG VGDDFMTLIDGILSDIYTKKKKKKKIENESKHVKKSLNNNISIQSQKFDSSSQSNYQS ILNWKNEFGQRVQGLFNRLSEGNMTLILGKLIELIKSTVRLAYNNHNFGNDSIFQLRN ESIQIALGYFNNDYYVVHRLIAITDIIESELIMLFVKNCISQPHNTASLNTVYSALIS SVGIILEGCFNRKLMIVLNKIYNETLSNILQNLGSNIEKYNNKIILRHITISLIAIYR CGFVSSSVIENFIKAGIDRYKCSKSNIIYWECFFDNVLTIIRGSAFYIREESNFVYEN MIESVGSVISKAYNFESQLENKIEQNHSEFVGNPLRLRFVIEELNEWKNSLKNQTLSQ KLRRREGIIERQLNTVHNWSLNCVLLKHLQVIHKSKKKNQNSVILELVTYPKTLIDYI WQETNKNLFLGYINKIPPEEDAINKLIIFYNLGIKKLSPKCVVNKEYETVNSNITNNQ LLGLASKMRFTSDIQKSIFIALIGASDEFDAINRLTSLKLTQSRTYLSSVINVIVISS FSEHIYNPFYYKVLKGLTELPAVISKKINGEIVNCFSQKLGILHTFNIRKIMVFAQLV KDCIFDNIFDLRIIRFIKINDIHALAGSIGLFLKELMIQILLEKKNIEKSRFIFELFS VVSKMPDLREVILFVLQVLVIPAIEKNLLESNNNYGISKILMSHENSSLYPKQFSIFT NDGVKLSCRSFGNIPSKVPVIGIKNIVFVLVHPYGIMGGSSSNMLGLALSLADKGYGS IIFDHRGIGKSTGYKSIFGNSEVFDVISICNDIKGKNNSIRVILIGSSAGAPIAGSAV DECENVVGYIGIGYVFGFWPSLLFKQHFNNILRSKKHKLFIMGDSDGFTSIDVLKNKM HE cubi_02932 MVKPEFRIYSFPSSETIKPRIPFSEAPCSNCTNKSYECNCNAIK EGLGKYGGYTIKELDSLKSQLDSVRNELDLIDINVWQSFTRTTNIAQDVIYKLRDVPI ELATTGWCKLYEILHYFRWHEYMNEAEYHSMHLCECPGGFISALNHYIHSNVINKRQR LGSSKLHWEWKANSLNPYFEGNNPNSILTDDIIYRDTYRAWNMGADDSGDITKLCNID YIWQRTSKNTKSCWLADLVTADGSLDIQYNPNDQEKLTSSIQYCETVCALGILRKHGS FIIKCFNILHHTTISIIALLCYCFQNVHIVKPVMSKGGSGEIYIVAMDFQGIRSVLLK ALISHFQGNRDKFDEISLFPRDWLPEEFIEQCITAATLFSRWQIDHIAHNLLKFKSSI VNDPSYKKMKFLNFESLNSTCNNLDESNNVSEQNKGELFKERYLFECAISKREKRIFA LEYIQNLGISSIPTSQYILPNLQHRKEYLNSTSLSRRGGDRNRVQGIFIDRKYAFQQY MKLQETRNKFYFPSMSFFKLVSSRNINSFNKKLLFFIDEIVNQNENIDSESNSNGLND GLSRIPLKKVDIELNFTQILPKERLPKKISPKWFVWSKEDIAYQRLIDRLKSQTYMFE SENFFKKVQDFTCVSLQMSPYCDEDLIQRFCETITAINSPIKKITFGIDACVHNEFYN NILRWTTIPFDTSELLDQKENNSVIYSSIHGNKFEMNYQQDSILNSNIIDDLPLYCQI PANIHILGLFETIRELKRSECNDQCTISEYLGKIKNYVEIYNGVAYHEVLEDHSKFPL TFLLNIDSKSHKHGTIIIISDEETDELYKDTNKTKFNRCNDLTFIDISKEFKYCNQYK QIINAITEDYNIKKNEYDLIYIDSYWHLVPTFSLGQFEVEESFNLVTNIMIALNLIKF GGNIIISLKTALTRFTAGIIIVLSSVFDKIALSKPYSSSSPCYSNFYLICSGFNDNSN TLSRHFFQFLWDVYSINNRENSSMVQCVPPTLFASDNFLHWLKEFNNEAILCDIELLE AVIEQQESSDNLEPSVLDGGKNHKDSEYSSNWEDFPNRVRIQREILRYILIGGNSLSS GENFLSFNGETIKSDVIPKHKEIQETSHNIDEKNLDQFDVKILQNDDSFSNFTEQINV QLEEFKSNRNIDPFEDRAGLNEEIDVFCITPEIEPNDPFDHK cubi_02933 MRKFAINANRRKVFKGIFIETITILGWTVGSALHSIFTKKSFNK LSNDEPISSRIIFGSFLTIIQLLLAAVTGIFICGIIHFIHRRSEKKQDSAELVDNVMA IDGNDPNIEGSITESTCENRFEQTDFTQVSKSSSYVIDDSIEVNKEKTELNMNLNMDE NVNINYLILNKEYHLNTFNRKMDFSATSFEIESNDEKEPKKNKLTSKSLNKQQNTQNK QIQKERWWGSNKMLFSAALYAIANICANTALGGGKVMLVQIIKCSELILTAILAFFVL KRKLTIREVVAFSISTTGIILVVTSTLSNGNSGSKVIIYSVILAGVGAFTISLRNVIV SSANKNEKAVDTFTILSFWGMVTSLTIFSIMSIAFGGFNINVPVMPLLISGCFHATYN FSSLAFLKLVGSPIVHAYFNLAKRAIIVLTAELINCTTPPFVQILGSIFAILGIHFSK KRKLLFKRKKKVADYVDEIHNKNINCNAKVEKVIEEQPNVVTELKNKDKYPYAASIAL SSLFIIIGWITSYTCSCVLPKKNLTPMDEIKYMNSSFIGLEKNNQISKNEIKKVFEID NWFNHYPELLINLSEKKPKLLSESMKKYKNISENFFVQSEIVTSILRHTIKNVKNGMV FGLADHENKGDAGINWSQYLIFKRFDIKIIYYCTSNNSHKYCDFNKALKIANSLPHKP TIFLTGGGNLGDVWPKYENERKKILEEFREFNTIIFPQSISLKNRDTIVDFEYLKLHK NLTIFVRDIYSYDYLHNILFTNENVTNTKLFLTPDIVTSLITTKEEEAKVQNYLISEA YDILWISRNDREINKRQRNNNYNNLNIKIDDWINFLPTIYSKGISYGEKSILKRKGIC SSSQSVTQITSDSTNESQEPNFDVFSIGISLQRFCAGLKFILQGRVIITNRLHGHIFS SLLNKNQILLDTKYKKILNYYKTWSYSIDENYIKFAKSDKDAFESAEKMSGKLRSDNC TELFLKYCSKLYL cubi_02934 MEIITHDDTESIKFIHGPRFRFCNNKPARILICNPLKYLIVEKN SQITIFDLNVILSNSDGLTYFESKLDEIKHAQLGNYDFGHESAITHIVLDFSSTILTV VIDTKILLFNLLDLDQKSEWISINHDGIQSITWTQNHDLITIESDGSCSFYYFIPSEK DFYRTKLILDGSSDNFTLVEACKSNFCGIQFILISESEKNIILITNELYNMIISSQSK FFNIPKSFIRPFSTLIGPITDVFQGGNSKMNLINQLHITSAKFIDGEDLYLSISLIGG LEYMDGYVLFFKIDSDLKVELSSYSINDLCFDNVDSNDMDQIKKIRCVSFWVKEWGIL FIGSAVFSQMIVFTPNHSYINKENLNDYKSHNWVRLAMCEGYDINCTDFDIGIFDSCL CTCNKRSIRDPKLTIDAPQISQPPIIFIAETNGDIITHYIGGDPKLIKPIKSEELDSL ITNYTSCNSLSRQDLESKNQVPTNNYMFHLVESPLTKFSISSKKDNSNSSLKAIEQIL RIQKYKPINQGISMINKSEEKLFDSIMKIESQVLQLEKKFININEKVLNVLNSPLIAK RLINFCEFVDKIKIIANENSNFYKLISKFNEISNIEIQLRRLHLYLMKKISEDVYKNT KIEILKEKLRKNELTSSQISILFQYNIELFGLLIDNGVDKNQVIMNSLISIETLSLKL ASRISQVSIFCERLNYRKSMEFEFTSLPPPEYNYNTLHSNEQSYATPNKIPFDSHRNS NSQKIPSSSVRISKKNNFDSQPDSKGKHRIYSSKKNINLSDIINNISNSVIKDDLYKP SFSSENESNILKVQRLKINNYVSQNNTPGRYIQIRSKYLGYSCDEVLSDKLGVNNRPK LQNNTFFELSWIPGLIEEKNASLKLMEHSSHSFETKNKYYVKQGMPNLILTNCIERLE RIVDHCEIANGSISFIEREELLPKFIKKIGKDSVKTTEISSKSLLTGNRIITSQLLLS RNSSLQKNMIYRQKLLLILSGFVGKLSNSKSTAFPQNTNCSFNDLKSRVYNKFDQKDL NFENSQQECISAISFAFPLEKNQNPVTLDYKTVLLEQQGCIDTNDLLSGSPNIPGKTN LKNSNIGFVTELGDKTVLKHPNYMSEATPSDKIDFCNYIETKFDKDSAKTNSNMAYKK ITQDDESFEPDLNNTSFCTNNPNLLNNSLNKTTCELVSNNGNSINLEFNKQKNEILQK DIKSSFFSLSLINNETPNSKRASEGIFAFSTEMNISLNLNSPDSKRDHVKNQISDELN IFSFSSDSNPLKPINICSPPKQSSDANHLSSAIVKNGLHELDELDVSKVNASTISTNI GSTIENGNSTLKFQGNNDLEQKDEESSKPSINLGGVFGFPNSLSNMNNAPKVSGFGSS QFLINGPFSSNNGGNSLCGNPKNDSTIEEAQSFEFSAKNLNNSIPFKAYPSSDNSTGG FVAFSSEFHGFSPINAQNSTINSFPNNLNNNIFNFAPPQKPRQVNKLD cubi_02935 MDNAIKDNTIESTVCKNSFINSVLLDDELSSTIIQGFDSMACEI REIFNNYLNKIRRKIINEFEILENEKSSFDQEKKRIIREMECNRKTEIEKIQKLRNLL EEETELTKKLIIKEKNDNAKKLLIQKELFYKKQQELTNYWQMIENKLNQEKSEIERIK DQITEVNFSARSTVEINVGGTIFEVSKSILTEGKAKGSLLDRIFSGKTFDIEIQTDKS GNVFFDRDPEIFKIIINYLRDNTKCLPSPSTAQMSLDILKEMNYFGIKLYDNSLIYVF GGCNGEKILDTSELFITPIYLEEGFSEEIKKNIGWSKVKTMLTPRAHGSSTNLDKTNC ALFGGYNNTNKALDSLEIYDPLTDSWRGGPSMLIGRRNLASTTFEDGRIFAIGGFDGE NIISATEFYDSRTKYWSVCSQLNIPRSSASCVKLDQFSIAIIGGTCGDKRLKSIEVFD VRRNQWELIQSKELLEVRSGSIAYSLYGRVCIWGGIDEKNNVLHSGELFNISPSSNEN TSTYIKPLIKGVIDAKIQPVSIGKYSAIICGGQTVEETVKTTQLYSFQDDRWEQGPDL IFPRYGHAITKLDI cubi_02936 MSISRVHVTRCFSFFLLFSLAIFFLVHVVLTKNPNSSNKLEINN SSIGSSYEKQNIGSIHTNRGSIKLDSTSSDPNKIHLDTNLKNKLTRAIQKSFVKFQKG NLSENDIDIEELIFDIAKLALDGNGNQIFPSLELIDFFQKIIETSKYNTVTTLDFILA FISKDDFETNISHDMKRLKKFTEYLSYLLKYNNENGLNKMFNFSKETLSLIKTNIYST IWKMEELIKKDKIMEYENENLHGKTIYSSQPVIGEINNEINSNLPRAVFSYKLVKQTI IEILDTNMNKVYSKLKLIYGKITYAGSERKNKVLSLNLLYSIYLSVIIINDLYDQGLS SNVLSPGFIFIVLMRDSIDNLPNDWEKRLIDNNINYGINELDVKIQDIKYSHPESTTS EDGMQNECNISVLRSNVKAVFSNYGIDHYKFINEALKKYKTLFNQSPNRQFNSKMWFY GFSFHENDFNNQFNKKWFFDINKLVIENGVTKAIDFMDEYYLLEISLSGNGLSSAIIV GESKIMKRSLIEYLAHRIINGISSIDLKGYRIINIDFDSLLESCKSAKRTLTEQIKIK FNDLLGTYDGKVIIFTDHLFSSFETPTGSKRLYDVIKHYIIRGNLKVIAALNNENYDI LVEKEAEVKSIFRKIKMKELNGIVSELFISGLRYQLELSTGIFINNNVIHTSVLMCNK YIENCILPDDAIELINYAIRIAKNEQFLEIPSEIHGIEDFISHSRTGLQVSKIRDYET NSIISTNRSLLMQVLKMHLKMKNTMISLALKIKPYLFKFRYLKTQLYFMFTYFDYPIT CVNKPLEDEFKILKDREKIIPNFSDELSVAQLTSIYRSNLLKYKQNKNKSYDESINDE VSAITESQLDPKFFDDIHPIIKDKQKEVADMKSLILEMSFNYNSIYLPLGMGEIDASH IAFIISERYGKNISKLLNEIEYRKLPERIKDQMSGILSKYIIGQKSAIDYVSFHLGVE LSRDNNKNVPKCLLFVGPPGSGKKTFALALQTTLMDISLLSNDFSYDITMYSNFKTLN SSDFADEDAAKRLLGDNPTTGIIPEELRQSGKTLFLFDHIEGMHPDVIRLILDILRNK NNINHKIGYLGLSTFILTTEVGSNFILDDPDKVDTVKLIIKVVRKHRETPSGDLVRAI QNIVLFRPFTKEEVLKILEINFVEYSAFIKKNYNVTLAQPSQSVLNKIVDIKYSPELG YKPILDYFEDMKERIMQLIKEGILKPYMTFKVTIRNDAPAEDSKELRIDFNIVKRSNN SR cubi_02937 MINERKELTGIDGRDLLCSESVKTPVSSVNSDKQKSWSMRFGIY NKKSISETQNNNFNGDLNKVMELNNKTGNFNIDPNLNTSNNGNYSFWPNSFEDIQRGG SAVFNRLASRIEEGIQDLQSQIRPDFPKLPNNLEGKIPLGFSFSVSAMLIPYHLGVIL ELQEQGYITESTPLSGASGGSIAAICCALDISMYEAMEACISLYEDCRKNGTAGRLNQ VLERELRKKLPDNVVELLNNRSEKGGQIIISFTQLLPVPKAHFVSDFNSKDDLIECIL ASSTIPFLSVPWPTFKCRGKPCVDGYFAVGRGELGCLPTNAIRTVKVCPLPSAGSSMK SNRSDVISPHIQSYDWILFGPDSSNTNTGLIRTREWIKNLRPPEAIPTDLNKSNLLDK GNSVKNEINTKEHLENCEFKEVFNSCYINNNPNLNAPPLMKYSNNELLRIAVDPPSPQ VAWELFYIGRGDALRWIMIDRIRENSYNLMEPTYN cubi_02938 MKTLELLSKAQALINKFPPEYENANILFTQAVKLDPLNSNILSA YGEFMCSIGNFDRAKELLEKSIKINPYDDYVKYFSLAQILDGEESLFYWRKGIEILRE NIKNIMEKRENDKYSIEKLDLMRDQLCSAYCAISELFMTDLCYNNEAESQVRENLAKA SEINEDHFETLCCKLSYFKTIDDFEECKLYVSKIKRILENKYNLCVEFKDLVDEYKDN EVLLPEYSVRLNLSRTLIDLNETELAELVLSTLLKEDEEDWQVWYLLSWCSLVSEDVN GAVECFKLFEKYGNKHIQDTELKQQFMSDIDNLKLNIEKIKTSK cubi_02939 MEDSSFSGNISAYGDFKTISEQFLFSSESVCSGHPDKLCDQISD AILDACLEQDPESFVACETCTKTGFIMVFGEITTKANIDYEKIVRETVKEIGYDSEEK GLDYRTMDVIIKLEQQSNQIAGCVHINRNVEDIGAGDQGMMFGYATNETKELMPLTHV LATSITRELDHIRMKGIFPNVNWLRPDGKAQVTVEYSCREGELVPKRIHTILVSVQHD EDTKNEEIREFVLNNVIKKVCPSNLMDEKTRILINPSGRFTIGGPAADAGLTGRKIIV DTYGGWGAHGGGAFSGKDATKVDRSGAYMARLVAKSIVFSGLCSRCLVQVSYGIGIAK PLSLYINTFGTAKNGYNDTKLLEIVNEVFDFRPGILIQQLNLKLPIFRKTSSGGHFGR TEEEFLWEKPIKLQ cubi_02940 MSLWTNEFEPKTAHELLVNKSKIIEVKSFLDNSFIRIDLSQSLR KNILVIFGPNGAGKTTILRCLCNNIKIIKWDPPTALNEGIGASFYRFIFNSLFFSKAS SNEKVLILIKDLPFTLLQHNTQILIEIRLFLSKMILNPSLTIPIVFIASEDRNDRQFL KLLLPGELDVYNETCADKKLSGKIKIVRLNPIPSTVIKSKLKYILKFKRILVGRNEEN LIERIVQTSNGDLYHAVSQLQFHFGESKDICMKNNTQNLSFKSELSFSGKKRSNDLNN HDQHSQSLYKTFNNFGKEPMYNVFRTIGKILYNKREEDTNKFKPEYIPITYTKRTKMN SEFLDIYDNRVGLTQYVNIEKDSNCKNYCEGFKDLCEHFFSSHIIQEDSLKGQLSFDL EDLLLYSGVEDNSLILLLQENYIPFIGNCMDTIFCSDIFSWSDIYTKDLNSDNFSSSI LVSCIARTILYFNSKPLNPCSSDYQTQDNIKAGFSRSQSSLWKKQECIQNGKFKMNNL VDKLKKTKFKWHPKKPAFKTFISNLEILKEDYKNLVEKCLPSFTEKDNYIYLLCNKSV FTEIFPYLSYFFVSKNISFTLNSTINNELVIFLNNWNKYSKYIPTSQLNFKDNTGVGL GNGPKLYCYDQNFNTSYCEYLSDEQLVSILETCDVENLQSNPTVNNCFELRNLNCSNN DIHNSISSSDESVDDDSE cubi_02941 MTPQPSSTTISGTTNGSNNDIDVDSIIAKLLEVRGSRPGKPVQL TEKEIRGICIKSREIFMSQPTLLELDAPIKICGDIHGQYYDLLRLFEYGGFPPDTNYL FLGDYVDRGKQSLETICLLFAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRYNV KLWKTFTDCFNCLPVAAIIDEKILCMHGGLSPELHKLDQIRKIERPTDVPDTGLLCDL LWSDPEKDVRGWGENDRGVSFTFGHDVVEKFLRDHDMDLICRAHQVVEDGYEFFAKRQ LVTLFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPVEKKKLKP cubi_02942 MCGVSAIFILDINGKPIIGRNYKGDVSENGVLDAFQQHVIEQEE SCIKPIFSSKMITYCWIKYNNLYLVLLSRKNSNATMMITFLYKLIEILKDYFKVLEEE SIRDNFVVIYELLDEIMDNGFPQITEVKVLREYIKNEAHELSAASVLVSNRNNSSIKP PSALSNVISWRPEGIKHKKNEIFLDVIEKVNMIIGSSGDVINSEIVGTLTMKSYLSGM PELKLGLNDRLGDASFSTSNTSRDSVSSSNRSSILKNKSVEIEDIKFHQCVRLARFES DRTISFIPPDGQFELMSYRLTPSSNLKPLFKVDVNIENISATRIKYIVKVKGQYKARS VAKNTEIQIPVPNDVIIPTFKTSIGTVKYSPERDLIIWNIKTFSGQKEFTMTAIFDLP SIVNEMNSSKRPVTINFEIPYFTISGLTIRYLKITEKSGYQALPWVRYITQNGNYEIR MP cubi_02943 MSSYLSGEKYEFLSTLGLIGVVIGGVWYMTKNNKKFLGRKLNNE EIKNVLVSVSNELHPTLMEFSHLVSSINNPTDSLSIEFLNYAETIFSKGGFKDKIVKA QKEILNTLAIDPENFENLLYSACKVDREILMLKLGIDKMYQDSLLGVYPLLPYLGSNE NFSREYPKYTKDYILNGLRKLNNEKEKGFKTIIEEIGDISEHVTKHPISGITPSEELS RRLKEANRRSEDTIFGSVENKRLFSHAIALYSREVEFVKKKKKLEKEHSDNILNIIIN CK cubi_02944 MYIRELKICGFKTYRDETTISFHPGCNCIVGLNGSGKSNILAAI QFILSDSFGNTLVERRALLHEGLGPQVTEAYVELSLDNVGRRLSMYDEDIVTIKRIFR NSSQKNEWQVLGKNIPKKDFDSILESCGITRNNPYFIVRQGKVAELATMSDASRLRLL KEIAGTRTYDEKREESIKLLLETETRKNKVDSVFDDIQKRIDILKDEQKEFKAFLSLD RRRRTLEFLLNEYEWEEASKGEQEYYEKLLKSRDKLASVERNLSTISSNTRSLIESQN NLDIQLEELEHKKKEIQNKISYYSVSIADLNFEKNTNDCQKKIKNLSKLEEETKGKIL DIETKINNEKELLNKFKMKYNFILKEKDLLKQKESNLISKNDEIEFSTIDEKKCAINR KIELHNIEKEKLSSHLNSIKKSLVEKENELQILDSNIFKWKEEHFKSQKDLNSVIEKI EVNIEERKTALEKKHEINKSLYKKENHSKMISSQIQEKENYINCRTKHSIKMGLSLAR EYCDKNNLLWGVVSNSEEMSETQVFGTLLEVIDVDDVYSTAVEVAAGNNIHNLIVKDK EVATKMISHIKTFNNSRGIDKKYGQNYSIVFSPIEDIKTLGTSYFKCEFASEKNAISM IDVIQFDERVRPVIEQIFGNYVIVESLDHAKYLVDKYNVNCITLDGDLWDNKGCIRGG YLCLSPPGIQSSLIICSKQLKNLIHENSILYSEINNIKSEIREVDDLVCELNRSREEF LSIRERLISQVSKSKEMVHLSESKKRNIQSIIIKVREEEEKALRNIDTVQNYILTLKN ELSCDSLSNGLNTDEENLLKEVISKIRALEHYEIPKVERELSDLYNNIDKYEVHLNAL YEDFYRVQEEKQEEDYRTLNRGYFEANGLLLEYNKSLEESKESLSEIVNKVESIKKQS SKIEDLKHSAMQEESIAMNNRKELVALTSSLQKKYDKFRQAKELALHVRTKYSDFQST ISEINIPKEKNGIYQELKIIQKKLSEDYKSINRRVISELDQFIQEYTDLSDRHKELNS AMSSIQSLIETLDIQKEKTLLKTFEEINFYFNQVFRELIPNGDAKLFLRLSNEVRSTT NENEDNTNRPFARKSDSIGNCCSNTANTKEDDSNLLGIGIRVTFHVGSSSQTNSTNLQ QKNSGPTESYYSLNQLSGGQKTLVALAFLFALHRADPAPMYLLDEIDAALDDQYRWSV ANLIKKQSISTQFIVTTFRPQILEVADRYFRVSQANRSSYVEEVSKQQALELQQEQYQ QKKAHELISDE cubi_02945 MDRLIESLSHVVIENGSLGSINYLHSRNENGVKDNTHSFESEES IVMKNKYNQISNSKNDNLVRSSIYNNDLSHIYSHQISDCFVKSDSETDNSRHKIRDSF EASGGFRETLGANILKRFIIDCDGSRNPSGPTANLLTESVLDNFEQSVQLDEKKTASD IAMKYSPEDIILSKVRGIFMTRYSRDSITQEQINTFKPNTKKKNKVNGPMERLISNFD MEAAYKDMEAAFSEAIDDCYARFFTNLPVNLLEDAIHLYFQIQAAYWWYEDMWYDKYS HVLPKLSLRVFGQFVAEDCPILRHFVSSPEEHDKFLLNWKRYCKTIPLRGVILINREF TKCVLVKPWNGNRFMFPRGKMDEMEEDSLCAIREAYEELGIDVTKHLNDSIYIEKQVE EQTIKLFLIPGIDENTPLEPKKRKEISEIRWFNFTSLPNWNVSNSIKHRKPRNSDNFV HNDSNMHLISNDCVSCVDKQDVHNSEDEDLIESNERVHENLQSINEKPDSSQFFRVSH FMRNLRGWIEVLKKIPLYNPSNPDGIIPNLPKNLNPAVIRRLQNADLGGPPLSNLPLF VRVKCNINERKGQTSSTSQIFSQNSKKKSKQYISDAIKDKRTFGTKAGSGWDVESMFA LNEQKFGVKSTFSLDEYTIPLPKIKQKK cubi_02946 MNPTDGCKCFFNKCSHNINLKLSDSKEVIYGSSEIFLESETEFP KKIFLQTPSLFYCDIISVEINGNNFEGWSISPVPTLEDSNKIVGHNVFDIATLQMAYG FISSNYGEISSDQSNIIENRLLISISDKKNLNDSDIINGNKYKYSMAIKVNFELLLNT PKSPITYIIENDNSINISIIPNGYSKLPWFPTLSSALYLTEDASPFWNSSWNFKCTVP EDYSAIMPGILKTTSFINEPNIIGENTFEYSYDSGTSFPHLYPNNVSLFIGKYKREDK TIGKNTISIFRPTNTFQTINIANFVVEIMEGYEKLLNNTLPMKSIIIIFSSYHIGNQY SHSFNRHGRVSDSLGNVEMLSNASSPLLYQENSYYCHLCETDIGKTISNIIIIPMDIF LIEGVLHNISKPIDNKKYNFQDHFRMQIRNLRPPIIILLDRLASLWFGSYIHITNWKR LPTDSWMLLGMKRYLIRQMAKKLCGEDLVKCRMKQALERCLLLIENGNDYIPLSVKDF YIQLQQNGTQSCPIIHWGQRESQTLFRLKSDIVFHSLQCYVNQMIKSKTRKVGFGFSD FDASIKQNSQSKIFNIFIQSFISKHCIKKNHRNFTTNHFFLHIASCISQQFIHRSKKE IAAAQLPQHEQYLLNEIQDELLAFRSAWIEGVGCPNLTITSTFQFSNKNTSLDHALFT VDQTPLQPPHLTSKEGISSFIPLVSIFRVKKNATPKSNIERLIFGCLSFIDGIYNTNS RRQFSNSITKLLPSFLWPHDPNNIILKESNITILGLGSTGRIPIPIKFGFGPLFDSVF QDELLESTKGCANNQNNKVSFERFILDNNLNKPTQPDIYNKKKNYSNSSNGSISSWQF GYMNILCQILLKYHESPLTRINNIECLCTELASHIPISGGTGKFWPGHTLIYIVQNEE NKNCELETIEPQLPVSQEIKFEIQKIKSGYKRDTVPTSSTSITSSVCVQGITNNQNSI THTNIKMNEVPRLSLLHDLQQRKHFVGKLSNSDDIWLQPLKFSRNYKEIIVNKDEQFT LNHMINSENFNAHLLWIIADSSQYWLAKISRYQTWSMWDQQFLNETDVIGQFEAAYQL GMERYIFGDSYTYNLTILERAFQSLNILMSALYHFDWNISVRKKALISIIKIHNKLSS QDLIKSDIHSIKMAVFSFIKKFITELISIIEHQNFKELNNLTLNVFFFNELEILLFSI KSLPYLWDSETDLTSLEVLSFLTELLDKYKTPSNDKLNFEILCSIFEAIERIVLPLSN SQYAPSIDRITSTISKLVVDIPKNYGCSYMYENMNIFGILLRILSKHPINILTPVSNE FKNDFGVHFDPLWFIDLPFMYRNVWWDDYSSIHGSIPKPLYEFNDSIIQLNALKCYFS LSLQGFTEIMIVFIEEDSKNNIKFKSICPEMIYNMIFDSFFECNKQIYSQNMTSISGS KRSEALKTIGIFNIWNFTNKSENTISAHTFPKNLLKTLCVCTLIHIILYNGIQESLKL KKGNSDYWNTINNLWNIFICAFDDIVRDLPHLLDEFILIQAHAEFEPLINSLTNNKSK DRKILDDIKQCCAFISQIILNSPISLSSYPFDPLIFQNISNIYVSLFGHGVPVCMNQP PFISENNNQNRLIFDHSNGYILSGMPEAPMKDSLRKRQKFIRRGCDLSDSIQIRRLCD DETYIISRGWKHMCKKITQCLIESPYGAPFIHPVDENDAPDYYNLIEQPIDLSTIMNK IKQDSYESIEQYRSDIDLIFINCKKYNESTSMIVEWCNKIQSEFDQLFKPVCKLFA cubi_02947 MKVINPNVSSGNEIDFQENKREMYEDAKKKQLDYAIKQGIIGSV RMVWGLCYAYFTSNGSNQLFGKFSKQNYKRNALSKIELPKYRLIIILLLILSSSLVSY YFSILIGNFWSALEAKDQDKFQKILFYYLLMLIINGAINCARTDLSIQIQIDLRIWLT NLIMKQYYSDLTYYQFSINKIIDNPDQRIGEDVSLFSSHLLLLICRCIDNFFDFFVYS ILLYNINFKLFISAIIYSCFGTFLTAKLGIDIILLKVQEKKLESDFRYSIMRVNENAE NVAMYRGAQCEMENHNQILNLLIENLNMKRSLESKMGLFGSIFRNLIRILPIAVISGD YFSGNIQLGRINQCSLAFNSIVEDISILVNTFREISNLLSSIDRIGHFIVLMADNFIE SQSTNIGEKLISNFECKYKTGTMIDFLKLESEYLRQVKEKSSDFKLNFPSGVVLNSLK NRVNLEFTNAQGKSNVNLSGKIRSVIWPEPKIMFESISIYTPGYTRKLLFDVNFTIEQ NDKVLITGDSGVGKSSLLKVISGIWKNGSGNIYRPPLCELLFIPQKPYCTQTTLREQL FYPKKPSMKINGRKYKNKKELDLYLLKVLEDVGLKYLSDRPLENETGFLDSIKDWSTI LSLGEQQRLAFARILIFNPSICFLDEATSALDMETEMKLYSMLNETKISYISVGDRLV TADIKQNFHIFDLLPNYSNSDHVSKLIWVETSTLYDSSISGTISRISWAPECFGQLFV AGTTKKNISIWSETRKGYQDTYHMIRNNSIFVEHEFDFKNEVFPNITYGSSWKLTAAF SPFKSKISNIKFANKEHGLIFAACDSNGIVGIFKCNDMAMKVEWELETLKVRKTDNFL DSEIANMEKDYTCCLDWIPYNVNTGIGITVAISNYIYIFKKKSKFWNCIETIAVNNAE IIKDISWSRLTLFTDYYKLATLHEDNTLIIWKSTESFILNDFGKNKTILQLNKFEGIG STVSFTLFTKNNDHL cubi_02948 MTKNHRPGFFESTLNIFSEILSDNIYIYLFQLRRKPAKKKEDEV ELRVKLDDDINQGLIKLITKYNSFCSYYFNVINNIGYENIYIKSTPNHFIKIFKAIGI AFSGEKNTEFLCSLCMNVKNTNSYINSISVKEFIVENVLIRNFLNNLIFQNNKEVDLI NLKLVSNNNLCNMHLSAIKSIRTVNKKLLSVFCPSTNNVYFPPIMDEVIPICNSIVEL ACKYLNNSNPSCWSIQILVWISSLLRISYYNGVFQILTTMVSVLKNNSLNYSIAYGVF PYLWEGLLDMFLLLDQEIAKSLINILKNIPICGIVFKSDISKRFFIEERINLFIKFQD KLSNKVRDVETKIGKLLDSKNFQNEMSRNDHFDQFRYKNCNSIQNYRFLDQNIFIKHY NSKLKHKPYNTVKCKREFINQFFEDKYDSFRNFGKLKLNSRLISGYLEFKVIRYENSI LTLNAAFNNKIFRKGDLILIQPFNKQIKIHERQIMSFRQLAIVLNVIFIKQSLNLGGK TLTLKLKIIDPGIDPSIYKHCVIIYLLNYYKYKKKTTLIKRYSFKFPHPYLSLIENTN REIFEKLIYNSYNGKNEDNNNKLMVVFSEKLKNIYLDQEIEIKWILFRQEIFNFNSCS FSNKRKELFSYLLKFPGIDCNIIKKLLYLTEIQKHIILLALNSIAMVINNINYNHRIN LISMITFCKKTINPNSKIIIIVSRFEYARMIKHTLHTLNIYAKILEEEYLLFKSEHYI KTRTHNINFKELPGYENWLNRETIIEECDIIIINNIEINYKFVKKNFNNEKKSSENLY LNHLFIENIGVLSKLDILLLFILPFNSITLFNNEFMKER cubi_02949 MDNNLYEVLFELSKDNKFEDLLIQCLELLLIRINAIFSFENKHA NNTSKLQDETNLPHIFESLTSTFEDSTRMEIIIAIKKNLMKMTNFCEIEKLFQTNINQ VLDYFEEIYKIYEFITVCCIELKNTKKLMYYLVLSHNILPCFIIGDLLFLRKNMAGNW NTEKILISSIAKVSKEIYRDQNSKFLSTKMEELYFSWIICLDNLLEYLKTRLFIINNS RNNFSKEILGLTKYTQELFYWISRSNLSYYYQILIIIIFCDSLSIKTLNNNQMLDLFE DPSLNNVNMLSGANEVDGIHENIIKILNKFLKFRMHNEILDLLVILNGLSTLLINIVH QNMKKLNSPETDLHIRISHNLYINSMEYYCFNVKFEAKELKIMELHYLKARLCMANQL LLERLYLNQCLTNKFNQIALDRRYQKVLTSYLFLTIGVINSFSKIELDKNLIFIIGNL LDSQCFILENILSENLSFDSVFFKNMDSYRTSLLNYIIKQIFFLRSKNQKFEFLCDNC IFKIVKIFSLFLEIQTKQIQEHEENVGTIDKIKIKFKTSICEVVIRFIQYRNGILDEL FNNMEEMVNHILNNTQIKDNSDMDEIILNFLLSISENLIRLSSQMRSIKNYKDSVKLL NLALKCLSNTFTVPWVAVDESIYEIEKKIQKLKIVEERPNINLSRYLYLTPIRKYDYL QEENNLTIDNLLNFTEKNHFEELWKNENFEFYNYESIKHKNVGFVSYNTNVKFENLLT PFTQKLRSKGLSQIQSQTIKRIQTSAISYIVKSKSQFNKINGREIIPVSKKLKDGEID FPIHILLFLIALDQIISSIQLQFSQEPTKTFLENILLLVEEKLSILFTLIDHCSYLFI FGDRLIELFPFIEDFDKIYSSKIVIHEKNTNCNDQIVKQYFFNLFSSIVARFTKAKLL LINEFSTNKMTLYRYPAVKNERNYVIQIIWFNLELNYYLYYREVSSKYNQIFKKGILN GFCAEIINVYKIINKFIDERRAEKLVTSSKLNFELYFKFKVEFLNYYLWLMKVFNIQN NNLVDSKVIYKSEINIILDELTSLINKISLDYKLLYLSRMNTYNIYLLFFEDFNNTFS TITSSNLSKDKNNKIHINIQESLNLLYKYLGIHENYEQNFCKLITLEYWEDKLNSENN TILCSKQNIAYFQQNVEKEIFNEFEDIPIPINNKINGSEQEDKKDKSFGFESNNYSKS SLNINSFMLKTNELINNQEFYKVICEIGLNELEILLYNTYEVLEIILSQGLDSKLSKK LIGAALYFFNPCWTFILSFKSNLIDIETVSKYIHYSLSEFIENFQLFSMNNTEQKEMI IMNIGLFVSNILYYSARLIDRELYDSNDSIIKWLGVANIYLDGLLFLVENKYLLSSDF IDEKISIPSSVKETLLNLIVLKIESINIIDEVFSFLEIINRVGVDEIINDKPSNMALF IRILNKLSTLFMKANKPDISLFYLLESNKITQVIILWLETCNINSLHWQHNILSTCLS HNFQIYFINLVLKSLTQLGEFWWKLGVIERSQSVYNKIVAFYKKWTIPYKKLIVLYFY QLPTLLNHIFSRSDSQFNLVYSIDNLDLFKKTFYEKDISGNSEGLNIDFLKEILEYIK IISVCKYLSYFEEEIILMKLLFILLYFTLNNSLPIIKDYFINNFPFIFQNDTLLPENC EFKIIQTLRKIIIKLLKDNINSSNLILELLSINADEYLKNKYFMFFLSNTVNYILLFI SSKYTEIIGTYFIKKCSKEAIQNIVILENEFDIIKKFNSNIKKNSIEFTIKEICKLII SIKQILSIELTPVESQVILIEPTKLNNSNYENKLISSNYSIEKNELNSIVDQISQEES IIKNRLHLFKQILVFVDTIFKHMLLNERMNYYLLNQLSASIILDITLLIKVLCYPDTS WNTIFCCFINSLTPISLSNDYSFSIIQSIRTIQREFEHFRIKDKYNSVFESNIQPNLL KFFLDNKNNELSNWRWGGDISIKEIEDNISMVYIRFSTFFTNFTYKSSNNFKYSLLQI TKDFSYNGYISELTNAINNQSRYNSSKLTLFYFVENARLNKLLEEFDNIQRMNTESII NIDIDTNSSEQARQWWNKRISIESRLNKWLINFSNQILNGWITKLFYGWNKKLSIKKN FKQVMEFVKTHLIDINQSLINIILFSLTNNAPQILALLESNNMLNLDLTEIRNQFKKI KFQSSRNKVEKLPVIVFLDKILICLPIESIYDLRYQPITRGINRRICKNNMDNFFQQL RKHKENNLHLLADFCNLYYCINPTGDLEETEKIITAFIKQKFGSKCSGISNSTPQATV IMNNMCINQSNLYLFCGHQAGERFIQGEAFERGVVTGNLNNGKNHFYIPPSLLIGCSS SKIRSYGSNNIFFTPMHYLIGGSPFVLGALWDVTDQDIDRFTMSIFDNWVGLKLSLIE SITLARQECKLPLLNGSSCVCFGYPI cubi_02950 MKESSYIDNNDSSKQEYSEKKIENDNSRLSKSIQFVVNEVSSLL NCNNASKTKEQDAPYSLNEIGQLKVNAKEIHKTIQVSSAIKLRSNNPLLLSLTALLSN PHHTKYLNFMTENIIEELSENQSKKINVDELGNKSNDFISKDSKNTIINFIASNLQYY LFEGRATNIVINDLVVTLMKAYSSEKTPSQIFDVNNWETNNQVFIEARDWCVLIGPKE CIFPKTCYELRDLLNKKGIKLKSYFENVNSENAMVSQMNPGTARVESQDTNFSSIDDL DTQYFERLSYSKLREYKSNNIPNYSHFEQIKKFISTQYPNLKAFFYSPGSCIYINGND VVTFLEILKKSTEERKIKLENESKHNSAMKKTSNLKNPYWFLRDTKRILSNFPIKDTV FKASTCDCNFIHNSTKNQSQGFYELNIEGLFLPNSLYVILNGFKLLCPDIYTCNVSIE SLAEPPFFPNEVSKPVIIWEKLIPLLNSTIHSISPTLVELANQLKPYTRVYFKNPKIN TENTKNSQEQTQVQTLNYTIPLFSLPRKRLNPISQFQNSQKNYTNVNCKNNSQNFVTI GTSAINNSTVSQIQSRNIHSSNSTFSNILFDFSASNGVFDGINAQVGSMLASGMGNFP NLTGSSSSVENQTLLALPQSNMYNNPPLLPSSGIRARTPNSASANIQWLNFRGLGFSS QVNSNYVSLSTPMGKQLLHRIGTINPIQRLEQTQNLINFQIKSSNVKGINSNQIQSFT ENLTTKIFEETSLCFVGFDSERLDYFTARAVEEGAKVIKFAHLEREYTRQYSKFVAFK EISISNFVYSWLNVTRFYCVIDDSKFQSDNTNHFDCDFERIHQYFKAQQFVSLEWFLT SCFERRSLDPDMFTPICRPTFPIQRNKNHSTLLAGDDFLVIIIENFPHMNKIYNSSKE GQESLSNAIWGNCIQRILKSIYGPNITNLREISSLIEKNKSKWKKDFPSSIIVVPCNL IPYKPSLNNDSESIESPISALYGHNKSQFINDLDFIKNYLLSNFSLTQNKNFEEILHI VTPSWLLDSCSKRIKIDYQEYEIKHSTSTVQIQRRRRKTKTAIIDDKNNLYKEEVCFF YRKDIHSDICNIIPPQGPWPLWGWSVYIIDSIKQRLSKNLRNKLKEVGAIFIESIEFF EDVITYSKDQIKELLNEIRHNRVNLIVCSDFLDSQLIKKIEGLNNFRKDELGYQFSNK INIVGESWVNMVHGTRTLHPFECKFYFDNPNLDYNKNLKNCKDFNSIKVLENCSESKK IEQNENYDNKDKLDSGVSIILQSSKYMKERHANITQENKIAKVHFEELESTGYVIEEN VQSSNSSKNSKLNKQKRKRNMNKDLHLMDSSINFESFKKKAMEKNNAYYDQNLNKDYL NVLDKSNQAEEEFLVPLSLSFMSNWGEYKNKDCLPLFFSSHTPIRKGYEVKEIHSNTP NRSESNWLDQFNNIEDLIDYNIYPNESNDDFGSQTDRTTTFQKTLDESENDHLGNTKG NCSPILCPIMPINLNY cubi_02951 MSEYQRFARKLNPSFKSVKLLNRPLKGKNKNQDDFDSVRIEEVN ALNKSINPIKGLFKPKQKNITIQDSNIKPTPSEIGIFSSGQMSGNTATSLSSNLPEAL LAEAIKNNRVKLPGYLDLPGKLSKNLDKGIAGLTPGVSTDSGRIHDNLDRLKNEFCRF IDFKYHASTIGNTDLEQRVFLRNSFEKLKEVDYPTIKMQQWNEYQNLILEQKKNLLLF QKSRGGEQQLDDAWCQTDEHLFDEFVNNNIKLKFDKLKEIKMKRLSLKRRLYLKGYFD ITDFDDIEQIYDDKMLSRLGLDNQFINDSSEDELDNMMDFIEKIDSEGRIEYNNYQIR QILSVFDKKFKRRCKPDYYQFFFGYLDYEKEKKDKKRDLYKLRESERTPKELKLKLVD FLDKKLNWTEIETPWEWERDFAIDEMDPLKRGAPKIRLSDMDSSLVKKGIPNEFLQEL TEIRKEYRRELLDNSLRIYEMEGISKDISDNLSINKEFVKNEIYGSEKNNHYKELIQK ITEESDNDDITLPWKLKKGLIGKNKSINKMKFKNEPEESNERNSDDEQKYKIIIERED NIGDYNNNENELSSFPDYKIDNYQPIPDSDLNLQLEDDVIINLSKLILTKGISDELKN DLTRHLEKILQHMNRSPSDLQPSNVKRELTNQIKSSFGSKVFTIKNKPVLSHSKQFTG LKNINISGVQEKNKIPNELVDGKDASLITRIIKQSEQPKRAQFNMDADQIENNLNILR NAAGILQVLGELEEESNLIKNHEKLKKMVSEKVENNEFDLDINEIKTIEDGVEALIKD ILEKRNIGKQKLKSELIEFKPDQTDEDILI cubi_02952 MADCELNLIYSIFSKCESDIKAIASIINILSKNKYEVEKFEIEI REIKEKLHDVEKKIVESKSSSSNHPELVSEKYILEECHELLQSLKGSIINFREIKMNR NDLSQTDKNLKMNNFEPNSVSDLLNDKLSELKIDSEVELSQNNTDHFVGNFGSEKLKN ENLHYRDGTLKSGNNVEKIIDTVNEFNHSIGFRLDIFQHLNTDILDNSFINKPEIQDS IGKHIQYTPRMVWHNPRPDFPSSPLNKLTNPSFFDKLALDTLLFIFYFQQGTFQQFLS IQELKKKKWQFHKKCFAWFYKRSDSKVTTEDAEIADYIYFDFEKDWCQKIKNDFTFEY IHLDNTPLVINKKSESNICYKSRPCSDKDQIAFNSDVSENLINTDSVISDNNVINDPS NK cubi_02953 MSACKSRLIIELKDSSRNKDTDIKLFPSENDLMYWNAEIAGPNE TPYEGFIFKVAITVSPQYPILPPSVKFVTPIFHPNVNFLTEICIDIIKDNWTPAWTLH AVCRAIISILCEPNPNSPLNCDAGNILRCGDKKGFNNMARMYSLEYAREYNCE cubi_02954 MPKAEFGTVKWLSKQIKSKGLQKLRWYCQLCEKQCRDENGFKCH RMSDAHLRQMEVFEQNSKKILNDYSKQFESAFMKLMKTRYSKTKVLANTVYNDIIHDR NHIHMNSTKWTTLTEFIHYLSNTNKCSIEYSDKGWYIQYIDHEIEKKNRDLDSKNKFR FTEDLKREKRIGMLVKSNSMSNQGDSIVKRCPTVFLRGINNSEENDKISIKMNNKNSI NEYNPVQNPFIYKERDVNYNKSLDSQDRDEEKLRNNGIRSSSFSREGY cubi_02955 MQFSKPQNFQCSISTAENEQKLLNDNIRSTFGDSLYFFNYDDEL KDDLNLTLSSGKKKTLENDEFTETWEGNDEGLIWGKKEAKDKSWKEEWIEQRNIPRDS NISQVSYSEDESSSNIDFQAIKGELNEESNEEKDLSELKKLENCDKASLGQLIGVDYK KNRRWKEKWFRRGRKLFVRKFIQQLCENNLRVINVWFEDSEFNYEPINFDQNGSSWKL LRSNKYGKKILEQKEWKESSDFFNDYYIILYEERGISNETNNYPYFYSKKKKVYKDNS ETIFSRYYKGCSGENKNSDIEVISSKYDISSDDLTIDELCLVNSVDGIEYSESWKEYI EIDSYGNKKGRKYGNRDKTEWHEVWFEEVDGSKECDIWYENNEMQWGEKSGYSKSSNE SFKVKWEEKKDKSGLKEEKHIEKNWEKVGEKNSWGEKFSEFVDQTSSGKKVHLLKENW YNNGNEVFREKCDEESQFSNQDQDELPVSILRSGEKRGERIATGETWSEDWSESLKKC QISKKIIRNKLFTDKWWTKKNGEKWGEKKTIKLKQKFTCDEKLKILDKDSLGLTQPNE HSYIENTESWYSSEFEEYSDNWESDGLSQHGYKKGIDRKHNNNRFIEWEEEWNKDNLE KFKKYCTWKLMDENGLIEIWTEDQLQDQSGKLTLYKMGKKYDLDNRTELIEEWEEKCN DDGNGNLYSIKQGKGKENWYMDEFGKSNHTGEQWVVKQGFDKEGSWSERWSERSDYKE ALKKGENRYGDKWEEEWKEDFKNKWKWARKSGENNIGDKWKEEWREEIDTTNHVSKKN ASKKGKKMISGEEWSEEWGELYYGLGNEFMGEGGVNAEYMEKWTNKYATDGSGNKWGN SWGDTWTWSTKVKSWGEKWENNYIKEKW cubi_02956 MIGNEIHIVQYHPPINWGLLKNDLISRRFTNKTLERYLLNFIYP FVSCNNRTIDSLSRVFSRIISNEFQSNVFLRKYGFFVSSRLNEKLYKIDVSLSSRQIY QYVMDLWLKSDKEFESISSHVTRNEIKKSSQQDKEQVLHSQLNTSFINILRNIIKKCG DVNKNITIWSIIPENNLEFKSAFELIEDDTMTIKIIEGLLNICFNDLQREFFNLRNVK INLILIINYSKAFLSLKNDKRPIIVTNQSNNQIKISCLDSRCLLSYSDVIIANSLNLL TLRLNFSSLFFSGNPILTFFAEKNNYLLNYLDKNTYNNEYIGRDIDSEGLSDSEEGEV IEQENIITIMEDEFKKKGWIKLVDNISMKIMGIVPPHWNPTAIKLFPLTSPVGRNSLK LLKEVYFSLNHGSFLLGTNDIPFAALIINLCNTKTKNYDGSIIKSDFIKQINEIKYSY GNERVISSLKRNLFNLVIINRKPIIPIPISRKFHCEYKINMMSNINISQINDKYILFV TSQFQELFHRVKSAVNLNIHIKENSYSMVNSRNLQKKIKYPDRTLIKKLNFSKDICNL IKIKMSVLPSLLTDFDYFISKQLKNQGDTYSLKDSITNLGDEKGHFESKFCIRKSKLI DLTFPNINGIDRKVIFTSFLSSKINNSQPNKIQDLQTLISKVETYFSLLLGEFSMNGC LFNCNGISSETIDFIISDRELVKQSVPKLFWLSVCFLMNSMKFVSREHQRLFYIISNG KDFELSIHLEIPYYKNDNLFQISELNDYTTQSSYFVKTLSKIDQFSESNSTNYCKRLN FE cubi_02957 MSFSRKRIAQERAEWRKDHPVGFSAKYAPSEDGEGQDMMKWICK IPGKSGTLWENGEYTLNVIFPEDYPAKPPKCIFQPPLFHPNIYPSGTVCLSILNEDED WKPSITMKQILLGIQDLLDNPNIKSPAQAESYQLYSNNRAEYNRRIKQQALQNRPSD cubi_02958 MQTAFQRGSLAISNKSCGWKRTAIIFVNVITFLSVITTINCNLL HNGNSSLDNNELGANHALSQKNSSSMAKVESNAKDIDHHFVESYSSKKNSTKLLNQDL KSELKKGFQNITNTVEGNNLTSKFFNSVEFNFSINVHKCITEHTELKKYLQKISLIFY FSNKTHKLENEGLNYSSNNTWKIKNDRVSNSTIKMNIATNSGEINNDLDIIIHPEIFI NSVHTTNATWTDRLLDISNINSTPLNLLNITNNNQGGESIINCICIFVSEIQSNLGLN KSSLEVCNGKIQEIITAIKLNPEAKFELTQLSLDRPPSKLVIPNNLKNKVIGTSWKES IAEGKELLSKHLLSRTEQGLEVTYNSGNEILRQLNAENPNTDDGKNEPSYETINGNSY FDSRDINGNSCVYIPYDQGNCGGCYAFVVSASVSISNCIQKLELPAPLSPQQIIDCSM SFGNLGCDGGFYSNGWSYLLEQNVPKNYICSWDEYPYIDSLGSCKAGNCNGCLNISKY SVFTDLALNGDDGWDFVTTILPKVGSISLSVNSDLPGFSSYSDGIYKATKCTTFSELN HAVIMVGFGISDDGEKYYVIQNSWGASWGIAGFMNVSANSCDMFWYPGIILQRSSETL PDECKGNKLLLTGPGEINKAQKVSKAYNIFTKESYYVVQLFIFALLLMYL cubi_02959 MSSKIPSLIRPTNLRKPSAISNQINSGTNGFLSEAKHSLNKEKN LKNENKENYLLTSKLNSQATISFKVPRVAYLSDRSQNNISSTGIKYSSKIIARRESSD NQCNRNNKSQNILNQNIGKSKPSVKRNDRNDIKYLSIRQQNLIRERELRLENTKLKLE EIDNKHDQELLFSGLIESELKLQLEYLDENNYRYMEEIKTIQEFEKTLICEIANLENQ FNEMEFAFSNLRDINTIKSLNDNFKLQNLDIEQTIQLLHREISECDNSIKFYELEGDD GELIVKNLRVPFNKSIEYALNLEKLLQNDKLLINQLQFRLHKLTKSPKFICRTYSSEI PQLSKVHISIPPCENKNTISYSFEENNNFKQFTTQKDEKYIGKDSLKLDIDIGKSQFS IGKEIIRFDKIIEFDDTKRPSSLLLTDLSKIDQQISDIIEKIDEPQTNMVFQPKINNT NNIIRRQSLLHSKMDFELKKNSSFNIDSPNNIDEKSTYYLVDINEQKEVEMDILLLIN DFFNSIKLIFSEKNDLNDKSKRNTSHSFEVPSIFIANIGSNSTASRQTFWGTNNGRLV LINDGISEYTERYKIKNLDNKIPGILHSVIYQIYQNINHSSLFNWKLSATILMQKSIY KSENVENDFNHVDSRIKQLCNNGKPLVFNGGLTGYVNPEQKCFSDSFTPFNYHLRSNN NNDSSREIHCSDPIYKSETITNCEFKHSDDFISQIYKEIQALSPNFLGSINAYFLNEE ELANLSEEHPVFHCIIILKIEAITLNSSISSNIVLTDFCIDENGAADNNIVDYLSIVK GNRVNNKFMDNIFQLRINHQTEPLIYTLVHSNI cubi_02960 MMNFTINVKMDQISDIQNQQRSRDILYSEPKITETILTSSQFER RPNWWRGSDSENILDPCFKKPIYTGKSKFNFLPTFLKDNIKWFKHRILSNINHENDYR CNEYEKKTMIEYGKQLKMTQKQEYSQYKYISPYSEYLLERLKWKIALRIYYTLDPNDK GYIELEHSKKLLFEMLKVDTEKAQSLLLLLETIKPFYAKDGCIEKNDIISYLSREIFD DTRGYGLFSKLNNIFKNPISRSKRQNDYYFSNNFQEFSILKKNEKICFSSDPSLIKIN QVNFGKINLKETNCNFAHCHKNKETCITCNMKKNCIGKLKDHIKTSKDRKQRLIERIK QEQKEINDESMLNCTFKPEIIWPIGKFILKSNKNWLRDKKNIQRTKLPLNKKNNISGY QVINEQDIDDKNIQVFLNSNCNSGSYNNWSGYIHDSMTNQIFVIHKGYLTHDNNMDKN EIFYRKTDKEDQKEIRNKVLDSIIRKVHNEFQSLESTENGNEQKNSLNFGTKKLIEGL RTERETGTNDPRLNTPEFTIEINHPEFVEKSISFSAIKPYKEAVNHENFLIKSEYPTS PCYYYLPKGYERIGNCFNEIHFRRKLDFFNNNERDNLNTNKRDNSNLKGSLNLSTNKT NFEGNSPLCLKEELVEEMKMKKIQNISNETNKTNPLLIKNSNGVDSQNQNNNIDIPNT RGMQTESNNLNEHSFLKNSYINDNAQVITKSNNIDDETEILRKNITTQLKKEFSVSVS KKNNLKILPKKDDSVAKLKKETVASISAKSNPKVPSKKDNLLPLLKEEAGTLIPKKNN LKILTKKDSLVTLLKSETAASISTKNILKIPLKKDKIVNSLKKETRPSIQLKQRIT cubi_03224 MLHKTFVHILFALLFFYIKYFRIECAGVSDKKTDLGGEIVSYNI QNTDIGTLDEIQSIITNKNENNFIVEQVILKIINYIILILKLLFMYDPAAGKAENIFI SAISDLFVSRSIQNRKIDLIQSDKALALRNFCDKEGNASFLEIINGISGENTVKLFDM IAENALVIENTFFSLIKTLKILVTLDLDTFLKNTAELIIAVENKYIDYIRKYSKIHNN ESKRNKYKRLVDNQKYIKGCKSNLIDLTMVDSNKPSETAEKDRKLCDQYIKSKELDKS GTSDLDERGKYLFEEIFDCSGFSEEEVFIIETIVLYTLNIIEASISMLYMFDADQLSS FNAINTKLIDICSLKISTRSTKSLIGQEKAIKLRIFVQELLGLSELNQHNILELAVKS SLEKNELIKQLKDYYKGLLKIVLILEDKLKEIKCKYLISILESPANLFMVFTKFFASE IKRLENLSFEYVFLDSIVKYTELKLDKIRSDEDRLIEQSKSEREMEKENKRKEKQMKE KKRMEELIERKRYLEEHNKLKQEKKNNSKPKVKKQFPNVEDLVGQGNYYRASSSRTRS TSRSRSKTPEKPQNNNGQSSELKVSRKEKRMQKLQQEELKSQMKQAIKEAIQEQKSQN ISTKKRKQTQRKNKNSERREKEERERKEEKEKRQEKLETIKHNNYMYSLINSVEMVEG LFEEAKKQQEKGLRALIGSILDIVKEEVSSEESKRVEALTEKFEEFSILASEVDLEPK KAPDSRSISTFTSSSGKPMKLRADHVPGSGPVVQTIELFGPGATFATRSRSPTSHSRT VYRGRTRSRKRSESGSRTRSESGSEKSSESKFRKRSRSGSGTRSKSRSRASSKSRMSR RDTRESEIDSEKIDVINFDGFTVPYLSHFDEKKSHESSKFAQFFSSSEDLLSTENKKL LSQHLDTEITEMDYSEITENSTNEEIINALEKCGDQIKKLHIEVAPFLTGKSFLSIKL IEKSLIKAFVRLLILLKKKDGNFIENE cubi_03225 MSNLRFKIFTLIILFIYIFDYVLIIRGAEAPDGNEENNESSNSE EILHKISLDHPIVTFMDALATNIHERNLLAELILNELIIYIVMIQKLAALFNSRETLQ NFLVVKHALISFSVNSSFDKKNDMVLQSKIEKMVQFSRSKNTDLRDKCKELVDQNSVR LFDLMAENKNSIVDEINFFLLKLLVLADRGVNRFLDGLIVSAIYLLEESKSSLLTSSE LYSNSSRKEISKRFIASKDSIAKITLKDIFMDRVEADLREVTSTKLDSEDTQSKKKTS KIVLSDEDMYRLAALVSGAFTAFKICLYIIFLFNGKLLKSNYAFISENETTAKQELLK IGNSNLSEEKRLEINSEVRDLLQLDELQSSNFVSETFEYILEKEFIISALKDIYKYLL NLLVSLKRFQKSIAKYKAFDYLFETTILVCQDLTKKCLEEIKKIETFSERKVESLQIS GLRVTMKRKTDELLKRKEEEMKLFRKEEREKKFKERKEKEEREKAEKESIKEIKKLRE QKKGQKGKSKTRRVFPTLEGETEETKSTSSKENSKDSIPSESKSSVSESSKTRINRKE LKLQKLQQEEEKSLKKEKIKETIKEQKLVAASTKKRKQEERREKNESRREKEEKEREE RRRSEKERQDEIQHKQFMHSLTTQVESIEELFKEAQKGESFSALVAFVFDVINDDIET EEKSEEDLSKQFASMSVSEVTSTQETIPRPSLGARSKRRADEVPGDGPVGSTAEFFKS KVSVPTRGDRGGSSDGSGSSVRGRSRSVSRSSSRGKSRSISRSRSKSRSRGIGRTRSR SRSRSRSRSRSESKSRITSQEESMLTFDGFKFPQLTIFDTHQQKKPLTESKFMKYFKS GQDKKLTDGTYLSFQKKYSDYEQQLSEFSTINSESDKEEVIITLEQCGVTIQKLHLEI APSVSGAEFLYIKLLQKSFVKLFVRFLLLLQEKGR cubi_03226 MNLKTNIILYVTLVFVLVAIAQVLAADGDSQNDGSREQEKNDLM KMSLEAWIELTNLVPLEDIYENRCIAEKLLTYAFIYFSFLIKLAAVFNYKNCTSVYNR TTGMSKLLKINKKIFRSCFLVSFSTAEKIAIFVDSIGNEDLLKKLKPQDSFLSFLYLI IANLGEIFLTMKNILKYLMTIFTHINDPHLDMMISTVVEEYYTLLPSIDQAVEELEKL STVQFAELVEQNGSQVLALSFEVFDFNPEIEHMKLLKEARQRKLKIAQKVEAAGGESK KAITDKAKCGMISGELFLMENIILCSFLVYEFCMESLSVFDDHALTAIEAIALIKEQL LKLIIIAGTELDLEEQQVVEIRRTVNQILAMENLRAANVIFSMHRSRVKHDDLLADFK NMLSLLNVSCKTLEKNHQRYGRTDCVDKMVMLVKPQLKRGLSFFRDYVGTLTSGKQQL QVHYRGDHDISEESGKGRAADKKKDRKKQKPRKGGTEKSDKSTSEEKKKSKAMEKFEY LLTNRASTSSDERIVKDTSSSKDTSPEKTKGGEKTHGPRIDRKALKLQKLQQEEEKSL KKEKIKETIKEQKLVAASAKKRKQEERREKNESRREREEREREDRRRSEKERQDEIQH RQFMHSLTTQVEKIEELFKEAGKGESFSALISFVFSVISDEVESKEKSEEDLSKQFAS MSVSGATGAQGTSQRPSLGARSKRRADEVPGDGPVGSTAEFFKSKVSAPLRRDRSRSS DRNRSSDRNRSSDRSGSSDRSRSRSVSRTTGDSGSFAGMSFDVFTVPMSLFEEQGGPG KSRFLRFFSAGEEDPEKTEEKANACLEYCSVTDIYFSTVTEASTLAEVEAALETCGKE IQNLHLRVAPSLTGKALLALKLVERDLIASFIRMLLLQKQKSK cubi_03227 MKYTRSIIFLSFVNLSFFFLDLSDLFVSCESTSELDEPVAGTST AGDVEPVILTEEVDLSLYSSSGEIVSKKALEEELHELFRNAELSQIMAMQRSDPASKH DSDDEDELEGTSSGARSRKRMKYTCVYPSPGEGTSNIEGSLSPYYEMPQMFAEAFDTF LKDSFLDITLVEFEMLLFYSSTLYYSAIWRDRNKLNGKIVYLKVLLEYWGNQDFNINS SIKVDMSRYFQCMKTKYRSTIMKAYTGPLTTVPIMMEQFSNNIFELKCSNVKKSLAII ILLFENNFELLSSAFLFYLLLCSIKELKGLEFEQGFQLFKINKKKVVSKIMRLGGIYV KKFLKAMKRNIPEMSKLKVKEYKHPIESPLIMNNINIPTFGLKFCLSLLELYLINTSE FSMMNLIFFFGSRLNSAAEVFLSYDIFNTIRTIRGGIHDIISSILLDQDIEKFINRRI MALHHIDISDFRSRFGECIQIKNKYHELSSLIRLTDFQKEALIKKKLKRKRTRL cubi_03228 MPNRYEVNQHNNGDGCCSGLRKRLRSVLRSCTGGSCSSSDDDEE VELEEYRQTGSPVPSISSQVDRTQFRVSSVGELNAKTRRDADELQKLLTRYKEFGCSP GDDRPACKHLRDRIKELKRSLLKRGVALSESCD cubi_03229 MGKPTNMCTRYFGFAAIFIFSVLRYSLCELTVFLIGDWGEKTEC LVNVTDRMSTLEAVMNPKFIISAGDNFYQRGVKSVDDPKWREILEEPFAKLSENLKVH SCLGDHDWRGNTTAQIDRTNFTNNTRWYLPGYWWYEKVVFTSKVSLQSLFKKSGLGEI STNNSFHFNSSRVGNFTNCEIDCLDDIVDNLNTMVNRNSSWNGDLSYKALISEYNSEN QANSTAIFIYIDSWTLTQDPFKKTSISHRYSQLAFIEQTLKAAVLEKVDWIILVTHYS IYSSGLHGPHSRLASILLPLIKKYKVDFIVSAHDHHSELLVPEDFNSYFQIVGASSKP RLGFGSTDENSIFKSNSCSFSSFTFSKDIAVSRVFSTNSSLYSYFKRSNKFERSKLKI ENTQYPNFVSSLRLKPLINVYGWTLSLALFS cubi_03230 MNSIMGKPLLEIGGNERRTLSKSSISSYKTMNRTQSMAIYGLFE DEPETLPGLGGAIQGSSLSEAVSAMVNTMLGIGTLAIPLAYAANGLLQGILLTFFCAF LSSLSLYLLSSIAMEYGDDVSFYSVTSNHIPGLKWIVDSAIVIKSLGVSTSYLMVVGD LVYSLFFYNNSYGIDPKVLRAIVLLTSVVFFIAPASFPHKLKSMKYTNWLSVICILYV VLVVFFRLLYNTSKIYLSRFEEQPALITGNLDYFSTFSLRRTLETFPILIFAFTCQQN IFTVSNELHDRTLNRLSKIIIVSIGTGILVYCTIGISGYLLFGRLINKANVLELFKTN TFDVFIAKLFIAVSMVFSFPIQCHPCRRSLSILLYSGVTEMEPKAEKRALNLITIFIL VLTTSCAIYFTNLGLAYELVGTICSNTTAFIIPSLLYIKVFKHKGLTIEKFLAFFLLI MAIMILILCLSAIFIGLLKK cubi_03231 MLKKLTFKPPTRSFIACCIEFRERQEFCRTNIIPLLLKKSSISK KIVVSTSNSGIGKTPYSKKELKNLIREITHIIRTSPRKRRPSIKEWISEVKNLIKARE DAATERLNDLENKLLDAKVDYSNSIIMNESMLDQVVNKMNSLIQRLDGLNFREDSILD NTNSLDLKKIEILSQVSEYLRELLALSNKVEEVSNSIRNSKSLKSSKIYTLLLKLKDC LQSVRLIENEKKMRLNIKNSIYNEISRILDNILDILINQITSFLKEYCDWGQFDKIVQ QVLSRKSSNFALIDPLDLSKLEKNEKISHSIGILLVLSSICIELGYECKEIQDSADLE TSNGYRKPRINICEEIISRLGTDIAIKLKPLFFSRNSSLSSLEKPEWLLETYFSIFKS HYRYLKNLWNDLNTRFMKIKNEEKNDMETHLSKYIPLYIEKEKYISVISEILSVDPKK ILSITLISNLQNALRIFLRRVLCKDGVAVRDNKADCIFEKLVEQLLLFYRQWKELDEE TSIFILFDLLTNLNINKLLISKKSSIDGLFEEDNSILQMEDLSKTAVSWAKSAFTLVM GSPDPKYLEIFNNPSTFPSECGILDWYCILNRIYIAGQSLGYLTESLISSYIDKFNMK RTIHDLYLSICSHSGSSDQNIILKWLSDTVVYISDDSLSKNGQKLIMPIKSSSNENQK IGRLILVLGYSIETIELLNAFSGKISLLVDSCKSFEKCQYYTKKDQYRILNLILAKFH EIRIELDSYPPKIFCETFSTPIIEQSIVGEFINYIRGEWNRVGHKIGPMIPMVSILLE SVDIVYFTVLNLDKDNNANSWLDSKPKRDESFQESLQNLEEKPMEKLEYISKMYEGPL LMLRELKEEMINEIKLELTEVIIKPLIYSEIVIPSLEDLLNSNSFSGNARLLFDDNLA KKVNELKYTFVLFNLFLSDSNLIEISKALSV cubi_03232 MSQNLSPSGSTQSHGSSGPRLASFEKVRVMANYLELSALEYIQR CSSDSGLQLDDSTMPLFYILSCWKLLWCLSINELIGESLRNMIEESFTFRTDIGNTEN GYRKLLSIPEMTEGEVSRYAMAYSPIFRVVLGVLRWLRWESRVNPYKQNDIVFKDTYY MDYPESRILWKNLGNHRRFYSLDWALNVDKSAISQSDREDVEEVFKVAFMLLRKGCRM HLDTFLRERAKAHWLICLMDGMDSYLYPEKQSDHFVDLSNFIEILPKVDDMWINYNEG DDEMELDVVSAGAVGEGQFMDSMSSINKELALNDTLFKASFEFVDLMERSLIFPAFED SPNSGSEIEGNINRPLLFRFLRQLVSENRKMNPKLGNKLGEWELSFYSILCGDFEQLY SMAGDDFDKLFALFHTEKTNIFNGWADFLESRTQSGRNHIFLGKTYPKKKLENALLNR LELLTRDLYPELTGSYLPEDCESISEYNLIKSIQTKIESEYTLGSSEDDETHIIGYNS SCFCYDQDTKGVVNMMELERQLIDSLIDSIMCLNFEKDKTSIESHFFQVYLGIIVSTL NTREHGSDLIQALEEFMNYISTLSNDTPTLGSLKAFISQMTVAHLEIESSLKHDIRNY SVSIFDEFILASLPSMDYFDTLEPSQASIQLEVLSDSISIHPLHNLSESLKDRFVSQY LSYILENNNRSLDVFLIMIEYSSETCRLEYIRKVLSTHQNNLDIPSFQKLVYNLINQF PRETMQVTMALQDQIYGEIHTLLGKDEGNSPETLDFMISYLEFIILLFGTIFQFLIIR QDYSPSSESIFRVLQYINHRHLGANGMVNHGSDYLRESLRSLEQSLLSESPCNQTTSE VVIGLFFLSQLNPLCLLLLALEVTKLSGSSDIDTLEYHQFLLNVTRSNACVQEFIIPL VLEPIMIRLITVLVSNSDNIGPNYSKYKVSKLMESKNLQALNWEQSLANIFSIGNFIY VQNVFISFSPIRFLSSQLTFFKVLGLFRVYHDSVERLWECVKLLEQEAFQNENLQFGV GNSGKQREPLQSPVISPGNSLLSSPFNKYVSPASNTQRRSSLQILNIDRQIEGANQSI SQVISKIEIVLQNWLVFGPNFHSSLLENFGDKESQEISKTETFSLSGFLPEYCSFDKS NFGLETFSVQHCNNKELLKRSRFINIQKLFDQLILTIIFHRDIELFSTKKAPLFPRRS IDSTKGQQQYELKSVSFLNNILGAIEHSNWIFTDFE cubi_03233 MLGTTRLPGHDSSSNDSNPKDDPSRRLKNFQVPTPEIYKRFLVS TGVYALFGTIISGAIGSIAFKSPMSRRFALGVGFGAGLGWGIKSADDFIKYTERKNFV PPFPKSSDEWIDKSVLTFQRLKNTLKNAFEKE cubi_03234 MMSDVTSDQQIVDDEIVFVDLPELSRFEIFEGMKVMIENINFND TNENMDFNLVIQPRDEPEQESMTLKFQGKNMETVGTCLFFADSEPIDRDSSEGEPKAS ITEKDIQNDRIEDKDVEMNDECRVQSDLERKSYSETRNGESDIVNDVSKSKLLCIGKC KKVVQAFIED cubi_03235 MTQEYQKNTHNHDIEEGEMSGDEVGEEVLDVEMSVEGVEDKVGD YEEVGEESADGNSEDVEDGSEEDSEEGDEEDDEEDSEEDEDEELAEKKVLPERTSRGK RYTQLLGEEEEKDSKFWGHNTWEEEEEDSGWSSEDEKVELEMLGGEDSSTDSENESQS DDGMQEEMDGVVEEDDEDIIDDKKSKKPRRIFSGKYKDPSLFLKSAQKDMLRKARKKA KSTKKTNIVKKSPAVINHRTMSVRASTSQKKQDLQESIRRRDEEAMHKAKRKEMKKSS NSRERIKTAMTQEERLEACKEIEKMNVESLNQLEAFEEHKRYLDNSSASIRKQLQSGP LSIYISWSSYRLMESEKDNSEKILNDDEYCREMIIYTDGIIPPHIDQRRDSNADLDIS CGSYNSIQKCSVYGVPAKYLDPLTNKYYSSLEAFKVIRKEYHASKYREILAEIKEVQD LILENKKLIGEDTQDYQINNTFVKAE cubi_03236 MGETPNTGSSCKQYLGNELVINENQSWNTEKTSGQEPGIHLLKN GCSVNPDTGIINMGCQIGLYGSNSSHRLITRGKYELLDDTKDLSTKTKIDQKKQFDIV SSGYVTPRTEYVSPTPEISSSGENYGFHSNQSPAGIGTGTTYLNFRASTRISEADLAC SLYSTASNNNSNTNSPIGPKEVLTVPSVQNTGEEPKSPSIPQEIGQEFTYLNITRKER IYSEYQIVKEKDVCVYNLEESNNRPTIIEVPDNSGARENTALFGPGVGVESPPADCHN IYYCSSNSVQPCVGSSESSSVYLYDTPGINREGNSSCQPAIIEYIDYNAPSASNYVSN KARTSLCTQIAQENMHGAGGIAAYSQNNNNYTGNHCNYSIYESSTPPSSHLNLNNQVI QSVPLRGVDLNAEHPSQYQTEQTNEVYDRFLNPNMLIGGRVSGVTGLPAPPILATPPG LVTPPNIPVISGMDGFHVNNVQNSLQVFTMCQMEASRIENGDENCVRKRRRSGTVGSD RNFYIVDFICEIPINIKNLFAKNVKESKEGSRILREFSLGSLAMRRSGNLAPTVIKCF FHSYRDGISRLQCKSKRHEGRPFLFVINETKLSRPWVFCPNVKCIRRAKSSGKLKGRI KVYEYCPGSDKMVLSPTFLKCARGFLTSDYYFCATLCPQQKYADHESNFWGEDFSTGF GGNRIQETLVGETENGGSGLPLADYILFCNSKHQFVATFRRFPIGARYNTPPLKNSSY VNTSSPDKIFGDETELNLVKIMTSVIRTLEGSNEPTIYTSTDKYIQEVSINPGGATVS QANGFNRQIMQPINLRDCSFGNSLKGNSISMEPDEANLSGETSESVLRRGIEGNVATG KWNENPNSLFSGVRGFQHTSDPSGGQYIYNSGNFMGATKDEKANVYVSSSSLDIEDPF SSKLETYSTGYTDEDSFSTFNDSGAQISFNFDPQDALVVESSTNWVLDVLLNKSSDRQ NPQTLASHQSVSFSTDLNSFDHSYDTSIKNQSSSMRSMNQNPSIVENNASNNKDGLDY ENENISTGNDNHSY cubi_03237 MFQKTLSIFALLISLLIIYINVSWSNKYITPEKFSQIHPDSAVV KTASGNSVAIDIPDLPTPNVTKKFCPTYGLNIKGLFCFGWNSVKITKSESWQECASLC SDYVAFFFLKCKQWSYDVEKKKCLIKTGDKHCKYPDENYISGPKNTSEVGLCSTTCKV GDWSAWTPCSSHCAGITQRVRHVIRSPVYKSEICPRLIETAMCKGSPECPSNCPNYHV AGLGWGCKVEMNRGGGTMRKYVNTWHECLGLCKNTENCTYWSFQGISGVETCLLVIGE VGCTYHALGWVSGDANVVAVDCPVKCFVGEWSSWTKCPSDEVCTQNSMSKRSRPLIST PPDMNQKMCPHLTESVLCECATKISRNTLFDNLDRDLVSCSNYANQTVYSANQNFRRM PAFADGIPGLKMGAYSTNNLLKKLYFDYRENYQIGNNQNHSYSNTAFEEDNQNEHDLA FRRRIDNSYDIHFALSSYLLGVNYTNFSNSTLDRNLFN cubi_03238 MNQFRSRERLIWGLIGEEALKSSKVLILGSSILTSELAISLASS GVGEIVLVDSQIATEEDYGVCISLDGEKTDIVNNPKIYLLKDYLLSLQVCVKVECILE SPESYLETLKKECSNSPATEYSIVVCCNLSGVIVEKVYNLAKSCQGISSPFVISLKSR GYLGQYQVFSADRRYITFDLSPENKNLAKLHGLQLCKPFELLKKLSSEIDLNELENDS GFLKDYLSKIPFPLLLVHIGLNIGLFERTELEVDKEDLKKKYQESLEKILKNHDFPNY VEAKRNQYLVFSYPEDVLENQIFEIFESQKSSFDINTQFSLNKRPFSLINVKYQIVLG WIYKFLNDVGRLPVNKDLPEMYCETIAYLQLQKIYDEQHNLDVSQILKNNSENTKMID SGYDFNISEEFVQFVCKHLYCLKCIEFRDSFFRWGKIQNQINDVNLVLKKRLLEAFLE DQFQDLQLMEFLFLNFLDYIQVDKQINKAPEAIKAEFQNYLKSLGLDYIEIPPDLIQS FETKEEFVTSSYISGVCSQEIIKLVLGRFVPLNNLLTWK cubi_03239 MSGEKKVRFSENDHIEIDTVQIKDEEMVDFQGKIEENDELQENN TKKLGVDVNMDLDMDLDNSKEKKVGLINGVEKFSQGQLDAKMEIIESTHDKLDDLVKP VKTIKDKWRLLPYFLKTEGLVKHHIDSYNYFVTTEIQSIVRANANRMIRSDVDPSFFI EFLDARVGMPKLEENMITVDLTPMMCRMRDITYAAPIFVDVDYVRGNSVVRKKNVEIG RIPVMLRSKVCVLNGKTAAEMVKLNECPHDPGGYFVVKGTEKAILMQEQLSKNRIIVE LDSKHNYCATVTSTTAESKSRTLVVLKNGKLYLRHNSFSDEIPLCIVLKSMGIETEQE IFYMIGNESHHQEGIINSLQEVHQEGIFTQRQALLYMSKKAKSKFKATFGSIRGFDRS SNIEESAENSGTSESVGSKSSSSNTSIDDVLETLNRVLLSHIGTIYTDLHPKALFLCL MAKRVLDASEDNSLLDDKDYYGNKRIELAGQLISILFEDLFKRFSVLTKKQIDQTLIR YFQTRDASKAGTNREDPNSSYPDCFRNLPTDIITRGMQTALSTGNWSIKRFRMERSGM TQVLTRFSYIASLGIMTRVDSQFEKARKVSGPRALQPSQWGILCPCDTPEGESCGLVK NLALTTHITTDHCPQYLTSLLFTLGVQDISSYSYLDFTTKQTKNSSNENSKFESSGKN NKKKHYYVFINGSILGVHRRPELLASQLRNLRRKGFIGQFVSIFCNHIHSSVQIATDG GRLCRPLIIVDNGIPRLKEEHIEKLERGEMVFNDCISQGILEWIDVNEENNLLIALRD ENLADDTTHLEIDPLSILGVVSGLIPYPNHNQSPRNTYQCAMGKQVMGSVGFNQFLRC DSVLYLLVYPQKPLCKTRTIEMINFEQLPAGQNSSVAVMSFAGYDIEDAIVMNKASVD RGFARCFVMKRQTVELQKLPNGLSERVVRPISGVGGLTGNAGNRRFLYKNESILDIDG VCNVGVQVSEDDIIVNKMSPINTREYVGDPSQIDLSEYKPSPVKYKGPTSSYIDRVIL TENADGNQIYKVITRQTRRPELGDKFSSRHGQKGVVGLIISQEDLPFSETGWCPDLIM NPHGFPSRMTVGKLLELISSKASVLDGNYRYGTAFGGTPLEESAKCLVEHGFHYSGKE YLTSGTTGEAIEAYIFVGPIYYQKLKHMVLDKMHARGRGPRQILTRQPTEGRSKDGGL RLGEMERDCLVAYGASNLLIERLMLNSDVFETNVCNQCGLFGYNNYCHYCKSSQDVSI IRLPYACKLLFQELQAMNVCPRIRTSIK cubi_03240 MEERYKNHVSGLYLLCPTIPPRVLSNEQWWEVIKELSGLTHTIP MLEDKFRKSSIIQLEYLIIQFIQSNPPFLALRWLMNVYSLTNNYVKLSVGRLLEETML ILYRFTGTQLQDMDLNKLKHHNIGIDQQSLGSIQSDTDSELCSKLNNGNILDIINSQT REIQPSHVISSFYVIQTLLRLEILETRKHFPGLIKCLPQLLIHVDTSMRDAANECLLS VLSLGVPGYSLSDQLLNSLISGVRILLEFDDSLNLERTMQSIAVILIQQPKLANVKRQ ELLKLIVNISSSLNSGQNSILKTSSLIESISCLLASSTISQFSSSKNDSDLYDSIEYI AGFSNIQFSSYGLGSYPIQGEYFRIILASSILKILNSQALQKTPSIFQLERVWNLLLE HLQTHFIRKHITENLQWLTNNHWVFLWTQILKRVLLITLLSIQDNFSMQNVGPKHAGM AIWTKFEQFLTPLSKISPRISLECILELVSSSKYNNWIWKLNKEFFELFLSWVFRISL TENFEISLTSYLSGHISAILTHQYYFSSQDHHKDQLLIENIQLIILNYISFTKEKIQI SKSEIETTEFYETLLHNSHFLIGFSNSCSNPLINIAILTHVTDYLVESPDIQVFSNQK NSNEKLFIFNNIFLLVQNLLANILHLNKTQYNDTESKSEVVVSKLYLLVPIWKNILSN QTIEKIVILIESLSESCERFQLLMENNESETWTIFDDEKENPVMFLFKDLKQWLALLW TTIESFHLYIELIEVSNQDKKPQTETFKLEKFLMKACFSIVECLLPFIPISWTKFFPE TKDTFSASEINPELGSILCSPSSSLEDVGEYLRNSSFSKDTIEPPFGTKFNDKQEVFL QTIEKFIFPYIGDQNCFSRFIHISALIMSLKLSVYKQLNKSLFSYINHPPISNRSLVM LVSDLASDQILMLDLNIPSEVFPNSIVSNVKVRDPNHQSVVLIPNDILTLPECNTYIS SQNDYQTILKLGLLESMKTYSSFPSLINQQITSSKQHLEIHFRKETANLLSNIISESH FLDQNNSFAHETIKTIFRILIKKSIYVSNFWSSIVPESDYYQQENSNVKIQNMFPNYN DLSPSLFMMAQKDLVYSPNNVSILPLLSIIWLFKHISEKIISKLNIFVSNKSSQIDQD HLKIINNSQDFLFHIFSFLVKFLFGYRYRDLKQQINYQSQINGKKLPPRIKSCILRYY VLNLLAYLLCYLHNNKSIFSHYYYAKQKLECLISWSNYSLSRTSRPNEDSDSLTDLYF FFSLLKSRIKNIDQDLSHIIDNFYKDHSNGNISDKNKFFIYRVRKDIKFQSGFIETES NRYFGFEVDSFKPHLSHNNASTLNHFGEYLCFYNLRELKNIGIFNYSIEFLYQQFKIF LESSENFEDLKCPYSTSYKNFNNLMCVSFSLIFHSRMHEISFIDYYGILYIPSTCKIF FYKDGFLSNFWNFLKKIYLSSDIFPLTQSSKILTRNLQTLQEPTKSMPCLNLLGILII NSSFIMKETKILDDLSTQDFALLLEQDKELIENLIEWVLRNYLIKSQISLSFLNSALK AMKLWNIQSILIRTIQYLTKELENKDLLQSYSFNEISNYLLDNNNPLNLSPFILYAQL KSFSHNQKDSSERNFDPDKDQFRLILGIRFVIILVIIDQLCETIQAKTVQISTFDSLI TQEMVTYSFLNPHKENLTIDTSDLFLFKKLIQFMFKILSSLLGFFETNVANLTYLELY VLNRILSILKSIISVLPVSSQIVSISEFSSTLDKIMAMFLNFGSKNLNSNTMFTIMEF FVQIYSSLSSEFKQKIWNTILFNTSKELDKPHSELTNSKLYLLFKLTETVTFKNECLT QKNEQELILVCGKALNQMINSENIEISIIRYFAYIGFFMINSIENIHHKIRNDELREI FNAENLRILIQKIIDNRQALTFKEKIHYIPSLVSIWNSINYCTDFTEIIVEFLVYCYS SRSNEYRLQTVLFFLEAVSSLKYLFLDKKIVFSILRQDLCLNFETLAIKYFEKDILEL EKVEQNIVIPHDQSKLTIFVAMIYLFALEVFQIEKDLEPEEHTILKGISNKIFYLKAY QQINELAARDNNLRDDQIQEDIVIRSSHDLLLLHKGIFLESLSSESFVSESIKRESYK LIIRNMKFLLRRKQFSILISTHLNWVEVEIKKSIMNFRRDYLDLDYYKYLIDILFEIL TEIQQLREENKIEDEDRLIIENLLKIVFQVLKYQLYCQIYFHPSYFKLFKSFTQDDTY CKGKDEVKIPDLSEILRHHKKLEVMILNKLEELFENTNQYNDHIFNLLILSLLPFNYS YNLEYGNARNLVNTRLLKSWVVLKSLVLNEIKKEAEVSIEICRSNLINKIKIFLNNYL NVLKKLDQDSFSIVLPSSAIVAWFQTLFEQQGREIMGQLETCVSDNELQEMENEFSKW INLGGFYLPKGHQNISIELISRLESSEEEVLEYSNSKKQERKKNNLAFIKEFKKEILG ILELIN cubi_03241 MNSTKNKGGRNIKGLKWKEVDLSNDLISEFSKYGVSMIEEISDP TKISFYELGKDNTSQLNTNFNQAKISTEKGFKNKKSKRRANKDGDKDEIEPLNAMKKK QKKVDKALIKNKIVSDEAEKVKSKQNEPILDPEEYWEEYYDLKERLSNWTNIIENSTQ KLNKKSSFEEMEELKSPDLVIHPSILKGLFELEFFNPTPIQAACLVPAIRDRKDIVGA AETGSGKTLAYGIPIIANIMFAIQRRKEKLEQRSFKEDSDEFKEFGDKEDLEDDEAFK ELERMGFQMASIKKIGNQGSNNNTYRSDESLQALVILPSRELAIQVRDHLRSMGKYTG LGIHAFVGGLSLEKQERLIAKKRVQIAVGTPGRLSALIFGETENESIFGDERETFRKQ VTTTLSINELRFLVLDEADRLIEQGHYRELKQILQLIYSENKGNHTHKKIQTYLFSAT LTLPNHLHPKFSKLVTRNTGKKSKGKKDVQDNNVTSIMPENSNKAMQSIMQYVKLREN QVFIVDLSRFKTNSSPDENGEQTQSGNHTSGIIKLPKGLTISMIKCESDEIEMRLILY LLKYFAPVWDTKTGNQLCSLCPSSIENGKILVFVNSISYVYRLTPLLSLALVCKDTHE KELPGSKKRKKCSKACQERSLKIIGIHGNLSQKQRIQAIESFKASKSAILICTDVLAR GLDIPEVDVVVHLQAPRNISLMIHRSGRTARASKQGECVLFCTPKDVPTYSKHFKAIS LTFESVGIPKELEGLVSSQVSHVQQRLELANEIEGLGHSILRKKRNNNWMLNKANEAD LELSDQEEFSFSPDQMKEIQNLNSKRKYFLNFVRNFQNY cubi_03242 MKEERQKQAETVSNNGVAEFIEAVFGIDQKIILKILNFTCLFLA LVSFIMSYIAYQYNEMLHLKLLLSLAILLVVFSGLIFWYIPKFEELLNESNRSTGDIA DPISDRKND cubi_03243 MLVIKEEDYNSLWAELQWPGEKFDKVMGYFRKYAGLEEGLSRER LSKLLLDLGKSCYTVRGGVNSITERGDLCWIMSGSNMLSGSQFMCLAGLLDNSTPHST SSPAGLLRLEMIFMYYDKGNKGYWDMHDWDFLMRDIQYPAENGEKLVPYPLSSDQYIN FETLKKLVDTRRLRGTSQLLRVSFENGKLEKEKSLKVIEDSSNVDKSTDNENLIFEIN NPINNIEMSGLKEGDVEYVKLSEKTTYSPIIQSRGNQGEKLSSKMNIQKLQVFSPRKK NPEKKSPSKKRLQKQNSGIQEVSNVNQTTSSPRLLTSRETFAEYNTNSSAYQDGVSSY MSRIPVVPNSPSLNCTPMTGFRRSVVPNPYYTFNDGRGILVNQVTPVLPNPIQHMPYQ IPVNVPHQQMPVQQFMDPISNYISPHIAAQMNKGVMHSKALDLPMVNSSSIGSEGQND LQNFNKFQTFGQSLQNVGHSIMSMIPKVFSVPNIMVGASNYSYVSQEDIQKRGSKRLN DEEINTHFQSSPKSIINTNEQPEIKAVYGATRKVSDDHNSVMSSEAMNNGMMLPNFHS TYSNENYPMSIDLSKLNVVSLPGIPENNSNLNSYEVGNTVNEIINSEDFLEAEEVRKS NILSQEIKSKMENITKKPIRIEDVDHFFTFMEQLLYNEIIGSVGLFDKVIERHESSFQ LSEKEEILIGPIMQLTTMDQLMLTFALNCVVSHQYSGNSYNQNEGVLGNGVSIDVVGE KIDSLIDLFHRIYRIMYQDLRNEVERNGDTVISSSDIDERRIQNKNSFIGYSFLPYIV KMVWEKVRAAMEGDLDMIETIKDICVVYYHYLLESNGSFVSENNSQESSQVFKYSSVE EMIEVVRQRYVVAIKMLSLNNSAKTNSAEAKKIKNQTSKKGQEPQPDRPASRIGQPSY VKSKTQSHQDHSQFKTQSKSIVQNPRQTQTQICYQNQLRGEGINLILKEVNKNVFVPV AKSRVNHSSPHYPLLTATSSPNYNLIQQESKARDVNSRVTAGGNSSRRSLVLDSGESN PNVVPCILQESVIDTENSCEYHYNKALNHQESVTSKGDIIGGPSITSFTSSANRNYLY TNNTNNNGKVPTNIASYCPAEHALTDKVPETRLERKSRIPSRIL cubi_03244 MEASRCDFEEDIGSVMEFEEPEQPMVLNIYNVLASANTGVNIDL SWFTENFGNTYYDPQEFPAARADIPDKHTKSVVTISAFSNGKIQATGGVSVECTKNSL KKVVRKLRNKGFKKAKLLSFEVHNIQAVIDAGFPIDLRVLREIYVFVDYEPERNPGLR IRIPVLYLYNQGCNPEFLQRQLLAYQYGTINQNKDLNREGLDSNKMEANWLSGSELEG SIKRLRRPQITIEIVNVGFQSSLIILMHTCPSLSIFGWSILLRHFTFGGLNGYSFGTL MTNCSILLVQIPSFGCRTTTKQLDIFSRSKLLVSFKLSIASMSRAVSVLNFTLQNSGN PISSNSFLSLSKETSMLKLA cubi_03245 MNEEYGKVLIPGQPIKHVMEAKWPLNGVYMDDNGDMYASLMCRE DQIGKIQIGNNEGGKVIGVVNRILPRKGVEVRLLDRSGRGYKSEIEGVTGLLRPQDIG CWNMNSDADPNVTYASSSSGASSGSNSAGLANDHLESQASYWINDCYRPGDVVRCSIL SVVPQILLSTNSQDLGCIFAPCKNCKLGMAYPISYNAVLCKKCGTSMLKKTAKPKSDS ENGDVID cubi_03246 MAITRRKGAEENRHKSTPSRSTRRIKEEIDFGLMEVFREKLYGI LLIFKSMVPSWGLLLFWFFSALESCFFYIYLSLFSPFFKPLLFGGQGHKMLMSYLGSQ GFFPLLQKYKIISHIDQVFEWLSTWDQTNQLRFLAFCSSFIFGYVIYRGYEVCPTYSM VNYHLGKYPNFGIMMGSVVSSTLGGVMGGILLFYLENEYQVVPKYLLEGLKYGDYSLF PLSSFFGEAFLSFLYSLGVSIVCRVGPGFFGAAEISWFSNANIGIIASSMRAPGNLSL TYSALYGAYSGDYIGAAILGTANIAGTMLMNFLLMFRGISIAKKNQVKRKTTNKSKQK cubi_03247 MTKSSKDDTTKVLKKGQKVSSGEQKKSGGMSGIDVYMKQFPGAL LPKTQIMGCEYRQKSTQKNMGKPLLRLPEGMEHSSSSLIRIWRPALVVHCRERRRPKL KGNNTNPATNYDYYIHWEGTDRRLDCWLTWESLRVIPNEYLPLSHSRPKHDHDQHSEV PASNSEPPRPKLTASALSVAKDDIIIGEIPYYHDLAHEGMDEEYLKEHEELTKVKTIS KIAMGPFVVDTWYYSPYPKEVQNVEILYICEFCLSFFAHSEEYTRHQRYCTMFYPPGN ELVRQGELSMFEVDGRLARVYCENLCFLSKLFLDHKTLYNSVHLFLFYVLTECDDKGY HIVGYFSKEKFSKNNLSCIMILPQYQRKGYGKYLINFSYCLSNLEQKPGSPERPLSDL GRVSYISYWGYILLELLMDGSQDVILWNKPQKDQPQEKSLLKQAATIQNFQDVIPQTQ STQRTTRASKQKEKKMNLDTNNDRSSCPSIGCCPNTKFITVQQLSEMTRIECNDILMT FNELGLLRSLSNGESFVFLPVQLIPQLLQKTGKPATQMYLSKINYTSYENFLAPFECN AIQ cubi_03248 MSIIDDQSFDPNTSEMALMEVMAKSLHHVFETFQYEASTLRNKS DQAISKSLEQYVSSLKNVFSESFSNLSTYIGNPGELQESMDMFFFSAYIFELFYVVFY SSEEELTDSLFKWYLKYGMRDSVSQLVGQLTEASDNFLDFQESGKVSEARHAEERLGK LLTRALLLGEIELFNSSIWSLFPNDKFILSLSNLLSHHSKELPPISRSLSKELQLLKN NLPTVVQTSLEGLFGNGSVIQDCSDSWLEAFVFSSKYVSGISLDNFPQFLSIYLDCLS QDTSPVPGINSSVARSSQNKRSSILRKAPQDMLEHELGALYLLSRDLSKFIVHLYESS ELYGPFLAAHLFDPLFYEGFLEEVPLELKSKNLRSRVLMNYTLWLVETDLCDPAIYLQ ECSDSPDLKEDILRALTLISNKIPLLFEYVSDNLETEAENIFLNNGESNDPKCEQCFL NEYIPDWNMDESISVFFKLIREFIPQIVPLKDQQIDFSRKLIVERFNQATLELQKSFE SKTSLKQHLLLLEKVILLLELARIENTNQVISMWIILEHYVNVPPTLFLNIIAEKGDI YSQYNFQEKLPEEYMLDLDLTKDVSLEKQLVLITEFYPQRGILETISQLIPEFSGQGS NLKIPPFFKTMIEFSQHINSIFWTLLSPSWNPEKQLWETESNINKDTLSKLSCLKQPC QDCCPDQLSSDDEMLDSAAKMILSANPNAIPQILIPLYCLYCQKLLEGHISGSIQNLD VISRISSSWMDIFLAHAISDTHHPFINNPDLNSTIIAKVSDIVNKSFL cubi_03249 MGNSLGIEIFRSQVDICMAIDDLTFKKVKVLLLEKIIKRKEMKE KFIILGGSSEDIYLEDSSHQKFLLVFIRISLQEFKKLLLESVEELHYRIGISLMVTNK DEQDSFYILKDTLGKDFEKFEILSYEKASSFNPIKLLKSNFKFEDFLERYTFKNTFMK TLGIFNCLDEQEPIQLHGFSNTIDLTHFIHINIGKSYTEFLHFIEDSYSKKVGSIEIG EYSLWGLAKILGLKVESMEDIDRAISIGNIQNCDLIVKDIYGQSYPEINLEGDSVASS LGKLQFLNYDQKNLAPEDLIKSIVILLTNQLVQKGILHSQILQENNIIISGFVTSSPK IMAAIHNTFQALSENFNVFFIKSIINIACISPKFETNY cubi_03250 MSNKEHEAKERFRPGDIFEGYYFPGVLCGRTLCYYRDVVKLLQS YKRKVQNMGKEINYKSPGTFKLFSQTYKEMKFSAVQFCTEIEEKDECFQILLGVVIRL FNRRLELDSTDTDNAEHQKSHFPWKTHQKKMQESLNCRESQATFENHPTQRTIGKEDI LDLNVSMMIMDSLQDTSEDQDDNTFCNIDLEELHHNVTIIYLLFLIFKSQYKTALEDG QEQSQTFECMRIPVTIETLETIKKVVKDCDIKGNVFPETKLIVNNMVREGILLPNLYD GPQYYYQDRYGNPLMPDPLEKRFLEAQDIIDSTETSHSESQQQDEKSFMDYLQNLRIQ IVQKIEAKSLQIQKEEQKEEAQALLETFQQLLNEFDEKLSEYELFKLKHLHKLNSVDL KVSKDIFLEERDDKKKRKIDDTLFSQSGSDKDSRDNETSFPRLLLSFSGDKKNEYGDW KELFNEKNSNTEEVIEEHETNQEEITQLGEKTQNSNALDQDKSMISNNYSETNQESHI SDNSLLSILNRIEELVNREI cubi_03251 MRKSLGQTLFSVLLFLCFYLGILKFVNSEVLDETLKRDKTFEKD NSQRKDTQNLEKIGKETSQLSQSVLKEELDSFSNDIEINWKGRVLVIGDIHGDLKSLI TSLFLSGVINNNLDWIAKNTLLIQLGDVVDRGSHALQIYKLFNKLRSQAPSLGGKFVG LLGNHEIMNLCGQLHYVTDEDIKTYGGRTNRIFEWSKEGFVGKYLRTMKLAIRVNDSL YVHAGLLPKYAKLGLDRLNKLSDDLLEGDFCDFYSSLFFVEDGPLWTRDISLGEERKA CKLVDETLQILGLNRMVVGHTIQHDNRINIKCNNRLVLADTGFSEAIYGKPCILEILY NRDQHNASYEKENDFDFKSFHPYSMNELQIKSNYSKPNVKYIQVTSLLLNDRSSISNE TSIMDEL cubi_03252 MSKEQVKSKDSCVGPAPFGISKVLKESSNKKVLTEFVLFTLCLV LLPCGLFFSSHIFLRRFLPEQSISVVSAVFSVILVNIICGIYVYRKRENGRIWVHLPH QYLTIQSPERPDRCLFNPKLTLYFTESILFLSIYIFYSSFHV cubi_03253 MVSGKKERSNRYLECTTEGPRDVGGYGYIGSNELNSVIKGNNYR KQTSEEIIETIDIKINELKSDYINFDISGIDASIANALRRILLVETPTVAIETVQIYQ NNGVIQDEVLAHRLGLIPMLIDPNTIEFRNEDEDLNEKNSVCFRLNVKCTKSDINHQK GITSKAIYSKDLVWIPLSESQKKKYEDNPPKVVTDDILITKLRPGQSIEAILYCEKNI GRVHAKWSPVCTASYRLLPTFSFPNGPISGSDALKLRDKTCPMKVFDIEEVTGNIIPT NPRNCTTCRACIEQFPNQIKLLKDKFHFIFSIETTGCIPPVELVKTAIDILRKKSEKI RNSVKLFYEQ cubi_03254 MSYMLKYCSIRNQDHINSLLSLVGELRPVNKRPDIQQKDNTHSK MDLNLGILKTISEICPGLVEKAISLIDYGKINVYIERRSHRRFYIMEATTKGQDINYL VMKHFCTCHSYIDKVVLQKKEITCKHELAYYLIDSMYIPEVIDSLGLGNDFYTKSGAE KNSDKKEDEEVNGRNDEETIHFVGIANPLIKAHLVSETKFSEIYIEYTSNFFIDNGLF KKIGRQI cubi_03255 MSYSNKNRLDTIDTDHLGYVGIWPSNGKDAKVVPMGTPGVPLTD IYDEMMHSNGQRNTNKPAPIGDHSYPIPVPVPVITPRTRDLTRNVIQGGNKSISGSQA TINTATLKPKRRSFESLRNKSQPPQPNVTNGPRKMSSKIGLGSNELSRPQRQSGSPIP NRRSLASVSSSIGNAPTGSKLKKSVNEVNSSNSNIPRRSNSVATIQKSNQANSDVERH KIPEDYVPRPNIPSCYSSQPNNNPECPTVPYPFSMDADGNLNDNFNQSYYQPQDRFSE RPSNFDQIPQRRNPTRRVTQNAPSSPPPGVRNFISGKSNVPYNSNITHHRDYHQNYGD NYYNREELYSAPYYARSSSVDRPTLTNVSSLNPFDNCIPHSSTHQYNSESLNCYSVPN KYRNSVHDFAKDDMYADSASCLSPSKTLMYCSGEYSALEAERHIRQNYSTANEVFKPM VYETIVFPPGYFEYKKQLEELRKKYLLDTNPNAFYQMKQNGEFIEPTTRNGCIWNNGK NLNKKRSHPVFVKTTGTIDHLNRMRPNPACYC cubi_03256 MEEQVNAGQSQIPASVLYQSQVNQMGANGGIGMVQQIPGQYYQP QHAPVFSQYAGVMRPEGFPVYTTQWPSAFNGGDPTQVQAPINTQYLPNENPVYYTSAQ WDTQSYLPMSYYAGAYDPNGMPYPFPQSGSFQYVQDGPVIPRKRGAKRRLFKFCC cubi_03257 MTKSISDSERLFLEDGVDQGIRNDGRDLTDFRPIVIALDVISTA NGSSRIKNDELDIIVAVKIVPITGKNEPVTGGSIMVNVDCSNIMDKISNVAPSFTEED YSFYITTIIKDMCFKNFDLSKLTISENKLYWNIYIDATILSFGGNMVDWVAIAIQTAL RTTRIPKITVSPSTSTRENSNKDFTYTVSPSICSGTLFPFQDIPLIISAGCIRGKVIW DMNIQEQICSKTIIALSINSKGECVAMNKVYSNTLDLNLIPTIINKAAEISSEISKSL DEFFSDKQV cubi_03258 MIKPEKKVVTELSVTGRLRISSILDGEDCGASYIGKKVTVGGWA RTVRKQCSDTLLFISLNDGSTASNLQCVIEKTVKGFEEGLKATAGCSFKITGTIVKSP AQGQSVELLLNTGDDELKICGLCDASKYPLAKKHHSKEFLREVAHLRPRSQFFSSVMR IRNSLAIAIHEYFQRNGFLYIHTPIITAADCEGAGEMFQVTTVLPSESNNKISNIPTL KIEGSQDMAVDFRKDFFGKASFLTVSGQLALENFACSMSDVYTFGPTFRAENSHTTRH LAEFWMIEPEMAFADLQDNMKLGEGLLKYTVEYVLINNMPDLLYLDKNIENGLIERLK VICKNDFARISYTEAIEMLKPHDKEFNVPVSWGMDLGSEHEKYITDILEKRPCIIYDY PKDIKSFYMKLNEDGNTVAAMDILVPKIGEVIGGSQREDDIEKLENAMKDRNMDPTPY WWYNELRKYGSVPHSGFGLGFERLIMMVTGVENVRDVIPFPRYPNHCEF cubi_03259 MDDIEAQKQIQQMINFILNEAKDKANEIEAKALQDFNIEKLKLV QNYKEQIRQDLKKKVKRLEIERAIARSTAVNKARLKKMAARAQVLTEVVQQTRKKMCE ISTNPTAYEPILVDLLTQAMLKLLEPVVVVKCRKSDVSIVESAIPKAIKKYKDILHKE CGVSMNIEATVDKENFLFPAPTSVEQNSKFCSGGIMVTNLDGKIVCNNTLDARLDLVI QNDAPIIRSTLFPKAA cubi_03260 MEKKSRQNQSSQKGDRQSSILSFFSPKVGSKKESSNIGQNTSTP VPKNKNSRLDDELMSEDRDIERELLNSELSFSEKKLDSLQRDSFRRIRDLEMFSADGN ATTCETTPCKTNGQKSDNSGVETTGRRIRKICDSDEELGSEEILSRRIKRRLNSDDES EERNSSRSGPTASQLDGDISGFGYDIGYDEALEAEVAAKIEQKIPSDFSDLGSSLPKS SRKESEIKFHEAGNSVINEEEELGKELLGTAAAQSSLLFRDYVEAMLQIGRNFSLPSW VQLRNIRDSKGTRPQDPCYDPSTIWVPSPNSREAKEERMHFTPAMEQYWELKKEHFDK LLFFKMGKFYELFYIDAFICQKHCDLRWTSSDSKPHVGFPETALHAYANKLVELGYRV VVVEQMETPKELEERNRSASRGVKKDKAIKRSVNEVFTNGTLVRPDMLSDMASVLMTL YFSKKESEELAYEIGVVCVDITTGKAELINIEEKGDQFLQVRTIVCQVQPKEIAYLPG NMPLSILRYLSSIVPSIQLTNFRNFVDSVLAINDILETFEKLQVPVPEVVNRLCNKSE ALCCALSGTFRYLSTILLCDRLIMTGIFTEYDPSVSKHLMVNVGAIKDLELLQSQHGD EKNSLFGFLKHTITPGGTRLLKRWITYPLVNTDRINERLDSVKWFMDNSEKLYEFRDE LRAIEKMASSASSKGSRKKYSQHLDFERLINRITSGVLQNKRGAVYFSNVVQRRFDEF VNSMNLFDSVLQCIIRVFGDENSRKDMPKLLVALTGIRDDSSEGFLQNTFATTERLRS LVTLDSNGKDWIPVPGNCKEYDDLLDSINKTKLCFDDELKRISKQMNTTAISFANCKY RYEVECPESIPKSRFPDSAEITSSKKGYVRFHTEEIKQLLYDLEYKEEQLQKSLFPYL HLMCKEFHSELSSFMAISDSISQLDVLSSLALVSIDTSDGPFCKPVFLSKDETNGLPM LELKESRHPVVAKLKTNYIPNDIVLNGGSSPAPCSLVTGPNMGGKSTILRQTCISVIM AQIGCYVPASECRLTVVDKIFTRIGAYDLIIEGKSTFLVELEETADILNHSSEDSLVI IDELGRGTSTFDGTAISIATLEYISRIIKCRCLFSTHLHLLCDEFSNDTKVLPFHMDL KLNNETKSITFLYKFISGICPKSYGMNVAQLAGIPQEVIDNSVALASDVESSTLLLRN ISETKKLLMDVLIQDDFSKIQEFFKNNKDSVLSCLESLS cubi_03261 MLNIGRFAGRAWLEPSKFSGRSVIMGFSCLSRRLGKRNINTTRM KYKKDLHPIIEENGVKKNVVDVLIMGGGVTGSALVYLLAKHTNIKSIVFLSDKKEAEK NLIYHLDKTIHSGETDTNADLQHSFRLLKLSNMLRNLVKEFPGGVGNELMEKRNKILL GLGEEENNRIEERFQEFRHFFPKIKLIDGKEISKLEPNIAYLDDFSSKLRKERMNGIL LHDEYTSINYDILSALFKKLADEISFRKKSLIRNYVGMNVQSIKRISLDGEVYYKIDS GGKNIYSRFLVINDIGTVFNLVRELGYCSDWIVLNMFGKFQNTVKGELRGIVSGLQSL SCPESTSVYGFPTIGSKNNIRFLASSYTLPISWLLKSMNLSDFSTIRDISSSPFGLFR NIKEKSLRDKIEKLKREVPLLINEQLIQGSRRIIPSLNTNQLKDKQEDFDISINQLLN ISGEKNEIILDRSKIHTDENLILNIAQPISGTTCIGSASEDMITICNRLGININENSL KKDIPEYEIHESDFQNDL cubi_03262 MSEKEEPEISLKSSKNKAKISKCITWDEDTIAMHDIERGTRMEI NEPDTPYYYGSSNPSDDEEPYMQPSTRQKSAVDLNELSSRLFEYSNQIDNSPDYFPSS NNNDNANSPTHKSKRDLFKEKRKLHYKEFITAKSQISKDNSSLSDFDSDSESSTNYKP KS cubi_03263 MKDIFTYESFRVMEGTTVSVKSRTVTVSGKFGQVTRSFNHLPVD IKLCGNGKVVRIEVWFGTPRHQSAINTVCSAIKNMMVGVMKKFEYKMRLVYSHFPINS NILNNGSLIEIRNFLGEKRVRTVKMLPGVVVEKSNAVKDELIITGTDLELVSRSAALI HQCALARNKDIRKFLDGIYVSEKGTVVKDE cubi_03264 MRLMLNDSQFQKLGSIINDVNNSKGSDCNATSICQISDILFIES EESTINNECNCNLGNISGDDNDNNNDNDDTEELSSCEEKVLLIKAGFSACIRAFTFEG FDSQHTIARVIRILRRFLKGVSLISISCNLHKEMLQGLSVIFGMVPDFNLLRVLQAPL KNYLFWCYTQSEDDYVINNSRILLCVITWMREIASNNNSNNNTSSNGSNNNFNSNGIK YGLTLSSQELLNFLISDLNNSAEHFQQESKLSLNCSDSSILELKKRILRNLELFSILI IYSGVYLPSNYNITNLSNNFFNTGGNLENACKKEAGSPVTTLITPQIMQVLGNLRIII LEEVYRVVDEFVTIYLERDSENPEIFNGEVKIKASGKSKPNNELVMDEENNNKFDQLN KIRILTSLISDIFTVRNSSNKLKSKEYSSSFNEDGSIQLELFTKVEYILMLTYLYQEI FENLLKVSGKTGLVVYSHMIQEYLISVFSIEYVPSTYYKFQNTEISQFLLKNYHSSII FAFGLVPETRFNDFDFQIFLSFNASLKILEYYEELLQEISSIQEFSIELANSNSNSIN NPQNYTKFSTYKDIMINKSIIQKLLSLTLTYSLANSIILGLRYTRVAKEFRQREPYSS LDRLINKYHILSSKVLYNIVIKNCQLFNLNLLGMQNLINSDLQIKKIIIDLTYQISNT ISEYYQDSEMNPNLAVFSNIHQIFNCLLFNLDYDLKDERIEPIFNFFSSKVYSNSFSN DNDDNKFLRSSNMNNVFLHASFCDYSKKDLLYLDYKDEDFSVQSDYKPESPSLSQPPQ EEAFSLSSSSSPSSSSLQSEEPKELITTSMDTKESLKFESEKLNQDESFKKDLSDMDN IDLSMNLESSSDEDDE cubi_03265 MSVNEEDSNVQVGKVLEAENEEKNMLLERIRELEAENKQLKEGN RDEIMMNDFKQLVDDMDSAMNYSMQVVQDKDEEIKKISERILENNKKWKGYVKLLVDQ ICKKNMLIDNMKEEMDKFFEKTKKQEIANRENNSKLTSYEELIQIDQQLLKQSKQIEV ELNNRIRKLEEDNKKYSTAIKKISESTQKKMLDYASKIDQQQLTIRHLASIVDNFRGR FLYNNIGRQNGILNQINKKSSLNVSSSKEIMNPLEYEFAIVWSNCQEKSIRRRCELLE LSLNLKNEDNEAIFNYLNDLLLNKSISVSDAFSAYSDYISRVLGLYDLFCKSNILLQY FQYKALRYLQINGIANMKNKGELTGIIQWVCNITIEIGFLILNLGSIIMSIRDFSNNN EFSSSSFEITQLPSLSKIKAILKWIDNISQVVLNDNLNQNITYEEIKEFKNLLHAQIL HACPHQNIGNENAGIELLPVCCVDAIMNINISLSILVRINIKSVQEKNLDEIYRKSLE ISERFYSKCGNLSLNGIHYPIDDRLYSWNGLYWIRILGQSSHEEFKVSFQEMIIKQHT IYDTMHNSGENEGVNITECVDKLFKSVQEMDTSLDELLNPIHMELEIENSKNTVGIDS LPEIIISSKLQRLIMSMDEQIELEGDVNKNETILVTSQKKEGKEIVKGESAEFGGLDL GEVVIGSTEMVENMDSKIVNTISSDSPKKARYEHSIRLLNEKVQSLKKELTEKEVTYS SFEAMQGEYKKLQDHKQLLMSRIEEQELEMRMNRERMKKYEDTVKQMKIDSDTLRREL ENLQNIPKIKAYSEQDVLEPVYLRRLIRLMSNKLYELEMNRWNEKIAREDPNRVLKSN YSQKMILSRIHSKNPLEKIATRILERERRREERDKKKKEMEETNKENREGENENENEN ENLDVDLNSQAEKNRTDNDFQGEQFLNNYLEEQKDEENEYTDILALIEEFKELQKQIM LHRCSMPIMINKGSGSSSSFEKEWEEYIKTESRLKYKLHIMKVSLQELISGTGEGLGE KCNLEDTYISKKIGKMSLKIPSVGKDSALSMIRNQSNIMQVNISEWNEIIQNLVKITT cubi_03266 MVASNKSGQKKKSANTQKVRHPLIEKTTRNFRLGGDIRPKTDLT RYVRYPRYILLQRQKSTLMRRLKVPPTLNQFTCTLDKNQSSQLLRLMGKYKPETRAEK KARLQEEAKKAANGETVESKKPLFLKYGVNHVTDLVEMKKAKLVVIASDVDPIEIVCF LPALCRRKDVAFCIIGGKARLGKLIGKKTAAVVAIEGVRKEDQAEFDLLCNNFRAQYN DNVELRRKWGGGVMGVKAQHVIRRREKILAMEQAKKVGLVA cubi_03267 MAFVKVLKNKAYFSRYQVKPRRRREGKTDYQARRAMISQDKTKY NSPKYRFVVRLTNTKVICQIIYATIEGDRVICSADSTELPRYGVPVGLANFSASYCTG LLCARRVLKQLNMDKIFTGVGEITGDDYHVEEEAEDRRPFKCILDVGLTRTTSGNRVF AAMKGATDGGIYIPHSPNRFPGFTKGENGAEDSFDAEVLKDRILGKHISNYMSEMQED DPEKYKTHFSQYIKAGITADKLADMYKNAVKAIHADPSRQKKPARKVTKTRVGNTIKT EKSQYVRHVKLTNQQRRERVQKKIELVAQLAAQEE cubi_03268 MKMEGSEGSENEPVEFVEKNLEENSRDQKKKNAQEGCDLFDLFM KEVDEPSSKGTHHGKNVTKNNKSKRAKISKLLIEGKIDEAISSSYGNDEFITGTSDEE ILRLTSRIFTNSYEVLGIPVDSDDAVIGKRYRKLSLLIHPDKTNHEKAREAFDILNKA YEDLQKAENRVKYKEVWKRAEELVKKENKKNKALKKIKQDQEVFKKEFNKQVIEMSEK LLNDIKERKEYSEKCLLANHRFEQELYRQKLQEEKEKCIQRQKWNEKFEERAQGWRSY KQNNSRIFNNKSSFDND cubi_03269 MSYNEVILDSGVAEQTIDIEGETLYIQVLGAGCEVGRSCVVVSF KGRSVMFDCGIHPAFSGIGSLPVFDAIDVSTIDLCLITHFHLDHSGATPYFVSLTDFN GKVFMTEPTKAICKLVWQDYARVNKFSAGSIESEEAPLSSINLFTEKDIEKAINMTEI IDFRQQVELDGIRFSCYGAGHVLGACMFLVEIGGVRILYTGDYSREDDRHVPRAEIPP VDVHVLICESTYGTRIHEPRIDREKRFLGGVQSIITRKGKCLLPVFAIGRAQELLLIL EEHWSRTPSIQNVPIIYASPMSIKCMRVFETYINQCGESVRRQADLGINPFQFNHIKT VNSLNEIKDIIYNPGPCVVMAAPGMLQNGTSRDIFEIWAPDKRNGIILTGYAVRGTPA YELRKEPEMIQFGEKIIPMRAKFDQISFSAHSDFAQTQEFINNLKVPNVILVHGERGE CKKLKDKLKELSPSLAVFAPEILQKVALTFPTQQGIHAIGSITNEIKNLSSKEESEKL LLVKGEQDYQSDANSACIKKMRKGSSQIDVDETLLIMRPFEPTLLMKPQDVSEIIDVK KSCIKQTMRIPLPENCVIDLIKLEKEIEKSFDDVLLNNEMIYLYDNSIIIYIIKEIGQ DGQDLSNSELIIQWNSSQLCDLVVDTLSFIILDFINFLSENSQNENSLLNIEIYNTLR SCIENNYGSCINGIHPQVKDQIREKNLEIEESNLKNDDFPNFYFLLKDTRDNSNNIIV NILYKERVILTVPNDNNEIKERIYNLIQNIEDSTLPIQLL cubi_03270 MENVENLTQELCSYSIKEEVRYKYKVGDIVYSQWKGQLWKAVIL DISLKIHPNGWHPIYYVGYVTNLKTGNKNYYFNKSYNEWKSEALIFEINGDTKKKSLE TQRMLRNASKEKDQTIIEDILGKLKSQQEMKISILNFNKVEIEWFDFSEMIYSVLIHD KNQISQGKLVILPKDPNIEDIFMEYITHLNSLGKKNKIFPEIDIQKAVLNMLTTIFNK ALKKRLIYPSEMNQVSYFENNITKDTRFSVIFGIEHLFRLLIILPKLIGDNISFGEHS FCLDIDEDKDDPDYLIVKSIKFELIKTINSFIEYFNGNFLKFSIGNYTSFLT cubi_03271 MDTKNSLNDHQLNIDQSDNSLANIPAENNLNLTHLQNNSQNIDI LTINADNFNNDDNINNHIDSSELNIKVTSDQKSTNQSDDFGSRSNLDQLIKHPLLDNF NPKPGLFQKLIHSRDSKTLKEYIFSPMRCIINERDASGCTALHIALLNAYPEMLEILL SCQSEDLKSNNNVENTAIAGDTLSHNGYQNNMIPIDLSIPYAGIPISHLVLCKAIFPE RYDDCLNCLYLLLPFTLTHSTSQNESWLDINATDQLGLTALHLACSFGDSKIVSLLLE YGALANISDLNNNEPVHYAISSRDPVTLSVILSSGGADPLKESTCTANLIKCCIDKSA WRCLHTLLTDSEYQQHPISESEYDLLSFHAQKLGLGSEFERVFSLAIEIASNDEPSQR GGIEGGNESFIVDGPAVGLASTRIFTHSCCIDHIALPEPMDMPIRRSKLVNKTPENPT RMEVLVKPNVGILKSSEFSLLQWTESCKPAVLSDILRVHDWAYVRLLQHKIEESRLIW DQKPYLTGSIDDDTQITPGSWKAALHASGSVISAVDCICRGENRNAFCAIRPPGHHLG TWGAAQKVGTDEGVPSGSQGFCLLNNVAIGAAYCRYMYSSSGISKIAIVDFDVHHGNG TEQIVRNVAPKSRTIKTLVNPVPGVNLNFEQDISYYKIWRDELDAENIFFSSIHAYDG TFYPGTGPDQCISKPNIINIGLSEKSNSKIFRSKIKRFLLPKLLQFRPDIIFISAGFD GHAIDIVGKGFISCTEGDYAWITQQLISIANLCCNGRIVSVLEGGYNTKALTLSPLAR SVAAHVRTLQWTSPNLMPNPQEWEDEILTEDNEDEDLENEDDDMTSVRGSGVFDTYVD SNLVAFGNSDIGNSDNLNYSSSSNHYVFGAGASCSPSLKRYKSQEKGQVDENPHNNNS SSSAGFPESQVRSYEVNIDNKVANINATSVIRPRRAAAIKAEESIQKKIQSELDSSKQ INDRILNENI cubi_03272 MELRNNENSRIENGFGAYQGRKSRTGCSKFLNDDEIENVVHVKD VPIYIKYNSVSSTCTSTAPSTPNSRDFDRLENSVRLNNNIVNSENMPVRSQVSHFGKA GEDGISNNAGTSFGSYSVFGRGGILENSSMNMQLFNFRILKRKHYSNSNNTFKSYNRV HIEMTKPNDFSFLLNSDINDGNEFLYLKSEQRLLVDFQEFPIMLVDLLNRSKGFGFSE NYFDGRTSNISTNLEKETVFPGVSRYNPANLQPSFSPARNDGLNSLKIVLNLNVSEGG IEQSYLGLSSGASFNSGILNRADSFTLHFVELNHYKEIVHLSLPFEMANEAFFREYIL RHLNNYFDLSNRQQNIINSYEVEAESLRKEVGLLKEKLSKTSYLSAQNEREISERFQA EIKRISERYEKDYTQLKMIYEDTHKRELEHWNQERLSNEGKINDLQRQFDELGNLFNE LSESKSNLEKYVKDDKETSNGLKAKLEEIQSSYDLLLKIKDEQEQELNDLKFEYSSSL ENNKTLKDELEKRKKEISELDTALDDACKEIEKGNQIISSLQTSLANVDSKYKQQMTS FSNLKRGFQQIESINRNFEERIKDYEQSLDDSNSRQEQLIEENERLRKILIEAEKQIE INQSVITSLKKQISINESNFYGIDHNLNTGTTKNYSKSYVGLYNTMGGSTSSASLSGV HYSLGNLQPAIASVSASRSLYLGTQGISTAKHRKRSEATFDINSINRKYSMSVQPRRS QTWTMESVNHEPRFTEGFEAKSQRRNEDLKNSRDHQRVTNSLYYRELHEGNGSGGSGG YRKALETINEKTSSIFTPPNNRQKLGVGQNKKNNSKNSTSLYKSEVIQNIEESVSLDS INKADIGRNEDHEIEEILFGLEGAGDSFERSAKDLTTPRLKTPVKPIRSDNNGS cubi_03273 MDSTEVRELRDVFDLLDGKSGLDVGFLRAAATCMDIPLDNTVIG RLNSLTQECGGKLDFAGFVSLVNSGNEIKKFTVEDAVNIFSCLDIKKTGTIDLEDLTA CASRLGLSMSEEELKTMLTNLDGDGDNAISPDDLLSALHRYSERF cubi_03274 MLDKGDGSIHYREQNSLDDGLCDLAEDDLAEIVLLQEVQEPRLE NKKIRVAGIVKFVDLVEQEITLFHEFRSLRVSLRKLKKSTIQGLERGNKVEVIGYLMN QENFNTHQVEGSSKNERNLILIAQVLKNIEETNLHFHQLSIILRRKILNSANIIC cubi_03275 MKEIIPMRTYCMVFGYDGSDYHGMQIQLRDNKKVENLKTIEGEM EDCLKKLDLFFVGNDDNNDGGGFLKKIKWSRVGRTDKGVHSVCQVVSFRAKIRLNNEL EGILKHKNDSQKIKEICQRLKIERDILNKNGLDESQNMNPLLGGVSLTPKSNVEDFLD ELLILDHIVGKLNELLETTKIMVFKIFRVTKNFDARTDCSRRQYEYLMPEFLLKPVNI INEDFKEELIKASLTRFEKGLNDLKSGSRKRKRSSCTNLNQSSESKEMKEENLEIAEE MNEEEEEKEEKMKQFEFHQANRLNNYGFGNDCKLVNYEIEDYLEEKRRFCLTDEDLKR FQTILNEYLGTNSFHNFTSKLSYDDSTSWRHIEEIKVVRVDPSEIKGDLKLIKIVIKG QSFLLHQIRKMVALAIEIFRGTAPSNAIQLCFLPDKYSIHLAPSQGLLLDRVFYNSYN TKRSISTDQNDFAIQFLSFHIQDCHFVKEMESFKVNSIYSKISSTISEPNDNKWIEWI ESISRHPFIIDNLIYDTSYANKNKLENKDNQL cubi_03276 MSKTKRLLFGAYSLISLSVIVLFSGGRSGPFSRNSTYLFEKIFQ TELSERTFGLTLSLKEKQTRFTFESISLKKAEKQQSKEIKQADKNDESEIKKIGKNSG VESKEITKKIKELKKQLKKERKTNPNARLMEIFNESGGFSGSITDSGDSDSIPNSTSS FDREDGLRSGTGSNRVNSANSTSNRVNSANSTSNRVNSANSTASLTKEEKKQQKKQDK EDEKEVNNRLISSGMTKSEAKKKLKELKKQLKTKRKSGFPRATLLDIYEGSSNGTVME GGTTRAPSSDIETRVSNLEKKVNKLIRKNKKRKASIDKLNSNMSKLAFIVRRMTKNRG INSNSPFGDDDNDDDDDA cubi_03277 MPVADIENCAKIFDCEKKNQFDAKLSHRILILAGFFVNENTVQE YMETNSKKSLTIEDLKSFAGKPKFGIPPTKESIKNMFDLLDVGKSGKVDLDLLKYGLT SIGTDTFSSSETEEFYKSLDINLSETKAISIDQMIENLMKMLSEFE cubi_03278 MVPQLISLFPPRIWSKIISRTHGRSLDVFKEYCCCTSSIKKALY IFISIWSGCLLAFNILILIICLRTFKSYPFFLKHLFPELSEASYVELYIIYILLYIIS ITNIIMGFIGFITAYTYSIIALKIYMVCNYLNIIKDIIFFIVIIILLNKWHIAFIYFI CFFFLFLIFILFGILSSFVAENLLYIFEEERDENSTSQNTETVSDSTNSKNDENCNKD LEMAKDNESNDPTIQN cubi_03279 MEIKFLFVMFVYYVGAVFGESVDYSPPVSIQNMDESILRSRGSN ENEMKNEAGGITTLESKNDEVDSFQSNKANEIDIDQIFANNLELNNLLLGIKKDSTLE SPTHTKGRRFQNEMDFQKTLVFQIEENDRFVDSDIDIFATLTLPFSTFEGHKIDYFII STPPSYTLPKAGKLCKCVKHLEEISPEIMKPVPISIKCTTVSANGSIYFVIQNKDESA LPAPGYYRFQLKVRTPVETRKVQNDPKNFWWLSKIRFSSGHYITKVHENTRLLLKAEC QWSPWRRETGCSSTCDSGVEMWRRTLLSGKNEELCGGAYQKRECGDTPCNVSCQLGPW EELVPCTVSCNASKNGGKRIEYRKILLTNSGNGPDCEKLYPWDKEKQMGWNESLGMVI KYSECPLEMRQDCKKEFGCRVERENLRTIASEYPWGFCPFPCGGFGNITSIVQVANGI PRWIGEKLYPKTFEYPCISNKKPIVEYKPCNKNPCGDCMVYLEDSELNKTTNIWVFFH LFQEADEIRFVLPDGFTFLKSNDNELIHNIAHDKNLKFDNNTKIITSINKAIKVNQTN YIDIIKQSFNRIIFGDVSPSTDTSGSKNDENNNSNNNNINNNINNNNNTHNITKCQVT AASFGSIKTCQLINHHSKSNYMIENYKEALVILDSTVQPTRSIFSEQSKNEKPNWLFM PLKIGNAEGLVLPNGRLNNEQFRLYARGSNSLKEPEELVCNLQTKVLLPQPCRVDLLP KNGKDCIKCSKTNVFIIEAYRQFTSPKNGGACTVPSELRVDETIINVECINACPNGRR YVMGTDKYLKKIGINS cubi_03280 MYSNKRKHRKNKEFNNSWPLTLDHTLMESLTKNVSARETEFLEE VKLYKSRLIDHNNSSLSLNSMILHCNDKFPAESFISRLYTNPHSILFLFNIIPPLLSC SSTGTPFLSKERSEILAFVIHLLFKSLIKHSQIILSVDKRLDSSLVNLSMSLTHHIQT VFSGNQDLAIMMSSCTSLLIILSNNSVNYIRNIVCNIEVISGDLSFEYDCLRKMASRE SINNKQQPAVFENKLFHRFPFQNNLKIGNFISYLRYFEGVANLYLLNVERPNFLTPNK KATIEKVILSMSNVVLEQMEKLINLYFCQYKPEHIFGDYSLFYDGEGIRLKFVDFIAP IIIQTSLKWVSASQEISLRRFSEFHNSSYFDISKFPSILEMALLPIRRDQISDEVSEI ILTFLEGYSAHLSLSNKYSIPISVLSKNILVSSMLLSSSMTKDSTFFTLREELFLLNT NLNWIKVLIKYFKIFSHSVVPLLLIFDLMDLPLNSEIDKLEFEDPSIHELYQEWINIC SMTFMKIVFETISKASKISKVNRTTFSAPIMITCGYSQPQNLKSISRDKMYIGSDFDI DMGMRLKLDLNLGLDSEIHRRVVDNFGRTLGVDYRGNSALDSGLLFMPESLNSNEPEL GFSKFSRNNVHNRISIIPDSMQLTEFDFSRHHNLNQQQHQHQQQHLMDVPDFNITLGD ENSHIFQKYRREIHYLMCCLSFITLRGSSEYILLSISAWGSICNSLRISSSCISYLEF LLKSINQPKFKPLENCFNKIRSQRENISNKPVDISEIGLVGIQRYFVWSIVELGEFPA ELYNFEDHIESFFPKFSQKKSNHLKIRESIRDAVRNAIFFPESPNNTRITLNRNDQHN HLLVNERGVIRYLLPFYSFQMFLKDIFLHLNGFNLVNYIGSGQIKMKRSNQNTSNVQV KSFIIRLESILHAFSAIIKKGLTSFPLKPSRLIEFMDNHVNSNKRVYNDDEEIRNLLE LVSVSLKLDDEDAINKELNNRGLVLGDENDYFEQTRLLFDAVMLKIFQVTSEFEGLMD IYLQNGQNRLVEQRIIIDDGVRIYERLFRQLLCTLITLIGVSSVWFNDKSVLVTLKGL KYIFRSLLLIQEDHFFPLALEQDHVGALALLKLSQNKTCFENQILDYMVIKCMDSFSR LFSDSKQKVEIPSMTFESYLILLTSLGICISHLISDIDDENIPHSSTNSPKSVSATTC SSISALTTNNNKECIQLYKKLQKWKKYTGLVLEKYLSLLDRLIEIFNIQIMDQETLKN GSGLYEVPLSDDEVCQIYNLLLVTYMMFCGLEAFFSSIFPCKYRPYSYVILTIGAEKF SHLNILDYSKRLFESESNLKSSIAANIFKDASNYILGFFSRDFYSRIRLILRLSCAIS PSWLQVLYHPYTCIISCMLQLPFLSMNSVNGEGGGSDLLLGLYRYIGYDEFQFLSRDT LFGGLRSVGVGHVTNNISNSNINSNNNNNNINNGNVSVINGNNNINSNNNLNVNIGVS NINININNSGIGGSTSSNNNNNNHSMNNSSGIGMGGISGVMNTTSTNGGMVPNIQGNG DLGNKCNCLYGENEGFGSGGIIKKGLHPIITLIYKLLLFNRGLNVEINSITKLLQSNY RGMGGFSAGGNRGTVNKVSGCWSTLGFASTNENNQRGFKEIFNMLRGDLVSYRDDGVN CNDGDNQNNNNAFSKVCICSYVPNVFLPLSVMMLRIPYFTLKRKQRFLDPILSFIHSF KTFNVHPIIQFLSYYSFSVSTLTSISRDDYKLQDSSSYFRYFKKDSGLQDVNKLNTFC IYVNQMSNMDGNLRVNSSKIDDHCSCLEYHDAVCLALLLLLQNLTNLSLTSESYYPAQ GIGVGVGNGCNGAYATNPSFIKIVGTPIRANLEVFIEYVVTRCIVTLLICIGAQNFNT KRRIDKERIMNYYNNDNSSNNNKMNNNNNNNNNINNNNNNNINININNNNNSNNNNNN NNNNNNNNNNINNHHINNNHNSNSSENNNRRISGQGGSIIDRGMVIPMTVPGTTQGVG VDGVVEGVDGIRACLGGGCAGGLCGDDNNMLFSVDNNCSNDAEPEQAKDELKNENERF IPQKLLSNQRWVVITIKYLLGTEFTNKINKLHIERLFTNSVWGVELTVTILLAILESY CDSESFSILSDILILIRQLLDKKRFRSLLEASFCRLPIRMLIQSVNISAQYPALYFPL TNGAKMNDYLLRRDFEKNLMRNHGNNYHQKSKSSLIACTVRQFVYRLLEENFFLNSNM MDLNFPHNSGGGIFDFSGGSFVKHFGNGEEFVRMHEDMLFRGNFHQSLPYILKSSSTS DVIKDFIQQIVNVSVSQTNKTKFRQILKEFCVKSVCKRMDE cubi_03281 MLDMITDRCSTVIIIILAITLNRSYTSLMILFLIGDISGHWLYM ASSILTGKNSHKNVEKNMWPILKLYYSSKPLLFTLHACNEILWLTLYAQGSIHNKGTN LKQLNQIDQKFLSIIPYILYAVLPFALIKNIINFVHLFYGCNIFLDIDSENTKN cubi_03282 MTSDKENFSKNEESGKKEGLKEGESIPNVDMMDLTEEDKILKEE IDGLVEKVISEESGFEVSKSSLESLSSLIKTSTSGMTSVPKALKFLGIHYQRLKEFCD SQISKKSQLSELSCEIISVLSTTLGDMKERKALSYRLLSGNKKGILDWGQEYVRNIVG EITLEYSEIQANQDLPGASDQDVNVSDLLELVKIMVPYQIEKHCELEAIDLLCEVEQM ETLLEYLTKIDVEQMERIVLYLQQLSQYAISAEEHDLYLKLCFELLLSFEKHSWAVSV ALKLDGQNCKEKIFSVIDDIISYDSKRSKIKNHEAKDSNSLNLSGNFKVSGLSILKQI FFQLAKFSSNINLEELKSHLISRDFCKIYGESELSIIEEIFSNEYLSKFYLFLAKELE VLEPKTPEEIYKTHLEENSRYSSRSMMVLDTAKQNLASTYVNALVNVGFCKDKLIGED DSSWLYKNKDSGKMAVSASLGVINLWNIDEGLANIDKFQWSDDPFIKAGALIAFGLIS IRIKNDCDPSFALLSEYINSGDEPIQEVSTSDVKDEGDDVKMEVGNKTTDKSNDNKIS IIKTVVPNYTIRMGAILGLGYSYIGTCRDDILELLIPVLMDPFSSLECSAISALSLGL IFSGSGNQSIIEAVLDLLLTIGFTSGGMDDGESNSESKKKSSGDSQLESNSFLDDPLS ILYGLCLGLLFLGKREACETTLEALSVITHPIGAHCRQTVVGCAYAGSGDVLKIQEMQ RVLVEETLAKGGKKRAEEEDQIDDEESDISVLVCVLNMALISLGEEIGSEMSLRALDN VLQYSSVFIRRAVPISLAALSIGNPKPVLIDTISKLTHDSDPDVALNAIFALGLISAG TNNARTANLLRQLASYYGKDKHALYAVRIAQGFLYMGKGLVTVNPCHTNKLLLNPISL VSIITTLHLALRSRTILFGNYHYLLLSIVPAITPRHVITVDTSLAPVQTLVRVGQMVD TVGQAGNPRKITGFQTHNTPVLLGFNERAELATDEFVPACSSIEDIVILERNPEAENS GINGSDK cubi_03283 MDEVKVPRSFRLLDELERGQKGQATDGVSWGLDQHDDITLSTWA CTIFGPPNTTFENRIYSLTVSCGPNYPDEAPIVKFNTKISLGCVDGHGFVSPNFAVLK QWQRNFYIENVLIALRHEMASNFNRRLVQPPEGAFYDD cubi_03284 MELKYKFEEGKFTEGPNGRINYSLSFPNVEKTAYNGPLVICFHG LLSSISSFKKLETECLKTGLAVLRIDMPGHGLSSWSYFGSLTSDDFINQVDTVLINLG LEKLFLYLVGISMGGLMTLYYAGAHPEKVLKVASLCPACFCRPLSTLQKMVVKNIPGV FRFFENSLPLYLFVTRDGILDDYYDPEKINPEAIDLRYFRHRYYGRQLRSTFGRVVTG FDFWENDQALKVFMDKYISIRGVTGVCFFLGLHDEMVPTDIIIPKLKNTIPKARAIIY QNCKHQLLEEGNNIINDIVNYFYSNSESEYCKIGLAIDSIRIQDNVQK cubi_03285 MRLLTYIFAALSFAYVGVFKVLGEEQMVIPDHFYYEEGSRIKLS HGTVNYQLHQENENGPVSVCLHCFMGTISDCSSISKNLAKNGYRALRFDFYGHGLSQY KNFGYYTVDDYVDQTMEILEKLGLYNVTSISEEELNSNTFVPKLHVVGTSLGGFVAMR IAQRYSKHISKLVLDAPPGLLKKKVAPYLQYSIVNYPLQLIANIYSPVWGCYQFLDPP TENLSSPKLDFRAKHYCKQILLTSLQLFLGINLWNNLEIYHEFSKVDVPTLFFWGAED RLCPLSSAVTVLNEYVPNSKIIVYENCKHRCSKYCKEQFVKDTIRYFNDEISQELVSV SQYYNSVSDIINYTDKRLIPSIRGTTLSSSLEPTFKTEDTCLKGSSGSQEIAIQLASS TTDEDTDAEGSKNDYDQFNNEICENGNK cubi_03286 MELTLDDYFSSCKEKRLKDNKGGSNIKISKEENNKVKINDDTES YEIKVKQTSKRSRLHKVLSSDEEEYEDEIETLKSTTNRKKKNLSPKAEKQNSPKKTKS SSKSNLNAEKDKINSDSQNEEELIGPFNGMSIVVTGTFTNNSRQEIEDFIKVLGGKLT SAVSGKTSYLVAGSSLEDGRPTEEGSKYRNAKSKGVKILNEDEFIEIYTSYKQKEAKH DSKSPNRKTLGVFGAGTQKNEYNNSNDNFYSNHSLWTDRHKPESLDQVLGNGEVIKKL QTWLSDWKSVIIENKKKVPPKASFSPGSRFPQVENINARAALISGPPGIGKSTVASLI AKKCGYIPIEMNASDDRTKEVIENLSESAVGGFSLSTFARKSSSSNYFVEEGGLNTNM LLIMDEMDGLGGSDRGGAAALGRLIQKTRWPIICICNDRMNEKVRNLAPKCYDLKFTR PSKVQIIKRMQEIANKEGMKVEPNAIELLCESVGNDLRQILNELQLLSLSNINVRFSD IKKEISGHLKDVQVTLDVFSATKKLLTTSESSHLSINEKLEIFFIDFDLMPLLLEENY ISALSIKTGSGFGSSNQQMAVSPQVIESILESANLFVEADIFNSKIRSDNEWSLLSEI AMNCAVAPGLCSSNSFLPRPEFPKWLGKNSTANKNKRLLSELMAIITVGRKGNCPTSK GLRLSGYLDLIYYKATAPLLDSKIDTKDAIQMSISFMDEYCLNRNHLIEHMPSLMLKN QIRTYEKVDSKTKSAMTRIINSTTHAVKFSIQSNKSNKSDDSEFSRRGREEGQDLDGQ EVDFDQSDDNKDEKDEEYSKFSNGKSKTSNELGSLIKVKKSKTTGKQTKEVKKKTK cubi_03287 MRFLDAVFNQFFKRILFEKSNWKIKIVLILMFLKSIDSNSNGEL IFKNIINNETNLRMEDLQKEQGDRNFNHCNLTMLIKQGEKSDLNEYKNCSQKSDTAFE TKNILGLGHQNLNYTSPVENLLIQNPKAVFNESNILNITENGNNTIYKPLVGRKMADY ELIHRDQLVFRPVGYHQFDVIPQVIHQIPQNQYPMFRSGYLGYPYNPINYYRSPYITT LKRNRTRRFLTEDLDTNAKNEYKVEYEIMEFLSGNNSIFNQ cubi_03288 MGSHLENQLKKKLQDFLASPLCKESYDELSSVISVVGLLELLLN SNDIDDITLITEVLKRLTILGALDDVIFDMPNAIEMLEKGSKSQVECIRLLVAFILNS LSMNDLKCKKACEKGFYSILGLLLRDIDISIGESASKTLKSISKINPEYVYDQELINK LLKNFKLLKDETRLRIIDTYISIGSISEDLFNKCQEKGEFYSLALKEYFTEDILLKLV SMKLLEDLGEYSWGVKFIINNSTPELLIDDLNNPMFDDEIKISIVYLLSKMINNNPEM SKKIFTMSGNSFIQTLKEFMGSYNSITCSENHLKSVCAINCWGLFTCSLISFQNLMDI WEGSFQQMLKLVSNSKSEISLSSLNSWVTFFESKDIKEILNQTKTSFGLKQAIETQLL PTIISDLISKPFPENRTLIYRILTLMIPNFPNITSSLISNHKIRHLFLNPNSDSNKDI LYIKYDLVKQMVKFDQSAINSLSDPNFSSSLEEYVKVGPFYKSHSAEMQIQDLTV cubi_03289 MGKKIKNLTKKEAGEVWEFQSKYSENSIRQVFFSYNKILDDQLR IVIQTNETSSIEILQFSDQKLYSRTWCPQENRIGEEFDFMQISSCLYSKDYACIGFSN GSVRLYNFPKTELLESNQLKQENSNDQIDDHYYNTMFGDSQEIEEDKESNTFWLDDPS LKNLPSRLLMKHMGYPICIKERNGIIFVLYDDGALMSTTVESSMGYNVIRYDGTFQGG INFSLNPKGDKIAVSTINRKLIVSSLEVKELNEKNDDGIRSCKLLFESQIFKKSLFQK SKKSGNPYNCLVLEWSSCGEYIYLPGEAEVRILPINENPKEIKYFISEVGMRNYGLDI CIIKEIEYNQDKRILVSLSIEGEVRVYILGLGMSDIREVNTFMLKNKSMKELYPVSLD LFLKRSGDSINNEEFDKKQENKQDFLYISSVLNSGELILNKFQLDFETYNIINVIENK NTGIENYDSIGEKTYTFSESSNSKRFDDKDLDKSFDENLLWDDVEVDEGDIKEKSDLI KVKKTKSGNLEDNYKENREKQRRSGLRKVGNEKEMVEEDIFEEDLDEFEHSMNDLDGD QRIKEMDNSDGQIEDEDLDFEEEEDEYEYESWDKREFGRHIEHLKVKIEKLKSMINNG NESQPPVHPGYTGDNEILDSLNEENREFLYCWNQSGYISYSIDEEGRPSIDMECYNSM DGPKKLRVYDTKGYNMACIGMDGYLLGRKSKVLENGTVVPSIIDYNIWRTWGRSDKSG WSKTLLNGEDLISMTCSRDFVAVMTSLRYLRIWRNSGINVSVTKLVGSPVCCVSNESY LLVVTQREPFYVPKNKLRIGGNIQEGIISGRCNTYEILFLDVGQETLIYSDIMSISPE TIIKWCGISNKGVPIIQDTSGQTFMLSRQWKNQKENWVPITNFGLLESSTSCKYFILG INEDHFNVLKLPDGLDNPIPIMAKNNSNQNYSTIKIPFNIPILGLPSIRQWMNIIEND PTLNECITNNNISWEIIDELRIKLDLMQGNISILDEFSCHKDSNQYKQYKLQREKLLM RLYMKLVIKQLVEPAFDVVRMFNFPKSFQIALEQAEKSGERILANKISQEIQMRSKYE QEKSEKTCKIDTNKGFGKTTGISSSASEEENTTTKAKNKSNIENININDEKNKVSTIS QIGSPSKSTPSSYTISNTTSATNSSVNTISSFKMNNPFNTKTKTGVEKSSLKNLSKET NNESQHDEISQTIQYCSDIIKKRKM cubi_03290 MSAKKGNTKSSTSASASKANAKKTAQAVKNSKRSSTTKIRTRVQ FYRPKTLKLHRNPKYERKSIKTQRNLDCYDIIKKPITTESAMKMVETLNTIVFSVNEK ANKTQIKYAISKLYDVKVANVNTVNTFGDGKKAFVKLSPDVEAVDVASRIGII cubi_03291 MLKDVESKKTIQDINFLNFLELIFHGIIKYCHRFGILNLYKSLE NKQEPRFETIEWSSYPQLMDFIFPQFPLEDGMLTDYQLFGFDFLLKSGSYSDIFKRKI GDLILMNQIGPGFGVGSGSGSGSGEIPGAGVGLGFGESEQISGAGGGTEQISGAGDET EQISGVGDGAVSDTKDESESSLDQPLIMEKLPIQIENEEDDDFPLTVEIPGITPEQEI VDNLDLYSLSEHDFSSNVIAFSEELTSLLLPKHVEAAMKYLQGCNIKMLFIINSRIET VKELLNRLNLMNIGINGIERELLMKDAISNVLLGLLKKLQSYLEKCRSLNKYKVAWIH IAELKGKKNLILNSLHPSFINSSVFPFYCDHINLRDISEFMKNSRLKLKNLRQRLNKM INYKEKSSLKKEISILKVSIRRNKTLLEICEKFIDLKHKNNGLYNKVLNVLNNFESNM KLTKNDIYKFHNKIGSQLVKGTIDEEEDLNGLYRIYLSLVEDLNRLNIDEIKFLAKEE GLSTVNYLEKMIDNLKKEQKKGFRKERKEEYIPLKPIKTEGKNMYLMNILTSDPEILD SYSLYCSFVKQPLNLDELIVISDYDKTKLMILLAQLSQNSSKDNRSNIIEGATGYDPV FELGGYYPGLIEDEIPNIEYEYLLRDKEVTKKYLNYISLASDHNSPLELMDFIKIPGN REKDNLMKTLDIAINLAIKEKDSDKLQKQKKPIERSPVSPPKQSTRKSPMKQPLSPNW PILFPLTPQTSTGGKITDLVVRPKEPKGLPLLPSIPTGQISKPKLVTQNQVNIAENLK SDFEIFNKYLDYSSVTRSPLGLEDLIIFSNNHRNELIKILDKAISEGNKSTENSEYSR SSPRTPFTPGIPVPVPLPAPAPAPASVPVPWKPLKPSAAPSIGTKTQLRFSGGRLDQS SVDQSKVSLATLEKHAALVQSGYKADSLSKLQFLLKVSKTDLNHLKALERFVKGPIAR CSTNRSSCNNCFVCNSRKSIDKDINNMEKVITALQALIEYCIKNMK cubi_00001 MYSNMFKKEINQKYELLKDLFEPQLTIQIGISLWIIFLVHCSHA VVFKGVIYPVTIDRSATISYYTVDLLNIAIPLYFFSHGWKLVKIRALSFQYYLNHHIK FLIPGFFYTILVFSIKHFDKLKPITQSSIPVPDKDWSWSEPYRLGLMSIFIYHFLVAM VMYPIICLIKKNYYYGNCFEYEYEIVSSSDLFTKIDIEISKNIEISKGYNVNQNFNYC DSSSSNLPYIISNIIIYFLIINVFFYFSYGSYLYIIYTFFYSSIFLIYSLKFIQKEIN ISGICVFMNIVYISLYKYFNSEISNSAFNNGTVFFTTFFLFLIYYFTGFTMAFYDSIL KLQKYYLDLLLIIPILYNVISIPNRKFTYSPLIFPISVQQGNQFKIVISSWIEIIFTI SIVLRFFEKCYIKRVLKVIIKKTPYLLIILITAILFIYERTAQIATGLR cubi_00002 MENFNSIPVVNYRGNYPGQQSFTKTNFDEYDSSDSESLFSTIGP TNSVNVKTSAKNKTYNERDREKAKRYYIQSTLEVNIDNQYPVDNIEIYRQKIFPFQLS PNISLVSPEEKKSKGSRISKVWQALSNSEPSIIGIVRGKGYVYTLPESIDLLLFITFS LLFMMSILFFNSSGNVKHSIYNMLSHQTFSFQSSLESECLGLPDKNGLSSYYQVYSKN FTQYIMVPNKDIFFSSLNENVVFPCGRGTIKDVATIADYLRWIALVLFPSLIDFKGTM NSALTSIVKITTQFNNGTESELEYVHNKGVLKGNNMYIYPYDTESALEFLDNIVEMNY FNQSEVSSINTRFFVYNPFIGIKTSVIISLGNSYITGYYDSNLDVRSLLIKTNSYSYI FMALALISGFFGFFRLFTHKDVILSPLMTMKSSMNRMFALLSSLLIITSVITYMCIFI PINWLWTEDISLKYSKISSIHDDGLDSNFMQQSTHFEYLAACDILFRTSASTSLIFSL WEFFWFLSIKISRKHATSITVVVKKVTFPIIIGAMLFLFFIYSYALVGLILLGEYTSV YSTVSSTLFHMLLLIIGKTDEIWMLLNETKIFAGFFFIPIICIFGFILPSYIYALFCH IYNSTIDTVEWCWDNCTKDLKINIQSSPWYIEENSIYEGDSQENHDLSRIKADDLKNG NDSQEVNIISYGNNQINDLFFVRDRYSNFMAQSTVFERLYEIFFSRKKYLTFKQKKEI EMSKFDSYEQTRKISSLNTVGANNLDKSLSNSVNNNGANTRNELIKHQSQSEILNGNE YGTLVTRSIHEYYWSIFNYGKAIFSNPGTISNYENNISSTSQQLMYRMVGTMEASVDM TQFLISKSRDSDYSNSIGLNDQNGLPLLVGNVMADSPCPNMENLLTFTEKVNKIGESI WFGVLLIFILIIIIILSIFEFRKGILGDEINSVSWNQFQTDVNINMMKPVFATGEFDF IRSKTPTKFILNPVRLNLSTSVTPNEISYWISMSSLRVIFNSTSEYQYKVIFPNLKSG IPDPKIIFDQNLLYNGGAQIGVVLRVVTITENRTADRYIDGSSSADELFRMVGIADNF FHSYDDNDKKLTEELKMELIEKGIDDYPFFYKKVLPLDDYSTYFENIYSNIYELLHYP KLVYLEVLLPSLLIEDQSFNYVRIVLTRDISGGISKKPLNVLLSTKNSQFDLYFKISL EIVILLLIIGYSVVFSLECKKFIKIYRNSPESTGRKTRSALFCFFNYIFTDLSRAHDL VIIIMIIVRSAVYFSIFYYTMVLFKSELNTNDLDMVYELSVKLSYINASIIWIFVLRL FGQFSSISSNFRFLVFSYRRSFLPLFLVFIFLALQFIGILVVIFINFSSCNGEFSDFF GAISSTMSLFVGNFDFYEAYECSSNLTTIVLVPLLIAVYYIIMPVQTVIILRSLWLSK KESTDIDRIFKIVVEGRNKRNSDEYKKYIKHITGMNAQISEREIWDNAGIDYDEDDPI DRKMYERKYENDVSKVEIPPPKIAELTDEQWDASPDFIKEWAEYEAESFIDRFRCLEN EFKMNTSSTGYYSQFVFKWENSVYKELQLLEVTTNEAEQLLKRLQRAIKGTASRVRIS QALQTNNLEAVIREKEEERESKRALLKARKNRNIYDNN cubi_00003 MNDTIIGAFCVSGIYFFFILYGIVQERIHTLGDRKVGDNFNYSL FLVFCFCLVNTVVSFGIIQIEKWRTRSRRESTHVKNKSSKNIDAISISGLSFECIFQI MLTSATYLAAMVLTNLALGKVNYPTQVLVKSAKCVPIIVIGTLYFKTKYPWYDYLAVI VITISLSCFNMMQIKTNKVGTVQTLSGVSLLGLSLLCDGLTGPRQDKLIKKYNVTSNV LMFYTNLFATIICGLLSIIFEGQKPYLFISRFPSTPYYILSLSLTGTCGQFFIFQSLI RFGSLYLAIITTTRKFFTVLVSVVIFGHKLSFGQWLCVSAIFIVLGIQTVFSRKNKEK LKTK cubi_00004 MSSNNGKEVSVEDSPESKFLVEENVDLTKSCLWSILERYYETVS IDAWRKNQVPSFITSNTRLACGYARLIHNFIMDQNPTGTLSRKVYIVEIGGGHGRFTF FLLRALERYSTVWKDLGYYDVPFKYIFTDASDASFSFLTRESSALIPYIQKGWLEFAC FNGNSSEPSDIKFGLSKQETIPSESSIVLVCNYVLDSLLTDAIAISKDKVISKATVSV YSSQVEEDLTHPSIADRMTLSWSWKEILDEDFSLNSIHSENRISNTFDKVEKIQETEY LTVKEKLLIKYFQFDYSLYNVVKSYLDLDREMSFVLPLGAIKMLRRFYQHSAGNICVL IGDKGYPDDTEFISIKPPHIAVHGCMSFMVNLDAIKKYFCDLGGSYLATRYKDTFQIT CILGGKKSLFPRVVASFIDSQEDLAPDNLLNIQRGFEQLSSNPSSLSHGLKPYLGVLR YSLHDPFILWVLRNGILANIGDLNIRQREDIILDLRSVYQNIYPLEINIDVFDLLAQI CLKGGFVNDAIFYYNESLRCCPEMIHPSTYVNLAKCYQSQRNWKKAFHFCNEALKLDP NYPPALEFNSEYLNSSKRLNYIIVGCYSSWIFTDVIPTIQFDAMYNCIGVIPSKSTIE NAKKAFDHLQAIFSCNQENEVFSSSPPKFFLEEIAENSSLADAIADVFYGNPQIEAVV LDVPPDLLKPCMSVIWEFNKHVMTRSPTARDVASASEILSTKPPRSLWFDYAPFKFET ALLQVFNIIKQHGRLYAINCKYDIPSETPIKSDGYKNDELIARLIHSLSAIYIAIDGT LDWVFCPSTDNDHDKKTLFCGWSSPLWSQADPGKKNRTLSEKNPMKPSSKKSDEIHCT ISTEFVTWDKTFIQFDFHCDNSTLSLRKEGPIWILLIRTSKGRYESKIQCVGLQTANE RFRKLCKDYNPEHYNIKDSIGFSAWWDAIIKSKQSPIYFSYQ cubi_00005 MIQNLIWDSISFALGDREKDTSSMEDYENLEIIGEGTYGVVYKC KCKQSGELFAIKTFRNGNNEFSTTTLREISILREINHPNIVSVQDVILSSNGVNIVFD FFPYDLKRYLSMFPDKIPPMKFIKHIIFEILRGIFHLHMHRIIHRDLKPQNILIACDR NLPDVKIADFGLSRILSTPFKTLTREVVTLWYRAPELLLGHKNYSSSVDIWSIGCIFV ELLIGRPIFSGDSEVSTLFKIFKTLGTPNSRVYAGISSLPNYSSDWPKWEIDENWIDN QISIYSPTKQYLLETDAKNLIKM cubi_00006 MIKETEISDYGQFSLNNNLSIGFCEIGNNVILRKKQKIDVDEFK GNERSLDFIENHGIFSVYDFVYPISRQLCCDLISNNAAALIVQTVEFKLRQIIEEAIK LAYHRGSCNSGSLQKNEGFPFISLGDINSAMRYICGSKNLIWSSEKSPRSYFISTKQS EDKDQVIIETNGEVFHNLPERTIKYISCFSFSDENRNAISTLNSAGKDINDKEIFHSI IRDLDGKKIVKPTIHLHWLAVEGKFVFNSENDTKFMNNISKNIETNSKFLKDVNFNIN IKNLDNFEVVINKAIEGFLSEEQKEFLSYMNRIFLRAMEELYSSCNLTYNSNFLNSNI KHRAFILNNFLNNYGLSEKDTDAITSVFSVIEGSNFSNLPKSAFDQYINCFNQPNSYI KNNQIYSKESKQRIELILKLNDIFYIIENKTDFEPLLPYLVYYFNYNTKLICCKFEET GELPKIGSLRLLIRICRSVIRNPHCSKTTYYIHKIIEPLVRIMVSCPVKKVLSNLNLK ISELFLNDNLNARLDASNLLENIFEVCCYHLPVGTTKILEYLANKFENLLDFRVNNLI NGNCLQIASLYGIVCGIRSLGDFSVYNILFPKLLTIFSHFPEDYKSTICYLKLNLEIS ALINKYINLFKIKFEISSHSNSIDTILLYWEKLIKNLERILGDGAVPIILTSAILNSN WNSDRANQAVCFLDQCIKTHYIDSNVKCQNSKEFSYITDKKLLSNQYIKKFIDSHKDK FISKSDSYIRSFDSDFYSLNLFEYISCLEKLRITPNVIEDLQNGNITLTEALDRYIVP NDIIVAYVKAISEESQKRINVDLNGVEAEVFYVGDTYYIGGIPPKPENELTIYERLRD LSDPGNPGRRWCWKFVKHAQAPYNWGHITNFENCEFSGDDSRYQITEDSVCTNKGGIE SISIYQGSYTNYELACIERRVARLSSADSCWIVHKGVTQEMIDNAEVPLQRQTPNKKR IKISVKPHLILKRLFGAEANACNPENLVAPFKGLDGASLIASRFNRASGGIELLQRCD GSQYNEALVELERESYGDVVCVPDPPLPSWLCSGLSVYCKPY cubi_00007 MKANLNLDNKMNPKKIIYICEEFLTNFSTHKPNKASKIYLRYFE QFRNLNSLWNCKVINDQDSLELSEVWNSVLHCYKVVLEKISNEIRIKSENVSKEENNQ LNLKNRELLETVFQFNNLSYTVIPLIPQEFLTDLLELIKELTLICENMKSYLESNIGL TNCSSTNSLSIVLLLVKIICYKIETNNHDLLGKMYGSTLYCLNILFRIYKIEFTEKWD LILFPEKNHISSLKLSSLLHKETSFDLYIGSDIPFLTYFLFSSNTKIRVNSLLCIQSI FAAPIFRFKSMVLLLCKPFSQQILDTSASSLSKNAVILAFSLLKFGEMIFKLESYDLD TGITLFKTISKIVSTTPSLFWTENFINNEISFINILSNILLSGLKDLNIFIPSLQLFS NILSLIKNCDISINILFDYLNPCFEKVLINSCMVLNLPIGFKYENINLKNLSFKFPVI LIEILNFYIKLLENFPFLLISINSNQSLLKKNQFGVKNHLSKFVDILEFINFRPNELD LVIYEKGLRTTYLLINCIREFQKVRLGQKNVIRNQFQISNSDFFFQDIERLEFTINNV EETYLKIIQLLKSFILLTFHSVIQNLIMNDEELKIDSNLQLNRNCICRILDIYSTINP IFLEEALSETMKIFNLKNNEIIYKSKKIKQYILGNTKISFVELILLTLDNAEDKILPS LINILICYCGNSEWIKHSSFTTFQHFEFSLVENLLLLISSDNSVIVKPIKENIILSVN KAMMYINIYHHINTNKVIFCSSNYRKNNINLLEENYKKFMDNNEVEFNEICCLLNWKE IFFSYKSLIQNLEFNSTLSKASSLLNLSEIIRVFGKILKLIPFNLNDLEEIIWTLDLL NNFISNAFNITFRHNKVKWNSIYAIGLLFENDNFYNLVIRSFNEKTNININLSNTFTK SWKALCLIIVNNSELTKVRINALRSLAAYNNADSDSKIPFILLFDTWETFKLAVGTTL ISKSNSYYKLQTANNEYSSLWNTYLNKLGSSLYNNSIFYLKNSPNKISDFETEKISRY CFEFLVQKELKNC cubi_00008 MSRLSFCLKFLLIGLCINYSLNASNFYEHGTSLEGSTQFRAVAI LKSVRSYAIILIGAANCFSEYKIGAMSKHLDILASLINNSNESISRIKPSLSQEEEEL LKGMNCVPELEILFDDVYKIVRECLTEGLRPNRVKLFEELLFQMQMSILPCIASVDTH ITFPGHSLEDISNSIQLIISSSAYSVTRMLKLAYSEKPTGDDSEKKESKKEKAGANKK LSNKEYEKASKDADLNAHLLLGCCKDGTCGSLENKSRSKTRNKKGGGRKKRMNDVGLD QEEISEACIKEETHKESCAVSDPLLGQLVQIVELVINKLKEIAFLEEKLQVLAFNYQA IMREIEQNSEIAKNEKLKDRVCKLPKDVIFKARNEQEIEKLKSEYTRNLKKMSESSIC TCTGEDCKIIVNSGLKVCYHNSKKIEPYKENEICFID cubi_00009 MKPKTVYLGLICITFFFHFRLIDNANLHNNPNKIRILKKSDLEQ VESKLLSSNLSVFNQLKCLIRLLDLLELIDKTLNVGKPGQLEILFSIKEGIDAKHSEI KDELLRRNINLLSCADVELIKRFSDLNVKLNESLYEGQTFIVESLIEFLELVRLLVTS ITDLQLETFISEEISTENIRDFLLSLSEHYSNLLLSITEQNDDEKERGADENQSENAS SSSVPLSKNQDSKATNKLIKDNKNTELERNEKNRNRKEKKSKAFNQKEAYDVEASLNK AKLGESSQRKETLAVSQKSISGGVEQAQASGVGSIITEVGDQKTHNFPKKRTNKKKHL NNDEKSRHQPENILVRHAENVKLDERGGAKQKRGRAKGPKKTAETGSRARSTSPKPHS SRELQASSRLWSRSRSGSRSRRRSRSRSGGRSESHSRYTIERVHSFAQEVGNDIGELG SYSPLFLVQEAEEKLTELLKVEAELLNLSFELKNMFLKMNEISSRVECKGHRFSAVRT QVKILNQGLGRWVLDTDFDSLDIRYTMMDAGNILSNIPPSVAARQGIAARACCFKDCC KLNDPSDKHIVGFNNCDCNSCDCNCIYCSSIPDSFNKKSIYDVD cubi_00010 MKIFVKVLFSIFFILSFFLVLGLASTPNDNDQNTPDSNSNDLTK QLSTIISNAFENEYCIENLLKSLFVIYVFILKCSALFDDKLSKELFSQVRAMIIFVDK YSTYDDKITMINPTKLRNITLILQNSIDLNLLYELEEVSLAGTDPYILLNSEYEKINK QLTKIISLLLELVDRTNARLKSVVKSAIFINSKLSLFVKRSLDLYRKTSGEKYSKLYQ QSEDNLLSLSMNIFNRKDPFCYVKQVLSVYDEKTKEINKSEIKLGKKNKSSCKSKEYS FKIETVVLTTLGIVLFAIENFFFNNGDVLANLKTLHTSVHTKLYNKILEEPTELLITE ERADCIKKKVRDFLGFDTLMESDHSVFSLGKPLSKRQILINLKKILDHLNVILLFLKQ ELQGKSEGTHLHYLLYNLINYCEETINILNTNLLILDNSDLNVINYINRISTELLANA RKCNCENLKGRKSEKQKSKSKYKEITSIQLETTYVSDPETSKKVDSSSGTTADSSIKK GPKSKIERKQLKMEMLMAQEEASNKKATISNIIREQKVGQRKKLKKMKIEQRSNKRER QREKEEEERRKEKEEEEKKKREKMERIQFQCLKRLVIRIEEQYEAVNEELVKRERLKS LFLNVFGILSHIISNKTGDGSNLASGSADSDLLDKEKNESSCKDVAAYGNTYAMGRDE GKEAKICKRHPKSLVSYKLKTSTQDTIADHKSKSRETFSFESSETTEIKSKDEEEVRS GSRSRSRSRSGISSRSRKISRSSTRSRSRSRNMRGNKTNKRKAYETHTSPLVPSTLTL LKQSMAADNESRSEPCSSESLETTRSESKDEEEVRRSGSGSGSGSGSRSRSRSRSRSR SRSRSRSRSRSRSRSRSRSRSRNKSEESTRSGSRGDDRDRSGDRGDSCSFLQDEGEFS ESKFLKLFSSSESKKSGELDPKVNSKKSFLLNFFGMRELDYSYISEESTLEEIEIAIE VVGNELERVHSEILPLLTGEDSNIIKEYELSYIRQFIRLLILLNNVLEKSK cubi_00011 MKIKQNRFFFLFLFAFSIIKIKGAEFNEESDSKEIISQVQAEGL SEESLNLLSSITNLHEKNFAAELIAKLLLSYSIIMLKFVYFFNDNVLYEQHLRLRDII FHTNVQSTFIEKGMMLSNEKLEKLYRSCFFYLKHDLINQLNRESNENPFDFLMNVISN HSDILKQILESQKVLEHLISHNLDSTVKSVVSTITLTVSEIISLLEESINIYKGMNKK DFRKLFESKKASFNKANINFVVTNQKLETLGRMRYVVRNKNQSPSTYNEYSENNGCTE EEILELELLISTSMKLLGLISTNIFYFDRAKLTKHLQIIEKCAFDIAQMTTDRATEMN VENSVILKTRKAVMEKLEYEKLTKLDILSFKFTNKLCKPEVMNNLKGLYHYLLRMMVI LKNLLESNKCGDYIKRLLVPVISIFESSGKECAVAIKTYEKKEDLSVIPFELIRTQRE EKMSQYKKIVNKLVEKGKEKIKTEKERLEKLESEKKARLEMELLERKKKQEKLKEKNK SKQRKYPEAEDNESHSQERRHDIDKTTETCQTKKSVRSKAERRRKLAESITKFEEESL KKEEIRRTVIDQKSDKNSKKKAKKEKNNFREPLKEEGASKVRNQNMNYSESGNNISQD FSDESSSDVELCSSIATRKKKETKEADINKYLFPVLKIVEESINESKTSQHLKQDKNV EGDCSNYSPSKGTVGKRLANEEYRTTPLVQSTVELFDQDSIFETRTHQKGTEGKGLGR SCLGDTSIASKPASKCTKDPSSNQQSKKNNETENRKSRSKTKKRTENIKRAVSESNPR NTQHSKFKTRSNSLPTPRTRSRYRSRSRSRSRSRSRLKINQSRSNTKLHEKPKVYFHE ELNKKMISDLLSKFMFLEKKIPQTVEDCPRHKSKFIPMFLEEKVTQSTPGIDIFGFFG ITNLDFSHISEESTLEEIECSIELCSEEILRIHTHILPLLTGQDLLQIKTFEKELISK FVKLLILFNTKKGELR cubi_00012 MSIRFPTCFYLFLIIINNIFILLDGAAVPNNGDPLLQKEGTDVG RDSQVKAQGLPGTSELFAKIKNSGLLSSRYETNYFMEITIKFLVRYLSLITNFSAIFG DRSYGSIHKKISDIASYLESRSSYCSGRKMLFQFKIDELSQYINEVTDIKLFSSLFTD SNQISKEVIFNIAGNSKILVDAVVKIVKILRELSSLEVDSNLKHISEFLGKLSDDCLR MFNNCIRKYSRMSKSQFESLLASKMGKIFESTLLVKLNNELDDLTHMKTNFEKIFQQI QAYNQFLETGEPHFTSAEIKEVKTIIENFFENGGLDETFIFKNEEIVLISLEFLGLAA MNLSLFDKTGYFILKNEVEEAWKSTISMINYRGTEIRLSQNVVFAIREQIRTLMRYQE FLKLDFTIMNLDKSLIKSELLHIVSRFYESLLKTFEFVKREQSRNNGGRYLKTIFSKL DPIFEKALDFYKDTILSLEQIENKSKKTSGTAISRGSKRSMDRVLTKKIISTKKNSKV KDSSKKIKRMTFRAKEKKLNKLEHIKTGKKRKGVSNIKIKKKTERKMRARILSEIPQL LSVSRTMKSQLYYLNRTNRIEIAAIVESVLEVLAEILFSEGLSHRENILGGEDSSVIV RALRSIGDQINFETLPNSGNFNGKTQTLRQCQTQNSAVKSGEKQKLGLENEVSLNPSK SLNSNKNKRKACETVRPLKQIGPTIKLFGEKPVFATQGSKTKKSDVTNTKASNQKSIF KTHSKHFGRKTKPKKNIDTKTSSVNNIKAKKTVSSSNSDKPHINTSKKLMTNRMPQNQ NDSTVYSNSIENRSLLMTPPTFFDRETILNMVSMYNIKFLNFSHISIRSSSDCILQAV NFCYNEIGHLFFEIFPFITGIEKIILEQIIIQMIVLFKNLVSILIAKDRKKSKSFRRD IR cubi_00013 MNIHNRSSTTDYSCYYDFSNAHEYYQKYDNNMLINQNNSQYPSS IYKKGLSAQGPNFLHSNKMNLSTVKLDINNNAPALMNTLIEKNKEIKNMEKELKIINE SNIFLNNKIEMLKILAANFGATQEDIEATMSTNDVFCIPKPSVNVNYPQTDSSCENLR ENIEDELDDLIISDKNIVKFNIDNHIEPLQNDPDKKSKSISKSTKIKQQNEAKNEKTV DIASKNFESRSSTLFKSTKSLINSIQAQKESTNKYLTGTRFGPSVRQKAIMQVSANKR LLSSKTKNI cubi_00014 MHYNYNTSGMMQPQMHMVQNNQQFNGMHPQMGGGVGFQQFYHEN GIVNNNRSYADNLGYQQGNFQVQQHMPQYSMIQSKQQQHHQQMGERNVGRARAQTRGP FSRTVASRSTTPTPMNRTRNSKSKEFFPNEEIGMLCRSSEYSELNRNPSLSQIENNNF NCEYSNYNGYQDFPQTPTVEGRSEQISKLNIMNPDQIMVQKMNAPLEPTPTHVARMNK MKLMGLLNNNNTFMPQMTPTSSGKNHFGMRDNHLISRQSSFPPHYQEKYPPKSTSNSN NTPAAFKDSSPKVLGSYVSRQLEEEVTRLRYLVDTQTKKISALEMRNKELENELLSNR NYQQMYQNLLEKTNLSNQNEDENKTEDNEEQVINESFDERDHTEPECNVQKQKAAANE VKYLRQSTEKGASLFSNIHQFSAESALRKAGVPPGKPSYVYRPPGNAEMIDIKLAEFH NSRSSLIRWSKVSNTIYLFGTTQVQLRISRGNLLAKPESSEWGNGSFWPIEKFVSVFE PIERAKMPNN cubi_00015 MFSTAVKLANLDDYLESSQDCIVSLLSDKDDSKPRIAVMRPAKT EHKESGNKSENTEKATVNLADCLACSGCVTSAETKLLQDQNVTRFMDQIKQKKMTVIS ISNQSCSSFANQLNCDLNTIQRKFSGLFKHIGAKFVMNSTISEYISLLETKYEFISRY KKNSNLPMIISHCPGWVFYSEKSLDNSVIPLLSRVRSAQQLQGILIKTLTLEIYNQLL FLYKFRLINPYRIRSIKYTFTKYDEFVDQKDIFHVAIMPCHDKKLESTRSSLSIKSLD ENSTFPEVDIVLATSEVEELINLAGFNSLLDVPEAPLDNLWLNQSFQITSKNDLSLLI TENNTNNQILNQFCWLIPSYYNSNSGGFCEYIIRSAIKELSGEDIDKRIKLPFKKLIN DTFEAEYKENNVKLRYCLAYGFRAIQSISRKLNLQKNASQHIQSIGGMSNDVSYHLIE AMACPSGCISGGGQILLKNNGKDDSSFEVENIRKNIKFIDGVQETFYKGIELNSNRDI ILPNEIPIVNILYDYLIKIDKQIDTSFDPKLPFLRNDFVSIGEIPTASSLKW cubi_00016 MRILVLGLDNAGKTTVVKKFAGEDISKIQPTLGFNIKTLIHGKY RLNTWDIGGQKTIRSYWRNYFESTDGIIWVVDSTNIERMNFCNEELHRLLSEERLSGA SLLVFANKQDLSNALKPEEIAHALDLSSISNRHWRIQSCCGLDGQGLSEGIDWIVNDI AAKVFLLVKESN cubi_00017 MAIKLSRRRTLRKVSRRTKSNKHKYVDLEKQIRDRNLRSVWDNK KTINQNFKVSYHPEVILNTLPPAFQDNSIPERLGEREQIIMKRLYTKYKENTDLMAKD IKLNPYQWNSNQCSKKLKIYMRMSDITNNKL cubi_00018 MPSIYGLMKFFVGFCIPAYHSMFALKTQNQHLIKIWLTYFSTVV FYELILSFIFDPIFRVIDPRLIYFKTLFVILYIFPETGFQESYLIFFSKYLNKLCISV FEYIKGVLSLSPDLPEETSLSNSPVPSIPEEGDIKGIADSKESISEGTTLAPSTPVGK INTDFIFS cubi_00019 MRVLKVFVLVLIYNLKLLFQKYLTKTEICEFSFLELKNRNNWAV IVSTSRYWHNYRHNTNALSFYNFLRQNGFKDDRVILMLAENIPCNTRNSIPGGVYSED YDLFYNLNNYTQTMECADTDYKEEEVTVSNFIRVLTGKHDISVPNKKRLLSDENSNIF IFLTGHGGDGFLKFQDFEEMTSLELSNAIKEMKTQKRFKKIFIISETCQASTLHNHLD LEDVYAIGCSSLGQSSYSKHYKSELGVASIDRFTHFSLADFKNLNRNKLMPIISLIGK YSINHLKSTPQIKYKSGKIDIKHVYVNEFFFPNIEKVITLDIESLILNYIDLKTPLKQ VLNKRVSKFLNCSLFNHTLKKYSSNLNLLESSNCHFKDTIKKFVISSQKRAIFNSTFS SRNFIDSMSTLKLTKAISGLSATLVIGFILSYYSAL cubi_00020 MKSTKKVAVLHLDCGIGGAEQLMVLASLALQSYQSSSEKIELTM FTSHHDNSHSFSATNDGRIKVIVFGNWIPRSLFGYGTTLFSYIRMTYISLKMFFCVFM ASFSFERTKRYYDVILNDQVSVINPILKLMTRKLIFYCHYPDQLLVTKKGGYLRNLYR YFMDFLEEFGMRYCDYVFVNSIFTRKVYIETFKGLIIDAAKYPVTLSYPEVLYPPVNL EDIPSEEECENCFSNSKIPQQLNRAPNVPFFLSLNRYERKKNIELAIKSFAILREQCK LGEKLFLVISGGYDKRVKENIEYFEELLSLANSYAFNVYIGNECIESTNSCFSVVFLK SISDSLRWSLLRKAIGLLYTPEDEHFGMVPCEAMSVGTSVIACNSGGPTETIINGKTG FLCEPNPESFANRMSELIKINKDNIESSVWSSLCKERIAALFSQDIFQKRLCEVAYSK LEAKPMAFKKTKYL cubi_00021 MSTTILGLIDNIYANRANETMDDKRRMCLEFSKELLNLDGTDFL KMVTNLVSNEEKSNKFFSTLAQALILSKGDKCDKRLLLIDRLLTGSRNIMESVLSPIL AILLWIYLTRALCTVSSIPKCHLSSDDLDNSILRPEYDGIEQILLRICSRNVDLLNSD SFNLYLHEDDLSSSAILGANKLKLFKQNRELEKKHKFVNFVENFDRDNHFNSPIGHKD QTILVQICILIIYKLNFNNTLTYIWIILGLCSSLSNQELIPIDLTSINFEKREISGNE SKIRINLEDDTLIILCKLIGRCLFSLSSTNHNANIKKIIYEKIRSTLTKVQKRSICEV MPYSSSFISSYIVLIERILNR cubi_00022 MEFLKLGLHKWVQDTCDLLKIQTPTTIQSKSIPYILKGRNVVGN APTGSGKTLCYCLPILQILAEDPFSVFGLVLVPSRELSYQVLDQFQVFGNKVNANCQV LTGGFDESEQIHILNQKRPHILIGTPGRLTSIISYPGSNISDLMKNLRFLVLDEADRL LSESLEDDMFPILSVLPKSYTGRQTLLFSATLTNAIKEIANNYSVTAKEGDLKKKKPQ ELPMIIINENPDDSPVEKIRQMYLFLNHRVRLVYLHHILSNVHFFNIDSVDKAKFEKN STFFEESQEDVDENVTFGVRNNKKFKKNKEQVIDQNKIIKQGIIFTATKQQCQMLTSC LEIMGYSVTGLHSLMNQRRRLASLGKFRSKTSKLLVATGVAARGLDVPDVEFVINYDF PRSFEDYIHRIGRVGRADKSGISLSFVTEHDVPYVYEFEGKMKKEMELLKLDENEVLK NMNRVTIAQQKALLLLEEIGFNEKNQEVRERKLKVLRSKNKIPSDNKKTIKSIAN cubi_00023 MSLLFLTLVLLILRAYCLNSPVVLDGIEFPPSWHFFENHNSLES YKYGFLMQTLLHLKKRSGKNGEIFSNIMNYNIESKFCKIDEDIFNLLNNPVILVDNIT GLKKINNTQNVLFCQEKTNLENREKQKVIIRTLKIKLENGNIIRLMLNFSKIGLWIWD SNALIKNSFKNNSKCHLLGSRDKTKILQKSLHSKIKVSELQIEVPFFKSKLVSQNMYE FIIENKIDGIVGLNDNSIQGVGIFETTSKVIEPSEGRYIMSFECNRLFDKNQVNKNED SLIFAIYNSNTKDYLKNENEIMSGENYSVVKILIEDEVRSKFNVKLSLNLNTYGAIIP EFRLLQLFTILNKYAILKGYSCGTRKTVMGRTIFCDCNFLNSELNLKIYNKLNSYSIG LNNFVINDVKSQKSCIIEIYGRKATGIKFEENWVFGQVLCSASNISQFSKTNKSSWSI GAPKFLKK cubi_00024 MGRKKRKVAEIKPFCYYCNREFGDEKVLKQHQKVRHLKCLHCSR KLSTVSGLIVHMLQVHKETLSRIPNAIPGRDIPDIVINGMKGVPSELIEERIKEQNVE IDIQKDIKSYNPHGNKMMALMNDVAKEFVKEHSKLKSNNYQKSQISKINFQIWEIYVF QECMEGRNSSSEQCFPFQIKNQSQV cubi_00025 MRRLFRKILNVYGLILSAFIAVPALAWGLLVTLISKYSDFNLGC LSDDISPKYFNDKVVWITGASSGIGKALALGLAKLSNESNITLSLILTSRNIHQLNQI KNEMVEKFKFPESNILVLDFDLGDLDVIDSKVDEAKNWKGQIDILYNNAGIGQRAIIG SFESDEKVMMINSLGSMKISKQVLSKCFIPQRSGHLINTLSIQSYVVLPGRCAYGASK RACLSFFQALRKELNYTNWDEYLEYNYSDDKKENVSSAICLDSPNIVITNIYPGHVQT DFDSRNVLYDGSLNTGAHKLKGMTSEKCSDLMIKATTNLLDEAWIAKGHELLFFYLIY YTPSVAEAIQSLLDKRFTDKIWELQKSHLKKSS cubi_00026 MEQIKVTVKWNVKTFEDVDLVLSAPLSEFRERLSNLTGVPASKQ KLMSQRGVLRDGMDLNKLGLKPGSKIVLVGTAEGGELKVPSEKAIFFEDLTSDERAKI LQEKQIAPLPVGLENLGNTCYLNSIIHMLRSIPSFLQILRNSHFNLSEGTGSSSGSST TIKFLNSFKQLMDKMDGSVESVVPGDCVDLFRRQFPQYSTTTGGTFAMYQQQDAEEVL GSLLTLFKSELNGKDEDDQTIGDIFRFRMKTKFKNITSETDEEVKMEDNYKLMCHMGT QLNPVDFLTQGIKLSLDEKVEKKSSETGVDSIYQKTSEIDSLPPYLLVQLVRFEWKKS SEIARTEATRAKVCRKIEFSQNLDLYEFCSEDLKRILNIGRDISEKKKSKSIEKPDQV EQKMDTELYTDCPTGVYELECIVTHQGRTADSGHYVAWRYCPDDREYIIKFDDDKVSR IKAKDADLSGGRSDYHIAVMLLYKKTIIKVSEEEMATLLS cubi_00027 MQNIEGKIVDLYIPRKCSATKRLISSKEHGAVQIDVALVDDEGV ATGQFVSLAISGAVRQRGESDACLNRLLNEKQMLSFSR cubi_00028 MPNSPKKSSKRVIKEEKVEDKHGKNLKQAKLDIFSSVTKAELKI RDDLDHTFEGHKELAKKYRKFVGAHVSASGGVDKSVNNSVNIAGTAFSMFLKPSRGWN APPLKQTTIDLFKKNCEINEIDYHKFCIPHGSYLINLGNPDEEKRSKMYIAFEDELKR CDALGIKLYNFHPGSTVGQCTKEESIKFISDCINKAHQQTHSVITVLENCAESKCVGY KFKELAEIIDKVKDKSRVGVCLDTCHLFAAGYDVRTAESFSKVMVEFEDVIGLKYLKA MHLNDSKGQFKSGLDRHENLGKGNIGMECFKFIMNDSRFNDIPLILETPDPNNDDRIY KKEIATLYDMLVQNEVNK cubi_00029 MNRIRKKILRYVHRKPKGFRTEETLERGIDRTQELDVNEHNNSL LKNLEIETNTVERRSKSLSSSNLIKEVNLVSRETEIEEIDNLTCSSSIIASNNNNRPI EYIKVMKTNSEESFVSIKESEDDLSVERLNSSKTCMENEDTEKKESFKIIRRKDLPVP KTSSRFSLLSMMRQVFGKDLSRISMPICLNEPLSFVQRISEDLEYHNLLEKACNSKYI DERVAYVTVFASSAISSTFLRLSKPFNPLLGETFELTHRGFKFIAEQVMHHPPVAAYY AESDDGSWVYWGTIWSIMSFGPNSLSILPQGTVHLKIKTVDGEEEYSWERPNCIIHNI IFGTTWLEWLGDINVTSNNHGYKGKTSFFTDSNNSHSWDRYNSNLEKAKRSKRRNIVT GSVYNENNQRLFCIEGQSDYEIQISSLNSQSGSYHPYSKSNVVWRCNPHPHDGDNSRN FFLTYMALELNEISDDYNPEKGANMPITDSRFRPDQRLYESGDVDGAQIIKGLLEEKQ RKREKNGTSAVPRWFTKGKKLSSSVLNAKHSSVSKDVVEYEWEFTHEYWNYKNSQKFS DLNDIVDIFNIET cubi_00030 MNVDGENQVPKSEEVQMTNGDSSVNNMPAGHIEKKRAPNRLLVD DAINDDNSVVCLSPAKMEELKLFRGDTILLKGKKRRDTICIVLVDPDLEEGKIRMNKV VRKNLRVKLGDTVSVLECGDVPYGKRIHVLPFDDCLEGITGNLFETYLKPYFLEAYRP VKKGDAFLVRGGFRPIEFKVVGVDPEEYCIVAPDTVIHCEGDPIKREDEEKMDDIGYD DIGGCRKQMAQIREMIELPLRHPGLFKALGVKPPRGVLLYGPPGSGKTLIAKAVANET GAFFFLINGPEVMSKMAGEAEGNLRRAFEEAEKNSPAIIFIDEIDSIAPKREKTNGEV ERRVVSQLLTLMDGLKGRGQVVVIAATNRPNSIDPALRRFGRFDREIDIGVPDDNGRL EIIRIHTRNMKLAKDVKIDDIAANTHGFVGADLAQLCTEAALCCIREKMDVIDMEDET IDAVILDSMAVSQDHFNSALGVCNPSSLRETVVEVPNIKWDDIGGLEEVKRNLQEMIL YPIEHPEKFERFGMSPSRGVLFYGPPGCGKTLLAKAVASECSANFISVKGPELLTLWF GESEANVREVFDKARAAAPCVLFFDELDSIGTQRGSSMGDAGGAGDRVMNQLLTEIDG VGVKKNLFFIGATNRPEILDEALLRPGRLDQLIYIPLPDLPARVSVLQAILRKSPLSK NVPISFIAQKTEGFSGADLAELCQRAAKAAIRDAIAAEELKKAAGDDNAMKIEDESDN HIYEIGRKHFEEAFAGARRSVSITDLAKYDQFRMKFDPVYVTQSGGEGFTIDWPDSTH AQYSAPIDDDADDLYS cubi_00031 MSAKESFIVYWEKQGNDRMCALHCLNSLLQGPYFDEALLSNIAY EIDDMERRLLEKSNPKVKTMSDNLSNNASYDGFFSIMVLQECLQRHGYSCIPAANPKV QDYILYPSSCCGYIINSSEHWTSVRCVKGRWFNLDSLKAAPIHIDYFEVSRYLQEIMF SGKSVFVVQKIQNETDSYSIPLPDPDPFLRPIKNDGKQRFYLSASEIENLVLERQKEE NKMVQIGDDSSNRNFIYSKKPVEYTWPTSAGNVLQSSLNTVNQSDEITSEDKELEKAL KESAIEFAKSVPLPNEPSIDDVNSIQIRIRSKAGSSFVRRFYKTDSCKYLFSWIEYEM AVLGNPIQSNSYFFLSQFPYLKISKFDDNSIKISKSGIDEVILNSPTFNDIGINENTL LLLNF cubi_00032 MDNLWSDPNVNNKHCQLLFIECELWRLHQAEKHQVPIVNGNVGN NQPSLCVEPSFLDISPFFNPSNVFYFEDYKYFVEVTLFSTNSSANDFENSTGYTAFIS GEFLSPQTLCYSKDCMDVKDFYEKIEKQSILDMEEVYKLIGYFLSCCHNKDCSCNFNT LNNNSCIITWDPLKTRSLLNLDLFNNKFASSNNAINYSCSNNLWSKLNSIIGLSELIK SFLPEFWPAFEPSSSLELFSILKNIALSFNIPVEDLNTSAYIGINTASTGYLSLFCKY MAKVSLVLIKKGIIIIHQRKDAISEYKDSSQSPKVSQVRPVVRLRGLPWKTDVLDIIV FFNPICEISVPDIAISYNKYGRMTGEAYVLLPSVRAYELSLALLHGKRMGKRWIEVLP SSTQEFLICMQITGLRNQDQNFPLQIDSSFDRYYNRQVLRLRGLPWSATEIEIVNFFI SGGIYDLNVSDVFLGINENQKSSGEAWIILPHKCDAFEVQRILDRRIIGKRYIEVFIS SFQELATARSTYSSKLLSKEILHVLNSTSKNNSTCSISNRQRIKKKKPSHPEINNFFK cubi_00033 MSELDINLDASKHLEELKQLKEVDYEFYKYLEEHGQDLLDPAGF KEEPNNEINGEENEELVRSEFGLPKLDREKFYQIKESLESTNSFKGLSLLLNCFGSVA NINNLVNEEIPAKSTIEKTKKKKKKLNDNSSEVKRNHKERSLTFQIDDPELMFEITIY VLQKVPQLFWSHSSGGKKIEEFTSDIIPESLPKWLKIEKICRIFWQDLSNLILNNCLS LQPNLELMRDIFNKLSNPLLILWIMPNRLLTNRFSTLLSRIWTMNKYVILKQGAFQVL KTINACFDKLSQNSNNKNCPKFQFFDHNDIINHPIKRHEEFLLILHRTIGISAMRGIS WKNYFSSKQVINDYLILLQESNHKMVYRISYNVIRKLGSMLRILYLRISRTNNSKTNL KNKLNNSAKQKKLFEEVFLNLFSWKFLTFIRIWSLAVSNISELYPLQYPLITIITSIV KLKLSSISFLPFTLQALEVLSEVAISNNVFIPITEMLFDAHSTIERGIKQTFNKSSQS NLKNQSMITTTSKPFMPEFEIKIGNSISKSYQVYDSLQEFWIYIVSLYISSLYKHPSF PEFLLGIIPNLKKLQKYNSRHWNDNINRQTKDIIKKAEAHSEMIKNLRISILTNHEFL ESVYNKNLTNNNSLLPYSSKIYNEYVYNSINSKADFREFLEFQNYIIHIKTQRTELIK GKIKLSSMKTLDHTENADFNYQDSPLNDEQDIEFKILLQELQKAGKSINDIKNLGPRQ LKKLKKTIKNQIVDLRESSSSNNQIKSNKRSLINKESQTSNNKRNKTHKLEIQSSNEL KTKISTSEVSSNIIQHDIIEDWDINSEDGDN cubi_00034 MRKLVKLLDVIRFFTVIFLICMIKVFGHLERPERKLQYAREQVS TIPDDYLELGIPNMDYGIENQDLRRIIYSLNRGSLRNFPTLNEVSIIMDNLLLQFGTN FIKKHKIGTSFEGRPIEAYRIGFFGKNNDLDSESSYPNIKPGNKPAFLLTSMHHSREP ASLTTGIYFVSKLLEDAVYKQDPASYFLLSNIDVWYVPFVNPDGYAAIERTRNYGIRK NQRKTCNSGRPDEEGVDINRNYDFKFDNSLISKCDPQEYPGEHPFSEPETRAIRDLVN NVKTFVTAVNLHTFGDLWTIPWNCCKKKDLDENIAKIYNELKYEILISSPSCIIRTLM YTKGPFFINSVKKGFNSTFFTNSRNEFENASKYCFSPAARNPTMDYEASGEADDYLLG VHNIISLSPEIGSDYYGFYPPQSEIFPIAKKYYPQILAVASKSTLELSISSKIFLYND AEIRRGKFEFSLFNSGLSSICSKNNTQTFQSNSKKNYECYSIFTWELISSNCNQVSNF DPGNTQFGEYSAGFRYRSLNLKDWDQNVNTADECNTQQLLISVNKQNKKGNSKDEFKF IRGFAFDGSVEPRSNRGFRVNFKYKNSLNAVTSNSKSGTNIIIHTCVANINNKHTDGI CQCGFIKFSNNNFNSNTALTVHSTNSDHLCNQLYTSKNEFSPYSEIRDQEPEKHSLNK QNIIFLKNDQADSGRDLTYLSICIISVTIVTVISILYSITYKKYYTEGTETINNNHVP VASEIFSKT cubi_00035 MYSTKNNMNSGYADPRSLVLTLQELSADYHKEVAEMNNVLFQAK ERYQLTLNENNEKIQRAQDQMSDENIQIQKEFEELRKMYEKNLPSLNEEKIMIQSQYY KEKSKFDCEFQTKVNETTNKLSEINVKLMTSSKEGLKEIEDLKAQLEREIAELNSEYR SNLSAIDASYKKELSAVNQHIQDLTNYVNETTIKLNQRREQSSKDYHLSVERLTQDNN AAGEEYNATCISISQNIRMRTQNYENSAAGIRNAIEGAINNQKRQVVHSPFA cubi_00036 MFRHNTRLFGILVFSIFSLLITLKAKENGNVCETKEKESITASN CKNDFENKEVQENKSVYREYNVISKDNDAYLLLFKMMDHDKQRMLLLLSKDDHPQNLG SVKDKDNEFGVIIKKYSPKSWSKIDVTKLKELSLLGKNKQGQKIILEQIPGSAQIKKL REFEYNTLNIANNVLRIDYTNRLLLEGAPDLNLLSYDENEYATSNELTLYNERSLIKI SDGILGLSKFQNEHERQHSDYSYDKIKGLIRYFKFNKGSFGEVWRGLAFTEYLSEPFG NCGAEKSVEKDHLYWRRGELVNHELNSLILDVLDMLRKDFNQVKKIPKITSIPFNQEE DGKVLDIVMKKMSHDLEESKMLYSVVREVFFGIILYCSPNVSRFLHIFEESIEEKKAV EDSNTKSHIWLVYRYEGVSLGNLLFEINENGSLVPSEFWWKKVKGKRGETNGENIFKE ILYQILQGLNSAHSLGIIHRDIKPSNIFISETKNDSGLESFYIRVGDWGSAMITEDEK INREIFVSNNYKEILEALYGKTGPTSEDETEGFQPPEVQFKSFRSENDESPRRLSYDI WSLGIVMLQMLWGNLQVFSVLNEDSEFQHILKRIIFHVKHLINEENNTGLNHDELVID SVYRLSLMRLCLLDIQETNQGYYSRLDAFISGIIEKAIQKSIKNSSQDVIGITKKCGD EYFSDLIRKYDPSGVGLESPEAMDLLKKLLKPKYKERISIKEAITHPYFS cubi_00037 MRNVAQELLKKQFMELIRDDTSGFSVGLDDDSNFLRWRVCFEGP PDTLYEGGIFNALLTFPEDFPNSPPKMCFEQEMWHPNVYPDGSVCISILHPPGHDRYN EQESPDERWRPIFGVESILVSVISMLGEPNLDSPANIDAALEFKNNINEYKKKVRAIV RQSVE cubi_00038 MDSLIGIKGQDFVVLASDTVAVSNVFRLSLKDDKIMEIDENKLI GIGGTLGDRMQFGEYIKCNLNLYRLRNGIQLSTTATANFTRNELAELLRSDPHNVNIL IGGYTKEEGAKLYWMDELASLGNVNNAAHGYGGLLITGILDKYYKPNITQEEALDILI KCSEELKTRFLLSQYSLFAKIVDSQGVKFLKIENGTATPIMKE cubi_00039 MLKSTLITLSVIADEHVNIQIIDENHILFESKEKNPKAAFTTIK TGIHRFCFINTSKEENWVSIALKWGPGAYDSGSIANKADFEPIDLAMMNVNIALKEYQ ANIKQMKDLANIVQNATSKASSKIAAFSIFNIVSIIIINIVQTLYIKKFFRSRKLI cubi_00040 MELLTQAKNEAKQILSKPYQTIYQCLTLACIVLSALMLWRGLMV ATNSQSPVVVVLSGSMEPGFYRGDILFLYNRKPITIGDIVVFSLEGRDIPIVHRVLSY HEGPQDGEISILTKGDNNDVDDRGLYNENQFWLNNKHIMGTAVGIIPKVGMITIWLND YPWLKYALVGMMGLTVLLGKE cubi_00041 MVSCFGKRRISPNKSSLSEINVYPPPIKIQKSLVIPGFIREGRQ LSRTLSLYLDVEDSISASNSESSLENRLEKSSTKNDLLKEDLSFKNYYQYLDLDYDNH QNPDPYTDRNLDPENEFDSALKYKKSNSNNYNKNPRNETVQAFNRKSAIAKAGNNIIV NPVNIKPREIKKVNNNQNKVDLSDNLIKSTNSFKESKEDATSSDYNFKDLYFNDYSAS NDYIEKYVTGKKNMGGLDNDLKDKNIYSVINEPVSANKGKLISNKHTPITQTKNNNLP GGRKRLFSAPNEPIIHTEQSLNERLENKQVTKKTENRHRSPSLRILAQSVINLMKKRG SKGLDEGKEVKSVNEMLKNMEIQSSDSSEATLSRSPNSTVGSNSPSVIYQEFNSASEL IQKLGSDSKKQVSFEMDLKKSDKKRSSKVSKKFSNLFGRKEPSKSKSKIEFTKKLSDS KRDYPNNDNDVGNYNSNTKNNIIFSEDGISNTNHGEFKQIEEFSVPINLIKSGSSETL DSNFSLDQRVARTYDMQILTYDQTPIPIPNLPRGRKLQSLENLENCYLIVSPNIPNIL SSCYCR cubi_00042 MGTEDYSEFEPRLNEVIFEDEIGNSRNKMIWSEIGHIVHSNRKP QYSLSSILRRTNLFDEQEFNQDEEFRGNETSGNIILKEIDELGKNDDYNGARKSKTLE LLQVTDIIKNVHKEEVKIYLDEIAILRSQILQMSKNQMRQMEEQDIIEEEEEMNKREE RDRIKNKVRKDNVSFDDKETNTSIELRLEILNSIEILPKITNNKFGSFLVNKIELQPE SLRETTTQTLNNELLIEKNNYIQRLEDLNIEIQRQLDSRNEQFSSVSLELVKQKSLLA RESENLKKSQQSTLDMENQLKQLLEKVSENEKYYLEQQESFNKEIILQNDMIQKLDSE KKTLCNFLEFLLQFTNYHIKNESILELNFSDFLENYSQDSMISESYPNLLEFIIIIDK ILEPLKELLLKKNILLVDTETQTNLQPQIKPKLKIERISNIFIQSISNFLSQNYYEDT PITSNYITENLHKKDMSYKPINERNLEFVSNVSATRLRNEINILKNQLDASRQKLKLK DLENKKLSEEISYLKNKIIRNKTYNSSLSNQNFNYENNENYKLINNLKLNKLSRSKNY YSSLNYNKYSDENWDEIFSVIQQLKGP cubi_00043 MKCLFLVIFCLFTLYNSLVYPVSNGFSYNRGITVISLVKLKTLP GSEGPESPSENDVGTEERTPLEGEDPESNEGSESNEGSESSESSEPNLTTQTSLTTAD PSSSLKSPPELESLSSKPPLPGTGSKGSLGTSPSGTRPLGTTRPSVTTKPSVTTRPAG TTKPLGTTRPSGTTRPAGTTKPLGTTRPSGTTKPLGTTRPSGTTRPAGTTKPSVATRP SGTTKPLGTESTKPSGPGSTKTTGSGSTRSSGTGPTRSTGSGLTRSTGLSSSRLSGVG LTRPTGAGPSRPAGPGLTRSSGLGPAGSTGSGSTRSSGTGPTRSTGAGPTKSTGTGPT RSTGLGLSRTTGTGPTGSGSTRPAGLGLTRTTGTGPTKPSHSPGSALGDPATRPKSKT FKVPSLALGPKQTGTHSRSRSRSRSRGRSRSRSPSKSKEPECGNETGSGSSSAPGSSR KGYGGGSDKDPSKPKGASGPSKFGKTLESSDSDGSEDSDDSDDSDSDCEFESSVKEAQ AISVSKLCKELIKSVTGSGHSLNFEARKESAITRINNLFSKFRYVLQALEQKLKEVEK KMAKLGCNDPNIENDPNCKDICTELAEKAANLRSLILLLKKKIRKLTKCLSKINDLHE EGAPLKPKKRGPKRSKSDLGERARRGDQSSSEDSAGEGTSSKGTHRRLKRGARPLTLG SLDESTLGAVGGAPLEQGLKSQGSSSTSSLDSNSSGSSQSSGSSSSSSSSVFLFNGKP ITQELIEKEVGITKEECNALIFFLLMNKSRFDESDLFSVEATRYVGVHSGMRGLLNSS LSRIQKYKGLTSGLPPIDQCDEKDRKNHGFYSSAINALELKVKMYTQKLSVIEECILQ ILDILRAMGREDLLRYFNPSLELMSQAQSDSEGESTGPDDGNEDENEDGNEDGNEDED ENGEKFDLEDITEEES cubi_00044 MGEFVVVGSSLLEEKLMKFFDERIKTKKVNKINAFIMKINEYKF DLTSKLQKDESNGEQLVVPKCKIEEEIEIPFDQILLSRKDSFGVVSTGELFNHICQFL RSDQRMNNYKDEFGIILISGLYFSKHLSLIICIPNTIIKEMEIHNFSELNFRQMIQKD SKKSSKYVKLFNYSSNVCKIKPSTQSIRCPYQYKDTVFKIKSLTLSSSSYDTIGLFME KQLTCIKIIIDIIPIKQRFRDITSVLTTPSFYLFNLQNNHNLLINWSPDQSCTKINRV LYTSLLVSFLKYPPF cubi_00045 MTNFTIDLVNKIEEYIGDFKKKFEDQVNDITFSTLNDQKKAFEC CSNCISKYIKNSNKKKSLEDIQDCIRKCQDPIETFQNKVNSELNIINEKVINCQQQCF NKFENLFKKPKDLLNNLNKDHDLIKCYVQCFTDNYPTLTETKNQLINRK cubi_00046 MNISSFRIKRRKKSEEDDDEKKEDPSYQQLLEIVAPINKFKSKP ALGVTTFSRQMWCEKSLEICLEKNIKITSKAIEEGIKHHEELELEDHQVLSVIVENEY EKISIEMLSILNLLDGLIERGYVRELPIMGFYKGIMLRGIIDSLQLKPKLNEIGETIN AKYTPKNINKFIILITDTKTRRNTTLPSNAQQKTTVLQLGLYRKILAEMINSGKTWKS CHSNLTDSTAIKKHLSSFSKMDLLSCCSGCRFLNNIFEFHNLDPSISFSEFQELESER RKQCSKEQKEIKDVESEAVVHYENENKTQSVEDSDTESEVDTDGKSVLSEFENALEIG FNLLLSFSKLPDIQPEMKVEYDCQGKTFLTKWYRSPKQTIDLELDYLLGWWLGSRETE FVRISEAWKCKFCNVIEYCQVCPLSLEEREKCIEQIHQSELESLLLKDLEESSSKELI SELKCS cubi_00047 MPSSSALSTKCCKIIDEYETQLSKEFGNTCIKVAGSAPELNKCN NLRKGSRLETNLVNSVVASESPFRRDSSLIWLSYDPSIQKLNEINKIVNEDGIVVPDM CDKYVLVDTYTSILASNRQQACYISLLSPLPQDTVVGIKFGDHLCQGKINDTMIEYIS PSLPVGRQYAKLYLNSVKMGVKFGNGSTMSEARSYLPFDVIVQEPKSRKLNYKKNKTP IPVGVMGPAPLPEVDIEDEVEELLIIHKPRGNASIISRKYALDKYFKGTHSKVVSAPR RETGDYNNLDPSIKAAVNRDFLRRLSNITLEPDCRRTINLLNEFISKLDPGATGNLIP FNIKLEYWNRVIADGCQEIIDNYHSYITQETSNEKSVYIFTRTSPDAFEPYNIFMFSC IMDEANNNYVPLLRRFTCNTENCGDVRLWLCVGDCYLIKNEVANNYRKIDLPCPIPPI MKSKKSLHIWNRARNQSNQTNSKYTSRKLGTGNSESTRRVYGGSLGAAGVGASGIGIG ISANEDINTENNDSSEKDRCIQISNDVFLFFEMWPSKLLPNAGNTTESYSFTICIKNH QYHSVTGISKPVLSFILQKWLTKVPISD cubi_00048 MITCDPNSLFFGFMGIAGCLIFANLGAAYGIAKSGVGISSMAVM RPDLIMRSIIPAVMAGILGIYGLIGSLVIFFQMGEPNMYSAYTAYAQMSAGLVIGLSS LAAGLAIGIVGDAGVRAAAQQPRLLTGMILILVFGEALAIYGVIIGIIMGTTKPTGQL CASYI cubi_00049 MFLRFYKNEKGERVYTLSAVNKEGEITFSAHPARFSPDDIYSSQ RIACKKRFGLLPTQRQ cubi_00050 MTPPFCTPTATLFGMLGSTLATALSNLGAAYGTAKAGLAIASCG VMRPDLVMRSIIPAVMAGILGVYGLIVGVIICSQLRADYSLYQGYCHLAAGIISGFSC AASGFTIGVAGDAGIRGTAQQSKLFVASMLVLIFGEALAIYGIIVSLVLISSPSGNSL CVPIK cubi_00051 MGLGQRKSKKSGKAKNRAVGKYVKTKRRTKDFETVQNDYIKGVK LPVDVDLPGHGMLRYFINSSSLMSHNKSKDHKRMLKRVQTEEAWTENHSMLAAEMTK cubi_00052 MSVFHIILSLIIILLSLLYIFIHIFKTFLQKKGFEVSFSISGFF SLGNLKIKILEKCLEKYNHIGGFELILPKISVRIQSWKFKIILNESYCVCFVLNKDQY NKKELYKKHDHHPFSHYTKSQGQISRNNKIDSDSNIGIIRNLMLIVMVQIFSRIISFE LEKIQFKVYIIDCKCIVNHRNLKINQDGNCEKCNIKMKYGIYSILDIQSLSIFPKAYL GGIMVNIQLDNPVLYLNPIENTISEKRCHTFYLDSNNKYNQSSKNGSSIFNYLLEQYY LKYNKSILKQNHENKTNYPPLLYVNNRLNITMKLMHSMSGSDIRVCILRNVNIEMNQL WLTLIPEIVCELIYVFHIIKSNWKKSTKTTYNKIRSQMLDPNIINQSDPIIKLSPKYQ LLSNNNILPTSPVTLAPPSSPNISYSSPFSKFEDQVSRTKQQKLIMNENLDRQLQINF KISQPSLIFCDNTQSSSGFEILFHDFEAHFIIQYFVEYLQSSLKLYNQDYDFELSNSE SSDINLISNISNQSDLDNDLKKKIRRSLKLVFGSKLIFGNSILYSNPKENHLLSKQIM PNTIKDGDFTFDRMFIIEHYKSIIEISDNNFVKLYLKNSIQRMFGIWRENGFYQNLTL FLQLIKFQKYLSKIKIQANSSNNNHHHYVFEKPFIDKSTEEIPKIPIRIELEDDDILK SDFLIKNNNYLKEIMTIKDFAVNLLFYLEIKEMTIQTNDISCFNHELHILKKNDCCNC HCEDCFTESFGPKERYEWRTDFDSEYDSKFTIDEKENQDNPDIIDDRFFVLNISNLKI CNTSLNRSFSMLFSDVDLYSMQEFVDLEDISTKYLNLATDLKQNNDILDLQKTCIYRY GCFDQRIQAVKYKIFPKIKEKNHLVKLNESIIISPWDHKRISLRFKGIHGNLHTCYVP LYLYILGCFSIDCLALKKTNLNKVYYGINNQFEENFDFYCNQRSNYFEKFKLIKKDLI QMIKEFNPEELNNRNVELWTIPDIIQSKVGSLKYTWYFDFDDISVNFLDGFKILMNKL SLYRCNEYGNYDIQMNHVKIFDIFGINILSSSKIRMRSDIPFKTTKIKSPICLKKQLR RIIDLSVNGDLLLLSILGNQENSENNLKDIKNGMIFFDSKRFILEIDQLDILLLVNCQ YRESLFKYLYQYIYITFYQLFHPPIIIRTGKLLSFSRKHPIIFSCNNIFDFLITKMTL SLSSLANFMFSQDYERFPVFDSLKTRIKKCSKDDLNIQYKLKPNHLPTQRPKVGLNNI LNFPISEVKFDNLRLNIRTKHTSNSKSPCNDKECYNIPNYLTNSISSEERFLINSNYN PINIFVSLVGLSLNMFNQLSLTGSKATIYSRKEVSLTNESIIFDFRRNYSHLDSNVNE NMKILKNIPEMSSDIKISIYSKSKTSLYLSNFSSFKTIKLLNPILELIKNSSNFKLKL QKNKCETYSNEFSNIIISRNTLTFSLLISDLTSLYLVPYINFQIQKEMSDNSSVNIHL PKIELNLKKNSYCDIQEMGNFLNQLEAVSLFLNDGILIGLVSKEIFAPLAKRKCQGLS LGMNPTQQRYSLKSTLISISKFNINLIRNSSKEFDIKLVLNGLDRESIIEKYIGNDSN RSDTSNTIPLTLNLDSEKLVQFSTILESFLDENNFEGISLYYCEDCNQLKPIKSIPLL KSQQVLISEQNSTSIEGIIQSGFNFLLRISLNDINLRYEIFSLLIKDVWLDLSYFNSE MKKFLFGINQSQFIAEYFPYILSQLSKPSQSFKILRGSNIQISSNFSSKTKVLEIARI GSILFDISNNDSVLLVLKGPQLNLNTLTFVYLEVLIKIFNVLKLNSEGGKLKKENSSL NQENNAPVISIIPGKKFNIQIYEIMINTHSLGTNKEYKSQKDDRKIRLRANYSGAKKT SRIPDLDTQSEFLFQVIEQNPIYSTFTLVDDFEDSNTNFCIFQSNNSQSCLECRYHLN RPLIYSSKICTEQKSESYFGGISTSSCSSKIKSSNKSSKNQLDDVFRKYCNEYFSFLD EHLISDKYQKSSFEMIQEYRKICMYTESNIGIPFDYGQIIDNMILGKLGYGKKAGHIY FSRSFTQIICRIPQIDCSVGIYDSNRKFEFVLKMFPVSIDIFLSNRLIWDSFGDSINQ SSANLDESSKQKKEDLRIFSEISNSYSLKPLEPCFCDDYNEKIHPHIRSCSNQLNSEV QEIPKIIENFEVLNKNSSSSSPISSLEETQSERKRSFYECSQEILKTTPIFVSVRIHT GKPSTQLKIYSKLLSVSIDSSRVQSINEILYSFELKYTNKTHQPYQDSQNMSNPPSIF SSSNNTFVNNHTINVSSATANSVTNENLPPPIYYSHSLNATTGITNSGEQAQNLSLQS APQVYNLSVYSSTKIPSVLSFQNYLYEQRQEQGQRMNILNVLNLNKRMKNNLTSSSLD LNPNRMFSNMDLNDKNVINMLKFEIDKFLANNPLFYTVVDNVQCQGGGDNNQVNHYKL QIECIIDQLNIDMFINNRRFSNFQSKDINFVMFSNNKPSNSTIIEFDTSFIYLSASKD YIFSNNSSNVNSSTGGTTNYNNNSSGNSQISYNNSNSNQNLNNVFSTSVKVIGSGNVS SSSLGSGLNCNSSSFNNVGSLSATENGYNVVSQEEVSIIHPMYIDGHTNQGHGHVLSI RINMRQVRLLEIYNIRILESVVIRVHPIRVNITQYLTSCYYDIFFPPPNNNQPSLISI QTGGSYSQSSLPILNAETEAVSSNIQEENTFYSGNTQMININASSDIIPTADHENPSP TLTSKQSNNSSVDSQNCSPKNILYFNYLRISSILVEVTYRGSVSLNNVLLELSSFTQR RKHRTVKEMVDKYISFLRRQAARPVISYTFKQLRHSLLPKHFKSHKRSSNFNSQYNDI AKGNIHGNRQINGHERYSKLSSSYQNILVDTSHNGSIRNYNNINSILPNNDEDFSNTS SRKIQSNNYSKNDSEYNKFKLIFGNQLLI cubi_00053 MIHRFFHIYHKSNYFRGNIRNICRNKQIKDEIGSDNTLLFALNC RNYPIKICNFDGELKTSVYMKNQYEEIDEIYSLEFLYSTNLSIILGGGKNCIHIYDYN CQESLECIKLNSRECIMENSKRQKGIISSLSLKTTGIGSFSVFVSGSFSNTIFLHDLN DLNSQIQLNDINLNFNAVTELYWLDGYYNSKFLDYHLISGHRNSNYLYIWDVRRPNKV LFQLDRENSGSNQRFNINFQYYKDELKLYYGDLKGNLNIQKLKFNYEDNKFLQDLSEC QKIKLEGQSIPFVERFYNQKLLLTLSGERFTSFGENQGCSFKVWKTS cubi_00054 MWLQILFFLISCFLLGIIVYYSFKDVEIIDSQDEALCDAFISSG KLTFPKKDLKHYFKLRDEVGPQNVSIEEFRLRLRASNPELVSEMKKALLRAAMAVQDS YSRISSEYMGNMQLYKKLLLSEKQWLYVESSMEELKETIEYIRDEASLIQDSWGDYIF LDARKLNAIRKQQEEMRLQKERLAKEKELEEKKAKERELSESNLADKIARELLDQEKA LANNENKNSGIKKRK cubi_00055 MYQNLRKLISLVDELRDVGLQQYINLPRICVVGTQSSGKSSVLE SVVGFDFLPRGEGIVTRRPVEMRLVHIPSKNGVDEAYVVFERDRNKKFTDFEKVREEI DRLTDEVAGKNKGIVDDPIILTIYGTQCPDLTLIDLPGITRVPLKGSDQCDNIEQLTR DMAIRYARDPRTIILAVIPANADMSTSDALQLSRRVDPKGLRTIGVVTKIDLMDRGTD ASKMLHGDEVPLRLGYTGVKNRSSADLKAGKSIKDALEDEAAFFSSHPVYRNLSPELV GTKNLVSKLTKVLFKHIRTFLPDIRREINARIRTLTSKLDEFGQSVPLESNDRTQLMW AMITDYCEMIKNTIRGKYDKRLQTYFDHGNDGGMSSGAQIRVIFNELLDEYTDNDVTS ELTDYDIDAAIRMHEGDSMPGFPSPDMFEYLILPHLRKIQAPVMECLDRVTSALENVS QKVAHKVFSRFPKLSDQILDRSQEILLQEKENTKTILEQLVEAETGYLFTNDSKYLTE HGSVINNNSQGNPNDPNGAGGRPGQMGTNGVQDSGMMQVGPDGQPIQREQTKTAQIFS QVQQTVGSMTNSLSANLWSQDPFSQNKDGNKRKTRYSQVFLKEIRKRLDSYFSIVVRN IRDSVPKIIGHFLVRQIMDKLQFQLYNEFNKTERLSDLLNEPNHIVEERKALINQLNT LKKASTILQRDPNIAALTNNDFDEQYDSDLQQMQNNFSQKMNLSGGGGGQPGTGNMRQ QQMQHSQSQNNFRNNQQPAPNQLPPGTGGNTGGAQMQNPNISNSGSTKAALFSSVPGQ KQTQRDPLFANF cubi_00056 MGRFLLTSITAILLSFWSFCTGIGLKSPSTGSGSGGSLITVLDN NGMIEVVHSGNTKYEIETGYNGPILNGGELVVGNDLLVGLVRPVNGNSKGSSNIELRI LEDRGFELQVGLFLGYPCLYKNGDSGIMGPGNSEMKINNILTCVKLFKEHNIHQDDIR YLFWKMRDYNLQDPKINQINSNDISVDSNTYLSNMFGGLLHVTALFRYSKKYKNSSSA ILEKIGNFLKGSLIAEIKPVYSDSNLKLEFNLNVGISLTQDINSLKENMPFAIASITK DGKTHMENIVSPCDGSIVWFSDHFLQFGKSKILNFDSSNSGFYGSKDHIKEIMVIIKC NTNPSYITSVSGLKSYPKDILVTDRFGQVSQSLIKEFRSNKLNLIQVNSESASIRSRK RLISKIKAAKTLDDFRNVLLDSMRSNGQDLSLLPNIVLPKSNKGVAEERSLEMMAREK ENLMERINDSKLLLRSMMAPKAKMSNYERSVRNGSSPGSSYRYDKEKGNTEVYFESPI PVSKWEGLASAGWNNMKNDEKRIKLKAANYLGKDIQIFGPRPWNMDFLSLDIIRMVSM YSYGDIRYLLSKEFLYLFRKYGELDDYNSYTSSLMSYSVEMFVVAGMTVSGEEGTETL LGRVTIHFATELNSRSSFVVDIFSMHTGIVRDVISTSKVACSLDPLVVISPFDHEIQK AKIQLEINSDSLDQTHVVSNKAPGEQDFLTYPLSQNPKMLDQGLIQAQTDVELDMLSR HTYLFPVQNLINRKFNSGPMPELFSLTEEDINTLDAARQDFHKEAVKLLAAKEIILQR LQELDISSPSDPIPIFISSILKREKLKSWTKAKSKNIRDRMIEMINITRDLEEKKVLE SLRISKMTNIPILKKIPRRKAVRIASKLNLFEKFKLKKAFKSQKELKKKSKEIAKKVK SKKGIFSRKIKTQTPPSGAGATLIPSEPISVPKSKTKSKSKSRSKSDSGSVFKPPSSE DSQRGMLSESGSTEDIVYPSFPSTAQFFLPEEFELERSSSIGARSFQTIGDSQEERYK YINEDKDSIYIRYSLIEENQKIKNLKSECNSYKVELNSLLDFNTGVFTDILNSNVMQD IKDSMLKIVRKTNQYQECLKKLSASILAIKGLQIQSRVVRQNYSKKLGQMNKSRKKII NKYKKCEKEQSQAKKNFYSLLKKEISKHKNGSSMDSQTYQSLFKTLNENFQKYYDLEK KCQNVLANLKKEDLNINEVKSKRFLMGINAESLKRRNLVLMSDSFIHYKVYLVYSMLI LSLEELIFLSHQTLIKSKIPTLALEVEQKQALGKVSEYFKEKMNIKRLNFEEKNVYLD LILIGTNCINMLEGLLLKITLLIEIIGINSQSLDVGLMSMSEILSFLNEGDNITLERG NNSQLKHEIMKKIQIIAKKQTKILEISSNYIQMTNSIASTLSLMEFTKGMFELSLQSI DKNINKEKGNDGQKDSESTRMISYKARIKKIMRTVLSKEKLLNIADSHVTELKKGFED QKKRFDDMLSKMKEEIPELKLDSFMTDTSDIQTSDLSREDTDSDYSGYISTSTVEGDE FVESQALIISKISNSLNWLNKEAGKGTVVHDKNERNTEAAIISLKAKIGEKLKELEVI SNFEKEDIDQEKINKLREEISLLLTDAINSKKYLTKKMEDSLDSLRRNLSFSWPRIRL GKKLLGATRRKKMEENRMRRINKEKADFKSSYRAGVDYKVSRDSEEEDINELSTVVGS VDPNKQKEATVKDESVKKKKGIKKSKSSKKGETSEDELLKKFGQVGEDIKDMDTDLSS VDLIKEKEGAIKEKSEKKKKGIKKSKSSKKGEKSEDELLKKFEEEDINELSTVVGSVD LNKQKEATVKDESVKKKKGIKKSKSSKKGETSEDELLKKFGEGGDDIKDMDTDLSSVD LIKEKETALKEDSNKNEKRTKKSKSSKKGGTSEDELLKKFGQEGDDIKDMETDLSSVN LDKEQESAMNEEKRKGKGNKKLTSKRILDKLRSRSLLSRKKELPSSISSEEGPVDKSS GSRKNKKGGKLGKDVGDKEPKSSTKRKRGGRLRKIFGSNSTSGEGKSSHKNSIVGEGE INKPEEKISGSPVPVVSVPEVPGSSGFSLPPLQPLPVESKPATPEWLPPPSASQLSPE LPPFSPPSPSLPGNLESLAPAEVEEVTPPPPPRPPLPANFESLAPTEVEEVTPPPPPR PPLPANFESLVLTEVEEVTPPPRPPLPAYLESLTPKVVGVSPGSPPTPPPRQPPPVAQ KPVAPAISMVPKVPEWPAGPKPPSSSVPSPVPSSVPTSVPAPATPVPSPVPSPVPTPL PTQVPSPVPSPAHTPVPSPVPTSVPAPATPALSPVPSPAPSPAPSPVPSPAPSPVPSP APSPVPSPAPSPVPSPVPSPVPSPVPTPAPAPATPVSPLDSENELLAKFGQEGDDIEG METDSSSVELNKEDKEAVYERKKGLGERGKTGSSDLKPVLSPQKPGGAPESLSAEGYN FGENQEASVESDEITDEDGNYETEEEFLKRDIGQRQQKTKEDVILNEDIKRRIDEINL QAQEMDEAVSD cubi_00057 MSSLKHSIHRRVHLERATPVNRLRFGILERKKDYKIRAKRYHEK ENLLKSLSEKARTRNPDEFDFGMVKSRLENGRYKKIGSELPNGGLTSPEERKLAESQN LTYVNFRKSIDDKKIERMEKELTLFGQNMQRSHLFFDDEHEQPEANLQKNKSDTKKVK GLASISNSENLSNEIVKNITKSYKILNDTIARSKNLDKVSKHLELQKNLKSKGKKKKV INKDGNTEFVWYPKRKK cubi_00058 MACHGQRLRVLSISFILILIIFISFNEFFSDASSPKQKKELKSV RFVQKGRPPYIASSSPVPYFSHLQTTVEQDSSSSSEEASEKGASHSKSTQPKYRFVHA PVGYEKSTESLLAEIQSLKEMNEKLSEEVKSQAQKIKSLMSENKDLKGQIESETKKAN SSKSKLRNLDDDIKKKEKQNSSLLAIITQKDRIISEQKGEIFSLEQKLKEKDLNLENK EKALFEMEKQTSQNIQQHKAKEEELIKINERQESDALKLKEKIDSLSATVDDFFVKQT ALENDKKQLEDKLQEMTDERDKAKSALNDCLESLNTKESKTEQENVPKDVQPSSTKKT LYDAVIKQMGAIQKREKWVKAPPLRRPEGTQKRSESTPEIYKVFKSLKEKEEKDFEAF VVENGLQNETKETQKEQYKKFKERNVSPSPKGIQQFSRFRKESFSD cubi_00059 MVVKLELKLPGTDVGMSIAFGHLDENSNGPSREVPSSPPKPSSG AKVKIVKNDLEESECECEDCSGSSKVKEKVVIQNEDAQQSVDMVTKIFSSLMQCTASN INGNQFNQNTKPNKKTKGLKQINASGTERYNYESEDDSLAKGILGKGCTSDFSVSQSL YPDDILVSYEPKKKKPSKSKKAPAETKSPPLSDNTHPVTRDLKDVRIIPINKSTNAKI LPNRKFKESKYDVSCISVPTLCNKARLISCCNTRLVPQVHRCNLSRPEDENLMISVPS AIYPDNIILTLKNPKPKKNKKK cubi_00060 MLSKRKLAVILPMLFELAMSYEQYHTLDTIPLKHRATNHWGKEG PAKDEKIVKVGSIGGGYYGRTVPGLRDYEKGNAKDRAFGSKVESYPYKFEPERTLNND SLIPLSPEARLRMMREPFREYIYNMTGQVPTLEEVKKMRPQFKEYVKKELNLTNSEVE SLFGIQTTKKSEGKLPKYLLFDDMDEFDFDPEEALKYMPRISKKATKEEIDNAYIKAW EILGKSDDKLKKVYQAYRRKYLQKNPLGPVMTEKEFLLHSIRLREREAARLGFISAEP NSDPISKSIEISYFLRDALKDEYEKYKSQNSNDRFSSAKNFVYDALFTDDLIIDEIDR KESSLSPETLPSPYDPIEGRAWTNTTSKSSIVDMSEAYGNIVRGENVYDKNGKLVLNG ELLNEMDTQSSIGENGKAINSKTDTIEDVLRSEEEFNEDLDISVGLQKLNTRVSKYNS VKSPSQLLFESSKYSTSAQKRVIDSIDDYTLIDINDMNVNQLRLFNDIIYKNLSTDAD KKAENKYFKQRLGEQGGDLGTNSEVYREFIFNKVKHGLFFIPKTINLEKDNYKEMILP VSATGFEVVENSDGTAKIHHIATGLKFNVDYSDGDSLYLNGYLGLIDNNTSLKQNVNT YVSSGWKLSDSQVPSPYRFVSEIDNKVSDFTKFGSSIDLLKENIKSSKTTQSEEVAAE ISEYLARNSELLKNYEESRNKYIRSQEKLLDELNKSKSIFRSGAVLESMNRVSDSLMG NAVNGVDVSKFSKVFSDKKLEAFLNQKDELKDITEEEYNLHKDHLRDIRDECQKNYQE FVDNCGNLLENSGRINNYIVSVEDELVSKFLPSLDEKQALGTKIMDDLNKLELVNNNL VENADMLLSLNFDSMGIKRFVDDPKVSKELEGEVMEIFNGDKSVNDYRKLIDNNTVST KYYIEEPKESSDMDFDDDENGGKMTGKSDGELKDTRYSTDFKGKVKLVFDEALTIIED HVRDLYLSTKDRKERMLELLKLESFFIRELSRHRKSLSIVINRVVLPEDEIIDENETN ELKMRILLKIHEEKTKLMYIREVLDKLFFFPHFRGVRAKTSLRLIEMEEEGIRSKFGF TYIPKFKYGEDDRPIGIYGFKKMDYYDLRLEFFNRLISGFEYDSVSYGFDLEILSLLE ELNHPELSKLLYSDSYIESSIFSKRIKLTKILKTLIKNEENKDLRNSLKRIYFMIKRR LDDTIKFEMEHFGSSALESVVSTYTSLDDNGECSKKPIILSSDGKSSKSKSKSKSSNK QRRRMRRNN cubi_00061 MFKKPGFIYVLSLIILNLAFQICYSENISGNYGENSNLVLCFDP PFEALEIPGRGVLMVHKGHRLIPLIIPTESEFCDNPRNLFDLENDVWDHFTLVPKDHE EFSQLINEAKDVAEKDEQIFSTLNDLLMKNDGAHFEQKTDNNTMHVVDEPIIIPEHVF PLHDNNRPHADMQTNNKNEEISDFEDSLLLDGFEIPKNDEKDGIRMRILMFTEFSRDF LARKGLPLAIKEEEMYNPENFEFFKLNWPSRDKDLVIEDLPYSIWKVFLGSGVLTSQT TTRLSEEERKKLVLESLNNFFNGLERYYLQNMDSELDFRYYQYLSGIGIEKALETIKY YRESEKLQALSGDKSTMSEDSVNVYSEYPELPSSDPSASSITSSSLVVPEKSEESSVS RSFSASNNQFDNSAVIIEDNQDEISQKNVKRDEAQVIEVEPNKQLEIFTMLRIKWFSI FVNDYLGRLGINFTITEESCKKASIFEFFQTEVGVSSSLPKVIWTLFMENGFESRFPN STTIEEKMEIVHEIWTMFNQIENGVFEGQIITEGYLSKKDLLDVEIREIMRHYWDRNL NDIANKLIHLSRQRTGEKDSSEIEPILEDELIRNKERAKEMGIFNIEKSDYELSKTGK VSSEISDVGSDIESSRSMDFSSRFETSEKSPMSKKNKKKKKKRKNKIKKSKTKKGKKD ESIHKDEIEKQSGVLSKAKELLDSLKKKEPETLVNKAPKENSLPEEISSESTLENTEK SSDSPLNWLKFDPSIKNNSEESEFSEKFGISASPSEKMVENSYESKQLGEDSLHSQKD ELEESLNHPEVIDHVEPNKNEVLESLSDLSKNSEKSVSVQPSKEESNIDIVPESKVNV NLLDNIPIADEFSNNEDSIQFEKSITSENHLAKEESRGENIEKTKSEIPENNNEKSVE KLIRPDSGYETTSIPEDNNSEYSSVPNYQERSKVDYEPTEEKSNDLTVSAQNSPKEDF EVSEEETKEESLEEKTIGVSDKEKPVKKKFTKKFEDKLSSLFNKTKKFFGFENKSSKE KESENGDQNQDLEVEEEYENLTNMVIYFIQSYAALRYKFVEEGVIDNSFNEINAIVRS SIHFHQGTVEFDNVDMEKGIKNIAAMMGGGSFTALKSVGLTGKDITANLKKYLLKLYS TVRTEKEYEKNKKLNTTNFWLKQHFTQNLIKEGMIPEQLERPSVIENIQNIVAESTDP KFIEVRAETICKKIPSILEKFFDKSLIQEIKPQDLNCLAVVAVNELYGFSLSNSLYLF LFNYKTKYWRFLTPNICISISQILEKE cubi_00062 MRLLAIIFLFELIFRATAQDVILESEEGHQNKDDNGVADSENTN EVTKVPNFILLCALIPQIPGCPCDPLLETRPTFYDFLPSDCSCYDSQQSGFSSPPCFC SKPDSDECKCLSGLSSLDEEHDRMCIVSPLTYVTPYANCTSFLNPLGEEGLCSGDSEL SEHCVTLRSCRRLTPISIEESSIQDKCVADPESEGCPCSADQQITDEDALICSCYKNN KNPGCPCDVQPDSPICGKFIELLTKPTSSSTTTTSEPLETKSSKEAESTLKSSENEKK DSVKKSDEEKTTEVDDIVPHNKTIMKDVGTDTEKDEPSLAFKISTDLYKPVIFVLLSI FILQA cubi_00063 MNTFKIIKLLLIFTTKLAVEITEKKSIFSGHQVYIHSLVALKNS DDQVLDSSIFGGVEDKLTENDVNNEKNIGANPNISQNQVLINLDYLNVTSSLENTLSE SYTFDGCSLFEDENKKDSKDGAAAGKVRERGQSIQEQLSSDLLALGMQAVLKRKYNEI RLSYKFSFEEMTKCLFEDNYSKKKDIDMDSGHDYRESFIDCCNSYIPDVLGKGTFKFL NKKDQKVYILNFCTDLKLMLEDIGIAFRIPFKWLPSASEKLSFLSKLKISGSKNLVNN KKYCHLPRKTLDIVFDVDLTLLTMVPVFEVTPGEKSIMLEMLEVPQELKTERRDFNFA TRRASDDTIRYNIPHEVHLNPQTIGLLFSLYYRRFLDPCVGSLSILSAGFNTVLKFAT SIDIEPILSPSRFHLSDTILELFPYENENSWATERPSLLAFKENRISSVPIPPLHVST NEFNANHEKPQKLIRSFPEGFPELLYNGLPFLVKDMQRYRLHTTLQKGSSSFDYMLLS DELNHMFLNTLRFYQTMKRYPILTHPFNNPKLFSNNRPLSLLVDDELLHFTYACSMEA WSDNILIAWTEKLGPREIKTPFSKYYLPIPLQHNNPKPIKMGDFSRNLNMMMNLDYFE GLTYQISQLKSLNTTEKSKLGKERRSKAIDELKDMEQFLSSVSENELDWSGNLDIHRD NLFNININNSTNSITPGKLIQLVYPDSENSKNMFSCPYDITNVKIFYIVLIVPCEILF MMHSKLKRIQNDKKDSFYKLVKIIDMFVVTNERKEEVCDRFISSLSGIIAFKNEESLF NKKVIYSSIPSLAVLKSVIGLPIYRTITIWLQNSSLFEDSQLINHEMEKEVEFMENIL KDTAYVPLTTPKQLQNGIIYDRSIHDIESLIILEPIKSKFNREAFKLNNLQESNEIMG LLKLLTTIRNSLELGYRDVRKRVNMENPTAVTSQSVIAILIYSMCYGIAVEQFSKFHL SVCNLPRPVFSRPILRRNQQDWMRFAITNVLTNLNIKLSFPIARSFERKLEASIFVTN SKSTLFNSLFQPYFSGIPYKPIRKKVEYSENLLENEKNIYNNIKFNKDSREISKKNLI MLHDDLLDYLINSLSSLDYMPFFVRQCVSVTTAFVRFQSQKNEQDNDEVPKPSNNELA AVVCQTIQDYLQQKVPQFFKI cubi_00064 MPELKRDCFSISDVSALLKEEIDEDEKSLCLGLVLDSGKEKKET RDDLNSKSNEELNLKFIFKECGPDVKISEKSKAQNNERNRKNCNLEKKQKEEVKEQKK EVSNKQKEETTNGKKNIGKEQQKEMSKKEKEETDKNKKNMGKEQKKEVSKKQKEETAK DKKNTGKDQKKEVSKKQKEEAAKDKKNMGKDQKKEVGKKQKEETAKDKKSIGKEQKKE VSKKQKEETARGKLKEVDKNQKKEFKKEKKDFEKEDNTILKKTGKEDNSSAKDFHIKI PYRETNHIPSCLFEPDNMDSSDPLRISKYNVYIHETSRLFSVDTACRYVFIKRISRLD NAIQHVIDEGWNAFACKRDHKDFQNLMTTNISEKLLEDYDLTANVEKIEGYEPLDLPK TRITFHANPIIMNNYNQDLNIVNTNYDYNDNLSNNNSNHFNVNIHQTISKE cubi_00065 MSEQKQYKNLETLVSRYIKLNMSEEEETVSDNFDLCMGTKSIRN KRRKIENADSSNKSSENLSHIRLTGAIAQYLLSRVPLPTSRVDLQKKFIKPEGSKKVD LDSILNLINDKFKSCLGLGIFGLDKSITNQTSSNPKYYVVQLNRSKAHLDLVYQFGNI NFKSIGELTVDQDETNLVSNRLCNSFLTFLSFLFGFFEITSSDEDLIQNDDDSSKVIQ NNEEIEIPIELINEFTGVIFNSEKILFELNTLFGSKSTNSKQTNEEEDSLITVNSKLS KISIIFYILYKAGYLIPTFSTQTTNGNHIVSGFKNGPILKWDSEHIKKIVHLNS cubi_00066 MKNFEPIEGGIYSFKDYSKLNKQYVDVESVDFKSFGKYPKYHSF FKRGFFNTILFKWLSPIIDMAEKDFLINDSDINLADDDDDIQTEYLYFIRNWNEEFSK PQAINSIQLSTFKVIFRTFYLRIITILLFKLVFDIIQMCRPWQIHGILHWIGDPAGTK ASGIMRFMSVVICEVVCGSILQQYFRRSFSLSVMLKGVMNYSLSNKLIKLPKNVAIEN SAKCISLFSSESTYLLGTGNSLLAVPSMIFQNLLLLFSLYQFIGVSAFIGYIIIVLSL FVNGLLIKLTQSVRLKYISSLDKRISLSTELVNSFKQIKCYAWEKYYIKNINEIRNEE IKHLAIWRFLNQFGFVLATLCVSLSPVISFGSFLYLTRTFPADVIFTSLLIFESLQLT LVLLPTGISSLQKIINCYTRLSEFLLLKDIDSRQLDLPIEQQDYAVKVNDVYFSYPSK EYILSSISFNVKKSQKVGIIGYVGSGKTTMLELILQELTPKLGSVQSVGSVFYCPQSS WITSASIRSNIILDLPFDQAWYDTVITACSLVYDLKAMPNGDLTEIGENGINLSGGQK QRVSLARAIYQNTDILILDDVFSALDNVVATSIFQKCIINLLKHKTVILATNKLDILS HLDQVIFINNKTLSYSGPPDNSFFSHPDFQDLLNNMYKVQNQINQIIDDVVTIEEIHD IGAVTDDQLSVNSSDIQNPRQDSSYPTIRISETITSIPKNEIEPETSTQNNSSPSLIL PLSNQNTKTSQVSRRGSRVSLSALKDKYRRESQTLRNSIELTEEDNNYSIKKTAKMLD QASVKVKSVEFSKYIEYIKKFNPTILALSIIVIYSCTLSNILSSVWISNWSRDFDKYG ILQGLLILTAINILQPILNLLFRIANIYLTTNASKKIYNELFTKLSYSKLSFYESIPI GTILTRITSDIVVIDEMIPQNLIDFTYCLTRVTIYIGYFIYLDYRFILIFLPASYFFN RFRIRAMSANRQLKRIFHSRTSPILTSISYTIDGLAILRCSKYGFKNFIQNIQNLIDY ESAPWRCYCLIQRWLGIHIDMLGAFIISSLGIFCVSAKGFISIGAIAIAFQCSLSLTQ LVLWMIRNISETENNFLSYDRTSELINIIPQETNIEKGTVYQDEDDYDNSYTAQETNG NVENTDSLLINIDSPNRPIPSNWPSNGSIVFNNVVLRYNPDEPAILNNLSFSVQGGKK VGICGRTGSGKSTLLSAILRLYNIQQGNIIIDDIDISQISLKKLRSQITIIPQEPNIL TGTLRYNLDPFNEYSLEEINQALINSNSESFVNSLPDGINTQMTNASNNISLGQKQLI CLARALLRKSKIILLDEATSSMDIATDNVIQNIIKKHFSNCTILSIAHRIHTIIDYDL IIVLDKGRIVEYDSPQNLLSNTSSMFYSIANETNTL cubi_00067 MSEARKLIQIGKKELLAWGSSLLGEELNWKSFSDGTVILKLCFI VWPSLKNTKTEIDALSDSWRKIETIFRTLNIDYSKLIDEEGIKNDKLCSAYNSVIIFF FLYHCAFKNESSFEFIPPPSKYVAEFLMGDSSVKTLIVGGSLQLSPKTQIRLFENDSK ALNKPPEPQTEGVRCFKGDEGVYYDRIQRFNEIIEMDERTVKLVRSLDSFFEGLNEKL SEINKIDLPDGIENFTTKVRHSLEYCVRQMVRTKEEQAIERANFENKIKIIEEDCEKK IEQLKLSYEEKIREIENEGKQKIIQEKKASQVMLRTMQNNQTLNLQMSDTIYKDYQAG DTSLEELSTKIKSETLEQLKHLQEIVNEENKKRDEIEKTLNDEISSYQKENKKLRYII YRYVVDVSSSTPQFERVREINDQFVKIYTLAENWWKRITDSCENVISERSDLNYKMER MRIDEILGYLKHILDKYQEYPKGLNKVGLNQPSNKSSNFEFEVLVQNNHLVNDCLKIV CDLVSESLLKSNRIDQLKDIILNNKNHKTDNHLLQSYNDENQCHCNSNDLFGSVYGKI VCNDEKKHLKEKVQHLNHEKLLLQRGIEFLKKKLYTLKNKQLIVSSENYESNLDLMAS IDKPLGETEINSQEIIHVSDLWDISNYLKEDGINDLKIMSEFLVIMNRWKKLVKYPFN DDETLEFKSQNNFGQDYKTHLSKTGVSVKQFNLLPFITTSNKLIEIALENDFSQTEFF EQVKKLESQEFDLEGDFEKEVEMISKITFNNQNLNRESARDEAQTFPSSLIKIETMFW KLVTCLHILREEYKLLKTHKIDLENKLETVLTVMEDEKRNYEETINFQQEKYIQALKS EKSDHQIKIGKLRAQLTSIKVEKHALVNKPGKSTVSIESMEKLKGIKKIVETLSTALE VSNTFRFIYQVSDELWNSLFEILKNEIDDLQVKEKINEISDQLKGLRQYVKEYSESQT KKIQQGNSENNENNENEDISEYVSSKLMEIGDNSGLGLNIYQKIGSFLKDLAIKNNIP LDWLQFVEMIVSARTIELNNEMDLLKQQISDQEQVISNLKQEYAEISHLNTENQSEIE IINLERRSLREKLEITDHELGIYKSNNELLKEKFKEADIYYTNQLLYCNKRLNCLEKI CLLSMDYGIKIHYFRDFYDSKMTNSE cubi_00068 MFNSYELGNGRVRKKRIEGLLGGEKTLIIVDWDDTLLPTTWLSW NKKLDLKNTNFGRIVANFLKKATELGQVVIITNADPSWVYETSELYLPEILYYLRIIP ICSARQFAQFGVNDMKNWKYRAFNCVIQHFSNNFKGIKNIISIGDSQWDRDAVFNVFE NNKNIQITPKAVKFLGSPSFEALGEEILILTSKLEEIVMIDGANIYEMERW cubi_00069 MIFSGLLLISCILFNWSFSRPFDSELLDQLPNIYSVKVLQEFPH YHQPGNLKNNSSEDDLSYKYNKNPFTQGLLFLNSTTLIESAGLFQGSFIKLIEFPSMK DIQHNTLKKGNWGEGIAILKDHIYQLTWTSKIMFEYSIDLSIRKQYSIPIIGWGLTSD NESKIWATSGSDELFELNIPDFDSSDKVKIKNVVKLKCLGKPMYYVNEMEYVPETKTI WGNIFQSQMIVEFNPETGKCISIVNLKSIYNPKNSTLFKHSDLLNDVLNGIAYHPSHQ EKKISKSNGPNLFVTGKRWPRLYKIELTKIPIKTQKDHPVNQSGDFEKYFEFYSSSVK IPSLNSNNKFNM cubi_00070 MSNILEKSNGSVESQIAILKERLLGYACKLAPVPKIGKIDTSKN GKCTSRTGSSEKNCDICGAKSSSLFYWENFEYFPETRKINLKDPLDVCTLCLNILDIN ALTNSIIKSENENSLIFSHFLNVNQIDPTNQTYLQHCISISFAIQILAK cubi_00071 MEITNYQIKTETSSHKEVPSYPNESQNTSNPRKKSKKNTGKDLK VDNFEPPVFSVRYKDPSIELSEDRLTAIGYKGWSTVLLTHGASSGTWYFEIKVLEPRV ISKFLGHSKFLNLKQDPSIRVGWSCRYNRLDVPVGTSSFGYSFSTKTCSIFYNSRSQH ISEMENITQVTTGDVIGCLIKLSGIPYDLEDPRNSPHLHPYLELGLLCNPEKLPKVIR DPDSLIEFFVNGKKVSASFSNIASGFYHPTVSLYMGASVQINTGPNFTFFPHMEFEPA ARLLRPQIL cubi_00072 MSQRAKTSLINFLLEVVPSALDCSRQQLTSLLTGKGDEQLKRFL RETDVHCLIVGKEKVIESDVGRESDSEGLKLEEPISGENRLEYSEGLTQHQVFIETYM TSRCLKASLMVFVKNPGFQVSDDGIITTSVDRGAETSESGGGDSSEDHTKNTGVITHS KSLSSSLQCIQLGFVGSQLTPYEVLNQYLQFAFTPLLDALGNAKNTSGAIGVEAGETG DTTEAGSHLGLENIQRKVNELCLALQQGQDDSMIPMVRLSLDPKVLEYAKEYKNTGKI NNMDEIIEDSAFLSSLQVSITQWIREIQSLARFQRDIGLSVTSEVKFWSSYERSLQQI LKQVQSPEVEWTLSVLRQSKKFLAAISLEVDTGLKQSIERVQNINTLIHDIPINDLLV STSIDEITSAVGLFFQQLRKIKGAASYPISRTFQLVEVYSSDMTKQLYKVLQNNPTTS LMLLEFPVFEHLVNGCNELGQVWEDEWRELKEVIRDLIKKRGLSERAHPKMDFAHVPL IQRLNDIVIFRKQHQKLKDTLLELLSIQSSGSGDQTDQNPLSALGIEQNTGLDALQSL AKKDLQQAYACVANINILDLSAVGLETWEAGKQAYNNKVDASETLLIKQLREQLSGCG NTLEMFRILGRYNPLFFRPRIRSAVEEYQSILLDKVQSDFQLLQLRYQNPYQKSAASQ FSCLRDIPNIGGMLIWSHLLQERIQSIYLKLEDIFGPNWEFESQGHKVKTDGDHITSK IHPNQIVDFWLQQRKDDKLTYDLNKPVLGLMKSVSTSNTAFVVPYSVKVLADELKLLY PYISHLNSLCINWMRIATQLEVEPGKRISPLLTPFRQEVYNVIQEGVSLSWSSDRLEG FIRRFYDSVDTLEQKFEAVMTLDEQLSVLVSQIRNIQVTRGLGDLVSHLNKIGSKCEE FQSLLLAYRIDYLFYWDQVIQSFLLPKLKEFVELWINQFISVGGTDNEVFPQDQVLSP NNKNNNQNTVLGSLQSTVITLQLKDQKIVLNPSLEDVKSLWLRRFHQEITKITNLQRV TNISSIPQTSPFYGEIRKAKEELILQISGGGEKINESGINYVSSDDVGSVKHIPVDGT YRHLFLFLPKSLLEWSYKSIDDVFTSVKVSIDYWKRFEALWFVELSSVTGKLNSLLQW QQLVREMRSLRTSFSSNEDLRWFCSKVALDTSAIQAKIMSKYDGLAREILHLYASKLN EQLSSYWTSVSTIKQTLDKLEPFLAQWLIWIDKNSSSISSLVLKSDNIPTKGSVMANL PPGGEALHSSLIELVSKMVSAQDQDQKIGLELPLFADGQKFLEVQRFTFSTDWIWVEQ FEGEYDKSHQRLEHCFANVIFPSRRKIENYLKLCLQLIFMSYQELLSEWTRLRGITFV KNPFLMLEQIGVIENKVNVLRQDIESFNMIFKAFKFDSSWIDNELNKVTYETFDTILR DLKDFKEIWNQLNVFFVMISEYKTMLWTEVNVKTLKTNLESLISNLKSIPVKYRQYDA FEQLQHDVEGYHKNMSYITRLKAEYMKERHWKLLLSKVVWYEKTNNTGFKHENASDQK VSKTNVNGDDSSKIQDQEKPVMNENERSQNIQSLTSITMKSLRSSKSMKFSSMSSSSI FSMSIFSNLTLGDVWSINFEASSVIITEILAKAQGEHGLESYIQGMKELWNGFEVEFT GLPNNPNTKVIKNWDIMLGAIDDNLSALQNMSLSPFYEIFQEESQLWTEKLTKLRFIL DLWMETQRRWIYLQGIFLASTDIASLLPQEYKRFQTVDTEVQGLLKKSQSRPKVIDLI SFEGLTKSLERISDYLNKIQKALGEYLEKQRSMFPRFYFIGDEDLLEMIGNGKDITVA QRHFNKIFAGITFLKFEDLMDENNNQNIQRKKENGGKNSEDGETECMITGMGSKEGEI ITFKKPISILKNTSLVEWLGKVVGSMQNTLNHLIGKAVESINLDFGVPFIDDDNILEE KLRSTFEKYPTQVLLVAWMTWWTKMTEESFASGGSTKQLQEFIQRILSRLSDIVGTLE ENSCEKMKYNQLIVEFVHERDVLSYLVEQNVKSSQSFHWLQYMRMYWMNKESQKNLKD LEVIGQNESDIIVRTANSAFVYGYEYLGIPEKLVQTPLTDRTYLTLTQALHMRLGGNP FGPAGTGKTETVKALGNQLGRFVLVFNCDEQFDFTAMGRIFVGLCQVGAWGCFDEFNR LQARILSAVSEQILTIQTALIKKSDTVELLNKTIPMSQDVGIFVTMNPGYAGRSELPD NLKHLLREIAMVIPDRQRIAEVTLFAQGFQFGEMISRQIVTLFELCQSQMTSQPHYDF GLRSMKSVLRSAGKLKKSAVSENKEALEDPQKLLILEQQLIIRSISSTLLPKLVSADV PLLNTLFQGVFPQVPFEALNDSLMVEQVKLICKRNSLEATSQWLDKVLQLFEIQKLNH GIMLVGSTGTGKTTVRKTMLEAMDTILGSKTISYVIDPKTIDKESLFGKLNPVTLEWT DGVFTAILRKIINSSDTSSGDQTGGIGSNKKYWIIFDGDVDPEWAENLNSVLDDNKLL TLPNGERLELPPWVRVIFEVHSLATATLATVSRCGMIWFNDEIITDEMYFTSFLFNKI KMGNNIGSPSSNTSSGTATGNSKIVTNTTLSTSTKAGEGTSDQIGEITGGNNIGNTQD LEFVSPSGVLVEKGAAEQNLETILRNKAYLIWQSILFKDSFGSKCLSYASKRPHTMVF TRIRVLEAAFSLLNSCIKLLLDSNPSGNLKGSVDSLTELFSRWLLWSLVWGFSSSMNL SDRISYTKEVISLVSFTELPPMEDESTSILDFKVVIPTGEWRKWSSECKETQDLPLNK VLDSNVIIETVDTLRHFQVLHAWLHAHLPAILCGPPGSGKTMTLSSVLRSMTDVDIVS LNFSSATTPELLLKTLEHYCEFIKGPRGWICRPTVPNKWLVVFCDECNLPEPDRYGTQ RVIMFIRQLIEIKGFWRREATQWSFVTLERVQFIGACNPPTDTGRHPLSDRFLRHSPI LFVDFPGKNSLNQIYSVFNRAILKPFPNLFTYADTLTKAMVDIYDASAKTLTVDLQPH YIYSPRELTRWKISIYSGLHTSISDSSLKLTNTLISGSSSQSSTNQTEALRKVLEKFG ADSHLHLDEENEFTLTQLIRLVLYEGERIFQDRLVEQSEKNWSQEMMNEMILKHFPNL SIEKDLHRPLLFTNIVTSLCREIPRSIVSEYLQDRLTSYYEEQGTSRLVFFDEFLDNI NRVDRVLRQPFGHLLLIGPPGCGKTLLADMVSWLNGLNVFTIKPGRKYDIFAFEADLR SVMKRAAIKGEKLTFIFEESHALGPAFIERMNALLASGEVPGLFEGDEYNQLLNECRT AFSNSSSVSISDDGNELFARFTKLVQENLHIVFTLNPANPNFKETQSLSPALFNRCVV NWMGQLNNQALSQIARSFLHLDSHQKDNDIVEEGESTNTTTQAIITNTHTNITTSGIS PIPTIPGDNSIISAIERIPTSCMPSVEEPEERIGLTIDCIISLFHAQVGEIGGPKILS SSSSEDHSMMKTPRDFFDFLKHIIKIYREKNETLLEQQQHLSSGLETLRSTEQQVATL QQELEEKEKILIAKNVEAEQKMQQMIKEQGEAEEKKKTTESLAKSLDEQQKVIAERSS EVEIQLKDVEPILREAENAVSNIPKKNLDELRSMANPPGLVKKTIDAVAILLTNNSTK PQAWEESRKLLKSSDFITRVLNFDSNTITLKTMQRLQKEYLESPEWDTDKINRASHAA GPLSSWVSSILQYSIISEKVQPLKAEISQLEKSKLENEKGLEAAQKLVVELQERIDIY KKEYAELISQVQLIKREKDLVTNKVERSIRLLGNLTTEQDRWREAKEGFKTELSNILG DCLLSAAFICFAGGLDQILRSQYIQLWQGILDQFQLSHTNPNSFKIVDYLSKPSERLL WQSYGLSTDDLSVENAIIIKRHIRYPFIIDPSGYATSFLLEMNKQNFNSSNNNNNSGG GSHHGQGKGTSGKLQTSTFSDSNFPKLLESSLRFGSSLLIQDVGSSLDPLIYSVLNQE IHLHGGRSLITVGDSEVDFSPHFRLYLTTQDPTIQYGPDLTSRVTMVNFTVTPTSLLE QSRNIILKELRPDIDKKRTDLLRLHGEYRVQLRECEDNLLLALSNVKGNILEDETIIN TLEVLKKKAQDIQTETAKMENTMQHIDQVLTHLLPLSLTATRIYFTLQHLSSISPIYQ YDLEFFNRILYAVLGRNKSKIASNKIESEDEKNNDDIEFDHKLQKMIILDLLHEAYLR VAQGLLHNDRIVFGLHLMRIFIESYCDLSLDDTMGTEIDLLTKSASAINVSDSDGAGG VDGSSRSSIMDSIPKSFGLDRDQSTQLSIIISSLSSFSKLSESFSSNLKEWEQLMIST EPEIVLETLSTNIWDEQDLNSIYDKVFNQESSGNNDINNQMNQEELNEKKEILRRFKK GVLECLLLKIIRPDRLLNQFNKLISETLGSDFSHISEFSKDLLKDIVLIQTTESTPIL FVTSPGFDASLVVSQLANDHNSNLLSIAIGSAESLEKAESSIKIAMRKGNWVMLKNVH LSSGNLDYLEQLLQNRQNRPNKGFRLFLATELPKKILNPSHRDSVVGASFNPGSSGSS PLGISLNLIRISMKILLESPVGLKAALERASEITIKTVDDSANPTIPSSQITIKLKLY FLLAFLHSVILERKRYTPLGWTKFYDFSEADLQCCINIVNQWTITNTSGDPDQIPWEA VRKLVSQVGYGGRLDNIVDKQILHILIDEILSSRSFEDGSQLISCTSNWNNLFRSDQI KPNILIAPDTCKKVENYLDWVEKLGNTNWPTWLGLSPLAENVILAQKGQKMSLNWSLL IIRSRNETPDLIPKAKGGVFGSDIRSNETGGQYLEGSEKGSGGNNKLQKEDMSRPETR GIPSWMFKIGSKLTKMENNLRIFSGHILYNSPKIIEFSENNSLDAISRYYVSEISKYY KGFKDIWENIHELLNVLGGEGKLTNNLRSIGLSIQSDVVPDLWLKLLPILLYNLDIWQ LDLIRKLSQNCLLISSICKKYNIEESKRELESNNMETFLSNILVCSPGLKKIGLKTIW LGGVCDPGAFFTASKQLAAKNLGCSYNDIVPRIISIGDEVEGQNGEVQNEDEAKFVIG GLTAVALEWKGESGLFELSTEKAVSEVPPLLIEWVKGDRDMISNHNISDNNYELNIIP QNLSDNSIAIPIFKDSNRSQILMWINVPAKANENIKQWRLASTCLILWKG cubi_00073 MLTNERLDVIRRYRTICSEIIEGKLYLGGYYVACNWEKLEESGI THIINVSGDTCTNVFQDKLEYKTYFVLDTPQESIEGVLYDSIEWINTKLNESNVNRVY VHCQEGVSRSSSIVIGYLMWKYNQSFNDASEYVRERRSTSSPNIGFTYQLLLFQKALN SGKLNLSTVEEGGGRAEFPSISHLGKKHWVTSLKLYYMSEYGIPVSLINWKGGGNNGF TLNSSKIYLLRQSLLEEADYIRREKLWIWIGSQAKEGVRESILGVLRFCRQILMIEMG HFSSLELENLEIRADLIIGALGDDKNSTSEYITNILSSTVILEYFHEFSESGEFMQNL APTSMHSSSSCDVMQRSFSSPSIKNISKAAIPLGFDDDHDFDDENDRKDENNTQPLNQ TLNSEIGSSSESSSLVSLDESLSYFSSFASSDGSPVSSRNSPTNLEDTIDESGEVVGR NNQHHFSLDSQRINYTREHLLDEGGRKELNPLTFNKVVIPKLDFTFKGGENSFEIQHR EQKQKQKQKQKQKQKQERNQEPEMDLIPQSSTKDPIPTKSQHSILFNQDEAFCYNSSS YSKRSSISQGSFYMNEDSYSTLDGGGIKVLLFRFPDYFGSESLRFFDSEDLLPGSVCP LIVIGEITSEGKRDKDEKAKEKHANLLSNSLDKDLRATVVYLWIGSKTAYFNQAKQIL SEHFEIGKNNHNNHSDVRSLLIGEKNQNLQDSQNLDYDGHCKQINHFELDITQLLILL IRNNSKLSLKDIKSVYFEFEGEESNSFWNYFYQ cubi_00074 MKESHQVLQGKISPDKGQNSKIPSSSSNDGDLTLKRKAREKLGF DQVQSLKDLLAKREYNEALELLLEYYYNGFIVESWMENLIPEKTNYKYLNKEILDSVD FHLYDISGIVILSYIIHCYICKNDIFGAIQLIKSFERACFGLKVSENDKNHHSEIEDN ITLHFISWCNCFSIKNVIQENKGNIKQELSIRKHFLKLIDIYYFFRIQVIFWKLAMYK TYHIPNASVFLPENKQSKDLSENIKIEDYFVFFDQAIDELHIIIDEIDYILNLFKDFD EQVTKNWLLKYKVVLLILVEALTLRDYYNEAVKLLNESMQKHFPDDISLYSLIARISL QYGNFPLVSSTLNTIEECLQHPKHNTNVNIAHYRFTLGLLNMVQDDPVTASLNFNTSA ALYKELAINLNKEYLLPCSTSFNNLSVASFYSSKLPNSVSILENQIYNQNNFFFNSQQ LFATNFKNLKTLYQFSSDKDKLINELKKMSKSSLRYSIMIN cubi_00075 MSTEALNKIIKKSEKEKIKKELYNEYELFKVSNIYNEMDLIKSI SNVVSSIISLSGLKEQVQTYHRKNILMLIATIFGVFGAVVFKFPKDQWLILLCVGGFF LSMFGTLLVDIKSPFSGFTNSYLVPKNLQEKGKFSLKNIWNYNKDACYFTPKLNRTSN NIEFLIQNQECQVSKTIYIGKLFTCDGYINTDQIFEIVTKLIVDLYNNSNEKKRK cubi_00076 MSKLSEYSKEISKIRRKFSQAQAEQSLNYSLLDNDLEVRLNKSY KIGPKSKNKTLDTKNVCESFAILSKLEDPAELDLVMEGPKEKYKKHILGLMKDDSKKN KISKNTRQDRNYVSKSIGMDSSLKLGMKTYCYSNGAEWSPMNPSLIWRKTKESDNATT IPSSFSNQRALMNNPGIIRKLENELGPVKGSIMLAATGEKFQDINTGNSITAKEVWKD EPLSPIAKISQQDRDKQYMGHYNQEIPIYDHFGVQQAELVNLTDFGNIKLPVRSVLSM TQEASKEVQKELLEKEGRLEMKLLNAVDPHSQKLQHKDMPENKMVCERKPLMGNQYNK GLIDIKEKILFEGEIDKNREESQKNDLMKLRVFNTNFEKHLGFKLTGKVSLDNIGDKV ISLGTENTSKLIGKEFRELRCQLGWENATENTSNTNEKEFNIYGDVLDQKNISNEAIV DRLIENTTLYPCFDEVLFENTFRIHPDKSRNHISLITDHLDATSVLSSSDLLLNVHKR QYTPPLAENFPKGLPSIIQDPRIIWQKEFLNIPYEITADLIRKIQRGFWDEIINDIAK SSYGSISISSIESINNIKKFILNELNYNSIYNVDEPFDVSPPSLSPVEREQQTRIGPN FKSQLINNNKLILTPKLKLGFGNTSLISNSLSKIGAKIFGGYTTQAPSNSDENSKLEP IRNDVSAIKFIPDCELYETALWGRYEFPNDDSNKGIIRKVKTVEANEEDPLYKSNVIS MSNEWKADVERQTRERKEGVEMLANSRNESSLKDLTSNLNKIVESGIENMDKKEFSRL SEIFKDKNQNLIEVLNKNQPSGKVIGKEGSLLQKDYNQFNKSGIRNVGLSSLGVNQQN TYNHMNSPNANKTGAQQSIFRKIRNSQKTGKNKNGIDIIEDYDDDESSIRDDDSEELE DLSSENEEDFRQICETIHIAPWEPTNLMMTKNLFKSMNNIFSTLSVIITKDSKVKNRI ELFELGEHGVIVWLNRDPIKIKDKILSCCNNVKGKLRVKGGIYITPDTEISPIEPCTE VPGNYTFTVTGINFSYLVLNKSYSKQLDHVKNFVSTYEKFMLFHTNYSNISNYNGNHL YNQNSNVGMEYKGKGLKNDFPISEQTQFGVNADTDIDIITRYDKKTINNIDITNLIPA LNNNEISGNNTILVVSDNPFVKGILPLSLSDLPKTTIKFSLRRNRLLLWRSIKCQIWK TQYIEYCLKSSIIPAKKVVNFISNAFTKSIASNSFDMAGLMFQENLFSHCIGVALSRR DPKEMIFRGCYMGDEGFHAIFPLLTQLKSLGKLDLGMNNLTAHSLPLILEVVKRCNCK KLLLDFNNLGPNKTKREFSAFFKHILFSTSIEYLNLSRNKINGRCIDSLDTILDNSNF KNKTLETLNWCSNGNTQPEIETLLLALQPCCTNLSKVFLGGNPIERIDAFRRRFSRIR IVMEDVSSHYLIKNRGSPEEKNYLADSRLRRSQGFSRIGSSNSNLNFENSRLFSRY cubi_00077 MNYFLLVHTAYLIFTLIGFGFGEELRLSKFNEFGTSTTTTNNNN NNSSTSIHSSNNLANTTTSTGTSTFMITNTMITSRINESNIGQQLTTPGPKFVQNPSN QGSIDNEESSVKQLGLEDLSEFIKIDSVVLFYVPWCVYCRGIMPEFEKVAVMLKGKKI SFGKIDCNEHRKAVLLEQIIRFPTVKIYSEGQSRYYSGLPNSVSMINFINGEFNRDIL ISDLKVFSVFLNVDNDSIKVIAIIDHEEREGESGITSLVSSSYTKLSRKYHNIFFAHT LSNNTEVLKYIEDYSSKDKNSKSITPNKIKENTLAIFTPWNDDTNEHYDYDKNNLKNK HGLILIDKVDFKDFDYLEKQILKYQYPLVIEFNPLIAQKLFLGEKTLSFLFIKDDVPN FKVIMERYKKIASQFRGEILFINSGTTLAHERRIAQVLIQEDCLLPCISIIRFPKNDE GKVITPSLPNMPPIKRPQAPLVYRSEFSGPELLKDSNLEHFIQDFASGRLNPYFKSEE PPSEEDNDGPVRIVVSKTFKKEVIESNLDVLIVFYAPWCGHCRKLEPDYNILAQRLRG INDKLRIAKIDGSQNEVENIQILGYPSILLFKLGKKTEPILYSGDRSVANMIEWISKN TSFKFDYLQYLNPELAFEDDDLDMAFSHEL cubi_00078 MVSILNNSENIYFLLQVSPIVQIHTLRANVRYRIGPEDGITDVD ISYPDPPACITTSFDLWIKNEDREFRLTRKGDNNQTEGCKYFYKDCVTGSYLPIPIFP DIIVVSSGTKLRVMTSQPNECNIAFVLKTQDVSDLVGSKIMFNTEIQAYKVNSKENSQ KMITDGISTSTSQYRDKHEKKAQGDIGKSYLVFTEASLGKGGNGEVFLGLNRETFEFV AVKSEMSGSLSREADYLEKCKSDYVVRKLGWFQNRTDNREYLIMELFHGGTIRQLLRF KYKNGLPINSVRNLMYTLLVGISQMHSKGVVHRDLKTANLMLTDVVRDIDTCNSQMKI CDLGNSGISIGGRLKGHCCTCFATAPEVYLNNDYDEKVDMWSIGTILYELITGQRLIE CNHQIHCNANEKILRFDFSIVEKKIKEHASANYAFGDLNWIEDVIDLLRRLLEKSPSR RISAQESLSHHFFSNYQPTNIDNSWKCTTRLHLIKILDENIPVQHESEEANTYLPNCK CCSNQISINHKFNYSINSASRISTGCFPSLNTFYTYCTWKNPIAAVSLNKSQVGNEKI NKQSIRNSIIHRGQILNNNGNNNNIYNEQNMNTQNR cubi_00079 MELSKRSNCYEAEYTANELAIAAQRRDKNTLHNIIQQLIETGNT GILNESNALHWSVYYRWDDVSIHLLSLGCDPFMGDINGDTTVHYCIKSGNFSMLKYLY EKHGNKIFYVKNRNQFGLMLTAASEVAEGKVQDLLRVMEWLYLQGYSLEEQDILGQTP LFWATKRGNYGIIQWLISHGANIGHRDHKGNTLLHAACSGDIDDETLSFLCDLGLIHL LTHSNYENPAVGNTAFQICWTRKNYWLALMLNFWYYHNKLFGRISLLRNPYAVYYWFI SILNLMVVFIMVSTLKLYREFLGGIDLSYFYIWFIFFGAAQLFWILSNIGNANYVKHP IYQKIISKLPRDKLLLLESHGLYLPLLTPQANKLGKNSSIYQLMLKENEQVQLNNQLI DMNRLVFNGDHLNINKYREQYNNQISRQIELSNEIVGLMPFVAKERQSNNSKIYIDIV EGRSINSTAPILPKICVTCRTERPFRGHHCSDCGYCILRFDHHCVWIDSCVGYGNQRA FFFFLKFLTISFFLYYYLFQQYCSLRFQFSASLKKISSTDLNNNWFTIQTGDLFLGVG DTEGIHFHEKVYYFMTSDPWFALIMFNSIMNIPWMIFVLYLFARHIKSIMSNVTFYEY YKKPEAIKKRFAGRNTEGFCYDMQGRTFPVCIRSIFAYFTKNNSWDNLDFFTNIRQPE KILGNPSISIPIESNVPYQNLSQIPPNNDIAVINENGNLAPNIFPSHISTNHSYHHSQ FNFPSNSSSAYYQVNPYAGSHLYN cubi_00080 MTKRRQVSPNNEALLVESDKDLETKYSISNFDSILLAWDFFQDI KEETFGTERPEIVNSFDISGEVCQVRDNPTRFVTSKEYLDYFFPLFLLECQQSIQRAK QIEMSDFDTFLLKDIRTNNSNKMEESNFLTLVFERRRSEELIYFSSQDLVLIVFDPEF KPGEFEDNLKHVIGVVQGSNSNGKITITVLNPNYYVDDKTMKRKLASFTSSERLASRM RYFSDSLGNKESGSDKSTTLTPSSSQVWNLSRITSFSTNYRELSGLFSLPELLLKDDL LCRGRKCEHNMKIPMLLHEKLDEKYNPSQMSALSECLKYSGISLIQGPPGTGKTTTII GIISALLSSTFEVKNTSGDTDDGENVETKKRKSEEENVSEMYKQIFKAKPWCYDPDYV PWYDSEMKSLRVYRQDKVEIKKVTLDTSSRKMGPRKLLVCAPSNAAIDAIVRKLVRNP TTGEGGILDNTGNYYSPTLVRAGPNFHPDLHDLSLEYKLQQRLQRNGFDPKNCKQEIR QQTQWKILQESQIVCATLSVCGSKELISILNQNSRMQAAGGGDKDALSFDTVIIDEAS QGVELSTLIPLKLGCKRLILVGDPKQLPATVLSRRAIERKYDISLFQRLQMSGHQVIM LSVQYRMHPQISAFPSKHFYDGELHDYKDILKTRAPVVPWDEIPIFKPFTFFSVNSEE EQGKSISNPVEADFVCQILELLGLILYENQKKQTEDTELSEPKRQWYERIAVISPYNE QVKIIRKKIKEKFGLNPETICPIDVSTVDGFQGQEKDFIVFSVVRSQYIEEDSLDNAY NRRTNAGFIADRRRINVALTRAKHNLWIVGNSRYLLGNPEWRSLWDYACKNNSQFSVD FKRVGPMENYLKLWLYGFLQRKESCRNALRQVAPEFIHNLTLDCSIFEKNKDALSSVS ETKAKVDSSFFVDLKEDKLGYESKNKRGSKVFQTDSKPIEVEENGVIHKFRNFDLDLF CDINEYESSKNNDLDSENAE cubi_00081 MSKRGVSMEEKRSRLLNSLYEKKECFNLKEIEKLGKKCGIIEQT VKDVLQSLVDDSLVVSDKIGSLNIFWALPSAAKFTKENRLEYLKNSIQDSKDQIKSLE KMIKESKETDSPEHQLREKKTKELDQILLKQKELASRLDNINTTIKDNPKILNENLET ANQQLSVWEDNICSLRQWIKKKMPGVSEKEINNNFGLPDEE cubi_00082 MASNEETRNDNINSFIDEIDDELEEFDDLDNIETQIDPDVGEWD ENWDATGWDDEDVDDEFIKQLHHELQEFEKRQANGNN cubi_00083 MTLYETAAPRVIKTAKDVPAGILIEKFAQQLKKGGKMVMPEWID HVKTASSKELPPQNPDWLYIRTASILRHLYVRPNAGVGALSKFYGRKQRNGTARNHSA VASRGIIRYCLIQLQSLGLVEFNPNTGTRRLSPQGQRELDSIARQCKA cubi_00084 MIEVVLNDRLGRKIRVKCNPDDTIGDLKKLVAEYTGTRWDKIRI QKWYNVYKDHITLEDYEIKDGMGLELYYN cubi_00085 MRFFLTFISLLLGLFASVFGKKTISPSTVTPSVVVELTISIGDE KNKMRIGLFGEEAPKTANNFYSLCVGGMKDSEGKEMSYIGSIFHRVIPGFMAQGGDFT NGNGTGGKSIYGTFFEDENFLFNHEAHVISMANRGPNTNGSQFFITFIPTPHLDGKHV VFGRLVDEESKLTLTKIEQVGSYSGRTSKRVELVACTHHSGTAPSAKLEL cubi_00086 MTNAEQNSASEEAVQVASTGEIESNYDEIVECFEALNLEGDLLR GIFAYGFEKPSAIQQRGIKPILDGYDTIGQAQSGTGKTATFVIAALQKIDYSLNACQV LLLAPTRELAQQIQKVALALGDYCELRCHACVGGTSVRDDMNKLKSGVHMVVGTPGRV FDMLDKGYLRVDNLKLFILDEADEMLSRGFKVQIHDIFKKLPQDVQVALFSATMPNEI LHLTTQFMRDPKRILVKQEELTLEGIRQFYVGVEKDEWKMDTLIDLYETLTIVQAIIY CNTRRRVDQLTKQMRERDFTCSSMHGDMDQKDREVIMRQFRSGSSRVLITTDLLARGI DVQQVSLVINYDLPVSPETYIHRIGRSGRFGKKGVSINFVTDDDIVCLRDIERHYNTQ IEEMPMGITDILQ cubi_00087 MKGRKRQKQVSYRDAGTRRMLPRWGLLVLLLQLILSVFVKAGDL DLFVRSGESNRITLPEIYFVMTVDGTLWSVGENGKILWKSRILEEGTFYPSRVFHDKR NEESDVGHARLYCSFQGKIFFGTSSENAHSFYSTQDNTYENIFSGKSVLPGVIPIEGF SIGDLVERCPFHSSLFPGLYLTGSRTSDVLTVDLFTGRILSNSASSQHCEAYEQDASL NPNVQVSIAIGVTTWKILALNVHTNKLEWDIRYSDVNGINNNQYSKSLQNLHLLESGS KVQEIPIFFGSSRNSLYINNMPLGFNTIISKVFVLKEGLSLPYFPSNFYYIEQVHVNH LHDSSNSAVGPLIGTNNNILLDEFAYTKSGSRSSEISHLISKKLKGLTPIVINTSRIV SKPMLLLPGNQAETSESDKTLEEWRQQTRAGTSGANPELTRTESDSEPEPGPILSFLS VLYYRNHLTLITGIILFIVNLILLFVLFSKRKPTSSSSSDSNNQQQNGNLRNSFLGSS YVTTFNPEETETDFSPSRNSGDKCMRLSKSYSMVDMRTSFIGISKNAFFKALNYNNNV TSNNLNYNHHFDSLGARTSFEDDSKPTIDLSSSSTTAHLVASPQRGQVHLNVTNSNTP TNLQDGQNTFTPKSTASAPKSSSLYNIPPRCELARCIDNGRFSKNFEIVKLIGSGGFG QVYQVEHKLERGTYYAIKFLRLGIGANDDITRIRYFREITANRTTLSKHVVRYYTWWC EEPEALQNYVDGIDSLGCSKSASHSSVQPKVFETKKTTKKQKNGKSYPSSRRGRNKSS KKELRDSKTVDQSTEKARVRLIFDEMSQSNDDLLMETSSNDSIGRFSLKFQQKNRKGS QYGELESQNDKYSQLSNSDSCFSRAPFDLDEEDDGRYLEEYTLKSNSQLLGDKYRDGL QTVDRASAVNSETFGALSLASNSSVQDGFENDSQNLNFIAFENEYQGEDDDLDYGKCQ ILDKPELHEPSQEQNIGEISNICIDDTHNQQNDNGLLYRTRTISVNNQSNHELLVLSS SGNFQQTNSISSITDNNKSSSNNHHNHHHRSLVYLSPANRKENDPIYEVIMMIQMELC VGVTLRSWLGDTSRSTEFGGTDVELNMFKQIIKGIRDIHEKGIVHRDLKPENIFVNPD SLQIKIGDFGLARLMFDGDAKNNNDNSNVVGDSQFVSKSASSSPSPHKLENNQVSVNN DLNRFMEERRQQNRMEAKMNNPLSRLDSQMSVRGQVIGTPGYTAPEGGALCNEKADIY SAALILLELLCPRFTTAMERIHVLDSFRSKGVVPVCIMKNHDVWIPLLRSMTYQDPEF RPSAENTYRIVKDLIKKKKVT cubi_00088 MDSNTPPVSSSPAHPPLCLNNCGFYGNPTTNNLCSKCYKDSISR NSANNQSSVSNSQNSDSATTTKDDDCSFSDSLKELNQESNSPTAIDQSNTCIDTKKVS ESEDLNATSVSSSASPSSPSEKPSVPGRCYHCNKKVGIYGFSCRCGFNFCSTHRYADA HDCTFDYKTFEREQLRKTNKAVVADKIQRI cubi_00089 MPSFSGDQGGNGEQCIVIDCGSAYMKAGTDSDKNPTCIFPSMVG QYRSRYIPEDEDNNPIFVGEEAIAQRKKLSLTFPIDHGHIDDWTKFEELLNYLFYRGL NIDPIDSSVIITKPPLCSNRHEEKITELMFEMFQTQSLNIALQGLMALYSVGRTTGVA CDIGEGVTQVVPVYDGYCDSSSLRRADIGGQEITMYLQKLLSDRGYIATTRDDLEHIR IIKETLCYIAKDPATENERPEEEITEVYTLPDGLTLHDEHTNKIEIDKERFYAPEVIF DPKLIMRDVQPIHELVMESIMSSPMEVRKTLMGSILLSGGTSLTKGIEERLEEELMYI CPSQAKSNIRVTPADDRNYAIWKGAQLFSALRDYQENLWISRDEYLEEGVNICIKKQP FFSYMPK cubi_00090 MEASIRNRLRKQDLVLPDEEVPNSQDSNINSIDYSEVRELVLDG VKLRELTQKDSEFLGRFSELQYLSLNATGLQKLDNFPLLENLKVLEIQDNHISGGLDI LQNYKNLRCLLLGGNKIKDFSELIVLKELPKLETLSLLLNPIAEKNSESYRSIVFETL PNLQILDEMNKEGKEVEDYGYEDEVEIIDDDTEGGDEETSVHAGSHGLPDDDEDEFDE EDDEDVGQVDLKQFYENDLEEDDDEDDFEPGDADFEGADDFEEEEEEEEEEDDEEEDD EIEASSSKKQKIERDDDEDDDAE cubi_00091 MDNDRSISMSYMGLGTDSPASKESSSSKLEYMIELVIYSQLVIS LFLCIGRTDYNFTLYLLGYGVFCVEIPPLDVNGLIRKITGIRRFLMLIILATVIEAIW LSFAISAWLCPNNQSPDVCFVEDFQMNWESKLHQYIIWGSSINFILKFVLGTLCWMWI DKERTKLEAISLKPLIFFW cubi_00092 MASLKIFKNPPFSFLILFALLVFSIEVVSVESNDNSEKLPVFAL VDIFHIPPESRQKCSTILGWLATKYPNNYVLMSVRNIIYQLALPHSQLQENAEKELIA AMDSLQGTMSNVSGLEPGTYISILTCSVDNKGRTILQKMVEHGLVQALSHTLSQKFWV GAKLNRLLDTEGNNLAHLAAMSENDELVHFVLGIMFKSNVVADMLESVNSNGQKPIDI AIHHRDQQTVDTMKNFFRVTTLAEREGFVDGVEVIEDSVTLAEKEAENKKFKEFIERE ENAASKITDSEITKDIEYAKQDRYKDRGNDDIQEIQSQSFESSIAEDSSNPSQLTQSL EDDYSIKPRFQDQEISKSDNIQQEQTFSDENVIYDEEGDENTRGNQRLSSINKIESLE NKAQPEEVELNFPSLRGTGENGDHESQHHHDSHEDFKKINKEPTSRWNATAKAIVGAS ILVGTSILAATVVFIVCKGYGNKEENSF cubi_00093 MNYYVPETPSYPSYPRNGLSSTLNNSKGTKFLQSLSTSNHSNHY NSEILRSSKYVDFDRSFNYKVPENNASIFNIQNDLDRFEVDEFHINNISGTNTTITYS LVPEWINNCCYGTIQNKKTEYGKNQFEFSGKSSGVAEVQYNGNFGSNFQEECYSVKNP FTNTDESTKGNCSNNNNNNNNNNNNNNSSNTSIHSKRPRHFFCFSCNQFKPRSTKARF KVCKHVSCYHCLRKALHVEYWAAKNDIWDKCRAECPFCHISLDWTKMKPYIVLSLEAK QFPLMSLCDAEERQGEAFQVIQSFFPKGVPSHIIYGNKIDFSVKPKVTQILFGYYLEL INSLDSYDQFFQPEGDLLGLSSSNNTIIESQLDINAGSFSNNGSNFIRKFEPHSQTST DPNHYSSATSCKSASTTTRASTATNTSTTATINTTTVSSHNISTCANIATSVSSSTLE SEEEVTTEYEVETEIEEKDRNHNGDVIWAKTYDIYPDIFVSWRRSILYPSL cubi_00094 MNLQGQKRGLSQNKINNYHYLNGKRNTTVRSTSTSTINAATEGI MPNKTVINNNYTVVSEVMNQDDNCFKPLSSISKSMGFSPSIPTKIKYHMEEDENNKSS LGLFQQISNANLNRSHNMHLIKQNEAASGAVNLTLGNSLNNNSNISNDFKEKTQLSGL NINHCNSGISSNNNNDSKKKRSRVIYCHVCCQYKPRSTRARFQVCQHIACYDCVRLAL MIQQRYNVKAHCPFCQIELDWNKVKPFIVLFKPKPEVNIVDEGSKTTLDSQGMNVGSC TNNSLGVTNNNNNIQNSVINVGKHESNNNINSQIISNDLIAQAFECNGVSKEKILRGF FSCYLQKQMQIRSSGCILQNNKNNNTGISQNMYDSNEFQNVCTSFSGILDPYKPSSVY YGGENNNKQYISLQNFADQNNLVFPNLPNSSESSCGEFLPDVVLAPIGEALPLVNCMH PTVTAKKFNPCMYGGSFRPTISNNGGSNNITGHNTNISNINVHIGNSHNNNNSNCNSS SNSSISSNSSNSSISSIESNINNHNNNNTMRNSKFSINSIGGVKAVVHGVSCNCSSCL QQGGRTGIVSSMGLRIIPPGGILSKIYDENNPRGFACGLFNPSIPTDGVVLMQQGKSN KNQHIHFELQKQFIADNLDNYSINNIDGGIISINNNTNNNNNNNNNNINSNNSTGNNI CTGMNTSNSNNNQYGQQNNYIQSQNHTQMSCLIYGHSCGGSSTNTSINVGNPLLNCED AISNSITSYGVYNDENIRSSTGVPEILGFFETNEDKQMPSWMITTPCLNMKDILSDWR NSTLLKSHSDMVICSI cubi_00095 MPPKKSTKSGGGDENESRLRIAIVEADRCKPKNCRQECKAFCPV VRTGKLCVEVDSSSKIASISEPLCIGCGICVKKCPYSAITIINLPKNMSKDTTHRYGK NSFKLHRLPVPRPGQVLGLVGTNGIGKSTALQILSGKLRPNLGDYTKELEWKEVIAYF RGSELQTYFNKMQNGELKTVIKPQYVDHIPKRVKGRVGDIIYAKDEKSIAETLIDELE LRHLLDRQVGELSGGELQRFALCVSTSVASMVYMYDEPSSYLDIKQRINAAKVIRNVL NHENYVIVVEHDLSVLDYLSDLVCCLWGSPGVYGVVTTPFSVREGINIFLDGFVPTEN MRFREEGLNFKIVDQDEIAMQRSNFVKYPGFTKTMGSFKLSAEAGDFGNSEIIVMLGQ NGCGKTTFIKILAGVTKPDDSDIIDKDHYQMPEFNVSYKPQTISPKFEGTVRDLFLMK IRESFMDVQFTSEVVKPFNIERIADQQVKLLSGGELQRVALILALGKKADIYLIDEPS AYLDSEQRITASKIIKRFILHSQKTAFVVEHDFIMATYLADRVILFTGTPGIDCCANR PENLVTGMNKFLKILEISFRRDPMNFRPRINKLDSVKDKEQKMSGNYFLLED cubi_00096 MRKFNIKLGLLGLSLVLLLIFGLSGTDARLQGGLVKDGNKSLFL GLSEIYQTSSSGSLVMAGQLFAALCFIYCIRGLSSEKTAYRSNVVGFVGMMVAIIVSL SEEGFGSHYFVFFLTTIVSGAVGVYIADTTQLIKMPQLVAAFHSFVGLAALFVSYSYF YSTIELKEGISSLRRVELFVGGAMGMITFVGSVIAALKLDDILPSKSPKIPLKNISLS IILFSICMTGLSFCVSSNELIITTNLHCGMILSALFGLFMIISIGGADMPVIISMLNS YSGWSTAITGFLLDNSLLIISGALIGSSGAILSYIMCKGMNRDFISVLLGGFDQEETE KMVGDTKCYITSSKETAEILAESSKVLIVPGYGMAVSKCQDVISEIIKELESRSTAVD IGIHPVAGRMPGHMNVLLAEADVPTRNVKEMETVNGCMQEYDLVLVVGANDIVNPAAL DPQSKISGMPVINVWKAKQVVVSKRSLAYGYACISNELFTKETTKMLLGDSREMLHQV YKTLKGCAGFVPRRQYMDSRVTEETEDTEDSEEVTTALLSSDSISKQRKSLKTLSGIL KNKGLGEGETESTGMKKNSKKIALLPIVSEQDKTILFPISPSKVYKFREKGYEIAISR DVLTSSSQSKCFSEELYMRSGASVFKNIEELIKESDVVIKMSRPTEKEARCMKQGQIL ICNMYISQYQDKENNQDQLLASLAKNGVTVIALDEIPRTSRAQTMDIRTTTSTISGYR AVVEALNSLPRISKSISSAAGNVEESTILVIGVGVAGLQAIATAKSMGAKVFAMDSRS ASKEEAESCGARFIQVPSEVDTFGKESGHENKEVLIRQRDLIEKYLCISDVVITSACK PGEECPILITKEAVRKMKSGSVIVDLCSEFGGNCELTQKDKIYSDIQSGVTIMGRSNY EFSMPLQSSELFSGNLLALICELGPTSERFKCDLNNEIISRMCVAHENKMLWKPFSEQ NQEKYENQEMQKKKGLLQTITKHSTLISIKDDNQTTQKTVTFASETEIDGYFQKAMKE LRNYDKYLNGEVFFYAGVMFTTLFFTVLGLTMTTIQIQNIFSFIISTMLGYYCVWGVD SKLHTPLMSVTNALSGVIIIGSMMQYGNHTVTYTTLMSMVSTFLASINTFGGFYITNK MLALFTS cubi_00097 MLEYDQEKNLFDEESISQVRTCKNQVEISQEEEEEELDNAIEIL VNEKKVWEEAFNAAKLEIEEKNSEIESLKKLLNEKNQLEMQREHNKNCNLLKGPFESS IDSNKAVVNSNSTSKISKSMEDYMFEEFINIAIEETQILDDSDALISKLESSGDIEDA YRLIFRSFVELSLNNQSQEQRIELLNEELSATQEAVQNLHKTIQDSQNSSKLISVAYT SQIKSLQEDLLNACKDIDFWKEQCEQLSKGNCLSIQNEKSSSEENFQGFDPTEWCNLG SGASTIVQVETSPDSYWWFISY cubi_00098 MNDLDDSTFRFYTSPEDRNDLVNYRSSLSNFNHDEFMLQSTARQ SGYVVNSYIHGRAASEYSCSSGSFRDLNSSAEQTTFERSLSPVNRTLDTTTSEVSTIP SARSIVERTRRGRSPSLSYTVTTLQAQRGIQQTIKGLVNNNNNIGWNNNQLISSNDRN PLSILTMKSIDLNPPCLSEDNFKRTRVINNAVLNGPGENKNYTNKTARSSLCTFFNLC NCFGPRVKDTHNL cubi_00099 MEYKNKKFIVFPNTNTDLERKLKIVILPNPYVNVLCEYLFDSNH NEVYEIQEMAERSNGITPSAFFGNDCISKLEFCGAMNIDTFFFLLSALAFARNKQYIN KELSQIVSYYISNLKLELEQSDLDLFDQAEANIRELFQEFLSDTKLIEKIKKVCDILQ EGNEPPNGKNYSTKLTLNDEKLLDYLSGRIIKAAKIASERDLVFKEYYNDSEIISPQA SLSQVPAQEIACDLLFACIPKVLSNVRERLKRRFGEFKTKNELGNTEKNSITLSKHPK NQYIGVKKGIKRNPPVITKPTSSKRALPHKSSKLMVNNDISNGNILHFLKKKKTE cubi_00100 MESNNINVEMGQEPIPSFKPEINERSRQIAENLRKNSILELYDL VSNGSDVCDLMSFQPTSVQTQKKMTRHQVLAIQYFIPYVMKHSYKLDVDEIPDSIEKT KELIKRSYYSTPVMVDFDERMHLFVTKDSFLGTMKIYLMSPNGKKFPIPIKFDKRGIP GNLNETKNDNLSKKNKERNLSSKLTKSHVGPQMEKAISNYLKKKQLINDKNNNKGNNG VCISELDPECTFQPHLRKTLYEKYRNELGVNSITKNDECMQMIKKYLLLDNNDKDEKV ESYFKSLQECTFQPNIEKFIKRGVPKEYYLKIQKEKEEEIKEIESHNKLEMVFFGKEE NENNMDNERIQREREKAINRIFREEWNNSMKTVFNCEQNKIYSNMIPITDEFDSSELM SEEMEEKDSIKNSRSFKNKSKGRIKYEWETREQGPIYDREFRQRLKEKKEPNSYKNTE KIQKKPKKKYIPPYKWEEDLRNESNMYDAKTNSELNNNKQNENDSQIFDKNKWERYIR LKNRHVKSIPVSNTNLVTNPEEGVDEGLTDIAKCYSRICEIATPITVVGIRENIINYL EKELERPLPNLIEMFDNDN cubi_00101 MAFSIIPFPKVITFVLQYLYPLLGTVNVVLGFNKEDIPVSELTN LLFYWIICGVIFMIESIAQIFTKIPFYYDLKIMVLLWLILPMFSGSGYIYYMYVHKLV NDSFESHLSKVSHKLQTLKSNLFEFLKSPKFRKSLTYKNRKFSRR cubi_00102 MNGLDHSSGRQSHKGNSMGNSLEDKIKNILIKNTESYEGVGERM IQIVKELLNLFQDLAQAETDSVYDTISWVLVEFIATQPFKTGIFAGVTALFSTSLTNN SPLSSHSNLTIRIVELSIKRFISDLRKSKYYSCQLILNFFTEMANFYVIDLNQLVSIY RSILNTSLSLKKSGSNSSFKYLASDHNSFILFTLIAYALPLIREELRNQESENQNQVL YHEMLKDIALFYDTYLVDYCDETQKVLLNLIGKDLLDIHPVENPFAKYWSSFILWRNQ QCPRIMTILRFYRSLSISDYLAEILSPPKDFNFVEALSNLELGEINEYLPKQLVRFPS INTMEIGEFILLRTMDSINEIFVQSPYETARQLLKLPVTSPSYDLCLSITIWNYLLNP YYVSHLSFVNSLVLNIVRLQSSFFVNIWTPIFILNMQPCKDIINENSTRSQEMIDSEI EPGNSSQKQNCTTEDSNNPMIGEIVNHLVFPHIKTLSIGMLFRLRKHMMCVLSSSEIF QNSSFRDSFWNSNKTNFLFRTEIHDLFLNGFLDSILTGMSRLMLPQTLLSIPNESLNL RINKIPFIGKDSPKATLPALFFRLKEFNHLKEILVFKFTTKDEITEKNNKITLFLKSK LGKVNDQDESKDDLDEDLQVSRRYEERQYHKEESKDEDLDHNKEDHGGNSSKETFKSS KKRRLNSIQPQDQFTWTKDSLFDLLLISIIDQGSKSPSHTKRLFANYKTSLMGWYRSE ENGTPETVDDSNNEIMSNGEHHFSEQVSQISNGILNCDLFKTLTKFISSNDNSSEMNS NLVDHPSLKTGIRLLSLILTVWGGLLSGDSSICLGFSFQKLKNLLLIAIEEGVLNPGL ASVSLACILTCIKSPKCLDISLNNNRYQIFVDLFELILEILDGMKVREPIPESLGPEC SKESKMDDEKHSSNVLSINNIEICILALIYIFKAGSKTLSDRQVDEKIIKLMEDSATE IFLKFGSALFGTEGHPGLNFESIQEFAQGEFIVQIMKDFKNSSLEFVLNLNDPSLKLE LMWVSSSVINRSQ cubi_00103 MNVNVNIENRANIVINEDSMNKLSKEEREKRERVNKEITKLAQQ EKWLESFRPKREEKNRVKVQIKKQAVKIVKEKTLKKRKKLNPKLIEELNNEIQRTITL YKNMAG cubi_00104 MNTEKGDLSSFLSQPKKRFRKAAGQVWVDNTLDEWPENDFRLFC GDLGNDVTEEVLANAFKKYPSFEKCKVIRNKHTGKTRGYGFISFTNPNDMLHAMKEMN RKYVGSRPITLKRSKWKDREVDSDKNKKFDEMTKRNESTNSLFQDYHKEYKKRKYLDR H cubi_00105 MQQENYWDFCKDNGGKFVVESGNGHLLSAVSTVHNYESPQTAIV CHGLFSSKENRLCQTIAKHLTINVVRFDFHGNGESQGADSWCFGDYHGEVNNDLRKVV EFLRNKGLEIKAIIGHSRGGVETLMYSWMYDDVDIIISISARFDLANSIITKFITDEQ YDQLKNKELEFVEIIPRDNIPRKITFECVNKRNLVDYNMLKAVKNTKYFLLIHGTKDD IVPVQDSSEIAKFIPPQIPHEIVLIEDGSHSLTENSEIRSLVNHHINRVIDSLLNKKM cubi_00106 MTNDDMKYICIRLISGLKFVEFDDIETGKPCLKTSQGFRGCKLF LSNIEVVNEILEIEASERIYRDSFTNNYKALFPYPKKRFYRVDVLEASIEGYTSIWVN GERFEFNANVIQAGKELHESWICLVTLIFQIKDLIEERIKKNKDVNIFDFENELWKAN IINVLKRFDQSWVEFEKEYITELISIENVARRFILNLNKHMIDNEILTCVGAKSNGNN SDVIFVNEMQKKEKSENNGNEDDQLTSGIQCNGLDPIFFELIKNLYRVLNLNNKQCTT ENINLSTWEQIMQINKLAASSPHSSFSVVGALSMQCMSEMTIFLEQVVDACKIPEKID PHICNNSSLQQQILRVEETWGIARKQFSKLVSMEKEDVDRLSLVQLVKLLQKVFSIQF QIRRRIKGLEIGEVMMELGSIKNFEEIYLWENIFVEKLEEFDVAALLALPKICCILYL VNPGVCSDMISQFLNNEKAMELHWVQQDEIECIEDKLIKNQIFRGIQLSNKALSNNQD VRGENCSVENSFLNMVTLWNSFTEAVLGHGNRGFGTDFLALEVGGKVFNKEEILFVYI FSLLTGVCLCGESQINNCKICNKETITIQKNEFIKETEKLLNSLLISIEEVSILLQRV SVSEWNEFIQTAVICITKSPIILEESINFETSQKENEIEQNSPIHFEDNNTLTTNSAF GKKSKQGGSFAINNTKIQNFLSNIGSIIYKNVKSYGKFTASSSTVTQETSENATVIMY SSSNSNFQNETDI cubi_00107 MSKASELEKVEQQEENISELINGRGVVKGARKDVLGYAQKSWED QSLQTPQSAMFKVGRESESDVMDTYCESGPETPEECLGNSERGLSPISSSENEGLDQN VKEFNEWKLREEEIVIRLEKLLKNIQLFSRAITVYIIYHITVLNLYPSIYTVNKEMNT DEIFEYYYAQHIIPKSLPTSIMDSCFLGCIIFILAYMASRALKVNLKDIRRNMAKKSQ SKKIRKSHRKKMMVSGVAGRVFGISCLLIILVALTQYVAHESLNTKGLLVPDIWFSLA KDKYKYDDYKGFTSLLAHIILYWTGLSVDFFGFITALRMVFTYVHLLDGIILSIYLCM VVGIGLPLMQEGLLGPKNSCNANDHHWYKFDTHEITFFRDESGKIRTGDFRGPTSTNY PPLFYSEYITMDDGVKIAVDIYLPRIRFGYKTLPTVVDITRYNRRMDVHWPFTLFSLW GEPKSVSMNIWSWQITQTFIPNFYAVVVVDTRGTGASTGHRIVDFSENEVDDFRQIIG WIKNQPWSNGKVGVGGISYDGMAAIKTAASTTNDTLENNSEGFRSDNNLVDAVFALSS PMNVIKELIEPGGLICKPLVEDYYSITYSFEQYGSPLLHFLKTATYYPFKLVVAFLLV IGNVSPVQGYSSIKKQALEMHQKNWDMSKTIKKYKYLDEQVELDDGTLIFAEKLGNTE DIATILGNKGVNVYLTSGYCDSANSRGMIQFYDALVESASKAYEQYLLEAGNMASKEK PIYKLVLGPWTHSGRASCSPFGETTSCFEPALYYDLVRFMDCALKGICWENQDKNIHF FQVGEEKWYTTDKFPPPDTEYLQFSLEKHGENDKNLVQEFSSILGTRFVPLVFQTKKK ETRTHLNTNQHSNGSSSYIQLKVGDNYFSEYRSRWMIAMHPFRVTVSYNEKGKQLWHA GERLHQKRKSRQHIRFATSAFKSDIRLVGSVWLHFSIQLLDGYDAPIYVYLEDISPEN KIMYITEGLAQVGHRHVNMTKSALENIPHGSARKVIRPLTKSYYQPLRRIGDESQMQI TLEPITWTFFKGHKLAISITGADTKNFKLHHISQNIKIAKTINIKLDSSFMVKLPVNK EDYNTWIELNPEF cubi_00108 MHVSRKKWIPEMGECHPSRSTGYMSFWTSFLLSISVIAIWVISE LFLRGFFGQNLAISPMMRATWGLVAAIGIVMVMSVHFLPNGTFKWPCYAFWKIMMGMN ILYLVLLTFLMFQDTEYIRSALIYIDPKLKEGVPEINYAGNSCSIIGESDGLLTNILP KIDLFVIAHFLGWMAKTLILRNDFLVWFNSIFFEWLEITLRHILPNFYECWWDHILLD IFGCNMIGIFCGNYIIRRFGLARFNWHTCHNGLENKEHNEKSVSSSSAKRHKKASKEA ISSFEDKAQEVTSVSGLFKVKNFIPLSFKWPETLSTLRGFLSFLVLTAIVQLIDLNYF FFKAEFYMPVSHWIFGVRTVVLAICGASAVGELYETIARDCSIHFGKISFQSWLIVVV IFTESLLCWRFSGNLRVESFLPSNFIIYIWIFIFFILVVLYLFLTLKSFHENLGSNRK KNE cubi_00109 MLDKGLLQSIFGSDSASAPSGYQLNEVTNLSYMQEGICSYLEDY LIKKLKRNDPKVKFKSLRLIKHLCENGNPTFKILAQRHANQIRLCQSYKGPFDPVYGD TLSELVREEAVQCLKSIFSSNNRIDGSIKVGNQTKGLGQRANELSNSSYKDRIHGFGN PNFIDKIEQDSDSMDISQIGSSIVYNAKIGHYNQVVDDLSELVLKVLPNKLINGISNY YGGNYKDTIRPVNNNYQNKPAFRRDFRDGFFNDEISRGVTNSQSYQTSTCKQGNFTES KIEEHKTHSKPTVIVESQNVSNSNTLEIHFVENYCSTKGLILNPSNELLQNSIKEIQK LDIPIVIKMLHNKLIDLSNTGSNCLKKDNETQNSGIDYQTDNHYTDINEQHNSPCTSN HLLNLKSEGNFKIIYKILCLILSMLETNQNTKKHVIEYVNDDFTHLLNQISATNIHCR RKVKQIIETLGADACMHAPHCPPEVELTNNLIDFSTENKVFKSDEDFNSMIDLTDSLL TLSHQTQENSDKKNNLFKNLSIKNHSNKSGVIINSKRQQKDEQTKDFGSKKNVEKSLI DLGIL cubi_00110 MVENQLTCNSAGKMKEIIKLQEQLRDEILECVQNRKSKMAQLQS ETEELGNQMIQEVTMKVLHRIELIMNTLESILCRCEKVEAKFISYKRNTNSKNQQLSE LTDKFESIKHELKSYFIIIKRITGIFSETNHFNNQMIKTINDKISRLSCNNSHIQTRE QIQKFHENFENNSKLNVFNPNNQFSNFVLQEFKSIQNAINSTSKLRQEADDSIANAMK EFTDTLQNGLKIAVSHSKY cubi_00111 MTNILSTNKKFALAADYCANNVSSFSNEELLELYGCYKQATVGD APEKSGSLFSVFSMKDQAKSKSWASRKGMSSEDAANKYIELINKKDPKWEENIGKNSC ISLFSSTSQPLMESNSDEKLEVELKNVLDQALLDPNFSEKNCSLSVFDAFCSQVNKNE TEFLKKVLERYPFLSNSRNSQGFYALHLACDKGYAEIALLLLEYGANLNAKDGFGDSP LHVAVISEQESCIRILIEHGADLTLTNDDGLTPIQVSENAQIKKILEGN cubi_00112 MCNNNFFCLDSYPTYNKRCSCSCFDIKRGCSQQFTCTNRRPTVQ RYICRICIPRVNRTPALQNRIACTDCYICGQRAGPASIQAQKPEAIDKCDYSNSCTCT QSPIQRSQTGGCRCGGCCIVLCSR cubi_00113 MNIIFLSFLLIFLFQIKILKGKNDELAKIGRQFLIEICKNFHTS KNSEDLVSCYESSIYFCEYLWVVAYIINEKKSFKTQMVLPKGIIDNKYCVEINSQQCI TMANFLINENSISVEYGLINTMVSPVIEQCNLKNNNLLFSLNTLWMGNLNRISDLNQG VMLGRGYQELVQFSDPFLDLSSIICHDVKMFLFTEKYRMKLIIIIRDLIKYNKNLSLI IQDAIYVRIPLKYDQELDLSWLYCQNLPNYLSKLDGLVDQIKLDLRGKSVIIKKDIIK KCVLENNNGEAKIITWKIVN cubi_00114 MSGDWCTIESDPGVFTELVERYGVKGVQFAEIYDYSESGMDLII SEYGNVYGIIFLFKFTEKFKGNHFSQPTEAPPEMFYANQVINNACATQAILSIILNRL DIDIGSHLQEFKKFSSSFDPMTKGLVIGNSEVLRTAHNSFRPISSLEVSDPEPNDSKG DAFHYICYIPFGRNVYELDGLATGVVNLGSPKNVDSENLSLDNEISALYDKPNLWISR VMEEVKRRIELQNEDSSKDEIRFSLLAVVPDKISVIEKKIKSLKISRQAHVAKLLSLG GDLNDDLQNFSEEEIEPDEFESLLSSIPNNIVLIQAEITRINQEISEGLLIIQNENYT REIWKKENERRRHDFLPFVLTLLKHASKKGLLMKKLSQLQ cubi_00115 MIRINSKGETGTDDTGKNAGKQTEIALIRSPIMSMLSVESGKPV MFQSFRSPMSGHVPGVSLESRKMTLGVRIPKESTYCNSIKQPGFKVPPKTDDGSPKGE IEPQINVNPLLLWSSTDDGQKRIIEVDSMLTKWLREHQRQGVLFIFECLMGLRDFDGN GCILADDMGLGKTLQSITILWTLLNQGFDGKPSVRKAVVVCPASLVKNWASEIEKWLQ GKCKCTPVAERDREKVVSAFAGFKYDTMSRILIASYETFRMHVEQLDGVPIDLVICDE AHRLKNDKTKTAMAINNLPAKKRLLLSGTPIQNDLVEFYSLVSLANPQVLGDVSQFKK IYANPILEGREPDASEYQQELATQRLQELSNITNHFILRRANTLLAKVLPPKIILNIF CNLTPIQNYLYRRFLRSSACRKLLDSESTGNPTGLTGQVLSSIQSLMKLCNHPSLIRP KTSGGYGKGFEGSEKYLEMIHGRSYSGESGGDYKKRIAAGSSMRNSSRTGFSSKPNLS GKLYLLSRLLFHIRSNTKDRVVLVSNYTQTLDVFECLCRDLQVPCVRLDGSTSITRRH NLVKTFNDPNSNSFAFLLSSKAGGCGINLIGANRLVMFDPDWNPANDKQALARVWRDG QKKNCYIYRLFSTGTIEEKIYQRQLCKDGLSAMLVTSGGNNELKDSISADLVRDLFTL KEDTISDTHDMIQCNRCYDSNGQLMNMVPQTTGLEDDLNTWGHYHSFSEIPDEILSIT LNECSNEANKMAIDTENEQPHPLELPTDFRPVSFVMACRIELQDQKDEQNKESNVTKF MEINKENSNQNNVVCNRDTTDTENSMNSLNPVINIFGGRISPDTKRMKDSNSSEDECV SEFDMDEEMECYSSSDSGME cubi_00116 MKGEVSLIEKDNITGGNDEYMNAVKVKKTAQQTEGVKDISCSNL NTYYPTQIGQRKSNEIESEGFCFEKSQHSSSFRVLSELDDCIEESFESILGAGKTCNK TLSRKGSLECIEWSGEERVFSPEAKSSLSKIEFYSNWKNIINQQDAPRTPQFKTTYIF PLSPHFSDYDEYYSDSSSNSSESLPDIQKEIPTVKKSKHIFKNHSRRVNGISWNQYEG ANEANYNYSSPSLPIPNNLQGRNRLDLLLSQWVNYFSGRQTIDINDIECATQFFTGLD VTTLTAVTSSLIYIGHCARYTQIRKYNQG cubi_00117 MNKSRKNKLNESSSHQKSRNESKVEYLPDPHRMLAKCKFKEFHS SLGSFGKFGEVDHYQRSTLSFALYLMEGLLQSILCTGIILESLNELDYDIYSYQEEKR QGTLNLSFKVISTEKKGFTLLPLDYKGFNKSNFKNSESKNSDEENFLENDPLIPPKEY LDIIGYKEPITKAAVFQFPCNSSPEDLITEKCIIDVIRRRIEDEEWLSQLEIVCGWLG ELARAIRHLVKHFPITYKRFLEETDGKIYSAIKYPLLYMALMKLLFDEYPRIIETDEE LNPKDPGDARRLVNRVRRNKLPGMRLVEIMSRMTNSLGNTLDSMGGSPAWKCSPVFAQ YYLHMAFVFDDSLLFTQIAAKSHMFDYEIFEWNYTLNSLAQHIPLLNSFLKPLATSIM ANRVHTRLECYLKDSTKKVHAEDGANSEKNAQLPKPVVGNSLEARFLTNEVIKRAKAF FGNFEGDRLMSSVKAEFENWQYKPIRPTQELKKLASRKIDLDKTLKEYNRTVLVPERN SRHTKAVINNVLPPLTHLSEKKKKLIVKMAPGQKYAQSFYNNKVSIPADMIVSP cubi_00118 MVLDWSLCSTSRSSNDSRKIHSKTNNLSNAREPNSSLNKKEIPT RSNVSSSLGQAIRIENKNRHPFLKKSTSSKETPRISPETNLKQNSKEKLKELPSSSNI GKNLESEERYCCSCKKKISSKEIDEDSVEYHRKIFSLRRNVALDDFHTVSIIGQGGYS IVRLVFDIHTGHVYALKQAKKNQLMSNQSQYDNLENLIEIDINSSQKQVEGKQTLNTG LMLNTEISLMYSSDSPWINKLYYYWEDNHFYYMLMEYMPGGDLMRHLIDLHTFDEETA KFYIAELLLALDYLNSVQGHIHRDIKPDNILLDVDGHIKLIDFGLSKKIFQSKQKPFV SNASINSSFQSDDSTSIDPHKVLIHDQNFHAGTPDYMAPEIHRGDPYSISVDLWSVGI ILFEMLFGGPPLSDPGQNSLITRNKVMNWDKHFYLPSEHHKYSEDAMDFICSLVCEPS QRIKSAREAMKHPFFKEIDFSTIRLQKPPIIPKVKHKLDHSNFDKFPDNLITSFYNSA KVSASVLNPNISEEINFNSCNNSRIHGENLISEFFPNSIVPGMNKLSISQNIIENCSI PMVNSSKIPICTPKRSYLPLISNLSSPVSNHTTASSWKQINSSPIFQTPRKPSPLSNN NSSPNISLNSNKLPQSHSFSQISGKSNHSNFSNPIIKSPCIPQPYNALTHIDKISSNL SKSTLENLKAGTTTPSSGLFSRNIGSSNNHKFLTFKERKSSENVANRQTQKPNTPLFP QRSTSSSITRNNQDIVNILRKKNII cubi_00119 MKKIWRINIVCLILVAFLQFVRNDNQAGYKDPYRGTLGVEDLNN MDRKRSKSDFYVFSDNMLQLSAQFPVISLFESLSLVWLSIYDRIQIRHKNEGALIILF LLSPIYRVINDENFDGDELFNEMEQFDDHLSQVIYCLIQTNPGINCELAIEDFVTFLN DQVLYEIQKHKNSDYDINMTTRVGHITDILSTIKNKQLYNIDKQSKLKMYNTLNPEAF LFHIFNINNLFEYYMEFNSGISYMGILGPLRTFLDLMNKNWGIKENEDANQWFRNKTS PLKGICDDIIVGVEKIREEIEHYFESKNYEKLIILTETKLYTDSLNQFCMDYNEFLNL SDEDASKIVEIPENLKAVNSIFVNRKNIILGMKTSKLYEYSRKAADTNSQLCTVNSDK KVLSSEGLSEYEVDQEISTTLLDLIGKFFLNNKLDTKLNAQFLNTCPTTKNLIFNNKL ASNEKNFGLIGNQIKDLSSILSVKRTSLASKMCSKLSSLLDDANYTNKRFITIHRLLI PLVVNSSLASILPGNSELYKNSGMTGNKINAEQLFVDTDRSCLINHFDTINYYIRETT NICDKIKDYSTIFGSAFNKIANQKAITQMNQSLQKVSQSQLLHEFRELGRDLKGSKYG HFVSEVGNNCFSSLRHWYHFSKKRRKLKGARRLLRKAFYSYTSTLDNVNVELRPELRN IQTAAAKLEINELKILTNGDFVFLNSKAISSYMKKRYTKHTAKIMNEHYKAPAQMLKE DFPITIENLKELNSLIKADLEKHKNELESEASMLKETNERIDKMNESKLDKKDTLPFF KSGKTNFVLIGRDFSSFALCQCKIRGSRQFEMLKLFSDLIFQIQHVDVRITGYEMLSH SLKKAIRQMLIIISLNEFAINLLPKVRKGGYQRFYRMKHTTHKALRKRIKKYLLDIKQ DTYKLSVVLNTLHNLLLTAFNHFRYDPELDVLRKSFSGIDTEKSDWGTYSHNNSLLRA SEAVRRRTIEKLVGNFYFGRIRRNFSSLKETILQMMNPQQILSLSQIFKTDLGVKDID GIAAKLHHYLKSIYPSQNCIGVDHGQKLESFFALC cubi_00120 MADQDSGEAVEACLSKEEERISEIIDAFKDASILEKSHSESESI SFASALEESDMELVEELDSVSTRNLPDRKMNSLPQPLESFGNSEIIKSPSIAIPSGSS KLNQGNGGVEVYSALNPFSITLSCLLHEPTESDIIEDDIRYIYLNQILSDDEQLYLAK LRKCAKNHGHKFPHSVWVQGLRFLASSRFHVQTALEIMANNHEFRIKELPITEKDVID DLKIGAIYWHGRDIKYRPILIVKLAKLDLFVGEIERIQKLLCFCLEFFLRYLQIAGRV ENWNVIIDLAGKGITDLPIQVLKSVLSLVNTRYRMRLYRMFIVNCPKFINVVSNALVA AIPGSSIRKIRFIDESYSDEMVNMVSHEQLEESYGGTCPNLTDNFYPFRFYPMNELDK EFPNIHAIIPPEYISGMSIEIPPRFDLKKLPWLNQIPNMNFTKRTADQLSQILDTDIK PKISKEDIIKP cubi_00121 MATNKKSWKRNANFRLLIQLFPAFLFWIILSSGKALSSINGNGK PNANEIVHFTQRKYPKIERDSTDSAFKTDQSILANSLTFQDHGTIPGIQFNPPTANDQ STENSYGSSLLPKSLDSMKVVSSYYPTQKKLDLRQFQKNKHPGGDNREYNSLILDRFL SHVNSVPFDAQSEDNSLSVAPFSSNSNNPDLLFSQLDMEYASKKQELKGLSDYKSNIV SENIYQPPQLLQNSHDSVSKQAQTPTPGSLSSIPPTPLSPTFSINSQPVRSPSPPPPP PPPPLPEQFSIGAQKHQRLDPLFEPIDKKKQDSFINDLVKIKLVSDVIPAPFRKNDQK YEFEFARSKYQNLNNYRMKNLAITREKFRENHVKLDEKASEEQDQKQNQSQNQNASLS KKAEEDDIEMQPLPLGPPIPGTEPGIEGLSHIIPMDKVPLRPQKRQDEWDCITSQVER DKTTWKVERGKMRFNYIPKFSEISPSLFPLSGDAKSLYQNCISALRTLENRQIITSPS NDEVESEFLRTTFCISAAVYCYTETALGDPELQLYWNREVRAITDSLVARDPNVDLLQ VVGESLASNSESRVGSSGISSFDRLPNFMPQRMPNQSFSLVPTKLEECPSRNRKANQE ENDYEGGLAAALSTNINEVVVDDPEIWTMWRAIITQQDRDLNMNLKRFKIFSKDFPTK FSSDTKPKKMSELRNLCVDLIKEGIRENPPRYELLPMAKPNEEQVLNEFCNYSADYYF GNRQWSYIFEFSSNSPFKMPSNTTIVYGLPKYRPYAPFRYFAAETFNEMRINCCSVIY DLFLSKVFPHISTSYYKDKGTSMDKSKLERFCHEAARKYFNKDISDFDSRLKNVGYFD FTSYKSRVTNYKEVSYQSLLFEGAQDLESTPGYFSVGSIDNNLSYTPRFPNLKPTRVC FSQWKAILDQNKEDLKNNVETPTHLPEAIPNEWNMPQFSRATFRIACFRIIRKLYREG SVSVRSSVLKPISTEQNMEKILSEFCLESSKRYFDGLNTDYSMENLLQIQTDTESQWN SVVEAVMRLQESKKSTTIYWIYPVPPESYVLSSFRYNVNPSPEDEEEEEKIKKSFWRS REGEVGYETRCIESLKFYITKREEELMKMEVDTNDMALVELAIRKVCEEAADTFFGAI EWIWMYKLSNVDNINKYATWIPAVTGLPRRRPISSLLNYKGTGNIVQFRDYCFAFIWT LWKSGNEPDLRISGKLYSSGEGNLVEQKVQLERWCTHVANEAYNSGYKLSKEQKKKEG IVDLGPRMDPQTSLSAVEHNNKTEQENSQVKEGETVVFSLGDASSQWKMILSQVEVDR RRNIRRVIWLPEYAEIKEYFPGSVERRHFVPACIQILHGLSEKGLLEWGTVSPEYQGV IAREFCSDAFMNGYEQKDDSSNPISALYGERQENRELLIEFLKFMHKNKMNIRKWILF YLNENLNLEYAITWALNEDNWGYLSDYFQQAQIHEPDNFNQLFEEVRERVRQMGGFLD AGEDEYFSNNINSRENLWKAIYNQMHIDLLLGRQQRISNLPLSPPDIWLGSRSPEEFV PDCKRVITMLQGQTNPELPSSKELTLKEILTAVRVFGSGDKDESEILDSYCKDVFLHI SDKKGLSIFKGSGFSYPGAILEFERNRQWGVIANLATKYSKLQQRDIEGKIGPVSRID KIPGFVRHTIFQGSYDMEEFLSQCEIALQTLATDINIPSNQKVVFPDLQKNENVEDII KEYCRTASEYVFQEKMDHALNEDAIDEVAVLNDLDNVSRRFRLRNPLGLDYKKRWNSI IDLAKKRIDEEKYLRMNRVLGIDSAWLKNVYPEIAGQDLKNAFDSKDNNHLASFILVS YELFSAIMLGKEGNEKSNKDKFQFISRKTLLQFCRDVCYKYFTDEARGDVNYSNQDKS ATEYALILDMIATRPRIGPDPRDPLYELYPEQGEEVPELNSFNMDQYITSRWEIYKKD FEDDNFRNSMRKNIMDSLNSAEINLLNPKSGKPGSIKNNVVWAPTAPYPWKHHIANKN IPSENMHDSYSGTILAGKTLEHMKSIFGGNIADVAKTTIIPKIPNLNSESQNSKPVNQ NGGDSTKNIGGSGRILPLGDYGETAKVKRIKVGTRGGKVVNSKKVDHTEDKFDQIERE YKELVSNNLISQGTGGTEGENEEENIIKGIKPRNRSNPDRIPQQSKRKTRPSREKSGP SSDASISEGTPEKSSKKNAITKEELASSRLADREWTVISQLGKLLPASEGSNLVAGIP IERPKDLYPKSMGNVRAMTEACFSVISNAKFRAISGTRISGKTKEERKHNANFYCRKA AEAYYESIDGDFIPPESLKTLPGFQGLYSPIGKSKATRGRGSRVFLGESSKNPMGKEV GLKPLHKESARIRKSFGPVVGSYGLNTHMHNQSNSLQNTYKKMTGDESIVMGGFALNA KISGVKRNIIADSGNHIREKLVLSPGDDLLTDPDYYNDMKVTNSQDSYVEMRPSKGLG SGIPKPGKLHKKSKDGNPKVYHIQPRADPKEERKIKAQLVNQLNQFSKVAQPVNRNHF RNK cubi_00122 MEASRQYGDTEESSLRQIQRSWPALSDWICEELYCSGLRIPINF GTKTIWGKGKLEASDDEYYRLHIASDDSEPVDPFESKEFLLNSVSKMTSSAIRFGVYH YVNRKEAKEMISESSNRLDESGKHEHSQKKSSKNKLRPEKEAESQKEKSESKIKYWWS KYIRRQKKKKEEKSKESQTPASQNQIDNYEYLLFGSNPNNQPNSTSANFQAPRKLFIQ EMTSNEGIMNSNYDGNKIRPETYVPLEIKTSIFAAYFAAEPVVIHFSKTITRNRRVRY GQEIAVAEAFPRTINNSLSSIILARCDGTISIINQTKGLPIQSTRMFLVITCNDTT cubi_00123 MSEIGEKVELHIYDLSNGMASQLSPMLLGRTIEAIYHTGVLVYG YEYFYGGGIVCVRPEEITRLYGLKPIRTLTLGATRKTQIELNGHLESISNEFNSEKYD LLNHNCNHFSDNIVKFLLGEGIPSYILDLPNEVMRTPFGSMILPMLQKAQKSQAIRSV ANVWNTNGINPSNVINKTAEEFSYLSSGSSSTGINHTSNHSRSSGSSEGTSELSKKLN IVSNKYGWQCQQVLETLETILFNIISNPNDLRYRSIKSTSNTLKNVLMSIDEGLELLF LIGFREKYVEDQLRYEIQLSQPLSREDKEHLEVQISLVRHTLYSLGMAREQGTQKSTY SKSESNTCESGSPIDYVKLLADVKEMGFEDNKKILEILHKTKGDITRTVSILLDEQNE SGKGINTQNIQK cubi_00124 MLNILKVGDNQTIAQIESADASFIVGTGGRTRRKLAAVSGTSIT IIQDDSKTARVEINGDAASREKAYLYLKYLVQQKHGPVTIDVTPDSRDDLTIVNVPAL CVGYITGKKGQGLRAIEEDSGTLMFFSRPEEECPTDSTPPNNGVPMSNGNSQSQYILA IFGVARNRRAAELRVMCYIEQKINGHFTKDLKAESHDNIEDLATDIVHIETEDLSYAV GKEGNTRRRLCASSGAIIEYVGNFAFIHGDLSERKRAKEYLSWLIAQRTSTVSVDTRA REDVTVVSIPQNVIGYVTGAKGSFLRRVEDETGTFIFIDENKGNVLERVLIFSRSAFG RQKARDFIERRMYEKLCGYADNKNFQPNGNGLSGRNMNGIRKTNGPSINNSHFDHPMK GNFGAIPNTFLSQPGIGGFGAPNMGLGRRPRTRGGAPDHHISDKSNGKPAGLYGGNLV PFGLSNGALF cubi_00125 MVGSSKIRTAAALVGGVAVIGALLYYLFRDDSSENKDESKTLVQ ATVTDDIPENPVKGSLDTFTREDMLLLLNEILESQNSLKKIMRSLTGDFIADPPKSLQ DCYDKVKDASPQDPLDKRKISLVDFDRLVERFYNDEGISSAIEKIMGIHGPTSMTERA GNISSEQLIKVNEFMLKELREFVDQYIFIANKDQFDVKTLTIAAQVWVGSKVEKQFNL SSDEIEAAMLLHSQTLRNDPDFGRISFQMQATMEQLLGSPAPANLPFSI cubi_00126 MLEDVEITNTISETDEDVTIFCFCDNSELNGSINFKKWVIEGIE KYLLSESHTNAVSTILIELLKDIKSFQKICINLKNHICDNCLRRLSGAQKLEESEVEG LIYFIGMIFTKTQLQISSIKLVKLNKVNSFLLEMVQNCIRCKIAKIESINNEDFVKHS NIVTELIIQVLSFGIIQISLKNYDDAYVALNYIAKNYPDIDRLLDCLKEAILLLFKAN EPESLTNKSHQYFAKLYLFYPHIIHCMVRSILSLNGIDLNQSPLSSIIIGAPKNLEVY SRCKNLLQECFELNKNFINYEIGYTLSRLYFEIDVNADPSINIMEKLLEKNPFNDDFR FYLGKLLYCRAIEARKNNSELSLVKSILKKVILYIPQSSEVYSDLGVIYYELGKEEKA IWCFKLSIHFNPMNVNAYDSFGVILRRLGFIDQAILCYERINQINPNCINTLNVIAAL YGNIGKIDESFEYFKKCLEIDQGVPDVYNNLGVLYRDCGNFLMAKNCFLVALNLDPNH NMAFQNLLYILNYFIPLKNQKIIGNVRKNSIELIINNLKHSDKSLCLDISSTPQSYVC EPPIWINNSDYYVNYRDMYDLSLEWGNKFIEMHKEIKDRLDKLIPIPKIPDIKSISET ELINIGFVGAEYFHHAVAFFILAPIKFLIENFSKDAITNYSTTHVSKIKLNIFIYDNS PHHDYYSCYFKELVSSENWRNIHGKDLECTSKLIRADKIHILFDLSGHTVNNCLSLFA LKNSPIQISWVGYPNTTGLRHIDYRITDKIADPVNSKQRYSEKLIYLPNCFLCYTLPK IQHPPISELPFKKNGFITFGSFNRVTKLHPLTIDLWGEVLKNIPKSHLLLKSKAFSSQ SCCNFYLEIFKSKYNVEPHRISLIPLNSSYYTHLELYNDVDISLDTFPYTGTTTTFEC IFMGVPIITLSIDQSENSQVDSSDIYTEISSFHSQNVGKSILTHLNLKELIVSNKNDF IRVASNLANDTEKLIYYRSNLRKILSESKLCDGEKFSHDFFELILHTIACHDKNLSA cubi_00127 MHSSGGSQVWVGNVPFDATEDELREVMNSAGPVLSIRIVHDKDT GLSRGFSFCEYRDIETCIMAIKSLNGYELRGRSIRVDWASQDMRSRYNHLVVNNSSGT APVTATAQSGIGIGQQGTEALHHPISLTTSNHVQQIQEDGISIPSIPTNNANFDNISS EIIHLVQGMTISQLYYLIGHMQKLVVQNPETARSILLDNPQFCYALLHAQFILGMVNE PFVPLNQEQLNKANSIRTQVLNTRNDNTSINIKSLGGDISVLIEDIASNPNPALLQAL ASIQPNSVAQWTEEEKSKILAIQQVLKNRGLIN cubi_00128 MGNKISIDDSIFELKLQKKELERQYNKRDRDSKAERKKAKDALI SGKAELSKIYAENSLRMQEECRELLLMVSKLDRLCARLEKASSKEKISKQLLDIIPRL KKQLSEEGVMGYSNMGKIKEITQLLSIIEDSQPEMVGENAGKEKCMHTEDSVEKLLDE LINEHAIEIDLAISSKTEITELLEKKSRNKATD cubi_00129 MKQSLASIKKIKIEEKCKKEGQLDINCNLDTDIENKANYMNSDN YPSLFDGSDREDEELNKGFKRLVRRKRSARNVSDSSSMSSPSSMDSARKSNFSDSENS SQDGEIIEEKETKKLRELMQREDDALSFCLDISLKMKKGIVDFFVGSNAEEDAKSQIT DNIKNGHCNISSLTDELRKGEIFEYINSFGDTRLKEYQIVGVSWLLALHQNSYNGILA DEMGLGKTAQTCVWLQYLFDSDKLLRPVIISCPASLLDNWTKEISIWAPRLRAIKYHG SQKERRQIADQLFEDYEENKVDAIITTFQMLSNKMDINMAFKHFEFSYMIVDEAHNIK NSASQRYKSMSKKIQSERKLLLTGTPISNSISELTNMLIFLMPEVFNSDLLEDAYNSY KRQISKRENYKDNNSEVLFLQQIIAPFVLRRSKQDVLSCLPKKHTFIEFCELTKVQKK QYNDEIGYIYMEKDSFNDPKDNMLEKTFKQDSSNEKKLINLIQRKIQDKVNRHFGDST YENDKECEDSIDSNDIIVVCKDNDLELEENEQVKSQESAKHHYDPKYVNSIIFRMRRI CNHALLHQGHYTNKQIEELVDYLSENVEEFREYPRIKVEQHITQLCDYEIHQLVGRLL TMKNSPVLQRFKIEDDLIINGSCKLMKMNEIIQSTVIGNKEKCLVFCHHTMLLDIIEE YIKIKYNIPNFYLRLDGTTPILERQNMIEKFQNTEVPLFLLSTKAAGQDRETSRGQSS QNWPK cubi_00130 MAFSLLRKVNEKVLSSVSLLDASLDVDYIIVDVRDSITSNKPEK VEAGINSLVEFGEKNRNALILQREWVQLVFWVIDWMGNDINLNILSSILQLIIRMMND NKGNSRFISCCDLPDLFPTEKNPIIVENAKIIEEIANVSKGGTQLLETLRHINYKEAD IYVKYDIVKILSTIQKSGLGNFKLDQIILSQGDCMGLLLELITDGLNNHSSYLQNSLE LLMFLTKSNSEIQKIITYNGSISKILNIIQEETQQFIKKDGSHKGFINSDDIINEFLL ITDFESAIYSNLEIIQISVEIIFHITKSQNCLKFILEASNEALSFLDVLTDLIGFCLL FLEYSLYNNEMYNVDVIEGGESLLGNEQNLILASIILRVVEISNSYIQTMNIENALNK TQKMREILNTMIASPVLIKFGLLEKVMQFIQTFQDQNQIINPEWCSMVLSSALTPELW FGFNKILSDYRPTIDYITENKSSEIEQHAKQIQEFKEKYMNQMENSLLEWFGIVTIPK NFSLNLLISRDDSVFKLLINNQHFSSFSLNKLGSSQDNIFKDFISFCFWFSVTEFRRL HNKSVFSRLEAQLAGENHLQDSQEDGSILNLTLIFHYRLFRCIQVLQVLVLNGLGSSA KIELKINDISSLVNNIWENGQQYDTDKSINSSNSSQREIFEKLIDLGRLMITDVSKAL ESESNVLFLSLIKTYGIKEGDENKPTNKNSHLFNSMTTLTQIIIFLIALNVKNLDVEI PNSFIQSIKLLDEKLKNQLNKDSCSLISDVISVLLFRFGALKNTNILNQINKRFKNHF YDAFVINKQTHACILHAILYCAGNRGIYSKEDLSFKEKIDPEIFDPTEQDISLKNDDE CVNCIYLSEKMLNERKSLIKIIKKKQEEINILVKAYMDSQEALKKTSLNQDEAMPKRD GSRQKNVANEKMIDTSDYFALLEMVGILYRKFPVIKKFVENHIMLSSQLKSEISSYSL DEDTQNIEYEYMTDSEEVLLEENDQNNENNTSIMFGEDTQDNLINREARN cubi_00131 MWVFVQKASNSKILKLYPLLLNRSSTCRINYLGGLASSLVRSRT FIFSDSKLSGCCMHAKPISTYIDKSLSKENVLVNILKKSRFIQEKDSKNIEILTKYLW PKNKEYRRRIIFSLISLGVAKLATIQVPLLLSRLIDTVGVISSSDLSKSLTSKLNCLL LISSYGIARISSSGFNELRNALFSEVSQSACRDLSLNAFHHFHNVSNLSFIQRHRSGE LLTIITRGFKSISKLLNIMIFQIIPTTAEFLMVLGILLHKVGSEVALITLATMIAYMD FTRRVTHKRTIYRKNMNTSEQKSNGLLSDSLINAETLKYLNGEKHIYDLYSNYQEIYK NSNVKVQTSLAYLNFGQNFIFTGGLLSAILLTTNKVLAGKLPIGSIVLVTSLLFQLSI PLNFIGMIYRETKLTLIDLSKLNEYLAINPKRTKNSVEKQTKNLDSDIKTLDLYKELV RKETDLSSEKNSIQLENVSFGFPSTVGNEHTYLEESDTSDDLVLNDLSLEIPLGKRMG LVGSSGSGKTTLAKLIYRIFEPNSGKIRIFGKEIEELEIKEYRNCFSVLPQDVLLLNM SIIDNLKIANSNTTLDEIISACKLAGVHENILKMNRGYETVVGERGCSLSGGEKQRLG FARMLIKKSPIWILDEPTSALDLTNHNFIIKMLSFLHGHSVSSTNSSDITDKDNTRFK YEDIFNLIPYLKNKKEVESVTKLIEEIVKLPITIILIAHRLSSVRNFDSIAYLEEGTV KEVGNHDQLIENKMQYYQLWNKQYIGSILK cubi_00132 MKHNKSTMTKQFEVLYTRQKTQKTKTWHDGLVVIDNVKGINRIS LYKTDENGCRGDVVESFSSHIQEINVSKIGFPSHLIQLVEKEEAKVKIGTDEAVTSSI TNKTLQKSLEDHDDHIRSSVIKKNLVRPFKAPLLKVNVDIPKPVQVKASKKISKMISL NKISSKQGIVKAIEKIYTLCN cubi_00133 MGNESSGISEQEKLELIQAASFTRRDIIRIYSRFKALDTNQNGE LDPHELFEMPEIADNPLVKRVISIFDTNKDGKVSFVEFIIGLARLAVGSNPEEKMKFA FDIYDVNSDGWISNGELFKVMKMMVGDNLEDLQLQQLVDRCIIQADKDGDGLISYEEF CEMVAHLEINTKLNLQFQF cubi_00134 MAGTDDILLENSSGYDKFRRKALTILPVIFVVTIIMCLYLIYTF YHIIPLIEEDSESGIAQVVFFNIFVLMTLICFVLSILTKPGEIPNTPEWSIKATEGRA RSDLKSKELKSNGERRYCKWCAKYKPDRTHHCRVCRTCVLKMDHHCPWISNCVGWGNH KHLLLLIFYSAISCSFITITLAPTLNTSLNMSTIRFGDIVALLLAEILSAFLVVVLFS FFFFHLWLVFNSMTTIEFCEKSRSTSYTNLWFKGYMHSFKQVFGSNPFLWFLPVGNQV GDGINFDHCHRLEADVQSNDFKGRSNTTSNMNATVVVDCQPKIAEESSLLLSSEQESN KIGDESL cubi_00135 MLNQEVFNSLKIPEYCLKRRGVKGVGELEKSEVHALTDEYWDSP RITPNFGDENCNSIINQKLSRIPRIHPITRDKLENFGNTSGSPKPFFGTNRELSSIIS KNRICNEDYIESFNDEDTLTPLASSAARYRLYDIEWDEKSKFEKDKENSKNVEIEDSM KLEDADFCLLDSIGIKGLKEFETHQGKVTVTEDGDFSFEFSNRKKLFTVKGGGCFVVV SDIINNIRNISVNPSFESTGIASQKSNESKYHISELPPQHLKRYIYGVHLCETIKSFI PKVKLKIPREGTYFLMSNHSTFADFRAEFSSVLKDLVLSVHLTNYQSTIIFTSKSGKR IEFQKYVLDRMGVYEEVDQAFEEIKYNDYLIKKSYEISECGIKWSNIINIWRLILNRL VDCKNLELKGLKAYSELLISSEVDLIHSESSPFGEICTLDWHIRKNVFENIFPVQVEE I cubi_00136 MEEVVDLIFSNNCGYELSELLLCVNKYLNGKKHNIKLLEKKLLI DYEIFAINNLEKIEYGSLRYEHEDGFNILRANNKKRIVGKYQELSLKTITKLFYIKNN GTVKLVLSNMSCLYLILRLLMLFISDGLRLLPSFEEDFENHVREVHSFIDTLLKTKEI NNEIEPDKELISEVTYAQEFNALISGLIELTTSIFLSFQESTDNMLRIILDFFQSTKI ITEKKSKDFQRELKKKLIESCMTLYSKSVIQYNIENLNLNINFVLSLAEEFIRHEDDY SIILTEILVTKQLFSIENLLYTFYLDNDSDNEKIIIKEEQYIRIGITIKFLHLTLKMI AESKKVLEKLIQREVLTNIIDYVILARILGVESSNEQAFESKLKNNKITSEYTKIRKN NIFKDKDGCYTKLMISNEGHSIEILQKLFIAFPILFSTSKKSTFKVVNHIHKILHLQD EIYDFSYFSLLFPVLCETCCTVYADKNMQLMLMNEILELFQAAANIMDSSLKKSEEHL STYLSQNMEFLSIIHITSFTYLLLLDIHFLANQGSTKLHNSKGNLHAHACKAGTFLSS FLIWCSKYEENYILYYSAYCITSNIKGILGEGLLKSDGWIIESVKYLFFIMTQYCLSI GKSTELSYLESYQNLETSKIEKNEFLINFLRGSVLSNKQLYCFICASNIIDSLSEIQE DLVNSYFQSKIKEFNQLLSNIIGEVSDNKKIQCGYFVNFSHIKNIFMFLPFKVLTKMR KSIDLIFRNISLLISNFDLESKENLTTIPCVDLEIFLYNSVSSLYFILTIKSIFHYNI AQVENQLDSEQEGEVEEESNLENRSCFVFKLFNNEIEKINIYFTKVLEFYEKKKIYDY QYRESFSMFIIILEYMASIESKLSFSERKIQKHLKSFNQNNLTNFYKNINLNDNPYDN RSVRNENIPYHDILALIRFGMVNNSLNKHSFKYNDISSSLKSLRRFTFK cubi_00137 MPTKESSKPFDINNFLAEFRSQISKRKKRLQEQSSSRHLDIFAD NRAKLMVKKNNDSNNTSDIKRLIEFAIDRPSVEGADSPLSPFNTDLLFFNEDASSSSI YFEWSCTDCPLYMPKMCNCGTKSEESSHSMICSNESCEGLLKVPYSLIQIPLNRKINS VQSFVSENMGIPSSLLIYYGKLKNSLLLVLFDKEQERSVVKALYKASDSIGCIALYDI FPDNNKSRSSRRPYTTKLKYTGKIHKLYK cubi_00138 MEIAGVDDSTFKILVFTDTHAGYKERDGIRSNDSLVTLEEILIV GKKLNVDLILHSGDLFDVAKPSKYIMYKVMNIIRRYCMGNKKIKFRALNRRDLSNING YNWEIGDANVSIPFFGIHGNHDDPGEEGLLSPLDILESARFINYIGKNSNVDNIEVSP VLLEKGSTRLAIYGIGNIRDERLYRSFERNKVKFLIPDNNDSEWFSILLFHQNRRKGN FGGTLSKDSIPESFLPDFLDLIIWGHEHECIVDPVEVANKNFFVLQPGSSIATSLIAS ESLQKHVVLLEVKNNTFKTTPIPLISPRIFLYDHVVLDKNITQVEEHLVARINELIEM AKVEHMEKNKLNLPQIPEVQEVIKNNSMELPILRLRVEYECDSQLINSKRFGFQFVGK TANPHEILMFTRRNRNNVKDLEQEDSIGLNSRMGSISFSTDDSNMIESLTIYYTEKLN GLEIISINDFNRAIDMFVNKFDSHSIENCIINAISKSNSFYKNNKGLEINEILQKVKE NNKFCKNELSSNKIDSLMSEEFSDLFSEGEISDSSDCIKQSKRSRENKNTTNRRKSVK KGSIDGISDGEWN cubi_00139 MVLINRWAVFVYLLLLFLGSIKSEETQINLTTQVNNLDRYEFIA DDLLSRNFPYHKFRDLKRRSRVIIEANNRMLHVFEDLLSISDEILESFSEPSKDSFFL ESYKPISLVPNPLIKFLVDHVEKMLENLPSSKPISYYLDNEVIENYTRAHRELLNDYR VLSTRVACRLLITSILLSSLENIKNREHSIEIGISYPFLDDIVIPPKSTAKSNIAKVL NRILNSIRNFGRRIKIGIKRKVDMDDESELVNLESQESNEKEFISNIYNHRQSCNVSI FGRDKVVFENNQEVLQVIKNFIGKQERNSNTLLQILEKVVKEELGIENFKEIIWNIKT KSKLTRVCRNSHVLEILPKRMKLKTCIQLFNKVGEILTGFYYKDNEHSNLAGRINILK RIRRNSSLKFIQAILIYYNVNEKLLKDQVAKASMGTLNRSHRTRYPEILDSEQ cubi_00140 MNSWIVPFIFLFLSIIAVSEAQIKFWSSKRKENNGRYKYLLDYF VEMSKFTSNVELVNLNSKLESTITFQKRIFYKEERVQHVCENSLLRLLKRNMADSKTF SSEMSVLRDSIHLWTKNFRFLQESDEVRTFKEFISFLDFINTKKFEIDFCIRLMNSLQ NPQDNITDNKDSLKRKKNLCVELRQEIEDFISTKLSHHSFGVLKQHNKNFEVFKIAAS SIHNELDQAINQVNKCSKLFNLILFLDENRRSLYRSKELEPYFTLKDFVDKDALKSID RNILSSIIIELQDYLPENTIFPSSPLSKIVLMELNKANQRLKRLGKCHKYDHILVPLK KKLIYMMKSVASVLILYERSFEILREILMYVSYINIVYDKNMVGLCSNKLEKNKNKLD SLSLLNEILNDKEFDSKFLNKTKLYPTKITLFQYSKTKSRKGALLENRNTKGLPFFPD SRKVAILEEKFSDKPSITEIQKLIQHINLLSNELDHVYIYISNSTNIMLNSLEKLQFT NKVYSEIIESSTKDESVPNSLYSYSYLLSLDITMSLKKRLRQIKKEDFEKRKQTNNIM KSVDVKPELRNELEEASEEAINKVLNIISSLRQTVYERTQGSGLKNIKARLPTTKKKL RKEVLSPLTSIEDHLLNIKKSKIDANNKMINIEKQVKLIQNSNFQMESPDIISKLEKY IKPLNLIMKCSVVKVTSEEFESCKEVISQAPYLLKHIHSLIKEKNETILNQREIYNKT NAKLESLTKEWNRHLNWVRDIPKLCNDQLPTMNKLDSNTRMILG cubi_00141 MHDRPRLNGAFRRSSSLDSFDSSKRNLGWRLNQGNTFSNSREEK PIISSLERETRAWERLKRLSLSIKSVGQGVTSDDKFPQNKVQVEQILLYINTGAKYNV KIYEKKDEYARMVYELFSLGGHCYDIMMSSFKNIVSNPKVNGVIMTRMDRLLNFLSFI QDLHKNYCDPAVIGIAPKQRLQSGKSINRTQDDLLRSSAYIYNADSKRSNEGEKIIQP NKKLTETLSRKIAQNINLKKSLLERYKIEWKNKNFLSPENPKNTYGHIKQRSLEGIKK NPVYGDQILSREPVGLESYGGNVRKIFESLQYSRKKIGEDKDGSRLLSNIQISKDFIS ESKSENSLKLEKPNLSFKKSEDQRIQGLQRLIEKLKEVKLGSNSHGDKFVEEPKSSSI CLPYFNKEARKVNGEYSNSAFDPLKAESLIQTQAEFLNVLNKNIKSEKCLPEKVNDES NSKESTHKEKRRWELDTPYIYYRNIELDNRLNPENRKEKYNEPVNMDHCGNTEVEQTY IRGIPPVKYEYSKAREPVCVTQHISPESTPNIISQIDQEKIERTIKLEQLTVLNETNE EDQFDDFVTPELVSLCSEKNIGLESAIPKNNSSNTDIQIKTINPALVHVPKNVCIDVT QETFAKDKLCNISNIDSAEDTSADLIGCEDTLVHAIIPDIAFDEIEQTLERRHDLENS PLKPIKILKDGETAVEEISKITNKLEDMTIMNESLIKRIEDLPIENIVSNENSLSILN SYIPSSEKNSNINEKAISMSRISNIEYFTSGDEELRSKIEEYNYWFENASSGNHYELS LDGLNLEEFYYKSIESIPRCYDELIDMSESSIYNLCKIICNTLPRVVIEQVKKDTVNK AGENENDVGIEVLAHYELCEENLLSFVHEQVMKDPSYLEFRSSIRREVYPPDDNFILL IIDLIRELVKEWRYYVLNSQKMQITNELISKQVVDILSNSYEPYLSEVSPEEWVLKKV FHAPRYPNILESEKELLNIEHLFKYHSCEDSITNEYINMDRQQWLQTSNYYLPLLNEV IEQILHETIGSAILDLKTH cubi_00142 MEFSSSEFLEKVIPCSEKLEILLEKYISECVFWNEMDQVLELSK QKIKKFSEELSKIEISKTDIIHSIMLLLIKREGLNDEYKINMKKDRQILIICTSTLFL VNSGYFDIVFWLDIILDISGLFKVHGLVAFTRWICGCFEEFNWSISNPGVPFDKRGLP QEDFGSVTQQTPGGDLSPSVAAQRQLAQAKFVGLLKVISRRLIGYHCLIEAGMLRSFV FSILPSNQAGICRKVFTPRVYSSLYIDEQLESIFEASTLIDDLEAYSDNDTDKEEGEM SNEKEDAQPYLVKSDFKHLLKPSKYVKSAFEAYKRVDNFFQTSADFLNSMIDNSETLS SNKKKQIDDICKDIEIVVSYFEDYKCVDVQDPVIYFQRLNSILEGIPSSLESYYFRTN FAYRFSFIVCCQLNQVIDGCKHACEHMYNKMVPLLQRCLGSIDKLKNKNLPFVTLFIN QMIKYEFIWQVWKNNNCVDVSIPLEKLKLFGITKFSTEERYDCKKRKIEENESVTKFI DDETFNNYMYFIHNYSNSDCIEDLHSVKIRNVNFPNFTTERELLYLDPIDLDSYSSKS VNSKIANKFNDYKEKILIDLDPNNEIDESEKSIKDPIIRWRCNRLHKRIHLDCLNKLS DIQLSINNIESLIKNCEIEESKEVPLETSKFEFLSIYPSPVNN cubi_00143 MFTYSIVGFNLRTEETPLTKKLEYALAFILVLQFIIVILRVVTL TDVISTFFEIWMIYLGYVVYTEKPPCLLFLFISISFVRGILLLLTTIERLKRNAIVSN VTMFSKVIKFSDPEFVEKLRIIIFIASPILSFLACIISYYIFKNIHVVEEIDELLNQF INPWNGSNAEASAGENAANATNEAGIPSTNTNINSTSAPSHVIYTPFTGKSYKLSDIS SSSSTTGKTGNYPIYKGA cubi_00144 MQKRALDKNTFVQEDYEEIEEIGRGCFGTVHKIRRKSDGRVFVW KKICYENMTQQEKIQIVNEVNVLRKLSHRNIIKYIDRIIDKQNQQIYIVMEYCDEGDL GNILKKKKLGISIDEEDVISIFVQLLDALNYCHTRNNKVLHRDIKPQNIFMINPSSSE LIHKIQEGSRINCNIDQQEQKTTIVKLGDFGLARYLSGRNQLATTHVGTPYYMSPEVL GKGQYDEKSDIWSLGCCIYEILVGRPPFYARSYDELRKYVKDGIVPDLPNCYSSELNS VLKLMFERDPHKRPSAEEIFNLDFIKYKTLRLSSKLDLYFLMYEYQKILSYNHYLESL LLKSDQISKKITSEESALDASIDSSASTGITCSNNENISRNTSHLINEECRKHSNKSS LQRKTELTRNFLIKENEKLSYITPKRKTSVYNVRSQDNAPGSADIFHKSNSKICTHSP VTAPTRLNGYRDKCYPKKKSINDLKDQNFYHNRRLSITGNESNIEKCIQTPIYSGISS NSSNSSANEGNVRTSTATFKKGDDIIFESEQRLSRWIQRFHSRNKSSI cubi_00145 MDGVENKNHVGMNCSLDDLIRKEAPKKASAKSVRKSMSRGKPVV KNKKMVHSDRKFGNKRSNSSIQASSGRSNSSGLYRGVYKKEGKSQSLHMRRKKDFQMR TELNTNDSIPDKYCELSNFNERERIIKMIRLEHKDEDFTLQVLLDSNPIIIVNKITGV IKLNSFNCRTSSMLDVWNLLLKPLGLSLQVVNMNGDCTNWSITDGCCYMENFRDGMIV RGSGSRDTARNARFSILEQHIRQLII cubi_00146 MNLSFSLVLILFIYVLGKPNGCEAGLLDTIAKTVGTYYRVFYPE VTTPRKDYSPDFELQDQLVVQSQEMMKSLVNIKNNITQIEDNWAGIKEFAELRRNPKN IFAENSLGIVDNLSEVISKMSLSSAFKNMEAPIVFLEPPSIAIKQEEQTGTPCMTCVG RLSLSQSEFKIFKSEINRLKRVRAKTLQEANQELLEAEALAKETLQQRSKIVENIQKL SSVISLNDGVYGADNVELKFLQSDLAIAEQKHAALLEKQKTLAKKIQELSSKKKSFWR K cubi_00147 MVFGEKVHFVKIFVILFAILIKIGVVNTDDNSGCPIGYVSQGDK CRLCESGTFSNRETNSCMPCGEGSYSENEESANCETCLDFQVTSAIGSTKKDDCFCMP GYKPTNLRDETCIQCVSTEWCYKTTPTSGGFWNVASYCYSVDLSKFSKESTTYLLCKD MSLMSSKVANFLLPCFGGESQCESLIRNSEAIADSSINSLEIARCKEGNAGILCDDCE KGYTKIDGVSSEIYSCKECNLLNFVAFLISNLCTLGLIVYSVWVLRLEPTANEEEMPI VQITIIRTIIQHMQLLGLLFKTNTIQFEYFPFLSVAISYLGSNSSFLPLFQCIISKLD IHPSGSEALKLYSIIICTTPFLLFIFAIIVAPILKYFRQKEVSGFLLGRQEMEGECLQ FQKSFFSWFFSVFIVSFFFYFSINLRNIYSILSCVSLNIDIHNPSDSLMGSYSMPENI NKQQSVDLTPGSLLVVTIAKDNICWSHDHWVAIFFSLISFTFWHILIPVIYSLCIYFD TKNDKIQRRRLVYGWWTSGYENTRMNIWEIFTYFGQILYLTIIFLIGNIHTFNYVVAY FPAPGGSDYEALIPIYSPILSSFCCIAVSICLDSIYSFVRPNDQDLLRKSVKIDISSI GVEDELTTEEQKQKHKVAYGGGLSSKQSSKLNWNTYIYIIHRMSSFSIIIAIFASLLP RLNVNVENTIEELRETWVDSVNPNHVPLGYDDFAVKLISIFSVFINYAFVIVTLFSVL GIKIYRRILSMLSSKTKMETHIQSMSDFVNSSESINHINKGTKGNGRISNIENVFQTY HDMNKTSQIGDQDGERVDDEVSIEQLIRMHLRTDCLSFLSNEDRVSVVVAIKRCDNRQ EGLAISLRATLDSRFGESGKYTVIENNVFICESLRSYGFSDIGMEKLIEDLLDIHISI TNRIKSVSRNSLKMALDLCIINYPEVAQKLGMEQIVPAELNLNDMNEKSNIETNNNVE ALYSLHLRKLLARLSLNALILDISDNFFKIPRSIPISLRLKLNRGTGLLFSESNLEDT GMENIKLNHTLINEEITDKGYKVPIPGYIEEEYNEPRSLDVDLMLSIKKLTEGDPRTV LEETNYPLAFEKATRSSARSNKILETLFSLIEPEFRSQFESSLELNKGLKDGNRELDR NQDEDIVQLLLGLNPDENIGSETILTLLKDLETASNMSLPDYLGDKPHFPESEFYFYD ENKDHIASKKVGLFVKNSKDRKQIKNDLDDNNKITGSKNKMSLKLKNSIYNLFLLRVL DLKSWLSQYRKQYIEETNKKMENLRQYNYLNFDNNFIVSQEKNIKNASNTSSSSANDD NNNTINNLNTKSNELDTTSKVSSSEKVSIESKENLKMMTDLISNLNRYASPSMLFYYL QLCKRLSLGTDLTMTSLIPDNLLYKGVISNEEAIRLYREINPSLNFYDNPLGFDVATI HEFSALNTPNLNLKRELELTIESQREFNMIFPSALPCIHSIFWIPFDIYDDDNLWIIQ SREREHISQLLDILSKDHPQIVELPYIDEEIMDEKLECIEFDKNDKGLMKQTLNTLLP QVSNNGGIENGSWLWSELPIRFAIDLNGLSIRKLYSSSNYQKSIYLSGNPLSVLGPQS PQWAYMSHKSDRINYLNGVCTLNYSINNNTDFDINTNIGDQAIIVAPGIEVKGTSFTI EVWVKLPPLFEKIIEEVKGESDSEVKSQRSKSKSRSKSKSKSKSKSKTKMEGKTSSRT KNKKIDVSSNSKDKDRSSKNLSKLQKKLAGIKGNKEDDEESESASVDGANSDASGAQE ESGDLNSNNVKKKLELPTLQVLCSTDAMEGLFTVHRPTGTIGFWDSNGRFLKCVIKNN PKEINKNEMQFKNSSFVTSNIATLQSIYSQLGLIKKSTYCRETNQDIEQFIDYNEDPW ILDPWVLVHIVYNMGSIKYYVNGRYIGSIRKPNGLKGDISIIGGGLESGSGWGYFSQF RVYGVHTSNEQIKKRYESYVSLNSDNSFITKINKLTSTTINWALTLWRSGAVGFFIWK YSQINLKQNDITYLIELINRKNNVLSNGDIVNELEQGDNNSKNGILLFGIIIRILKGE RSFPYYYVYEPLPYIENVRDDTNEQNQSSNKIKLSSIKYKMTSITSQLGYRISEDYKK IKLDNEQVYRMNPLLYQPSIVPGPGMSECLLLAFNTKSNNSGLLITPPIELQKKVVNK NKNKNTKNLINDDDQKRKNNNLTNDSLDRENNEKLEISELYNGWTITIWFHYPLLTNY SYKINNSPNNNDDIANNSKRKSTSDIDHYIVLVTGKNDSHVIINEKMDVGVYKNFSHI SNNNDNDNNNNTNNNNNNSNNNNNNNNNNNNNSNNNNNNNNNNNNNNNNNNNNMNNNN MNNNNNNNNNNNNNNNNMNMNMNMNINDHNNNFGNNNSNNYNHKNISHNANMNISIGI GNNNSNNSNMNINLNNIHNTNNFMDKGFYSSGLNLNKSELPIGWHMLSVVGKPRMEYI KTEDGKERNVIGGGGLGFTEITKPIQKNINLLTQYRWCQEFYIDGQIMGISSYCTHES VVMIGNSLFLENAFGMFTCPRIFNRSFSPMEIHTDFLSYNYLIKACTWNPRDDILLQF DYNVITKEFRVLENPSNKLRYIYNVNSNYQLNKYDQLKNITRDKRKKKKKQSFEGNID IDDQLYWLDEMECQSPLFNYIETFLDNNSFDNESKYILAISNSMISPTNENICIDPTQ IFILEKKSGYSTEGGSVLLERPVRLSKNWSCEVWFYVPFEITFHPYCLISNSDGIGFI VIGLNGELGSIQRKVTNMYVNDVITRGNKHDSIGKKVHEKNIGNNRLQFLSWDINLRD HINVGWYHMVVTFNTTQHNTITTYINSQCIGKKMNVLELPHHQSPWIDCIGNLKTSKG HYIAPFGLFGHLKIYDFALSTIEIGLLYKNWLCHKNLKKEILNRHIKQEKSTITKIYT LYNNIIKKGEKNIEDQNTKNVKKEKASDSDSDSGSDSEN cubi_00148 MQEQNTIEQFNETVNPISELESLVKDPKCTQDLSAETDGLIDKL EKDGVNEMSFQSAVEELLLLEKKCRQVSDSNSSCKIIYKIISWLLEYSKNIDESLSVI QKICKKRSQLKKVISYIIQLFLNLIIDSIIVLSPNFAKYNSSRKPIETMAISEESYSN LSYKIKDMDECLKIITALSDITQGKIYLELERARLMLILSNIKQEDNDLKGASKLLED ITVETIGNMDLREKTQYVIEQMRLSLLCRDFVRLQIFAKKINPKIIEKFVELKVIYYQ YLIILWHFEQNPREISICFLNLLNSITNFENDTENNYEKLISEIPEYMKSPISQYNLS EKVPTVTSCIEGYIIYLILGPYSTTIREELIKFSKDYQKHIERNAQYISTFLNDYINN ELIFLESTKTFNYTIPKYFSQLSNCFFFSNSDNEINNDAYYTEYKRCKINLCSKKDRF NLFMQRIQERNISVISSYYKTISFQRLQDLLNLDGQELQLVVNHLVERGIFSAKINQP AGIITFTNNSNTNGQFNQFHKNIGEILNKLDLLKDLISNDMMVHQFNTKVNIK cubi_00149 MENKTNRIQDLIKEHQSTLKSQRKLLDNYSKNYNDQSSGVEDDV DRIVNSILSEGGLSDSLFTGNEEQLNGLNLAVIGDLSPELSEEYSRVPSSSLKKLIGE VDVNILETTKDTFDKGIQADLVQNNQLCVAPEHYSGLKNHTGGGSNKRLSISIPLSPA DLQQSETVPDSGKLSGIKTDTNQEKYSPSGGSMIIEENNNEILKSSEFMDFFSRASRL IERALGEGAVFDPFFNINSENIESNFISENDISTKNPGNISISSTNGLLKNSMIFQHE ISSNRPVMNIKSHPTFPEYFIAAYGTKFPQNSSFEGMMQSTGSGTMLNYGGCVQLWSI STPKKPENTFVASSPVLTTSFDPCCQYRYIGTSYNGEVLIWDSRNGKIPCQKSNSINI SDSEGNNIGGHSFPVYCMELLGNKGSQSVITIDTDGKLCNWNLTNLSEPVESFQMRKT NSKDVSILCMTLSKLINPNAIICGSEDGSLYQTMIRTNKPGVISSTFQNAHNGYITSL DYHPINDCFLSSGADWTIKLWTPNPLANSFTLLYSFESSENYVIGVAWHPVHPGIFAA IDADSRLIIYDLTNPNCQTPLCKINTSCSTSTNSTPNTSDIPTCISWSTDGTRLFIGY MNGNVIMCNADSKLYQPNRNVWDLFNQQIETFKNNNSVDLSSNMENKDEENVE cubi_00150 MTASERLKQILEEDSHELSFENSEDLEESLNEWSVNGNYDVKNI RESNLNVLHALSQREIIHIMDRVLGGDSFENILEEIAKEYLGDEWSASAINKREKNSR SELTSRSETSDNEMVDAMQEFNDEIYSSEKDFITLSQNVPLRIDHNERQLLKLVEGTL EVSKYTDRVDIVSVPRSKMIVNEIRQVCAVLSGLAVSFDYELGQRLIKDRNFSDNEKF FQTVFEIARRYKMLNPEMMRDSYGKLIFLLMDAQKENIRELLQFKCYKPVLTVYEFLK SRNCLDILSDPLLSIATRNIEQIDDINVLNGKLTKKRLAIKELLRRYASKDYTEQSSK KSTSRGFYSLFMLGRSNQTQETEINNQSGIVTSTGSLENLEKTQEIKEITVEELEMCI YSLNDHDVFLYYNKRPIDKMIEYLTTFFNPQKERSRELSLSLVGDPNSSARLNHDHSR QYYYVLQSLTMWREVLFNMIRLWRLAEDDLLDGNNGYRLGDTGQGIHRIQSAPRLYRA MCEIISKVQREVGNWVGSSVIHLGDRTVPNALVFIDKYLQVPKILTPIVLCIEKIDKL SCSSVHLKIFIENSFGGVLSLKQQILVDFFKHGFDGGGADNFFDAGSCIDGRLTSAWN WCSNIEKKPYFPIFLLTGFVGFDGKF cubi_00151 MQPNEQCKQGELGLLQSGSYIQQYQYSQTNSPTYEDNVLVYDDN SVDNTNMLFRSDSVFSENNCISGYTVMRSSSLTNIPSNNLICDISHIQSGPARASRNS TIRRSSTSPPPHMNHPYSPNSYHQSSPYYSNSPVSSPRSLSPSSSRQSPQLGSEQSRA ESGLLLLTEKVIEYAKQSPKYEIDLQTVENKLGVPRRRLYDITNVLEAVGLFTKPRHN IYKLNMDISSGILQDEENDENIIFYTKSQFELERAISNIKDSIQDLIKAGQEQGLLYV DRETLSKLCPVNTNTVVSISTPIDSSIILNPNQHSYQLLNSILRTKNSHTESSMISVQ SQILDSHWSILLKHQSSQLNVDVINGHANILEEVKQTMSPMKLPTQPPHTNPKNIVDK FLY cubi_00152 MSSSRSNSPYDTDRHRGSYDWEDGDRRRAADTEGRRDHDYDNER TRRREYYGGRDRKRDFKRGSVENSRNGRYKDDENTDKSKNDDQNNSQWEREKERDRER ERDRDRDRDRDRDRDRDRDRDRDRDRERDRDRDRERDRDREKDRERDRDRDKERDRCK ERTRDRGYLEKKRTRGYRSKRRSSSVSSDNSDLPPRRYREGRIRHNRRRRRSVSSSRS SNEISSSSEYERRDRNYERSRGRERDYYKRNRKNYFSDESSPERTYRHREIKYNKRQK NYYSESESSSDSEIDRSRHKRRHESEDYDWKDRSKDFSVDSQKEENHSSKKWKPISSN SVEKEGVSYSSKHNTDTARSGADAELNTHSNFEETIPENSTCVTLCERLMDIRNMSES QRCNKSIAKLLDLILDSGEEFLAEDTAGRELTKQQFITWFVINQQNGPSIADSEVVSS KMEDRRQRFTRVNKRLFTEISSSESYSLDFEELRDKESDETISTQVVLYGCKKGKLVR VYVIKDKDDLTMKDNISLNKLKSYKIFAVFLRYLQKKGIKYPRNKNYGSDEDHDPYFD GVFHYYDFINNPNILE cubi_00153 MDTDSANDTFLWSLTEIENDCSLCLRQIGISNNIPGVVLPCCQQ VVCEDCYKDELINKNNGSCPFCFFKGNNKGHKSSMSINNPGMSMNGVINTVNPTAMAS VIALPNGSGPNANCLSSVGSGLPLDLSCWLPQNLTAICNPGFQSNNSSTCATSPYYKS NSTSAGEGFNQDGFIYMRGNPIPGVVCGVNSINVGVGTSNVNVQNSQPSPFGVLNDGF WNNSHNSVALQNNATNHNATGSSTNGSFISDPWMSTSSGYSPSNGFLHDFNSYDNNIN NSNLNPNMNCSNTEGITDTSANINHIKQCNSGVNSLMNNMQNSKIINVMNNLNETRNS IIETDKKVCDLINEGNKDNLYQPGINVSTFNSAAPNLVNISNNNTSDIYQNNSIYTWT IQNPMACNSIHSFNQVNNHSNMISSATSVDVDFQRFCLGLGFDINSQNQVIGDSQNKN ILINDHIHNVNICERNNRGNVNGITLGSHHNIKSLDNSESTCTREGDGISVESGVNET YINGSNLTENKLENRDLQIGSNMDNHLININESGTSSNLNNSINDTNTNSQLFNNSAF PPLTSALIKQIPSSKDSTKISNNASNASHNNVPNTVNSQHNECLNSSNSPKLSNASIS GSNQNINNNNPSTGSNPKLISMMGVRNEGSIRNNLNIGSALSTNNSKQNTISSGKAGV VVNLNISTGNVSYIDAVLHKNDSGGGGVTTTSSSTGRSENQASINSDISNISNDSSGN LLAVNTDEDSSAALGAQGEPYNYKRALCRHWMRGYCWLEADCKFAHGEAELRTRDGKL RHPTLSTGGTEVSGQNQGQPQSQAQSQYQTTTQLPNVNNVTIPSGNLAATSTSTSSTF TSSSTSGGSNISSIQSKGLKQQSGNSKNGGNASYAATAASSLTSTVLNSDSNISYSVM VVSGASNLDSKR cubi_00154 MLSISPIRENKKGVLRMPSSEVKERSKPKCSVAFCETVEFRTVY VTKQERLGQFYDLTQWDFINEDKQEYAQDLIADFAATCIPLKDSDDSTGNRKIKRLFW NYSDENCCNNFTISWLNSCSNEESSFGTEMDQESMFHHFEDSYSSEIEFDQQDVSFQE FDTDFTREEYEDSVEDDYFIESEEINISISYE cubi_00155 MVDSEVTIFDRIVSGEIPCRKIYEDDLCISFHDINPVAPVHALL VPKTKSGLTRLSKATEAHKEILGHLMTKIPVVAKLLGLEDFRVVINDGEDSCQTVFHL HIHILGGRNFSWPPG cubi_00156 MIMEQNLNYECSDKNRRAYTSTGYGPYIENITEGNLQISRSNKH INIDDIGNIGSQKLICENSTGFNSNHDINNMYDSVNVTNLYLDSRESGSLSTIRRSSM RGRRRYRRTLLRNYEQPLGQNSHGNHLYLEQCDGIDLNHQKRLYLKNPNIGVVNGGIN YSSFKFNNNFDNYSKTSKPSWDGGRLVKLLQGHGLNIEDYEKENEYHLNKNWSSKQVN NKNNQLGCMSNNKEVNTSTVVSEQKYVQLSQIDCGVNLQLCEISDQQKIIGTKYLHNF PPYTNNRSDSFKLCQKDDILIREGSPLSRINNMEKQISMQDRLTEGDTISNESFETPR TDQFSLVSSFDNQSLVRPNQNIEDNNHLIGTNYQNSSSSFYGNPKELYVNSERNYGIN STIPSVEKREVSIINQHLFNTEEHEGELASHLSYYSFNPSFYNISHEELFVTLGDTPT SKSSYNLSTLFVPNQDS cubi_00157 MYQIPWVVKESCVVTNEVAYHLIGKCIRSVGSLGNNKFEECGSS GQDTVREIEKLATEQLQNIRESFINKGEYDNECFELLRVKGTVQLLPNSIGNIEDSIR KYLCCFLFHYIAEFHGVWVCFHKISTINTYGYIPDSEPNGSFLVNIEFKALVFKPKIG ELLICRISHVSPSHISGITYGILNVVIPMKSFKGDEYEFQKADSLAYETNTLVNKINK EKNLKIGSIIFVRIKKYNFSDNGDFISSINGQFESLID cubi_00158 MRSKIIGIGQTSTMKREVRWADIEEDDHIFLEGTDDMVKKSSVG RQLEQFDELESDHSLEIESSNIFLPLIKGKCSRLARRQQKLNVIDDDIDKILKEFGLE DSFVETEEAEKIVNNNGNTSIGCKSYQHKVSEEFVAKSSASALFEIKSRNKQKKKNPA NNCYY cubi_00159 MLQSSGKLRKSSRVEPSIYQAWVPPLFSEPNGEDEIKISFKRCE RLYEPSLAKNENSDKKACETLKNLRFLLRGMETDFNYLSGEKILEHMSKNYASESQRF PLYAEKLILTRDEDLKVANFSSIKNRNDFDLTNLFKNELSWSINKSQKTFSTQKNVFD ETKISSKSVNKSTVDSSPVSYGKLWEMTINQQIFINIIDNWRKSVSFIFNDNYKNLNY TLNEGLELLREGIELSNKIRLPELESLVNAVEYSVAFEEKIKKLLSIENESTVDLGSL KTTCKIPITELYELLKEGENCVFRSNYLDFLKSQLEKLKSWRSNVQSAIIEKNLDKCK DSIKDKDEILIEFTGINDLNEQIAASNWIEKVERSLSRPMKLNFAENLLNEPAAKFLD EKNVSAKQQLINRVSKAQEWLNIVQSPPFVYNLVAACKITAPNDISETTQNIIDQAKV FMEKSKEWKLPKPSEFEKVWLESSTLKIIIPLMKYMEPVYLRWRKWNKKYKRLMDGLC IYMEAQLILEEAEYTLCEYLDMSEYLPELRRKIEESGNWLNTSKEFLKTVSDFHTKQD INYVSSSIWNTISGIKKGNEFSRLEIQQVLDFMNSQFQERLSYGKLKQLIETGNELTI YEMTILQELTSCQDGCEKWLKKGKEYLKCSKISGINTATVINLLLERSCILVSKETED SLFAELHFILWKMDINKIQAPINDFELNSLIKRFNDINSYIDFDHSDLSKTESVALVQ SKKLMVLSLPNIKKESDEFVSQNNDSTVHSLNACESNATQPEAIFCTKSPEINIKELD IQQINISHWNQIKELEATAFIEKLQNILIYWTDLIHQYETEKKDIVTWRNILENLKHL PISFNELESKINKMMNDYDRIVSILKVGDEESNNSLDLKHPFSLLNSYKRLSEINFEI SDLPIRIIEWDKWQIYLDELNRCDEYTLSEFSYLKEIEGACSNIEDTNIVQIERKTVI NYLNNINERLTSKSLWGITDFRNILTELKYTGRLIQDLSICECWIKDVQGGNSLNGSM GIEYWNSLLQKGRTIRIIDHEIFKEFETQVMQSIEWNEIYLTVLSSNTFNKANKYKKS NKFQYNKILYSIAELLVRVDYGIGERLITFRELKKSVDSFNELRSQGIQYLNICINER RDKFFSKLLNKYLNRFQDKNDQESEISNQIDSIKNFTLSLKNLLESCIKHPISMNITS YIQEEISLREINEKMFNALINKENPYFSTISHSVPITLNESKILTFNEASDIVDFIIE NKLNIMPETNSNMNETKDFQTNFSEITRENQLTINELKEIVLNSESFVLKEPDLTEIS AENKYIDIDLFEKFKKAVYISNEWLKIYKMFHFNITENQKTESFHSKEISENLLSYNV KSSLDYLIKEYLESDAGVSLINSTPQKGHFVNKLKFQLNWKQILDRYSNSNITDLIPF QERNNYLIRRLLNVLKIDESFTDLSMPDKDVTCKNEQSHELFCNDYSKYNVSLSMNLL HYLRNNKNALSNNVKSYYEDLLNSIKSYINVKYCKHLSDDEKFNIEQLEECLSANGFL RSRESEYKNETTPDPNFPTQNINYKRNKRSKSLINGEKLNEENIDGIINLLKNIEMPT IELSLFLINYGKRFIKFGLKELSDLCQMVEFSLLWMTLIVHRFPIIIKTNLGDDIDEI NCIEPAKKQNDLFIFESWEKNVCFDFFDSNNILISSINISDIANFECKHIQRTSSLKE FISFIETCDQLPIQIPMKSRLVNILVDSLKWAISAREAILLLPNNTILSPWMHIEGKV KEITPRSKKSKIMKTISLDKNSYNIQEEEIMNILSGLNIYECEPGFNLEKNNEIGIQV KKPPRPRGRPKREQADLTKNDSQFEDVFNNICLDYKEIDQSPNCIFPKSFYLWLLDKN NKNDFNVKELLSILMIDEDEKSFKTNNLQSCDSNTRSENDYFYWNRSSKSGPKESVID ENDKKICLSQYIGLIDPRNYPKKEIYKNKLLIDYILCIEVYNSIEKNPAKLCSICSNF GKRKNNISPALGCNSRWIACDECIRWYHQDCVEYSFKTNHFPGKLSNNSSLANEFSSW ICPSCTLRSETSISRSASIINFLKNSINFELCHNNNAYILSDIHCKSIDQSEENGISD SLLKREVPSLENLKQIMKKSLSEKIFFIKLHERNIIANTFYLHNVWVNDFYRLFKWDQ CELSEFNSLVFIDPFEIQEPLIDRDLIFKEKSEPSDEIEINEILGKEKEIEHYKVINI SNSTQTSRKGRLLKNKISAKEMLNPKLASVKPKKGRKRRILFPNKMVAKQIKRNIPST MNNLTRTLQLNFNMIFQDINNEYLCSIDPEYIGRIKIIEPGSSKPLEIDEVLNLYINA TLIGLDGVVEIEWLYCILKYLVFFNSKFASYSENLAILKNFQLSEDLSFINTLKHQKR LSWEDFKYILVHFSPNFPIKLKSYKIFYFNLPKARFLQLQCLSIFNCIKNFSQENFTK PLSTDQNNRNLFTNPEQFKLNGKNFKTEVESLLINIVKSGIIIPEENIISHLLLTYYL ESILLLYGKQISNVYCNQLNPKPLHSTLVKINQQILFWKESDANLTKIYNFRLIPPEY YGLVFEDESVCFQNQENKPITRIKRFIKCFETIQDSINQCNEWNERYKFLMETPNDFE VYVEFLKQGLNLPCIYPAVYSFGNILASIESYEDFVNQVFDNSGNLNSLTKVSNPPGS FNQTLTQTPGTIVGSNQPSLNPKFENIQILKNVKEFLKSLPIQKNDLILKIDQMEENT QRFIEGIKQKIPQLKQLGSTEALITQLQLIKEEAIHKVPIIVNNVPELRELLNNIPDF GSPHHNLLLRTQFLMSLQCPIAKLRKPLNPFPNIPETSSSLHNIIGQNQSNELYQLET KGELIDSQVNNSNKSILHVLNGANNKFNTSCQLPNSMSFIWQNLVQQGSNPMMKSCCY SIGGGSCTDPNNFNTDWCSLMHINDEDSNDIQYKLNSSKTDESTEIHKRISTNSTDIN DLVNYFIKSALNGVSVDIYDHTSQSFVSGKYYINRNLNIITFKSPVHTIIIPFKAINT LLNSSEFNYLYSNSNNTLNDYNKQIITIIFDSNMEKSDTVSVLFNDQNSANNFLLVVE ILRYNEMSEECYRDNSEESAIENKIPNLQ cubi_00160 MTSSISTGTVVGLNTGFIVTKRPQQPRPSSRKGKLSARNALVRQ VIREVAGFAPYEKRMMELLKVGSASTAKRAMRFARARLGTERRAKKKRDELVDIIQQQ RKRAA cubi_00161 MPKFRKSKNKPSRNRNPLYVDIESANCPYSKPKKNKPIDSFHDS LYDNFPNEDQLPFEIPQSLGKKILDEANQQLLHDLYDDEMPKTAISTEFNESEEKSGF SVDNNGFVCIDENELRAIDNAGMDDSYWSELLKNDGNEDQLIKNIHNQSVIVDSIVSR LRENNLGRELSDETKSKQNSNIPEKVAQVYTSIGEWLSKYKSGKLPKAFTIIPKLENW EEVVYLTDPNNWSPNAMNEAVRIFCSNLSPKDSLKFYSKILYPTIRTNISQNYGKLNY HYYQALKKAIFKPAAWFKGILLPLAEDETCTIKEAIIIGSILSKVSIPVLHTAAAIIK LSQIKIWNTCQTHFIMVLLSKKYSMPKKVIDELVDNFTKFDQKSINNDSSVFFSHNNI NLNSSNIQTNSILPITWHKTLLVFVQRYKYEFSLTQIKRLNDLVRSQHHYLISPEIIR ELSHSLIKVPNEATLQ cubi_00162 MIWLLFLIITISINNEFLFGCSSSAFKSGYSVLKPKTDNRNYKN VNLRNGITALLIEDQFSESAGFTVGIKAGSFNDPIYALGLFHLIEHVLFLGTKKYPAP ESYDEFMAQHGGKNNAYTAEERTIYFNEIVEEHLEEGLDHFSQFFIEPLFYESIVNQE IHIVNSEHLKNIPNDLDRLFYTLKSYMYRPMSQFTTGNIETLIDIPKALGISIPKQIK QIYKKYYCGKNMFIVISSKRSIIEQEKLVEKYFSGVLPDNNGECEFNSKKIKHGPPNK PIINERYLGKKIHIKSVSGHNLLWLIWSFPIDLISSAKQPLLYLSYVLNSKHKNSLFW MLQKNNYITNSNSFYENYSFGSIFIYQLELTSEGSKNEVEIIGLIYKFINKLKKSKEL LEIYQGIKLLTEREFVTNTEMVEKSPMYTTSEICSRMIQYGIHAALSGDILIEDIDKN LISEILNTISPFNTLFLSSDEREFLGVEDKIFHVMHTIEEIPLKTLNDWKYAKYNEEQ ENEIKLPIPEKCSPINLRIIQEKEYLSYPQKLDSALANIWWHGPVKKSHKIGIKILLK FPRRYYKGIETQFWGEIITYILNTLIEEKMERYNECGISFYMEWDVEGIIINIDLFGY SNDIDTLLNELVAPEIANISNFDCDVLNEIIHELNNSKSGFKSGQDTTISKIMLIIKS LQTSGEYTEWEYRDFINKMFIEIKNNEHNLNDNKNFLVSFIYEYTHKIRNSNSNEHNI CELFKNWSYKLLHRQSIIAYLQGNISKNKSSYLIEKFILNSKILPLNNKYSMKKKIHK LVKPIDITVINPVIEDINNSVLTFYQFGIPSFEEKLHLMALQPIVNGYIYDNLRTSKQ LGYIVFANIVPISITWSLVVGVEGDNSNSVETIEMNIQNTLYEFSIQKLGNMGNKMFE DIKITLIQELKSIENSFNLSLSHYWDEIRYFGGFSEGFNLQKAIDYINDNMTIEHLYN TFRKLINSGERLRSPSTIKAAYYPKTSKYFKQEFIDRYMVDALSMARRSLKKDDFY cubi_00163 MFINILSTSQNNKEVVTDTHNRTLNEAEKDFIGHSIFQGYTQTS DLIFNTQEKINANLIEKTSNPNDYNQNNNSSPVDELGNQNCISLEALKGKMSQDVAFD SDFKNKSKNNLDLNWQEGKVSIKKGKIKVECNYAFTPTKSSLRVRCIYCKKIYFSVKG FINHRGRCIYYKTFQNTQYENNSQNCKSNSNKYSLLNPIEKSFMNNNQQILNSLVSTQ QTENSQYNAKTNSSLQSTTNEDAILFNYNFDNKFSFEDKEIQDNLNTKNLLSKATNGN FHIIDSDKNVNSNSSQFELNKKLNSKIENRSLYRDKVDIENFKMYLYAAISCLPKYLV AKILKKINPDASVVIDENSEQNITKEELIDILFLGMEPTVVKNSSELKSQTNEEPIES NKCLLNKLQSNKTEKIELELESIKPEKQNNNEVLKDESFQSNIPVFFRHSLPKDSEWK CYICKAQREGKESMNMCVNCGYVYHLICQNQINRTTKNDWFCDYCKTYGNKLKPGSKF QIGELVWVNYKGTFWPAQIMEFSKEQFEAFIFHIEKRVEKSSNEILSWSKGITSIDGL ASKGTFVRESVSSVMHWQSVYKAIKYYTSSLRSKQRRLPQINSKKNKSPGFMMDDIRK KKIGNQALDDSNSETQVFHPIPNRISGELE cubi_00164 MKSQVETNQRMDGGSISNLPLIENIQSKDNRLVNRNNILPSLVS CDLLSDDQSLIGSRTLSGDSQCLENYPFLTVIGQQGLNNTISGGERVLIDSVRNVGCD SNGEGDMIFGLKNNRYFDADIQSIKSMDSKLKQTNERRAGRPPLDRSDYFCQICSATK TPQWRYISVCSVESKLRVCNACWMKQRKKRDGKCLPLQIGMSNNLNCSNIGGLLKTSK IGVNKENYDSQRQSYTRGGMSGVGYKISGIVSKNIIANGRSISITNGVSKDCKAVSHD LVDNLKTYVSNDNLKNDTVSCNVNAGMSNGQCIKPISFRSSPYSVSTATTCSNSTFDC QSCGSQRCYCSSLIGPQGISLTSTVSSDPLEGSGKLVPGPNKIKMHCNFNKSISIDKL SSLDLVCGSANATGMNYKNNGSNSSLDLNNQCNQNVFIDSNKLSETHVDERRNLVENF SISEKLSFDINCGIETGESNIKTGIEESYGGNCLKVNASNISEESPINSIQQSPKQNS NIDSYQSRIGGKLNNGLLTRKCSDNRNNFISGGKFSVSTPVHHQASTTVSPNTNYCNI SSYTSSPCQSFPTYDGPFLEDPNKTMYISSGVYFYPNTETNRWTEETPVQSSVGLNSC VGNSNTESEEKVEALSFPLDQDTHNTLSQNNEPIEHEQANYTIFNKHLVNAESWNCDY LHIDMFCIPTADKTPISSEASTSVPHYSVGSKFFNSSVSCTLPTVYSAEVQQEDESSS QNDCNSWDFDSSSISCMDTWQNPLWYDSYSNGFCKLS cubi_00165 MLTCVFSSLKNCSYLIRINDFQMKKQGVNLFFFSNKKTFVSSKI CRFVKFSTSTILLSSLMTIYSFMNFGYYLKDSINWNPNWDGDNKLKQENSGNGKAIGG ENTRRWHQNILVRHGQYIIPAIKDEEKVLTDIGREQANETGKYLSQQYRNKVNAIYHS NLTRARETAIIISKYFPGVKLVEDSNLAEGVPIAPSPSVSGFKPTTEEIVSDKERIDN AFNTYFSKKGKSFDEKVDIIVCHGNVIRYMFCKGLQYPTSGWLRLNHLNCGVTRMSIS TDSLVICSGLGDGGHLSPNIHTYN cubi_00166 MKEQIQQLVESLEKSGNNYNTLINTLDILLKIQYEKQINKSIQE FYDVTLRLVRETDCIKSQKKFLCYICILKFISKHMSFSLKELILEDCLINFYKIKCNI IKDQIHEIVFNVTCSNLSEFYIIMDIWRNFFTKINGDKNEFESLTELILYVCYHMQYR SELNHQLNEIIYKIIQNFSEIYESDSSIFLINSFVSKLEYSQNLFFPLFLDCNNFGEQ TSRLIGNMMRFFESNINIKVFVFTLRIPNFIEHLVFNGFISHYREFTTSLIRGITSKD FEIRKSTRFILEKFETLLLENNLNTFDQIDKHKRLNNIKSFICILDCFENFSIHLLKT NWEKFEKLLYDLQNSRNEWWIDCLLEIGLNHENLNVRRFVAFNTINYVVRSDESLPSW IKRHTFFNIYLRYIVSVLNNKISLQIEELFLKFIYCILKVKSEWIGEYIDYIIYNIKA FTPIRVLIYPLRIGVNNLYLSDNSGSQTESNITKIKSYFCNAYSCNIKTNLRRDITQK YSFEDDKKFKESIIVPKELFKKVLDISIPIIKFVPILLRREVYSKWLEIILNYFICNE FSEEELITNFIFFLGIIPEYLFYTYEINKLIYNNIIKYKLVIDSSFDPESLIKISKRW FDVLHIGVGFGRLKKIFGSFSEINLIMKSHVIFVSSYYNYEEINHLNNEINNKILEIL EKIKILFENIEENHSIDIDLLWLDIHLLSILKDNSYDFYLKKLWDWCILAISDIGRFL TKIKSNINSQISFAMILKCLIYLQNLGKKTSIQKIFDLVNNLLLINNGILSKMFMNNQ NLIIWDRIRRVCFLYDIIDTHSLNNDNYCIIQSSLLTPGFPVSYSSKCNGIIKENRLI QLFPANYRDLLNLISQLKFQFINLIISKNNDKISSLVGNDVYSYFVNFKSSTDRFSYT KGSYLDWFTHITKILIYEIENSGCNSFYLWLLVEQLLSLVCTENNHQFEESFTCEFFN KIFLLIIKNCDELIDNGIYRNHLFRIFNSILTKKEYLILFEKYQLLEKVANHFYGNIK LNNGNIRLFIFPLLDFIKSYVESCNEEVFEEILKNSENIGLTQSKTFNNIFLFANILV ELITFEEQGLIDGCKIRFQEFSKDNIGISEMINIYRRCKIYEDNSSFIRHVTIIYLSN MIENSIKNKKYLLIKFVSLTIILLTKKLEAAIPKSVENELFPTNTQVNKVDLNIPNNW LINETEISFKNAKKFPPLPNSNHHKLLINIWQSLCCLVNILNLSEDQFTNYLIGVYFK HLQYLYTPDVRQYIDMFGCNIVTFFPKKCIGHIIKGLSNNINNHTQVIYSYLCISSYL IHFLKDITPFPLKNGSEMEKISDVFILEGEIWIKLTSEFLDEYILFFNLFVSYSISNS SLLRNIVLFTLFDAYNNNYVFELVQKSFENNYYSDTEAIDSLANVLKNAFQIEDINTK ADTIRNSIYYVNNKLNASKKIFLINLFILEDSKYIRTVLHNIFNNKDILKMLKYLSLA WTIWKPIKYCTVENIIPQDNIIEFSDQKESQNFFNCSSCLDFILSKLDGNIFIDEVSF EKELLAVQDKHETYILNSHLIFGDLRPSWSLYYLLKKIISEEMSGYYTQENNKEKEDS NAVEIQSSHNYQLKFEPLNYTNPISGEPRSKRQGHRSLDRTELIVIGSLVDKIPNIAG ITRTCEIFRAKELLLSNKKVINDPIFKQISVTAEKWLPINELEPKKIKEYVHNKRIEG YKIFGLEQTSSSTNIKECIFPKKSVLILGKEKEGIPSDIVSIVDQCIEIPQYGIIRSL NVHVSASIFIYEYTTQFMEG cubi_00167 MIKKTLLSTTLFIFALIGDVRSQDVILENNNANSTEFDQDRIFG EIFNSTSVNLTETPLILPFEIASLNISGFRNLRAKKERRRYNCSFNCIISDLDGSLAV VGSNFIAESNSIIFGNILSSNIQFFPATGKSFTSSMKFITNGLKNPVFTGFPGVYYNG ALVFGPGGIKDVLYETRISSADALEIIKYVKKFAVSNGKTLRFESSKNGNSQYVKISN NEDKDDEPADSLRLLNIAIENSSGLYVDGFEGENMKEYMNQEIDSMVHKGVNLSKFLE PREGEKIKGIFKIVIVESPNVLLYLREHLETFVKMYGCKIYRSVPNMLEIIPQNASKL HGAKLILKKLKLKFHQVAYLGDGENDVEIMSKVGFPIATMGSSPAVSYVSRAVQTFSP EASFAGLIGEYCEAECSKKKN cubi_00168 MNEQLLKKIIFSLNRKDYFLIISSILFFLIFNYYITKWIRNKDF KSVQNEISTPNNDIESKINLLVGQKLNNNLRKKIRNEELANKQLINAKQARKQRREAN EAKKKLKEVKQKLYEEKINKKVLERLVEKNKALLQEQKQYERWKFEMDISEAGNEFEF EDEGLNSEYTSLRNFLNAITSEKIADINNLSAEFRISIEDVISRIRQLEEQGIIDGVL TDKGKYIFISEKEWESIKLCIEKDGKISKTKDLVLICNYVIGM cubi_00169 MHLNKNINVSKGVKFRYHRFSKLWHSEVIFKTVVVTLLIVINII LLAYTSIKFGQSKYDDVFTLFGWMFLLLYCGFLIDIESSRSLKICLSIIILINFVCIE RNILIIGITIDFLNLGVLWSIFKCGEIDLDSKESYEDEYILPLIDNSKKLLNVYYELW RRNTYGGIKSYNGLIVTRCVMMSCMYLCILLFNTVDKYTNFNKNKLTEMQNIIYLRST KIMLKSESIDKIFQINGVSGVVVIQIMIITLTVLLKSFLSKSVNLIIALKDVCLSFLK SSEVGDEEVKQILEFNSCETNRKQNLDIEPSKQNIFMNRSKLFNGFIFFFSVFLFGMV TYENHEFDIISRMFLMEQYQTIVLCILLPLFGLLTEISMFRDCCWYFLFSWVVYNFNI CLDDSMVRSTINLLSGILFNISAILFVFNQIKDTNYHSKIQEYTLTSYIFHKICYFLG FSISKKVFSIQENNIKNISIFIINIIIISNLCFLLYASLTQDTVSKQDYDNEIEWDVV Y cubi_00170 MNSNYYRHELSNLIWIQNLRKGEKKVDEILDSFVQQNMKIWSPG KSLFSPKIAVILFLIGSIFHAVFAAFFYFNYKSNSFVEYISEPIQKNTTSLLFSVEND INGPVNLNIYIENFYQNFRSFVQSRPSEIFPGFSCGTAKTMSYLRQVRGDTLDNYINK IPTNEIDEKTREIPLIPCGLSSITFFNDKFEIYLLKENGEKELINVEIDQLSLKNDFS MFAIPYDKMMWIKTTDIHYRIWMHGAWLPSFKMVWGQIPHQLKKGNYEIKMIENMWPA EDFNSKKRLGIERVSFLGSKNVNASYFFLIWSIWLFVISFLFILMISCSCNTLNVILR NLSALL cubi_00171 MTTNRLKLVEKIRDIIRNNRNFSLFTKAINADTTFEWTKDEASL VTYWILQICAFLFGVVCGIFGFKGVTVLISAVLGLVFIGTTYLNLLDIPERILDPTEI IIENVATCLVTFILSWTTMYTLIYK cubi_00172 MSGGDLVGIILTVKWPYQEPEIVFYHPSNSFSILNEKNVIPIKK CFGLECSKLAPLILPSDSQLWNKMSDLVIESREFQHRFVFFPSSTISNKLLSRVSSVN DQKKIINPNNENLKLDKFIESFSITLVFIASTFIKYEFVEEKLFKIVNALLSCEVTNN FISREIFKSNEVSLECIKNIYKAGHNNKDNISVGSDHNKLGRLERSNLQEHISSLIEK NNKLVKKLIKYYLGLRIDYGDVMVVPPKSCYLNCFKNKNHIRNPLEDSYDELTIFIDK TKLSNIYKENELINEILKVADPHLSIRDISIELLEHPSDILKICQKLISKRVATVMEK IRYDKVYAIYPEVIKIQLNQFNLEFKNIIDWRGCNPLILISSFFCNGKKLFDVRNELV EFFQKFAGGKKVSEQFVYYPYGSSKNIYSSSHDESLKIVKSIISWLYVNGCITIKYPN NAAI cubi_00173 MDENSNFPLILNQFPEYEHEGVNTKIVALIFSNKIQLILNETET FGSILHASTDEAGIIYDVRILLGDRNDEISKLYSRKLLELFRNKG cubi_00174 MESNSSRPGANEFYASIFDQINSLELSIPNFSAERNSRNLFFTF FLFFVILILVFQNKFEGNGSFVVSSTVSSSVYYFGEFQMNTDILNYDERIRIKDGLYT EYRSMPAIYGNSRWQLDWTKSSTASKSAWISLIIQLDENGKFYSWNFEKVVTEDDSNM VFRGKVNEVPTKKILNSNSTNCILEIDISDDTNLFNQIFPERKSEKYHFRGSSVNEKG IVFDKMEYVIKSLDCNFEVTLKGSPFSMSLFRLNIIHFSFLYNLKVLMEIRGSVTQLV HSNSPTIGSNYVNNTSISCLTMQIILDLLESVFILYCSFALPSLLFSSFTLMILFKWI HIFFIEIKYLFWIWKSNYLQNTPIIEMPTITAQFYRRLYLFLFGIVFFFILLFRICDP SEVFNIVTATTNKKVRIITQSIPYCFYLSLFFFLIPQIINDAFFSTNNSTSSSLPLHP HFILTSLIGKAFVPIYIWGYSNSIFNTPLFQYLNVIPISTKNSTLMSIFILSIASIQM MIYFFQLKFGPKCLIPKILRPKPYNYFRLTDKNICNEISIEEDSLEVNIPTINELKTE VMIGSKVEFIPDTSNTQSSGFEEIELTSFNSNKRHTNRLCVICMVNVSIFNDFDHELC AVCTPCDHIFHQKCLKQWMNVKLECPTCRRQIPPFESKQ cubi_00175 MVLFERDDSINIGQIIQKSSAPELGEDIINDNGLNEVIPNQQIC SFSCSSTAFDLNYNSQNKNYSLKSVDRQNKSNQKQGINFESANMYIGEKKPRLSSRKC RYKYLGPWKDDQKEEFASESAKNQFVEENRINTLESAANDEIQGSDTDDSSKDEEQFL STFLGKDLTDYQNRSWIISPNEIKERLPNEQCCAPKRLIKVLKAHSMGVQAIRFIPKT GHLLLSAGLDSQIKIWNSDNKCTYIYHGHKNAVRDIQFSSGKRDCKSFYSCGYDKQIL FWDAEYGKIRWKNSNGKTPYCVSVHPKNEYSIIVGFSNKKAIQYDTRANEVVQEYNEH QGAVNTVTFCEDGKKFVTTSDDKKMFVWDYGIPIVVKHIADPLMQSMPYVTLHSDGQY LACQSMDNKILVYDTYANYRCTKKRFTGLKNSGYAIQCDISPDGQYLISGDINGKLHF WDWKTTKNFRSINAHEGVSIGCQWHPAFPSRIASCGWDGTIKIWE cubi_00176 MKHPENEDQTGKKEMEVKPEAKKNIYSSNESFECKPTDYVDFQS NEKNCSNSEKITGECNLTSKTDKTQIEDSTIALYSSSNNNNHHGSLELINEKGDTCFK GEDLELSKDNSIIFNSEKFKFLSLNETKLNNFDEDFHRHQNTSEMPSDIKTVVLEKSE KCSETVNSRQINQSNFEDSNHLIEKKDNKIFRSAQKFDETNYSKEILVYHKNSEKIFG NDSMKRREEIKELLDNISLLKSRSSQNNINIVTKLLNSKGVYNGMLTELPLYEELLKY LLEKRNLSEERFESNDFEDNELLFDQFDDNFGTLVNRKKNNIEIYSKRRTVFEGIVDF AVKRICIDKYCVNQLERPKLNYECVLLKPLNAYLELFNRDSIVFFEDTIVHDEYLKTS LIPFQANNKSLYLSNASLNYKIDKVKKEIDIVSGCLIDKEWKIFEKSIEEFKVLIKNL EKNGFNLLKKNSYSYFQDKSFGGRFNEVKLNGSLKNLDLNDISIVKVSLGSGIRYLKE SLNDELCKKLEVIERRLDSLNKFLLLREESNEELNGETILSKLQNLENMLQTIIPKSH LVADNFQTKIKMENSLLNGFVIGDIRKSDLKKISNLVKSKVSSYAGRRSSKYETERCN NEINETESYKTAENEQINSLLKELDTIGNKESIFCFDDFPVITARLIEKESIIEFFLS TIERFERFKSMHIENQINLEKIQGITSILLDTMKANIKKFD cubi_00177 MNIWSNLFFNIDEEQLINIYIRIFPFHKLMIYFILLQILIGSIN LFCLNNEILGVLEIFFFANIGIVTAYIQVPVFYIIYSIVSIIGCLIHFNNTSFRNITL SDLNSVICISVIINHLSCSILSLKIFLIIINGINWESKFMSICGNLHITYIGYPRFNN SSSNIGYYTPLEESFQSNVLKKSSNASEYCD cubi_00178 MANKWRMLNSNKNPESLSVFSGLNIDLETYCKEIDGKLANISWV KSVSESFGCRPCYLMFGGVSILVLIVSMGYAGALICNLVGFIYPAYMSFKALETPGKL DDKQWLTYWVVYAIFNILEVFIDIILFWMPFYYLFKLCFLFWLFLPQTTGAVMLYNNI FRPLLIRFEKKIDITIENVLDVSNSARLVAQRYSKKLLEGSEKIE cubi_00179 MIEKYPNLSNERINIENPIELLENEFTSEKKSLGNESNKYIGKE FHGVIPFEYNNGYGYLHTRLKWILDNNEINGQFKNEIYSFLETLGELRVLGVYNEPYK RYRRAFDTFSMKFDSCDDYNENIIGDLRYQCYQSSASGRKELKIIDRFIKEWSKRDRE NKLLHSYRGAMSEWLNRSELGANKMESQMNNREDVFKEILDSLKDVNNLLQQSQILSF LSPSYISERLFPFMVGQTNNSTGALSCGIFGETIRSETPNLGGSLSSIELGTENVVEE DVAILIEGRPSSLRTEPVLEAIQKSNENSLSVNTNKNESVMNWIKGGFNRKQDSYGKP ELIYDSIHKEMKKKILSDREKILSQRLGQKLCDIEKNVSTPSILNKDLIIKEYFRNYL SVYKIFISMRESLYKQRKYYKTIPTIGSIKYKRQGIELEEVRTRQFQSIYNDGIFSEP ASKVRRVLIYRSEWKRPPMYLLITHRGRHCRGNNPLAKEDNINYDIDTDEEWEEQFGG EDVENIDDVPDVCEEDDDNDAVASGWLVPDGCFQSDELLDEFTIENSINDNGTNIVNL FSISSQYPSPVVISFLNHSSIDFGVGISIPNEEYVNSILKGYLIHFTQDFSCIYNKTD LSGYLSITPDESKSLISSNTSEILSKKKSVLDLQLKQDLSYFIHGKWASIRKIIEEFF EVYNYKDIKKASVIHFIKENVRKAKLEGDVRSRWYVNENNTSLELDFRKLSELLLQRK GEEKNLLSKVEPTKIRQNRAYLQSDTSPNLKSQAQFPENSQNSNSNGKGKLPSSCKYL SDADPEYPVNYKIVSEDNENGNINSQFGIKMTGGTLNFISSSQGSEQPSFRGQAIEKE THTRTAHNNKKRKCSTPIRIASKSHSDCSTNQEKKKNGIDGLDSIKTSTPDSKSYAHL YNNSLITHFFPPKIKD cubi_00180 MDDLYFGLPPPALKKNNLDTESAANFGFETISAIKEPDTWNVFI DSLIKCNNINYDKKDLDVQFIDKNDGLSDLEYNPASPNVYITHWPEEKSPLTEKNVPT QETEINFEIGKKLLEKMGWRQGEGLGKDNQGIKFPIAIRRKKNFI cubi_00181 MALTTIRKSDHHYCSNENNKAGLFFETGRNNVDKVVEYFWGYLE SELSVERNSGVYILLKSALFSLSKVVSESLAINSPELITEITAAREILMLSLSNEKVL YRLVSEYGTTNISIAPICRIFESKILRLLFESCDSDESQVVFLKGIITEKTNNFVNKI VFGIQKMVNLSLSIFVKDRMTILTFGYSEIVEMVLENAWINFDKHYNLLVVIPSEAKQ ANIESSVRNGLYSYHYKKVNEWKNRLINKGVSITILSMDTIYNAMNIVDFVLLNVECV LENGSAIAISGTATISSIAKRIFNKPVYIVTHATKFTNLLPFNMNINNILSDITYQNH QLNSSPITSIVDISENSYISMIFTDIGAMTPQNVSLETRQFI cubi_00182 MHLLSLILSFPLIYVNCIRNFPEMNIKELRSNELSHFGRVDVGK IDIIEANSLYESEEVLNKLILATKRQQELKEIEINIKAAIASNLHKLNAISELLQMLI EKTSIEINGVVTELKSTVKESEKTKNMFLVQQNYKVVPTKKLPLAEYSESELDTFSKF SSGGEYNGLDCPLSCSPSSCDNKPKESTHCFRAETLKDGTFISNCVPFINLKTLTCPK GFIRCALSQPSRGNLYEILKSTEIDPENTIKTVPSNRKRSIAISGRNMHQCLRLLVVS KKTSCNIENIFAAVDESRQLIIPNGNSVFPIIKGDVALFENVQVKKAGKFQLCLLQFY QDPNISGGEGARIMGSDSIGELNVLTEDGETHENLEFNNSEKKLEVSVEDKESNAADS TIKEEKTSLNSQGKESEKRVDLLENDDEIKLDDDKKKNHWGVFWYLLPIILILFLSGT GFIYYYYLNNKEFVHSKIMSLPYFGTLFNTANTITNENKEK cubi_00183 MEGSNSCVDENGKTPHFYYQRSVILEQELKEFKLKYIRTQNESN RNREILQNQIEKLLTINRKQESLLFEFEQYRQICRTGVAIICRFCGSIVENEEVMQHL IDRHLFETMENKTSNLESNQIFLKNQLGIENTFESIGSKCFELIAQDANEAEMININV SKPNKNDILVEIVGFCDDKNDFLICSTDSLFKLRTQISKLFPEIPLPKIIYKDPLNIQ RFLRYILNIKNLRESSIVKSFLNIE cubi_00184 MCETTFIGRASDGLILTETWDDLSSNRSLQSYKHQAKQILKSIG NDKGVEKCSIDSGNYVFHYIVDDGIIYMTLSGKSYPKKLAFSFLDEIKRLFIEELRRE FANRSEFSTLINSIDKPYYFIKFDRIIQRCKSEYKDPNSNKSLQKLNDSLIEVTNIMR RNVDDILLRGENLNDVERKANDLKFASLEFSRAAKKLSFHALIQKYAPILAILVIIFV IIIWKLIL cubi_00185 MNLIGSPTELELYNELSSKYPSLHICTQTPQLKGVMTILRDVNT SKEDFVFYTDRISRIVLEHALNLLPYDYKEIKTPNGIHLKGIAFNTPICGVSLIGSGE AMENALRFVCRGCRIGKVLLKNCSENECSNTISAAYVKLPEDVSERVVIVMSPVLGTG KSLCCLIEVLMKNNVKEKNIICMALLSSKLAIEKVLSQFPDIKLVISSIDYNVDENMQ VIPGVGNFGDRYFGIRCNK cubi_00186 MNLISKIYRIYRRGEKKEDLKISDKEEPLIISRTRMSLLELEIK TLAHFLSREIKTSFQLSNLPEIKWAEITLDDLDKLESILLKDIYEIEINPIIKPLISI LRGLLDTHKKFFNGKAMPFYVKLKSDNIHNACIFEERVNFPYRNDRKYIRQFESTNFN NKTPKAHVLPTTPRNLSDFNQKIELPIKSRSSHLNLTSSTPRSTSCQTPRSKNHLRYT NPDCFTPKFEINKKNYYVSGDLNTPRKGLKTGMGNNSKVTNGQPGSIMAAKALLGLSV NLPANEQEIRKAYLKAAMKWHPDKMISSTNDKSHNCFTAIQHAMEILLQNISKNGEV cubi_00187 MGNNINSITYEQSNNEVSELDKLYLQLGNNIGKKDENIHIKEIL KYFVENNYGLNYDQIEAWWRKREQLFLNVDELIWSNPILFDDSLCKFNSGIEEMKNFV MTSNIRNKILKYLKKLNCIVDDEFSIIGNFNWEEYVGIRLQCYTNFIITFRKLQLKLL NDKNNDLELNKLLSNNNLLEGDVLFLIRKTLNNFGNLETCEKINEIILKKKLSNEYTE TKPNLPFEQGISNHILNCITFPKSLDEIYKKNVSSNSEIVIFVRCILGYIWSYYKAAL LSDESTCTEIFLNVDNLFPKEVLDTFTDKNTMLSLTETIKSLILNITFNSLISESQEN YYLLEDLIEIILQFGNNILSSVCGNEKLINNYCIFESDKVINPNLDNKNLILKIFENM FVEDGIFFSIIKTCLIIISILTTGVNFLAKYGSNDPESYINLNETVKNQEINPKKRTY FSQEDIYIIKIIMRMVSKCIHLMQQLWKFCSLLSIEMNSFDYTNLIREELYCYGPMQF HSNPRNTFIVEYHEKHSPIGIVTDLRNQLDKALELEVLEKHKYYWKLLEVHNASHIII IFDNNCSTENTDILEIFGSREKYISYKEINDFNFLRSKYTESLFGKLSGSKHTWPKKP IIYEGNTLLVVFRKSLRSLNQMSDNFWGFRIYFQCHYWVQVIEKKIHHDISDDPTKLQ HREILTCNLKEVFIFSVAAVTSSLSFMLRGPKNSRIENDFAGILDSLLFVGGLSVDYN SNGQEYSYFFSKSKKSDEKLMNIGKKNSISYTDFNTFSKKLIYSKNSKNGCKLNSKII QDKKINNDIFYRLLPNIDDIEYQIINNLQWGLIANFILSFSSIIHYNARKNHLALSTE LINDKTFINVVRNDFIAAKIGNEKLSSVVRGYICCYLHHLGLYFNIFQLCENLMNLGV DLKKIIQLISESPLENNIQHINNHLLFEFTIKFVLKQEFCRPLLNIWTLGRKFRSWMI LERHKAEIHQSEENKIDESINGFETSFDFLLKSINYKISLILNLIPFSGSKHPGICLK NNYNSNGKKECMQALFQKDLCNHFDINGSKYIYYSNRNISLVLESIIGFNKYESFLNK YQEEMPETSINIENNQDSNEDINLSILDDTELEQLNIDQVIKNICNGIDNLIKTPNHL KNTDYNECVENLIGINIVLGIRRIRAISRFEAFRILESLINDENSNEFLLTSLIKSIR SMGKETPYLFPINNNSEMETLGFCTPNHFYFPNEYYNKNKTNHTLEVHYSDYLVGCGK KIQKQVKDAFYKFLYSLINGKRVFPIGIEILKMSLFAYFSLKKTDSNDFFKTNFPAKI LNLLTGCNKNCELGSECIPSVSITPYPIFLIYSIICLKCSVLENSVIRLSLIFSSFVG LSHSISISGQFKGQLTVRELWKICEIYFMGNILILTSKMNNSLKNIEITKIEQRIYLE NIKYIFNRRNNVNSSIQSCKVIDDLFIQFFYIRESILSNIICRALESSNQILHLYCIK FLWKFLPLFSTEDFLKQYSDRLIPKQYLFRAEITGKLNYIECFEGYLEKIGQSLILFD SFETKESKIYPPALLINSSESGTVDLLNNQQGHSFDNTNRLISNHDDFYKYFIFLLRY IVLYSEKSEKIKQKIKLKRNSELVHYGFIKLLCEQIYKIPSLLDSLFSVYEHIRWEEV YKCIGTLAVIIEEYDFETYIGKLVYFQENQLIENKNKIWKVGMLISNNPLRKEYSILI NECKSTQVKKYSYKSINILDKGNISFPVKFMISKLNFDIIKIIIRILEIVFQHLSNLN ANIDNLEKYFSIIVEEHTAIISNFEKKKLIGLQIISMSMSFINILLKNNYISMLEFNN GRNIDQLIELLNILFKIGTINIPTINEKANGLSNIISIILSRRFIQHISHKDKFAVSS YYPIFKKSTQADILCNSPYRNIMLNLPTKWEIKNNCFYYKGESTLICNINDHLPQDIG KSAMFTANFGIPTSLSFYYFEFNFEFSNELPTNIKFKANSSFLNEFDLPFYISIGLYR DGCQKGISGSFGSYAYRSTGELIHSCNENEFSKFRVEDFNIGDTVGCGIDFLNQIAFF TKNGRIIRCENKKHTAMEKSPCNNKDCYSAFDNVMGHFKPAIWIEKSNPIFHENKYLI VNGNFGQELFKYEYINKLSFNNILSLENEELSIFRDNFGKFKLDNYGYHKYNELNEAE LNRITMAFELQEIMSSINIPFSVYIHALENCEDNLDLSANWLLEHGFQDLGSTPMQNK SVTHPGSINGGKKTHMDEIEYINYADHFGEEHLIDRLISLRNEGTKCNSSEYSGLINN LIFSKSYGDLHSNKNIYFDISKYFLIFGPFGEKNLHRFKSCILNSSYSNTENIYNSLS SNIWFNSKYDFQGYEKEISLSEDLERELSNLSFQKFEKKPFSGTALSEEAKHISFFPG TIVGIVPDIKYWISGVEKNQYSGAENTLINKLGTIIDLNSSPKCRVIYFLRRLSKLTG VVWLCNKVTNSTLVQFFDYYSLVYYLVNLPSNFLIETNNNIRLSDEQMNISSRINVKS LQEYIEHIFLSEIHNRSGKTFFCGKLTQIFHLYFKSEFLKCIKSTRDTISGSLNQFKK YLITQCDNKNLNSLHNILQIFKLVFGRKGPKILDYFVPMYSKEEKEVFSIYYKNLINE EYMNGYIRFLKAISEKETIKFTDIILNEYISTIRTSVYSEVPIITIETSHPYECVMDK KYDISFKDCNFFFAIFDPLCEINFDNFSFLKIIINDSINGRKITLLKSNGRGLSGYKL FIPTNFFSVHLVTSQNNEMYGIKAHFIPIKYSLNDFKILENKNIHFSYVLLDMIFVNI GNNIDLSHVEKIVEILLSILFEIHIPKHIYKDRPITEEQLSSFIPRNQKFIPIQNSFV HIVQQLISIFIKYPRVTNRISQKSIVILDYLNVFSNIVYSMQMDQLHIVTNHGLNVNT KYCIMLQLHYLSFFYKNYDVIFSDNKKFSQESYFTDLINIPYSKLSLELQIQHNLIAE LNLVLHDSNIKYYYTNKNSISKFPERKIFPLKKLNMNHKESISTFFLNGDLKTHKMLI SGKKSIFSFCPWYNIISTSNISIPAFISSLTHMYSEKYETKNKNLSLKDLYRNTETDS YYLPELEKWNNHSCFYEPNTPLVLVEKLSEIQISITSAIIIIPFDSMNFNQNSNFSID ITQFIKEILEYMDGKLLLLAKNRLLWLNNQTLKNQLSHYSEPLKILNNPILINYSLKR VLTNEVIVSRSITYNYNDLVVLTNSALNDTQDRVKLLWDILAHLKNKGDSEFLPKNLS PWMEGWEDKFISSKVKDEDDSFDPRNYLEYLNIYWFKTIKKENMATYLKHNNKKANYN SLFESPSIQLMREFLVKSYSEGIFQMKYWPVFIGTIPICDLEVIDNNFNYPVNNRKKY IENELICDSNAFVSNLREMNAPCSISFWLFPINLDKYQYTFSISNNKKFENFTSKMNL DFETYNLSEKNISEYLNGDKKWKLIAYRGVTVSTISFWITELGNLGIIINSPNKNYPL NLILNNQTTHYHNQVVGTSFSSFIQNKSSAIEYQTTIIISNRKIIFDQWNHITVTIGS NKTEKFPNSRLDDSYTIKLYINGLFDESKNIPSANLPLLGGDLPWIIGYPDYLRTDNL PDKNVFQSFEDDSFVNSVPNIFLGQDIGSSKLLRYLGPNNKYLNLATGEPLFGLIANF IVIHFEWELENIHDYIKNTFDEILFQDQLDEVNSSENLLDNHSNSKIPKISSYSKFRN ASKYSKSLLHVFSNNWKNKPIVSHFNNIWQHEYNNIKINLDKKIKTEEIRKEEMLNIS IPKIRMHSNVLNRNKIRAKLDIIVLEKLEIIDYKESVKSNNQNKKNNSDLCSCKDSPI DTIIIISNHNFEDSVFVFRLKYLNNIFSDLALSKLKKELDTVFEKESRTSNYDSYSNS TNLNLLNILHDIYSSHQIIKKFENYGSFDNKNNNILFRKAKCNEYIEDEENLELEEFK SLQYKKISNQEMQEIIPSVNDYIVFLFNEIKLPEKIFPIPSDINQSLFEEKVGCTNCK VTVLNFLSICNTILKRISVLFVSIFSFIDVFSPRPSIFKYSWLEMIRKYLSLSLKEVL INLCLAITEDPKADGKIRVAINRTKSMLKNETKNLGDQFVLNSVFGQLYTILEKVPVY RFRCKKRPWYVIYEGEGGIDAGGIYRDLLSHICLELQSNRLPLFVVCPNSYGCGENQY FFVPNPSLGKKFIVYDNFDIEKDIYTNLQNISEKSEDKFRNSAGCIELIYDSLYRFIG RLMGISIRTQIPLNLDIPKIIWKIILGESISLRDLKQIDWYSVRFYEKLKQIEYDWEK ANFDDKSNNKLILEEFYSLDLCWSCLNINGEVVELKLGGERLPVGIDEIGEYCRLFKE YKLEREFLHATNCIRKGITEIIPENVLELQTHEELEKLVCGNPSIDVELLKQHTKYTG YSSSDQIISWFWDIISEMSVLQQQMFLRFVWGRSRLPSKGAQWENNMEIVKVYAFENS IYVNETNIVESNRILEQNESGSSDGIRRNSANSRSEEFNGIECGLESNDNEQLGIELV NQTYLNEQSTLNIESGNRVFYGNREDHLLPTSHTCFFQLELPMYSSKEILKERLFYAI TEGIAIDIDNIESDTIRE cubi_00188 MNSLAQNSPFSSMMNFTFFDQTKNILKSEESNKLEKYKIWKENA SLLYENIMTHILEWPSLSVQWMCENNFSYNESFYSDESINDTTKYSLLTGTHTSGMDQ DYIIILDVLLPNSSIPEENRKFDSHSDYAGFSISCKESDTNKFSQRILIPHDGEVNRA IHSPVNKNIIASKTVAGDVNIYDLNSLIDKKMVEGTIKTEKTPSLILCGHELEGWALS WNKINESYLASGSDDTVICLWDIQSRPNNSERKLNPILKFRGHEKSVQDISWNPSNEN IMISVGDDGLIMMWDIRESASPYCIATTMKEIYSDNSRINTGFKKSVGYSCIGHCSAN INSLNTIEFNPFRTNIIAVGGSDPVIALFDVRKMNRRLHSLNGHSGQINRLNFLLESE SLLASASSDSTVSIWDLSKIGMEQRPDEAEDGVPELIFTHSGHTSPVIDLCCMMNNLQ TTTFASISENNYLHIWNPGETVFLSDDEDEELERIKDIQVE cubi_00189 MEYTNRKLYGGAITLDIPKEFDDVSNVRVIPDHQEVFVDKFSEC SIIVEILDPIYTVGYGNNVAEYYFNDISEFNNSLNTKIMYSEPLSVYSNDLHISKCIG IQTLDKRYPEGVHREELNLYLLVLRIVSKNADIVISFNSPSKHSTSNQPLHGTTDACF RKLTEMEIDEIMNKILRNFTINDYDLFV cubi_00190 MDSGELLENVLSRIQLLPWKEQHDIELLLKVLRRYEIDNRVLRP FDKVYIDESNYFVVLFCDPVEGGALSESTQVFANGKPLIPLRKVHFLALPPFNAALSP LAQKVSTIRLNRMFQDQREFDREIEVSSSEVENLCGSDQLCKLYIEPYFQKKKREPVY VGRKMEIDGILFLVWACEPSQIGVVDRNTEIYINWDCFGEFKRIHVMPFSDTLPQTYS FDIFQDYLKPFLSRYTFHPFSEGESFTYNGVQFKIIATDPAGVKARIGDNTTIYCQGS LTPSIVDLLPVHLLESIIRLPPRSRPFSILQALAHLPPSDVDRIFGSADSNNNRGINN EIVSKITQKSYYYEGNETGSSDDAPLCTVCLSEVNEGELVVRLDCQHIFHHQCIKEWF KMSVICPLCKVDVRGNSS cubi_00191 MFGVITIEDQINVFPNELNNFSEICLKSLQKNRSPKIDDPLVIL RNRINDKYLNKVVKNVGLMVHFVGFDCVDHADIDDEGGLIFCVTFKMISFKPYIGEVI EGVVIDSDSTGLTVSLGFFNDIKIPCNDLREPKSIDSNTKIWSWDYENHKLCYFIDFR IRFKVSSIVFNDQVYDNCLPSMVIVGNVQNDGLGMISWWS cubi_00192 MDSQSNTTNQTAYTMVNDYGRQAATTIQNCGNQLLGSGRDYLQN SHFIGEGPKPLRALCFVGGIFLSLASLLGIFSVSQLFLSPGSYLFEAVLFGLGIVVMI VESKDIEKLKPFRDFCLEWAKFLTVPAGKGTLYLLSGVLAMSIFRINFLELFAGTYMT FLGVICIMVQFGCRNQLQAAGISIDSTSSFSRENTNERFNRLA cubi_00193 MNFELFLTNFGIYPWYELGMNEYKEGRTFEDWIKSKENTQGCYK NFIESSFFDDSSECHDKFTNIHGKLLKKNEHLRFVRRMRKLKYPESSIEGESTRILMI YWSIHSEELLLNDYNKLSFDSEDHSKNEASSLNTEQIHLFEDSFEIIETILKFQNLSG GFGGNFSHIPNLVSTYLAVSSIIITGDTEALLKIDRLKMYQFFKQLRDFETGGFKVQL DGEVDVRAFYCVSAVASMLQIVTEELFDGIEEYILSCSGFDGGYSGDFGGESHGGYTY CVVSGLCILGKSSIVDVDSLLYWVVQRQSGIEGGFQGRTNKLVDSCYSFWFTGLLFCI GEILRIRGPNSESCIQSFFCDFQALISYILICCQSSEGGLIDKPKKPRDLYHTCYALS GLSLAQRMNLIYKAFNGQNPTSFVECQISDKKYVEKCISYTPFYSLIINEENLINPTD PFLNIRPDKIQKSRLILNKYPLVLTINGCIGTEGMGYKEYIENVGIS cubi_00194 MACSKYEYIKTYEQPIRIVKNCWFVVRIDGHSFHEDHKFQKPND KQGLDLMNRCAENVMKNLGDIVISYGQSDEFSFIFRRKTDLWNRKSDKILTNVVSLFT SSFVFYWDNYFPNTKLLYPPTFDGRIITYPTDEDIKTYLSWRQVDCHINNLYNTCFWS LVSIKKLTEQEATEKLKFTDSSYKNELLFHEFGINYNKTSPQFRKGTTIYRAKPKEKK SRDEYLLLKNKKDAPLLDNCQEMTIEMNCKEHTELDKPSNPIWRVNDETVIIKCIYKC YSDIIQKKFWLENDFLLE cubi_00195 MNPIMERKKKYSNDHGNTMELNKLISNVSNIISESNFNGQNNPV CSRKELMSSNFNESSETSGKVWRKDKINMKNTITNLMENLSGSIETREVINQIKMLEA KNKIRGEIAELLPPNKQYQLERKTQYDLAQKEMKHWGSTIDRINRQETISYGEPEKID FVSTAQLASNYQPLDEFEQEFDNVLKEINKDYSKGTGILNHFDISPCDKIKNQSEKSF MKKLKFILFQQQRENKRLKKIKSKTWRKNHRKQMQIEEEKLLSLGEVEYPELVKKIRE RYEEKRAKIRLMRRQTARQKWAKMALRFGGRELQKNVSDQAQKQHEEKKRIEKIIQNV SNDCYEENESISSDQEIYSNSHFIQEARKNIDNLKNSSEGLFDLKFIQREIEFKNNLL DLELNEIENINNEGEIIIKESENCLSDDASDEVIRLSNPSINEIIDTKNEIENDFDSN HNIVGISFVGNNLKKRLLSNNNSSDEKGKLIEKHLDFQGNKDEKKKKMCLNIEDELER MANIDITENQESFQTNTELLKHIFVEGSDDCLIDKNIEELTDTNSINISLNNKSVPGW GNWCSSISGIEELNIRAKDGSSGRIKHQISPNRSIDRKMTKYCVNQVPHPYNSSDLYE STLKHPIGPEWNTTAIHNKFIQPKIQTRIGAVIKPLVYSKHLKNIQISDSFLEKWNQA KKCNRTKARF cubi_00196 MPENYQTNIGKSSKISDLSSKIREAENDLSISRGIKLRNNSLGN QSKHMNGLAFNKSAQDSKEVSELHILRLKQIETAQRLKETLRRNVDLMTELQKARGVV RNLEKKLSLIERAESVNLLHDNAAKDIIPPCNHCPKQQEEINKLKLHIIEMNESFQKR WDDTQRELLQAKQSLSDVSSKLFDSMNNYQMKSPQETSQIQSFNDGTNEFMEESSHFN DRVLERYKNNNTNNIIYHRNGSEAQSPSTYRLSRPSSSNLSNDLNGRNLNLNSYSRIS SRISNIQYNEYAHQPETPKASFNNRNIRNLLLNHDRRNDPNIVIKHNRPQSEHLIKYS LPETARVLPNNHNKSSSGFYQTAKDGINYDPITQIRSLDSITINPGLNTHANSFPPGL SCNVSNRRYDLNSRDLIPNNLTNPLVYPNSYSSYILSSQNKIQTQSDLFVSGVETFSS NKIKNSIFPGSKNTSCDTQHFDNNSIIPNQIEGECLNETTNYRSYSVTDSNNLQSSIS PPSNCQTIIEPIKDQAVNNYNTSFNENLDEYPSSPATKDSLNELEIDQSTYGNIRKTL EELKKTQDQRVQQLKDDREKLLRAVLSDLQEMKDFKTNLNSIKENQERMINTAPKSLF SLEDSSKYDNI cubi_00197 MVFNVSSLIEPNRFNSFYPSLENSPILTNSWTIGIFIRLKISLW APLFEEVTDQRLFKEMLTLCINRGIPPPQPPTFIRILEYEHLTGFTGVLFEFPKNDQT NKIINRENILQFLIQCREDKLGGEAHIASNILLRNSPVVQNTNSIQELKFKKIEEDYS MATTFTITFLMVATTLSVISAYVIYYSVARNKK cubi_00198 MNIPNVSILRKLYFLIIIFLIFNINESNALNTQLQNERIKSISD LTKTDIISLIIVGCFASIAVSAGGGGGIISMPIFLSLMQVPFSQAVTFSSAIILGGVI CALITNLLQCKHTLPEYNDAINFLKLAVTENQIYEYSYSLIPVEKAPLIDIQIVLFIA PLLTLGSLCGVFIGRYVSNIISILALNTLLLYVLKVSISKFNKIRESEKKNTKIPYSE SELLSPTIFQTKQMISAEKVKDNLKIIMYLYTLSIIKIDKAEMDNIKEQKDIIEITPS FHRNKWAKLRNYKLWISFISLCFLSIWISILDSGVFLTKGTHIHSLIWLFNAIILLVF PNIALPKQSLNLITSIINSKERVAKFIKTFRSNSSIQDYETIDIVSYIQSRYRDNFSN YILASLEILLVGVIGGITGASGGILISTIFYSSQVDPSSIAANNSTCLIISTLTCFFT YLFEGRVHFDLAILLLVISIICTLIGKNVIDYYVRKYKFSSIIVGILIVLISTSLIYM NLRLFKLIINF cubi_00199 MISNDNLKRLASTSAVMSCTLGKATCLGMDKICSPLADNDVTQR KTQIICTIGPSCNSVEALIGLIDKGMSVARLNFSHGDHESHLKTLQNIREAARARPHS TVGIMLDTKGPEIRTGMLEGGKPIELKAGQTLKITTDYSVLGNSDCISCSYSLLPKSV QIGSTVLIADGSLSMQVLEIGDDFINCKVLNNVTIGERKNMNLPGCKVHLPIIGDKDK HDIVEFALKHNLDFIALSFVQNGADVQLCRQIISENTHYSDGIPSSIKIISKIENLEG VINFDSICSESDGIMVARGDLGMEIPPEKIFVAQKCMISKCNVAGKPVVTATQMLESM IKSNRPTRAEMTDVANAVLDGSDCVMLSGETANGAFPFDAVNVMSRVCAQAETCIDYP VLYHAIHSSVPKPVAVPEAIACSAVESAHDVNAKLIITITETGNTARLISKYRPSQTI IACTAKPEVARGLKIARGVKTYVLNSIHHSEAVISNALALAKEENLIESGDFAIAVHG VKESCPGSCNLMKIVRCP cubi_00200 MASPYDYLFKYIIIGDTGMSFPNFLLSKFIIFSAVGKSCLLLQF TDRRFRVDHDLTIGVEFGARIVNIDTKKVKLQIWDTAGQESFRSITRSYYRGAAGALL VYDITRRDTFNHLSRWLSDVKRNATPNMTIILVGNKSDLDRREVTTEEGVEFAEQNGL LFIETSAKISNNVEEAFMRISEKIYRNILDGIYDLSNEREKREGKRGLLSNMDLFSSN NSLIYYNAQLIWFQKNKLFLFSLTNSQKAEIILGNEMVENAVVSMGISANGIIVACSN NKVIYIVRICHSNFELIGQYLHSKRLNTSIFKPDTNEIIIGDKFGDLYLININNIHSI PSNHCNLSSLESDSDEENLQNNMAGGIIPKMGHLSAVTCSIASYDYKFLFTGNKCGKI WISNLNYLEHTFSILCGHSDAISSICELNLIDESHKLIVSSSLDKRIKLWDYLDGTEL DSINLEYSALMKKVFVKCELLSGIIVISLDLDEKSKVFRFRKEFTIIKLNNTPNSLEI NDITEKSSSTVLEELIDMKATKGCILWYKNDEMSLPCPIILNVQNLRSVHSNENKYLN YTIIPDALTNLTGPKTNILSQSNNSQNCDLKRVRTSD cubi_00201 MLENDLPHNELVWWRMTKKQRYLPGRTVILELENKTVGIEEEHE KILRKLIKHGSVIIHSFDDMKYAVCNSSNVRLYPTFSEQEQKSLEKECIKSTKVNYRA LLRIKKIVELRKLDQAQFESNKTNMSPLEIRMIQFFKDKDSIINISESIQYLENNTAI ELLGSIVNRKTLKTMIGQSPQAYFYLDKNLLNEKNRNILEKEQDTITESIESKHWQNE LNEIIVNRKTICELLSCIPFELLSSKYNEKRNVELIINKISANDCEDQMIEKFCKQNI DSIYHGKFIIEYIKLSILYLRILSKSIALRVVNANQKKKTRNKSASQYVIVLSLELLF CDPYEVKMLSLTKLKYEEKNISRVNNWLGFLHIDADSNSSSNEVLSNNLEKSNAHDLS LCFKTTIPDKNSRKNEFYYKVDLNRISSQLVISEELQNAYNFYSKEFNLEDLEHRTKL FHVIKTSCPMMIKLLREWIMSVNGGDFDHLGSIDQLKKIKENLLNVDK cubi_00202 MFFANIGYYRWGFSNGSNVKYSVSLNRIYSEGVCINTSIIDFES CTEIIEFENFESSKSFNNISSFSSKSIKNIIMDIKNASLILTCLIATYLAFLSFSTLV LLIQEYINNKRYAHIFSIILIGVSILFIKSGLFYWMLMHISWGKILKTDSSNVSIQVT YHSLGSGFYILVGILLLTLIELIILIKILPKDREYSKYSLIS cubi_00203 METVFCYKCKSKRAKVKTRFLSCIDCFNSYIEGNVRKEIRTGPI EKLKKLNSDYQLKKPQKLEFYVALGFGLSSQTLLNLLSVIPNTGKRPDYCIKSFINVD TSPLINKDSSEYYESVNSFIKSIYSHKVGANEYKTTPKLHIIPFCSSFTSGETKADKE LRQKIIDEIKLHITRGTDYIKLFIQLVLLRDIKFYLDKIDNSKQKCLVLASTSDSMGS ESLQYMTLASGIHIKSIYGHLDFRWPTEKSVFFLIRPLRNFTSKEVILYWKLNISKIL NCSIGPEISINRSPIEDEVCKFIVSQNEKICNITHIFMKLKENNNEQHAICGEKKKSF CAFCGIICIKLIDYEYCKICYSLLKILNIFN cubi_00204 MAITFNKPIKEWYYEETSIWTLDYPIFFAYFECALGFLANKFDP KILVLSKEPYFTKKTLIFQRLSVIITELISLVPPIILICKTKSKSETSSLYLSLFCTI FNASLVMVDHIHFQYNGLLMGILLLSIYLTNNYPILSALVFTFLVFTKHFFIVLAPLW FIFLLNTCVKRSEGKPKTFIKSSLKVLSAVLSVSAFAIAPIIINGQIKQFFSRLFPVS RSFIHFLPASNLYTLYAIADKLLAKFNLIPCKIYNLDPEGHYIKSMKCIPPIKPFFCI AICILSIIPVMVRLWYNLSNVKKPMKAILLTSSISLLITFQFGFHIHEKQIIYAVLPL GIYTILFSRNDQQFLVYYIYLSNWSNLSIMVLLETYPENVIKYIIVTAYYISQLLFFN INLREYSFHNSLFIFGTTIIFFLEFFLQKLVFDKLQLVFIFHALSSLICTFPILYYTS YFYYNWVFSATNNSKTNRFSK cubi_00205 MGNLSFLPLENEEDLNNLFLEFNWLEERGELIKESRISFDFKVI DEKRKYYNIYRFFGGLNKYLKNERNKEIDNIAESLEPVLISEHDSFFNEIISQNSLKY FPSLNGIFLEGIEESIVNKIVSVIKMQNNIKKDVYPNIIFYEQISTSRNYFYTKRVMW ESTIKNKLYELSKINLLNAILKHWLEFQSLITVTQLHSLGLFHGDIKTENMLVNQLYT VKITDIAPWKPIFIDSSDLRFWTVFFENQNCNARSNVLRCNLSPERFLSENDIKNLVS FESEYELKNKLLSMDIFSLGCVLNEIENDEITFTINDILKMLKHENYYSKLNDKVNID WIKETFLNYNWKKRPEAFVTLLKLLNMNRIYEINSLNIYLFDHSKKSNFHFCRSFPLF FYPLSVIMQNRIFNDMRIQIVILNIILPIFLELLIIKDINLVKISKDNLENCDEIEQI FSNIEIEYTDGWSLNKLKNTFQNKFDYELMKDLILSVLISDIEYQIFDERVNLENISS NYSNIKGFFSIFQLLLNYWDNKNEKFTSSPNIIHYINYVTFNNNNIQLNSDNSIIEEN RKSFFKLLKKNSLYIEDYNSSCILYLHLMNISLRELTLFYISEFNNHNDLNMVEIYNS IYLLSINTMNLLLEILSEEFKKEIAVKEILPTIIQYLIFNEYSNKNNSKLTQFKFNFN GNNFFNYELNDLVQCHQDYHKEPIVILEIFNFINCNIMKYVRIENETLVNDEINGIIN DLIILNIEKWIYLYVILNNILVVNTLLEIAHKVLILILKNKQNKFKLNVWITEILTSN NSALKKLIVKKNTENKSILLEILEYFLFINKTEIFISEILPYLIDQLNDKDIDVKCNF CELIIHIMEKMELIFILPYGKFCIEKCLNDKEFKVKLIGLRSVNKILNRIISAENKKL GVNESEIIIHEMIESIVNNLKIALFNNYYPFYIEFGNTLKYVFIYSRKYNNWLVIFLL INKFFGLQKINVNYLFNIFKLSEISGSEFLAYCFKNMFMGNQIDYISEEKEIRTTNNL KTNQIQPPIHTKLILYSLPCILNQLNLFNDFEEIIFISSLIQKEEKFSIRKQAICNKL NCLTKKKIIHDHLCEPDLKKLLPSIKGKYLGSIYNHSKLACKNDNNTIIGIGFNIYQK ILHINEDTYSCSINNSINAGVYLHKLNVYDQLHYWNLITDKSNDYIFKFNNNSYATSI ASLSNEFSIITGNNIGILSKINIDTSIVTINEHYYEDENLLFKQSLIYKSPVILLVGR IEINSKEMIISAYSNGDICIIESTNLITKIRFAIPPFLGNVIDYSTDNDPNGHLLCLV TDENAIVIVNLFYLKASKIWKISHRELKIINVSNSYLNHSSKFMLAFNKNGIFALLDP IIGKIYSNKDLIFQITEVKERLIHIYNPLLLSSKIMHPESCENKQKCNCLYCKAQRVR IFGNYLNQYVIMNNYNDNLIKKERLISRNVIGPLTTTHRNYIDKDRGTHKYYIFNDDF GNVYQQNFSVSNINCDNNSLDCIIGKYSTETSLISINDNKNYGHRDIVTSLSIYPFND NEIGLLTSSRDGIISYWN cubi_00206 MVIERTNANNDKIEIALENVKSHDSNKRIQGIKLFIDMIKTDYI ILSVDMIRRLQLAFECEHNIEVLLQLSKSCTNLGLISLFEDKICQLNINEFDFFSKGC IINTSNEIIYNAYKNYSTEDHTSKSKSDRNLLLKIEKIMSIVPILQDIAELDDVYVRM ETLKSLKSLINIITFIIEEYLNSIHGCAKYNELKLMFNKSLEIFQKLANSDILSHKIL SCHIIPLILEQKRLSEIFDEKNILLTKYSDFCVHPAPMLRKHASKVFNYILEQIEIEE GIIEILVFPMIRNFCCDEQEGVRLIAIKNIEAFFLQITELAQSKSEYIKNVFIFFEKL QPFIFILYNDVSWRIRSSVSKPIINIYSKLFHLVNLKEAENVNIYSIKDPSTNVFNKA QRIENLNTEYYENGSQNLHVSFDENNMCSHPQSKNNSDLSNKDYLDKLALILTQLLMD QEPETRISTLSNILRTVEEQILKSKSIAADNKLEISYIPKLLFYDVIIKEINNKNSLL TDQNVSVRINLSRIIKMIILIKVNFEIDEYMNIELENAFDNLVAKLIHDEDGQVRQSA VLALCSGVSESIDTNFLINKIILPHSGSIIKDNFWRVRYCSVIFLTLSLYKLLSSHFD EEEIWNGKINKNLGEGIQDFLNCYKGTIDVLIELIIVNIRDKTSIVRQLTSIFSLPLL SFWFGFEWTKNQLWNKNILPMITSEKSYMIRITGLLSANSIMSGMSIRSFKELLDVIK HIIQLIFIVAPTFDSINEEPQNYQDPSEENNNYLSLTRNEVIDENTDFFVNNGSPRRS NFCPHNNHLAEYLPLINASNLILSLNPSKTNGITIKPKISSFDYELQLEIISVILQYS VNDIVPNVRIKAFQTIDSIMNRNRLGSFQKSVIDQVKYSYENDPDHDVRTYCRYILRN SVKDEIELGNMKII cubi_00207 MASLGLKIENENVNVVSNTTNFIKQIVEDDLKNGKHNLIVTRFP PEPNGFLHLGHAKSICLNYGIAKIYNGRFHLRYDDTNPYSEEQRYIDAIEKDVRWLGV DWGEHKYYASDYFEQLYEWAKTLILAGKAYVDHQTIEEIRKNRGDSSTPAVESIYRNR SVDENMELFEKMKNGDCEEGECVLRAKIDMKHGNPNLRDPILYRILKQPHPHTGDKWV IYPMYDFAHGQSDSIEGITHSICTLEFELHRPLYDWLQSELGITKTRQIEFARLNMTY MVLSKRKLLLLVNENHVAGWDDPRMPTLSAVRRKGYPPQSLWNFCDKIGASKRDQMIH IQLLEDCVKENLHSICQRRFACIQPLKVVIVNWDECFQKEIVEIIVKNHPDDNINMGE RVLYLSRNVWIEKSDFWFDENQEIDSQHPPSDFKRLFVGGSVRLKYSSVITCKNVIID NNSKEIKEIHCIFHCEHENDSNVNRKKLTAIHWLSTSNVTNVEFRLYGRLFTLPEPED QDKDWRRFINNKSLVVMHGFSEKNLLDDDFYNSKGEIKMNRYQFERIGYFTRDIVDSK PENNESNLVYNLTVQLGESPDLLIKMLTESGAKESTKDIERRSAYHLNREKIAAERAA RKLAKETKKMQKNDL cubi_00208 MDRSFWIPILIKHLNPETLQEKVIIITHSLLLDLGFIPVRSINN KDNEVEDASNQTNISQRFEIPISSRDKGILIELLPSNWKSNNGEYRLFYINKTHQKQI CELVPELTVEFISSKVSVLSLIRSSLFEETLKLEFPFQTLGHSSSDSLIFELSGSIKD RIDRFFNSNKIYIFNNNSEIDTRERHRISNLRYEPLVETNTKITQKYIGNNFPKSLDP LIDEKGVKGNLVGPESIIFKNQPKSFGIPKNIPTSSIPGGNTIPDNDMFFPPGNNNSN YMFNFSD cubi_00209 MMTSKLEGYKRVENSNLKSPQKRVERRGGVRTKKLSNESGYYFR DDKDQLIGPFSASIMIKWINEGYFDNKGDILVREVDDLDYVTLESIIPKLMSIEKEVI LSRLYNAVGEKNTNDNPDSIQVKSSAIDPIGRIPAKDIYLQEPTCDLDIVLIPNDKAT ELFEKNMKKMEEIKKIRGIQFSSSLVDSEYTKAKNKGNDNIISLPTLNTGDLVKRAQN EFINNGSKLVSMDELDSRILPPVSSLRIPVHSNLLSLASPIMREEINQIMESRQEINE QFNLNYDDPNLQNKLDKIKTSLLRPEYKIKAIYPEAVRMLLLFIYGHTTSLRGANPYL ILTVLHEARRFKVQLLEEELFQMLNSPLNIDVIVQMSSVAESLEMEGLIELTVYLLAD CAQALFKGPHLALGPDVLLKVLKSERVIMGEIDIFLALKLYLIQRESSMGLLSNKGKD SLEFLKNIRFEQMAPHDLLKIRTKELDSLLLDAALNKLLGNEGSGRLVPWKENNEFTT NTHLGLYPISLARKTSLSGKYKWAWTIGDYRFVSDMIWQVQVCKTYMGRIRVGVCCRG SSEANNTSQVPKIFYYDFMERCFGSAFQTNDVYNLRSRINYKNGKKDQMILKTNMVLQ VRLNISRYNLILTVSDITNPSKIEFETSHTFAHGCSVTNVGITKRPFIETANFVEMFD DGDKLKLK cubi_00210 MPLFGKNRNKTKTGQTQNNSKYCFVDEIGVEQGPFDSNEILNWI RNGFFDGKGDLLFRNLTSSPSERVSLEQILPELLNEAEANFSNKICPNKISSIKKIQS EPIEVKEITLVDDQIKLLEENDDQHKDLILIVKPSLKAADIQVKMNKTRSNISAIFVD QVNAINTKITGNQLESNDERNHFPISYFEKYAEQFYGSEEFKGNDSLAFAPILKINVH STVLATSSPLLKNSMKTLFEEHFRLRERVAAGKCTTEEREQSLINPILTIESDSPIAF QAIIRYMYGHTSEVLSMNKLLLVSMWKESRRFMFKKLEDELLVHLFSTKLDIVDLVEI AAAAAILKFPKLVDEIVTMIASCASYILQGPYLALSVDAYVKLIKSNYMMMDEIQVFY ATQYFIQQKEVEVGIWTESFEQSDYKEEIGIYKFVRYDQMSAEELKSIRVKKLDSLIL DATLKKLTNNEEEGRLKPWLPNSEYNVVYRDGEYPVGLVRSGKNNSNTNGLFQSRWAW TTGDSRLISEMTFAFKILKSFRGKLRLGVCCTGKPVITETQNIRMSKVFYYDFSEKEF VSAFLGSNIFQCTSRIKSSVIKKENIKTNNFRFGNSIWKVNQNFKTGGILGTKLRSTI NDEYAPDLDKKIMAFENRIEKGQIYHLVVSIHDYSVLLRIESIDCGTYIENSYNHGFL VDRLEVSRKPYIETKIFIEMLDPGDSLSIPSFLTTTRQQKTNRSK cubi_00211 MNNCTGGKCTIENAYHILLNEINSLSSSEGYFDIFCSESKGKHI HSKKDIPNGTNFFQEVPYVSWPIKTSFGKLEDLIFCENCLKINHPDNKDGFLSLSILG LEQRICSKSCFKKISGVDFEDYDPNSSSIKSGWGYYMNGTKGISTLRKYQSSVDIMGN IPITAEAVSRCVAQMAADIYFYWCKLGMKNENLYHAFKLGTKPIENFVAPSATLFPEI DFNSLINCIHSVLFDPMKDSFSDSFIIDSLLSKTTIEQLVGQLTLNSQGLNVWGIHSS SEKFDVLLDCKPTIGIIKGGCICVIQSCFNHSCDPNCYVHTLDDSTIYVTANKDILKG EELTISYIDNTLPLVDRRILIKNYHFTCNCVLCKKEERSNQTDSEKKKRLE cubi_00212 MMSFQNIPIIPVVFPKIELLNRFNKLHYFKRKYIDDTHCCNIEP FKNESKNLRMFNKPIYPKVKPPNICLVNCKASSIPIKDFKEFVNSFSTNSNDGTQCHP VFNETNDSVKIGIKLRSGNIAKKISNFLEIINENCSNVQNSTVINNWEILDDINGKRI TIEINSLNDKGIINIQIPSEKSLPVNLEYIKSKNSLSKNDLDAIHFLMKPTNDNNKFE EKNLFNKYSFSNGQLYGKNHLEREIIGHFEQIFDSLNKSIFSNTLFNNLNNAFNSREY KSNMFDQYSGKSEFLTTNNDSNTCNNGVISLLEDLGVQVFFNRGNDYNLGKNSWDCLG GYEEVKRQIEEHILLNFKYPDVIDKIVNGTRIQKDSVNRPKLILFEGPPGTGKTTSAR IISNTIEVPLIYVSLENIVSKWYGESENRLAQIFDTAKKFEKGCIIFIDEIDTLASSR DKTFSMHEGSKKILSVLLRKLDGFDTLNSKTLLICATNRKRDIDEAFLNRIDSTVFFN LPNENEREVIFKQYAKHLTFEERMVLAKMSKKLSGRSIRHVCLEAEREWASRLLKKKE KGECQKEEIELPTVEVYKEALKKRVN cubi_00213 MNIKTILSSSLAFIFLGLISLFTLFALSKSHRIKTSNSVYNSSE LNSKRGPSNQNAAYIITNLRQSSVIQATNLSPTNGPINSIVKISIYGIGFSLFPKLFV HFDVTEGPYEVVPASAISDTLLEVDTPSGLSYGESGKSVLVSIHSHPDIMLQSSNALI FRFYEVPIISLISPNHTGMSGGSEIKIFGTGLFASDDLKCLFQSKERSKIVDATYSMS TFDISTMKNFLHENYNKNTLESALITQLNKNLPDTEIKTIIDNILNHNPMPSIICECP SWTVSEKVSLSISFNGVQYHICTDGFTFEEESSLFGNVAHVVKERDTPSEIVSEDEIE LKNLQYRTNNSKIISSEIVLKNVNSKSNSHYITHNHQINDLHKYTDNSGNTYTLSNSS NASLLHSDYGLFLEIVILFYSSFIFGTVCKFIGLPLLLGYIIGGYIVGPSGFGYISQP IQIISIAQIGVCLILFTLGLEFSIKRVLSIGKSVIYCAVSSMLFFVCLCSAYSILIGT PINEGIFIGSFISMSSTAVVICELQIESNESMLTVGILILQDLLLGILLALIPALQIT SIDNVSPRASNTNDFSNEFNQSKIHRIPILFVYFCLFLWKIIKPFIILMIFFLISFVV KTIIFPVILFILTKTKSREIILIGIISIVLCISSFTEYLGLSMEMGSFVGGVILSSLF NEEDTNNFKQDFIQSTESLKWIFSMLFFTSIGLVIDATFIWDNYWTIGYVCLLISLIK LFVNFLIFFTFGHSVKLSAFTSLMLANLGEFGFVLASKGISLGIISRKVYLILVATTV ISLLSTPIILRIFSIILKRKVLNIPLNENNYKRFNAKNNGIVSVRRKFNFSIGNSIHN INKRKVFNITNIIKNLSIFNRNNHSLDSPKKDYKFDIYIQNNYLNSRFQDDRILHSNI LQNARTNPNFDFSLNENELEDLSQDKVANESNFAYETSSISLEKIDEFTLAHVTNLGN SSSKM cubi_00214 MDDLKIIILRQNEVLKKLETALSNVINRIESIEKIFYNTERNKW SDNLSPILPKKTKYGLLESNPHKSEDLNKEIGDFDLSAINSAFNLIPIDVLKIDYMNQ PLNLIEDNNDIDSHHIRDIHINNKFLGLFNSKLYNSASNKYSDHYQQPEELSEDKLEN KSIRTNLKLPAFESENKLENFECEIFQKNNENDFCATNNISKLQIRSLWKNEFKSNQK SQSKETPKVISNRLDMLNKCYNYNKSAILSNCIGKSALNTGLSNQLYIFDEEDNSSMR HDDPLDLEIAENEFYYLKTHIKRGSTTTRDHSQSSGLDNCHLFKCLYPTAREIKQGSD NIHNFGICLNNKQIQMESNRYSIKEIKYHKPFEKIIGYVQIPYSAFLSQSFEVSDKDK TMKIMNSLEEVNYLESASIYNETKNCFKANIFASNNDHYDGKFTYIKGSYPIFYQFFS FQRYPILFLRPLFSN cubi_00215 MILDDRLSNLERKVNEQNEAIKKIALYSLEILDENDRLWKQIMK DIFIKKYGSLHRKTSEPTLRFRSKTPPPLNEPNFIKEEQSLRVRKRIEEFNKDMVSSI LKMEMGQSQIFSKNGRK cubi_00216 MGFNSKESDHSINTSIEEIRTHLDKIVKNDNLHTLTPRKVREEL EKALGLPSDSLKSRKSEINDLIDTIILEIKEKNSTYFSMEPQENDTSIIHKKSTEKTH TNNEEQYNNIDVDSSKTTKQSEKTTKRKQASMSVEEFLEKSQVLSLTINGSNEKLAIS PRQFSTGSVGWYYGGKVPLPVGNDLEVVCQVSINCTVVGSKSWSQNTSKKPRSN cubi_00217 MGSLEKLGVIGMSDSAIWSVASHPKDRIVASCGNSIVIWMDPSL KEHDWYQEIKVENEYFIIDRIWVKAYEFGNFEHRRLIRKIIWSPCGKMIISASFDSSI SIWEFVSKNIGWACICRILGPESEVKCIDWSPFNNFLAACCRDKAIWFFSLDFGLNRK LGTPIEYDCIGVVNAHTNDIKKIKWHPTVPMVLLSCSYDNNIIVWAPASQFLAEYEVK GLEWVKLYTLNGHFSTVWDFTFSPNGEFLLSCSDDSSIILWHSDQGNENKFKNLNPTN FALTDTFKIILQNTLNAKGLSKYIQIDQASSFVNSYNKELYSYPIYSIEWCKYLNCII VSSADKSLHSFSITDLNKLKHICEKPNAHSGEINSISWLNDDKRGEFISAGDDGEIAL WKFNFE cubi_00218 MINVILHIELVIKLSIGYFVIDLHSTGDEKGYYFIHVFVGNPPQ KQSLILDSGSSQISFTCITCSNCGMHDYPPFDITKSTTGKSCNRKLIGSEKCTYFHRF NEGSIISGKYFSDIVSFENIHEEYGITKDNLKIKYDYLGCNELETKKIYHQNATGVFG IGLKSTMDDRINIINFLLTSIGNTLNINDFNNIVISICLLYSGGKIKIGEYNEKIIDD HLNLSDNKFNYIYWIPILYPSNLYKVRFEGISIGNGKLSLLDKKKPLFAIIDIGSTFS FLPTKVYNKLFSKFSKICEFLNKLNMNECITIGQSLCLSDPIRISSLFPTIKIKFGGQ ENLINWLYTSYLIKRKKAWCIGIKEQTSDQNRIILGISFLKNKQIILDPRKKRIGIGL NDTIKCKDERN cubi_00219 MTIGIPLKLLYEGLGHIVTIEMKSGDLYRGTLAHVEDNMNCMLE HVNATMRDGKPIALEQCYLRGSQIRFCILPDMLKNAPMFKLAANAKNRASILGAAGNK KAFATKSLLRGANKGRPGRGLPTHSH cubi_00220 MSLPSCLFEDRFVINSVDSGKFDRIGRIRGRSVGFDADLILDIN NELFPVREKESLYIGLSSQVSINPDDIVWDNSGPQTLMDQYDYVMYGKIYRVEEKQSD RRSLYASFGGLLMSLTADKNLVGDFSLDMRLYCLVRRSEDII cubi_00221 MPSKRRNNGRSKKGRGHVVAIRCSNCGRCVSKDKAIKRFVVRNI VDASSQRDIRDASVYSTYALPKLYNKMCYCVSCAIHSRTVRVRSVTDRKIRANPNSQR FKAQV cubi_00222 MDSRFNKFYNAFKLLGVEVGASFKEIKSSFRSLAKKTHPDKNRG GDEKLAHENFTILRDSYLLLCNEVERKQFEKEWASFNSNNISQKYEGKANNHCSLNFN ASEAKKLPKKGSNDKIRFESLNKESIHLINAFKDRFKPRIVKIHKGISKQNLRGALNH AHIDKIVDKFSEFEKMVVFKLAEIIQTYNN cubi_00223 MALQDTASTAGILAELNNRLTSYESTIRELRSKNRDFVNRLRDS QQIRKDLCIKVNSLQNDLEKRDKELKTQKEQNKQLKKRVTNLNELNSNYQNKIEELKS VCEKLRSNVKNIDLNSSEDLTSFNTENTNTVCINNFNEKRQFKSANDHSNNHKNLENL GKNLTSNSTEPNETANGNTQLTFQSNQNKSLLVKKGDLKFSVEEINDLNMKYIPVHDD PIDRAIATFTNSRENKILFTRVKQGTYVYGRLMVEAKLVTKPGVDKNKPLLRIVSRGK LYTLADFVNTHENEELNNIEDSIRNQNGNLVSDGQALVVASPINKFRTAQVLTPSPMR ITTSPSVQQNSSNSGQPTTNIQHFIQSPLSYTSNRIPIIDSKHTQGVNLQSYMVNYSN SANEILSAHNTTSIVTPTYYNNVNGMRQLSPVNHKNPSFMQLSPMVPQHQFPYYQHLN ESSNLNNQFNQNLSYHSKTNTSILAPPPVAEYIPVTTPIIMARQPINTLNCPNNASNI SQVPVIQNISQMGTKPSESKPPSVNNKLECFIQKEEKEVKDLNNSLSLCSVSKMSQQP IQLLESTNKQNASIKCDQNKNIDTRSSIKEVGNDSHLENDLLLNKNNSLIQKNLLNQS SSQIKSVSASTIGEWYRQASIMKRCNSYSCENFSVTSNKNA cubi_00224 MSHLLITYFEDLNPSLCNIKYLCDKITQGDFDCGNNSYENEKFK TKQEICSHRDLITNQIKGHFSQFKDLEKKSDNIKSLSEMLNAANKAKKMVNSLRKLF cubi_00225 MFLSKRCNGSPKNIEFENYSQNNRSSPMKKIFCNQTTALFMNTV SQLLIIDIIFIIFNIYINKYILSYINHIFILVINFAITYTSLVISRRLDIYSSELVSN FEKKINLNITDEISNCELLNLEIQCLDSFRLIKNGIISIVCLLFYPIRFGIFGYIFFN NNWKIFLFVSKVIELLIFTAIFEFLRIKLLIIPEQKSILINISNYFDNLKTLLLLRNH RENYLNSSKTINFKKKLLNYILAIFQSIYIIYFVFGILQCLNENSHKFEFSQIYVFNY WFAIYLIYSVKYINSCILLVINCNHVVTLLRTTKNVKLYHDYSSQNIVYSNNINSEIP NKNQDSELLNEIKDSSNKFEMQKNGFIPHDRHLLVNNIHDNHLNKYLTNYSTSEIVAD IDYVKLNGYNSDEKNNTVSDKDKSNSQSSNDTLFNPVIISYKNLYLSLPHENKYEKQI QNSSNLFKYLSNLCLKKTKKQNNFCSNYDDNDLDLINNELMESKFYLNDINLSIKIKE IIIIFGSSNKDKELLTKYISGFPGINSNEQFEYYSTDLFKFDVHLINKNMLEFVSNFY EFQKSEFTIDPFDFILSGNSFNKKIFELVYNSLLSEYFDKSEFMYENNETNRDYIYPK VEGIQQFVEAKIFLQLSQFFYNILISLDDYSSAIVIIDGIFELLLPRTYIKFMEKISP NNSVFSEFNISFIITTNNDSFSYLSYLYNDNSFVKLAIVNGKGLNFINNDKLNSLELK ERSFGNLPSIDNTINEVFNTNFNNNSLYSCSKNIYSISGLYFKQFESDNFYSNKLLLF VFFIGLISFMIKIIMFSNQYLIKSQGIFNHIYDFFVFEILSFCPLSSSVGTSTYSNSL SSIFIGYVTISSFFLNIHNTTLFSEINSLKNDQGTSAYGKVFSSEELLKFSRVKPEKN YKSLYFVKFKGKQMLKTTSSFYRDHFFGSEIINIPGISSTGFKNALISTKLIQNILAQ KLKKESNSKFLPKYKQFFMGQKNKLKFNKNIILIIIVFSIINIISKIFIYISIFGFSF INTNSMYNYNCFNEFLRIISYSNDPISVINDIELRKNRYFLYLFKEIYIKRSKITMYY FTLIHFLNLLFFFGISNDIYSTNGIEILMNKNLLFITIFFPILYYIIKCLSFNRKKIK LPIIKCNSFDYFYYLNKSNSFSWFKHQTSKLLRIKAESSKEFLGFKLNFLLISLILST ATSYLYFLFNYKKKENNQQLIMDSILFLPIIFFLIQSVHFHVIKTSKTNVSHSLNFYG YLRHLKTSIYKAYYFPTYMKISGNSTSPKTNKSFSPDNKERIQNEDGYELSTPISRAS RKTDPILSVNSLPVKLNSNIMFSLINPLLFLDNSLTFELGNSLLLPIKELKTVNINNL DRIAIVSNHNLIPSFWRIDMVLDPKSIYINDNKQLIYTLELLNIFPKNYKNIRPEVIL SLSIQEYLELINFQVDDNIFTNEIKTTNIEYGIGMSKSSKLKEQKNLKKNSLLNVIYI KKLLLFGHFTLYSMYYKELILHLDYEMDLNFWISLVKQFFDNKTSSIKNIVIISSDTS LFKVDNA cubi_00226 MDIETNKFDKIRRQIEFYFSDPNIRHDKFFRKKLMEYRYLHDFG IPISIISKFNKIVELDASVQDVINSLSSSKVVYVNIETLTIHRFKPIDVDLFGIVPYD QMLFIKGIPKKWDHNNVKSLLGRFGKVSVVKLPKINKSNIRRHYGFVEMETPQQAKNA IENYKEVFPFSKIMVLPWSNWVSKKNAFREKSRRNST cubi_00227 MRPERLNKVIQGHASSSSNNIILYGENCRKLPNQSNLQVMNSQD IKNAGVTTNKPITRSMASSTGNVMSISNSSMCNQKHSSKLADANSKNSNTVKSGSRTI PGSSYNEKINGKENSTNKENAINPNTFAEKQLKTVGAQYTNTLEKVISVDMNNTDASF FSEYAEAIYQTSRDLESKKESLLFTASQVVSAQPSVGKQILEWKRSGVNLLFGLQITF NVPDEVTVIANSMLDRILGLKHFQRASEMTLVSATCFWIALKYEAAYVQGENLKIPPL PALSDVAEKCGLNIAAILFCEGVVLDALDWSIEFPKPSDYIKRYCLVFKDQYTGNKAT RVESLSIYLSHLAIHEPAMMGVCASKLACACLMLAHKIEGVEESWAPAVEYYTGYTRD SLKELTRYMISNLRTIRNTENGESHASPSHYQNVRKKWWNSWGSNEWG cubi_00228 MKKNSPGITLNKSRNFTSNKSSSQGTQNQVDKTVVKGIDSQVQT KTYDQTSKKNISGYSVNDYETNIVSHSDNNSDSSKIVCSSSFHLPYDLKEIPEPKIRN ELVRKKILKLESYLSLCEEFYLGNTSKTESVSDIFQSLIVSHNIDPEEQYNAFLKRQS NNNGYTKSSTKTNIKNSHLLEPITNAKSIISPLQLLTHPFRNREVIDLWGPHEVILFE CGVCKYGKEFDKIQRIIKTKTTKEIVDFYYCIWKRTSRYKAWKSNRQLSEYIFS cubi_00229 MEACLFDVQSLVKAIQSLQLSKANKKNGEGQFLTCIISAQGIKL SNSTLSKDVYCCSWLKKDVFKKYVYAANGTNCLRFEICLGTILNCIQVFGLDAKMVIL TFDNVSLHLSITDDDGAVTDCSLCTYNISDETEELHYLNFLDYRNAAILELDYVIMFP IILKELLKDLCDVGRPESKIKIAFYPQNQAKPCILSFSCSVEGEECIWEFSDDHNVFA GCKILQNNYYFYSLRSLSQLEKSLSVCSLLRIKVGNNGLLYLQMVIKNDPNSTIKGSI YNLSTEECNSESEDKISQQVFNTEFIISPINCSI cubi_00230 MNHLIRSHNSKGRIKTIIKNAPIELWYNIFDQEITNAIIQHPFV EQFTWIRPNCENVDMEEPLLQHIVGSKFYLFENIFPNSIISIPFIQESIVNNNAAFIS LPNLLIDSNCTFSVFHKQTKVPHNEEISELLAIIRTPNTLFRNVINVDKFMKQPPHMK KTNYFSFHKIELNDKSYWNDKSEKLAKLHDNFQGNNLYEEYFSSVIDEVPNAHTELYP FFFNPLLNDSKNIIHPNNYKKNDKYRCIFCTVRNITMKDYCYCSAIQESKNPPTEPSC KSFSYDTKGLGNNPFYLSLQKQFGPSFFTKTGFLCYFDKENLFDFESETQLLKNLETI LCLNGSPNAKITPINVKLITEQIDKKLIEGKGYNSKSLNIVFESNETNNKYLSEMLIF IDLNIVASLMQISLNAKISNTKIFEQIIEYFDILIHQNLFSDHLRLINPLYCWDSRFE QSSNTDIFDISEVCNILKFVSFKEAPKEIIKLDGLLRPTILQRLIWFATSQVATGTYL WSLIHLKGVENSPVSINYKPRFNDENKNSVNDLYLLIFRINGEINILCMSVVNHNDAN L cubi_00231 MSDLDRQIEQLRRCEPIKESEVKLLCMKAREILVEEANVQRIDT PVTICGDIHGQFFDLMELFKIGGELPDTNYLFLGDFVDRGYYSVETFLLLIALKVRYP DRIMLIRGNHETRQITQVYGFYDECLRKYGSVNVWRYCTEIFDYLSLASLIEDRILCV HGGLSPSITTIDEIRSLDRKQEVPHDGSMCDLLWSDPEEINGWGISPRGAGYIFGSDV VKSFNHCNDIELITRAHQLAMDGYKWWFEQNLVTVWSAPNYCYRCGNIATVMELDEQL NYHFKTFEAAPAEQRGIPAKRPAPDYFI cubi_00232 MKKNKLLLTATSSLISAILTSFLLHPLDVVKTRQQVAATSDGAV VAYSTLISSISFIINTEGVFGLYRGLNGQLVASGISWFIFRYLFDFIRYSIEECKYFS FNYRVKNQKYVYSTNISPFSNSFATIIASILSTALVHPLWLVKSRLEMQSLNTKKKGW RQYSTGLNGIVECIYSIYQSNGICGLYSGFIPSLLLIPHTLIQLVIYDILRTKSMSCA SKCLCLNNLHFFFNGFISKLLASTLTYPLQVIRSRMQMSKLEDIKLNVYEVNILKLSR KEFISFVRDHYFPGIMTHIPKVSIHNGIMFLIYEVIIRLLESLILVN cubi_00233 MEKIPLEILATVSKYLQIIDIFSLLHLNRSFNFLWTSFEYWSIV CGGRKNFLELLANEFNCVLEDLRLFNMNKNNQNDLVENDKDEYFGFDLNTLAANLQNL NSFNQNTYCTKFADKSVIWKIFIRRKLQSWNLIQLISIFQDINIYKCDKKIIAIFSPL IAVISNHFLEIYELRNYSYSGKISSNTANEKLIYTKKKLITRINLNLLEDSKDSSCNN NIALKARTTKIIKWAFFDKNTIFCVTKDYGIYFYEIDNFSKRIVLKKPSVNHSNLPKV IIFEISGNLLAIGLEDGSLDIWKLCSGYKNSNFSGERWLLVHYSTIHYEHIYSRKSLI DFIEELPSPLTWVNISHTCNILLAIYKGIVNEIRIFSIYNLDLNNKPILINKIPLNSD IHMCQIDPKGRFIICVDSNKEIYPKTRFYSLSSGKLLLMQNFRIICPLFTPCGHLMIG AYRAHLNNPIEKSLNTIKSFKTTSKYINAEFMNCYFISIWSIPSFKEIYSFNCGESEQ IINIRFSRTRASTSIVTTTINRNSSGYIGGPMVKTSSNIYFISNEIFTCIKPLNLTYF F cubi_00234 MFVRSGSKFEIRSKNIALIKLRGSAEMGDSLKGGPHYTGMMIGG RHVGIEHSLDLGDLSQVYRCLFYSTHPGSCNPSGTVINPCHFCRTEVVYAVASAKCPG DESFPPSLAPLYMWVLKPYHIRKRFGHQYLCAVEPNPAAKYASRPSNESPLSSNENSY PLPPEIPFSTSNNHNESLSESTLMVIIGSGVLLTVLLCGVGLYMV cubi_00235 MRYYLFFGILFFIKQVYFLNCSGTSETSETQEDDQAHLVIIEGL AELLRHRQDTGSYVESEQESSTDVNQSNSDIDYSNLQFDVVLVGNDGQQSYATLDNNI VNLAPVASQVQVPTPMPMQRINQGIQFGHSGRLQWIGGPVNFDNMVDCKFYRQNPGFC VPMSLYTPCSRCKARVRTIFRTSYKVRCPGQDSFPAQLRPYFAWVPTSHRNPKREKGI FSRWRRQFMCVVMALSDIPSDVTRFWQHSQISFDSADETPQYVPLLLGPYGDQSTSFG YTAGSNFFASAGGGSRNANYYTRNQNLDGVNS cubi_00236 MNSCSAKSLFCVSKVSMVNPFAEEMREDDMFLFNNTLIHNRSNE SSQGILIDKIENEKVQLLSCDAYWDCTDIRFTADAVFAVAKLSCDFIDKIVSTKIYYD QPHYIIKMLCAIKLSNSKNMGQKLTFDSILSVLENDDRKHKRAQDVIRSYRRHHELLF AFEDREYIKHSNRDAIRN cubi_00237 MSSLEKLIICGVRSFSPDRREGIAFEKPITLIVGQNGSGKTTII ECLKASISGELPPNSKSGQYFIHDPKLNGSAEVRAQIRLIFREHQNRKKIQVVRSFQL SHIKTRKIDSKVSGDLKPQFKVLESVLQTKDEESGQVTSISHKCADINTQVPILFGVS NSIIENVLFCHQEDSNWPLQDMVKVKKKFDELFGSTRYSKALEYITKLKSEYNKKIKD KALFNENLKQKIDFLEGIINKKNQCLLRKTEINKEMQILNSRLDSHIEIKQEMMVNVD KLDKLRTELASEWMLADSHIKEIGKMENDLLNSNYSKLKDEFEIDSILNLEKKEIQSL NSKIKNLQDEKNHIRNELEKFGTNNSIDEFNQRKRELIRKVTEIKKITTMKEILLFLE NSLTILNGQLINYKELNWESIYETISKMELNKSDMISLITNLKEDLNFKIEEKKKMQE SINNKKNEQIKVQRDLDIISQQIKEKTHLDNELHSLKLKIQKNKDNFNINEKPISTDY LNNIYNCTYNLGVINGEISILERIKSFDTFNNQFFEYDENTNSFNEMIEQRKIEYQSK KNETSNYWKEFEFMISNCEIKSDISMLEFNYKFLLEKLEKFPIDIEDQQLQLKSSLTQ IEIELMIIDENIQRLELESQEITNKIQISELEIKNLENELCKKKECLNNFIKEIEQDF EFLFANRQNFEVPNKDISNKNLIQSLFAIQEEEANINNKIIKSNQIIEELNIVAKLNK EKKQHDSSKKNANAIINQIYSLLGICKSDYLTENDFDHYKEFIQESYLKKKNELDTLQ IEIEKIQCELSKLKGEYKANDEWLNKFISDSKGYTSLEELSEKYLSGVFEQQTMSLCV KDMEKYHKSLQKALMKFHIDKMTEINRTIKELWNITYKGHDIDYIAIRSDVEENEENF VADSNQKSSRTPGTKSFNYRVVMIQNGIELDMKGRCSAGQRVLACIIIRLALAESFCA NCGILALDEPTTNLDRFNIKGLAEALSYLIKFRKQQKNFQLIIITHDENFVRVMAQEQ QCDHFFHVSKDEKGYSTIRQVDFH cubi_00238 MNDLSKYAKYILNGDLVAIPTETVYGLGGNACDKSSILKIFKIK GRPRNNPLICHVHSFKFAEDNVFELSEKTRVIYKILTDKFWPGPLTLIAKKRANIVDE VAISGKVGVRCPNNALTLELLKLLPVPVAAPSANRSGHISPTSPIHVSKEFDQSYMNE IGINVWILDGGECCNVGIESTVLEYNEDGNFICIFRCGGISRKQIWKTLIDNYQNSNE WIKDIRINYFNNNKLSSSNYPPPYDSITVSPGMDIKHYSPTISTKLVAFVSDTQEYTA IKFDLRNVVLIDIGSKLSFLKCFVRYYFSLCDDYDFSLACKNLFSTLHKAEDLANSFD QPSSACILITGFETEDNISLALWDRVYRASCGEFIYCNLNEIKIQDKYIKTFYQNNKR Q cubi_00239 MDPFDFPIVIDTGQFQTRIGSSANKLPQVIIDTVLFEKTKVKEI VFDKNVFSDKLRNNKSCIEKNSLSSSNNWDSKKKSLLVNEYQNITRPFNYLDGLDWNL LETFWTDLVSREMCYDTTMCPVLLSEPHNAPFDFQDHSMEFFFESLSVPSFNCISQEL LSVNAIQRGLPYIFQKKDNKGSVGIVVHFSDSSIRILPIASGYLLSEYIGVVNLGGYS ITDSLLDHFGINFKSSSPITHQNIDSIKSYGIFDGIISNMIIPCLCDEIISVVKKCPV DYLRLLLKNIIFIGGASINSLLSTNFQSYFKIVLKRSNISLEDINVINLSNSSNIEEK ILGTCSTWLGGSYFAKNIDMIGCFFNKEQNKCVNILNNWNLRESIGNKCPDWMADVCW VIYDVKDGEGFYLQRNVFDRMALVVSNLNEAMYLKKEAKFAVLILPPFCNIAHWTYDS KRRLPWSTFFSIQQNGLPILEYDIYKGFIGMANIGVDVIGLNFDWNNNSFKSNTLVEH YKKSEISELKHFNSRCMFNRYIGNDNVVFAGHCELIKVKNAICLSFFKLMRPREVSEE IYKIFESNKKQKKFQNYLIKYSDGILVPWPFELKKYKVLDIISYNDNVKKHAQLYMSS NTFFDKKKPYISVHLRRNDFVFVRNDDIPTFHQVVDRLSQLSKDLNIKRVVISTDSNE SEKNELMEIFSRRNLDLHIINIKEKLEDGVISAVSQVILLNGEYFIGTKESRFSFSVA WDCILSARNGFKSNFSENFNKCNEIFCGKAGGERSICREHSDRLPIFNLDVT cubi_00240 MQKAKAQEDSLSQEGVNKNLTLTFEEVNNSIPAFRDDFPTNAGE IKQLRREDLLNEHRRKHLESYYKSSAEWSNYEKRQRNNEELEIKSLSSFIHDLILLSP KTKEEYENVINILRRRHKIAPSKAQIIRVYEELVSQMGIEFSSNDINMLFQEYNSKNN QSQIGSNLEKTLLSKKAVRSQSGVVVITILTSPGAFSCKHDCHYCPNEPGQPRSYLST EPAVLRANQNSFDAIKQFRDRSITLKNNGHIIDKIEILVLGGTWSGYPREYQDTFIRD IYYAANTFTNKNNIYDNRDYIDREKLSLSQEVELNQTAECRIIGLTLETRPDYITLDE ILRLREFGCTRVQLGIQHTDYWVLKLINRGCYLRQIVKSIRLLKDTGYKVDIHLMPDL PNPYDHTNTNELNLTEDESIMLSKKLNLLKDLQAYIGFDNAQTLGVVNDYLMLSNVLS DPKLQCDQWKLYPCEVVPFSKIEEWYENKAYLPYAEIFPDTLCYLLLSCKVGLHPWIR VNRVIRDIPNQSIIAGNSCTNLRQMIHDKMKALNLSCRCLRCREIKDKHMNHKHINQI NTNSNDNSNDKEENNNKLKIKKTKKIKKNTRNKENGLNTKVRDMQIVLRIRKYDSFGG AEFFISVESKDEIDLYGFIRLRVRFKDEEVLTQNQCSLKNENEDEMNSKYSMDEFIED SEEILEKFPVLKNSALIRELHIYGTVVPHYVNEKESDSAVQHRGFGKTLLRTAEYLAF IHGFSRIVVISGVGVREYYANNGYFLQDTYMVKNIDSPSINNEFDIYFLDCSLETDLF KTLNYIRSIECNNQLKGRSDNSNIPGLARYKEMKFENYANTINIQIYNNALKILPVQV NNIQYKNMKNSAIKNVLNNIKCLVFNHFNNRFSWIVGISIFTSSIFGLSYLRSKGRLV cubi_00241 MPLKGSEKKASGGCYNSHYSNRKKKRDFMVSNCPNSNGPSSTVY NKYYNRTNGDNPGALILKLLHNGRNIGTKPVVPQSKNLIRPQSRTNNCESVEPHFKTQ SEEPISINSESVNATNNTVNLFATPPPNRKGRYSVVSSCGTNNPKLVNYSSGGGNKSL ASSSSNPTNFALPMYMRSPNPESIPMPCGFPIG cubi_00242 MGLTERVECTEKITDLERLNYFICGKTHSDIIEFIELLSESVKG KVLVQQQEYEEKNNERSDLGFKSIVIILKLLSKLDSNIDEIPPIKQPMRFGNKAFQAW YDKTNQTCTSYISDILKENSEKYLQEITNYFAESFGNRSRVDYGTGHELNFILFLMCI YRLGIFQKSDFPDLILVVFHRYILLMRRLQSVYLLEPAGSRGVWGLDDYQFLPFLFGS AQFINSSELFPVLTSQILDRSILETYSAKLLYINSIQHILNMKTNVYFAECSPILYSL TSVPTWEKIYSGMIKMYVAEILNKFPIAQHISFGNLIPFE cubi_00243 MSDVLLSSGIGNQSSSIILDIGTQSIRIGYGGDDLPKTIVPTCI GIPNKDVDVIDSNRFGNSIFPLKPWEKRDYIEVLHPFQYNIQEKTIDINEEYLTKIIQ ELSLSQKFTNNTSSYSTRHNYIFEPFDDRISGHTLVIPIHSISNPSHSTKLAEIAFER LEVTSLFTSKRPVLSCFACGRTSGIVVDIGASASNVSCVQDGHCIQGSIQEYPVAGDF LDNEIYKKIHGKVNIVPEFGIFKSGNDNVASNYHVPLENVDESYLNWGIMHVIREIKH SNLFFPNTQNSNDNNEFHLPDGSIIDTTSIRNTIPNLLFKSGSSNQGYPGIVQMVLNS IIETSNINKEMSNITSSIILAGGTTLISGFDSKLHQGIIDQKPSVIFNNSVRPAPRIV ASPRASERLASAWIGASILSSLGTFPQFTVSKRDYQEFGPNIINKKCP cubi_00244 MYSVIRNKDPIQVEGFCDQLIFETEKKTYGKMEHNFNPYMNNGG SCVAVAGEDFVVIAADTRLSKMYRIASRSVSKTCQLTNTCILACSGMLADINALRKML LAKIKLYEFEHNKTPSINAIAQLLSCILYSRRFFPYYSFCLLSGLDEQGKGVVYGYDA VGSFEQHKFVALGSGGSLITSILDNQISGNNQTSFNPIDKVGIINIIKDSLTSASERD VHTGDSAEVIVIDSSGINVSLLGLRKD cubi_00245 MNSINNNKMLDGLDYEVTGTKDNLVDFDMKITDKIELPLLVIVK YLRNQNGLKHKDFAQYTRYCSRKLHRLRSGLKMKCGRSKFQKSYNECGDYTSHKHLLL LILLIERNWSTGADLGSRTNSAITELKTPNNRGKFYEIRKLRRGCKLSNLLIEVVKNR CTTRSIVEVQAYQSYILGNYYLKCSNWEKGAIELSKSLKLYQQLKLDSSIFEKSNIFP DFNVSKHSKVECVQLYDDQIVEMAPLIRLCLYHCKRLDILVPCCDNTINELKIGDSLA NNSNMKFSYNDKEYCVPISNLEILLVELHENYKAVKESIPTEDTEKILKLSSNVVIEC FSETLMCSTTLSNKIHEEMAKASANNETTLISNYQSNDWIAFESYAREFNSFVSIERD LIFVFQLMEYFNNPEFYTKLVFLHDENWGNISNELQNSLSKKINSLRYPEEGVRICDI LNFNISEITSNSKTKNTTALAEILKVIINNCRSLFLSHCFGQKRKFQEAFVLCDLVKS RLETKEADFSKIYLDKDEIFQRIITLIKYINRNLDDMANKSYNIYLSNVAIQVTEQNK ALDYKNMNEILDGETFNPKFLPIQVKPIMFDIASDFISSPDLSSKIKKNGLVNKIFSK ATSKFGLFK cubi_00246 MSVKKNCKCRFNNEIEINEAVPYVVCAVIKEPCSILSLDISFKE KKKNSKITLGLYNILLLLLILLVFLLILFSGGITIGQMAPPPIFIGNIYGLPIKTDSF IVSANGTFTATLKMPVYNKSLLSGRLSIRNIDFAPVSTNFICTPNKNNNIFSHSILDE NLSNGFIFPLNKKLTTNNNKILIAKKVTLVTEDENLRQLEYALGRYGEAEVKLGAWLP PGRNEFIMLIKGNVDLESAKKVLGETIGSDCKNGIAKSFVVDIKLDFNMRYFLGSISS VQQDIGFVLFPGCNK cubi_00247 MNLSKCGKRKRTEELGLETWEKKETLNKDDSQYECNNSGILLIP TQIDEFKQLKNSSELFIHSEGLHDFTVNRGITENKHEICIFDETLSKNLLEEEILHNS TPIPTIVGCDNICNFVNKTQKSPTIRTSSSITFLSKNIGIKKRKLIVPPIIYGRRSRS DNKNKSIKHDKITSKPKRMMKLKGNNYVKEYFVYRDEDYGIIDNADQLLLEGENVHRQ DDDRQTTSEVQDWAIGLVKRYLGETVKLIRQEVS cubi_00248 MEGGVINLKREKSSIRTFVRFCPSKKKIFCENSKECQWVFNAED HGKSVRASRKSSISKTRCCTVTDEIFKEDVCNSEIYRKTMLQQHAVNVLDGISTNVII CGPHKTGKTNLLIGSQRSIGIVLQSIYDIFAAIRCYTNGLHINERGVNTETSGNFDRL FVVKASVLEIGEDSSNYKLDNVRDLINPENPLQLIKNLGGELGYFIKGEHEHLFENEE KLVASILSAVARKKVLDAIRRERLDDRKKKKTPEQIQNTIFGDFGERWIKDDMIGSLV ITISVESADRSELENNIRKNEKVINTTHVNCGTLRFFEISSCISTSTKVKTVIPLFGL LDLAFEIRKYCHTNEKSNRINITNISSYIASTIIDSNLTVIGTLSRYPLEKKCCIRPL ISPYDNQSTVQPHSIFDTLQFLEYVGNSMKFPIYPQRNCFKKPFVQSKLVEICRKNIM KDSKNINSKMVIKSERGIWQSESSGRVTRIIRLLTFINRSIEGSGILRILMSGNEDDI IRIYCENIIGSGVIDLDGFQINNSTGKWFQIENYKLPEKELEQRKKLKYINQIEQKYG GKDNIKKETLLDNKKVKFNCRKTEEKKNEIEDHMENKIKNLDFTIRSEKINDVHFKDI SENKAPNQLKNSLKFDDILLSSNGDEKTENNGSEIDITDIFDSTAFENNKNKFVNEDF NVNLCEKSDKLSNTTKKKIFVSENVGNSNKYPNIDECIGESNNFNNQVSERIGPDSNI FDPVKQYEKLLKKTISLNKLQKSIMENQKKIIGDESLQFNGNTDSINDYMSKLEGKKS SNYYPLVNKKAIEASQKAIEASKKAIEASKHLYERDVNHKRGKIHDFIPASNNFPQID IYAPSYDSDIEYDKFHSPKDIVFDFKSSNESDQDEEEQILASPYKSNCSKKKDSCPCC DQLSKRSKCRCHYADASVNFNGISETSVNTDKSLFLYYEPGAGRNEKIKPNGRSWDIF VNIPKKFTDINALGFKHKELCQSNNQNSENYSDNDNFEVSDSRISSYETIPDQNFSNT TSEFDRSSFQTERFPGPPQKLITENNKFPNKKSNFESNLIIEDENQRIKNNILDDLKE IKRQTSNLKHQFTLDPKLFRPLYDSNIPEQCNNPLKKTNDGINLRDIEQYSSFTHNSN KNGLPLFDNNLNLNSNHSDYPNFNSENSPRENSLESVSLPNSQVYQPYTTGNLNNYSE DKYLEEIEMDINEQMDNFLGLVNKNPLNTRFSESNKEENMSNREYEKIPIENVIREVG CCHVKYILPFPKNLKPKVFKGTNNFQFPYLKSLY cubi_00249 MVHSVCSKNGDTKSELFSRLDPHKFIPLNSKIAKEINAQIPMGI YGGTKKNEAPYLNSMKNSSLMVMEFLPTQQIVKCETLNMRNDDSNDIQLSRKDGRDKN EYDSYSFGAIKKNYFDKKYLQNKYNSDDSFMKDLFSTRKIQGEITINQLIKQASGIIT EDVAKNCRATGIGLKKAISGEITQVMIYTYSENNQRITYGGYNFRILLTPYKQLDNLN GQDQVSLISLELFDMNEIFEGSVIDNHDGTYTASYICKKAVPHKLEIFEGKNKNSIGE SPFVVQVTPGKSYPELCWAEGEGLKYYNIDGTISTFKIHSVDRMGNKTKRGGDQYEVF GVGGIKIQQILDLKNGEYEVYYKVHKCNLDDYKEINIKLYGQYIKTPAFYPISKFSPN NKLMDKSCEIDQNNLLNKTILKFDNLSIDIKPAYTLSMVFKHFNEMKRMGNEIDISIP NLAPFPEEREEKTNREIIKMLGIINESKNFEINNNMILNDKIRATVLDDYRNNTLKSV TNKLIKNEEILNDLSKAIVLHCETGKKHDKKLVKDEKKLESELDDITDCQQRMFATYS CLQQGGIDSLPVSFELEDPEKVKSRQIKDRKFYIDTYNLLEKKFEEINLRKELFEKNR DEYTQKLHRTLATRQKSIIKTQRSYNRILDELDKVYLQLSKKQARRQDLYKNLKSESL KVFEGLENQKILDLHLKKNAVITVNNEGNEIDKRINQDETDNNIKRTTGKDRRYNNYS YLIPTDPESPAFWFAEASYLRDEKEKINQYKKHDDDYDTNNPFSDNPQKIKRWDLDDD SRWISCPSSVSISFSTMKTPEIQPIKQKGMFKNNNETENLSQVKKEIVVNKKRDPMLP DNMNDEEINIIIQKDKERKNAFGKAPNIQKGNLEDDNVSPWVKRPPNPKKIKSFYNKS LDDINEKLFKVTKEDSNNEEMEHEIKYESDLNHDYIDFDLLNKDVKLLPEIFWDRDFI LNLNYNARKKEDFEKIKNVWQEQLKPGYEMKKDIEAEKLKLMELLPEKYSNINQLNVM EYHEENNSLNNVKNSDLENSEWKHIHHTFESDPRDVPWEFLDEISKLGTMPSEELNKQ ELQKYIVESLVLDGKSLSDKRNQIKEKLIQEKKHFKELEVKKIDNIRSEDMTNDQKTK SETSKNDVKLVVKMKKSDSKVSNSVGTKLLLSRKNFDTRREQFERDNFV cubi_00250 MCCSSKNNVIRLIKDNHEMHGEIIQNPTKDDINQINNRSMLSIA MQMTFHQSFESVILFESWKTSNRFDYFISCLFIILMGCFTMFISSINKKYIKRIKKNR MEYEKLGVKVIFLNVLITILYYSMHYLMMLIAMTFNWGLFFSVVLGLSIGYGIFELGS TTKNECSCNEDCDFPSCC cubi_00251 MNRLEYETNGGYDEKTNKSLQFENFSLESQSLFQRESFDGFRAE FSKPITSSLQTSYSLLLGTSNIKGYSYQLGPSYQSPSKNTLILARVNDEGTVSGRFSR CFGNNIEGRISVNSSLSDENKNMSEASIDYNGKESSYSLKVAYQGIFLLNGLFSQLIT NKLQLGGELTWITANNSSIMSVGSRYCHGKNIFFNQIIRQPDFSSPGKILSNIHSLRS SFYRKVSDRLSLASEVEVSIPNYESKLRFGYEYLFKTARIQGMIDTCGKISLQCLDNK GFGISGEIDYIRNDYKFGFMMHFFPNEKDDKID cubi_00252 MNSDLPTSGKLIFETTLGEIDIELWCKECPIITRRFLELCENGF FNGNSIKKVFKGQFIVLGRLEMDSKNKFELECNSRLKFKHRGMLGLFNEDEYSTSIES QYHIFITLDKIKDFNKYTLFGKIANDTIYNLMDIQNVEVDDQFSPKLPVKIIKTVIIM NPFAKYKVIDEKLQKFSDSTDFKFYVDLKKNKLINNRNLLSFYKDDEESNSKNRIVFN SDYKQSLKKNQNDLKDNTSRNIGKKFETCHLEDPIIKNNEEASAQNNETKELKRNNKS ESFKKNDKETVDKLREFSKRLKTSLKSNNEEWYNRNNGLSFGVGSGSVYEHLKQRQYK NN cubi_00253 MVIQKFVDVAMFPGTYSSYDITSYPETILIPGPIDGGRKIPCFL FAPRSNSKILVIYAHANGVDIGEIHGRLRYVSERLRVNMLLFDYPGYGKFEGRSDESS VDQCMNVLLNFATQELNWPIENIILWGCSIGTGPSTRKAKVLNEQKKKLGGLILQCPY KSIKHAAESLAGKIGRFLISQRWNIQSEIMDCSCPILWIHGKKDSLFSWHGSLEMYNS YHTHLRSCHFPKDANHHYFDIEVDIIQPIQQFINKFVLPNTLPIFDGNSIRNGKKVKF NINKSYNSKLNPFRPTILDVYLTRNTNVKMSRDINLSCEKKSNDISSSIQIDDETNNI RIIGPKDIKSILPFWGSNKKEVNSAIINPKNTIYLEGDFTPKYIWLNGEAGEYIKYSR ENISKANSSSSIYLSSSEEDEFENNNDYDSEFDNETDSNQSDGDSNEEFNCYNRLVNS NLISSNQMFHKNIKQCISYNNINTNVYSIYNNTFEKSRKYNLRKRNVILNNPSVFRME CRNRMERLNLRSFVKNEELLLNFWPITNLYCYLFDQYYQFFQIILKKLKQGNFSFIKN GNDTFLSIIMWVRRLYYLYTPTLFMNSIYSYNSDTDQWILEGVTIGNIYIQLKNIDGI NGRLAVRLLDKYPISSNFSPPFYIVLPLYVPPKPFFQPIAEWIVRNIYRFHVYNQVKS NRSPKHKDLLISQKYTNIDSFNDPINQLETRCLLEELSFSSLQHFLLSNTRPKIEKLA LITGFGNWVPFGWFEFFVKLFETNSKHYLQRLLFLNNEEININGNDFINLLVPFYLPS FVNLKIMYKIIKTKIKTRDEWIDSMKTVSSSQEQENEIKNLIHPDICNNIFSNLMIVI NTSIKITDGLNFHNNVVEHSNLKPNTQNNFLLQNNQLNWEEILKDFGSFSYFMSQINF RIPKLSFPGISQAFRGRQNTENQKSNSEKKNVSINSTSNQSLEINSIFENIEFGEKNQ SVDSNEFNSGKILEIEGDKIEGFHDEIESPEQTIIRSFQGKIIVIDNSSDFIQPDDNE KNFSNDSEKLIEKTSRNSELPLTWESVFLNSQDPLLIINQLQYHDRIYMGMSPSNYII LHRSLYEKYSFLRLNYESEYLFRLLWHFSHCCIYHGNLLNSSALNKNFRSSVIHPLQC ILLIIGILRRSLENPSNSDLTQLNWERDHNDEQYSGFNSSCINSSLNKKLNIIERILA ISAELLENGVCNSIVNYQINCKSNCDPLVLGSKIQSVLDSRNESSIDSSSNNLTNMSS DLEESYEKNANLKGVTSMDYMNNLSNDSSLSSSRISSFESMIQKVYDCNIKNNELPIT NEKQPRKVVIYSSTTRALNKNNFLREDTGFLKRIVKNKKQIKETRIINVNQETKQFNK FSPLRINHDFDEPHNQNIFRSIGFESKSAICKKNLESCRGDKLQSKFKAFTSSPLRIF KK cubi_00254 MKSLFSFTLDFFGKCNSRCFNILLAGPASSGKTAFLLRHLKGRY VDPVTTNGIDSAVIKRENCLLNIVDKDVFAQPSRSHNFNSKIKGESEIDKNGCIVNKR GKNVNETQISNLSTINNNNNNNNNNNIDGTLFFIDSSDHGRIPLARRLLSQLIRKASK KSPILIIATKQDIIGALTPGELATRLDIEDMVSMFGDEVCDYGIIGVSSYTGLGCNEA LDWISEAIWQHQLFCYCIPFNSFCYSLYNSGILMLNS cubi_00255 MDGCKHYKSRCKVIAPCCNNEYWCRHCHNESQEDHHEIDRFSIK EVVCGKCNRRQPVSNSCINSMDFGENSGEKCDVTQFAKYFCSKCNLWDDNGIEKNVFH CDECGICRVGGQESYFHCKVCCMCYPLSIKETHKCIENSCRRPCPLCLEDLFFSIKTV SILNCGHTIHEDCLILLGEAKGLTCLRCPICSRSLGDNSQIWNEIDKMIADSPISDSS KELVNIFCNDCNIKCNTYSHPYGLKCQTCGGYNTRFDD cubi_00256 MSNQQYSLNNAFQNYQRQISSQNQNTSEQISSQSSTYTNQMANS NINLMKTFVPQTQSSVENNDSNISQVIKYLRKNGYFETVDTLLFEMAENDRKSKRGSL ESEMTDSEVENLINFTKKIDKIGTQVISEKYSKFRNWVLNSLSSVREELISVCFVVFM NICSILYKNSSDEQYNDFLNKFADDFSFSKYREIIKSLKNSNNLGQLRNSELLKPFFG SRFHIILNPLSISSLNNFLISCCDTLISGIMQEKLTIHVLDNNSHNGNVASTSGADDL DCLKEIRNFQIGELYSENSLLIEFNSKNNQKVNACNDISVITKDTPKVLETDMSGKGY SQDNSIINWGLPPENYSGIISKENEVKKSKFNRFDNEITEEIKNTIEIDFNPINDLNN SFTFRYKKHLYEEISNRKFVDSTHLPSIICSSLECPNKNEIIDMNISHNHEFGAFCTD DGCIQIFDIENQKSKIEPVWIHRNRVQCIRFHPWSQEFLLSGGIDSIIKLSIITKDQE EPTLNQLVTFTGHSTNSCIWDLGWDEYGISFISGSSDRTARLWCTSRTYPIRIFTGHL GDVRSVSIHPNSSMTVTGGSDNQIIIWDVRTGKKEGVIHNQKVMSGIINQVKFSHNGY LLASSSIRNQISHFYSQSQLTLPIWDIRKLCNSNRATKFYQLCKFPNEVLDCKEQIFV KSLDFSFGSRIIASSTNNGIVSIWDTNVETSFNQVDNSNSEINSLQIYKLKNSSTKNL KFLSRNLLSVSSVNL cubi_00257 MEISLSSYKFNNLFDIELDRLAIIPKNKENKSFRITVKKSRIFI TNWFAFIVLIVSIRFLNYRYITLFFNFLSKFTKRKIASLKLGDIEIYHLKRKSSETSD VRKPNFINFLFSYFDIELYNVRLLTEYELLSKTFLFGTNIGIVSKKDNTLKKTSILVR RISIRFNEHFDNIFAYNILNLIGSKTFFFSVFNNRETVLSFPGLFNIDVINSENGLSI SILEENIDETYSGLYLSVPLLNYILINLKSFYISKNVDNLIQTTFNWKLKILMNFKKI VFKTHGSNQLLLKTNSIELTLDSSFKNKYPLLIKFLNCYFLINSENFIKISEFIIYFN IKDYILKTKMIHLRLYSKIINLNDFNNYDVEIPKMSIYTSKIKYCEESLSLIFATHIE LNSELLIIKSAVKEDLLHQNLYLRNEISDLLEFPDNIYFFIASDKKTNYSQFYTPQET IENKNLNFRTNFNFDSSIHLIYNNYNIELFIEKSKINLIINSNEKILNITDFELVQYN YLNEHMFKINTKSILIKFVSYNSNIIFQEVAIEKKSEIYIFSREISISKIQKTYDMNL SNPIIYLYSENLSNFNSMFLVFEVEYINFIFQKSIYYWKSIEIYKIIIKQSFSKDDSL NYELLKMDGDSIKVHYENTGKKSLTICIPKLLIAVNKNQIMKLSYLISYFKKNPIRKV SRTVQSNIVILLKNASIHYYNHNENICNTLLTYFGNGNFIFSINNKLEIDIYSKFNQI EIMTGEIQLYNCKTIKLPHYNETLYSKLQKRKLCCDIVYYEIFKKLRTYEFIDKHEIQ SSDIKEKIFPIFISGLCISHNLHIRLKKKKKITVCYEFIKDSIFESLNSFNDKIFILI NSRDYFNSNNLFSFNNFCNSRYKVLKFLEKVNDNCQFEIRFQCLNVLLMKTLLDSKYL VFNLNGISFSNFNFFILGIEKFQILIKYPSRNFSGEYLNILVSNNLIKANLEILDSKI IFDAEKLIFHLNLNYLIQKFESQIGIIKFEVSKNLINVVTDILFISTVNNQKNEIYSI KYYQGTKLFDYLLDMRQSPSFINNLMLASNEEVSLVPYFHINCLSNAKFNMKNIEIPL LYDSVYLFDLNNSFFDRIYSSYNQLYYSRYFNDNVYSINCKIEFGEINVNNVEYEIPY FKMCEFSTNSAIIGFKVCISSQIFKDLLLFFGPEVLSYSNAFERVIKIGKNQTIEIPF DLIAIYPELMILRDANTLNRIGSDLIFSRNNSINVIEIIKNILKKPEKLYFNYGLINP SLCIGLECIYLGGSCLNINIFNTVSIINISGESLNFSLFSVLNNKVMYANKIENISRI FIENIDTNNDSVLFIKDSTEAIGSFYIDFKKIIYFESQILANESIVELSEAQPQINNI NYRVSLIKDNISYIIMVWSSLIIINYSNFSYLLKVVDIENREYYFLGEKPHKNSLNNS TIKRNFIKKCLFGDDDSKDFIELKINLEGYLKTSSISRQREKNIIIELENYKNLIEDH FILNTLDKYSIKINAVKLMPIWAIKNKSNFNIKITYGKYINIPIKSKTGYLDNTSLVS SKNFNYCLQEQIKIAFDGFINFCLINLKNCEPYDKLVNLYYNDELPNKEWKLVKISFN TLRSGCASNFNTGIYLEINDFNESECSNYFVFQNKTNFTYLLTQTNDLVEFNSLDLNI HRKESLKNKIWEKTLIEKFSKFSLNNNKIFNHKFSICLVPEKINNQLLFWAKERTQDN NSFVNVSFYDDSNNMQVLLEQIPAFLCMKTITKPIKFTYCDLSGKNNVCQFFLSIFKL ENEVTHIVFHEPSLIQTYNVTIPSYFIQKHNDCQLVATEKIGMDFFLCIRKIVLTLFD KIDSDKVLKVKWSLSPRNSPKRTNQNLSLIPSRKKDQINFFPVFHIVFELLSINIQEK IDTFNLFDSVYKIHSKLNKLCCKISNYETIIFEVNNQRNKNSEEQIIINFNCFNLKKR MNSIPQFSKKYQIENLVVKLPFLDFNLNLNIYFRNFLQNYTLDNQVNTKDTSNLTGYI LIFDIFPCEVNINELFINKKLRIFNCKYSIESPKRIVINETNSLINLSKHFLKKATYI IIRTLLNKSLNPLIYFY cubi_00258 MVLQRMRATSYLRRTMSDPFSRRRTTLPYIVGIFSQQNDLYKHL INTSKNKNVFKETKIPNYTWKSDAIAILDIFFTKLNIFFLFVPFGVLSYYLEWGPLAT FWFNFIALIPLANLLGIFTEELALHTGEVVGGLLNATFGNAVEAILTVQGIRAGLITV VQGTLLGSILSNLLLVLGMSFFAGGLFHHVQKFNEKGASFSTSLLMLSCMAISIPTIV AQFDLPQHNILMISRLTALLLSFTYVLFLFFQLYTHINLFRDESIASIDKTYSSYVID NVNSVKHTNIRSDIEAYNNMYKNYPVVSPNFNNCQLYDVCLELPTISWQIGTILILLC TVLISIISECLIDSINGFISEWRFSENFIGVILLPLVGNAAEHITAVSVAIKNKTDLT IGVAVGSSTQIALFVVPFSVIVGWLLGKPMTLSFTPVSAIILLLTNLIVIGIVQDGES NWFEGILLIVSYCIVAVLYWYI cubi_00259 MKRGFLKNLINTTNSEKKENETLKVKLDDFELYELIGTGNFTQV FRAFNVVNNTHVAIKVAIKSQLRALRKEDEILNEKKICTLLSNSSSRNVIKLLDSFAN EDNVYLIYELCTGGELWQRILPIGIKPLKQAIYYLAQLLIAIEHIHNLNIIHRDIKAE NCLLMENQQLKLADFGSSIHLDDIFCDSKNCNSSCSCRFKMNRGRREFKYFVGTPQFM APETIRNKPPTKAVDLWSFGCTVFQVICGYPPFNAPSEFLVFCRVLQNGLRFPPDFPE DARQLVESLLKQEPTQRATIQEIKKHIFFKDIDFDKLTSEQEYPSFPPSLKDLCLIQV AKSYEKYKTEELDKLNALNDSSVVMRLKFETERKEYEGPRDTWLDEFSDQIFDHENTS KNTNNRISLSSSESDSE cubi_00260 MSRIKINKLRMIGYWNWLCGDDLCSICSESFELTCPQCLRPGDS CPPAFGECGHSFHLHCIHEWLSRARNDSGMCPMCRREFKFQSNPNILQNTGVMTLASH ARGHGFDSRSSYFSFG cubi_00261 MSDLDRERELRRRQYLADREKRQLELAERVLKSKELLYASIGKE VNEKKRKELRAEQKILAEAGRMVEERDIDGSLIETYVMPDSYDEDLHKRLSSVIIKPQ DRLDKRRKLNRSDHDLWESNQARSGDISLANKVLSEKIIDHEKNINSLILSDGSLIDF ELLETTATEINSEDSLKNMIIESQDIELINDVRNSLPVMKYKEQIIKSLEEHPVLIVV GETGSGKTTQIPQYLFEAGYSKNGIIACTQPRRVAAMSVAARVAKEMGSKLGSMVGYS IRFEDCTSEETVVKYMTDGILLREFLSEPDLKNYSCILIDEAHERSLHTDILFGLVKD VSRFRNSEMYLNNNDDEKSDKIKNYGENNKNPFKLIISSATLEANKFSEYFDNAPIIY IPGRRFPVNIYYTKSPEANFIDGTVVTVLQIHFSQIKRSDENKNSKKIIPIGGDILCF LPGQQEIEETQALLETRLVNKDPNTPELIILPIYSSLPSEQQAKIFQTTPYGFRKVVL ATNIAETALTVDNIGFVVDCGFCKQNSYNPKTGLESLITVPCSQAAANQRSGRAGRVR PGKCFRLYTKFSFMTEMEVSNVPEIQRCNLGSAVLLIKSLGIDDLLNFDFMDPPPPET LIRALELLYSLGALDDRGELTKVGKTMAELPLDPMHSKMVLASQKYSVVNEATTIVSM LSVGNTIFIRPKDKVKQADGIRKAFTVHGGDLLTLLNVYNQWQSNDFSGYWCYDNFLQ VKSLKKARDIKTQIDSLLSEKLDIQISSNPNELESIRKAITAGFFSQSARINKGGNYT TIKWRHVVDIHPSSTLFNSKPVAVTYTELVLTTKEYMRNLTEIKMEWLLEVAPHYYHT DDLNSKVYLLFPSRLNCVVIIFASNMPHETALVYRPMRLSDIFRVNRVNLDSFTETYN INYYGDYLSTWPELCFVCEAPDQSIVGYLVSKVEGEGDQWHGHVTALSVSQQYRNSGV ATKLMKFLEDISTRLNCHFIDLFVRPSNEKAVKFYTKLGYYVHQTIPSYYTDEDGYDM RKLICINNTTNDDTYWGYDDLFEKSEIPPPERNANKSKLCAVSYLDPAKNSKIVAKSS VLFQKIQANEKRINSILESIGTDIKHFHMLAKQRQFTNKPQGRKQLFYTPEKQYTTPD LWLTKSNSIYNSYSSSAKEIVENTLDYYPNVGREWYIKRWNDIKSIKFQKGN cubi_00262 MNCVKQEENSSLGDAYGNITRLFSTVTNGTTVEAIKYIVALVGN SKEKMGIEEHLLGYKDGNGRNVCHFVCSAKRNDTLRAIIKLAPGIVNSLDNSNENPLF LSVRAQDIESVTTLLEAGIEITRRNSSGCNVLHYACQVADINLVKLIISNLESKGPSE IDSFINTCSEEFGTPLQWACMTNNKELISYLLSNKANPNKAPLNRSIPSPLMITIGIG NTELTELLLKSGALLDQAKDSEGYTPIFGAVEKNDINLLLLIIEYMKLQSCDASSQII KGDSVYSYAVNNRCSEEIMGILKSHALDGIKIENPQQNERGQSIQAESIESIESNEYS PIFDEQKSPDSEVPLEIIIEQDLEDKNNYNEENAEQIKIEANFLFKEGKFNESIAIYS NALNHLKMKKNDLSEKSITLKSSILSNRCLSYIKIGDFSKALFDAKSCIYINPKWSKG YYRCSQVYHMMGDIANQACYLWDAITNENSNSTLKQEYLDLFSQIMKKHRKN cubi_00263 MTRPETQAPPELYYNESEAIKYSKSSRIIDIQTKLTERAIELLL LPQHPCMILDIGCGTGISGSVLEDHNHIWVGIDISIGMLNVLDIYDDDSESTGDALLA DMGELLRFRPGVFDGAISISVLQWLCNADKKSHEPYKRLLVFFKWLFNSLNRGSRAVF QFYPDSPSQVEMITSAALKSGFGGGLVVDFPNSSKAKKYYLCLWAGFYSSVPQTLPQG LTEENDEEMNSVSNLGRSKDKLKTRKRGNKREHRVKSKEWILEKKNIQRLKGFEVRPD SKYTGRKRRSGF cubi_00264 MSGLVAVNPKPFLSSLINKVAIIRLKWGNMRYKGTLVSFDEYMN FLLNDCEEWIDGTKKGTLGKVFIRCNNVLYISRAEETKEETKADLQKDEEMIE cubi_00265 MADGIDDLNDTKTISCEGCGSKIQSKLSCPQCKKLGLKTSYFCS QACFKENWAIHKLKHQLGGSGKELTKVSSDLVKNNVILDDPRTWVNCPHISKFMGFNG FTGPLRPYPISSRRKVPDHILKPDYADDKEGRPFSELKRKKSSSIIAATPEEIELLRE CCKIGREALDIAASMIKPGVTTEAIDEAVHNFIISRNAYPSPLNYWEFPKSCCTSVNE VICHGIPDFRPLEEGDIVNVDISVYYKGVHGDLNETFPVGKVDKKSMKLMKIAYQCLE ESIKICKPGTMYREIGNLIQSICDKHGFSVVKTYCGHGVGTLFHCAPNVPHYKNNKAV GTMKPGHVFTIEPMINAGRFEDITWPDDWTSTTLDGERSAQFEHTLLVTETGVEVLTK RTESSPKLEILSEIEY cubi_00266 MRSPKAEITELTPNSIRFTLSNTDLSMANTLRRIILAEIPTLAI DLVTMIDNTSVLHDEFIVHRMGLIPLDSTNIRDFNFKDRCECQERCNKCSVEYLLDVT CEGGSIRNVTHYDIQPVSTSTSIPMPVPKKEDSVDGTNNGILIAKLGPGQRIAMRMTA CKGIGKFHAKWIPVSVATFTNEADVRINYSLSTNLTLKQRKEIVSCCPKDVFALGGTA SKNEYALSKNSSYSTKTKTEELPDLEVVSSKSCIFCNECVNLTKNYGYINPALIRVDT KPDRFHFLVESTGALPVESIVELAFEILQEKLNTLSRGIARSETAQSGLDTHGSGGVN ITVDERAMDLVLDLS cubi_00267 MEKNELFILDDPFNLELNLKRSNMEMESENYSNNENGGKKTCID NEKPKKGFGWEIRVYNEEKKKNQTFHISEEVGKKFNYFKILGERSSLEDDLEFKMNFE TAKIIFQDSGHNCNGIFRKLTQDNLETVLITLDYMGFLPMVGLDNKEQDSDEVDVDLF WSMLARKLSCFDWFGSETSIASMKMRQKVLNLSLKLQNGFKLLLELVQYHQMRDVVIS IEGGRVIREMFKQKSEIYSIRIINTICESLGAQWSPEISKVEDFLKGVTEENNIFDAI SQVWQPTLWYISERKTINQFAQSSPHVITGEISGFPIELELYADQDSEGSTTTVNFAM ETLPPSPDASITSVTSLGIFAYPKTRCTGFFNCFAARAKFYFEKTFLGEATFDLSSDG IKAFCPVISGGPNKTGLLVTCRIDEFVEQNKEDLQKALMENQPSFRSTESLRVLQPSV YNGTSLDQITISNLDVDIKIRFFPLRTLTLYLLYKRSLEVDTKFITRFSFSLQQDVAE WLGTYFTCVWIQISKQKDSLFTNKYKSVLSLSSKSLKGRKGSDSGSSIKFSENNTPNP STRYSSSTSSSGSLDFLSFIESERPSNGESSQSNLLRAGSRNSNVQTVDLISELNQES ELKNTPFSSCFSDTLSNSYSKIGINNCYIDPVSPGISPFPLINAWFKGVGKPSDSWCI HNILSEILSDDLITRHFTDVLTLIQTCESWVDAESLANIRQQFIHFMNNNKLDSIGAL LFDWIRHIPKSQILELKE cubi_00268 MIDEGASELEVVKVREEEVGYNKEVEEEEEGRSLSTFSDKEVES KDLASLEKLGPTETVEEGYISANATELPIPDPNSNSSGHLTGDVSEDDETNYENDKKL INGKVKDLSIGSRTEEGVLTGGEAASEEGEEPGETETVADNSVPGKSDKQGIKGIPRI PRRRSRSPSLEEGQSVEEFSKISGSFSPHNSGTIDSNHKKRRKRTSSGWDAQNNNSCN SPNLAPIPGNPHGHFSGVSGALSGNNGVVTNSNSNLGMGPGHSNVGSGTGIVASSTVG SGTTTGAGPRQNSQISRIYVGSLDYSLNEADIKQVFGSFGPIVNIDMPREGNRSKGFC FIEYTSQESAEMALATMNRFVLKGRPIRVGRPTNAATSSGSQSGSGGIGGGSGNVVNP NIAVFNNNQITHPNHQIQSETTHGTGIATHSQNRIYIGSVPYSFTTDDLRHIFKAFGV ILSCQLIPSIEKPGTHRGYGFIEFGTADQAKLAIETMNGFEVGGKQLKVNVATALKPQ VGGGGGGTQSGVSGATVGQIPMINPMQNVIPSQIPSMGIPHQMVLPSMMQMPNVAPPP LPIYQQPPGYTLSNGPTPYQIPNTISGSTNNSNIILLTNMVGPDEIDDELKEEVKIEC SKYGKVYDVRIHVSNNISKPSDRVRIFVVFESPSMAQVAVPALNNRWFGGNQVFCSLY NTERYYSSFLDD cubi_00269 MDDLLSSIKVKMLTEAHSENSSISFAESIRKLLGTVEDELRSVR ESVLESIDVSSINYVKSKKELDSLQTEAVALRKTIASIETKVEEDLESSKGAAEIEEI SSIKRELATLKKASVFFETIAQLHSVFAEFDNYLVEYEFDLAADALSNASQKLNEINF DDSDINQIGSENITGNRAIQRIEEEKDVFEEVKIEYLHRRGRLVSIVELLFRYIFHLE RNEIRIRMSLPRSLLMEISDFNVGFDSKDSCSTDDGDEIRVSLQDVWYSLISVGVVNE HVAYLSKLCIDNILDPLVSKSSKLSAEKNCGYKLLPSETRSHNEYKWEYKVVSSKSND KYMDSDGTEGVDSQLSVYEHAVPVLISLLKFLSEDCFTGNIQVISLFGKYTWNWISPR LLHGISSTPSTKDCQILREFEVQARSLQIIPAGEDTISNYVNQLETSRYEERKIHALS FAREIIMRDDPSIILVDDSTEIGSLTNLLQQCGVEKSKADKNNDLSNLINLIGEGDLI GSMEIGSFSSIQYENESFLQLQICGVSSCAHSLIQKVHQILDEALLDAQKHCLSSAKQ GYFLVRELVMLFILLRPTIHKERLNDPLFTATFYTDCTYLIHHLILIPFTYGSKFPPP IPQVGSFVDLLLTLRKLQETAISSLLTKESASIRELLEEKALSMESMKNMSMDQTFIE VETIIVDIVQRLKRVSSMFSSTLPIQIYLQSFGILVDETISTTLNQVLKLATSDDVKD VSPDDASALVLLLNNLASQIQRLFECHIFKVNPKDGSASNFGVHNSRLIYNDPPSLVG YLKYWDSLTVLRDTLDADIASIVQQKHKLKTLFKYDEIRGILNLNPFLSSNVDEVYYI IISSE cubi_00270 MAFIKSLLNSNVLHIFRGYIRGILADNNEGFTPRSTNFCIYKQV ADSLSNNIKDLVLHVLNEELEKSKEEKLIGTIDLKLIDLITDDYLYINAPILPLENIF NNEFEKIINNCKYKSNNFIIIELPKHFRLNVLSSRDLTNDQVEEDNLDSDDGLRNEVI HFGVFNTTTNDPSNEFEESIYRVLKRFIERTMMQENFNIHLIMVSPYFKPPQVLLGKG MFEIYLSIEWETNKSNLTQLIKDIFEGTEVANDVIEMIIASISYQNSAYSTLIDLCYE LKHFLVVKYIGKRVIKGKDADLNGMMKIRNEDVQEFLQKYNSKKLSSYYSSSIDSLIA TKISIDNDFVGLNELRKMIKKSISALEQFNNHSEFSGNELDMYGYPISWFLWGSSGSG KSTLVRSMTSISPKVKVLFCNIIDLISPLHGITTRNLRRIFKNAMNSRPCILVFDDIE TLGTFSKKEDLNEGEVRSKINRELASTLLYLLDSVISINQDVELNKALPKLIDMNLQE GNAKETIDQLNSQVSRKVLGLMIIMTSRANMSHFMPELLLKIQRHSFLQLPSTKELLD SISKGDDSEISIAAQEVADEIQKLKGDRLINVSEFKILVQNKLYEKYANRESNSNICE TNP cubi_00271 MPPKKNEQKEVKVLLGRPRGNLKMGLVGLPNVGKSTTFNLLCKQ AVPAENFPFCTIEPHEARMNVPDDRFRALCKHFKPKSEVSATLTIFDIAGLVPGAHKG EGLGNAFLSNIQTVDGIYHVVRAFESDEIVHTEGEVNPVKDLETISNELRMKDLERVE KLITELNRLTKNGTDRTKKEQVAALQILKDVYAHLEAGNWISSKHDWKSSEVELLNEH QFLTAKPVVYLVNLSEKDYIRQKNKFLPKIAEWVREHIDGVIIPYSAEFEAALAELHT EEERMAYIKDKGASKSMIDKIINTGYSALNLLHYFTAGEDEVKCWTIRNGTKAPQAAG IIHTDFERGFICAEVYKFTDLMELGSEAAIKAAGKYLQKGKDYVVEDGDIIFFKFNVS NSGKK cubi_00272 MEYQSRDRLLSAVWPHIESFNTFLSVGLKNIVKNLPKIYVDSGF VQDDCELNAAYSPIEYLRIGVTSAKIGKPIFGNSESAGTKLTPQHARNGHISYSAPLW LEFECYNSFSETVSKQSVYAGTIPIMVKSSSCHLFGLGTKELVERGEDPNEVGGYFII NGNERVIRYVIQQRCNYPIGLKRPRFATFDSFGSEYAILMRSLRDDGTSTANYLYGTS DHQCIYRVLLNRQEWLIPFWPLLMAVGSIYDSNILRSKILQYCNPKDENLFNTINMLT LEWGFDNSIGVDSEFSSASFGNKGPGDTDILENRYLHYIGRLVWEGVSFHLPPGSLLQ EAGRFLIDNYVLVHLEEWNAKLECLILMFIKLIKLQLNEIREESIDSFAYQELLSPGN LYSALFKDAIFSFLQKIRSTYLIEMRSKNSEKNKETKDGGNLLRDMSYFKSTLSKNIA MIPKRLQYFMATGNVKTTQLDLQQLSGWTVVADRLNFNRFLSHFRAVHRGQFFTTMKT TDVRKLTGETWGFLCPVHTPDGEPCGLLLHLSQDCIPVTQWDSQETLRRLRNYLISHG IPCDDGLGSLSSAWGIESSSRDDLHSPDLSKSIPVMLDGRVMFHIPREDFTAWENKLR TLKWQTSPSVLPVHAEIACVPPDSGMFSGIYIFTVPGRLVRPIMHLETAQVDWIGPLG QPWMNIGVTEEETQKSTELLEIQKRLIGISDTESEQQLKDDLKVLKKLIKDNDRVLSS VPVNYTHMELHPSTILSITASLIPYAHHNQSPRNMYQCQMLKQTMGTPCLNHQYRTDN KMYRLLTPQEPTIITKGYSSFGFSEYPTGTNAIVAVMSYSGYDMEDAMILNKSSYERG MFHACVYKTKIISAAPPSATKKSDAESFFFHNVGPDGELVVKELSADGLPNIGQRLTK GSPICRVERIGSGGPSNAIVQTYHDDEVAYVEKINRIASGISIEFQQDSLSGGGGDGP SNSSGYGEKVSIKLRVVRNPMVGDKFASRHGQKGILSMLWPQENMPFSESGMVPDILF NPHGFPSRMTIGMLLESMGGKAACIHGARNLDATPFNINISDDLSRSEENCVTDKVNE KTTVDYFGKALERAGFQYYGCEPLYNGMTGVELPCHIFMGVIYYQRLRHMVADKAQVR ATGPIDALTRQPVKGRKRHGGIRFGEMERDSLISYGVSSILLDRLLICSDEHRAYVCP KCGLIISPISKKAIAQSMSSSKLSNCIPPIAVCRVCKSPCRIITLPYIFRYLSNELAA MNIVIRLNLQQEDIPISN cubi_00273 MNYENREYFKPFILSTYKNYLNLSLGKTLQIWSIIGIIFSILIY KIIFRNFNGLPFLVYTSGTTTLYYYYFTILSSSILALLTSIFSTRKLAISCLFLTLIN LITTIIMLKDINHIFVNESSYGNNCIFESEFFRDWKRPLLAQCSESYSKICISNDQFH SNHLIKNCGILILEDDSSCDNFQDYLISTGVIDKFVNICISPSNYKYQVYLTIFIIYV HYLFILITTPVGVCILRVIYSIYCIVSVGGTGWEHFSAEELLLSPQVGLLNENPIIPF RYSLNGKNFLRV cubi_00274 MDVFGKVDAPESSLMKTQIYLTGRRHPIYPNSAGHFQINNLPKG EYLLRVLGPEYVYNSYIINITEQETKDGTRLVCKKFIFDYKTGKPGSTVNSYVLNIKP LYSTNKTDDSQGGLKSLFSLLKNPLVLISAVSLGITFVLPILQDSLDVEAMEEITGSV PGGKLLLNFVIKFG cubi_00275 MTSGTKVSFKIILASDRNRPYKILSVPEDAPFTAVIKFASEQFK VSSATSAVITLEGVGINPNNTAGQIFLKHGSELQLIPRDRVGGSSIFTIFTKV cubi_00276 MDNANGQQNIVSSFPPPPPYFRLFDHEDKVTKIKPPPCIEGPFT CFGSQLSSEISIHPLDSDTILYDESNDDLTAELMKLNEMFQMEILKLLENSGKGVVDT SSVKKIIKIYNNMNHILEKLRVIQTYHQIYDELEAQVNEKNQLLNQIKQHLEEYKSLL ADSNKQNADKMTD cubi_00277 METQVQTCTFFIKSKSRYCKFPRYKDSNFCNLHKGIEDELCDGA HEKLDNQIIPCFIDGRHFVSRNKIRSHIRKCSRVRDIAYELRQPFCIHINGPPHQLLD LRERLRAKRILGIEDNNQQSIENDSSDSKKKLVISKERLEFVDKLHDFCISQFGNNPH FESRQVNINDLNLNRHDLQAISISREFSRRLINDGNPISYCNSENDFTVDGDNSLVIE YGAGNAVLSYWFIKECQKSQNKKKCSKFRSVIIDRESRRKQMEKLDESISSIRLRLDI EQFDINALISLCNDEQIPKESIIEKMYLSLGNGNIPWIINTLLEQKIWVYDSSGKQIQ TIDEVKKLSKEELKYILATLSNGGGPDISKIEETIINNFAKKPVHKIFVISKHLCGNG FDLGLKSTQGAVKEMKSGSMLIIIMSPCCHHRCLISQHLGIEMLEQPDLQNNPFENSE DCFKFLTSISSWSTGTNDYRSDYGFKAKYILDSCRLFWLKSIGFTNLVYHTFTSKSVS PENILITGIYYKK cubi_00278 MLNSDRTADFFNFVEKYDSTRSEGRIGNTGQSSRYSNSIQGSQF NLLASEISQEMNSTSLKIEELNRIVKQKGLFRDRTSQIHQLTEDIKTSVTELNSRLEV LQQHAQQGFPSSGGYYQSSQHYITMVETLKARMLDITRDFKDTLQKRTEVIQQQDWRR NLYSYSSNSNQLPGITGSSMGESKVSFSSGTKYSKMSRVPFDIESGEHGMEHGGGTGF EFGGAQSMMQHQNQSFSYARSRAEAVENVQRMIGELAQIFQKVAGMVTQQEEMIQRID EDITNTFSNVEHGHAELIKYYNYVKSNRGLIIKLFLLLIAFIIFFVVFLT cubi_00279 MSQYNYVVTAYESGSFKGILKVDLFGDGINRILAVRTKSIDIYE VNRDAILIDDKLLEDEILDMPVLNKVGTVEVYKDIIEVDRWRPKNQDFDDVLIFTREY ELILIRAYLLDSGSDKILYLSILDQVSLYKENLRKSQLIKMLVHSEKNRIVILAYEGC LQVVGCEISNKDQENKTIFTSPLILRLSELTVTDICLVNTTNERSLLGILYDSGYSND PRLMKMIELPMDLRKWSYNTNLGHVMQQNVFKIVPLLYRSKRQKTVKGLFLFGDGIVE YRSIEEIMPNKTKSSEAISSSQAVIMARFNSAAFSGMDIGLSPLSITDVLNLGDGSRW LVLDNLGRLFIMLVEYDQNDIDKVVDIRLSMINRYSPFSRIIDLGDDLFFLASKLSDS LLLYSKNNKIYILSSLPNIGPIRDLLFSDINRKSEVKQEANPNLISKRDPLPLIAACG FGSGGALKSICNGIGLQNLYVSNESFVGQITGIFSLASNFDKVVVTGIGDSSCFKITW NYEKDFDDGARMDHVNSFNKENPSQEKGRNSNTVSPKKCFISFEKCELQGLQKDEETI RVCVFRDSYSYQVTPSGLFPIGEVNYHRKNWLLKDNLPPIQDSSLEYIEKFEFCEKSQ VAIICTCTGLLLLYKFDDESILSLICFKTKTELFELISENLGFNCLSEESKDLSNEKD ILNNSISRTMDEICIMGLFSIDNYILLFLGTWMNGSKLSCLYYERQESGTISNAELLL NIETEFREYETMVTSLKVFELERMVETQSQRCTEEDFIGLIIGTNNGYLQLQYIPKDE IRNLIKRKHKNNQLKDIEDLYEFKHYNTWKVSNSFISEIHELAISESLNRHFFICCDQ PKVLFWSYNSGKGKHGLGVWSFFNIHSSWIPFACQIRLPTNPTTKQELTKNKCLEKTY ILYVSHEEKDANEIHIDELANQDTEKSGGIQIFKKTSQQRLLKIGLIDTLQRYNCRSI PLEFTPEKVCFVEDLNIYVVVGVKERFKGRSTILKPINDSNILDNREKRENKESELTF IESVICLISTQDMKIHYYKTLEANVYPTCLEYVTLRASNDENEIRSFLAIGTSRIETK MNPSSNSNLGTENYGKITLYTIINRKHSYNLIESAVYETEVAPFVIKEFKMSQLLISI ENSLICLELHVSKNLTESSSSSSSSSSDIWMDTETDLELGNVELRRKETYCTHTMIVF IKVWKEEYILVGDLMRSVGLWEFDRYTGKFHEVCRDNSLAWVVEGLFLSKDMYLISDE NRNLRVLMRSPNPENDETNTSLSCIAHLHVGESVTTFQRGKFNQAYPDTRKSTGGQDF MEESLGKLMFDEQIAFGTSQGGIYLLFSIKDDPRIFSQLVLIEEAIISALKNSNLRIE LKNKVYKLKDSDLRKLFIRNSNSSLFSGIIGVLGGEKYLPLRWELNSTKESYNFEYDC SGTPRGFVCGDTVELFLDFPLDLQKSVLKELHSFKSARKLKLPDDVNQLETLIEQLKN MH cubi_00280 MIGLVKENTSNISNNIIADGIYTNENFKSHSINSQSQKDERKRA SKKNKAVGDSAFRAFANNFMSLFGYGDTLKSVNEAGFSEITAEQKPDNSRQSFSDVMG VEHTRNETVAIGTDVEDGNSPTKESKVGGLRLEVGNSVASLNADNKLSHSSKVTEKNS EAVSKPFPEKKTGHSQLQIIAHVSGRPVHAKYSYGKNKFKVAHKNAENPNGKHFGSDK EGKQISDTQNFNSIIIPSPVIQFRQVPVQVVGQRHQIVYNTPLISQRIIPQTNKIIYQ PVYTHNQVEMASNGPAGPIGHGVMHKNMYSHETFVGANTVFSGKELPGSYSAGMGATN ALKNTNVCLNNQILGFGTPLVGCRSMSHVPNNGFISMDSDQTSGGELLDVNQETRLQS SNEIATSSVDHPRNGTGGSLASVGSSCQPTSSFRLGIPIKVKTLDENSTKKKENQPSA KNGIQSSKQVVRTSNGNFQTLSLTTTTSSDTGSVTMSNGSLNPKTTLEKNANTNIDVV KPGNRENSKILEKINENENGTQKGFIPQASPVVNYRSALDYLVTPMVRYRDIASNLKV RTVPPQLSSNDACKFFKTEVSGNGIAGLSNSSNSPRNMNFTGSNEYRETVYTPVAPFP IASNYPVVTGPGNSGVIEMVNSKIQPNGNVLVTSGSYIDNSILKQIPTLNTHGSNPIN GVVFPGNSQGGRIFDRSLNSHICRAPVAVPQNLGYRLVRTAAKNHVGAGKIPVGGGVS IYKENYTKEENFSYNKNHNVRKGYVNKMYQYLADSSQFPPLPKEIYVDDIEFLTRPIS SESIANMNKKLSINTRDSETNVSLVKCSTPGVGREWDSINRGTFCTVYKVRHGECIAA IKCPQKRIHDLDPLMSRYRCYTEWKLLYRCNRHPNILNLIGGIRINEYEIWLVTEYIK TGDLFKLIHGNGSRSKTFRESVEYRYKVMYQLSDSIRFLHSLSPKIVHKDLKSNNILI DENYNIRVCDFGDAEELHYNVITCCTAVTWQYAPPEIVGCSDPAKPNSNANEKVDVWS MGCIFLEILCKRTPLQHILDKVEESGKHSTLYNLIHSNKIEGELKIPPLPDSLYNLIT MCLRPNPELRASSKEVFDYLVNNEKKILKQLSYINQYKLTSSGGHSITNNQNNDINAL SQFRAV cubi_00281 MNDLMSFGLHGFFKDIFVEHCFTKISLRIKRGKSKEFSHANILD VAGITGDISFIIVNKFGKEY cubi_00282 MMERGQLKSIENGYSNIWFQDDREELAQISSNSIDLVAIPFGTR NFTNIEKSPESFYRVLKLEASFLCLEFSKISNPPI cubi_00283 MNLISKIILWGLLLFPGIFDKCQGNKYRANLDKYTKIFQYQTSE VELHFPNSDINYIFENEEKNFQNQKELENSRLANMKDNKYTFMILGGKEFLCGFGEYG RNGYLQESEEIDPSWDSMDSNIKNYLKKSKISWLMERCFIFTKKKDINNGIHIQVDMF EICIGVSVRHKRQIIDKETNNNILESQFNVIGDYKLNEDSFYQNGTVIQYYNPAIENL KGDSGYSASIEFKCSYNQSGINQVLEDINKDFKPVIKVEFLSPSFCDWRVDESKNITS LDKLETLLLPLENRCQNFTDFRFWNYELCNLYAVSQFKKDTSNQETRLFHLGIHPNTA QLLNKTNDLDEYLSNNLISNIVNTIKPGKFSLLENVTATIEPRNKNELVSGNYVYRKY VITVRLSNGTFCVENNQQRSIKLVFECPENFESMSDYFKIVNVIEYSTCSYEMLILSP VICSHPMLMPPPIYQHRKIKCLPKNLILDKFIKDKSTLEDSHRSQQTEKENEVVSITR INNQFEAIKTEYITSLKKGDTIQTFFTMGNSPRIRNSNTANPKFLVGQIVQHLWWNYY GVIIGWDWKLNAPKQWEDYIYQKYPPKSKEKPHYLLLIHQNETLFNNTSPINSIPSNF THSYIPEIALTHVSNSSSRHKFSSKSIINNVYISAYFSHWSEAHQRFIPNSNSTLWKI YPTDFGELNKRDEL cubi_00284 MEVNSSNLLVPLHSIGFVTDEVPFVLEKLGDEYFIVVATGHYYQ VYDLDQLRIKYISQRTGNRIENLAAKHETVFVSMGNIIEGYNRHEKTFEVEHKSKVKG MLVLGELLISWDKGTIIVSELASSSRRCEILLDMDVSEEIVTVLHPVTYLNKVLVVTS KKCELWNINTRKLIHRFSSIQDVLNNSKNIKTKLEDSDQQKIITAVTSSHPDVVGIAT KNGMIYTLDIANDLVLLSLEHMPEQKGVTSISFCSEKAILISGCENGDIVLWDLENAR VLSILNSVHESEVVKVQFVPGVSMFVTSGRDNALLEFVIDNQNSPPRELRSRRGHLSS IIKAKFYDALPEKSRDLLCISNFKNCGYLGKTSTIQQHQNRIFSQNSLKKKFNEKYQF PFNRLPTVIDMSFAESRHFDWPNIVTIHQGMHEVFVWSGHNFALTPKLITLENIQRFD KQSKNNSPSNFVSHGLTAKKKSLPLAKAVSVSGCGNYVIVGYSDGSIHRFNLQSCTHS GQLTFPENSEINQPTMEILSIHISHSTMALCILRDLDNYYLSAWSIKPIKYLNTKIIL SRVSILSNVEGQEAFVSKLFGYLLAFGFSDGRVILYDFQSQVISREFQCGNHTILDIT MSSDNRWIVISTKNSELFIFDIISSNLLDWIKFKSPAICCVFDHSDAFLITSHDQSKG LLSVWANKHVLSVSVEIEGLSNPPSEPYYIDDPPNRVLYFEDSDQTLKNNKESTKASD SDHSNLSDSDLPENGDSDPSLTDGKLMSFSGIPFSTLQAILFIDEIKERNKPIEPPKK PESAPFFLPNTTEFSSAVHKLSKEINQDESISKDLSESRDPSSPLKTELQELLAKKVS LNDSDFFLKITKLLKSKSPSGVNLLLRQLGPLSGGSFEEIAQMIHYFNISILNRTDSE LIQTYLNIFLTIHSTTILENESEFKDIQDIVLKLNKLVKNDWDSLQNRLQNISCFLKL VTNIQMD cubi_00285 MRDKNLEQLLEVINGESTQINLEELITNSIPELESSFKMLESKE KLSIIKFSLGLMEFKSDSKTFHQARMSLLGLILEKEEIKDILGFDFNGFNTSIHILHS VEIASLEIRLFLESKLIELEDYKFSVEETKDKQKIQDDGEIDLLTSSFRILDSLLTQL NKDENLDFLESCEKIKGVQVVELLDSLKSTAKHCMEFVLDIEGIIWDEYEMNLNKGQL EPKGNVLSKTSSLYWIIYYSCSISSKWQINEPDQDLDKYLKLLEIASRYLNPLHFASV FPTMIHLSVIDWANIPGILETLLTSMLSFCSLIKKENKKEGIYSGLYYATLLVTSAWW SPGIDTYRVTVSKIKDGSRSFEYVSRNYLNLDHLDKIQDGKISLLELRVEEKHKSGTN MPEFVPLDTNSIPEYSFEDNPGVDRVRRISLIIFGLIKEIYEEEIYQLQGNFIDKISF ISYLDSPNSQNFERYSAFIQTLICILTLLTCRIQNSYVPLELWNIIFKLIIVMTPKSS KGYYYDSPRKVTFFISLLRSTAIAFSSGYSGQAEKFSELLESLRIETTYSIPKLIIRD NCEEFSEQDEESIKFFRNLLDIN cubi_00286 MSLETLSALYPKATKSKNEAERLLEQFELGLDRSIALQQRLSAI LNDFCRQVTELKQVLEGLKSSKSIDRSQEKIWDRRVDNLISDSNSLRESVSKQLDHIH KSQIEEQKKLSYSSPEKYNGVGALIREKAIWQESHIAIDHALEQAKSIVSNLKNQNRM LKSVRKRALDMASRLGISHSLLSNIERRNLVDQILVYGCIFLTSLIFITIYLFIHYIY RKRKMQKYINYRIRVTVQDDRIMVGNLMAFDRHMNLVLSDCQEYRRVKKGEESKELKR SLGLIMLRGENIVTFVAEAPPKNQPNKPVEHTYAGNVQTIARGPPLIPPPIMPPGQFP LINPSIGGLPIPPPGIPPLPPNIHGNK cubi_00287 MSNISAINFFSENIGITGFDSENAPFMAFKELFDNSIDACNNKA KTEWHDLPKRIQISVNFENLELDDQARINIIVRDTGCGIPLESIDLLGTLFGTNKKIN KRDSYYTGQFGVGLKMILLYATQHGEGNVKVKMRMGNKIWKFTLLCNLSDGSFYVGNS ESFDHNSWDWITEFSVSMKLSLGLEYLQEKYFSMELYAKTSLKKIQSYLALSKFWNSH ISFNFETNMKMDASELRIGDINLSFFDIFKDNFVQYSSNKGSKYKIDTVFGFLKECHV KKESQIDNLEYQSLDKENQSNLNLSNISQTPSLKPGQIYIYRFSNGMPIICKDAEYCE IVTSIKSFIKKKGSTYGMKLVKKDESVESYPENLSMFSGSEFLLPIMVIPTVEFSIRV LFINVKGHGIQYGTLGKSSLKSNVGLSTAIQQSLKSLMKGCQLKFPLELINIKEFNYK SSVEKYSPIISKNLASMVMRSESIVFKSGLFEILSRCDPESSEKLSKLLEGNDEEKLR EQLNIIFCKWFISRMEQGPNIKAQLESNEGDSEDYIFEKEENL cubi_00288 MKHSQIYSKLLYERILKLNQIYLYAIKSGISKVRTLNPYYSKKN TFKLTNADLSSIHHVLVHICKENISLWLIT cubi_00289 MSKPTMKSIDEMFYSKSAEDEEHFRSKTMGLVSMETYKRHISDI RKGIKSESNSKKTKIMTEPKRKRNKSNPLSFEFNPGNDMPDQILEENDHSEHIFRKGI SSSKIYSGLEGSPLEKEFNESHDKDSDLNFNTIGKDTSIDTSFLPDKKRELEEIKVKE RLKLEELEQEEKLKQEIIEVVFSYWDGSGHRRSVRVPRNTTIGEFLEKCRIKLKSEFK EFSRLSSSSKPKREGNQVGLSLKAIIKLYLAVFGLILGPLFHFNVFDDIREKSDIRKE TTESHAGKIVKRQWYEKNKHVFPAYRWENYVPGKFNKPYSMRGD cubi_00290 MSNPNQNLGVQNFQMGGGTQQIEHDYDEPTIPVDCWNESQEDYK IGGYHPVSVGEVYNGRYLIVSKLGWGHFSTVWLAIDTLSKPITYFALKFQKGAQEYRQ AAYDEMEILTATKNHISGEEWRESLNRHLESCLENFTRPISKNFNGVVGFIDYFEVSG PNGQHVCMVFEVLGPNILQLISLYDYKGVPIDIVRKIAAHSLIGLDYLHRICGVIHTD IKPENIVVSSSPIPMVDFKIIMAEDQVEPDLSEIKKIDNYAQDGSNSDENLKDVTATT EMATSVVVESITTNSNDSKNGNLDQYHGLNAKERRRLKRKNQRKNKQKLSSQVTESSN AEDAVEGMSEEISNTEVGMKGKRLFTPPFLKLHLKPMPSDPTHSSYYQINFNSKKAEK SSIEQSKLENFSFNSNMNNLNQFPLIKPPYHHHLYEVYHPQQYIAADEQRYTHLLPLT QWNKSYVCDSELTSQNNGKFSGDSKENSRNVRFEVNEEKLIEVSNFIKHISTNSNTFV RSEAEYFIVDLGNACWMNKHFSQDIQTRQYRSPEVIVGAGYDWSADIWSLGCTIFELL TGDLLFTPKATEDFSSDDDHLAQMIELLGEFPKSLIKSGKHSKRFFNKNNKLHKISKL QYWDLKSVLIHKYCINKFEAHNFSSFLHSFLALDPRMRPGAQTLLDHPWLRLRGVSSD YLENMLTRIERPLTLMDEENISRDLQSLSISENDQGDYKNLSIKQELSEWFNQFKKTL DS cubi_00291 MMESILIQGLVGSVITYMVFSRGKNNHLLFPRLNKFRHIISISL CLCIIAYTIASKPLTYYDIIQVDRWAPRAELVQSIRVAKKKYHPDKNKLDEKSNYTEL FYEIQKIETVFSSESKRNNYNKYGDFRADGIIEDRNIILCLILGIAFHVYSCLFGLFL SFPSIFRKSRGLFPVYSIAVFCAELHMRLSEDPNPLSFLPVIGGLLPFEKIRILRSLF TCVLIISFFYASNNYRDYDEILNGMLKGNLITNKRIIDLTQSLIVQLQTHGIGSTSTT SNQSKNKKKNNPSSSNTATNPKIKVDSDNEQENPELEVIHQLSEPLKELASTMNESQK KQLQNVLNIAFAAKGKENKGKPGILSRVFGSSFFWIILVGYVFQIIKNYFS cubi_00292 MKLSEGAYKAKLADMIGNYNDVIKVLTESSDFKDNSLILLLAGS LRNRVTSIRSSLKSIKSQEEKLKKKESLDNEFLQVIENIKKEFEESILLESEDVLHII DDNLLMYSGEGTKAFCIKLKGDLMRYRAEILKDEEKKQCIKQAVELYEDALQRERSIL KNYPSDPLYLATILNYAILKYELLDNPEGAMKFTNRAIQAAENSRSESEPFSENTEKL IKILKDNVSQWEQGSSGLLTSAFF cubi_00293 MVSIQKKLQRFTLGDSLKGEFVSICLVRDIQNSEELLKILMKKN HPKLVELIKDQSNQSIVVMNAKMIYSLEHILISVSTCLLKRKFQSKTKTRTFETEVIY NMSPSTNISTSLKTFGITDTTKDVVCLFINIDEHEAIGDFLGLIQGQVDLIDNLPQVH EISEIIKVSIKGNSQIYLNIK cubi_00294 MKQGRVVVLLNGRYAGKKAIVVNTFESGTKDRPFPFVLVAGVEK APLKVHKRLSKEKLKKKSTIKPFLKSINMNHVMPTRYVVSDLDVKPLLQGIDMQEADG KKQALRALHLVFNDKLINIQSEKGKAPKDLIFLRKPLRF cubi_00295 MEVVNSAVTIISDPEKCRTWVSQHKSSVKAYISLAIFCVIVFFF LSDGDFSFLLTLSSLTSAFSFAMVCLKIEITKSCAGVSLRMMEAYVILIFARLCSIIP FEGYLPYDRSGDWLYQTLEASCMIIAGTIVYLCRYRYKETYDPNSDEFNSMYLIIPAF IMALVFHPSLNSWMPADIAWTFALYLESVVVLPQLFMFQKERKVVPFTSHFLAMQAVS KILAFIFWISSYTELNDPSKVLKKHVGYWVIIMQIVQLALMGDFVYHYARCITRGVPV QFILMENV cubi_00296 MTICQERAKELAENARKIASPGKGILAADESTGTIKKRFDQVGV ENTETNRAAYRELLFKTEGLDQFISGVIMYEETLFQTTASGEKMTDLLKKQGILPGIK VDMGLTTLPLSDGETSTTGLDGLGARCKKYYDAGARFAKWRAVLVIDQSKGKPSCLSV SETAHTLARYAAICQENGLVPIVEPEILTDGAHDIEVCAKVTERVLAEVVKALHLHHV LLEGSLLKPNMVTPGSDCPKRASSQEVAFYTVRTLKRTIPPAMAGVMFLSGGQSEEEA SLNLCEMNKIKDCPLFLSFSYGRALQASVLKAWKGNPSNIPAAQKALLDRAKANSEAQ LGIYKGGVGGSAASEGLFVKSYVY cubi_00297 MEYDQGGTNSDHAVRCIKQLSAIPNCLSICHWSKSSEILSQRLD GEFQEEGENDSGMSNLEPMLNRVAVGGRSLLQLSTVDFDRISSFYNISRTNLVDIGWS RSSHTLLGLTLSGSANIYVGLKGRHWQMSSRFRSHNVAGCCLEWLGDSSNMFTLGFQD GEIKLVDGEALRECSGVNINSSEELCTPIWSASATSSPVRDMQSRNLGSDGLWSNNEL LLAYDDGTISHLDFRAKISQCSRIQTLSKGLSCVRWNPHDNNVFSTGCRNGVQIWDIR KMDGITSVTNIKSQFVVGKARWRPGFPSQIAFCCSAIDSNIYVYDLLDPIRPIQRFSK HSDVVRDFDWLESDAIMSCGADKRLILSVWEDATKPYRRIKTSSCLYIPNFYNSMEVS QRVVFNISHEFFRRTVNKNYDNYNNLVSGQDSNNAFPDTNASNSNSNFNSSLNLEQKK QNAEFKCKVKDLMDNSDSIFKFCSERNELFKYSLYSVTGFLPDIGLVQFPGDLASILD PRCELERRIHIHELTNRKSDWMKVIHKLGIFGLAPSWSSIFSSLGSKKVYKWKNKWGP RILVYKNKSISELSGVVYVSSGRGDDPSSLLFNGNSLKNLSLEEKIECVFEFFLEVFG VRILQEQKYRHIIQRKGSQKEVFDSILNELSVQSNMNNVVICLLIVNNLLPCITPPES PVLKAYLLMQVKWTLNLVILLRKLGLFCLSAQVIKSSQIKEIRNLGRENISESNFYCG SIIQPNDQDSSNESTSYCNKQINSEKILLAKNFQPRSDLHSCLKCNSSKNICVICSEP VLGLWVGCPCCRHGGHPKHIQEWFLSNSICPSGCGHLCLY cubi_00298 MVLKINSEAIGHKISSLEDQYYSLKRHHESRLKDLNLEIKELSK LISKEQNNLQEIKSRKESQLRDLELRISNQILELREIRNESDTVIENKIKEHIHRMET LEFNKSSEIDIHREDQFEEISKSLNDLTQKVECLRKKRLVKNENYLIIYPISELS cubi_00299 MSRKYFVGGNFKCNGTKESLETLIEAFKKVKSSNSEVYIFPSAL HISLVQELFGNNHAGVFKVGSQNISCTGNGAFTGEISCEMLKDMGVDCSLVGHSERRQ YYSETDQVVNTKVKRGLENGLKIVLCIGESLSERETGKTNDVIQKQLVEALKDVTDLS NLVIAYEPIWAIGTGVVATPEQAQEAHAFIREYVSKMYNSQVSSSLRIIYGGSVTPDN CNELIKCTDIDGFLVGGASLKPTFVNIIDSAQ cubi_00300 MKDFCLEKPLLEGGGSKVFISTESVQKRLIYAIIFCIIFAVIEV VVGILSNSLALISDASHLISDICSYLISLFGIYLSKRKATETMSFGYNRAEIIGALLS ILLIWMMTIMLVYEAIQRMLYPVSVDGFSMFVTAIFGTLSNLFISFVLSVHNHGMGSI GADCGHQHSHCKEYVHEHEYEYRHQCKQAHLQNEFHNKDQQFEAREQIGGINNATLLG SQQRNQMKTKDIDDEVDHYTNLMQSPVLKRVNSSTKKECIGFQNDYSHLENHNHYPNK HSSDEESLALRSAYIHVIGDILQNIGVMIAGLLIWYKPTWTIADPLCTILFSFFVLAT TFRILKDSTNVLMEGTPVGIDCLSIQKDFLKLSSVLEVHDLHVWSLSVGIPALSCHIV VASEESARVTLRYATDLCQKKYGIFHTTIQIDYSSNKVTCGTIHHQKCLIVSNHQNKY EDLEVIPRIDYSA cubi_00301 MTDGNSCQSDLSEDSELAEKDTFGNVAINSLVSGLEDDEIDPQE MLNRRNSQLYIMNTISNSKSKTGNMFSKTAWETLNKSGTRWAIRSFLRFGRSSFISRS MMNSFGTYLMDAIWWFETNLPVIALTIDDVPGLDPETNENILTLLGEYNIKCTFFVTE RNAKYIKNADHFLKRCISEGHELGNHLAKDIPTNKLPISTFTKHLLECEYLISKYCPE HISSNSFIIPPMHMNNRRTSSDSQMTTPEVSLSPSLSSFSSSYSSMVTSSSTILQEDQ QISNNNPEINHNNVAQEEQCRKYKWFRPPFGRLTKQQYELVVSRGYNVVMCDVYPNDV SFQGFPQFLAQFCTSNSSPGSIVCLHIPSNSFRSANIEVLKLMLPELSRKFKCVTLSQ LAERVYREQNSNSL cubi_00302 MKNKSKLKADAETEANVDINVKTKGVKANKFRFESYIDRISAIE LKVGEDEVWNFGSDNVEEDKWYSEDKFGDNTLISSESELENSEISFEQQVKDQLSRTA FGDSLKYWEGTVRYSEFVELVRILKPYRHSLVHIIQYLDFIIKELTQRINECEYPETA EAISFLIGALAKDTRLELLPMLPSIFEALSVRLETPLDEVSITGQGGVGLYNEKVIQS VFSCTSTIFFYLSKYIMNDLTNYLHIYKRWIYHNSSIIRHFSSESIAYALKKSKDQEI VKSLDMIFLFSFNEYNHSTSKIDLLNKWLSEVIVNVIFSINGYISTQGELVLRYLFRH LGFGLQIEPKYLFNQTISNQHDQSIISNFLTSIHHGQNIGNHNFESSCNLEFRNSFPS LKLILNDILDHINNHITDTSNVESFQEILSTLLSIYLEIFDSFSIASSETKSVSIIQF ETLNLITSIKMITNTCHYKVNKATINSESSAYKKKIFRFNFSIIILQFILATIKNGMF KKLYIEIIQIQDESFELLSHLILAYMDLISKISMDSIIIRDMNYIHKLAYPDFKMSQN HSFTKTLLSTLSSNFGNIIEEASSDSQPWSWLKTIFEISVNTKQIQTRSDLIILIMDS LLSILPIFNHNYNQVDHFFKIVLEYQKVLLEDSIKSDIPDYKLSNRILANIYKFINQE KSLDIKSLYLNNPSLSILILKNCKFLLNYHNLQLSELYIILELLSYICLENYFSFNSD LDSNFVQDLKSYLLKLYNKLFKSRLNDYTSLLDIFENLEWIKVFRLFCLVFSKFKMDQ VSLKNMTSKIKLWMNYNETSSSYLKILKDSLYNISTIPLEYNSEMISIMDILLNSTLE ESQPSYDLQNPELISDLEIISLNWIINPLYEVRKKTTIFLFKFFSKQKSTTGISDLLQ LVKMIMNLEDSEANIENERTKIRQIQQISDFIQLKLETKSFESDEFLIKLAIKSVISQ LYLKLSLIWKPCTDILTKLVNSVQENECDKNSQSEFLLKIILSTTFNQIYCNTYLMEG ESKTHDLGLPHTDEFTLIEWYCKLLTQIKFSDSDKYPDFVYFQAQLLYWMLQISFELQ GNQDQFILIKEEDTIKQFCTDIGANILDLSSKKGQIQKINNFINVLESILSYQKVTIQ KPPIIDFLLDKFLVECIPSLLYINHIELQMSLINLICNYGKDSETLIKYKSMFQGLIS GSSEDGQIQSLRSNLLTFSLLPENENIIRREDRIKVIPIVIRVLLSKLGKDKEGSKKS NNIVRASKKGNKALSSSNKRKVIISYLSELPKEEMSLLISVIIDPLVNVNVSLDNSSD LKQEDYLQIDHLKDSDIMDILKRFSLFIKTPQIELKGYYKSTLLNSIIRQDNIKQISK FWTWNQSNSNQQKVSKDFGFVYNTNIFPLTTEKQDVLYSRKIKISIKNINIYNSQYKV VLRFLSYLDHLLNFMSHTIKDHIHIILIILTNILYLNHNVMIKANHLLYEETNNLKTD QFYPNFEEDEEKDLIINSSSLDQKEESQRKIALNRVRMTCKQIFLSISNILSNYSEFS SYLKYLISPISPILLSSFEINLRASSNLHISSIMNFMINLSKEETLLDFYSCLFPQVL LNFGIFISEKNILSGISNGITGKGKRFNSGSEFIISNIIDMYLNILFGGQERFYLFQE ILKKNNLILSNFNNNTNLVIMDFSQQDKNTITISESNYIFISKKGLELISECIPNIID SLQKVMLTRNSKKTSNRLEIITFKELILLKIMALIEINTKTCKIENIMNKNQKDIINE DLSIIKIILLLLLSTMNKVHSKNERVSNNNLSIFNLISNMLRILNLKINLKKDLLDEI EDRYCKNKLIERIGSLITLRNEDLIKEESLFKNSFLILISDIMSYLLLKTPHLKLRST ISEILLFSELSLLGKGAYYNLILDSIEDDYNNYISRKGKKEGEEFGFQGFSMKTIILK HKEVIDQSEDLSSNLNIIIPMAIYGLNKPVNKRVLDSSVDIDLQIDILKDLEDILELD NSIRILNKDLRLLYPLISQQLYLIGGNEGGDFSISSLSCRFIKKLILSWCNIIKMTFE YPEIKIDEEKDLMIRYILKIFLNLVVPYEEDIVRFSQDLIVRRNILQLVDVMIENFTP FLDIFSSRMNISRDLLIEKFHLSLFPIISYNTTEDNEKVRLLEELTHIQKHRRARSLN FFARFSRFSFEKFRKSVDHFEDEIFYLGKYKIEIPISFYTVKILGVPLALDALLQKGS GKETYSLSLGDNSLRSIEAFTIFFDWRYCIDLTGYLIKLLRLLPQRKNYIIKAICSLL NSFDFQINELVTSNILDINDLQKASLNENLEQEQDDGCSYDQNKIQNQDQTLNEVQFQ HKDQDPVRNLEEQLNEMQTSIKQKLLPLLRSIMIDRSYKIDSKNDQSHIISAELSHKG GVSKSSSQQYGIIRSDIVLIIIRVLRCLPSKQFHSELPRLLSQLIMALKSKDREIRRS SRSSLKSISQTLGIQYLPWIFTQMSSILTTGYQIPVLIFTVHSILHEITISISNLNKS ERNHILFDDCIQIIGNMIIEELNRIADPDRRTTSLDTIDTPMTGSVDEGKYVRSPQII RILSKHVSFQGAEKLFEFLEDLLNGKLGDRGEASIISDSFSQKYLYWLKNLHFQFCIG FINNENFGQNTKLKFSIYNLAKGVVLDKNMLKNDIRILISSLNKKILRLFQDPLNLLD SFKTGTPSQSLLPINKKPQIDIMERKERYYKVQPGASTGRGTHQVIKRQKGFETKVKS VVLSSSCLYLLNQLLKVVNNLNLPELVSDDGNEEYLPINLLLDHLLPLVVINFASEST ELTSSSCKCLIRALFIESMESARNLGILEIDHLGSLISKTALKIMERSGVNSINTSNG MSELISSSMKLFVALLIRPKSIGWFNQLFFGHGLKKTTMFYTNVLKQLHITINDNRLR ITSLQLLRQIILYGKNQVTVSSDSLGSLYSLVDSILPLIVQYSSMEPKIVALGCNIYV DLLLYYPMSEKSQRQRISVLLENLPEYPTSEGRQALLTAIHTLITRFPIKLVMESYNI MFLTGLTLALSTETESKPRSMIQNIVFDILSMYKNDNQARVNLINLIFKALGTLGNNL NIKCGLVILIEFIIEFFISKREVISIKEIVDIENLVFSELGQLISIFSNIDLENQYKD GINKGTDHIDSNSDSVIYRLEYETINLINFFINGEFQVFQTINLAWFTKEKLENRKIW SQLWNILIIDKNNKRGLESGHLWVKSSVFRLVTNLLKQCLVGVVKPSNKHEKLFLLDF TLNPKIMTNLFNRVIPIQFNSLLEIAPWLVPKVLACVQHLILLSNFLKEDWSPPKKLS EIEKEEELLGDNEQDLLNSKLTSSLYNIEPKKGFKKIKISKENEVNDEDWLIIDKGEK SGEDDENDSDSYTFSSSSKTSKSVIFTENDHVEDDLDDFLISQIPEADIKEDKEDKSY NYKNQDNNSILKEDDLDQDSILKNSKLIIDKNSTIRNEAYWWVIDRIACCNRFYSTRP GSFRVRLLLSLRSLYDIIGFLPIIIRDTGCNLEDPKIQSSLKHAIRALYQSSTMVKKQ DFTEKGFHIYNITNFDWVENIQKLSVYQIITQTCIFGQRTVERWDKVFNDIGKSNILL GCLSEARKNVISNRIERKTKLKLDRVINPEIAFKRKKAMRERTKKNRKRKLKIKIENR KLNKL cubi_00303 MSPAESSVCRNDDHSFQSFSSRKYRREKESAEIRAEMNIKSNQR RGDFSFKEGSFSSPFISRSSSNSSNGKRYSPAKVNTRFKFKSVSRSRSKSSSKYGYRS ESMSLSDSRSRSRSNQRRRSKQGHNRISKERGRSKERVRRSYESSPGEYLDNYYCSQD EDSPSRLRSYETRSRRFQNSRSVSSSVDRSSYKGRTYQRSDLSYLKNNPKNQFNFEIS KITDREHSLKNITTSYSKSLPGSRSTSRSRNRSRSVEKNRSGSRTRGRNRSRDKIRYR DRGWSRSRSKSKSKSRSRSASVTRSKPLSKQKYISRSQSKPKSKSKLRSRSRSGSSLD EISVSNSISKSARHRSEYYRNEDSYEQDYDHDHEYGKNTSGYNYSSGYLNRQSHAYSY GHSNSYYNDYDKGGYNYGDRNWKNYQNSRYSNKYRDRNESYAQRSFSEERERSRERER RRRRMQAECIKKAGGFQKLAQSEGKEPTPVFYDGFQWVAKTGSTASMDPATMNNTRRF RRLYFGNLPLNLGLTESNFQQIVWQEMALRGLCLNPNENPILCVWFAQKKGNYGFIEF RTVEETEKALQLDGFACMGSKIKVSRPNDYSQALLSSSGSTQTPCLSLFNPNNMLNYH PIIAGTITKDNAMALGQQAALQLLFSIEDTYPLGTLNLDSKVIRISNVLDHSLIQDPD QCQKIKQDFCSGIEYKDSILSSKIITIDDISRLCQELAERDIQLEPADILLEFDSSSS LQLSVSAMSIAKYDHKIPKMNFFDENFYHSHLKR cubi_00304 MNKNNNVCLGRRGRPKKNQQKPSLIDCVEALLETDNFSFFPLNK KELIKKNFIEIEPIFKLKDNFKSWYTLDKSSSLMQDQDNNLAENTLGSASISEHSIRV SFNDLEEMEVNLNSGIKTNSNFIPFLFLTNGQVWKMAFSPPSYSLNKIFLAVGIHLHE SPVSQINKRYSDKGIIQIWSIPFENIGNEANDCLAPRQVLEISHNGQFCRFLEWIPQS GSPVKKSAGLIFCVLGDGIAYLLSIPLLNGTTMNKFYIEDLVIWKYSSSYYTICSASI RIPDNEAVSLRIAGTTVEGALLVWTFEMDSQSGVKLELEPINPSEQIISVSQNVPILS ASWCPIHSSNLIAVCDNNGKISIIDFRRSNNNIIKEFELPNRPITCIIWSRFVNIIYL SHGIGAIVLSIDSGDYTQFTIEAYNKKKKYSLYEDEKISPILGSRSWTCSSFLHNVIF GFNDGSTIIGPCFEFESKSFHDTILIKALVVDPNKSEQMNADEITNDNVFDLKNDLSS IECSDYNDLNNNGDAELEAANNLKMFNCMKDLITNNYIQRVKRMKKKSIRILWNHEIK ELNAVDFLEVQCITSVDPFNYPRLISQPYVAIAYFGGLVAIHKFN cubi_00305 MSIENDSIITLPTEIINRKEFSLPDSQKLSHFWIVNHVINSLFS SNNTHNNELVKNSYFKGSLFEEIRFQLNVIANNLELIRINEKENSNQFQVWKELGSCV SEFITELLEKWDLKLCELQHHHLNNCEVLLNELVSEFNQINRKVKGIDVIEGFDKEED LWGDEQLFWEIDSVYPFREFQFGLMNEETICSTPITIVYLSSILHPYISIWSNIRSFF NILVNKVFLSNSTNIKNVEIQKSELNIFILETLFDNIEKSILIIDEYILNIWTSLLRK CICSFAKLVPNTQSSLKKFPSSKGNNSVEFYNSISILSDILNYFNKYKTCYLYNIGNI FGIQPKNEISKLDFVLRTIKIENQLSDIPIELLNDIHLQDQSKAFPINMLFTSNQDNQ SQAIQRRYTFQNNIGKSFRELNRDLKMYYKELFIKEDNEIKAKLCNPIITKLIKNHSN FENHQGIRIINILSCLRDLVILEESSTEQLIKTLRLDSKKKFPNIDVDPTEANLSIKL LYNKGLKDEDKELKRKIMSMFLLEFEVSNTKDYIKGQLPTFYSLKIRLNNINLGLNSN INLSNNQILICGIPSYLILQFFGKDIMEDYSNIFSFLLEVKRCSLFLNQVFQTLTEIN REKQGILLCKQKVKKIAKDGFDYIKFCNNNCSIIMKWVDLLLYMSQKMRFSIQFIVDI YYSYFSIQSPIIWEELKNNLSSNNSVSFMIKSHKIYIEKLHKLVLAPNKRKKDNLGID QSFQSISISFVLLLKLGRKLNILTYKVNQLVQFLSNVNNVLRNRKYVPCMILRRKVQQ TVKKIVLAFEVLHSEFNEAKQQFLNSLNIYIMSNPNKEMEFLLLQLNN cubi_00306 MDFHPQIRALDVVETFWETFNELGNVIILNPIIVKSSFPIRLEM VKDAAEKMTQRHQSLRVRIVSKITSNEGRKTLKRYFIDLCDNVEVIVREEFQSKSDNQ NKEFEGEPVWENMLVKEQNEFFENENSPLWRIRMMSLGKSEDIYKTCFVGSFHHAIMD GLSRQYFWTELLGLCALSNEFPDIAIPKNRPTNLPKSVCKYFPSSLKVRLLKPFYSWR ATATHGVCLYRKLVAPFENPCSLEISRDLYADFKKSPRTSILPIKISKELLKKLMSKC KERKIQLNGAIEAVSALGLMGLIYELRENRMNRGSGDISELKDYDKSPESQELTSLKL NCMNNCAPFNIRGGDCFIQGGDGNKMIPIRTMVAINCRRWVTKDNPSLCPETSKVIEG DLMNVLEVMQNSNNSETTTTTTLTDKNDEFVIKNHSNENPNSNYGDENISSLENAEFS EVSHRNRSRSHKSHSKEFFNNLSKINMSLTKLGSPNGEKMSSSWFKKAVSKVMSPKNR KSKQIKTVGLGSYAVLMGLDMKVEKDCITNTEKMWELANNTNKKIHSIVDSKDPSAVT FEWHVISGFIHDLANSPTRSDKNMLLMQLGDPTIRPSSFLVSNGGLWDSSPLKELVKK INAITTEQEVMMEVESSYSCVAQHNAGLNMFAHNIVTVNGELCWSLQYHTNITNKEVA QMYSNHIQKYINLLAES cubi_00307 MAVQTRNKKKDKTLWIPVEEEVDDSTSNDELEVMKIDDDYDSPD DSETEDQYEEEVEEGDSEEESNTKDWGNKKQDFYDGGSSSTDSEGENWSDVEMQDEEA RGIQASRMMRLSREDFGMDDIIDQGRFEEEDESGENEANSFGLLIDDAETLLDSLSNL SNTRQETKSDVSIALEKEGLDGIESLNSELAPLLANVKEKVTEVEERMQVLLDLVKTK EGSGLVTEKGMEYLDSKNTLLLMYIGYLCYYMMLKTSPNVNIKEHPILLRLVTLRTMM EKLKPIDIRLQPQIDRILELAEKSSQVDNFLSSTPRPDRFIFEDEDNDNSDIEGDETS RHGIEDEFDESTNVDSDAEATEDSDSGNGIYKVPKNIPVEFNDKKLSKTEKMMRELER ERKRLLRTDIIRQMRSSIHEGPEEVGKEDTEQLPQLERLQREIKERIDFEEDNMMRLP KTKKDKKEEKLYKRLMNQVEGGVNTLDDLAQFAERATDMATNSKKNSLTKYLTNASRL NKEITRSNLAQQNSDKSITEKLVKRRKAQAELSQKSKYKPEYDSDNINFERQMDESYA EAEEELFKNNSYLQDVAKFNEEKKMQKQRRKQEIDSRNMPNIDDLIDSGSRRASTKEM IKNKGLTRKRKKIEGNARVHNRLKYKKALKKLKGAQRSMRDYENSYSGESTGLKDNVK RSTNLN cubi_00308 MDQNKPSIIFCLGPPGSGKGTQCAKIVDEFSFIHLSAGDCLREA MSKKDETSELIDHFIREGMIVPVEITVGLLKEKMQDHGWNGKYFLIDGFPRNQNNLDG WYRIIPDTEVNVIGCLFLDCDDEIVVERLLHRGETSGRVDDNKETIIKRLEVYHENTT PIIEHFKSSGKCFTVDATGSIESVWEDLKKLFKEKIISN cubi_00309 MKIYSRLKNENEETSNSLTYFPQKKLSKIGKNFSSNILKFEKTL YEENYLKIISEEKIEIQLLKDENGLNMDHFNEFVISIKSPYKESIETISYFDQKHNIG SIERFKIIYWLSLHSNLLKFQSCTFHLSCKIFDTFLLETPVQYSNSELAATAAACFLL ASNIIETVSDMIVPSIKQFCNAAKWLNPNKILKRQLEILTYVKTSFGINHTPQKLLYI YISRIKYHSILSKEFLHLYKNGDIIEIFDSSLAICDLLQYTPLPTTKNGQTFISILPC FILWVKLESNIIFNEFDYHYIRSIFFKEICFFNNYLCKDIINIIYKIAKELVNVNEKL IKDYWYKQERQVIRSETPNIHLHIFPDKKL cubi_00310 MKKGITSLIDSIVEKNPYDYSLWENIFTVKSESEVFERALEFFP TSPIVWKRYIEYLQSQKSTDEKVLLGIYQRCIHQCSCIMIWKLFIPFVDEKINSLKDR YQIYQLALDTIGSDPRSGFIWQRMYKLRLLVYNTLISKNEASLSGNTLLLNPFETSTI PIISEQIDECFALGDKIATIVTLRQFFIQWLTTPVGNLETAFIAYSLFENSISSSSTT DVPNMNIGMVVGGVVPVSESASKLVTKNLLQSGEKLVNVSKIVHKNMMVLVDNLHEDI PAKPLDKSNRNEWMGKFIPWKRYILFEKSNPLGLEKPQYFNRVSYSYRNCLLYFSYHP EVWYEYFIFVWNSHPVQLTGMDMATELLSSAIQRFLPKDEILKLVLAEVYELRKKLDK VMHLYHSMVYIESSGEVIQIEESVVPVTDESNADSSVNISHSNGVKNSNNVGLSNASS ATGVNNAGGNAVNMISNDQINHQLARQIETSDHHVSMSSRRVSQVTSNNPIEKGEVFG GNGNSVTSNGIKSGGNIHENSNGLGLTTDFLVTERTDGNTISKQFSQMLTSGLNHKQY NPGVSAVVIIEYLNFILRITNDKIIWREVFLDYVKRSPRIADIKWICYSQALNEWRLY NNLEGAYQIFYFGMYYRHLFLDVPFMSCFVSFLLDTGRLQQARNTLQSSIYEIYKETG KVPKQLWLQWFHLERMSGSSIYSLNYLSRIYQLQKDGRNVEIDMLLSKRQQKAWHAIL GAPEFAELNKEYIGNDNIDPKTKVYGHSYIFNPIDDDTIMGALSSTNLNDNTGCASNI TNNFNNVIQNQKGTNTIGAGMIGNSRRNLEPINSNKFILTCPSKFRTAFECFRLGSIY PNSSWSEFYLEGEEGQNLFMQGVGLSSQVEDERMAMGYCSNCSKSSKLAINGNNNNNN NNNNNNNNNNNFSSNIVSNTNCTCQTKSKSISNSSRAISEEGKPATSLNVSSSSSSSS SSGNCGYISGGRDTKYYNDQSNHVDLDDDFESFLLQRPFEFKLTQGRLGGTRMLRRRV GESDGIRNSQTVLGGTNINANSIDRYFNTSGGVVMDEDEDYYCYENSDLDSIEGLDDE EMEYYYLNNRTSDFTHINEFKWSRVGRSLCSKPDTSTMVKFKFETYPIKNNSITGVST QLQSQILKSTLSNLNNGDVLNNIEEMQDFNSFMEDTNKSFNTLLPKGIVDFVALLPPR NIPTSSIRNLLGITQEVVDLLLTNLQTCLLPQKLDVYKYSPMTSLAYLCNQKNNQVNN NNNNNKDDEHNNMNDYNDEQNNNGNNSNNNPDFVIPRKSSIGTGFSDPISNISLIDNQ NLNNLKSSISSNTNFDLNTLQEIIQKSVPWISTSNNNNMNMNTNTGNDLLNNANNSSS NNTFFTPCNVPNPNRFSNKPKNSNSKVQIQL cubi_00311 MSSKKNATNFTQESLLAREEKVLQDAEIVITTQRTRIGELRSEL ERLNAEKLYWSNTHMKEMSDVIQENTKLRLQLDEMQADLNALRHTQDSTDNLITNLTE ALGVAESQLLAQENELKSLRGMAGNLPIENNHLKQENQRLLAENEKLKRQQEENNRKS GEPRTSKGSDSAAIQAVNADLNKHTKLARDLDFRTEELRVNKMCLLQLESDLLEHQLL VEQQRVEIQRLNTAVEALNREKSLVPPVVITSRQLDLFSQGMDANPLKNMSPKQFAEQ VVSEITKSLPKMQRMGVMIGGDEDKYNDENNELSYSSTPDDIDIIQYKTMEEQRMLIS RLLKGLDQLGFTRSDATCYKEKASDYRWFYSSVVSHSVNYNTRSTSGSDEKNDNISPP GFFNLFVRVESGNIAIFQNMEEEVPLFSIKPWKCNVEIYEKSKQFVLTRTSTTSDQIE NHILHCQTEDEFNRWYYALCYGGFIESGKTENSQFYQGEDLNKINIRYPNNKLNRNPN VNRNINVNINNDNNLNNNNTNNNNNNTKDHVVISVKIMDSENSKNYQTSQMSIYNNYL SFSNGRNPIHTVNSKLSINNKKTIITITDSSDNSGNNNTIFITPEDLRDYEDLKKSLI SCTWMEVDKNLGLLASKLNTKGNDSNVKQSGISDKKLVPKSTNFSNNKSEENNNDNNS SKNPNMRHGVILIKDSQVNLYHDEIDTIPFTKIDVKECTIECDRRKMSILLIKSRNNQ FESFYYMFSSLEEFNRSWSRLREGGIQEIKPEDKPVLNQMCVVCKNKMHFYKNNEKNT NHSFLTISPDDTNCHVNSDRNEIILLHSQNDGTRKKIVLDCANMDEFTRWNIALQFCG FINGVTKSCMSKYTFDISLFGIVKSNGTEQLKNIDTKESKPIYKDFYNITDYQSIEIF KNQESRLKNTPLFIIPFKDTEYSGDAKKRQIVFLTRRGKAGEVRIIINLQTLSNFDIM NKDLVNVDFPLLETNIATSLKAEYIIGAKEGLLCVYSTSKNREKLASIRHAMNENRYF NKGSNNNNNNNNNNNNSNNNNNNNNNNNNNNNGVHDNMDSVTRKFPECGYIKYTTNDY ICVASRAGRSVVLRRKEDDYDALSVRCKSLTEYEKWERSMSIAGFINTDKINNAFLPP IIYLFHSLDYDYRKQPILPNLDSSIVISPSEDHSLLSPDEISLLNERENQEIGKRRRG GLGETGDNYRNNNLDVPNVDTKKNLNHQKLLDTTGIHKQSPPTRIIISSSKVKRREGE GGEEEEDEDEYEEEEKKMKGIQFNLKDLNEGYDIDQWSNVSEIFTREKIINKKVEEYY KDEQDYNNYYYNDENRQNKEIDFFNTFLMDMDINENKEEESEILVNIGSLRVNNERFK EIDDYNDENGDYEEEKDEENSNKSKRGINSSNKISSVNNKIPMELENQEYKVYSINKS LMNDEFNGKFKEDEDDDEDEENLDRKIHLNSLGWKVSGNDYEEEDEYENEDESEEEEE DEREDEEEDGEYALEDGDEDEYENEDEDEDEYEDEYGEYENKDEDEDEYEDENEDEED SQYQNENYMNTNFKEKKKGEQKTKGKEIKKKEPLSSSGFHHSRSKSQISINPESPMSP SPSLSFSLSSSIYRNNNKSFNSASSSTSKEINSITQSRWKRGKSGIKSIFGKMRLKRG cubi_00312 MDSEFCIEDIIVSIYGTEMLLKQIKERQIRNCLYNWKYKSQLWK ELSIQKKKYNKYMLDGFYALARQTQSICMLFHMIKLIEYKQKRFSMDRLIFNLKESMN NENIIKEESNSVEITELENYSELSSLDENTIMLEFQQNLVRYFHGINTMINIIESKKN KIIYICFYKMRNFKGYEKDEIVINNQIIEIKANKDDKIVGNENSDAKDEFVVPSPIRK SEIHDKENISRNSMRKQLNNGLSLNREELYFEQISEKKQFYTRKQNASFNNGSISKPL ERKTSNQSDFTEQRYRSAEFTQQNYSNSNFHGQVGNNVIIGKNIIDFTHANPILFSSI KSPVNSNPVLTIYPAHSPNFNFSNPVINRKYPHFNNNLNYSNQLSSGHTPLNTTKYSR DNLPNTNNSRLNKQYRSESNQNFVIKRYSSNDRKSPRSADIAKSILNPCINFTHDSTN LIQNITDSFKSVILN cubi_00313 MRQEPVRGDPNQGICVSLEKIEDLNDCLEVAFQWKCSCWSTNDE FLLEWNINVPKDLLTFIPNGGSLLVSQLGFFKYNDMVYVLVAWTRRYIWVYCHDIKSF SEMYSQGLNISVEPKMYNLELDDDQDVDDQAELKDENGHYVSLAIKTGDGEFQFVIST NTLQLHTLRLTVSGEIAQVLGISISSNWWNIATYNECSFETENQNPDSEVLCGEIESE SNSHFKSIVRILKSAWNSSERIPKSNPRHIPIVEESNNFEGFCEYTESSKAPLKTPYE HRKSLKKLRKIIHYEDSLIFSFPSSYIKWNVSFMCFVTAENINASNRKKTKTLILFRH DSFGVVELCWVLNFNQIDDLYPSNLIAIPYFENSNIRNSENGISTMKIHLPVSLGNSH KFALFFVYKSNNSLKLLQLTPASSLLPETSENTNPLLIWTRKPSSNMNLLHEVVNISS FCTNNNSGFNGKDRNHSLLNEIYSIEQNIPLIQNPEIQGIVLNKKSSLRSGTIYNCIL SSSKNIFIIYISENGHQLICSNILDHSNQPNKIYFTTYTQNTLYLLVNYQDVPLKIDL SSPNGNESQEYLNITNNTENEIVGEHTGNSNSEFNQLILKYRGFRNGEISKGNIIFEN YNSESILNYLEKIYKQIVSDLKDTKPGDTESSIKTIKHTKTLSLIGQSLNNRILSNNF ESKDEEAVLLWGKCSFLLDLDYWKDLNSMENWKEFSEGTCFDQITSKFPNLQSLLDNY LDPVAIISSN cubi_00314 MKVSVTPELKDFFSKHGNKSFKNSENIDVDTLYKAYSKIVKEYN SNNKENEDERKNLDAIPSLQELLLNSKKEIDSEQSQRNNNAQKNLSIIEILRKRSEER KYQSSIKNLSQAKYVKTSNNVPDAFGVHYSRDILMSMNALIGLILTFIGGFYAPLYMG LEDINTRIFIGVGCSIICLIAEVGLLIIYDIKRNMKVSKVMKEDPVYKYLNSKERSKT TNIYKPIATGNQTNISKQSSKNKSLTKPRKGKKHKTD cubi_00315 MTDHNQIMDSVIATPRASSNGSIFWVTPTQFNPQTGTYQTHYYS DDLVKSGTVSVGTPTWKNNSMVPQAIPSSNVNSKSVAAPILIPPPQSRNLANFEDPVI RIPDGIRELEYEDDDDQSVVDIKQLNKNYDYDSENGDNSNRAKNVVDAVVALGHVLVK DLQKAVPNLKGGGSSIFESCLAGSDLSGLENELAFSPKNILKDTLKNNTQVKPHLLPN GITMQELIASGGNAGNSPDNRPKKMSNLLSSSTLLSSELFFDQSKFPRMSLITYEPSN NNKAIQFNQEAVKRLNSDFGDSPLCFISLVDCPSDLFSTLLLNPDLESLSVQKIINKK LSEKQQNNNNNQNSLVDLYYWYKDEEFIYLLLDFKISMIPKLHDILLILANSISSTVV MNNSGIINQESLKKLIPIMDLQKEFELRQEKIINKLVKSNSNTGNINSNNSVKSNEFN TPSREIGENSKDDDFKTAQGNPLLLLTPRYKPTLRSETINDFKQITDQESDKNNLNHG TPRTLLCTLKTTPRPYLVYLLHDFNFLLYDTANSMILNSIDYFETLMTELGCGYECED SQITYFENLKLYLRSYYTMKECHTLVSPYMIDNNERKIRMDHMKQVSCLRQRLLGISK NHAISHKLGQYNSSLTINRYLSIFFNVLNNNETENLPELNEVVQRKFCDRILTDVNDY YLTENKKLVESLPMGETVLKDEIKDIRSKCMHLYKEYTSTLIDTDIYIEYKIQLQTEL EKRENETIKENLNVSVRYCTTYLNEIAKKNISNKLYGGIRSNNTSLEGLNNKNNIASS TKQYSILDLEKDLDDIYLTMLNSLNDKVPREISLNCFLKVANELRHEWYQVNGGGNNL GLDLNLINGKGGDKIGIENCFGNKNNFNNKFDDEFIKRMETPEMLVNRLNADLEDIDS EYNGYSLMQKKELLEDILLEATKKKDRLSDFYQSNQLYGLDNLIAAVQERLDVVNSER KSILDNNELQRQMILKQKQKRWRKLFPCLNRNVNYNVNSLNMNGSANNCNNIQMNDMN HSVNESY cubi_00316 MSQLFNFGNCTSNISSNHKNGIRGNINTNINSNSNMKTILQQYS PVDYNQCKVSLPDFSEKNNIGVYYNTNDNNYNNTNNNFVTSPNMYPRYISQHKSIQNT HSYQYINQSNDNYNKQVRYEPIPNRINVIDEPSEIIDNGYSSKVNYLPSGFVTRTEVG AGAGKLSCDNNISSNGLDLNSTIKTEAGGYDNTKSNLSKLNSSNWKEWSSYSKLLPLA VIELDVQNECNYLSTLFSSFSNTVKGFISNFEFEKLMEYVNIIEVGYRGTIFGVMDRN QDDYITQVEFLTGMLIFRPYNAKEKNSPNFNRLRLQFIFFYYDSNRDGLLSIDELAKL IEHISIIKVTVNNKNGKPKKKTQISTEKSKKLASQVIHDYLNKDFCSYDDFFQLVNNG ILNGTVNLLRCRNDIFLQKKNNSLLSPNNQHLYSRPPITNSMQNFTNLNHQQPFSPFS NSITQFQNQFSQVSQISPYNTNNHPPQNFIAKNSSIYNTPINISTEHFPNPKTINNIN DEYLRTILSKPHSPSTLNTNNHPVPTLSIPNPKTNALPVIQKQNIDEKFGYNYNNTNN NNNNINNTTNNNTITPPHNSFLSKNNSISSTITRKQEEQFTAQSSSPPNQLVTPDPLS NYRSIYESSSPYYNIPSEGHPNFNFSPC cubi_00317 MSINKKKDVAEKKIRLPSKCTFKGNLETYKITDTLQDSLYGKVY QGYGEDSGKLVAIKILSKNDIITKEMNRLLPETPLAEVFFADEMANHKYLATIRDVFE TEDSHCIVSDLADGEDLLELLRKNRYGLNESQAKICMKQAAIALSKCHERGFALQDFS LENCLLYSVYEEKDINDENHSENVEIEDDEEYNKKEVLDINKDKRKKFQIKVCDPGQA VRFGRYNQEIEIPVPYLGCVGKKFRPPEIFEGKPYIASKVDSWCLGWSTFYLLFGTEL FESVHNIDNDIRWKWYSMGYRDYLYSYLGINEKLSMDARSFIESLVHPDPINRMSIKE ALDHPFLRDVNEDENLILEDWGRPLYKLENSVKSVKNPQDYTIPFIPNLYKTKYLKLY CTGDKPSGYFPINNNKSNVEAFNNSKLIKAQNQKSMINNSIPATNKYNNNTSNNNNNN NNNHHHHHQSSVGGLTGNIFQKRRGMGKGYGGVTSSINGRNVMNTSAILMNCNNNNNS NNNNIQPRVSINKNQMSGLSLGLGIMGITETVYNPNLHYQGQYQQQIYQNYHHHGYGY NTYYGSGYSGIGPFHSQYVSPEMSNTKIIDNTQKKSTESVSVDKKVIEAKETTIEKVK EKNCTESRPTLFQSSSSNCIPKATATAIATTTKTTTTTGTNNIATTATTTAATSPSIT TNPNINTVVSSNKAALPPSTKLNNSLSDGRGMLGNSMMIHQNYGLPVVGGYYSSYVPT PKVGMNGNMQLGFPAFASGAKTIGTGSNSSVVEQYTSRNNSKNFSYVPPPLTSRNIPS NCHLYNNNHSHGQIKGKGIGGGGVSARLSYGSMSYVPPLMRR cubi_00318 MHNNVNRAVHCRWPLCVLVDEKSILPEINIINIDEGKVIQSLKI LEKVLPSYLCWHEELLVLVVGFEHYFEVWTLDHDLRYIELLDEGIIAPRFDIKYDDYY NSVNEICKYNQKETQGFGVTDILGVNHRKRLNIFWSQRYRNIKLRLFKRVDLSEVNKN DATLKSMHWLDHNKLVLKHSSSSCGTFLTVWKFQFEIFNIDIYKEIISRRLEKKSNAF LNDLENLYIEILTGVDSRPLLRQELSFSGPRIDLKVAFDNSERFILVYQHLDNELFIW RYEYSDRNDQIDKNTRNTRISGILPNQFQFQKISLKQGEKILSASWKPCSSNIPYKLK KLGGISELSSFSMISRNSEEIIVRIWRESSLNKPCQFIQALYLRFNDRPLVRNEDINL IWESFRDNVIHHFNSVETLDKSIKDFNTELEDPSYYYSTSRFPLIEDDSDEYFYYINS DYDEEFSRSSIPLNSCIHNRDIFTMNEKQNKVVKLIISIGKETFCFNVFQLGIWNDEI NSSIKKWEICKFNNIENNNLLNNLEILNNNSNFLPDDMSNIIIFWKNNKLSNRSNGNI YNFIFKLKDSNIVEYILNEKTGTWNLLKLTRIIPEIQSNLENNLNIHGKLAVELTNTN EILILLNNGHILLLDNLNPKKLFTFIHANKFACIQPHINTLNINVDTGIEINNNIYDL CDFGIESIINISEGIVSSYYQILLVKLNKGTQLKVFGLTSICENGSSTLELLEVEINS RERIFGGEFEDLSVDDADLSKNHEKILEKLFSYNLMDNIYEIVFLDKHSSVIGGYCIC VCLVKDLVLEVNHIIMFEIRMENQDSLLFNQVERTYDKTKIRLELRIVSPPMYQNLSN LNFNNSFLLIKQPSTENSLIFVTNEVFVSGSDYYQKINSNTKELGQIYIYICSISDSQ DNKMDIIAKIKLNRSFFDFSKDLLLMDTFDYNLLIYNITNGELLCYSLLSLNMLNIEE DSIKETISLNPFQLISMTKDHGCPQLKSSNLSKENLKQDSENYDNQALYNKARNSQLV ILQDFEMTYFCFFINYGKIVGSIYWNKNVCRWETNKEIDLYNHFKMNNSGELIKIQRL EVFDGIYLFFNTNDKIVPRIYKRPENDVFEKNNNFSLKHIQVLEDFVFSLNDRKQSFS VQIFEKIRENLQESPNLNIIPYSIDNSFFEYDNDHGKSLDDLGEYQRLYNSLFELRIN NKKGHIMSIMEFEECQIKRFFIRKNYFDMERKGGLVLKSEDICWISLCEKDQIVDKIL SNGDSNIVDWKDLKRFGLIYILTESNQFQEFVERWIQKLYQKLVKVIAEKRKELSFEF TDNKTKKTSDISQDEMLNIVIIIYTCLNKLNIVSAIFKILDQKNVFDFLLNYNVNNEE LRKQAIKNGYYLIKQRNYYWSLCFFLLSRSYDEITNVCIKYLNDPQLLLLLLKLLINL NGINDNEKNNLVNLYNKHLNDLWLLSLMNKDPWLSIISILNYSSINHFKFNNINRMDI LNIILKLSSPTIFFKVCELMNGIEYYFKMNINQEETIQNNQVENFIINHHNSYDLSYS FIHPEHLFLFNNYIKFKISLSSQSKAYESNENSKYPSFENIIEIISYYIKKCMNPYHY ISWLSYIEENNTLFKTENLHYHNYYNLIIKPNIINRIKNYVRYLHNNYFFFLNNNNLL NWINKFSKIPEFISIFNFNFNQAKSNITINPINIFNYLLLINVDKYYEKNHHINTGIH TENDVINDDICRIHVACKKRLSNDFSEFRGQYLNLIFEIFSNKQNSWELNNLGFGHLW EVLFEFFSRINNEIILTFQTKKVDLINIDYNRYIRNKCELFILILQNKINQTVIELLF QTGNEANTELEPEIGFSSVLNQDSKIMIKLLKIYMVMVLTQELIVKMESLQNINDVFY SNKLLKYKNFVKILKFVIILKSYHKLDLHLKRTLTKRLGVKLLKFLRLLLKFSKEYGY INEIQSNTHNIIQEEINNNTFQFQKSDKLIYFNTILIVLSTSILKIHQDLIDENINNE NDINSSIQEESFKYQVTNQLSLALRECYYNRVFKEWKEIIVSLLPLILTYYYDSIDEK MSVLFIRVATNLNNQQVNSNNSTIQVIVTKIILVLEQIWIGMGIRDLLLLNVLRNREL IMSYDVGSINNLYYYLLKKVWNFEENTSKDASNASTTIELESSEQFSVSLLTCKSSKS SSLSSSSSNDINNHSNNNNNNNTKGDNSDLGFKLEKLKLDFSNEYFKLSDETFKYNKK EESIKMNNPSLFMINMSIYNHRIVPTPKLNLAPNLSINSNQIKEMVLLDQKTESINEI IDNGITKYEDDDFYYYNCAFNQDIMNKEHELFESENEDEIKDGDGDGDEEFEEDYYYK WYKNRIQKEGELEGTGKLPNTLLGHLLYQESPIIMDCKMDPNNSPFLLLGEKTHLILN SKLYFIHPISFIRKNHLNSYYYEEIIFQRRKFGPSEEFYSIFKSGNIIKLEVLFNSYL LKRLLIFKKLHENQDNYGLISYRKLQKSRSKKSISPSISSPSIMPIYDIDFCVNIKKG IKSIYLDLYSSKENENNLFSELNRGVLESSINYNNQEIRFLQIFMDIPLSFSKIKNQF NNVKAIICGEAIQLRNPKVTHIVYPYWSHSLAFSNYHKVNHPNYFIGDNNNNNNITGN TSDNGNNNNNNNNNNNSNNNSNNNTYSKSSPKPVMISNNLSKSYYNSTKRNVNNGIYG NHNFSNNSLSSAFALNKNLDPGKKSFKSNDSSFSNNKLMVNNHHLGNITHVSWSPSKI HIILSTSNGFIMVYKLNSNNNYLIDQEDEIFLPSSALSSSSNIGKINSKINPNNINLN SDSTKNIFLTPLSGNYSSNNYTSSNTNSASNPFIPIYIFQVHKSNCYWSCIIDHSCRY FLTSGNGINFVYSSNNHLNDQGEEFGMSLNFGKYTKYNSRGERVPVVGSNIVTTTTTN TNTNTNTNTTTNIQSLSTSAFPHTNSININNYNYSNSGIISESIEGLGGSLINSGTLN LAEFMGDNTLNLSSKIEISSVLSDENCLCIWDIWTNLSYNLSLISRPDLLIALESSPI SVSHNWKQANTLLIITNTGNLWFISYLFGRQFLNPSLFKLKQKNILTSNLSNMSMNNN TISRNPNYISSKLTVFSSDGTCIIYNIFLLLKSSFKRCFNNNQITIVPIIKFNINHSV SINPLNIINNSLSSNQYNKIISNAIFISKNTLLIIDSQQNCKIVQLLPFFS cubi_00319 MNNLRLLATRFLILVLISLNIIGYVLSQNVETEDKLSRSSHLAD RIYYNRYHSNNAKYLVWLLVLVPVFICIPCLIWVWCSDHCLQILKYRSNMKVLKEKKL MNEIILTNLSDSIFNKELPGGNSSLPHPPPPLPHQIPIPQPQPNFPPQMGVITSPPPV PVPPTPTPFPQLTPQPVLLEGSQYSSVIPTHVQLSPSYIPNLNISGPVNLGLSHHTQQ EHVHHHCGSGAY cubi_00320 METEINFDKSGHEGKEILGNLRQDTIEVSLDGYSHEERNFCHIN EKLDQNFSLESSFRQDEGGEDVITLGNNVTGVNINVPTGIQKDIEKEENEEKYINNKD NIKEKDREEEEMNPNKKASEWRNELLSFPQLFNQVFLSLYRFNEYIDQRWSVLDESHN RLYNLLLELSKANSSTNNLIANNFDNIFIVEKYIHNKYVTPKSKRIDNINNSSNNDNN NNINNLRVYFRTVGIYIPGTEFNCENIAHENEHKMRLYEEIYNRFQTCKNDIEITFER KFEMIAELDSRIKRIVFSMEKFVNNNQCLLESHTKPLYNIDKSEICEIGIVKRKTGVR GRPPGSGNRGRPPGSTKKAKKIQI cubi_00321 MYHVQYTLGGQPIYDFSRGKSLPEYLEDAKKQKKSIKHESEFKN RIEILQNFDFSVASSRIRVSPDKQFIAGTGTYPPELRMFDIKELSMKFMRRFDFEVYD FIFLSEDYKKLAFLMTDRVIEFHSQGGRHCRIRVPKQGRSMEYLSSAAELFIFGSTNE AYRLDLESGMFLAPLETDLEYINTGKISSTLPLLLLGGEKGKIELWDLRDKSMAASLI INSNHTFNNTDNINGNNQNHINHSYKNQEDNSKFDWFNTINSGFESITTSTFSKDGLR FSIGLSSGNIVIYDVRSSKPLQVKSHRNDLPIMDLHYTYCQDFGKDVLVTADRQNIKI WDELCENINNNGLMATINSDFPISSICTYPDSGLIMSTGDQSRVGMYYAPMLGAAPNW CSFLDSITEELEEEHLKKDYSLKSSRVYDEYQFVTQEQLKEWGVEHLIGSSFLKAYLH GYLMSSKLFNDLRDIINPFDYDKYRKDLAKKKLQEKSSMRMKIPTSNNKQSTNVKNTS KFNQEFAEKLGKQARGELESSEDEQNIPQSSSKTSIKIRKSKQKLKKGQQERAQSLLS DDRFSKLFSDADFIIDDNEVS cubi_00322 MLYVIGDYVKQAVFLLGLMSSLEGKDEKEETNKEQKEGDLVGKL PDKIKINTKYYSAEVGVKFWTVDEYLALKCMDNDLNTNTEAVTTPTSTTTTIPAITNT TATAPTTTTTNTTAATPTTTATTPTTTATTTATTATTTATTTATTTIPAPEAILLIIS KKDLYQSKIRSDSHLLSILDKIYPSDLEITRLCCIFENNEGMKNDLKDKITNQCNQFN YELIILVSNAFQISKQDDIFSLNEGMKRVTQALYCTNWKTMYRRKMDVPNLENNKHES FQYQEEHLESFLDNFEFLSSIMRKMTCDIKHLTDQERRDRASNLICDIAKYLNLDSDL DSEVDPNMDHGIDLEKDEIGIHSDN cubi_00323 MQTFQIQRKITKIFVVFVIFTVFIFNTECLLRKGNNIVKFKKGD TNGGYGLNYSPPNYKNVIVWLHGLCGSAMDWERFVLLVNKKGFLPNTKWILPTSKFRQ ITAVYGNKCPAWFDIVSFSPTENIEDIDGILESAKRIRDIIKSEIESGIEQSRIFLIG FSQGSAMALITSMIMRDITLGGVIGVSGWIPMIDHLSLGLDSPLNNEIFDFNISDEKK HKTKVFIFHGSKDKVIPFNVFLQTSVFMSIELGIENINQRIYYDIGHTITAMQGVHMM YEISNILNPDNIHEELVTIKHSTLSNNSYSMVLKISDPRDNCICYKFMPCNPNKDGNL SCSNPNCNCTNKLSFYDQTKFFDNLNNKDFGQFNNPYTILQGVPSEKKLKSNNNSSNR NKGKETEQTDSDLITQDLDQENNNDLFLNISGYNNRKVNNQVTNESNQNVDCNNIDNN DDSSDLELSLNNSTESEVPKKHISSLRDCDSFDDIMSHRYLASMDNGSNENFAGSSNI IDHNNKLYTSMEGGIDEINDIYDEEEEENMDEIKENENREGDNTNSSSSITTTTTTTT TTSTASINLDSSSNTASIYSPIYITKTPINDSDTTNKVFSKKISHYNKAPNVIKHYYI SDNDDNQEERANIGKDIFDIQQYIDFLCNQSTNYTNSNSETMMCGDNIED cubi_00324 MNGDILRDEKKHYSCPLCMTSTYYKNDIKMYFGDSCGHQFCSEC STKANNKKSSSSILRGSSQICPVCHSFVKYIPDFEYGETDFLKSEGQARKQVYAILNE TRKDFKDTPCYDNFLEKREDLIYKLIYGNDAEKKYTQEFLNQYSKENQVAILDRKTRE ETLLRNDILEIVQKEGIFYEQLNQLSQNTTIDHLQIVHPLQNEYPEFFQNYNNNKNSQ NTNNNQTITLGSNIPNPIDKNITSKDYLHHNSKKQSSTYSSMESRQRAGGFTENIVWS LCKNELFFGFYGFHRNSDL cubi_00325 MSFYPSESHHLKDWVFGSLDFLRTKRNEINEKARDNWKDVFRQI SNESYEIDEMLLTSKEEETLISYYGRQLVEFCNHKQLPFVSKYNASILYQRFFTNQSV MDYDPRIIIFTSISLALKLEEYGLHFTLEKLFGDVPGLNIQEVFRHELIVCNTLRFHL YILNPRNTLEGLRLLYKKYYIDVLVVDEDLENPDNKKTYNKKNQLISLLTKVILKAET YVLMILHTLCFLIYTPSQISITLFDISARELNLPYSGDFLKKVLKEIHQANYLKSETE TSHSSLSIIHSIRDLIIQTLETDRIAKERGHEHEEIETTRILDKLAKLQRRRNKEMKR RRKSSSQL cubi_00326 MNFSRNKKGSIDNSKYTYEPGDLETKVTENGLDVSFKRRVVSDL LICPICEGFFRGATTIRECLHTFCKTCIIKHIESKGSECPKCGQDIGIYPLQGLVFDR TIQNITDKIFPEFKEKERKLYSEFLEKYGDEAEINDEKDLMSLTKPIASQLSEIKLKP VDFTEQFYKELLLPELENNESQDSKKQTILESLSMKIKLESINSEIFELEKPFLMVPP QITIFHLQNYIMHKSNEKFDRIPFIFLKGGQILPRNHSLEFVCRSRRIPLNNLLTLQF GIQNN cubi_00327 MNNIEESGQASPTLKGKVEAERQQHIIVDKKRLITFTLTDICSL LEKVMEQQGDESVITCGDIDWNLIASQLDKDPFEIEYFWRVFNPDVHFKPSLGYAIVP VELNLNQETLLLYSNIDHRTNAMYNYKLQNSINSKMDSFRNETILKPILDFANIKMQL LKSADEAKKKINNNSTCTPADGAGGKCGN cubi_00328 MDSNISCVFTDVDGTLANSENQLSLINAKTISALMDSHILLVPA TGRSKVGFLRMFTEDIMDIAKHHGFPGIYFNGAVLIGPNGIDDIMRTWTIPDECMIEL CNLLDSIQIEWQPEDEGYEEAKLRGETHRGVAYSVYLLNEFIHNVRGCHLRYVESLSR EFSVKVESVVDVIKKNPNQSLKFIIGETREKLEEIKDKVHAFLKNKPARVLFSHPLIL EILHIDCSKGNAAEHLLKTLNIHPENCLAIGDAENDVELLKLSGVAVAVANACNMAKG AAQHIVASNDDDGFSEAIQKFCNIKINFD cubi_00329 MSKPDVVKQLQIKTSSLKRMMKDLEMYKKEEEEFKEKIENMKND GKSFHDIQQQEKCLHETLLVYRDVLKRLSLGYSDLHKHLKENFDENYSKLSNSSENNS NLDMDDPKNQLISSAFVEMKKVRSEYGNIIKLEELSLGNNIKNDLISNDTDFV cubi_00330 MMNDNENIENLVGLLKKVERKQRREEFEKYRKVSDEIHYELKQY LVKFHQIAKKSEKKALEQINNEYKQEERRIQEFLEQIKDIEIQFSKINAEYERGKKNL QGRISKLKEMYSVQLELIQEEERKELRILRKEMKNLLLLAKKEAYQFSKNNVKFGNMK IINLVKRISTEIY cubi_00331 MLSINLVNYVTKRGIFRTNTFSNMMLKFLSPKRELFLRLPPVCQ NGGSGYFLIKALIQSKLSSNRSRKIPHRKTIEVNNKENANFNTQNERPKFNRFFISIL GISGLGLFAKMKYEEFKNTITLQEFVNLYLSKGYVEKIQVNHERGKAYLKENMNVNNS NANIIYFSIGDISSFENKIKEVQNSMGINTLNFVPIEYSHLISLRKVVNDLLPTAIGI TIAILLLRTFSKSISNSASDRLIKSNYSSFSQVKNINKNVKFSDIAGMKEAKQEIYEL VEFLKDPKKFQDLGAKIPKGALLVGPPGTGKTLLAKAVAGEANVPFFYISGSDFIEIF VGMGASRVRELFSQARKLSPSIVFIDEIDAVGRKRARGGGFAASSNDERESTLNQILV EMDGFTENNGVIVLAGTNRSDVLDPALTRPGRFDRIINIERPTLEERKEIFKIYLDPL KLDEKVSRDELIKYLACLSPGFVGSEIKNLCNEAAIHAARRGSNSGVELIDFDKASDR IIGGVKKLDGYLSPKEKKIVSLHESGHAIAGWYLKHAEPVLKVSIVPRTGGALGFAQM VPNELRLLSKEALLDKIAVLLAGRASEELYSESITTGAYDDLQKATMIANSIITLYGM DPQIGLTTFNSNMNIDESSNSNNGGNYSLYKPYSEATSQTIDTRIQKIIHDQYSRVKE LLISKKEQVHKLSDLLLNKETVTNVDITECIGPMPSKSI cubi_00332 MLNSHLGGCGDNYKWTQTEEEIMCEIRMYELIQLFGIHIDLNKD NKDIQSIKSSLKIIIDMKNIKILLKEVCVFAREFSSYIDSETATWYFDEYKDGEPQDK KVMLVILVEKKRKGWWDSCFLGESKVDLSEVQGKKNFRDCDERTKSEILKLINSNNNN NNNNTNSHYNVKNKDNNIEDNLDIHEVLKQSWNKENSPFQGIEYDPNLVQKLVSNSIN QTI cubi_00333 MVYKVLYKEFQEGSTYDISVDDNDNYEEYLKHNVTHITSIQHNY YDMLIRLIGVLQVLGLLIQTILIWYNLYVGFLSFEFLAILDLSLLSIMYIFISPNVNL LVIFGFLWLVSPILITLTASFSDDTIIALCTIAFLLYLLSHDYTIIYKDSKEIEHQNT DVVALNLSILGSILLASRLENNIQVYFFLCFSIHILYFSRMVRHNLWNNLPKIYIYVL TPITSITPIWFLYYPLNIVYTIICAVIISGTILYVYCGLNYKNVIFGPWDVATINE cubi_00334 MINNILDLIKQGTEKERIGNLEEALNIYILALQKWDHICKYQND ERVKNVLLKRMEQLVSRAEQIKNLINSNDGKGRKLFSSSNLPPNSSSSSLSENLLNKS QNPSSFSNISDPLKDAIRNCILMESPNISWDDIVGLEQAKTSLKEAVILPVKFPELFQ GKLKPWKGILLYGPPGTGKTFLAKACATEMKGTFLSISSADLTSKWQGESEKLIKALF DVARERAPSIIFIDEIDSLCSSRNEQENEATRRIKTEFLVQMDGVNSNSSNCPNSNFK PILVLGTTNIPWEIDSGIRRRFERRIYIPLPDEDSRVLLIKNGLKSINHSLTDEDINY IAKMTHGYSSSDVSILIKDALFEPIRKCSESSWFKKVIINNDTSNAEDFKVYWTPCSQ PSNLDNFDKELYKKISLYDIPNNQLLPPKLTRCDLVHVLSKTKSSVTNLDIEKFTEWT NKFGLSGE cubi_00335 MVSIEVYREAIRTVIEYVSTKYGPEEETVINMILEAKGIPGIFR GHGVVLEKPMDYDSGISQVDSNNNCNVGLNVSNMIQQMQQIQSMPQIQPLIQHPIIQP PIPPEIQSLIQPGIQKSTIQSPIMAQPFIQQSIIQQPMHSLTNGIVASSSTTINTNTT SAPISSQNHHLEQPTSTLLTNSMTPLTLNIQVENSRDEATVATISSSAICDSPSFSPS SYSAYPIEASVSTGNPTTATITTTTTTTTTTTTTNTNTNIDTNIDTTINTNLDTTNKI NGEISITSENEKDNSRTNMTNVQHDTTTSTNNLGYLGQLKENSSNNIKYQVNTEKNTE EMNVLEECKNQSEKEDDIEDIGEKIRTLLRMATNANELNQAIKMAYSAGLTYEAGLGE RKLKKLLC cubi_00336 MKNLSFSVETRSDKAISFEESLFIAEEQTQVEIIPNIKMGKKLI FSVEIGPFVPYQKSKVPLWIAKYLDSKNLCKLIPPNWLTQEGLRKLLMDEDKLGQESF CFIDFYYYQIANIYFQLRNDPFNGKKNKVKKLFQDLLNRRQAKLKANYKHLTLPKALD VTNLGLIELNFGSNECFHTLSDLQNLWMNTIPINSTTQMQTQQYSSQEDDYNSNHHYV D cubi_00337 MENFKILNSIKIRKKRSTTNNHENSTQKEEKEICESEDQQECIT TKSKKFREEASEENNIEEILLKKSPYIGKAHPFNLIYLDYLERMKKTTKTIQRMSMIK KAINSIKQYPVPIISHQQFQILEGIGNYLGSQLEKLIKSDRMDQLKDLKEYQQKCDEY RKNKINDLNLIVDPILAQNPEADNSILEALEEEKQDGEDKIIGTGNNNKNLNPGTPQI YGERWSIIVIIALLKALKPLKDVTLESIQGLYCFLKESYEPKMTIKKAEKTIKKLIKE DFIQVNKHHFKIQGFPIESEKISYNLTDKGNKISIECLKCNELFDYIFTNYNKEQFNN IMSQNNLFEMIKSFDSKRKTKVFIDYEIVMIIDYREVNTYSNSLVGNFNRDCVSINYD NKNDKYKATENIDEFALTNTNSNNFGSKSSGIPKYLLNRLKDQGINIELKNLPIGDII WVARPKLEDKDEITPIDDSFVLPWIIERKTGSDMCSSILDGRYEEQKYRLMRSIGVEN VIYLLEDLNSIHENIVWSSSNNGPISSGRIAPKQVLRSAQANTQFIAGFHILQSQSIG HTLTLLIGMHQMITRNVRNRWCDSELEDNEFKTRVIANIAKNRPSFKDWELHSKKSSN LTVEETFGKQLRSIKGCGPEATETLLEIWPTPYKMYEEMSSEITFDDLYSKVLKKCDE IKSMKFGSKKKHKPSVSRDLLFYLYCLFSENIQNGDLDIKLEDK cubi_00338 MTPWENWNKVLKSPKTVLAPMVDGSELAFRLICKKYGCDLGYSP MYHSGLFSKLQSYRESNFQTCMEDDPMIVQFCGNDPETLIKASKFIDDKVKGIDINFG CPQNIAKRGNYGAFLLSNPDLMERIISAMSGSGLKCPISCKIRILDHHDLQSTVNLIK RLESAGAYMIAVHGRTMNSRGVLTGPANWEALRILKSRCSIPFIANGGISNYEDIQKC LEYTGADAVMSAEGILENPWLFQGFKAPETIKNKPSQFQIALEYLDYCILYPPPNVGI IRTHLYRIFHTIFTLPGAHVFRDEINNSHQLHEFQIFIRNLENFYISKITNELRDYSG AIPQIGFWYIRHRNDKLNHNVTKELISIYNYQQYKLPSILQFNESEMTDVKISNNLEI QSNDEISNLFSLYDS cubi_00339 MDYLKKYIEIIPYFNNEKKNTSRFGITEDMVPSFSESKKAIKLT TKPCMRYRFLKVEDHIVPLYPEREKPVREKIENVQFQGTFNLRDEIKKVKFKEIEDEE IEAVEYEVKKIEEETIEQPYIRGQYLYKHFEKNPAKWHGPIGMNQNRFQIQGNISADN KVKVVDLSEDRSFNPIKHVRWHMTPQIITEGDDYSLVDPIPLFEGFEWYIERETIGHY LNVTIFRGNYINKNQINPIQAKDLHFNGKKKLLNHKERSDYFMESKMNSEIDPDFEKY ITEETILGPVLIPDFMAHQILGFICDESLCCNIILLDRPRPSFSLNDGDSTKKSGSKS KINHPDEKKKKKKKKKNKRKKNQIDDEGDVNDFSNNDDKGDGNEDEDESEDENEDEDE DEDEDEDEDENEDEEDEDEDEDEDEDEEDEEEDENSDEEEDDEDDVKNVTRAKVSKNG KEEKKISDPNSNSNSNSDPDLGSDSDSLPENQKHNDDDDYYEEGDYLAFKRETYRGVF ESQLMDGLVKISYNTKEDERKEKRKKIKMSKNNENPVEYKLSYHKSIEFEFDQFFFTI EHKQNKSIVKMIIFETKNRSYEIRFRVDPELGRDNFYYTMIAFQGCYRNQNYAWDDHL KNGNIVPIKELIQEELELLALKGYN cubi_00340 MNLNGRYYDEKIINALKIWSKCLPSKTDSVIKELCNIYSKSSRE ILQRVETPLKLILDLETNMYYLGCNSNRIENYFRSPYTNKFYLDPNSEEEYDSEKAKS FKEIANSDLSHLKMLETEFQKAYESYCQNYTYMNSGLEDDISDHGVLLSNVYCYDIEG DSFGTCFVMKHLINPFNFSTDDSSNEKFNYDYTEMVYFLDIIHNVETVLSHSSGISTY KVGSTYYFGFKNKQNESLASESEENNNGEQILFDGCKTNWIEKKFEFNTTKFLKGFLR SNTKSNISSETNNENNLQNVAIDRNSITIINNQTIYYHISNIGKLIESIDNNVMRQIQ HVMIDNLSNISNNLHD cubi_00341 MQLHKHHEFGNESHNNKVNFEPSGLLAKESNSLNGVFLKDARVV NISLQEESVEDQHAVIQHRINKKGVPTIYIIDLDSKNGTFINDEKIEQRRYYELIEKD SIRFGNCKDEFILLHDEMI cubi_00342 MIQLVFTSNGNGRSTSILLCDWLFTVLDYLDKTKSNEFYEKVCE LVRDDISEVNMASIFELLVSNLDGIFEILKDVEAKKEIIIRDDVKRNFGELYKESEEF FIPLISMLLHPNFEKSRIDQCKKIFLDKLMSGGEISNLRINVLKVYYDIFGEDLELLV DILKYKAQYGCFNNFERLESSLDMLEKKIANIGESKPELKRNLYLAMYDIISSESKDN KTYLNKRAYDYLVGFFETFKSKDEISKSIKSNSRILDLGVEFLISTILLPEILFFDSL LTMPIYQYIKENNSKEYNILLELFDICYQGTVGDFHDKLQNQNQEYQDFLDKFPILKT NETNIINKLQLLTISTLAKGKSSIKLDELEKEFRLSSFDTQDAVVNAISVGLIDGNIS ENSNTVDINCVTKRQFGKVEWESLDKKLSQWIGHLTSLSSILANNSNNNNSNNNNNE cubi_00343 MKTAFQGELNLMNNYYEGPPQQTPRLLASESKISDVNFNSLFRV TSAIVTSSGFDYSLFGITNARAALATSYFIGLGSFIGFIMIIALIRIIYFVSKRRNSR ANYSNLNSQRFVVRSSDDIASSNYGSNEFPAISSGAKV cubi_00344 MFKMKRLILNLIFFQIYITQKFVLCSKLVHYSVGGHASTSRVKG RSSNDYQVSGLNGYACPKFDKDVKGFACFGMNTAYTVKKNSWQECANQCYWSRFTILG NCQKSLYNSNNQDCYIKNGDTACEKDSGGMIFLNRQSYMIGECATTCTVSGWSEWTPC SGVCGEMRSRSRSVKSQPKYGEYCPNLIEYSNCPVASKCPEDCPQYGVSILGWGCQFE STFSFNKNLFVTYEEDWKGCLSTCKQDPLCVAWSYNATLSEGPDSVGFSREYRPCYTH RFASGCHVLAPGWVSGNKNTRESDCETGSCIHNEWSSWSKCSDPCSNTETMSRNRTVK SVTQNWATVPCRDETQYQLCSEDPQSIATCTTCLVSQWTAWSICSTTCGEGVRTRTRN ITKPPQNGNNSTCPELIEEESCNKDLECLNACEVGKWSDWSPCSVTCGSGTTVRNREV KGSNCTESAIESKNCNLSNCGDNSQSCTAVMSVWSEWSVCSEKCDQGLVRRYRDFDFS KIGVFGYDPPGTAEEQKKVRETCKDTPTLEEEPCTSGTACTPGCKYTEWGSWSDCDCS GEQTRKRVVTFPEGVIDAICQNSTDARLCSKPEGCTETAPDSGNASLAIAIGLPIGIL GLCIIAGSLFLIGGGNEQEEDETNYQYFDQSSDVLDQDSEYVQEIGPENQNWAS cubi_00345 MKISVKILTILVVLVVNNLIILVKSSCPEYGAYYKGTFCWAANG LVWWEANSWQECRERCKSNLFSAVPCKTFAWDSKGGMCTLQNGDRECKENNQNFVSGY PDVDVTGSCATTCTVGAWSEWSDSCIPKVYGGITYRTRKVVNKPKYPLEKCPHLQEVV NCSDRNWGKMNTAQLNDENSALNYVLSGKILQSALGRDTSASNCPNYDVGVLGWGCTL DDDLNYGNLLKTESYTWQDCLNRCKQRSDCKYFNFRSTGTGSSPCYLILGDIGCSFHS IGWISGSKDLSVKYGDCDINCVLGEWSQWSGCDSALCTDGKAYSKRTRPILTSPRGSG VACPKTRDLKECTSSYTCMANSCVVGQWSSWTKCSEGCGQTARRRTVQQIPSPGGIPC PHLFEVSFNDNCDYSGNELQRITCSIFSPGSWGPWSQCSSSCGGGYKVKTKVLRLYSA LESCNQQQCSVYNVEKCDIPFSQSSLYSQFNRLCLVTGYSNSKDRIVLLTSYGTKV cubi_00346 MSLEERKNRCTLKLPIVNEDDDMMDFLDIDDEELVHDVKQAVSP TSSRSLENPDFNGINLLLSSTNTTNMGSAIGASTSSGQFIPYFGQPLLFPNNNQNLLN LVALCISTPPPFNGLPQNNPFFSSNGINEQVNFVPFSTAAPLFYFGLPDETLMGNSGN AHLTKYQSQHQLGKGVFSGPSNLNFPSNGNIQDIENVICSGSNNLIDSEIQYNSAKLR PNIVQNQSSVFPGCPNVFGEGSYGNNYSSNNIGLSKPTKLNPNNQLGLFKNLNFSGGA GIGLGIGIGSGTGSGMGIGFNYDIGIEKEYGISGSKLEINDLGKLSNQGQNNLYLELN QKQFQQTTNSNSKRQNVNLNSTSNFGEQPANSSSLNSGNSNEKKNRWQLSDPQFKSGY KGVSWNSRMEAWLAFFVENGVRKSKTFSSRKFGFNRAREKAIKYLDARRKGIILTTPP PLSPSKGIYDRIPQQKKMEPGQDNNYTHGLNNFPTFNNEIDNNNSNNNNNNTGSNIDI TCNSNSNNDVSSSNAASIVSNNNNSLLETNQTVMCS cubi_00347 MVSDKSCRVYVGNLPWKAKWHDLKDHMRQAGNVIRADVFEDEVG RSRGCGVVEYSFPEEAQRAINELNNTTLLDRLIFVREDREDESSRYGRRSNKWNNRGY GMRTRTHAPRPPLKEENKGKQVFVTNLAWKTTQEDLAKAFNEIGALESCEVFYFEDGR SRGIATIVFADPNHAQIAVEKLNDREIDGREILVRIDQ cubi_00348 MENNESCKFLFWFSQGKQRGLLQFHGGLWRRSQTERNRCGEDHE RDWTKGTGGEALDELQAIKKIQLEEQNFR cubi_00349 MEFVSKLKSEGFTLESWHSLALHLYNTGKFEKCETLLQECIEEY ELLDQKLSQNQPLNEKSELSINLLKSALQTIGMLTMLELNLALDCFETNFRRSESILR KSEERLKQFMKLYSQNYDSCNEFQSIHKYWGFLYFYKSTITNEVDLSQRNTLLDQAEK EFSLSLESNTKDTQAISGLANVLYQKMSFSRALRLFSTLLLILGSEKCPNTVRLAMAC CYLRLGNFKKSQECCKRAIFISKFGAKCKEDTNATDNTDKENMEALFTTRKGQEEAVL NRDLLEDPAFIDSLSQFERQTLSDLLLCFSKSTNYKGLSLKEAFEMVAKIFPRHPALV LYASNAEFYLGSAPNNNFERELHNISKRCSNFYCSLEAHFQIAKRLHFRKDITNAHFH YSTVIDTLKSFYKTDKSLLDLYLSCLLGAIKTSCGLQKWDIATRYIQKAFDSMGIKGS VESLKDYKLPIEYLYEFLIPTISLVIMSIEDPAMIPTTISIFSQLTIEERLLNCLHYL FFILDKMDEIDSKQCYVIIFLLQKITTSLLSRGRRTIRRTDYNYKVIFTLISKYTNYS ASLSPLPLVVLLFSCLKYLTVNCHSSPLSNENQSKSSSDNLIMNAISYFKYKDLVKEN YGIYLNNLGVCIMECATSLSPEHCSLGSNEYTMLNDSLRIFVQSREWLTKSSISSNKV IEIVIRFNSAICYEFKGFYANANDEYKRLTSEFPWFTSAWLRRACLALERNDFQLAAQ YCELSLNSKSPEIGSLNLASNSDPSSSSVYSWAPGIGNNHESANLLLSHIYSCQKKPD KSFSALSKVIKSKNAHYSNIGKVFLGLALYNKAKSQTQSGYSSEMSMYNPTSIQSRLV LSEVLRHETFNYIASNLILIQVAECGLLEPSKDMWKYMTDISSAMEGAASFMHYVALI NLGVLHAALLAVSTQRNPPPVQHNLTLKSIVDDNNVGGIDRRNEVLGSHPHFAEQKRI MKTAVKLFQQALQFDPTEKIIHMSLIRCCFDMNMWEDARKLLEKATLRWPCDLTFKIG LTYCLERLVYSEMGDMERAKHPGRVKYWMMLCEAVGNFYHWLSIIKETFQIVDMKFIN QIKNNKLNITSLQKFVPGIVRYNQDNKIVLNTENKDEFRYKLSAIPLEGTDLLPNIEY LTKQELIMSRKLYSRFEELLPIVEQVYEKEQRDVEELRIRSEDFRKKQEEERRRKEEE EKAASERIKLLSIELGKEAESIAASLPDIQVEKRTKSSKRDRSDGLESFDGDGDVDDN DNIDDDGSEVRSDSDSYSGSNTQSDTQSNLDSAEDTEENKRKHVSKSLKHREKSKKNK NKARSGNIVGESIFSNSGGESNLEQNGSKNSDSEPESRLLESRLKKNRKKNKQNDIKK SKREEKRILKLKRLEEQTAALLENEDGKNYNENEKEEKDEKHIQENVYNDPIYNEENS GQEEIQGRSRLKKRRFQDTDDTNNQLHEEDETGNTL cubi_00350 MNFRFLVFVLLKLIGFSEKLIGVLSENIILNNSGECYLDEGRLC VNSTSVILNRGMNPGGFLTLRCQIPEVGKGQNLNPLVQIQASTRANYTSLLYNINQDS VEINDLNWHLTSDKAILPDNTTFSKNDTIHFAVGIDTNSFSTIVINSKNVIRLKFKGN EQLTKFKSVKSDYCSWKYEMSLVFPSTKQNVKYVPKDTNSTELVSSSNSTGNSTKSLS SS cubi_00351 MVNEDISADNCMRKFLMLKTDLNDITTNPTKRNPIPQDYMKYTY ANSDRKFTVLSGPSFLDSEFEVCTSQYGYKFPITKSLLPTIYPYLSIQFIAQVENSKV SKFGFVCSDSLSYAVVDCNQGTVKITVLLPNRTGSSEPTLHSINLPTGLKCGNTSAQS WVESRLIFQEKSNNDPLLVKGLGPFTSVWTYSTDLNEHTRSFEFPNPCPSREVLFFTS GFKNVQTQMIPRSLFDMKFSTPNSNLLLPFETASSYVIYGTQKYDMETFSVSMGLPNY PDHKLVYETNSSNILSTENQNKTISGKPIFVHISLLPFTEQISLSVNNKAQNINTNSY MIWDKKDLDQNQNQDTDELLDSKIIFKKGAPELFTGGVYGLNLTSFDSDSPIYTTYTT GFCAFPGAQLVEPLYDIVDNVYGVDDCNILCQNDPHCWLWSYNIVNKQCSFHMNAVSF DYTSAPDVVSGPRYCQCNYEMPNQLFNLIGKNVKIENNSNGNNESGDYNSYFSENTFE CDYPVFGFAITNGDPLLNTTSPSPQICLKEVLADRGGIASYWTYDPITQRCESVMGGY FRPQKFGPPTTPKEMAKNNHGPNNNAFLLGGEVPRVQNTSNNFRKLSSDLFDKLPGIY DRNQQSMSLEKMIPEMMGIPDVGGISPVYEFKNEENNTDKDLGDDEDEESTSTTTTTS TTTTTTTTTTTTTTTTTTTSTTSTTTTTTTTTTSTTTTTTTTTXXXXXXXXXXXXXTT TTTTTTTTTTTTSTTTTTTVPDVTDNGDEEEYKDQDTEFSNFQDYLKIINQNNEKDIL DGNDFMDNNEMNLEKENNEEYKNMYDIATLIVSHKGIKELNEEFPTRSEEINEQEDSI GKVNEITESILNSLEQNQISVIDSQIAEGIHRNYSIATLRIPFNSFEELRQEIYNNYQ YGYPIYLLKGLFKENISNFNINGFDDFQIIDFTFLFQNISFCDISKYHATIMVLNIAA NIHKLLNCSPHMIQIKNFPPTENEQNIFCQQSVLEYTVRIFIHNVQDNIPKLLDNLHT AKYIFNGNEYTPIILVGHSVSTTIPNLLYEEIERNIIIQQHLLLKKKTNGCIINEDNF FAELILYERSFGNDNNDGFIDLNSKLLSILPNDELTPLFFLPRPISINHSQGESILLK LSKETVKSNNELTKSIYSMFGQRTSLIPFEFVFSTINGTGTGIINIEGENYQTFIPQV NNSDSAKLYTLRFDLYGRDYCLDVAQDFRRFTQSIKDTISIMLSIKPSNIHIIPVPLP IDLSTSIKCSNIPSSVHSGSIVYVQILTNDINHSMDVLNKENIPPKYTNRLEKLFGHY KVTLIEEGLPKAKQIDGIQMGFNDLTPMIFASNHPITPVSLLLDKKQSNNDNDLYDDS VLPQELLLFLRSIPEITISPHVIPYYDQYVLTFGVPTTIVFNFVNKIHNKQVVIPYLT NPLFMPIKSQVDKLNVDSFPFDIPDIGSGNPIEDENSTWSSENIIEDLKNDSKSNPNF DDVQDNEFKDFNIVDKNITKG cubi_00352 MEIINRTLDSILVKCHNIQVENIKYGELVVLVESDDEYSGYSDD DIEELNGEYGDIANESSVEDVLEIVRQATIKKKEPTIYEIEFENVSNSSGRCNFDELV KFLHKLGLSPTVNQCEELKKEFGTNNIDIESALKAYNKTSADKYTQEELLVSLKSTKP EITRKRLVILLQTFGDKMTEDEINRALDKLEIGNEPIKKDEFIEKLCIGSKQIKRSDC SNKSKENLNDFSEKNDINNDQKEELSQIN cubi_00353 MKLSNTICGVSLGVIFLFFLGNVVGVHINKELHNLSIESEGSIK ADNSTKSSSGSEGSVNTNNSTKSSSSSVNNINNSTVKGKEQEVGSQNLIGNRTGSSNN ITAEINNNNVTINQNMTEINKNGTISQNKTEISSNNNSTDSEKYHIYSGEFDVKTTLF ENGIAYDVNTGLPILPNLMSKLENDYDFDDMPALKEALEVEIGWIIIGICLGLLAIMI ITVTTVSVIKHRKNRARREA cubi_00354 MVQIDNNGALNGVELREGGIKDDQGLERVNKGGHTKLGIEVGLK DESKPILNARRGIARMVADIVLGKDSTKNYKQGSAAYLIDKIQKMLRIISLVFSLLIL IFIITSRINKGRKDISGSFPVGPLGRSVKFDMMLDTLENEHEKLQEESSGEKSDMIGA INKGIESKKNDDNENFKDFDSEVLDDPEYWADDNDKDLWEDDADEYYDMEIISSEKDE NDEDLQVSDTIKEDNKVEDNSENGKTVPNENNTQIIKGKRNKGLRSKGVEILLPSSLT LYGRVTHEDTYINGVYNMIMEERKDGKNYPKLHHGRAIYKKEGKTSKNNIIPTLFIMF DGTHEFWIITSSLDPNSKPLAFLPDHALIPIRHVGPYGAHSNSTWVFRNNNGILKDSS VRIVENSSIEFPRVPVHITKATHNWHIKHHKANGKVYSKLKNETSNTNLKVFKDPYN cubi_00355 MSDSEESWHSFFETETLEDVFKKKILEYDPKLGLNISKKIRIRS LELIDTLVLPLNKIAKDEISMISFPMLRVIRRVVVIYSDSVHHLAGLIKTIQDSENLI LFQVSELEIIRNGTIEDYETENNGGSENIVKEVVQNFKTPIERLRLKNMDLSIIEFLK LFQLEEFSKFERLDLEDCEFEGIKRTRIYENKLKISVNSVKEFHCIRTDPIFASFCPN LKKVIYEANFPMQDYVYNSFQKYNDAEKGIGIEFFELRVPKNEISEIQFLENFFIGNF PDLENLKEFRIIGNSTNNKKYMAKGRCEILKQLKGINVGYIRKNVFPMLKVLQLRNLY ISLDTFDQILFMFSLINNTRHSIQNDNIEDNNYINNYQQVFANYDLKFEDGSSRNHNY NINKYQGMSIQEESDFMLSSDYASLGFSHPDDIGGKNKNEYEPLSMRFKDKGIYNCDS SNTYGNHNVNYNNNSKECDHIHEKSYINYKIQNQTLSQHEPQVMQPFLDIRSWIIRVS KSNEVHQNKINGIQYPFNSGALILDKQLEEMKFQNITEIHRNLISNTILEYLVDPLKT NLSISNSKIILSLGFDNLIYSGYMLGVLFEYQKTVKELSALSFLIPIDFFCQLELNQI QVLKVSLFKDKNLEPRNLINLCSWIEKYGGNIKYIHIRVTGTSSKWVPKETEIIRLVN IWKKMCPKLRKAKDQDQVKFECCFADNIFSKMNNLSMDFEFDTDSD cubi_00356 MAPIFKHALGTLKAPLFCQLLSARLLITYILFWYSIPFIVFANN YTLGKTHDQINVLNINNSRFINHSAHKNFTSKPIIYQTNLMDLRTYGYEDKLNKLETS MNISNENPTYKVIHGTPSETLFNLNPQFFEKFQDSSPSKCYILSMSGGGAKGSFSAGL LNGLAFIYRYHGIKLRWDVTSGVSIGSLNTIWSQFYHSGQSSHFSSEAASVWKNFSHK NVHNCKSTLSQNAPMFIFRAITEGRKLPNYLCSTYPMLVFMRHLIQNRKRFKGNKWNA LAYHFKYALPYFFNEEVPKSIIPSVIRSSSSFPIVLEPAEISGIGVFGDGAISKTIDI QNAIHRCLKSGKAKTDKDVVIDIITTSYTDNEFYSVIDPLYSKTMLESLSWFLSFYSF SQTYQQYEIVQAIRRYPNIQFRHFLSYLDKTDSIVNKIGLLDFVKSDLKESLNDGVES GFYNSTMFKDVWKPIIRDISPSSDWKPYGDNKVVIPSNNLNVSNPDTDTFVEFPILEN EPYYISPMFEFISSTTKASKLNKILFSSDLIDHETQVFLLKLHQDILSIRFLESVREF NRRRDDDLLYIDEEKIEMERRNKYLKNNDHVCNKKLRTHNNHNNSLEKEACDIFSELI SEPFFRASRSQIKSISNSFAYSLTIRSRFMKNHSKFKYQKLLKKEKKLKKSLNIAFKS FIDKKDEIEKLYNEYDELMEPLNKVYVSMLKLTENCGRDIRKIPIMFPFLEGEDNKSL IWAPSEEYDSLTVKFKMSTLELLSEMYPSINWTLNLPEKLGERPSRIRNWNNYKQSLL NHFKLNKGNEISCWANHPDFKSIISEIKDLTKNISSLKIKHYELMTRITNIQILITSY GVSSRKYSANLEMLFRKNFIKNLQEIEEIFHKTSHFSYGPGLSNDHLSGLTTENEFRI IKDEILRNMKDVEPLVNSVS cubi_00357 MGISKVERQLQFVPQRYLRRGVFSSVDLSSSIYSALPESVCVVK IPNTVSREVNSLLTRGEKFPFGIQPTPFEDFRIFDIHLLGIDYVGVLVDLPCNIEIYK SLDCESMFKSNNLNQMLYIYDAYSLPKWFINSQGVNTSSIYTGPMKSNMMYTDSTFVS FIKYLKESLHWEWPAGLLPASKGIRSRKYRNTELFDIGEVIEAEQELLDRVSMPNQDM VTIDVVSTKEMDDQIHLFKNEQKNSPFPITSVFDKKKKATCMGIIGRDDDLRSMISRF KREDSHKKGTKQNTVEFEDIQSDISDVIFRESSDDENNQDSSLDIEPNDIRISEESTS KIKIREHSSSSKVVPNDFTLNEDWDSHDSSSDD cubi_00358 MRKQSSTLPMPRGSRGDSFSMPHSSSSAFSKFGSKYIGGGAGKQ DGQGLWQDILRSFMNGLEGKDTENLHGTLFVLGRANGGKTELISELKKISEKGKERDS KSAFATSDVGESPYIGLDFCSMRIKEEFFKLEQDDSIEDSDQDELNEGQNTTVKNVTL DVWSVDHCGMSDELVKRLVDVFNIQNSISGNSTSENSNMNISSTDIGSTMINGAGGGS LDEDTRDNSGASMQTPNIMFLIVLDSSLPWTLNDDLVTWIQQIQECWSKSLELSNFAP DLQRIMIQEMNYYFETKLGETEPESKAKTQEALDHQGDDNEEQTPKPNEVETSESPSV PALYPKVNLGIPIGVVLSKSDIGQRFSIPTDGVNGQPFIPFALSFLMNVGDPYGISYF VTSILTSGDIHQAFGVDLLLSYILHRLFGTPFLNEDGKVLKTVNEVVSRNNSILCVLP RTPIQRLSLTPTPNVKSEIYEELVQKSKFISRTNNSTDMSELVHGLSTAFDKKIPSLN EFLEQIRPQIPLLEATSSGNAPVLLSPSANSNSEDSKSIGDSNLVKGTGAPSSTISSS TSSVSSSSQRLKPSKSTLNSNNSSTSGDPSLKGFFQSLIQRGEKKGSLSHRSNLKPAA SMSLRKEFGSRMESKLSSDAKLDEKNPENEISTNPLETEKSGNLANEEEIVEKPIEEN TTTNNEENNDDKQTE cubi_00359 MKTGDISRFAILLLFGVFFSALDATESRLEPLKENLSTRTIGDG IFNDDLTRSSKLVITGDGEIDKNTSGNNSSTIDQEDSSKKLKKRGRTKINSLLPNTEY CIEELGKLLDFNSIVSSSCGVDALKKVGGWLSKHKNSLPYWPSVSLPAPKNLITFYGC DWTICEREVENQIDQKLKKLHLPVKQIGNASQSDTRSYDRAKRAIDIQIRNGNMSRGE LINSISIWWCQKALPQLITDKETAYHSIFNEFNIHFDQQSTESGESSDSNVSNIESSN TSLTAVEGQELSDEKSDVSTLVSKDHVGANKDFYKYPNRLVFLKTALEEPMECYAIEP EKDHEATKDELREILDSERNRLESNLAFVLQIRTSIWRSNKAFSPLGKSTNSVQRLIS TMRIYTEEIRRDLFKLFPIFDSNSETVQLPPHEDLVILLSEICRIQSITDAISLVVIR LLRRNKISKIASEDAEHLLMNWMFADMNIKKSLIPVTKPMVTKKSSPLFIERAKRMKI NASRITKFMNNIADKD cubi_00360 MNVRLILTFSTFLGILWLDLVFSSAATRKKLGAGNLRISDPELE QSFDFFTKTLSNSEKENLIKLNSSSEYARSTVPKGAGYDYTVQTAIYTLSESLYISPN LFNMKIISDHCSKKNIFEVVDCLYSVSKKTLKLLKLVRQRCFHHTENSHLNIFPRQVA LKLKLYCDEFKRIEIRYARLSDFIYLLKMILKKSKIHNSNLLKLSKLVWKIIKSPSFK KIKFVKDLSSQAVSPKPKIQPSKKEAATISKISTLLRHIDNTLLEKVKILPFWITKSS EEYSVILIETEAIVDKIWSPMKKNIVSNLKSLNQCTSVKKKSYCPSNSPKYKLSKDEK FIQNIKKVSEQIRSDIE cubi_00361 MPGEHGGAGCSCQHEAAITGGKDLLPHIELDKVLALNELNVGSC KGIFRPYEERLREDKVCKSQDDDPELIIFVKFSSPCKINSLNIIGGENGKSPNRVNLY INDETLDFSSIPDQEPVQSLDLVEDYCGTVDYSLKVSKFKNVDLLVMHFPSSFSNDQS WIYYIRIIGESSGYQRKAVQAVYESKPNVLDHKSNAESLNHFSLM cubi_00362 MDLEVEFSDSSTEGELDTLGRCLSTKDIGLETVSRNQSQNQVQS GSCSRSGRIRKMRYSNFSNIDNESELSEESLSDFGEGSKIQRTRDRYNKSRTSRSKSQ SRKNEDLEYVNEEEFYDSDDDDFVSDDFNTNSLGARKSTDSDDGDEDLYEEPVQKKRG RKPSKTKISQNNAVKRTARSSRKSVNYLSFDDSVSLDSLTQESDEAEADVSVSTQQNV RTIDRVISSRQNPEENNITQYQIKWMGYSHLHNTWESAESLINEGVAGLRRLENFQKK MADMIQRRKLMTEDEIEQEDITFEIQRQLDLDALIPERIVDIYRENDNSGVVKNNEED RKQLYYFVKWLSCPYDQCTWESYETLEEHNFLSYIDSFYAQMDLVSKFDKSCDYEMRS LALTSFEPYLSTPFYMGIKVKDMLNQNKNLEKIDIKDAIPENDQPMKIEYQPNNNENK QGNSISEDVKMEDKDTQNYEAFKEEVEKVEDSNDEPFQPKTLRDYQIYGLNWMISRFK KNVNVLLADEMGLGKTVQTISVVGHCLYMEKIVAPFLVVVPQSTSDNWLREFKKWLPD ANVVLYHGNAYARELIRSYELSTIEMKDETNDNEDTENSDLIEKNCFNNSDSKDETRD KYGHKNMQKYARAGTGGNGLFRRYGSRSSRQTRRRYRFDVVITTPSILNSQVDCDFLR QIDWYMMIVDEAHQLKNRDSKRFKELHEFGTMYRLLLSGTPLHNNLEELWSLLHFLNP LRFQNYQEFRLRYPDIENPNVIGPDKQRQLEELQNELQEYVLRRVKRDVEKSLPNKVE RILRVELSPQQTDMYKSILTRNYDELSKTTGGTKTSLQNICMELKKVCNHPFLIHRPE LDPSQGITIASIQHQLVYGCGKLCLLDKLLSRLKEKGSRVLIFSQMVRMLNIISEFLI LRGFRHQRLDGTMGKELRKKAMDHFNSPNSDDFCFLLSTKAGGLGINLTTADTVIIYD SDWNPQNDLQAEARAHRIGQKKQVQIYRLVTKDSIEENILERAKTKMVLDTLVVQGLN NISSNSSQPQISSSAAASVVAMASQALYPNGKKPGSGGASFSRDELAKILKFGAQKLW NKGTEKKPAQNMSSSDPAYSHCANSECGETCMHDGIHVDNNQGAKKQLKVENENSEDF LEEDRRFASQIDLDQVLADAEEHVHDTQGLADGLLRSFANIADFRYEAPPLIIDSNTG ALVPLSENKKAEGEVDFDSKEFWEKTIPEEERLKLMEQNQQNTIITGPRKSAMRDGSL KYRDDGYTYVGVDNSGSDDGKLGDQGSDEDETFATKSKKQSKKKFKEKNKKSSGGTSG KSRASGSNGNNKKTDLGQSEQIENTEMKLGSEKDLDKTPESLGELVPNKEYPLPEQPL HNREDFEALMLEITSFPHKYNQDNEQFPTEINKIYRDISNGVKSVKSGKRSRRDPRVL HPKRRFKLFRSILKFGDPYRRLDDIIMDSRLKGKVERGTILNESQIIVALCYEKTQKE LVSESALNISKEEVEIRDEEDIQITNSNCEGTSILEGQSTADTTIIGGVSLEPGSIED FVEENDVDNSNHGSKALKKNLLLIGNHRANALELVERIKMLSSLDKLMRECNPGVEKP WSLPTGPLARPDRESVKRDEDNENENEDDADDEDEDLDEEEDKEKPFDLSVENSFEVK ETEPPTENENQEKPSDVSDLEGRYLKFELPPILVKSLRTPSWGIPWTLQTDIDILKGI YIYGFSNWTMMSMDENLSLSCIKSVKFDKLKQRTLRVMKHLHSVMVIGKTARKKRKLI PAFASDQEYPDTCKGENSIPKRGKKVARKYEDPNHKDKGGQTFGQFNDNNGNEIANYS KPLVVGGKKGLPEFVLECSNIDEKDLKKQARTCFNHSNVKPQLLEIKKLFHPTNNMTD SDVVANLKVLLPVVGDEINEILKFAMNEETRKKVHDCLWSYVAKCTVFQPQDIEKIYL SWKGIVSPEPSTGAVTEINPNPSIELETDPEPKSGPKPEPEPEPVPEPEPESSHTGRS NFQ cubi_00363 MFFPIESNNHFTTKNTNLINDASKKFRDLQTLPFESICAGDQTL NCGNNGKCISLPNVSNQNQFLCVCDDGFTGPKCETAWDACLSSGPTSLCLNGGICTST DTHPFYQCKCTVGFTGNNCELENNVCKTNNPCQNGGKCTYIGENLPILCTCLTGYTGD YCQITVEHGIGGAGILLHPGQIIMMWAFLIFVLATILYCTFSVVYDIIVQIRAKKKQK EKEDVEEDVSSPTNK cubi_00364 MKTIKKLITALGAVIFGFGLSKVEARIQMKAYGSIVSTAYYYSD IFVGLPEPQRQSVILDTGSNLLAFSTTKCQQCGTHLDAYYDPFKSTTRREVSCHSYCK VCVNHERQCAYTIHYLEGSSLSGSYFEDFVAIRNEKGDTSEPSPYVVGLSTIFGGITH ETNLFFTQAASGILGLAYTASSQERVPLFQTWTKRSKYAKDAILSLCFSPEGGVISLG GYNSEYWALGGNDKSFRSTNDNNFLKRMLGYSFLSSSSSSQSRSNSKNTMDSKIQWTP LSIINGNYYVQLTKVTVHQTKLTLHKDSSSSNTKPVPLVIDSGTTLTYFPEHIFNQIL NIINLRIAETENRSTYRSLVEAGSKLLEYTGFKSQSNDELELEIKPISIFPGEIPGAA LHRKRIYRRLGNIEDLTNTFNSTVHSENLFDNFSTQNQLNETISDYLNHTNNNTNTDT NNSTSTSTTATSTFSFQEFDIDSSLSRIMLETSKGERCWKLKDSNEMSRFPTITLGFS GLNVDWEPAQYLYKKYRNTYCLGFDSDKTFLVLGASFFINKDIIIDVKNSRASFVKSN CPQIAHARRTSTSEMSQLLKDTSSSSPESIMKSEVVVFDSNSRNFNVNSNSNQHHSST ELNGKNPSLSTGQTSTKTTNYRYSADNQTISESYWEPKNLTLPSSLSSYLSPSAAQYT GATNHSLDYQNNYQENHSELENGTGNMLVLYLKQQLVDNTGSQDLDSNNNDNTNPNNK DSSSRYSTSQPTIIHWLIILITALASCYLFNGVDQKDKNE cubi_00365 MNLSSLSQPLGKNYIYERYSRFGMNNSIIKRTFQNPISVYNELL ATELLPTTESDFSATIKLRVGSQSMGLQERRKLGNHKSYGDENEYSRLNSCEIFDPFA TVPLRSVSMDPAWLSSSNMGMGPISRKIPKGPFKILDAPNLQDDFYLNLVDWSSTNLL AVGLSSSVYLWSASTCKVTNLLNLQEQDTVTSVSWTQQGNHLAVGTRQGSVQIWDVVE QKKVRTLNGHRARIGAMDWCGPILATGGRDHTVLLRDVREQEHWCSRWLGHKQEVCGV KWSPNETQLATGGNDNKLLIWSQGYDTPVCQFQEHTAAVKALSWNPHQSGLLASGGGT ADRHIRIWNTVTNSCVMAVDTGSQVCNIAWSGNVNELVSTHGYSLNQVILWKWPSMQK IATLTGHTYRVLYLAVSPDGQTIVTGAGDETLRFWQIFPSGRPKGPQNLAALSVPNAP AMR cubi_00366 MNDNTPRSPYSNSNGELYDPSVFTENVDTVATRSKNLPFYEIYE PKPTPADKPYRVNGAEEYKKLYEDSIKDPEGFWSEMARKELRWLRDFTKARSSGTCLQ DRLAWFLNGKLNVCDNCVDRWAEIQPDTIALIWEGDDPASIRHISYIELFRNVCKMAN VLKRFGIKKGDSIGIYMPMIPETIYTMLACARIGAVHMVVFAGFAAQNLLERLVNAKC KIVVTADQGSRGKKIIHLKNIVDEALQKVPEIKTCIVFKHLNGPINFVQGRDFDGETL MKAEKPYCPLEDMDSEDPLFYLYTSGSTGTPKGVQHSTAGYLLYAAVTQKYLFNIHPG DVFGCAGDIGWITGHSYLVYAPLCNGITTLIFEGVPTFPDAGRYWEMVERHKVTHFYA APTAIRTLKRLGDEFVTKYDRSSLRVLGSVGEPINPSAWRWYYKVVGEERCSVVDTYW QTETGGIVIAPIPGCFSTKPGSATFPFFGIEPVVLNPETGKEIEGTGSGVLCIKNSWP GMFRGIFGAHYLHEDLYTNPFPGYYFTGDGVLRDQDGYLWITGRIDDIINVSGHRLSS KEIEDAITAHNGIAEAAAVAIDHDVKGSALVCFVVLKDSGSKSFEFNNSSPHPLEAEL RMCVRTQIGPVATPDHIIIVENIPKTRSGKVVRRLLRKIATGCDEYGDISTVANPECI QSIESSWSQYLKR cubi_00367 MWSEKTIGKAFRYVVEHVYLSTTCLHLLVLFYGLLQDRFNLSVK FTDIDYMVFTDAAKAVLHGKSPYTRHTYRYTPLLAYITSINIIFKMEILSKLLFCAVN ILSGKILEWLLILLDVDNDTKIKGSVTLRRFLISTWLLNPFPVVIAARGSADVIPSIL VLITIYFLMKAKYDSFNNKVNIVISAFFFGLSVHFKLYPVIYGFPFIFFINPNYLKKD RPLFRYILNLPIKVFTTLNIDQLIFGLVSFSTIISLISFFYYIYGWEFLYETYLYHAI RKDHRHNFSVFFYLFYLTMYSAKEALASNFVYKILPYIASIPQMLLVTLSGISLVREG ECIMAIFCQTILFVAFNKVCTSQYFLWWFILFPLALRVYLTNNIAQLDESKGIIHSAS ASFTPILISIGVWLITKLSWLYFAYHIEMLGQPYFLSIEFRIPSVTHSSTITIMAANE YSRDILN cubi_00368 MNFWPKYTFLVPIMNRINTSLKEQRERSKLHRFERLIRILKEEC KLNELRGKHIFGVFSENIGTFCKTRRGSNIFSIPNKAHCCGIIGYIGSGDAQKVLMQG IEILQNRGYDSCGMSTIDENGEMITTKYSSKECGDSIERLKNDAELFHGNHHIGIAHT RWATHGGKTDFNAHPHQDYKKRISIVHNGTIDNYCSLKSELMEKGIPFQSETDTEVIA NLIGKYLDDGEDFQNAVQKSLSRLQGTWGIAILHKDFKDTMILSRHGSPLLVGVQSGH IYIASETSALANYTNQYVALQDGEIAVLSHEGIDKLITPSRLLSIDHEKVESSPSPYP HWTLKEIYDQPHALARSLNFGGRISPYNNMVKLGGLDQRLDELKRVQNMILLGCGTSL HASLFAQLLMEHVSGFNTVSAKDASEILVTGFPRENAGAIAISQSGETADTVKAINIA DSLGIPKISVVNVVGSMLARATGCGVYLNAGREVAVASTKAFSTQVLVLSLIAAWFAQ NRDTVTSQKCQELLEAIHRVPISVGVSLQVKDQCEKIAELIKDNNSIFVLGKGYGYPV ALEGALKIKEISYIHSEGYSAGALKHGPFALIDKDSQTPVILVILSDEHHSLMMNVAQ QVKARGAKVICITDDENLCNDIDCEKILIPSNGPLTALNAVIPLQLIAYYLAVKKGIN PDKPRGLAKAVTVF cubi_00369 MSFFVLWGILLFIALAEGFQNNLVNTSKIEFLEAEYALDRLGLW KKFADGNYSDCMELTLRIDRMLNAVANVDIGAMEQFIEMDEYSLKFQDPCGKGEKHTL SSLYENAPGWGGETPLTILARSSLPRSSIMMQFLSSKGLDFVKSQMNGFTPLILAIIH KNFSGVKVLLNLAERQKYTLHLKKTEMIQSSIKDKNDKNTSFQIRKIEVINEVDSMGR TALFHAVLMEDDRMVESLLMAGAEPNIADFSGVTPLLLASKKNLVVIVKQLLRRGADQ TILDPRYRDPLSVAIKNNHPDVILALLKDETFQSKYLSVYEPSRYKGQIIRPTYHVKR LLDIFTVLQLKEDVVQSLFRIEFARNDTSLCDLRDNEGRTVVNIATFREMYDLLEEVL DFYNNASIYKYEGYTSCNPHVIDKSGRGPIDYLLTGTASSHSSFFSFDNKSSNNRKIN KYSGLYQNFIQGNNEETNQTGGNLFNEFRKMETSFNVLSDRRQFLLTKFLKLSNPLDL SSYLFELLRRGGNPTVSTIKAMVNWLGGSRDLLLHKTSRDGHNPLTFALSTGQLTVAR EILDILLDSPSSNENSYKSAVKLAIDYSASVHSSSIIVEVALRKPVIIHEWICERKLN SFIEVEELKFVLLNLPREFIGFSKHPDLCKPLYTIVKQILDPESQIESTEIVSIMLDT NGGLPFLEHSDVNMAMKTVGTSLSISASFGLKILPCLEWTSTMSYTFAPYFFGVFNKF ETSLDKSDQNIQVKSDAENILQYVGKNSSELESLEKNFSNKSLNSSKESTGSQGLLYS ALVENQTLKSTELLKKNQYSNTVSTVSPKIKNLKNPGKIIVKYGLGSTISSILTGHGI IGTKRNQILDLVFSCPLRKVDPTLKKFQRSVLAITLLILIIWILITSFVIVFSSRNRL DIFGFVPKHSTSEPERLAFTMVEWKVYKLASVLRFISFLYCIVIFISIGRVRHLLFTL FFLILGLFLKFNQFLLLKESDIADITTAFIREEMIDKDNTALNKDLEDNDDANDNSLG MVNPMPVGGNEETRQEGMHLGTIGEEFSASHSSATFTDSRNTFQSDIVKREHISAEQY ALATLFIGICSFVIAISSNLQRFLFWNFREFYRFHESYYFVVNHTYIAISTEIILILN TWSLTFAILKAPGLMIFILMQRIQVLRYFLLAYPDINQNIFNSLKRNQLGLIGKENSP KSSPNINLHSPISKGAVFIPPGRFDIVLCQWVRVRYAIMKRMHRRFAVACKPYNALYF GIGFIFLVFLLNRKNIGNGSNVDIMNYLLFDEFGFTLLTAFGSYSITLIVIVWLGCTS NQISESQHWRLFWDAFSFMPPCSAQQVVLQHIKLTQDLDSEDKRVDLLSIPITNFARN ILLLFILVVWIRISIIGISYILL cubi_00370 MIREGDGNNGQGFHYEPENYDSVLIWLHGKGDNANSYLSFIQIA QNYPELKKTKIILPTANVIHFKRFGFSDCAWFDMEDLKPNALEDLDDINNSVSRISGL ISREIEKGINPKKISLGGFSQGSAIVFLISMASRKYTLGSCIVVGGWLPLTERGFKEG KESKIATEELTFDVRESVKEHVDFIVLHGEADPVVLHQWSLMSNDFVLKYIKPKKFIY KSYPGVVHTITTQMLADIFNFLSKRN cubi_00371 MESPDDSFEWKRKGKRLLKPFESEEELEEKLAQPSEEETKSYFE TKKRLNESKPGISHEGIDKISRRKEKKRVGTGVLGTLVDSIRQKDTQIFDNLEAESLS EEDKTFKVSKTKNLTKKRKKKSTESIDISNLLCSLDNTTSKENHKTNKSNGDLDSMFE SFNIPSSDTESNSDFQTDRLEKINLNNNERDRKTTQDIQSNTSEEDEELFNLTEIEKA LKKCVKDDYNPSLKLNEWLPTHCIDVRSEIARQMDDDVEVKEITERHEFFYSQWIEQI SYLSSLTKGFTRSIQDCFVREGQILAISSIKENNPELLLDPDDKILITVQNSDGCVVL NRLVNIANSQEKLLNDMNKETGISTITEDDSTLRLKYGIISLKARTKLWWFCRMAEHC GLVGREGNNMIKYHVLEKPRKKMSNSIFSINANIVSSIDPNMDLFNKNDLDSNKEDYD DLTDDEYGDKNSDTVRMNEMDSKWSYISSIKVSEKTRPWEKRLKKSELEFSEAKSPKD EESTGNRSKKELFKFMLKNIGPRPIWTSIIGDVDKFGRFPLEIEPPVNLSLREQGQLK IKWLQMKSQAEKLATHHFKIMDPETKDLDHDIIKYWSKLFKVNLLFPLDETHKIRKVD KSDTNNSNLSIDSSHVVKEVIIEEDNNKDNGNDQKPNTFSFFEDANTTKRNSLPLDVE FVSDLSESDPEIIQENDEGCESEEGIVDINEGNKNNDLRAESKLLDDTNEYYSAEEVL EYGLEKKPESDKEENIQENEGVYDSDDEAKLEIKRKAYRKLKAIRRNRRKFKKKMMEK YGHLFEDEAEESDDDGIRILKRGISGDDSDVDDNDDDMDWDELSGFSDFIDDNNYEDG ELDGDAIQAHLKHMKQIEEKQYRQLFTLEGIKERKNKVYGFATNLDDGIEGETRLEKK KNEMLFNSAFFDDDVISEFWTDEEELDDYEEEIDERDLTEMVGLNYEEWQNSLPSKLN SSDESLNEKRKFKYNILKESLIKEASNSINLLKQRFKSIQTKLSEDVDINPEEKRELQ TKYMECIEKLKKLLNSIHFACNKCIYENHQFFDHIDIYEYIQKRQEVRKQNKSRVKNA DESKVKFKGKYQNKYLRENINPLNKIVNHISDSEDEIIDHKKVRKSGKNIVNIKGGRF MISKK cubi_00372 MFGGRRKTNGGAPAPLLSNTEQSTCQIASLVYVVTSIISLICLI IPAFFKTTCSDHHTIWFVQIVLGLDFIFIFVFMYLGLMPNGTENEFSKYIGTGVVILA IQCIILAFGIFVFVSLIFYLLSEDDECKSESILNITLTSINLLHSTITTFTSVKLLLK LFTSIASQYKKYGKIV cubi_00373 MISGKLQIFILGFLLIILGVLSESSLLSEGSLLSEGSLSKSKYN VSVEKTDSGETSSSPLFNLEASTNNDAEKEETIPPISEKSPDSSLMEPSKSASSRNVP SFANQKDDSSLSEEKSRLFSENFDENSGDSSSSLYPKVNEDSMLSKSEESNSPLVEKS VSRSNYEKLLSEQDEKYQSEYSPKNQEESVMLLEDPNYTVDDALLLLRKQRKIQESAK EKKDKASENLGSEYEFERSESPVLMEYDKSKGKENDQLISSEEIQSEDDDFTFFPKEL SSPKELEIDGSASTPLSLNTETSKKETSRSPSLSSFDKTNKELENVDSEEVDNTLTEK SNEEVDESNPLDIPISEKTASSKISEDEMGTVSEKSMTEKENSVLEPSEKTISVDPQV SHADEQSRTVEEKVLDEPEVISIPSSVSTPDHVEEEKEASSVPEDNETSKSSKIESPV ISSESYSETENAGENSVQAVNEADENSYQSAADPEIIPEQDEDENSFNRGLIESKEQS GIAEEFSQEQFMERSQNSPQLDNSPLLHSETFKNEDYANQLRGILLPLVDMFQVSVGC AAPCPYQRYFIQTDRVLKRISKIEAKTIELLNIMKKAREITIKEVSDIFRFHQTAIKR RALLFVQKYIKSIKLLRFKVDSAKKTVLLALNIRIALQLLPSKYSREYNNEELERFVS QVDFVLKEYNKIRNLVGSKTIKYGLMKYLSLNDYDQKIRSITSILENELSSFTSRMAT FQKFVSRHKKLAFALHDMMQKAGYPVRKKFFMVDRKGLSKEHFRLYKKKINEDKRQAK NRLGKEYSQFKNTQENKWKSFNKRINST cubi_00374 MICILFKFSKFAVFVFSALLILLKNNVNAIDQLDLILCGRKGNQ YYVTSTPFITQGAYLYADLLIKAIEAPWPVKKNGAPYTHEEFKLEIVRKVILTSPSRL RCEISKVIPPKDSVWIDIMGKMNKYPRPLRIINDVRFSVFLCGPDMLDSPILIKFIEK VNRKYGYNFNPFSDKVINAALKMHGVPDSTVKRKIALSEKKNSPTVAQEKEKTPTQKI VYGMPPIKSSLEHSRLDEKSLSIENKLAKNQKPSLILSESEFDDIKDEKSFESDYSKT PPFPEEEQKQSSLQQPIFVPEVSNELPETLLVAAGANAYPQLSLKMPNISDIRVSQIN KSKKYALNLNYEPSASTEDYEALKNETFSNLENNHKLHEDIGDIDISKKLDQDEEINI GNFTEPQTEVQYQVSSPTFGPNSIEEPELQIENKQLPKSDSEDQKPEYSSKGTIGPPP DHKPLEILVPFHEYSEGNTELEEDARNYKEQEEIANKIEANFENEDSEEDEDFEEEYD EEYEFASEDEYNQYLDYRNQNLNNNKVDSRNSNQSKETKILNAKYPLGKKGKSQKALL QDVSSVFTDLLNAVKSNKISEKNVAFGIPAINLKLNTRSKAIVFGDRVIKKWKAENID GVLKSIPIFHQGSFDELPYNTKDSILTSNSTKESTYIEVDFNSAIRSHDENEGGYIWI QRNLIATRTTSGFQDVLIKAALKGLETLTSVQCSSILSMPISSTEVEECISAYFGSIY FGQNKVYGAMTENDEEKSISGFEISKAFITPQQVTFKEEKSPTPKELDEADLLIRSHL KLSAGNRKEKRDDEEETSDFDMADKLIRKNLGMMPSSARPNILVNKTTSYDLGFAKDR KSQFSENSQEFSDELSEEASTTPPVIANATNTKHIPIKFSDLDLNKNQKPATQKLFDW SSLKNLTKNGIEDDFSEADISQLALKENDANGIEKADNQDRRIPDEYIDPEFIEKALE KLAINATETYKRISSEFKMPTSDLETTMNSYYEFLNTVELWTAIAGEALIFTGGKMKA FYVSKSKKLSYFYSREEFYVYQAILNYSSSLIASMNSFGNLRKNLEEIKELLRKSFTT TLSYKHIKDLSDQFYFNLEQFNYSFGHHIQLFCGGLPVNECLGTMRNDFYRRNEKFSN FIARNGSLKRAVHQILEMFGIKVSTKDYLSIHGGTRDDVNRYLAIKGYISNQVPIFTE KFSRKLLKEQLSIDRKHATHAIKVLLDKHGLISKTSSCGDVKWESKDKNSAPLVEKI cubi_00375 MFSCFRSCSESPFCLLFGFKEEKSNNYGADRSQRALSSKMSEAS EKNKKKQKQLFNRRTADEILTVCRSWENYIHPRAKDFWKSSEQVYEILSNIASNIDRA DDPITSGEEQCVRWHGELEAEDGAPVIRILKPGETDECQTYVNRILAFLYADDESFLE LQKKPPVAFKNVCEDPICINLTHISLEE cubi_00376 MKLSKYSRFFLGSLLGAFASTASVLGYPTFISEWAGEIRNIATT RNLGIEASQQLEELLSTEVDLDNFDVSCSILLQKVIREPSSSRESSFLNLESALDICA LINPWNSMECSGVVGVTASYARSIQEFVRLKDGNKGDLRAACIIASAIAPGRPFAPLT KGSIKSKCEEVCSGVLSKTIFAIDSSYCSLSVDLCNSVDFTLYNSFSSFTERELGIAV AVSVLLSEGSLGVRTTFREGCRFVLFLKQKGAFPSVPSDISEPEILSNISEYYRAKLS ESGLISVTNGDIQTSHTVFLKVLISQIAKAMRTILEAQVPKKQSVVKQKKPVVVSTRI TSSEVSPLSEAYEESFSSEIVMSSKPDVAEKHTLGSPVLQSWFETLKQSIKKGSKDID REFDDSLNKAILNIDSSSVYFTCVSELRKLGLGSHRRITEACRKIDPFSNVKCQKLNH FELMSMIKLREELEKYGKNLVVDLRDLCVLAPKMSLESFLRNRNEELSDMCIRALKKS FKNKYSVSDRAIKKACMKADYFRTSGCGNLISSQYSERVNLVLSLANNMFEKRTDKER LQAIRESEFSAPSYAEICNTVEKLPVETVEDCIYEFRDSLSKFKSMSSIINIEGACIA SLEEAAYDPYYTRHGYEYGSQTYYPSIYPGHRPAGVPRRSLRRFYKDIEYAPSISSLQ WFRREIPTELKFDKFIDQEYSESRRGYYKDSNNKSVYHDGYRYKLNGLWFVKIGDNWY LDLSDSLDFVKIRPSDPRYSTYPLSLYEKSPINPSGPLRRKSFATYMSPYSPQYEGRH YGNYLQELYGKPGFAPFYPLQSSQPLYKKCTKCFNWVPYGHTHKHDGVKSEPNSYFTE KFPSVYDIETGYFVTETNDYDKDGRLIRKQVDRTQQAATTPGLGQRDASTQTIQ cubi_00377 MTQIKMSNFETEKTSKSNENQSLENEANKEISPCSLRIVIPKSM ILFISVITIISIVTLLFHAIPNMLGSRKLLYDFRGNSMRFDHRILSILKPSTGAESNS LRKKNVSTYSSVKLESLRSGGSECNQSLELTRKLSEANLDNLNSNLLTQEGNISPLSG SLTLKSSNFSLDSVTPTSGVSETNSQLNSTYTCHKFVSVMKNKSFNITNEPTQNSECK THFILAEPLPEKFKNLDLAAVNAFNHLSEAFQSVKMIAEILKNKPKNEGILIVMASNM HYESNWKSALEKMYEDWEYNTYVSQIILTSWTISKSFYAGHHYTPALDFGFMVLNIPP ESKNEESKTKLRRSLGVVKQFISNLSTIINGTMSEILAGPNFSILSENRLTKDLFTNW DYSEDSFSYLSNYFNKNQSMLLENYLTSAALDVSRLIEKLPLTIVDSVILAKDNLDNR YKKDPKELRFTDPNTTQVVIIPPVERKKVAKYKYEEKFRRICVYQAHTEQRSILRSTP SRASMAEYARYHGYSYFLFDGSFYDSIPRSLFTDWSKQGYYMKLFSGLKLLFWDLDKI GNILGKLINNPRNNLISEGFYSNFLESVVPNHFNVDWDKDHDGRIGSTGRINPRGSSG KEGIQIVPSNVKVDICDYIVWFDLDIAITNKFFSIERMLDSNTPDFHNKPPEMFRNIY KDVGELSLFVARDSDWRSRNSLVNSGFLVVSRSRYSLQSLFHTIALNPVGSQEIVHNG RFWPEQSTLTHSIVNIFNYSYSSPNQTYHFQSTPIETLTEIKKTLVGTVPILFTAYSN ETNRYVHSITTSQRVVNSFLHISPSVYGEGPWYPGELFIHAAGQKSPFRDNVLSGMLY TINTIGFTPGEIEYFKNNCYVSLDFVYQGLDRLIEQLTHEFIEFEDAKSNNLDSQLNY INNVDLTFHYIQKLMAVKTRVNTQNISFYLNQTHYEKIHFLRCKYSLPMATKWSVAEA SALGGVSVLGITAIVVILKFAKIYSSKGITLK cubi_00378 MKVFYFIRTLFLILMIGISVKSENFRHFRELQEESSDFTEDSRN SSKSFLSNTFGPYYDHVSSTISDKLSDGAQKIKEKFKSMVCSAGESIKNYITEKANSI Q cubi_00379 MNTNITIESKNIHNSSNKELVSNNVTSNSTSLLILNESGEAIHN EFVFQEIDFLLKEEKNEKSSYLKTCLPCDDSNINSTSLNLPSNYLRNYNYLELENGIK VILINDAADMEVGIGIQYPINLIEGCNELGGVRFISNVLIKGLEDYLREVALIKSYFL HNSKFSINFSTNENLLQVLKYFSEWFVNFDISIDKFIEAYKMTVDQYNARQDAQYTRI VNKLFEIAHPNSYFKSSCSTFYEPILQNEYNEYDFAKFRKKVVNTIRNRVSSNLLSFI ITAPCSLYCSHLTISEALSSMKNMNYSIPDTFDNKKYSIPFSTDNLIGKRLTLPSSLL IKQFFFCFFIEEVLKANFREIEGALTGIFIHSKNSLYSQLKDRGLIKNMNIRLILPEE SPILIFRVEVEDTEKNNPAEILSMIRQAVYTFTESPLLEKYVMNYFKIRYAKFSKVFA TSSTSDVIFKLFNTIGFDPLEDVNNIIKPNALLESVEMVLSYTTFDHFFLIRSNNSIL EEIENKTVEIDNIEIEFWNSINGNLLSLYNPEEPEVNLLLPITSNITDNNINEKEMIS NISKIDFSYTENKTLIDPYNDKVFLNIINGFQRPSRINFFRFNILQENIFSIKSILFK CFIIFAMNIFLKPYKNILAVSSVDLKLDCGLTQQRDLELGYDSISIYMSSHSQVFAQL LNYTSYSFNNATSLNETNFLNILKEYKEYVKNEYTNTSGIFYAKSILNGMINPSFPSY SKYIKIIERVNYNDYIDYCESMISFNNIKGLVSGNISILEGKVLLSNFINSLNLGNIK SNLNDPYSYNLPILMICPQPKMGRHFILKRDQVDTSSMVNLVLISIMIDNNIKNILML NILETSIFKLSELAYLDKVNLSLKWEIKKNYIQLNILSLSPIRYGDNGIEPIIEYSTE VLKRIYNFGNHMELKEKSFLRKSKRIITIKRDSEETRFNQLYYSFMMRRKPYMQPSLL KEFENIRGKDFVEFANNVKNSSTYIIIISSHQQTNKNTENEMIGFTITEGYPNTY cubi_01813 MRRLQSFKTPSRLSEKLKLSSKTNSNNDTKISNGIKFVPNVRAL DSNEAINNDARTDNISLKNINFVRKLEFEKMEQPDNTHKFDASLIPPIHGGEIINKAE KQDLIYDSNKVSVNLTINNTINIYKNLEESEHGRTPEKLEGWSVFQLPKYMPENEKNF EFIKMPIPTLLHDTPSGSIGKLVFKRDGNIDLSLNSSKGKECISFKIDCISRDNSEQF IIAFSKINELINLGKCDSLLIGTPEI cubi_01814 MHCHKPKLKQSNKPFKGKSSIRKKSNQKLKKCNERFISKPLSGK NRENQKNQLRKNKIISNNLRKEEKASQLGIPVLCTIVPFNSYCNSISVLKLLSNYICH NYPGNNFDIEFHFQSNPNGVFTFDIGKYKLSICIAKYNEILETLDLIKCSDFIMALFG YNEEFRAFDEVGYKLLKSIKLQGQTNTIGVFSCNETYYFGSKILDCEKVFRKSFEMEF EKNMKFFSLQKENDIRNLLSSIPIMGYSKLCLRDGRGYLLSESSSIGVCNHSNEIKRQ LIIKGYVRGVGLSINFPVHITNIGDFVIDEVNPIDNPSFNSITVLSSRDFHIKLNNKN SEILNKEIIGVAGIEKSPAIDSSCENSILYGKSMEIDSPNNLIVRDMEHNEYNVDLES DTNITNEALTDGSEDECEILTDEKRVESDTICCTRFKKYRGLESLRTSKWDPFENLPN EYSRIFEIDSFKKTGEISRKIYLDSCNQENLRGKYCEFKLSPVSSEAQKALTDENISS RVIILSSILPFERKVGVMNFRVKRTAENIDLIKNKTPLVLQAGFRRFYICPIISNCPR ISSATMIERSQILKQCKIFLHGDHYVISCYSTIIFPPCPILLFSLKDINPDTRNSITY KNFKPNDLVINDWPLAWGDIIDADPYRVIVKRIVLIGSLFKVRKSKGVVRNMFNNPED VKWFKSVGLRTRSGIRGVIKEPLGMHGYMKCLFSKPIQQNEVVGMPLYKRVFPKWFPI TWFEDNKIRIN cubi_01815 MGSSISKDKELSIPENCLKFIEPFLKNENIRADLSLLNELQKPV INQIEDNSTIDQFVFERISSKLFLNNANSGNFRSLSLFFAEILFEKERELYISIENNK VQLDYQIASINHIIGLGIIIKFIIVHYLKNFGIDQLIKSAEHKQFLNFVNESNNATSE YFKELEKSIIFSEKYNEKQGVINKKLDLILYVCKSSKCIGENEHLLESFENLSVFGQF FSRIPSFLVCSQKYIENTKSLSEICNLLINLQNALMDIMLILFLPKIENNLNLDEGDI YTFITCFQIHSLINSEKNIYCEDDSDYFEDNRYLFLQYLIKKSLDSEQKCQLFEINRK KSILLLATILFNPPELKFNIYAEWIKNFSDPRFIPDSLASSDSNTDSDLDLNQTNFVN FPYFEQAYRSVIFDGTLVKHHLSVFLLYSLVHSNSYFISYCLSRADPDALILALLEPV YIISKNMDETNLRKIVALLSIILRLTNDPNLCKALHYTKIENLPFWLEEDSLKKLIYK CNSNQEENEFEPSEKHSITIGNILLIVLLRTMFYNYKSSKDIYLCNIIYSIIENVSIY MKNFHWHVAEKLTHYIIFLNSQVYCSLNTYNGDQSNFRFFNRFYCGILMHKALLKLII ESLKDENLLSNSELIYIIIKFSLIAEIQKLNFFLKKCIYNYRIIDSKLTVAGKRSNPF PSVTEILNSIVEFYEHIKTITVSFENELINIENISTTENIYTIFQTIQNIILSKRQPN KIFYTSKHAIFTRNDYSEFRFYFKTIYFEYWGNSLN cubi_01816 MNQEIIKPILRQSPLLLTFITIISINCFSIFNFLTYLRIEQSNI IYYLGSIALAWYTILSLLIIYSLAFLAIVDPGSLESLQCDTNVPNWFKSTIRHCNKCT EKKWKPPRAHHCTTCNICIFKMDHHCIIVNNCIGYSNQKVYILFLFYLVCSTSLIVIL SSFLLYKLITFSLESGINQMKHQLVISLIINIIILLTAMIFLLDQIDYIFSNSTLVEL ISNKCGKKIDLVNNFKMIFGENKYLWLLPSRNVMKPNFNEELYEIIDYPNYRHFSETR LADNNEQLKSFSEFSIINKKILKIE cubi_01817 MVEQVDQELIYTKFVKYLLSSKTGVRTKGAIEVGRRAVDYFRGI EFIRWMRKNADNIKENYPEIAKNVSFESINDINQVGAELIKRSFIVRAEYKPVGTNTD DLKTPKWPKRLCVTSNQNFDEHSFYIITFDSNKTISNILMTSILVSVVALFMFPAWPL AIKISVWYISVVFLSVMLIVFFGRLIVFAFLWFFGFDFWILPNLFDEDTGVIDSFKPL YSIIRRGDDWFMLSIRAFCAIFLAGATYQLSKTHTASDVGQFARQSFLDVLDWGHRKI SPPEDLSPTLHNSNVGINESTGTRNVSNEEYNCIKRCLRFESKDAVLDECATDCNCLS EVLSLPCLKSRSICPPEFEEELSNAMIKNCIDNQEIKGS cubi_01818 MNTIHPNTSSLCNDIGDDEQNISEFPYMGEPLRLDDSALKNNHS SNILNQFFGSFSEEESDSENMLSLEFSVSNYTNNRKRKRDSNSRKKPKSRQNVSIEIE KLLQKANDAYLEKKFILAIEILEEIVVKAPGLHDPFHMLGLIYEQELDDKEKAIGFYL VAAHLVSTDFFLWRRIGQMSAEIQDWGRAIYCYSKCIKNIEYSAKLGGQESAAQLEDE IRFELSSAYYSVNDINRCIQQLKILFWRHPGDPLLGKELARCYHKIGKLNLAAETLES CIDYCDDINIVNMLCEVYIDLKLYQKCVDLIHSYFANTDIKFNSFNSNTKKTNLSISN EMDINQFLKKIPIDIATKYAVANVNFGNYIPALQVSNIINEYKNFEDFVDLHLTLGDA YFQIGKYDNANVHFVAVSNSKSFESNIPFNIKYAYSLHKLNLNEDAASVLKKILETNK NVSADFNISRAKTLLASIYSKMGYDNLSEELIYTMKYDEIIQSKDISIPIPQEMRITI VLDLFSDMKKGILKNVGKVDNKPYLESAITVNTHFESKNTFFQTYADRFANIINEFLI DIKRINQLIYSRKYNENNKRNTSEYIEKNTPNSTSNSKKPNDTSALNTNKKLLRANTQ LAKVRSELGLITLEDILTSENELWEFLSLGAIFLKCSKKNKIAVELFEKLLNNLKLIC PEMSGDSLTKGKHSLQKLLLSLSFEGGIWRVLLGLLREEFNKKTNKKSICKVLGSLIL MPHLFASKLNVLNNHFVLEKETISETRSWIQRQISNSKNNLELQIITAHLYVLSNRLN QASSEYLKIHRLIPFDDLISLCLGVSFIGVAVSKESKNRIFSIIKGFSFITRYTKGRQ SIYVNEEIYKAECFYNLARAFHQINLKSNAINCYIKCINSLNSITDIQSYDFNKLKKM ACYNLSLLSKVDIFGGIIW cubi_01819 MQISCKDYKSKFHSNNTNSIFSNFLGINVESLIRTGSKLDFFVF TQNSNQKENDLKRYNPNFNKLSYIKESEFAQSYKNGLNNIKLLFTYQDFDLLEFTQIH ENQHLKIRKRCECPVRSINTPKNDILPLFDLLINNINQILLKNVNFQDQKELSNSSFN ENPSISNDTDIIDRYIIHDINNFFGSEKQTQLFLEKKDYKCSVFPVKLLNNYYKVCSK SLIELAIQLIRIISITILRNKYKLFNIYPELIIILSSLIFICLDIIFYLFNWKSAPKI GMAIDIIHFVFIFLSFCHITNLNTEIFDICGCLLYYSYIYRIIFILQCKFISKYNKFQ VTEIIRTQLKRIFFSFGNDMNLMISSSKFEDICGELGIDNFFKSNLINRSLETYLFQN LDWISGELFKFKYINPISYTRESYFKINLNVDRHILLINRQYYLCIKQVCTKLCLFYK IQIYSLFRYFYINLKFNYFILLKDLPRKIIRFKYPDKKNNSTTYQEYILKKRNLTYEE FETLLISLDSKRRLLNKIETNTGLFDIINGSCLLQQRKIIFEQSFYNITLFILLAISI TFIAETVINYFGDNLSKIKNFVSIIQAYLYLLIVITSSIFHYKLDKMYYNSSLKSYNR IISIYNLIYGNSQLIEKDHLHNNFSRNDYLAEFLSYHNAELLEKYVNSFSKYLPKGIA ISLLKKYEFSALNPQYKEITILFSDIVGFTNIAEKVSPFLLFHLLTNYFDEMVNIIEE FNGNLLEIAGDAILAIWNSPVAVENHSVAAISASLKMKKQLKLKFKSFENNYFPEINI KCGIHTDHVLIGNIGCNKRMKYGIMGDGVNLASRIESLTKRYSVDIIISNNVFANKKV QKKFIICPLDIVIVQGKSNPTVIYHVLNTIYDSDLVSLLKSKFHTKALIFFINKNFKK SLLYIEKINKLGPFKIDPSTINLSNKCKHFQDKKLDINWSCAEVLNTKYFNE cubi_01820 MLKNILSAIYFLLFIAFISNFVFCEHLGLNNTSIFASSQNESDA IQIFEKLTRNIIADDLTTSISRGWWTLSKKLIIKTHEQGIDLSPVVRSAELSIRRELN ELTRLLNKKHSEVATLSPAFQWAQSSDLVYLNIKFTYRWNAPGALKIDNEIVSIHDNV FFFSAMGSHSHEKKKYVLNLELFDEVDSDLSECSFGSVGKLSCTLFKKKSNAIWPRLL KDQKLKIPNMHIWWEMREKHENLKANSSIPDGNPPSSVSNETKGLKYNSNSTINEEL cubi_01821 MNKVLVVDNGSYTIKVGYSGEELPRKDKIYKSDQIFGLNEYFSY SPFSEGLLLDISMESDIWEYIFNKMNISPEELGILVTEPFLNPTSLQHSLFEVIFEQF GFNSAIVTNSPAISQFAFSPQLIPKIDQRIINPCYLILDCGYQCCFSVPLFQGSPIYK ACRRLDIGGYHLDLALKNFLSFRQVDLSRNSLIVSKIKESCCYISKNFDSDINRPSQN KSDNLIEQIYQLPELKSNSKNSNYYFQECISPENTELLKLNDNLKLKLESEPNANYDS NGVIKLYSERIAVPELLFNPSNGGYNFAGIAEMVSESILSSPKHLQELLANNILIIGG STKFRNFEARLNKELSSLLPSVWEIKTRCNQRPDFTTWMGGSIWGETNFSTFALSKSK YNEI cubi_01822 MPEAKKRGRKPILRKMEEDNSEPQTCQAEEGNNQTQYELEQTEP AQEVSNTESDEKNQTVPQIERSNDPSCTLYVCRLSLKTKEDDLRRLFEDYGEVTDCHL VTNPLSGESRCFGFVTMGNEEEAARAKNALDGKEYQDATLKVETARRAKPYDPTPGEY KGPQYRSIKYNNSRTGYPRSSHRGNSFRPSTRSRYDYPPPSHSRGDQYNRHSDDYYNR YYDNRYPRPDDYHSSRYHSPPRYSRADPYDSRYSRR cubi_01823 MNKKVEIISQTPHGIISCEKDPDIYNVCSFSSEHRIVDMLLFEC TCPSKDKAFCSHIRAVSKKFQTRYNREIFNIYSILNDINIKNSFASCYDKAENHFNLF LKSINKLFHFNNFLENQDLFSLEAKQRYKRVLYAILKALSSISWRNHLIKTKDSNFIN SINYANNARNSIKVDLLLNSINFSLSNIKREDFPTVIEFLKAIESNSLQEIGIFNTTS IFNILASILNEKKISNANKEILISGILELFCSNNFYLQFQEKKIEKKYNGFDCKFLNT IIKTIKRYVNYIDTKLTRESVNFILMEEFGLDLDFLGISERDIIREISLLKNNAMQNT RVVDLLSLKIHQIDLFISNSKDPLNNNFFTNYNLINVIHSAPILIDLYDYLFWYSASN HIKFGELTEFLENNIDNELLGHFYFVKFSKSGNRHKLINSMLTNNQICIYKVSKPNNE KYSATHVYYSFMIDSINQCDGIKTLNYFLGGCIQENGIDYFVKLFPKEILMNDISSRI HKSFNLIDFFSCILKLCPPILYVDLWDYILITILSALKVEKDQFIMSLYRFFVNNSNI FELNKLIHIIPLQMKSDFYFEAYNSSLCLPELSGNEDDGLKENNESKQEELFLKNEFS SEDLICNYCLSLKPKNLIDCESFIRSIQRQRFGISDNIQDNDYNRIIENYTRIINQSC KNLSIKLYTKTDHFIFELIQNADDNHYCSCIGRIPSIIFAFHKNGVLVINNEVGFTEK DVSSICDIGNSSKNQNEKTIGCFGIGFKSVFSITNTPFIFSNGYCFMFNLNSEHGSYI FPEWVDDELCNLIPLHKFHNEYDKEISTYRTKFWFPFKKNIDFEDVKLNENIILFTNK IKRIKLITNNRVSLITRNDQLISSDVVLVNILNEIIYDECPSKKRKLSFNKNRTKSFL IVNHNFKVPKNISNLANTKKSNTISIGIEINNELDSFDGECDCGNKEIFSFLPIRSYG LKFIIQADFDLTSSRESISVDSNWNIYIRENIPNAFIHMLSKLREISGFHLLKKSFLS ILPTRTDNIDEFFLPIISKINQVLIYEKCIYTYERTFTQPSNSVYINKNSKVNEILIH IFPNTNEFSYLLNKYSNKFLICNIFTSNTENVLFEDLRISEFNINMLIDLISGIILDY SYFGRSYEWYFSLFLLIEHLMNTSDDINSNLRKLQRLPLFLTEEGRYIESINIDSSNK QLFLMDENLPSISKLGIHFIKKEFIFQLKEFYKDELINYNKVISFIQSLGPYFLKNDE YYEIISKSLTYNNLSIDDHILFTYLLAKSEYISNNNEQIFAINSSDEMLPLTARNFHL FNKSEKYSIIESAYKMIDNQSRNLINDLSYNTFSKKYLHHADESFWNSFFRKFGITIL PFYFEKIQFNNLIDYKEFLKSVSKLDNNKLIDQHIESIYNIKNSNQIMITDFYCHGIE SLAIIMSDTLTKHTGNKYFEELLTTISNQIIHCICDFWEEIQIYWSITIHEIIKKPSF VHFQFSSYPIFISKYLFNNNFIFQQLCPPKSLTLYVKTQHHEVISKFVNFLILDVPEK SSLITLSDAFSSRIEINLDYLCLLVEALNNPKDKISLYNKNQIDPNSYILLLELIIKE SRSNFSISSINLLKKNLMVPLQDESKDFVWKSIQDLYWNDENILPKNYSLLYQFSVIH KLSISINEIMSFFLILGVPIKPEKKHLITHLLEIYKIERIEVDSKILLTYVGIITQLY KIDRTILNDLLKLPVVKKNYCRWLDMNLINDCHLIFSDSALFHYFIYKKLFSIKKVIW SPMLFTFIQSNLSSENQDLDALIYNWNIFCSQLSPNLENNNYFNIPSENHCNLDSSFY YEIIVNLFGPLYEESIKNQIKIRKNWSQLISKSNIIILSSDISIRCLEKTLCIPGIFD IKTNKLYVKHQNNLGNDDIEEILLSILTFISSFFPKNYPNIKSLMVTKLIFKDAIKEF ESSKNNMAWERFIINWIQKIETEIFGFKIDLKYFLFSDYVDCSAFQLSKNAQINEGLQ IEYNTNCPKKVILDIGKMGEELAFEYLKENFNKETGVSEFNIIWVNENVESGLPYDIV LVFIDEKSETKEEIFVEVKSSSKKEKNFFFISFNEWKFAEKLQNNYWLLHVLGVNCNS SKLSLNDLEYKIIRNPYESWRNGNLKMILSES cubi_01824 MRAYSIFVCNVDNDNSVEILSSCFSLSRFSYFERNYIKEIFKFI VRSITPKLKPGIQEIITHDEFTVFSYKWNDGLSIFMICDSDFPTRIAFSSIFEAYIHI RDQNQQEIFSTEENKKMYKAINTILNKYKPLISDAIIESQIKIDKAREAINVSLKSFL DRGENLDELIQKSNDLSESSKKLFKMSKKTKRPCCSLQ cubi_01825 MFFKEKSVKETPTAPASPSILPLSDANLPETVIKEINRILYFGF GSFLTVSIISLAIIQANSNAVCDRNLDEMIGLSSIFSLSMSLMCSLMLLLPDQRNTLV KAFKYNEFPSMKKISVWKWTSIISFILVPILVIIHDVGGFISISESNSCKYTAPGLYI GASIVMSFQLLIILLLTMALFFSNLMDLIYSLPSINIDKIHEFTDIMVGELVKYTKSL FNLVMNKTSDYFGKCCFSSSIAELYSKFVSNCKKLKFSFSEMITKLADVSKNITSKFR ALFENVGKLSKQAVKKINFCGEELCVFGRKIIMKAKSAILTVKEKSIGML cubi_01826 MANALLSIEELTRFRTKLCRRSLKDGCDFGPLRCQYSHNVYWPR RCPFYLSDRSALRYLPDICPDITILDQETGKVANFCNRGGYCPYSHSIEEVIYHPLIY KSELCVAFQKGECKTYYCHLIHGLAERRQEKSYTLPFTRGINLNKYPNVTLVDKTSSE NENVTNSSLFSKNKNTEIMSLDVTESKDRNTEIIQIKNLECFHDSENTKNFSIQPQLK NKFSVRNDFQTNKLNLCWQELINLSCFSNNFDIEPGTELKHLLNKWRKVAECPELYIN QVNLVRLEYWQYISTCIHEISSIINELGKFYCNKAINHRENLHNLDKHHIDNTELKEC IDFSSVFTEMNSDLF cubi_01827 MVFFSKSHLYPFHWEHVINAFWNKYPNDLQFHVRRVDIINFDFD EKNKILYTKRLFSLKYNSPKLLERIIGSNLTGIATEETMCNFNKRKLIANGSNYSFNN IFSIRETCTFTPSNENSESTLYTQDITFKLFQRKNKFKWINKLFENAVIQSFNEKSLS GIKAMYIQIDKIKSLLNHENKVKKSIYESPSFFIPNCLYKKI cubi_01828 MMKRKFPHNNFQTGQIDSTIKNNNTGKARSNYSNAIGNANSYQN SLKIERNKNAQCYLNVPTIPNNLNNKNTKKIEHINKKPPSNLYQKMQNDDQSKRHIDT KREKSEYEYSSTKMNIQQNQDSSTKKKSNSNQMESYETKKMVESKKSCIEATRNIFSV EESISTGIKMQLIYSLFGKSEFQSLTQLIFNLLNGKSVGPANKTDKSKCILFLDYLIL RTKKLSYIIDSCIQDKSLLLRLLEKDRQEFELSVRNVKHIIYENIVESKNIQSFWHKC DILSEEINSIKNKRDIQNEIESEYESYNVIKAVTREKKINFNQKKKSIRDICLILSKL ISK cubi_01829 MVSKQFKKLLEKKELKLSDGDISSHSFDEFANSNYGNSFDALAS HDDEFLSNDDYNLSQNNLRQEKKKSFEKKKRKSVNKKEKKYLNELHEVSIENKDFKCC LIMNKKYFNCENEICSIFGNETLKFEKKNNSRKLSSKNSYLNMRHYFISCDSQWPHLN REESGLIMKYIEEKNEFSISFDIEYIKKKELLDVLIDSMLVDEIYMFMERNPFFFNGL LRLAEISILRDEHEVAFKNLQKALYVFESSLHPLFSPFKYKNGLPNTAIKSDDIESRD IFILLGHYMNSLGNRGLFRTALEYCLLLISMDIVHDRFHSLLHLDYYAILSSELEIFF EINNKFLSQFHKHCTWDEKNIVHTDGELNIVVKRFSESTPLYYILPNFAFGIPLALYI KYTKSCSLNKEQLDIFYREINNITIDQITNTNFNTNHFQKCSIYLIQSMLVFPEFVDL LKVHLETSQIKSGFSSWYEIRNIFNNLTGDINNVNSEKTENNLYPYLLVGKLLVEANV EKCKQIWKKPENLYWVNACCERIYKITESIENRNLIKLFVIRRRNILMECKFNVFRYL DVKKSEFSNNPTLPSFFRDEYRSKRENLSEDSSATASNISLNSDPISLYFLSLLPWYT IDYNGNYTLSINLREVAFDCLNALKNYLTTHF cubi_01830 MRLIFDLVSLILLLLIEIEYKHLVVMAKDYSDKLAKRFFVNQDK PQWQRTQAPREEIAIICHILSLGPIECIKYNEREYDGANPGHEEYMGFFIQYGMFGAV ALAQIKTSSRSKVINSELEELSGVEKNKITEIVNMFQIPKKVNNLQIIEGCEFDINAL MFKLQAKPINTNNNFEYSSPKEVALKISFHSSYTRELYYTSLLSKQNFPLIPVKTYGH GKLRITPRTYCLQIEKNIALNRILITEKINGVTMQVLVRWLTSIDYLNWISSAKTIKE LQRRLDNRAEIIWILIHSLFSTLTSLYIHSDFGYIVHCDLNTGNIQIANTNFNLKGIN YQENVDSLLKITPINIKILDFGTTKSTLEVSVRSLKCNTPNDIWRIKLIISRLFKIDN TTHPNLFGWTIDFPKSSSDLNYIKRNRILNHIKSLDLFREELLSNNDFKNAMDLNSTF ESIIDGLDSICDITVNHISKFKENSTNIVNSERFYASCSPLDPTNARGMPIRLFKPEI LGQLQIPLFPDKSATIKRTIKEERKKALVQKSIKNNENNNKVKESQNNLEKLNQEILD TNLKEKNVLQGGVSPGGIHGIGVGSTSNSNIPIKDIQYTKKKATRPDTNIVASSIGVL IEGNTDGNNQFEALMFNQNFKLEQEKISKISSLKQVCNYVEQTKSRDSRKLLNKLKLK NSVLRDITIDIDHFVKKADKILQEITDDIKKKPQKSVYDQEKLKIFEINRSKLRYVAK SCPVTISKIDEENSEAAEKVNIGIVAFENERQRILKRCHDLRNFTPRNENDTVKAQLK TKEIIERGKTLLQYRFHINDAMKCAFDFLFKGVRRVQRLVELSQESMKGDLLLEENLE LLLDKITEYNV cubi_01831 MKIKFFILLFCCVFVSIYSGEEETFDNTEAQWRFILSAIQSHPN IKCKNLPHSVPGGFYSHGETKFVTEANEKIKIMKENCIGALTSLLEVHLPRSKRKYLF KFSDIKNTDGIIGLFCEDTAIKFFNISKYIGSINTESLVETESTLLRENQDEISSSTD SFNNYENEIDRIPLSKKNISDLGKNNKEKKYNVNQFDFSKTSSELTSEGLGYNSADYE QLETDNTPISKYKAPKILTTILKSVLDENKEDLPALEIAISQLPKQIQNRVLSFFRSR NVDGIVEILNKLPKQILESFINSIELEKKQLKFQLGTSLEQSEYSVPLLEDEWKAIID FMNDQSSPVQCEKKINPYKIKIPKEFKYSNGVLKKFIQYCRRGIRSLAYEKYTSDDGI TYYSYNENNSNGILIAGNDSDHRKEQVEAFCYMVFERLYGKKEKEMMNKRHMKTLEIK KSWEDGGVKGRVIDSLLKGFSDEINDERLQWLFLVESASVPNPLVYSTDLPKHTIPIS FTSKPTDATIEITGKIRSFVYNCRNALMTLGVEKFPGTKSPLNKVQLGADKVQGLIKF CKSVAHRYMGRLVQWFNIIQASLDDDVIRLIDLKNPEFRCPDYFEYEDDSKLADNCSK TILMMINENKKAKEDGKAKVWEGLKVNCQPNHVNQHIRSFCNSIAYERPDIRIASINE FSEPGVSNEFSSVEEKQESFNKEQLAIEIVEERLKAIESKQIDEQTNSAYKLDKWNQL QELMVENRKVREKLDKYSRKQFQKLFEIEDAQKELLLSLLSENIISEKKKENIRDFID KYSSDLLSMRTEWADLLFQISNNNRKLTEIEIEIINFVHQALDYIAISHRIELINSPT MANMVNWEETLIEKERVYVSSKMLEYSNRLDSDQKIQKLKDTHFKRRQLFEKNLYRIL PRKLWNRVNSLAGKGLYPLPTKMSEKELKERKSLIVKLHEEKNNMVAKYDSEGQKLAR QLIVIEKVVYKHLADLNEMWDTTYSIEYSIQWELRIKDMQDTYLYLNNEYNKNAEFVT RQQLRQSQLQIGNPQYQQLLSEINQTIEIATDEMKKLLKLMNELRQKRNELDTLVESD LSKNYAEKINTLRRNSKLLEDNISNDINILEKKNEISQLQLNRDIKYFEITNIKSAFS ERLKKSEGLIEANMWLNAKNDQLISSYESKLNDDLSSLAYSFEKSDKYETYFTMEEIP KEALSELNKYKEQLKISLFNVRFYNDNINDNDMQHIRQFELLDANTLAYKESFESEGE IDDGTLYGELSTLEWNIIQSRWELLKMKHFYIEKKEKILLECAEMRNSLDDLMLRKFG LIPITKPKNVPKKAKILVMKGIGINLGDEGVVSDETKHLSYDDKVLRLVQKRLEDANS VFEQELSNRLSILDEKIREGRIRLHEAAREVVSNWELQQSTASFRFASPQNKDRMRET FVKERDKVTRDEIKKTIPLKLEKHILLQQQKDRALQFKRDIDWIHTPGRVASQLMKFE QKIRIFWRKRHDHYERTLKFLTYQAEQVDKIKQIDEELNSEEENGSKSTRATAQTMNN IQDLRREREKLEADLAQKRREYQMQLSSFQNERDALYDVSKNLTDDENS cubi_01832 MEFSRFVLFCYILGIKQALGVNDLSSFIDSSSTIDNSIFNEYEQ SKNEYQKSVLSSEVNNNLGRGFPSSTRDNSNESELKDGFVEFSSISSSYHPNSEFSEN LRLFSNLLISPIMDKSTAILGELSFKYSLDGIRSELINAENYYSPTKLFNKLFGPRGE KNNTRLLRYGFLHALINEINNHFLKEIDFKYKLHRELLTDTLKYEECIGLFNDANKQN KALLTMINKRIFEIICDQVANNNSFIKMQSWDNHISKIINHIQKSIHSISENFNVKPK AMKINDFPEVPEIKVSGTDIKLRYLNIVKSIDAIKKKEHVLFNSLIFTKKMAVRISTQ YLQNGNELVQRCIEIIKFENPALMREKYQREKLEQICKISMGS cubi_01833 MKHSGILFLLLNTCMQLLLSIYIGESFKVLPTNITDERDGSKIG PTLLILYDVDNVILKHVGPGKTYINPEIVPFLASMYNLRNSKDPRILLPRIFSHGCGT VSKLSESKLIKPRILDSNQSLDNDDSHHFSIKINDVGDAYLLNEVYYLKKDGQSEYIH HPIDIFKIFFADENELEANIPSIIPFNRVLERKSIYFDGPISGLNSQETIFYKKYIQK AKRTMGGQPFLIDSITNGMDEIQGKAFLKKLFTKISSLPKVWPFDLRSEELPFIKDLV KYRGYVNGKIQFGTTILLIDDLVAHFNFGCVHEHFSEEYRVFIIVVKKFIPNSDVENL TREFQKSIPVPIGTTPYSPFDIREVNAKMNKLLQKLPEETHPDIFYREIRNEFIYDSP TYPKCGYDISRPFPFYFLVVIECDILYNLIKLFIDDRMAEEVTFLLNTSSYIAVISGR KSCEYAFSMVKHLIASGFYQWSGKILPLATIKGRNTDDLDDYSPSFSQHSKKNVKNPG QNLNSQDRHIFMSKLYSRMPRSRTMVLRLDYHGDPGRIQYSDYTCFLNAPPNEDVPAN FPSKSTKYRQRLNLQSDSRISKFFGVAPSGEMKTVTILQKLTVVLNKVEGVLRSTAKA SRNTLSPKDEHLATHTQSEEEHTNHMDEYVGIEEEHIVAQSTTSKANTGQKQTASAPP TTYPQVSYSFLSIFYTIVEILKEEFPKEYIFCIGSTN cubi_01834 MQKSLLFFTLIYLFCSIVLGELASEDVPVVTNTTETITVEETKE NIVTNDEEQGVTNATKSEVCPQSTSPFSERTLVLLKPEVTHRALIGEVISQIERKGFK IVAMKFLVASAQQIEAHYSDHAGKPFFESLVARTTNQPIVAMVLEGLSAISEFRRLMG STDPKKSDIGTLRAQFGMQTERNLIHASDSLENANLEIFLWFSPDEIYTYERAVDKFV YFG cubi_01835 MHSLTITVLICLLILCFDSALILCNHSFESVPRFQLEDLQLDPE NLSENFIRTQSGWSYGGEKLKDEQINVLPGYMKEITGAASSCPASRSCNVNRSDVDGL AERVFILQSEIPELSVDLSWHKVCAFAISIIDTKSSFMKHCKSSGISFLLSRPVTKLL EQSIIRLCRNMPQHSTCNGVDWTLDSLTEELLTAAMPHVLPAAKKSLTFTHFCFVSSR SIRARTREEYLEVCQDSILLLLNLHEMSGSQVTPSVAEQICKSTWIYNTECNGFSNTK LITEAAFEIFVLVRKEGIPNVDMNNICQFLTKISPTLRIPSNTKTPISTRKRYLYECA MYLTPMLEYEKFTHNSENRYGLMEKFYSRGEIVQHFHDIATSICTSVSFYQKKYRRRI FIPGFKKKKVIEKKQGESILELFMNLMHSVKATSRRILGWTIELPFPGSDESQAMLML GYSLNTVDVRSIQNPQYIRMLAILHSKKYILPKTAYNIWKDSVLSIGIEILPPFTPSL TPGIIARSEKDIIDLKLNCGTNNEDIDALALELLSVSHENNLTDFKFSQFCKPSEALI GVRNEDFYSSCIEALKYIPEIDAQTGRTTGKYLTIPFSKISKICKDTPRWESTSSGLS YASLIIQDNLKKKDELNKALKPYSKPNMITDRDIYSYVIKTAARSALERSAASDQICK QFKPIYSEEDVNYQYDSQLDLDNVQHVANVLFKKAVSLLDEDKQLAFSLSGNAVKGSN PITFESFCSLVLALSKVEKKYFNSECARNLRLMFRQKVVINGHVKLATIKTRTITKIC MSSPQFEKCGKYQNNEIDEISYELLLGAQKLRFTSITYRHLCSVVKNIRRGEIKGLIP KGQQNNANISSFFNSDCIYGLRTLSIGARHAEIICSATRFWVMCGNYLDSEVDALASQ LYSEVVQNTGLARFITVSLFELVDFCPIAEALIHEIDMRFFNRECVNALAAMSISKEY GKSICQSSRHWQGTCIGTIDEHSSIIEQIDPIAGALYKSSQDSGYLDLLFVDFCDPAK EIFSIKEVTETGRVLEGHETSIFQIDCPKIVSNMLHSLSEENLIGVMQFKINSRSIRS ISSENFEKAEKICQKFFNYYDPNYGASQVEEYEETDFRRNLIEKTKMRSSAENDGSEG VFLQGKSYNQRMAGNSYYSNHYRYNWANLLGQKFFVPDNKIANEFRGGNFDGVSRTKE YKNLQYLPYGMSPKADGYYTEYQAKNED cubi_01836 MLENILNELHGLGYSQIKQDSLLNPVMDINNGDFDHFNSFCVNS LLNYYRNSPNEIWVNEQVGQVSIDKMIQNFILVCRELPEWRNVCQDQASIDSLIATDI HLAVLLTMNENSELSNIPIDAFCGLNLNLGNKDYSSYNHLCVDKLASNQINFDNKIVF SIRDALTICSITTFWSKICVKSDSIDYSDIPDLHLLASSLFFEISKHDYLSNSSSFEG ISPIDFCGISEKMISKGDLTNYFNVICVDELSKPSKLTLSGKSWLPSTKNVIEICRNN PLWISCTYNSDDKNFDNIHSFSDNKELNYISINDKISLLATTLLQQVHQLPTYMFSSL YYQFAEIDDLCHIAGIIQKDISGIQNEELSTKSQINFGQFFNSICWRILSTYPITFKT TIGIISQPIKTLEAVSVCSSSVLFWVSGCTAENDEDFIYLPQISILSQELLISSKKYY NLPTNTFCIAAKNIISNLNKLNNGLGSSANRLEDYSISWVLNNIDKIDSYARFNGITS GYFNRECVRELIGVNESNSEYIPINFAIFICSSSLRWEKCTVNGNMKSKFLTEFVASE FYYGLLAEMGISIRFKWNDICLISESTIPEEYDNIDSIPIIPYFNHFCSLSFIKNLKL IRKNINISFSENKPYNFTNFIKVCVHNYFWRVPCGEIVELDLLANSLLFGSHQFASMR NISESQFCKAAKNLLDVDPKNFGSECMRELMKIGTQFTSEIAEGTCAVTHRSLVCHGY PEEYSHIASTLFSTMYQYFPISEISSFIEFCEIVHSIFTDDEINDSPYYFNAICAKHI HNSNLKASFLTISILCSHISPNTFSNEDYTESLLVSELYKKSKSINSLKKWELHYFHP LAKRILESIQKDPKDISNFNYECVAITRSVISTDSFILKLDDAISLCSGSIAFKIYCE NQNLSIQHLVSEIIQGLTITYELKIIEESEIESICKGAKLIYDGSIEKQPVSRNLPGI ATKYPYFLNSCVEVLISGIILPTKFIEISTDNAISICTQSLRSSVPCKNEKAIVSAIS VGLYSEMQYFPEMAKLKVTDLCNVASKLTEINSEDYLEVCSKYLSNFKLYIPTMEDLN IKLTEIEKQRICTRPFNWHKCDIEENLLRGFHSIRIEKLASKLRKIFLLNNINIWKFG RYCIFAEKLLNGNGENDFMKCKYLLKEFEYLEMIKQNSKTIENPLFLFYELEDKTINK ICNLLSSNSNCIHSRNGLSGLLASNFFSISTKYLDLNTIKNSDFCVISELLLQRGSLR EIKESCPYLLLQITNLEHWPQILLTPELTQTICDNLSITNLNCIETNNNLGITDEISS AINNIAIELFFIRKKYVPTFNPESSCAMAESLIQYGIKNEYFNNLCINLISYDIWNFS ENFDSRYSHYWNISKEDPVTFISFIKSEASRFCRELEGKLSEMYLKSHNFIVKRKKSD YKLDNRIYYQKVLRRTFNPLNLCTSETDLPNKLTKKEERIQLKKKLRIISKARGYVAL NDEAIKEYSPEMVDYKLVKKYYADFPRDIYNYSENSGFVFKMPEFNWNLFSEKLVSVS KKVLGIPIKISEPKYGGLGGLIQKWGYSPQGTVQGAQYIYRVSRYINKYMISPKKAYL VWKETLIAMNYAIIPKWRDSWQDEIRKPSPLDEQPSPSCQGVKSSKVLKQLGMDKKNI SMVALANIDSFVTQMTEAAHDLKLSNVKFNDLCLVGIILFHIKSYSRTESFNYQCTKW VKEIGYVEEYAENEQKLSKKIVNRLKNATRKQIPAGLARKICASTNRWMSCNGGSDIE EKLNIDNLATELVRLSRIAGLEFRDMCEVATQIANAQDFNQKCPVILQQIIGNYNRSL QVCRSTSSWKSCKYSKVVLDPKLREHLDILTTELTTGLNEIFPNIFTFEEICYLSDKF VASEYFKTDCIEYLFDFLVSQRFNKPTLNIYGDTKPSTSFKHIVSAALTICYDTLRWQ QATCILEGEDHYSLYEKQWVDLVSEEILKEMVKLNKFDSQISIFFKNAERDKLVERFN RENNNKFKISLPPTVKYHDICNHVTKISKTRYFNINCVQVVTEIFQTQLTKIKLDNES TNYFEKMNIYGGIIKAPDLSIIEGICKGSIFWETCSNKHLKPLFRFDIENEKKENVDI VVNDIMISILQLNENGFENFSDFKGDELNHLDAIHKLASKTVSSLSYLDFCEIGIWYS KEISNIEKKEYSELQNLLSTKILVLILENMYPHRKLERDQKIEESENEKLGTLDQYIE NSILKLPRTSPKLDKPPNFMLRNITSIGATLINNSNNYSSNIFRVDLSDYQTFENFYV KRQISNIDRDKNGKSLYVNPIVGLRLFKYQLPNGSLNYSKLVDLKKDGIETNSKIDRF EAGKILNLMKRNPNNFHFSILPHATKEIINKQKPNFSEKKIKKEWYKNIKKEYYYKKG REIAKKEWESRRLALSNNNGTGIIEWETERRKVPNFVHALFSPELMKQRKEAKLFNKG LYKARVHQFLLEKFLNDKELHREKYEKKGTIILKPKFKRIIRTEDEEMFSLEKIYSEQ EINVVTNIAALIGYNQIAIDLL cubi_01837 MVSIVSTAQASLLNEDAYDKKLRTNTRNCGVWGTAFCCLNLKGS VIVIAIIYGMMHIFSNIGILLLGRISHISGSNYGEKLPLVKNLLLFLINTFGNQLFGN INNSTLTSQIVVETKLLKTLLKFLEVSCYTNGIIFVFGMLTGILSWFWLTAILLIALW IESIKSISSIIYITYLLIFILKNWFLAAVSIFISVVLLLITYFFSYYSIQIVYSLYLV QLAGGRGNEYVAYYKLRRLFQNSGINKFSNSVTEKSLLRSMDESSSLIRANQNPHLNV cubi_01838 MPFKCCMGNNLQDNSNEEHKDSNYIKKIPKPIDLNEGYNSQLEL LIFNNRQNNNRIDRGYHFINKATNKSKYDSAKYFSINPITFVETKVSNVVNTPGGPAI AEASSNIVFSGCNSPINLVTSIEATPNAKNSSYIEPFLPTTNKINQTDSNYSIAEKKK LEDKNFVEKSSEINNYSEICKASDQKKGHINVEEIKFPLITKSDRAQKEFGLENTYPE EMNYSTKNEDEYECENGQIQKCIVNTGNLSDEYKYDNDTIKKNDKNEEITDIGIPDIK NDIDELILLSNKSKNKDNHLEKKKKKFKKTIHIEISFSPKNNIFVDAQHNQNKIKTQL EPHNKIKLGLNDHNGQGPTQSKFFFGSNNKKSTSIDSNDSNSNRFDESPFEDNQKVGN DEPINKTKSCETYESEFKQMSEEPVHIMNNNSTKEEISNIRENCVSSNLPLSDIIANT QNQAVIDYNSSFEKHSSLDIKSFEKLIPFIDESETKINHLTNIEKNEKSKCFAKEKSF DSLTEIKQPKNKVIEFSRETLEKLNGSLPIVESDPQMAQLFASAQIRIEDINNQNKSF EDINSPEECDPIDSIVKFLDNPSKYKDSISWATIKAIKEVYEPQVEYAKKELERWMNS ENKSDESEENIEYYNNLISELQEKVVLAEKARELLLTQYKNDDIQFMIQNEKISLEEI KKKISKESNELKFLEERYLNGSLNLKEEVRTSRIKIQNLENELKSKLAEIHKLDELLK IHEDC cubi_01839 MNLLIFIIFLLFPISNSIRPENEGFNNKIIFENKRILVIEIAGK INKLEEGLKRNSLNNTLNSINNKVSEELTKGLLGYVSKHTLANFKDPTNMGENVSELR NSSDLEIDSKNNTEHKGADEVQINGLNSKLDNISNSIAITRFQT cubi_01840 MKLLLPLIFLIFFVSKSTTTIPISNEIVLAINDKVKSKYPNLQV PPDWQNRVFIKYYPEESSIKVHCPIGSDAPFGRTLIRNLFRIYKHNFPTDSHIKKMKL SFQSPSGEFITAEKLSAKGYLFTLNELVEDLIEEDNRSEASSTISSILPTKKLSLSSL SSLSESNVQNFPIYLFFTNEEEKLKQEGMVQLLVQLGFNVAHIFGNPPCTIDSTNCSL RIPEILSPMIEKITNNTISEEKINNK cubi_01841 MKFMLFALIIFLSYCFVHPINQQNKQFGYNDSYEYTTSKEVKRT IGGLTFNLKRSGKKKGKIEQIVTKFPHKKNPLIIANDWSQEDYMVISFIIFLSKRLDL ASKTFEIKKYNNWIFGNVPPLEELSRGITHFNPLPEWRSWWEKEGDSNKIFDQIDDIY FSVTNTPNMFRFSDDSASNKIVKPFLSLAYIFSREIIKDNALIKNMSRNNYKKEVIKN LETRKKKFNSLFNFENDNIIKEQPLNFEKENPEKNDHLFLFRKAYNHVLIVKKRRKFN SENGDHNIELLPNWWEKELDIITAIILTIFKYQYRHRMQIIEAENKIKNDNLNWKKIK LCIKQAILCTSTGASDNSLDHIPIWHRFGEKWQSFPQGAMIRILDNVSKLSLNTSSWD VYDYVICFCFYYLIKRERIRIDWFSLGPLSGSPKTRFWRRFKRFIIKIFSSNKGMFDM NDKWHSLSVEMESERSN cubi_01842 MTIFKESLNSNDLNETLSRIKGKKGVVGIIILKSDNMTPLISTF DKKTSRSYTDCIKEIVKCANVFGVQGSNNVQLIRLHFKSQIVLIAPDKNFTFLAVQDT VI cubi_01843 MNVNSSTNSVEAERCLDLLVNQLISSQYWQGTIRSHQIKNCVSL FNRYLRLSNQQDFECLTEEIRNRVANEVIFNEFLTQLSTEDASVNSSWQFYKNKFINF LKKLTNFHLIFLNYIEKIESFIFNLLPEILKNSIIPSYRRPLILLYLLNVILFILRLT VFNSRPIFNSHAKIDRYSANKIINKLDEYNYPTFLEIVYEVLMFLVNLLTSIIPLVLP IITLHFMNRQNIQN cubi_01844 MSEIDKDLVVGGDIYNEENSGGTLSEPLLKTVKRDVFLIYSKLH YFVTAGKNDFDRAHNLKMLYNWDLWGPCILLLLLSSCLYIKAPFENKDKIFSVLHFFS IYGAIAIALNAQILGINCSFFAILSMLGYCIFPFTVISLISLIIPYFFVKLIFTVISV IHIYRIIQLSISEIAPEEKRILILYPISLFFFSVAEMSHRKFERPRSGSLGFLPRKRC SRSRGKVKAFPKDDSSQPPHLTAFMGYKAGMTHIVRDVDKPGSKLNKKEVVEAVTIVE TPPMICVGFVGYIETPNGLRALTTVFAGYLSEECKRRFYKNYYRSKRKAFTKYARNYA ENQRMEAEIARCKQYCTVIRALCHTQVSKTGLNKKKADIMEIQVNGGSVSDKIDFCVR CFEQPIPVSTIFSENEMIDIIGISKGKGYKGVISRWGVTKLPRKTRRGVRKVSCIGAW HPARVQFQVPRAGQKGYGQRTEMNKKIYRIGRGDDPRNASTSADLTEKTITPMGGFPR YGVVNQDFLMLKGCTVGCKKRLLTLRKSLVPPVTRSALEVVNLKFIDTSSKFGHGRFQ TSAEKAKYYGPCKRSAEN cubi_01845 MNEIPIVLFSVKNKGNTLFFDAYSQTDSINYNSNVTPREYSNYY RFYVNKYKNYNSINVQSDQLKNSVFEQKIDLSNEKNRRCVCSSSEYIELEGNQLKNGI PITHVPRENEIDPNLNDFGTKNWQVTSYVKSQSPELNFRHSSSEIHNIRLNNSPYLYT NKNYTKYPSKQNNMGERHYNRELCRHCQYSSVKNIDFYIDPSPKYNFISNSIPEALTM TIMIGVNAVVEIIASIAVKFCARIPDKDELYPGTGCVLMDYLNDKIDHLLNDQAEIHD ESTENQQSENDTKSSNINCEAWISNKLDEIMNHSNYKDSENKIPYKYNGLYDALIKNC YHKADESGFDPVKYSENLPYTLPFVSARTQTLRRGFIDYPETNS cubi_01846 MQDKKKSKDDWREDLYNLIELLRRRQLIGSQAQGKKTLEVLRQI VDKCTWKSVNELILKIKEIGSEVASIDPLAFSVNSTFRRFLNVVRKEYIKLCTKQPAC YYYFSSSENTDLQGDVNMCLLRSAIFEGINELLHEYGQSWDFDQCLDIFTANETVLIY GYSNLIERMLKSISKKKTNLTVFILDGDPEKYSYEFAQKISFEFGINAILMSDSSLFS LIPKVSKVILSAKAIFPDGSAVTLSGGYLISHTAKFFSVPIVIVAALYKLCHFPLFDT QRTNLIVPPNLFADSLKQLSNVHFAINKLDLIPDNLISIFLTENGSMSKLQLYEIFKG RFHPDDL cubi_01847 MLNLSPEIKCLVKIHACSFLKNAAHSLKDLSRFLNISFVKIINV EANNEELLKPSLYSIYIPDNPHIKPLVNEQLWVSSKNLLMNEYFLNDKFDLINAGNEL LKLITWYLSWNKMLESPITSFSAQNNSPFQVCVIAPNLLLGCVMTYPYLIKEVPVTVL LCTSAEYNSTEHGINKNYLGLLNIICFSDFISTTKKLLNYTRNLGFCTVIICPNIELE EEIIIRLALGGISENGNIIISRQVEQLSSIECSILFQKRCTISFCNQSFNPISWITHF VSNHMFIDSTPNILNSEISKTNIDGECVTKIENKLSYVLVSDVQN cubi_01848 MEVSSDLVDWAQCELCKKWRKLPLGMNPNTLPEEWVCTMNTWDK IYNSCDVAEEVVGIPPENLNLSVPDQSISYSDFQSRSLKGRKKNFSNCSISNSLTQAI NSKQINNQGLNLTEDITRNFRGSAISDSLLSKSLIDSLSDWCEELKNDQTCLLPSHHL KKNFPSCWPLEYQNLDDVSLFRNQFFESFEKSRSKNFSNSYEVLSNLKGSQSFPICIL KNNIFNSKNHPLQGRSIITGTEVPIVFKMVGESVNGIFPVISNNQSLKYSNNQTNNPI SLSNTQKSQGIISSIHGNSLELIPATRSDWESPFIDLSNSLFISNSVDFKLSKYNESW STCNFSYSKLFPERDNLLLIPNYLSETNFDLKTINSKIITDEFSEQINVDILDLFPVF SWLENPNNFNHPMYRKISQSNLLIQTNKISKKCNINKNNTRNRNRQLDPDQLESNHRL RRSSRNTSKAQNSEIVNSESSLFSNKDDCSISIEPRNNGFQLKDEYKNKELDLLSTSG KMTEKLNENVDKVDSVDCILIDCSNEGNNFNDFSKDFNSDNLGVKENSEVNQFESKDF SEIGEKGSNQVADSKELDTLNEFDVLNETNLDNESRDLSGDGGLLFINSEINSEKLVE DDEYILPALGSHKRKSKLLLDSNEHFDSIKTEEIKHEIYEERNRNNKKRLRKRSLISS ETSEKYKNNTNEIFDSELKPTTNEEVPVTYPEIDKEKVDGNINSETLNEKNPFHIIPR KKSLETVNSTSLSPKSDPWVPKSDSSASKRDNQLDGISQLNTHKIRHYNWQVDQKRQR TSDQRRPAQNSSCHRNYYYQNKHRILTSYESHNKHYSRHRNNHPNSDFVNHNPNNFFS DSHYYSQNSLPGNQLMPPTPANESSYNYSSFKGYINSKYSSSSSSNSVNSMTRHYRGR cubi_01849 MKIFGKDSFFSICFMLISAATGMGVLTLPWVFQQTGLFLGIILL LYWGILCSSTIYFLIMGGLHYKVSSITELIYYSILGEPKIDNNLIEAELNLAESKKRA KIASKAAILCDILVLFDCLFIIPCLLIFLSDFVIQINFLVQKKLTELSIHYYGLEKST FAKLFYNILFSISLFFTERYRCIIFFCLIVFLLCIPNNFSAVKLASVLSVLSSVSTAI IIIYYGLSSNQPPECQNGIMALHNNFCRKGKIEFFNYSSIMAFWKITSVSNIILFSHF CHLILIPSTKNIHHMSTKKIKGIVLTFVTAIFIFNCSVGISGYLVFRLNTLPNIINNF AYNDPLIVLMRIYLTLSLTVTICIEIIPLIDSFSSTVKFLFRSIGSFIYKKKCDMEMT NSIKNIEQNLNTVVDEETNSNQCTSTLASTTNLTSYFGISDEQKEENNEVEFNWKKCP CNYSHFKCLSKERLFKILNLSIVLTFSGIMAVRFSSVAKLIQVTGGTLDGIFVFIVPA WIYYSTYFKYNNKVFGVSLLSLYSVNFVVASLSGIVGLFKME cubi_01850 MSGVSRRAVITDPNGVYEGDVNEKGLKHGNGVYKVNTGDVYEGE YSNGLKNGYGVYTWANGDKFEGYYKDDIKWGHGIYRWSNGEVFEGEYVRGVKCGHGIY TWPNGDRFEGEYKDGVKEGFGVYKLATGRIYEGQYSRDMRNGQGTSRYTDGSVYTGEW FDGKEHGHGLLKFSDSSSYEGDFQNGAPNGQGKYTYSNGNYYVGGFKNGLTDGPGSLT FAEDNRVLKANWKDGQVDGDAAIIYPDGGKYTGEVVNGIRQGRGVMTEFNGDVFDGEF FRDFRHGEGVLTVNGKQKRVKYNMGKKVGLCSC cubi_01851 MSKKVLIAVANGFEEIEFVTPVDILRRAGLNVTIAVSGDCNMVV GAHGITIKGDKFLNEVLSEDYDLVMCPGGMECAIKLGKDQNLLKILHETKKKGKIIAS ICASPVIVFEKNGLLSDVEKAVSYPSMMSELARPDSANSAVCVSSNVVTSQGPGTSVS FGLKLVEMLCGLEQSNLIADSIVAA cubi_01852 MKFFVGIKLFFCCIYLVAAINGTKKKRKSIGLTFRKSEYTQSFC SSLGCKDGRGYTSSNEGECYSVNRCTKCKTTNKSESSMAYCSVIPTILNEITIFQGYL KISKNGLEILKSGMFANGDSYISEDDESKTDESENSQEEDEDDEEDDKEEVIHKSDTN FPAKKSISTSVSSSFSGSVGYSLLQLVSQMSESEFEEGNESNNITTKSNKSRSKSLLS RVFGKKKKNLPDTNQATQFKKTNKFSFSLNNFKNKFRNKNGLVKFNSPTKGIKKNEIN PQEKMNSAHISKGTTIELEATGRVLTERLNTCTVIDGYVPLTVHTFLQYYNIKKLSKV RPKKYKYAAFEFMVDSSCDFDELCRKPSNFIGRLTPRQKLVMSNSISIPVGLFKKNGI SQVIKSIRTKYICKGPKCFPEQYNSCVKITCALNKADLHDQEWRKIQTENTEEREREV EKAVSNELQNFREFVADENNSLNNEKSTETYSKTMNGPLSFTDAIQNPTIENAAYKIE NSKDLVESEQNDQNVKLNNFQDKNETITVETRSEEEIIDAPSDGKRSSGLMERLKRSL GVSAEEEAASNEHEENDSEDSLQEKNEEIPFQPEITISNEIEKSKSNIKNVIYATLGI TCTILIIIGVVCIV cubi_01853 MDSGQPSVPTIPASSSILPVSGAPSIPTLYAQPKTFVPVDCRVM PGYPFASLAGVPCVIPPLPEDFVARKTDKEGPQNTQNLPEPHRFHNGQPLNPYISPTD QTVIVNAPYHLAQFNPVSGSSMYSPCNPFVAYPYGIPARGPIPGSQPKKTGFYAY cubi_01854 MKDDGELVSMVLDENPNFGPAEYSSENNDNFNGIDMSTIPINSL ITSNEWQMRMYGYKKAIKEIESINICEFEDLFIKSIVNDKNISSQNLGIKLLTISIQH FGLKINNLPTIWKENISEMLIKKTLINSKTSCSSMNLAFSFFEQSLLIENGDLNKVDY LWNEMIDFINNNRKSKGIVIKQILGVVKLFCSFIENYGVEFSPIVKWSKAIIPLVTDC NDKSTKDCVYEILSMINQESSLMEYISSNLTPLQLKEVQKRSIELDEKKNKEPIRNDF VKEIKSRFNSNIINTAVFKENENSIDSFDLIEPVDVTKLLPSNWLEVISDKKIKWNER KLIIDQFSKLCEIHKKLSINQNDSKANISHNNKKNIAPSITDYQNLLNILQRIIKCEG NTALILSVIRLCSNLISCLRGKVTTIVRPLTTQILTKIKDQNKIVCTESINFVNMVLK FSLNLDQIFDDLYIYGYKEKVTTAKCSAISICDHLIDEIIENNSILEKHSKGLKQLIN IIPSCFDDPSIQVRSSASVLLVKLKNPCFGEEFNTILQKVITGLHISKQKLINETERK LGIHLYTTKDENNTYTKNFHSKTLSLSIKTTNPPPNSTITTPNNESTKSNRNSIPKIG IYKQIETQNKGSINIERLENTNNNQLFPIQNVGGLSKSDGKTISNSKSTEGSNEMVNE SKIHNSETLPTILNSISSTDDKKINFFERLSNKRPLNLQTSTKLEKQNFYYIDPTDCR NFIVNLPEAEIIFETHETDLLSLKNYIKPFISDQIFINMFSNDNIQIDFSISFWERFC NFLRKSRSTKFTLFYFFFRWISYNIEMEIAANYERIIVSLINVFSLQGREYYLKYNSS MIYNIIAIVINIIIKEIQIFDKSNILSDYEIAKYEKIVFLIMEKGSISNPYYEEEKKQ ESFNLLTNTSFLMNTIINSSLEKLEKFDKKINLINNHLNILNNSSNFLKNYGISNIHS LIHFMEKNLSNIEIYESTKKILSRISNNIGIKLWNTLLMYFPDIPVDKYYIKNISEPL SNKIDSNLLIINSLEYETIKLFNIIGSTGNPKDTGVLLYNNILIILTKIMTNLDIINN KLNYILENNHEIARAIVDNNFLNSLLIEHIIYFSEISIHIYFLLTKLEANKYNMINKI LKLERTGELFFKAIEHLDKFTSIFQTVVIKEEFPIKELMANTLFIMSNYLFWKEYIND ENQKVKLVNSLNNIMGVNIILSFQKELPRLVNIIIEVMLFGIKPNNCTIFDHDLLNRL LPKVLRRMKVYLSNNNISDVQIKNINHCFELMNNTEINSDSHELIIIDFALDYLSLLL ESGLDIQNYEGCKLFLSKISNKSNKDNFQDKIRKIKLLT cubi_01855 MEITNDIEFQKKLQLKRCRESRESIIKEIGYSDEFNPFGDNNLS LPFIWEKKLEQEKKDHSFELKCNAYPWYQFGICPINTAEIIEIKKRRENFEEKSMTRN VSKDCFDFDKWIENEKKFEKLQLQSSSIIRVKEHRENLFDILFWKILIYSYFKGNLSF SSSMFSLIKTGLDASIPYLSSLKHKKLFQILDEIFITRTDESNRCISELIQIVNNHKQ LINTNTDILLIPEWEDILYGLEILLKSIMNKLNKFDDFCKIEDPEINQILEKKEIDEL KDILFQSLELADEEYWKRVVVITKIRICRINVENVEKLYHEYFEEWANSLISKYNIVI HERNNSYKKVSSNCNLAIEESHNQNEDHEKFDQLIDLPIIEFSPSKDNYANNRKRHKM AQLMLPYVYSIIKARYYWNQYNKNYYSNETLPPKIIQGYKFKIKYPLLSMPENAGIVP RWYITTKKKYLEKNELLVTNEEALLKVQEDIIFCENQVSVKDKLLIITCDNRIYKDVG FSIIDKEWDLNPKNGFKSYFENSTLHLNFNFKQPKYKR cubi_01856 MNEITEFPIVGRVNSCFDEKWGTPRQGKYGSSSLATVELNTKVF NKDELRILEKYSHIILIFIFNLNKIKIHQKKNFSYAANTDIYLIHQNAKVTPPKIDNG EKRGCLATRSPHRPVPIGLTICNIMQIDLFSMKIQVGGVDIIHGTPIIDILPYSLNHS ISRNKICSPNWVKPEKNQEITNLCIYFSLASFLDILSISNVRECSNIHFFEYGAPDKN FNKLYGFIIEILKADPRSRYSKKKVNHKLFGMKLFNNFQLIYWHHEKCIKVVRFLHID NLIVKGLNPRTVNWYNRIKNFVILET cubi_01857 MQESSCLKSPKLVTSSVNHLADDRVIKYIVVTGGTLSGLGKGIA ISSLGLCLKSRGYNVTAIKIDPYLNIDAGTMSPFEHGEVFVLDDGEEVDLDLGNYERF LGLNLTSINSITTGKVYSEVISRERKGEYLGKTVQVVPHLTDYIQERIKLAGISGYYD SCDNYILPDICMIEVGGTVGDIESSVYLEALQQFLFNIGSENIVFIHVCYLPYVSNQL KKKPTQHSVSRLREVGLKPDFLFGRCEVPIDELCKEQLKVFTQVKTENIISVHTVEHP SAVVKLLESQELADKVCKRLGLPIREPGKLLTDWFNIIDLSLLTDKLHRGESIPSNIP NPFINGKSKIGIVGKYIASDDTYLSIIEALKHAALQVKVQLEVLWIEATQLDADSETL SNKLTFNNSKSAWDLLKSVDGVLVPGGFGKRGVDGKIEAIHYCRVNNIPFLGICLGMQ LSVVEFCKNVLKLPLATSQEFEDSTILDLKPISSMDELNLAHTSNVTVSSGEEILSPC TDIEHSSRSIHVVVTMNEFTSNNKGGTMRLGGWNTMIRDKSSLAYKVYNSCKFQSFTT LCTETGEEIYTIRERHRHRYEINPEFVPLIEKNGMKFVGKDVDVNKNEILELPSHPFF IAAQYHPEFTSRPMSPNPLFYGLILASIGKIKHNEAIYEFI cubi_01858 MYYYKIAAFVFSFLIHICLSVSDRYLEVYLQCNGSTVKEKLFIN EHFGKDVPFFKKVSRCTSEIDHVKVIIPESNSIAISKLTSNIVLFIRMSNDKIIGINM VESENVEDSKYLFQRVKITNINAISDVNPEISVTKETESQKMTETQPSFLRKYWWLIA IGFISYSILTTDQRLIEPIEGNLVNEQINEVKTNRRIHKKKV cubi_01859 MLISNLKGKFGWIAYHGHIFTKERLISTLLPALSRTPCHIAVIN QFDSRKAYLIDINGQIYKKWVFPFTYQLAYEYQSDLRDNEVLAVPKKCVYFMDAASII VSYLLIINRSSKILDLCSAPGGKALIIIKRILEDITKYGEENKTCQITCNEYDKDRFS RLNKVLQNHIGNFDLNRVNAVTISSDAASTSLLYILRKQGRFSNILVDAPCSSDRHLV LSNNLNQWSIKLAKNNSKRQIEIMKNAIELLEDDGIILYCTCTLNEVENDHTVERICN DLGINSKDCFLSMLDIVKKFNSRQEKVKIVLKYIGDELKREEFDEAINNERLMYNSIQ KNENDTILFEYTKFGSYILPDVNNGIGPLYLSFIKRKKVVL cubi_01860 MPGIKKNELTPIKSETMQLDSDDNINSLLEKAKKALAIQNKIEK NLSLLNTKVNDIENITKSTYDLNLISESNSIPIFTRHTVNKELEISSIEITNGKEQKL DSVNQRSCTEFTDPRLIVKQKSKKALRFIRKGIFTRAEIELNEPNLIRRKKRKQVKEL FFSNDESIFSKASIPELEYWDIPFVKLKETASEGEFPFEILVSKINNLIEHPVPIEPY YDPDENVSSVIFLTANEKARLRKRNRQEKEMERQDRIRMGIEPPLQPKLKLSNLYNIL NEKAIAEPSRVEFEINKLKDERTKLYEDRNASLKLSREDKSKRKANKWKLDPDNPIIH AAIFKIGNLKNKRYIFKIDRNAQDCHLTGCCVVSSVDPCIILVEGSKKSIQFYKNLLL SRIKWDQPNETATNCSLIWEGIRPSKVFLKWKIYYCHSKEDAYRFFLDNNSLDLWNIS QN cubi_01861 MMRNTIRTAVSSVAYLRNLFDEEAFEDKNVSGLQLKVLRPFNHE SKLILNWLEDGVFDALEKQYLKLLVFGIHGECDELIESYHFHFNYSEGGTNINLKRKS KDQELSNSQEINSYGCCTKEYARQQTVQLLRALILLTQSLSPLPESRYMSMKLWYYED RVPSDYEPNHFRPARSSDILSFNEIPIDTVVGNVDTKFHTLSVKVKSAVENYNDNSEL NLCENINTNQTKKKEKMTEKQESLKNITSSNDKINQRTDDELTTGSSLDPEEIKVENV FANSNNSPTDDRTIKTINSAELLEKATEVVKFLKFVNKGNLAMALDIEKERASNLIEI LLDNGHLLDKVVRGKGYPVPERETGERRVKNKGICKKKINMRKGKK cubi_01862 MSARGGRGNFRGGRGSSRGKVGLSSGGRPSFSGPPSSITELGEV LHSSEHELVCKSFLKDQVPYFNGRIFLENKEEIGKVDEILGPINTYFFSIKMNSGVKA ESFNTGTKIFIDPQQLLPMSRFLPKQSSPKTTKKIGETSINKKRGGFNGSPGRGGQSR GVRGGKGFSRGASPRGFRGRGASS cubi_01863 MLKPEYQSFPLVRITLIGPPKSGKSSIANFFVNNNFAGCSNSYL PELPPEDSEIGDPISLCLEIEDLPGIESNYINDQFVNRYFNMGRKELFIPPGTKDIVP FKIWKPPKVPLAAGQKYLPLTQGRMGFIFVCDINDIDSIKTIELLYQKFQSITELSIS SIKPVVFLCANKVDKDTETKNFELNLFRIEDFASRMFIRLWKTSALTGKNIRHVSDKK KINLQNIFGQMFRDILYLINSNSALWQIDLRYVSESSGSEEEAQDFLSQCLNI cubi_01864 MVKSRMTSIDICAMVHSISKDLKGQKLINIYDINSRTYLFKFGG EEKKFLLVESGIRFHTTHWKRENEHKTSVSSISFFNSKLRRYIRNKKLEDISQMSMDR IVKLTFGFGDNTFNLILEFFVAGNIILTDCNYKILVILRDTNDLSIGKYYNWKDCEAV LSPSRSFSYPIKSSVSNTLNNPLQWLNNWKNCNYMKEELKKILNELENHDSNKKETEL MCSKNRRQAEKHNSGVKVLDVFSRILKSFNISILEKLLESEDVSGYELFTYSMIDKIS EKFIKCINKASDALSYILNHNYKGILIIRIPSEKEILQGDTIIQNQTSITENYEHEIK EKVYISYSPYIEEHSWISSAQTIPKEGIIISRVSDSFCECVDEFYSSIDIVKDSKVAK QEQKTIYSKVDKVKIDQERRLEGLSSEREACITRARFMESHQEILEKVLQLIRHLIAT GAQWQDIWNEIQQQKKKNHPLAKHIKSLHLKDDKVKIIFSSKDLSSEDISGVEQIGKG IEFDLLISKSIQSNVRFQYMESKVLAEKLEKTQHAYKIALKKVTNLANKEAEKASKGL ISNTSRIKKLRAKYWFEKFYWFISSDGYLIIGGHDASQNELLFRRYLEKNDRYIHADI HGATTCIVKNPNNVLEIPLNTLCEAGQMSISYSKAWVNKTLISAWWVYPDQVSKTAPS GEYLTTGSFVIRGKKNFLPPLKLEMGCALYFIKSKQALKQIDDIENDQMVHLSIQSSI NPEVFKTEDNAQDNLEEILESQVKSDSGDDADEESDFESNSFEKIAEEKHNHQNSAHV RFSVGDVSDIIPPIKIEHRVQFDELPPELLLSKPFNPLSIDETSNQTSSLNSFENLSK LTSKASIDTNSSNTEDEKADTNFLKVNVSCTDENNYSKVEIKSPKHLSTPRNSSRRSS VDEGPPPVGFSASTLPTPAELLSHKVEFPVAFSSSSSEEILDNTSCTNKINKDNSETD NNKNANSGSNFDWMKSLDSSPSQRNFDGENSINDVDAHDKFNNKLRENYRRRSIDGGP TPRGFIPDHVPSARELLQKIRFDPVDLELEHLSHMRERGRFISDAVPYLPEELQRLII VSNQNSNKKHRFTIDTNANLLSRVDYKNLPSLDLNKPISNHRDLNEESGRLKQNSTEE FSKNSLETVSTKNNNLYTDKKQKNSTLPRGKKSKLKKIADKYGEQDDEERKIKMMLFG SKEMKKINDDNLTLQIKNCNESSNNQEKPFHISQQEKRRKEQEKMEKVYKNRIVDNTS ENREFQYFKESLLPTNEDEDSEIIAVIPIFAPFKCVKDFKYCARLTPGGIIKRSKAAH DIIHHFSNISYKERDQNPNSYEHIKALKIDDLIKNLMTPVKVQFSNEKEKLQVDGRND RN cubi_01865 MARNSEKANFMLNRWLSVKNKISKPSFEGRGRYSNTQFTNTVKE CESIRFEIMEEISNFSKKLRDCVINDENEIRNLNSDINSLLKEKYKWECRIVELGGPN YRSRHNQYIESLGGISLPNSSLKIFGTAISLPEYKDLLKFNNKTEITSEPAFKLPGVI SCNKYYGEMSKEEEDRIKTLEREREIEFKKKKQYIEKEISVEYLLKLINDKKKEIGAS N cubi_01866 MSNYEERIEISGQTQISEINYIGKNSLSYFINPRSTLSGMYLDI ESKYFTRNENILNNNSIRMKQLHISDRGCESEIWLTLPLVFPIATLHSVTHQRESQFC ESNGGTLCFSPEETNISRLFSTIQGLSDIGAGFFQMVIAKFKGVVAEDIEVIKNEFGR IFKDESSSINGFIPFKPVGWRKRSFSKMNSTIPIEVNAIVRGTMINKQDSYYNAILIS ENYTESCKENIIKLGKLSNKFLEKIKFIYPSLEIIKVSDLEKDSFFEVTIRTEPMPSY VSSKGEDFDSKDKKFSLFQNSSEINNLKSIYLGDIRLINPYKTEVDTRIPCGLFKTFA LISNHSIFEQSECRMATYIPFSQNRKKKSSQINYENSTETVPNSSNSIHQSQISDVVQ YKISTPKLLTFGNHNKETSIKTPPPSNFFTNNSNCVSNISTCDLGYSTVFNSNWGGKS YSCTPTTKSSLNKISYTPFSSTEIDSSSWVSADFNIESTSIFSFEQDNQHFIPPRISS LNYMSNLCSNESINYSNNKLLYSNFISEKSSYEPIKNFDNNHLDVTQNSSDNNGLNLI KEAKELNINEIREPVFRNEKKSNKNQTIDIDENIEFFEYDCSQYLENKDFDILNMLEN IFSDNSVTNLENRKMGCNNHHFNDFENVNGNGKLSEKKTNQSLGKDYGEKLNLWSFEL NNSSICIGKTGSDLRQKGKVSEFVPNELNYLNDHILFGQ cubi_01867 MTVENIYNEESRNSPEQEIAELQSECKKALKNTLRIAADANEIS LHSATKLNEQTEQLYKIEDETEKIKDNLDKTERAINSLKNPFLFWFKGLFRQNESKSI KPAPISNFQKDKNTHNKETCHVISDFPKERKLYCKDLDDRHEFNNEIDEGLEQIGDML KEMHNRAISMNTALKNQGGILNNIDANIYSNNSRMKDQRTELGKFIRK cubi_01868 MFASRNEYDRGVNTFSPEGRLFQVEYAIAAIKLGSTAVGIKTKE GVILASEKRINSPLLEPRSLEKIMMIDNHVGCCMSGLVADAKTMIDHARVESQNYFFT YNENIPTQSVVQAISDLALDFSDIKEKGKKKSMSRPFGVAMLIAGADSDGNSSLWMTD PSGTYTQYSAAAIGTAQEGAEAILLENYNSNMSLKEAEDLALVVLRQVMEEKINSVNV EVAAVKEKKFVIYDNEKIQKVLERLPPPTHIVPSQLS cubi_01869 MDEKLKINIHSANKQNIKRVSRKCVEFLKSGKNRTVVLKAKSSA IPKAISISEVVKMEISNATQINSLINLEVSFIFAT cubi_01870 MNNARTVFELNGHDESTHCAGNQKSKNPLVKDDRSIINASHKEP SNEKFDEIKDKPCRKEFYYSNVLTKEQLNNFRTVLCNDHLNSNCIDPETCFNSHCTAW QRRNPTKYKYSSTICPDIDFSRKGAKGRMSLNCRCRKGKYCEFAHTKEEELYHPDTYK TKKCNAFPNCKRFYCPFIHEIEKNLKEKINSNIIPSISENEKSNEKSRMMEIFCPDSQ NEFKRISEVNIGELKQELLMKLVNCQKFVLEEKYSSAQNIAEDFISMIKSLCRSYFLP GIFSVSSNSNIINSECEFLYTEKMTDNASNNHMKDAFHFSLNLNHFLKDEELKRNCSL GDLFTQ cubi_01871 MKEKKIKTKLIFKDDERFNSQMDPRFKPLIRKEHKVKIDKRFSR IFSDDDFSVISVKDPFGKKSIKKDEYLNSIYEGEEKSDAIHDESFKQVTEISQGSSDS EGSFQWEGESDDLDSDEKTLDTSNNLRNTSDSIWENNPLNNMGLAEGSETNRLALMGL DWDNINADDIYVVLSSFLSPSATIKTGQSNSKLKKISIYPSKYGKERMDYESVNGPLI GNGENVCDEKIKGLEFSNEMSEEDYEAIRKYQVEKSLYYYAVVECDCTDTAVKLYDEL DGMEAEFCIDGLELRFIPDDIVDFQFEPISVSTSIPIKYKQPECFTSALRHSKPTLNW DDTPIERVKFLRKIFTPEELLKNDFDAYLGSSSENEFSKEGTDSFLNNNLTDNFSSGM REILLGEAKEIFDEEINQNILDSENGMGSVTNNDINELFNSKGKDYNQVEIEFNPDLE DLSMELIAKGKQKWEEASSNEKNIKKQVTPWQAYLEKRKQKKKERKIQLRERIKEQKL QREKGVTAISAKNNYLDNSQISDQNSESSDEDRHFDMKKIALLERSGEKIKSKKRKDL IISATAESTQNNFLGAINDPRISKIFTDVDFAIDPTNPIYKPTEFNKKLLLEKRKQKL RRNSIIVNKSKQQNKNNTVFSGLEDNSFHLLATKKIKKC cubi_01872 MIVKEHPAQLSLLFPKKLIDDAAKKSENYYLVLGKYYTLENSVG IFAADSLCPVNNLHSLDLCDNIKVIGIFKFSNKNVGLQSDPNPFDIEDISKPLSDVVF TAVSDIGVESNDIYLKISSIYDHNFKLVKTEKINIIIFSDQRTQIVNLSKMLNNANRF LDNVIFSIENDLKIDDYITSGDKVDKKLDPGSLFEKYKTIMYDYNDLKSLDAFSMFNA NPSNGFDPFFLQIFRYLLFYSLTLLSFSSSVIWSFISNFPFIQTLIQKNICATISQAA YRVKNQRNWIILNHILKNSKVKQNKKLHNSLRLLFFSSITTVVVDYLLGILFAFGIDT FSRELVILMEKSFFYIYHDAIHTQVKWLMSFPAGFKLNQNLTTVMGNLTLAALKFWCD LTSQHFSDLNHNMIFLIKFVSITCGMSVSVALIWDILNIYSFLLFFIYTIMAKLYNLN LKAIKTFFYIFRGLKSNIFSNIDHNSHYSEQLLIGTILFTIFVLILPTITAFYINFLF IWSCVYIALVLLFFTISTINTFPFYLITTHAVAPNTFSSGIFINKFLHNSNNQFIIVF SHKKLSINSICKPFSDSLSHLFRVHLNIIQIIRSILSGNILHILNLQGSKIHHFKSTF AVFGNEDKSTGSNYCDNKSKNNCLSGENFHHYIFDNITPAQLYNLY cubi_01873 MAFAINSNERSVPWYSKNEFFEVFSWLIDSELNSKHLALNRLKI WEERSHGITKQCPIAVISTSYILHAMLQDRIWDWSFISEGVKSGCLNENFKILVENLS NGEMKTYQKNIIDGDGASDIYYSGISLSIQNQYCMTIIRIINLFVDQCQLQFYARSIS AISAELGIPQILVEIRHQATHGSELPSLEICRVGGILIFFYLISNYWKNQYDYLTQSI IVFNESICDYFKELLSLLSKMHFDWDVHYNLVEDEHLQRENATLIDSFNHYNEIYHSS LSKVVLEHISVDIPIRNRILKYFQEKSRTKNKNERNSANKMIKILTNFEQLNNNSNIK SGKLKKWVKKCYSLLPSFYHLIEKITITINNYEADEVILKEFIIDLINNICPLCCPIS EVAILFLLKLVSNNIKIEVLSKIITVMLENECLSRNNYLKQHKSKIFKTCKQVRKIRL FYWLRILLPDIGIIQGDKSPIGINFKCINNKKVVEVILLLTFYKKLEKSNNKKKLINY EKVIETLNLSKYSMLIVFSELVSSIPDIIIRNKTKLNYFSSSIMHLLSDLKIFDSTSK LLYLLFDENKELISNHLELFKQFLKIGNEDDSFEDEYLTKPNKNCRGVIIENFGITPL LRVGTSWDNENLKIIDHINLFKNDSFTKEESNRTNPNIKNTNPDKETVHDVDVIDIIT YDCGDVQPLKTITFNMEYNYCTGEQNCPMNICLLNAEAFMRKNIGLK cubi_01874 MIRFGKVIEAIAGRSHLRSLNHSLKEGFRWSINGFWLFCLNIKC SNSLICKRILGNGLTFNEKQLVKTTIKDTIKILPLSFFIIIPFAEFGLPFAIKLFPNM LPSTFTLKNIKEKDNNSLDKKKNELLRFRSLIQNVISNLKKSDDSSISSGLNSLEKIQ RQLLESKEFDQRELREIISGPIKDRLELENLNIETLQSISRIMGIPSIKNKFFLMFMI RHKMLKLKNEDKDILWDGIDQINKQQLEKVLVSRFIDSKRKENGIEEYKESLMSWIKL SSMSQLPLSLILWIQATRLTSKDIDKLSTL cubi_01875 MVSENLSRNERSRTTRHENIVAAKVISEIIRTSLGPKGMDKMIE KGEVIITNDGATILREMAVVHPCAKMLVELSKAQDVEVGDGTSSVVVLAGSLLGVAET LLDKGIHPQLISQYFMEGCSMVEKILRNMSIKVDLTDKNTLIKSACTSLSSKVVSHSA QHLASIAVDAVLGISRICQKNSIVSESENMLQDMRKTVDLKNIRIVKKLGGTVEDTEL VDGLILHQQKVSRTAGGPTRIQNARIGLIQFCLSAPKTDIENNIVVKDYTAMDRLLRE ERLLIAKMIKQIAATGCNVLLIQKSILREAISTLALDYCAKAKILVVKDIERDEIEFL SKALNCSPIASLDHFTSDKLGTANCVSDEDLDGNGRICRITGIPGKDMMTIFVRASNL LMLDETERCIHDALCVVRSIIKEQALVPGGGACESQIYTELSNWAKSLSGVKQICIRA YAQAFEIIPYTLAENAGLNPIEIVTQLRKKHFDGEIYAGINVRKAAVTDILKENVLQP LLVNLSSVKLATETVMMILKIDDIIPCY cubi_01876 MFFSQTTPSSIGNTSTTGLGMLGSTGSGVGTYLLNSSSNTSQTG TSITQSSNSGNSFQLPNSQSGISNTSSLFGGSSLNNSSSIGVLGNTEPGISLFGTSQL QSQLQTQVNSQAQYLPLNPTVGQLTNYIQSWKAEFDEIENQLRGNDKHIEYLTNNKLV EITRLCSTYKKGVERRNEEIENIKSLQFRTQDSIESVISEITTVQQLSHDITHIYDRI KDIDQNNSSRQIQPLRFPLPIFEFFCENMAKKCRSIEEIIGNLDRVVSSLREELTNAT VEDVLKYIAEIINNNYESFYDLVTQCSQLHDKTESIVNISKLHEASRN cubi_01877 MAGSGKTSFVSALYHHLTQKELKRVYTVNLDPAVLSCPYPVNIN IKSTFDYKKIMKDYGLGPNGAIMTCLSLFAVKFDQVLNILESKSDIDYVILDTPGQIE VFNWSASGSIILEGLSISFPTIVAYVVDTVRSQKPVTFMSNMLYSCSVMYRCKLPFIL IFNKIDVTDHLLCTKWMKDYDLFSDSVLSSDDSYMASLSRSSALALYEFYKDLKFVGV SSSVGTGMKSFLEKLDEASEEFETQYRKWISDRKEAIKKQREDETLQKWNEISNIFKS NNEKLSSDTQIPCIPEHLVEGHEGSSESEDLSDIDQIEQVMLNPKGKLNFI cubi_01878 MLSSNLSKPVQLNKKNISGAKGNTKKGALIDETTNPNTHLKRRP SIDTANKVLPNKEQTAASKEISNLATRPEPISKALLEEARARRASKLTFKQVTSSPKS KTTKQSPQKKVVKAKSSVETSEKIVEPTKKQPIKKEVIVDHSEELKEEIERLLNEISE LKQKEKNYKSQIGELKTDNEANLKKLKILEKQKEEQYQNKRNLQLSRDLLESGHQKNI DKIQKLIEGCRRELAFGNESSSRIYNSEKILDNKYKSKTTKRKKENDMGIMNLLLCDN SYDKFYLEQLIMIEESNGNIWGLSARDLNELNAVLNIYNSNGMTSTDIKSHLNNLSWF LEISSQKNSSLPHIANALDKISMNKLDFKDFLPFLLVPLFSSSHYSYLDQLFDLFDMD NDSFISIDDMKGVIREIEWEDAFSINDIRFLLKQMSTNIFNKNIDSESSHNILISREE FNEFFRSILD cubi_01879 MSFSRRSSGGFSSSYDGSLGNLTPFLTHSDPLDSKYLSRSMLEI TRDVCYNNSSKRNSRGGESLGKEFNYIQKKKSDLNKAETNRLGDDLNSSIDTLPQKRN DISIGNPENMSRRRFSISYVQNPPNKTIDNQYIQKDRDGNCRRDENNSEYLHYRKSSQ NFKNRSSYQVEKKSSQPLNKRMNVYQANQLLCSLLERDPCRLNGSGLYSYNSNDQSYK INTFQDGCKHWYSNNNREMIDPFSDTNNSYIPQYTTNARRAMYELIGASETSRPDPDL SDDDLSLRFVRGTLIVCDLRNKLQLNVVPPIFGYLYKKSDRQFSVPPIRWQKRYVVVH ECHLYWFDSESSYRLFGINASRGSVSLILFRAQMDLSHNKRRFSIEFEDLQKSIVFHV CDSSMDRGLWTGAIQAHLKKAIEVRHRTGNHIDWKALEFEVCRIRYESGIKGRFWRCS AEAHNRMYEVHPPRHDIASFGSLNSVIWGNDGYKRNNSVLSTGSVIDPGTGTATSGNW NRRRTGSIASSTAGAFGNKYRAPSISGLSDCNLSRKDSVNIVGVATCSGASGTYPGNS RRHSAERH cubi_01880 MSNNNINNAKGEVVLPLLELGKVKERFKNDNSIQRINQLYNNDN LDSSSSSRSSVFSANLSIPQEPELGVNKSISTNYGDISANQIKNRMLGKHCFSLVQVD WDSDKEDNLKHSSSLMSVEDYFSNNIKYNSAILGTKQKIDLMEKRSYDSETSLIHKKV KSPKKIMEKVKSKLQSLIKLHEEEHSLNVKSNIHRYDLSSGKDSQKPKVSSAISGLSS SKSNIKDKFIEKNGSKLIGLNSNLVSKDSKPAFNKVSIKKSAILNGISLKSQNFEKEA KLEKISLDQDNNLNDKSEFTAKKSTNEEIKNIKLVSKAELNNTNLIPVNDKKLDEYTE KNFINNTTHEIKVFNKFDSERIEELGETKISSQNSPEDLIKTSVSSDCQISNDSGLID ELKQDYNLIIEDSPSNTKCSKGEDNFNEQRGIKVNKLICKNDQGDNLNLSNEKNTKEP EPNNQGKLEHKNKINIDQVPFNEEVKCNEKTQEKIELNIEQSKINNTIVTEQIEEKNE KTIHSEGNFKDGNDKNDILVEANKPKTISDLKHDKKSEDDNETSGEQVIEDKKITGDS DLNYKKHQDFQEKKSDEKYLEGSKDTPKQTISDTKEELKLKDLSSNNAQKSEDQAKLD NNNDEKSAQRDILSDILELKDGALMEKPKTEDADKIELEVKDSSSLEERSIDGLIMNT NLEEISKIIPNELKLQIKNHGVKDEVNFQEELKLKVEERENLRKTLKEEKEPESDQKI IFEDKSNGQEISNQTLTNLKQDNKQKSKSTPKFALLESNNNSINKTEPKKKNSIINGK TPCMNQIESGDELIQNDKFDENSKNKIKESAERNKNNNLEEMDFKDELKLFFIAKSNE KKQNKALNAVSELDSAKTNGLKKDDKFSAKLNSEKDAVSKELVNKEKKMDNNPKFNII ENKLANKTNSRIIQKNATDNSVKFTKKYSEKPNKQPPAVKFIVENNLVSDEIELKNNN KDLLRGKGKLAVNTKKDSQKKIGEMEPTSSVDISNPNQKCEIIKPVANQKYVDQVFNK GSKNNSPPEKGENKDILKGKWNETIKDRDKTNIFSCKKKNDQAQKMSSEVINTNFDIN FKDSSSIKKGNDFQVNSTIDSDSKKLVSKASLKKIITLEDCIDPELLQNQNKPNIKKG LVKRSDSSISFKGDKVQKQLLSQRKRALLRNHLTAPILQYRIYSNNNQINITDNLTRG ETSIGINEKSKVMFSQFRDVFSKLCKVFGNRNEESNQIYDRDHNLDIQAQRKKSFGLE LKPFIHRYNLTNENSNFSPDSKMNQLEPPRQYENHLNKEKFSNKQVNSSESRKLVAIE KLLSSDFSSIDQNNKSLERSSLNKLKLENSSSKRLLRRISRKKIANRNIHNVVDLNKI SIRSPRNEGMVNTTNKTLKINLMENILKSEHQIHQMEALENKKLTRNKSIGLDSDIGT STINKYEDIYMKNRTRRNYFKLLRNYFNGYKAENYNTGSNCSCHICSRHEINDSKIDP ITLHIIKEETKLRKMKQDNANIMNNAHKFDYCNNYPKHYHKHYHYNGTKSFKLEKKHR KSSCKHRHKGKYKDRCRHNERRHQRKLKKIELDKERSKANYICSENIQKEDKDLKITN NSSNIYSSNDFAQLKGQIELILREYGVIDRVSKPEVNSNPQNCNILIEANEISMLKKI LSDTNELLSQNIQDIKTIKPQIIKGNSPYLYTGEKQKNTDFSGELSTKDKIEKKKGTE IHKPLISPKNDLERAIMHRNIMQMKLDLDRIKEDELSRIMNIKMIRKYSKNGQRIARE KKSVANINKSRNLENSDLNRLGSLKTVKKTILKSSKQQSDTKNQSSSWFSSWFGYSEP NNEIQGNKDQITDTKAINQETLNEGGEINNQVQIKRSNLNSNSSPKAKQANYPPPPLQ NYYIENKSSNNKYFNSSKSDARATKATSNDDESLDIEDFIDFSDRDISKPKNKPEIEK RPEENYIKETGLIPEVENQTNGQESSFWGWFGYSNTPENPKTNVKMAKTTQKNITKNN QPRLKRKSSNNQTLKDNNKNYENSGNFSQVISSPTPTPTPTPTPTPAQETSWFSGWFW GAAETPPAPPPTPIVKTNDKPETNWSPPPPLVPTDNSSGIQAPIENGYNQETQQPPPP PPTPAPAEETSWFSGWFGGTAEPAKVNISKEKSGIKNNGQRKESSAKSRNMQKNKENK NNISSINNFPSIKVSPAPEPKSPPPAENSSWFSGWFGTSETTPAPPPTPIMNYNSEYI NVAATDSLRAPAVSSPPPAEESSWFGSWFSVTPDPSAQNSPQQSPKRSNSINPKAKSA AALEQTYYTQTPAPQSPAEESSWFSGWFGTSETTPAPPPTPVAMGNSEPMNISKENTK QVSMPSSPPPAPAPAPAEESSWFSGWFGTSETTPAPPPTPVAIANPEPMNISKENTKQ ISMPSSPQPAPAPAPAPAPAPAPAEESSWFSGWFGTSETTPAPPPTPVAVAGPEPTNI SKENTKQISVPSSPQPAPAPAEESSWFSGWFGTSETTPAPPPTPTATENPIQNVSNEN NLQVPYTSSPIPSSTPSPPAEESSWFGGWFGGSESTPPAPPPSPSAAQESSWFSGFGW cubi_01881 MISKFKNIQFIKILIVGILPCLNALFWLGFISNGLFAMYSMHFI CMILVPTFCYGFKFLRSNLSDVIEYGKNFDIMKCIYICFFTSASGTACLFLLLEVSKI IPAFDLLKIEGIRDGLIKEGIIKDPKDGLSFFIWFSSIYFSIVNPIIEELFWRSFVYK ELTMSLRIQNESNLRSNYIINEIRDNYSDFLMARDHSNYLIEKCQDIESGQINYKENT ELASIISSALYSLYHFFVLIHFTSITFSALSTVSLAIAGRMLLYVSRKLDIIYSIYIH IGMDISIVLFLMLSLKERKI cubi_01882 MPSILSVKAREILDSRGNPTVEAEIETEQGIFRACCPSGASTGI YEAKELRDGDEKRYLGKGVQKAVDNVNKIIGPAFIGKDPTHQKELDTMMTEQLDGSKN EYGFTKSKLGANATTAVSFALARAGAAARGLPLYKYIAELAGNDTSKFVMPVPSFNVL NGGKHAGNALAPQEFMIFPVGAPNFKEALRYGAEVYHTLKSVIKKKYGLGATNIGDEG GFAPDIATPEEALDLITEAISICGYSGKIKISLDPAASEFFVEDKKCYDLSFKCKNSN NYLSSQELTEMWKNICSKYDIASIEDPFDQDDYEAYASLTNQVGEKVQIMGDDLFVTN MTRLKIGKDKGACNALLLKVNQIGTVTEAIEAFDLARSFGWGIQVSHRSGETEDTFIA DLTVGLGTGQLKTGAPCRSERVAKYNQLMRIEEELGSNCTFAGVNFRKPIARIR cubi_01883 MFLGNPQISIRQDEIVKQGWLYKQSRFLKDWRRRWFVLTKNYIA SFKDQRSLSTPTESLMLSECLTVRSADEDTNKENSFRVDTPSRVFFLIAENPIEKEEW IGQIGRQMIRPTVYQHDY cubi_01884 MEKSLSRSSSKKNTERTYSSKDVAPKKNVTIKDDFEMNENELDL KEKYDGLTDAEDEKLIKQLSRKENSIKKMNNTDLGSKSEKSYSINSRQVESEFESEDS YSSSESLSDKTSESSDMFSDDNLYNNRQSLEKFDIRELQPMETLSWLKKHGLNKMAFI ISKERENKLVEKVRDEAIMNLYNSNTTQFEQGYIKNLFLLSLDNTKNKVEFENILSQP KQENDKKDLQINDIIEPINENKYPFTPDVSDNMARRRYIKPKLVNRLEVTEEGISGEN ALAFQKYIRDLQEEVKMKDEKLKLREMERDNARLTRDQLTRAVKSSLEIGSGAGKLDN LFKDVIRRNQSYVFRLLRYQARMELKGRLGSEIFAGASLIKHIILKRIKYGFSQLSKN AMLKKDEMFWKKDVVNNEDVATNIISMFKNILSCYKLACIVQHNHISAIKKFLYIWSK CSNLKNEMTNGSSKLGAFSRINGNSTLRELKIAADTKSKLLSKIDKDRLNKAKKGFFS EDNITKIKSDTNILGEDLNNREILNNINNTLSFIPDEKLNHLTMLINKTQEQKNIDKF RNLALSHLANVIKLSCEVKNSKESTCNDTINKITNLNSDYRSKLLSSFENLIFKKEQL DIIKEIKDHANDKFKQPINAPDWIQEKHSKLLEDFYSNPFYPIFVNEYNKRIFMDYKE SSEESESSEEYEPEVDEYETESEYDVYYYSKEGPESKPFAVQKQLSSEIHIDPEKLDL EVSKTDNVYICDEADQFETVYYYDENYFRNADFDSDGNQIIYVDQSNNIIQYPYQVEN VQGETEIAYEEVTGNLENGVIEEGNEFVNDTEITELQPPNEDCPESVNNDKFEIEDYD DTKEETNEEEITPNSTVVEPEATQL cubi_01885 MEISPGIWFLVPNNVSDCSKFSIYIPNKKCEDMLRRDDLKIYKC KSIDFVRNLKLGRLNLELGELLNFSEDHINWEKTNDFEIINEDRKYHVVLNINIDNKC NEKLFMLCLGNDDYHIININEIHNDFFDFGLKNHLIKLNVKLIRDFLLKGLNNIELNG TPGQIHCFGERTFGLFCGKNHNRGSFSWCSGISLCGDGAILSFSHESFLLERSGHEVL KVVLKNFFQFKGETKKEKCVGFLGFSDYDSSNFIRNISNDNFIYSRGSLFESVELSNI EKSISNHKLDIIVINGNKEQKKPIHETKLSSKILKSYIFSGNLIIIGLCPWGWEYISG GRLNSHSISNGINKEMGMIFTNEYFCNEENYINIQNSSNCLLSSFYYWKELINSINKG IKNELNIDEYFLMTKDIECHLNMDLKTTEQNKILNLIVELLKKKTYLKLRPPFNMEEG INILNMAIACSRLIENKLDVIGDFQNKKYSFDDFDDWINANQFWKLEDNISDLYLSET YKELNLSTNELCCPVYDIPFEWQNTGVYIKYRKPLKVEFISGNKYCSFPFKSKIHIGS HSDFLRYKEKKILRRIPLINKIYNWNILESSCITIDSPCEGIVYFEYIPNIELSSTIE PLTKLNKDQSYNNIIGMIKFITLNEDEVELTPIYTIDKLKINTCLGDNRRVITDINVW TRIFSKENKKFSNLLPAWIELHGKKIILTIPSRVLYRINCFMVDDLLEFWDRVVDTQN ELYYNYKWTKERIVCDTQISDGYMHSGYPILTHLDIVEEHLETGGLLDLKTLKKEGNW GIYHELGHNRQSDYWTFNGTEEVTVNLFTMYSYYKLHPNLYPFNISYVIDQISLGIEY LLEINSEKEVPENMELIFREKWMTNHGIAFCNYLILIILFGWDTFKTVFQVYDRLLEI DKEIIQTQNNEQKMATWIIIFSSVVGVNLKDFFFQWGWWFCMESAKSEIDIQTLCVRI QNHLMNIKKIYVKSEVSKDDIILGYEDLALINGWESWRIDSLEALLDIQY cubi_01886 MSTKQSGRTLAYDILPGDICKVNQVAYNQARASDEKGQKHRSRG LTRDTRATVMQALDGRTMLMLERAKKRGVFSNMYGTISTGKEANVYRGTTFFDDLKLY GSELFTRNCLSQEDLDVIDEIEKSADLNYVNRAIKVFKTSVLTFKDRSKYVEGEFRFR RGYLKSKNPRKMVTQWCEKEFRNLRRIVISGLRCPIPIYIKKHIFVMSYIGYGSNLEN RVEDNKQHEIELRNNINNNTESAAPRLKDVPISLGKKNWIRLYIEMIGIMHIMFNECH LIHGDMSEYNTLFYKGHVYVIDVSQSMEHDHPLGLEFLKRDCINVTIFFNKVLQSRKN QEDIEVADNLNENDVDDEMSILNDLFSTLNNYSTNIILSPSELYNLITMIKPNDLVKF YEESLSSSNVELISNVKNIILNILESNELIWPEFDANEREYELKCSYLNSQKDIIIKN SNDNQKVFISILFFTVYHLLSNGKYNNAKFEAFNSITDKNYEDSKNKDGNSVFLNTWT PLHLNQIMDRKTLEKELERKECGQELLYGHFICDKNNNDLAYSDSVRDNESECGEDCV VRRNDISTELELEISSLSIKDDRFDLESSTNSNGEDSLHEPSEDELSEELKLNEDIQE KQIPKQFNGKIPENITKKEWKQLVKEENRERRLNKIPKHIKKKKKHSRKKNSKN cubi_01887 MARSALNNRLTALYSKENSTNKIVERSENERKCYEKDDHNEKET RKRIKKSVRDLLNEEFGVTSDGLGNLGQSFFPEDPPKLSLKDIAGIENVIKDIEEFII RPLKFPDIYRAVGVNSPCGVLLQGPPGTGKSYLSMCIAGELGLPFFKLSGTNLINGVS GTSEASLRKLFDDAMEMAPCLIVIDEIDIVTPKREGSNREMERRLVSQFANCLDKISG KFVVVIGTTSRPDSIDPIIRRNGRMDREVSMPMPDENARKDILQVLCKNVNVDKDVDF RMISRKTPGFVGADLKTLINEAALIRVNKLYDKVRPDKNTVDGQSTLSFDMQASEKQE VFEIAETSSTMTDEGEPNSIISEKNISDHSKLELSSCCVSHEDILEALQNITPSSRRE GFTTIPDISWENVGALNELRVDLELRIISPIKNSHIYDKFGLETPSGVLLYGPPGCGK TLLAKAIAKESGANFISIRGPELLNKYVGESEKAVRTVFERARASAPCIVFFDELDSL CAARSSEGNGATERVVNQLLTELDGVGERQKVFVVAATNRPDIIDPAMMRPGRLDRVV YVPLPNEMGRLDILMKVSKKTPLAQDVDFGVISKNTEGFSGADLSQLIREATLKALDK LRISDSSIFSNTDDFDFRINDISGIVTQDLLMSVVSEMKPSVREEQINFFENLKFGQK LISS cubi_01888 MHNELRAKEAVSATYMIKLAYDYSLEGYAANWGMKCMNGQFQHS PQTWPNRASPGENLYASSVDVSKLSSWDPSSVVKSWWDEREHFNWKMGGPEGGYPVGH WTQVVRAAASTVGCAIIVRCPGSWKTYVICHYDFGNLGYIPYPNFKLDKSLPQKPCSQ CPSGYNCCENNLCVGIINPKVPPPGELPIRSGNGTCNDYMKRLCSIRNGACPQSCLDL EYPPIKKGLIVQQCTCIEGESISPTMAWQFKLWYNRGICSRVKGNDGSSYSMKGVIDS GVAEIGAHDLDIDVSFKNRNEIKKISSNRFARDLSFIEDEPHIDIKHGPGLIANGSTE QDNDENSIPTKGDINSTSDVERLTSYSNSLVKIRSLSNGEKE cubi_01889 MNINNEASNTRAMQLHTLNKLVSEKKNANEMVEISLESNINMSE SFMLNCKGELDKAISIFEESREIIYSDVDEQMIIKISFIEPVSITKFGIQALEIQNET EKYEIKDQPELDVAELSKPRLAKLYVNSPLADFGEIEDLTPSFTKVFTNQELQEFSIF TLPGSKFHRLKHLTIFIEENQDCKEKTYFNKIKLLGYIIPS cubi_01890 MTKRNPMYSVIIPTYNERRNVGIIVELLHETFSKFPDGTAQVVE KLQECYPEVNLKLVKRKGKLGLGTAYMKGFEHSNGDFIILMDCDLSHHPKYIADFIEK QKTEDFDIVSGSRYIKNGGVSGWTWDRILVSRVANFLANFLLQPRASDLTGSFRLYKR DVFESILGSNMISKGYVFQMEIIARATKFGYSIADVPIVFVDRLYGDSKLGKNEIFGY IKGLLQLFWIL cubi_01891 MYWWLNPIPPCCDPSLLEPVKLPFGEGSEFNDTLSGLEKSKFVF GRKADCSIRLKDQSISGHHCTIHVDNTHKGLLITDTSTNGTFLNGKRLSKSVPIQVTD GDIISLTRPKMMDDNIAFHAVFKLVFSSKNPGIAENESLVDATDVRMTESLLDSKISK ATEASYNDVSIEDSKNNDCSEKQQEETFSGRKESISITNNERKSTRSSMSLRSQERKG RLSTKDERKSEEHVIRRSRGRPRTCDRPEVDDAINFEEENQMKVDEELIESEKSVEKE LDRDENERQEEKEQEKENMTENGENTLKDERKGDKEEAVKYITEVEKLNEKHDLSVEN ISQLFDVGTSDIIHQPKENRNSIVSQRISLNENRGFEKSYSIEGNSLTFPVQRQQVGL SGKRSSEELMGKLENLELLVSRKESLERTLRNELDAREEEISRLRELLDRSEINEQQT RQHNMTLMEELQKVQKQNLDLEHELMDFQERCRVLSASGEAMDQTISQLSEDLSAVRQ ELLSVNEKYNQQSLALKSVTQLTQRKCLSILHSLKDIHQLSSTYASAGTGVSSLGGSI MGISGTGFSSSSSNLTPWRNGKRSLNTNYGYNGALGVPQTERPYKMRSSPINSSRSNA IGIGDTTLSRRQSFSGNNHSTFSDDLDGLRNSVLGGSNSQFLPNSRFLSGSFQLEEQL VSSLKPSSSEMQSLVVNLNKGANEQAQIDEEPKSSKTISQNDSEDKSITVSQSLILNN TLLEKIPTVSRSRKSSIAQSSLTMESILNKENSVGNI cubi_01892 MKFITFGLLFLSLLSNVFGNGESSISLNDVVPGDTQVVVWSPEE KPDFTYARKVFELVVIRKLTLLHSRGDLPSLSLWAKRMKDSTLVRSADVIQEIYRHLK SNQIDVYLLPLGWETVLAKSIELKKKMMDLDDIKSVSRKAVLSAAWEAFDYWITVQDS GLPSSPEEWWEHGPAQLVKQLEAKISFASELNLEGLIEAAKSYSALRLTYGNTKIAQS QNSNELKALSEESAINLLLRLVINGCPDILDDVLTSVNRMFMLKDNGNYHKEFGLPYL YDDIGNVRSLLSPPKFGFPYNLNSKAGIERFNLHLKIRNANLEKDTDIEATDVELLPE YIKLHYILQNSVEAFKFINWSEDDVEYGYMYGLKSNTLFEPVSTLWKALIFNKMGEIH PEILSLNKCLLSPEFSTLVSDFISKLEVSTEGIQIPPIYNIYSGDYSKLERFINTDSP LPQVESPAEEVRDVECTNEDVFEEEQNGAFDRKLLSTSEDMVLEPNQGDDLGEENLSP YIATATPTSTTTTTTTTTTTTTTTTTTTTTTTTVTISLRTPSLESSPSPLTESPLLYT PESESESLSPVISPLESEVGMSVIPRDGASYLESQESGSIDCSHPGLREDSVLCLSHS PQGNDYE cubi_01893 MDDESIGSCESFSRHIKDFSAVQNSMKNHGAPSEMVDSSEANFT KDLGALQHYSNNDALLNEKLNELMNISKKRGSSDSPLVIRESKHIIGEILKWYFGTSH VSLNDITPDISDAENVFVSADGLLINLYYSFGFEIDSKSSLQTLPYVYLFERFMNYLI EAGTKRIILIFLDSFNNVLEKINPGLPLLRNSLILHSKLNPEAFNAYHFTSWLSEEFQ EFLRELEPSIFLIEDGSSISNLESLEVKHEHDIIQEVPNEDDESLDEYDSENLDEVDI STSLLSFALEMLSYSNIAIFYKMERKGQRITAFTYSSAIEPRFSEANKGIMRQNAQLK IQDEMRLSSDANDDFETCNGDVDSYLELKDYEYNIAKELKSSENDLFVQLAKSIIHNS ISKEDLELSKSDDAIMHNIELILLFSKVTLLSGYLRSYKLSYLDRHYISNYMLEFKKS IESESEQADCDNEGWEFAASILKSLESLFISESMKQLRSMISHFEEVKSLIDKSHFVS NVCDLNDPLLLEFLFYIIGYTSLKEEKIGASLLMEIIDLESSDQSKLEDLWSKVSMFN NEPFFPLLFSGNLNRYVVEDNDKRFLNLFGEILFSREKLNTQKNSIVNDVKLLPIHSK FVVRVMSELGVGISENGQIKGAIVESDEKFDSQNNYLNQIIQISGIRDVASWEIDRPQ ETRPNLKQEYSGGKEGSSVKLSPELEKKRQLRDNQRKQQFWFNLGQILTGNDKLQQTI VVNPFHVWNKLSAKETEKEKNLSGTSSSSKAEEIRRKNEEAKLTKMRQDDENQLRHYE QKLSLILSKGDPDAFMLSVYDMLIGIPRKTNEFGRFRGLSKSFKLPKSRSKILIRTLQ TSISVLRSFKSSTLPEVSHMVKARSAVCMTFRLAIETFNQYYSYFDKNDLLEVTKILN NLGFSSSASNLVESYKHKMESDIKSMIDKVESSNDDSKGKGHDKSKKSQVGKKSNQKK DKKGGSENSTFPEDTNYSEYQSIYSYVKKYLQELKQSTKTGKTDKIHLSVQDGCESSF QLRYMGADFERSTGSVADPRVPFSPDYWQKQILDIIDSGDSALVCAPTASGKTFICYY AMEQVLRFDNESVVVFIAPTKALADQVHAEIAYRFGSKTYPNHSRISLLCKLSRDYSI NIPTQCQILITLPFMLELLLMSTAYQQWVSKIKFVIFDEVHCINEAEGGVYWERIFQL IPCPYLCLSATIGNPLAFYNWLQRIGKLPSSKVHFITYSERYADLSTLVYDEGNLYPL NPLSSLSYDRVLYYGLPGDFYLPPNDGLDLFLSLKELLKCNSEVTKEYLIWLDPQVFF SGTPAITKRQYRFYLSTLLAITVKLVQNNTLTKEMWLNITGNLLLNPSIKFQRQMVEL SKKNDNNLYNIIMGVENIKNLLTQVTNSIDGNASEIGTLASSISQAKNAIITPTRPIS AYKTAESLLRFIRNLQQEFMLPCIIFNMERSVVEHLGKSLIEKLTTDHHDKYYGSEEA INATKAINKRRQEQYAKDIQRRDMLLKFKTMSRQQREKQGIVIDESELQALENLQEPG DISEEYDPEFSFCDRKVMGGREREIQDTLYSCEGRISPIFIEGLKRGIGIHHDGLSLR YRRAVETLYRMGYLCIIISTRTLALGVNMPCRTTVFVNDSISLTPLLYRQASGRAGRR GYDIQGSVIFWDISVGKRNRLLTAELPTIKGNFPVTPTTVLRTFMLFENVLNEQTKNN ISKERAVITFKALVKFFRDSLYSVDYNHDFHKKLTATQFRFIVDLLRRFHVLDNYCGC TGYAGLVSHMFEYEGTNLLLSRLIHSGVLFNYLFSENENIYVEHNSNNELANLLRKDP IQRLLILLAHVYLTKPMTRNSIYNIKKQIEKSSLLNLKQRISSGRIHHLLLSLPDYIQ REIDQFNEIVFSNMRNSLSVTCTDIGYDTTEFNLPLSNINVLSKKSKLSKDSVISSGI DESTEINNNSCIQSPFISIMGLEDRKLKSSQQLIYGSRVKLNCTHDLLSMVKADKVVI DKEKENVSLIIVLINSFLVDFYESQRFSEIIKNNSIASSEIFFLISDFKVLLDRFLYS FNLRDLQQYQNLNISLSKESLEFYKNISRLTEILQVLYNNYRIIASKNDA cubi_01894 MELPSQLKKSNTCIIMNKSNDQTDTANVDKKGKPSINIGAEQVA TVFEILLQDTTPKLSDFNKINLKKVKLEISKLDSHMLTQFCSMSEFSRNCESLLEEIL DSDLIIEFGKKFSSNERGKNTENILIESLMSVVDTIGIFLHFELVLFNEIRFSKEIRI LSNIIRSVLPTILSENIKNNRLEIFNASFIVCLETINEIVRTRSVHELFSTQIILGLL SIFLTEDSNTMIILLAVEIIVYLFVSGSLNSNEFLENSLNSIFNVMEELPRTNKAIKK ARLCIDMDSNMHIYVYLLLRLVQSICIPNGIVLNDSISSIKSVSIKHYNLAKYICSQF ATFFINNIILHRTKRKESNLSTTNDIATVILTDLLKASLSPKYNVCSTITQTIIVQLI KISNSSLINEGGQKSQSIHIDLYSKELCIHLLGISLSIIYKSVNIQLTCIDSSRKNIE EGISEKKEQNERNDGINEAIVDCICGNSYKIDTHELLRCENCGRNFHLDCIRNGTYLE IYEQWKCDNCTINIIFNEINTNLGINGEEILQKDSELCVVLLIVLDYLYRKTAMTVSQ TFNESISLDMPRLVTDSESSAVCSFILEIIAKLKLGNNNKQKNDKEKSQNKTNNVDKN LPQLNEKIGKLYTMLLTEWVSPITKVHSSLIAYSSKFPKLLEANTIRFWNGILAKELK NVANIMLHCLLSNIYNSKITLIRRISLNSLGQVISVKPNLLITNETVLNAIILSLKDK TPKIRERALAIIEKYFSDISFNEYCDCIKNGENLGNNFTRVKKLLLEQIFRTAYDISP LVRMASIKILKNLYHQDPSKLNIGIILLKRASAVEETQTIRRLIFDSFTSIWFHNSSK VNVHMAECLVKLINYSESNEFLQYINHEQKDSNCLMKGVISILQESQSIKSFEGLITR WSNILVDMFIQCDNIDPIEQEKMVSGENGLKSERSNDEDALKNNLSREFSEKKLQILK TAEVIGKVYPKSIKDMYLYIVVYLKDFRQFKSEVMIHICTVLSYILPHVEDLETESIE FDLLKITTNSRSPQLIRSSILCLCNLLMKGEKGFNEGNALSPLILDNIRILWEYKHKI LNTEFNIDLKEVDQVRRSAWLLGCIFEFSSPNMVLAIVDNKIERAKVIQTEFGISWTV ESLSGEKMPKSEFGEDLGHEYTIVESSSVVFDLLCDLYYTLDWNLNKGVLFPTLIQFL MNQKQYVKTVKFNNLIKCAIKGDKSFASEDTHVKHYIQDSENTNNNIANSNLCIICLQ GILSLLKSYEIQALKENKIVQENDLESSKKGNTSEDKANQFHDEINQSEDMFLGNEDI FSTPNTNKRTSMQSEKNEIGSPVSYSSYSNMENISSIRTSIFGSTAHNTSSVSASQPI ASNLELLLNLFQETTGFQKGRFSSQQKQLVSSLILCILEQLNKQGLVNPTSIIPQISG LLFSSNREVSFKSYQIISSLFERFPNLIINKYKEISVYGFVFCTSNFPGLLGVYHSKN DTENVSRSIFSNEIVEEKILLKNRSEVLETVLEFFAKIYSEKCRNKRVFRESIIRGCC RQLELLLSNDETEILLTKFKTHLKLEKKVLLVLYVEFISYILLAMPFLYESEILLILF FLGEICVNCSRSLSHDSEQILQIDSGQQHFCNAILATVCTTIQLILKEEYRISENQIS NFNPYNSINKEKPKFSHQDSELNNGVIENSTNIISLGRSTIYESLQSLREKLHTIWEI SESDDSEKKLIDYANEIIYFYTQTTCEKVSKPVKRKPTKRKSTKRNRNGEKEYSDASS SQSWSPSSRTNKSRK cubi_01895 MELEKETTECGVKRIALKYKDEFLHDWTLICTRIENGLKLGDQL RQALEERASLEEMYSNGLERISSRFFPSATESTSMTFAIRTLRNETYRRSQQCRELCE TLRSDVLKDTLNNMLENHKSAYCHLLSSGKRITSEIQKRYLNFTRLRDNYGIARHNIG QLSLRYHELNRSKSSQEKITLLSKEILRKILELDDLEKEYNNSIESFDTYYKFYLTEM KNIIGILEDMDTKRIKCISDTFMKLMVYDMSYIRNLQYDSDHVIKSIQEIDVEEDIYE FANRFGISNNKSQDSENNMVNSTIFTGTYKFSADQVKKESWREICRKMSNLKINDDEF ITFSTSQMAEKNIALDNIVDLQAIISNLVNPATAIKAQKAVKILLSQFNMIVNENTKN YIHENYFNDLEFQENIENVLVKDEKSHSMESFSTACSNEGVTSKNKDKNSENMIIPDE SCTENNIERVDFGNIYKEFLKIIMDLNERNNPESKQVIEKALELIHDKEIGLKEYVNC DLYLSVIIDEILKEDIHKLHLYLGDELTQVLFKHMDMIMELIQNEKNTWLFRKMLFIS ESLIIDDIELFANIYKHDVWSVVKIWEETILICISEQFQRRILESDNIELEKFRMVKD IKCFDILNNVETLSYLMKRFGIPEIPIITLFTKVCSQNGLEKNYCDQLICKIKNTK cubi_01896 MGEKNRFFSGIGGLHLSIKNAADSINSKSDYFHSDIKIEVIKAF EINDCANITYKKNFPDTLICNKNIESLNLHDIPYADIWLLSPPCQPFTKGGSKKDSDD PRSKPFLKLIELMPLLESHDKLPKAWFVENVANFETSNTHKKMMNMLSKLNFCTFEFM LSPTLIGVPNTRVRYYCVSFRKDSDDSIKQLNELKKSIYEKNYQSIANNVLSSHPIRE NIKNSQMQEIPTEYSNILCSLPYEYKQIIQMMNNIPSSINDIIMNSNKIDDESFKLLK QFANNIVKNNPSFKFDIVNINSKASTTFTKSYIETQGRGGPLFDYSENHQKSEKSIID YDIYNHIFNTCTLEHQRFQNAQNKNNLRCFHPQEILLIMGFPDNWFENININIKKKYS LIGNSISVHIVTILLHFMLELLIN cubi_01897 MGKRKTKKVEVKKSKVPKLDKEFSCPFCNNVKTVGVRMNHKERL GHLSCRVCGVEYTSRIGKFDEAVDIYSNWIDKCYEVNNMAQSTSSSATDQLIMNENGR LIGDNNDIKATQGAGNSDLVNSMIYSRSKKKISFSMDDNINEDNYSNENLSRDLRNLN PDEDEEDDYEDLKDFIVNDNEEETKRNDDNKQLPQLSNDEIIEKMKKDLEINKSRKIK EDSGIFDINDITKSSSMAKSFTVNEDDGLFSD cubi_01898 MDDVNLELEALQAIYSDKELTIEAKEDCQIIHIQLVKEAKSGIN SINEDNEKIKFIKIKVVFLINQEYPERSPPEINNINICILDGKYCMNECPIDNYHDEE SDNTILFDDSTSTYVNVDEVINYYNSIKESYIGRVCIFDIIENLQVFIDDVASKLITN QESQVVYENPVSNSIEEMEGEDQAAYSGLTERILCPIEERVTEEQFNLWKIAFKSEMI EKNIWKDENQDSNQLTGKQLFEKDESLIKSDENSSVIIDSTDTL cubi_01899 MSIINGDVSEGTGSSGTQKGRRGRPRRSNPVTQISNLESEESSM NDIPVEKNGVVSVCEIELLTEEDKTIPDAKLASYNIEEEFVGFGVGLSTGFDRYFQSN GFNSMGGGNTGIRLCYSGLWRKLPQNVPVRELAMSRYHIAVLLNNGHVYVTLNDMNES LYGNFENYQKISGVLVNSQQERPESGNEQRNSINSSNTLLLESSIVQGSWLNIKELDD KNIRGLRIASIAVEAGNFEHKFGIKSFVLACLTSEGKIWIVEHNSSVQGGFNNLRHID LRQLNPKNQDETKDKSLTGNEFYRAVDVTLSEMIPIYGKSFEKIEKVEEINEYQDITS ENFLPSFPYKYGMAITSLLVDGQSSSIILKKNTEISNYDKMVVLDLPVTCRKTFCGPN ADFGLILLQNGLLWSWDRREGLIKQVRQSHDPPKSCISIREFNQSDQEHFSEKINLRV LNGSLFGRKVIDFSGMNGEFIALTQDGIVHEWNDPDRMSIFKRPLILNPIILTPKTHH LIVKKALSNGGYWSFDAITLKCNQVPDIQKPFKDDNIKKIDLNTGVIKEMMNREINEM YDPNNRIIGVWLLEGITLVLYKDGVLKALYNYLGRDGYDTKVGFISEEDELCWNRQNS SIKNCILLSSRLGRCIPANPIALVGLLSNFERGKSLSDKQKQLIIQRSLVKLSLREKP VYRILACYNSIVLGILRSNAKWRPGRGQNSKKAQPSKLICKSDPSSQEQFKLNDEEMN FSATVNLKDVKEIVQNQENKEDSNPETFTCNKNHVANLSTNQELSTLQIPSVKDTETI LNKKVDIHDQNQVPNKGRGSSSKKPSSRKSCNSVKVIASSIKNAQDNTPINSSTTTNS AMISTSNTNIHVTTNTVSTMDESPTLSKNEPNPSIETNNVNPTSYKLSKDTTSKRRND PDSSPFSSSVPPTSNRRSSVCSQKAFNNTSKAQVNSKTRKRERRSLSKSEDKENANCM NNLDNKSLYNTEKEEEHSPWGSKKLSLVEYEVEQILSIREKPLTKQKEYLIKWKVPGH PVQPTWEPEENLNGCEELLQDFLKSIKTSRSGRLLLPCTAINKIT cubi_01900 MTQIEELFTIDLEGEEASHYENQTEESRLEYEVDKISQEDGKVI QKISDDEVKINAYKSDVKSDGEIIEDEDEEESQNDKYQYQDDVQDDLDNRSSYIFNFE LNNKKLIEKVKNLQDNELESVVSVELWNLYKEMGPNIWPSFLRYENPPKHVKMLESRF YLNNKSGKSDQEVVFANIKDNCNSLNNVNVYEENYLSHINELLKELSEKKGFYSRIFD DEQNTRDGLINLYLVTNGIKKSTTSGRCQFCGCSKCDRKAKNCKANRCFKCLLKGHQI KNCDTKYGYFNQQLLLPIRMERENVFTERNLIENLFNFSKRRKFDIQINNSKFCICLN CNQTGHINCSRQVPMFSKGSKVKDMISSSENRFLIFPEDSSSVNQSNRNQYLKTNIII PSNKLFNISYLLEFTKSTRNQNNAQVKIVDVKQDKPFFITHNNFEQMNGIYPQNQMHF HHPTQFNIHNHNINMQQQVNTQTYSYQNPNIQYQHFQNNQQFQTHIFHQNPTYSHQNQ LHDQLYHYNRPVSNHINIGFAPNQNNTVYSSSIVQIKRANDAPNIRRKGFNCGSNFRG RKR cubi_01901 MDLFWHKLICSVLLLLSAILGYFFPAKIDSNEKNKGYKSRILPT LTAFGAGAFIALALVHLIPDAIEDSSSGLLSFKISGIEINGVCYLILVGFLFSLIFES IVDEFFGTHEIHGHFHDCYHRNSNHNGSGENINSNVMSTNVSSGFSSDEDSCIIDQFD SDLTTDKKSSPLCAPNLIKKQNSLSKKVKTNLFENAGKTKKETVASSIGFVLVCALFF HSLFEGMVVGTSKSILGTWVITCVIFAHKWIEILIVYMTLISKGINPIIYIIILSFGS PIGTMIGAIVIISNSIASAICSALAAGTILYVACIEVIPDVFNDKHSIPIFLKLGSFI AGIVTVSTITLVGDVVENSY cubi_01902 MVLGEVSGLSKSRVHELRQFFKIVDRDKTGKVSEEKIIHMLNAI GVQLTKRDKLAIRAESNERGFYTEEDLIKIGEVVYNDRVIARDLVESLQSISDGKDTI SSTELRKHLLNIGSIIKLTPEEVNCILSDIGENNINIYDFVSYCISE cubi_01903 MALLSDFIEKSYLNILKNIDNFEQTECNDPLIKEQKKANNEMES LLFDHKNTGEILAKKDSILSELAALKEVQEKKLYQNKKNKYAKINMIKHLIKGELLFY TKE cubi_01904 MLSDIEKSIQYLKKIEDFHHKIDTGIIKLKGEFLNYLEKPLYDY LDDTRKEVDQLYNETRIYVYIYEKPFNPKYMTDDELISRYTMAKLIDIKTRNLMNKTI NMLSLENDHYIEVMQKEGYFGY cubi_01905 MSTFYNDKNRTSSRLSPNNRRSTESTAMNYRQSSVPPLDQAIFN SKNLKNRPLSAINSEMDSARGSSIAKCFKKGLGGSILSSCISVNDTHRGQHPESFVPG SDAQETVRRYRDSYSQLRQLFEKLKEQNQILQEENYRLEESLKSAQDALKANRTMDTK NLSSNLNYSQKCLDACVHYISYALRYLVENLEENTINISKALVERAVQQRIYLLEPKL DDSIKQLQQNIVELEQKTRIAKLNEERLIMAAKNNKLQYPESNQNITETKHNVNSQNS SGAESEIVSEKEYSKPKSSLDTGKFDKSSTQSLTQSKMVSKDHAKNTSNISRSLESSK ISSQVLSQSKSPISASKSITSKSHLEKSKPDVSKNEGSINKLIENIEKPIGEVEKMVD IVSKSITSSLKSPSISVSKNSSGVLSKQEQNLSSANLKNDFSDLKSGNSDASADLLKD SSASLPKKDAPITSTAPAKEAPASTSMKDAPVAPLKKDAPTTSATSPKEIPSAPSMKK DELTASLKNSVSTMKKETTASPLKKNVPTAPAISTKDAPTVTPIKKDAPVASTAPTKE APALQSMKKDAPTAPPKKDTPIAPATPTKEAPSVPSIKKDAPAIPPMKKDAPTASAPV KEVPSMPPMKKDVPVAPSMKKEAPISPKKESSAAPPAKKDAPTTPPTKKDTPVPATKE APAALPIKKEAPVASHIKKDAPITPPSPLKEAPTVPPVKKETPTAPPMKKDAPATPTA PIKEAPTVPPMKKDAPPLPKKEAPAAPPMKKDAPAAPIKEAPAVPPMKKDAPPLPKKE APAAPPMKKDAPASPTVPAKEPPVAPPTKKDIPAPPAKEAPAAPSMKEAPAPPTEDAP APPIKEAPTAPPMKKDALPLPKKEAPAAPPMKKDAPAPPTVPAKESPAAPVKEAPTVP PMKKDAPPLPKKEASAVPPMKKEAPVPPAKEVPPTPPIKKEAPAAPPMKKDAPLPKKE APAAPPMKEAPAPPTKDAPTTPVKEAPAVPPMKKDAPPLPKKEAPAAPPMKKDAPLPK KEAPAAPPMKEAPAPPTKDAPTTPVKEAPAVPPMKKDAPSLPKKEAPAAPPMKKDAPA SPNVAAKEPPVAPPMKKDIPAPPAKEAPATPPIKEPHAPPTKDMPATPPIKKEAPVAP AMKKDAPAVPPIKKEAPTPPLKKDTPAPPAKEAPAAPPIKEPPTPPTKDIPVVPPMKK DAPTPPAAPVKEAPTVPAIKKDAPPLPKKEASAVPPMKKEAPVPPAKEVPPTPPIKKE APAAPPMKKDAPPLPKKEAPTVPPMKEAPVPPTEDAPAPSIKEAPTVPPMKKDAPPLP KKEAPAAPPMKKDAPAAPIKEAPAVPFMKKDAPPLPKKKAPAAPPMKKDAPAPPTVPA KESPAAPVKEAPAVPPMKKDAPPLPKKEAPAVTSMKKDSPVPLTKESPAIPPIKKEAP IPPPKKDMPAPPAKEAPATPPIKESPAPPTKDASALPPIKKEAPATPLMKEAQDFPTK DAPAVPPTKKEAPIPPAKEAPPPPPIKKEAPLAPPMKKDAPAVPPIKKEAPAPSTKED PATPLEKDVPVPPAVPPIKKDVPSPLKKEPPTSLLKKDVPPTPPIKKDAPAAPPIKKE APSASESSVEEAPVVSTMKKDVPLPPIMKKGAPTAPPMKKNAPSSAPVKKSPPIPIKK EAPN cubi_01906 MTMRSTANLMLGVAMGTALGYMLYPNIEGNNLKHNFIKNMNVQS INIKGFWLRKLNHFYFDKFTNSVTGGFYPSAKLPSMNNLILRESYLSSVSFKDKIPNW VAEKISEKSCSGKANRNDCIFQVDPEVPLIWSAENKDYVASGYSRGHMASAGQHKETA TAQSDTFYLSGNILPQDLSNNGGDWYRLELISRELTKYYQDVYVVSGPLFAPSYMRSQ HFKDLAKNLENIKKEDPPKDALGQICVVHKKDVLDKARELGDEKEIDPKFIVTSDLND TSIDSVTYQVIGDKLVSVPTHLFKIILAVHPKKIQDNDLPPIAFGSFVMNNKPEDKRY LIQDYMVPLKSIEIATGLDFSGLKEFAIDYLKTNLSRRDFKKLLNENIDQVENIYSIC NVKDTLKHGKDAQSICIDEDSKRVWSWRYLGYIKLSNTKQELEDVWSTIKKRGYDKEN FFLRKEYVNKCKSLGIEPEEF cubi_01907 MNSEQIIESETSDESCMSSVDELETSNINNEDLSANTETFQLGI GGMEVPHEKEDFRRVLTPLSKDQLVELLAGACIENQEILRRVFEVICESRSHRRLYIK NLPFSANTESVIEVFSQFGDVEEGIVLKKDGKSRGYAFVTFKTIESALLACKSPVNMS GRFLMVKLAADPFPFETKRSDAIRRKLFVRNLGFETNEESLSAVMGQYGELEESVILR TKSGESKGYGFVTFASTEATIKALQQPHHLIDGRLVFVHQAIEGKTRIVKNRENYSLN GSKQTNYENKSVESKDLLPNSFNLGQVLSSSLPSSSSSSTSSLSSTFDFRYINGELSK DSSFVHLMEKPSSINDFNMKYTGANRVLFDNEYLNPANDVDSNLSNFSDLMNDCNLIE SSSANQGNNNINMRFYKVKDHQNLKLDHSNGFNIPAKSNNLTRFEIPGNTNFNTRNRI SIDARSTKAVHLLNNDDPSERNYSISVDGNVFSNNINNNLNNNNEIWHLPKNIKPNSF LNMHVKAPTYKNLPVDLSFSTHWGTSNDFKTKMKSNMGEINIPSTEYNEFGEGSAYNF YYKESQRFPIGKAASWNNFVDRFSHNFDSDNFLKNKNINGKCDSKQIASPSLILDKRF AAF cubi_01908 MGRVYGRGKGISSSAKPYRRRPPTWIKLKPSEAEDLITKLARKG LTPSQIGVTLRDSHGIPMVQSLTGSKILRILKKNGLAPDIPEDLYFLIKRAVSIRKHL EKFRKDTAAKYRLILVESRIHRLARYFKRSKQLPASWKYQAATASTLVA cubi_01909 MKLIRFLMKLVNNSVVIELKNGTIIQGTIVSVDMSMNTYLKNVK MSVKHRNPVSLSQITVRGNTIRYFILPDSLPLDTLLIDDTPKQNPPRVNPISGPKFGP KSVINSSGGGSFDNKSRGSFYNRR cubi_01910 MKLKLVLLNLMLFSVIGLKGEEFNTSINNNNKAHKLTKPTASAS SYTSHKQYSSGPINSEKKLQSFVKELNDNNFEHLTQSATGSTTGDWFVLFYLPNCQQS QMSLVELDKLSVIARESLNVAKIDVSKNQQLINRFGIVAVPSFRFFRNGKMYTYTGMR NAEVIKAFIWNKDYKKLPSQPVPEPLSTFDIIVSEIKHTINDINKLIKLAPLVTIIIL LIGFFTGIGVTNIFSRSSARPKTIKSNGKPKYDHYSDNEQEHEEEDEDEGEEEEEEDE EEDEEEEEEEDEEEEEEEEEEEEEDEEEVEEEEEEEEEEEEEDEEEDEEEVEPVHLKT PQRNRRTAPSNPAKEERLNNTYNTSVRRSSRLRKP cubi_01911 MANTNKFHKNGEFVIVTTLIILLVITNISLFKTSYFFHTLICSV SSLLTYVACSKLIPTFGEKLLENGLFGIDINKKSNNLNSKEKEANISELVIIDKKDNI EKKIPESLGIVPASMFMITAICNQILFSNDPVKLLEYNSGLLSICMMIFLGFVDDVLN LKWRYKMVLPVFAALPTLVSYNGGTQIIVPLFLTGGDYSSRILIDLGYFYYLYMLCLT VFCTNSINIYAGVNGLEVGQSIIISASIIVYNIIEMLTILPNWQFSFRSNHHFFSILL LLPFTASSLSLFHFNRYPSLVFVGDTYTYFAGACFAIVSILGHFSKTLLLFFLPQILN FIISTPQLFGIVYCPRHRVPKFNEKTGKLESSKNLTLLNLVLEITGPLTEKQLVYCLL IIQTTFLCLKLNPRQGIDVTLPCLGRAWPVNPSRKLIFESLGLLCIILHISNLICYD cubi_01912 MAKLKKAKSSKKLSFSDVGLEPKPIFELDNLNYNQKREKNKKNL ADFDNNEDETSEKEVKLMTKSELKKIEKKRKSLNKNKNKLGQQPIPTENDEFKIINKF PVDIPTKIDSNNSYKGLKNSFKIVNEDKEIKSNSYLSFEDSFKSISEFNNSSFNTDSN EGSSLDHEEFSDKVEANHTEIEDNDTYENFFNEILDDKVKKEEMLSASKKTNHLNQAE KEIQENDQKFSQDITEMLKNDVQDYNGDTIKITNSSLDDMNLSTKKEDSQDSIQIVSQ SETTSPKKFSKRKMLYSFANSVWDYVKPVSLDDLEKKQNESKKTEIQTNHHEIQQDEN LQNHIEHNNSTHEIINSTTEFNENTNENKIHNSDEHNEKVELDQVEIIKRSKSQEIIS HLNDYNQINNQGIPSNCIPKISNSHNSSLVSTPKRKSKRQMIYSFANSIWDYVKPVSL DELEERKKTNEFNINSTQSAAELKNDSFFNDEVSDSEFYIKDSEEEEDNGEEKKEIVQ ETINEYGNLEEEQKQTEKEEIGNINQFPIDIHQEETKEICQEQIPIPLETIVKQEEPK EIIEKVEEEKEGKILEKEEEEKTTKIEEKVQKEPSLQKEKSEEKKQDQTNVSEPPEDP VGKNQIPESIVLVDETCHTTQVVKENDDIPIPLIEDRKNERNEENKQAQINIINPEIE TDPINSKLQIDPVEKEQTKENKEDKQNHLNIVNDSNFKNQGVNLDQTNVDSNHLEKIL QDIAADSNTVMHELSQISIGKNENKNLIQIPDLSEISSIQEMIDSNGLVISQNKEEKY IENKTFDSEHENQSSIKNPLTDNVIHPTIQDKEVHFSHQNHFDSAQRMVERMEDEILN TNLDIDEYDELFNNLNIHGNFKGDEYNMSNLDTNLLSFSAKNQNEERNNPEIKQLQDL HKAHQHLYQQVSIQEIDDSIFMSISSDQFLFENTMLISEKQVSDHQGLGYSFRALKCC SEPRNSNECSDLEFKVAKTHHHKVNKSKLFNKLYRLENKVHRKVLEISKRVNLLNQER EEKKSNIAINKNVTLINIESEPGFIFIKEKLINKEKELVVKEEILPSEKNNNSKTLLD TSVNTDTIQKTNYYQEKGINTNLDGVEETQSKSLDIKKTDNFEEKDKKVDSIFRNEIY KILDNTKLVKKAQRLKSGYANTDQDDKEANNNIKNISNSNLRYNRERYAKPGNNRYDV ITQSSRYIKDYPESSSDDSVQEFSPKTKVVNKIFPKSNETFQGKNIKKDGRNSQILMG NIQNSHVSPRSLSPTNNNNYYSYYLRNNSPSPNIPNTQAFNSNNNVNSSSYYYNGGNT QIRYPEYKIQPNRYLDELGGSNYHVNYYCGRSGGFEEVRRYIYVDGFTNKNQGSYYFC PPGQARS cubi_01913 MNISRFWLLITIILIYITSLERDAEEKGLVNYSSQVSILELTKK KSKSKKKKKQKKKKKSKKSTREENKKLKKKVSTKEKRKENKMKKLNKKQQEKMEKKQK KLEENKKKEELKKKKQLEKKEKEEKKKEIKIQKQQEKKQLKEQERSQKKEKKKGKDLN KEKSVRKNPFEKFKEIRRNKIQNSRVYNKLYNTDTDVDTDISEAEVETNSSLNSTNKI RSSQVKPVSSKSMVSFPIINKSKFEHEDEDENEDEE cubi_01914 MGCNLPFLKFNRELQQDLLLRSYDVMWDVDLGGSEFCRVKLGTC RQNGELVAIKIVTKTFCSNFEKDVLLYIQDKLKGNTLLPELSNTKSCNEYFPKIFDTF EDIDFIYIVMELSFGGELFHLLVRSEEKLSEETISFIFSQICTALKVLHSIGIMHGDI KAENIMFSKENRLDSIKLLDFGNSCMLSNEKTGLGKNKIEIQIYNQCFLFNESKKEIQ FNQTYFDFWSCGKLLYLMLTRKYLEIEFHECFTLSDKTKKKILESSELKFCSDLAKDL LCKLLSYSSYQNELLTMEKVLCHPWFNLAKNTPTINHHIYPHDELIKVIS cubi_01915 MVFLSVYYFVILILFSIKENKFGIAHDTKLQSENKTETIKLNDG NIKTLFPIDAADLLECSKHDKYILLDKTNQLNKNGTHTNKLLPLESVYLSSHFFGIME SIAGTSGNFVNDAISGLQINRVVQVLKETRIQLYLGNFQDSPNIMPKKLSSINLSCIY GNYFPSLSFYHVNNFCEFTQGINHDYLLLSEDHICNHKYSEKYMYGSTMKYFNVLASL NIPILNYKEFDQQFLSLFNTQNFYYDQQAHLNRYLLLSNELGLSLFINFGIGFFAKLE NEKYYLLVKIVPPDTFWIKDEYNEKQGNNNSRNKVINSQLCSKYENGSKFFNKNTVSI IETDRKNNHLAINRNSITYLNISLNGMIQCLGKYSINIYTKSDREKSLMFKIFDKEKH EFSDMEMIENMGKNKREFKYSYIFGNNYKLLSRINIMIINEEPNNTYIDYNISCRDNR IVVNNLFSYTWYVFKKKVLWNRDLEISLYSTKLTNHSNGIIFTIVILSLLISAPILGL FAVVIKSIIKRYDNSRINHLKIPLTINTHTKFENTYQAEKHSKYNLGENYLTKTLEIP LRLKKKFLSPSSSPASLQIHMNDREYNQLQSPVQLELYTAVSHNISETFKCNTDTCSS PSTIKSITFKH cubi_01916 MKKTNKTSGSEASSPKTPTANNVLDVFQEMKQAYLLKVPENIQV LDSFILFMVIISIIQVVYCLLIQTTYPLDSLIGGVFCTIGTALLVLALRIQLTCPSYF GNISPKTAFTDFVICCIVFFIGCASVLI cubi_01917 MDLARDYLSRLRSKCGEDTELYQEFLRIMRDFKHGSINARMVID QVAELFKKDTSLIAEFNNFLPEELRLQIPQNDCEYAAAFVKKVKDVAPEIYNDFLLLL GKYKDGEKSVNEVCELSSSLFASYPDLLKEFVLFIPELSGKAESLMQSNKQSAGNNLS DLQNDFLDKSEFLSYLASTVHSITTKSEPPKVVTRRASSTLPYEMTVVPELDRILENQ KKRLSSIEEGGEINDKLKSENLKQGAGNVRGYSSQKGAHQGYYYNPEDLSIWEADYRI FEEVYIAFGDNCQDYYQDFLKLIHLYTRGVFTVVETLLALEFFFPMDSGDLPYEVKRM IVQRESARRKYSYFCCNFAQLDYSNSARNGSSYLHLPKDYPIASCTGRIQSDRENLND KWVSIPQGSEDFSFKHMRKNVYEENLFKCEDERFELDMVIENNRSTINVLEPIAEEIS KLSPEDKKNFRLVKPPFSIIHLKAISRIYGDNGPEILELLRRTPYSCIPVILNRLRQK DEEWTHARHLMNQGVWRDIQTKNYFKSFDHRSFYFRQADKKNTNVKGFLCDINKAYIQ NRKTETEPNHISQMGSGITNPKIDSVMKNIYQDGFKEGIHQLNETEDRKLMNNSLRFK NESNSWSVDSEFTSQMPDLDVHKEVIELISFTIYRQANGPAVGSKARNFLQRFVRSLF LQTGYGSLDNGVVTLKELQSEGTPFKAGVGKNIQGSTSNGNFSSSSVSGTGEKSLLSV EAYVNAVTEGNGSTGNIPFNSASMSRGRRMTRNHLRKSNISGHISEDVKSKSQMGDDV IDSEDQNASKISDLMNRVGESTSIEDANAGGNSSNSNNSENASSNEENDLNTIFKSFS STYDCRGGLKYIWSDNCKVNWHSNNLSPDWFYSKKVQYNSEGRRISIEPLDVGELNKL SSSNDPGDMVRYIMGNDHVCCFMRYYQIIYERLKKAKSTIEQREQNPLPFQRWSPNGP DVPRPTYKQVIWCCFGLLSGELELSLFEDICRDAMGNDSYWLGTIDKVLQGISKVVIH IVNDLATCRLMAMNLAYRDTVFDHYKKLEEFIAACRSLLPTIQTSFYIITWSPGNSVL KIRMRQLEDYPMTFAPFIQSDSNNIENLVQNMKINNHKGELFSSVSTKEDMEDKQMVD LSKTNGLSQESKPEVEEEAEEDDDSKANDENNNEDPNIKSVNSGLKSLSEMKLIPPER TSKYLDVETDQVEVGQAVDLTNHVELLQQVLVHQLTNVNDEAMDSSENIKLDQFSDKE NVKISKLLQNNPVSFFVCMEQTFVKPLLYYSPKMKFIAKLAASAQWLGFLPDNSHRDI ARSPSILRESNSNNSIRHVNEPRKANRRGLLSAENHNQTDDDKGHWSEESAESNSRTM ISNNAGRKGTGKKRTRGMPSDMVTEIDDLDIRVTRRRAGNTSRGGFRR cubi_01918 MLATLGIMFVGTGSILASNSMYNVDAGHRAIKFSRIHGIKKKIY GEGTHFMLPWIERPIIFDIRARPRVVVSLTGSKDLQMVNITCRVLSRPDKERLIEIYR NIGLDHDEKILPSIINEVLKSVVAQYNASQLLTMREDVSKTIRDLLVKRAQEFNIILD DVSLTHLSFSQDYEKAVESKQVAQQQAERAKYLVLKANEEKKSIIIKAEGEAKAAKLI GDAIHENPAFIALKQVETYKEISSILAKSTSKSLINLSSFLPNLSNSNSSSSSSYTK cubi_01919 MATLHYDDVITSQPAIIDNGSGTIKCGFAGEEAPRVIVNSYVGR PKYKRCMAGALEGDIFVGSKCTENRGILSISHPVTNGIITDWYDMEALWTHCFSEMKT SSEDHPVLLTEAALNPRKHREKMAEVFFEVYRVPALFISTQAVLSLYSSGRTTGVVLD SGDGVTHAVPIYQGFALSNAITRSEIAGRNVTEYLNLQLRMAGTVFHTKSEMEIVKDI KETACFVSSNIQKDENDENQIGNSAFDAVTNSNNRVASGYPYKLPDGQVIYLGKERFR APELLFQPSLIGYEYPGIHEVLIHTINKCDLEIRRSLASQIVLAGGSTMFPGLGDRLL FELRKALPKDVKIRINASSDRNLLPWIGGSILGSLATFKTIWISKQEFMEEGPNIVHS KTI cubi_01920 MECNFLEEINDISGELNCVYKKIKFGVPKEFEGNEQNGILVRGQ NFNILNFPCKSSIVLILEPDTPKISEVICQSGENTANYNVSISIKVSPVLQNDNKLTA PLETLQGIASKSGGNSSENFKLFNHEENSGNYKSNITTADSSPVVKTSIQTSNKCLFN DEGDAEQTKEETKNQSISEKRQENNNASKRLSSIKSSIKRQLTSNRYVDICRNPEWPF IKQTSKVFSKNEQVSSRSQKSKIIQKGTEDSLNNENKDSNLISKKSSRGRTRKWLESL STLFDGVYTQRSTLGRTAGLGLFSDRHFQKNDIITEFVGWVIDRKEALRLRSEGKATH ICDLVKPSLYLDGEKDPKPFIGGGSFANDGSTFLGGPGNNSKFWKWYDEREGRSRVFL KATQEIHPGEEIFVGYCKDYWLDVAENDSANSSCKTNHVASNSKKSNKAIPGGQTRGR KRSIKVLESIDNVEANTKLTDSEKCSSELVSEKSQSSLKESQGKAKSKRKRKSLYWNT VFNWPNSDDD cubi_01921 METCIDEKKKFEECFKEWLNNGFTTGNFGNPCVNEWKIYEKCIN KELEEKNLLGLKSFTTFDLSGDKLTIEKNMADKQNGTITENSKK cubi_01922 MGEYLGNDEERCPYLSTINRSVLDFDYEKICSVTLKEEHIYCCL VCGINYQGKGRDSVAYKHSLELGHHLFINLTNSIIVCLPNDYEICEHSLEDIKRYLHP RFSSEIISSSLYNVSRTFDGIEFFPGFIGLSNFGNNDSLNAIILMLSRITQFRDLCLS YKLYDNEIEKRIPDPLLFSIIESIQKIYNPNNLKGKFSPYDLVKIIEKKSDGKFPFSK TSNNSAFSNSFMQSNIYAQNSIIIDPMALFSWIIYNLKKKIDKYLKKFQIKFIPETEK KTNKSHMNIINACIQGQLCLKSSQYSSSELLESNADKSKRYKKNSELKSDKNISFNCL TLTLPSIIESTMKISSSCQNNDDKTVFQIPIYQLLDSKFFSSSNSQLISKLPCYLFIH ISRFSKSNLNLEKNKTIVSFPLIDLDLSPYIHPDSISLNPCTKYNLITNISHKGSIQN GKFLTHLLHPTRNEWIEIEDINVKVVLPQAILLNETYILAYKRSDII cubi_01923 MEELAKKKDEIEKEVNELTEFLNSCGPDVGISGKLVDSEGFPRS DIDIYAVRRARNRIAILNTDYSNLMKEIEEKLFDIHSKEKTYVPIDKSDKSPKCGVAI CSGYPFGLVDSVLEGSPAFQSGIRTGDLILGFADLKAESELSSQEESKQLISRLSTLV LNNLDKVIKVTILRSNVKQPEELLSEFSSYISNSDLSYKVLNLQEFERKDLDLIPKIW QGSGYLGCHIAFFHKFVER cubi_01924 MAAVSMNELPKSQVQELICSYAVLTLSDGGVPITSENIKKIISA AGGSVEPYFPGLFAQALSTTNVSDIVASCGAASVAVPAAGGAGACAAQDSGASAAVDD KKKKEEEEEEEGDLGFSLFD cubi_01925 MDDNAMGLNAGVSGKKRRFFSDDDETPIKQSEAIEITQVKNTSE VLVKENLDVSSKDSTPQIIINKSARSKRVLSSDSSDDLESSGDESEKSINNSQSQQSS YSTSEDGSDDNSNDESDDESDDESDSSLSTRGRRKLIKTENGTPIRSRQVKNETKQTK RTSTGTRASTKSKSGADSKTKSKAKSTGGRKTKTVAKKKRASEENVSNSQDNSSDEEG NTAYVPRRDRDHKQILVAAILCRWWHALPDWPPPDTDYQALLKERNLKLVSIDDWEEA PDIDENGFSKVYQLSEYAGVFRDSKGNAHDLRPKDNKPCYSNLIKMEEGKLYELLVTA LENQIKTLETDSPGDSKLINELKVELVEAQALLSRRVSNKKK cubi_01926 MIGNVINLGDYVVIREVTGSQKLIHIKENSQNFFLGKYSIKGSD IIGKSYYSTLSLEDGRWVIGSILNSEEILKDILNTETLTENNQADEEQSLNSVQEFKR KRTRYNQLLGREVEVDSDDSNHENEPLDERDELEKVKRKRNEILLLNKANDSNLIRSL ALSSSSFKNKTNFSKEKYIKKLQLRYLKHITLLPCTLLNIIETSSCQPKIRSDKLSPN SVGPRWGQCTVRIETIGNILTHGNVFSESKVLLYDNLSNGVVGGSIYRQLCNIGSLYE ISMNRLTFDTFDGYFHGKLPINFEAIVNDRKTKTHYHTIPISALEIFYKEMEKLPDEL FEQDQDLLIEKLDIQHEWLNYKINTHSKGDLSDEKSQNKKKRVYNRISILENLYSEGV DTVILVIDQVKWQTTTNLQNDKKQNSIGNGDKGNNNINNNEKKVHEDNDEFSHTSYEK TMYFDMEKMIQVTQEYLKPGGKLLIFTPFMTTELLQIHQDLSLSTRVENSKFHPFIGI KLEETFIREHQIIDQRTHPTMDANLPIFSGFLLSAIHIS cubi_01927 MNELDSWNRKWRTLWCWTHIYVHYKRAQIHTRNLPEEIRYAYWN NKHYQFAELIWRNISELREWWIKVGQFLSTRGDLFPKEYVTYLGKLQDMMPWMEWNVT EGILRKELDPDLDEIFKEIQRKPIAAASIAQVHRAILNGGENVVIKIQYPDIQETLNQ DMKNLEQLTWAFGLAEKDFDFISILNEWQNSATKELDFKNELKNQERAYKMFKDSEIE IMIPKVYSKYSNEKILTMEYIQGFKIIDKMLLKKFQVNKKELLETLCDSFAYQIHIEG FFHGDPQPSNIFVVYDQFKKKYIPALLDWGVVQIFDKKKQIAFSKMVYSLSTLNLMGF IESFEEMGFQFKKDQQNFKDPEIYMDALRIVFKETDMDPIEQVSLRESGYAAYKTVTE FSYMKSKKIEELNSVEEWPKDLIFFVRIISLIHGICLELNESIPLLKILSRRAQQFLY EKSFNLELSNPLTKGFTNKYERRLNDYIEKIMKENDILGLQVSVIKNGKNLAIISKGN KGELNGNKIDENTLFNGFFINLGILVIAILICVERGYISLDDPICHYWDGFIRYGKRN ITLRHVLNHRSGVISFFPEDFSLNELLNYENMMRIIEDSAPQIPINHITRYNPYFLGW VLSELITLITNQPTTKFIEENIINPLGLQDGIKIYIPECNLSEEINISMSEEANEKSV NNNSNTNSMFLFPLFSPRSSTSSLKDKLENGTGGQIMNEIKEIIIQKLTPERMKKREK ESIQKQEETDECIYEEQDWIWFSDRYAMISRRMNFPSISFKNVLKKFHSSTFYSRRDQ SSKLSTLECFYLKPYILDPLIYNSKNLINKWIPPTNGKYTSLSLAKLYAHLSKGDIIT NEFLKEITKGQSIMYDHSIEGLILTYGGPRKWSLGFQILECSKINNNVQQEQMIDYEN KSFNSCLKGIGHSDTGGNLSFCFPEIDLSIAILTNDYVKGSYISQLILRQILKNFGLY LDNYVPLLF cubi_01928 MENSSISTQSLNPVIAGCTGNVNNNVGNNNNDNSGSGGCTTAVN TSPALGFQNGLPLVAGLLGQGGGIPIQGPQSQMTTVAAANQFIAQQIMMGGGNNSFPF IYGNLPNTGGGHQNQRVEMGEEDGTKPSDSLKAAAAQMILHEINKNRGQPQSNTATVA PGGTVTAPGPALSHLQPNGQFRMLGLGDEIPVPAQVVPPQPTLALTPLGLIPILPKVG SGVFIGGNGSNNIVGSNNNQNHQSLNSSVSLLPTQPAKQQITHEQLLQVQRQIQNLQH VNNSNSNSNPPINADFDSSAHPPFSETINSNSELCILSDAQEPKNEEKPAEAENVKTQ KAPGIIESNKIADYGIKEMEIDSKIMNENVSNKIELQRGKAGRDTQSTVPTATTAATT TAAATTVPTATTATTAATNVPTSSNACTCSASTDAAKTATNEGVGLGCGLSKSTTATA TTTAATTTAAAAATTTTTTTTTTTTTTTSFPHPTATRPPKSPEFGATSKHAPHAGIPT HCRANASGWRQIQLQQSLQSIAASASNSASVSVGVPVPGYIPLVGGCGAVATGARQGG IGDVQQLNHQHQLQQQQQLANNNNNHHPLIGDELRAVLGLSASAIRGVKANKQQVSEE AASNRRGGENLPDGHGTHTLDLISGLGAAGSIGINNNSSRLIAGAGSGEIPMEDLEGK AGISTLSSSSSLIGPGAAQNSVGRRMSKRATGRGVSKGSSRFQASNLLSIIENEQAIK NLQNSNPNPTSRVGQDSSRLRTKSEEGGSVVGEGMDLGDHIVSSLSNNSSNISSNTNG VSGRFGSRIHASQVISPSEISRIENLHFCAETVPHLVKNYVPAFSGKFALLPQPPLDG SSSFIGDFSESYYINSNVNGSQNHRYGGDIQGNQQEGGSVSQGLRSRKVKNSNVSCST GNNNNNEGEDVVMQSEKHPSKLLPDVLASIYGGEGLKMVAKEKLSFWMDLPCNMDRKK AKTGLGPSTRESLRKELKKQGELFRLVSTKDEFLCDSKMIRGADINKLYLDLIQRSQA VKICSEDDSCETSTTTKTNTIVTNAAANASNSTSTTASTTAVSSPSLPSLAFPPPVIS GNHSVSVISSNCLPPSNQQNFITKVEEGLKEKLIHHFSHSKVTCPGISVSSSTIETNS PTRRLTQSSIENSDHSGNRTENSDNTNNTNNICENTLVKTSPNLCQLDKGITKPPITP PRAIP cubi_01929 MTVSNLSNTSNNNANKVETIQLSSLPPAKLFQLRDQTQEEMNEL SIRIQQLNIVLNRFNGSKEALEQFKPENKDATILAPISQSIYVDATICDVEKVLVDIG TGYHVEMRIEKAKTHFDKKIEMIKKSIEKISKSLNDKNKIFDAINSILMEHIKAQQSN ETKK cubi_01930 MEEPLKKVPTDEERRDYVKKCIENCEAPIMMEYLSPLTSTKCQT ETGSEEKVGELKEGQNETNSSKKRSVRGSFKASERSQNLNYISKIDNLDRLCSNIATF GECKLGQDICKKSHNLEEFLKIKHEEAESRIYNNEESLLVQKSCPLFENYGICHLGLN CEFGLSHFNESMMANVNSNGEKVTPENLDEFSKSFEKNIIGIQLRTGLRQKTINFPKT QQFLDNFSSCISKFSKDCEKRNQKSSCKKGQNFENPLLSLNKLDQSKSMSEKEPNIIS DLENQPNFEIPSEFKLSPKDMMIGISKHEREKFKHELFREKLILAPLTTVGNLPFRRL CLKFGADITVSEMVLCNEILSGKASELALLKRSPEEKYFGIQLAGGNKSTIIKTGEFI NEHCEFDFIDINAACPLKTLHDKGAGSILVDRVSELEAMVKGLKKVIDDKLVTVKVRM SHGGSPINKNLFEKEYFENFENWPVIYNNMKTHKILSILCDSGIDALTIHGRTSFQRY TKEADWSYIKYCSALNSKLYSKRVVNNIESNNFNNKTNPFCPSIIGCGDIINFQDYQK HIQNDQVDSVMIGRGALLKPWIFTEIKETRNWDISSSERFDILKQYVNLGLEHWGTDK RGIALTRRFLLEFLSFFHRYIPIGILEQPIHTQNFNWRTPKYIGRNDLETLLASGNSS DWIKISEMILGKVPNDFVFVPKHKANSSH cubi_01931 MSISEVCTKLRKPGLQLSELCTLINTLGDCLTFEIVDGNQNVAQ IKSGWEQLIEILIQTNHPTVRHITFQNIKYILNGRNGISEKKLILSEISNGVIKLLYH WNANIQLEIMEAILQDEYPIAKSKILPGQPNVLSSLINSDIEILNYLLSLMIQYNNCQ LYFVVLKILKSFRLAIKDIHSKEAIKSIVDKLCQLEKNLSLNEIKKETESSKSSQDYF QKSSSYSKQEFLVIIFDIFDNIPNKFQDIKIVCYNMIKDELNLLFNEELLVKLNYYPY HTLIENGFKVITKISSSHPLVSHDHMKWMRTIWNLISFKVLGDNLNKYLERESMLLII LNQVIKSILTLYLDKGQILFIWNFELKENNINIEIDNNIITDETIFILNLDQLFQVFI NFVVNFPKDSFCSNDQVCEYISNLSAIINIGLEFRNEKVFNTNKLCNKKLLIDLAFSI AHFLKEVKILELFQPFQKKNTKLLESYFLLNYILCKSYYILVIVCNDHQFQTKENSLV KELSSSFVEWVICKSSLQRDEFMKICSELILKLVYFDLAPTWVIKYNKNQRIGLIPTN NSEIVFLTILRNLYRFSLLDDIPVEYPINHDLNQEEISKLFSNFYYCCVYFHRLDSKA KGEVLTNIELQEKIEEMILSCLPNLNFTNVYKYAKYFAVIGRYKISTAIFESIKLYTL ESCAWINILVHITKIYGSIQLFLRSEEASNNKVNSLSIQNLFIKLRSNLNNIESNFTF INNSNNCFFSSLYFSGISKITEFLIKSFNSGFYTESDKKIDHFEILIHLVGVLKTIFG LANIAKLISLQTFQIITQIYWSLKQIALKVILSQIKCIFDSLNTKYGSNNKEIVEKNL KLLKQILLCELGEKIPKFNILIEKSFIDNAIHLFKFLDFNSISQVEWQIVAKKVSSEN LPNIFLHNLRKHPNDLLISVCKNSRKPGEKSSFLLIYLRNLVPGQGNTPSSSLSENHS KFPENVLSVDLQSQLWHNVSSDTLKYACMHVLKYVGRFPPVLLIQKMLPHVYLRGELL GFQNNKDCAGHPSGISSETLSLDNENQVVPVLKIYGFLKNGFQTNLSEECFWVRLKIS YLFKEGEELLASHFLDLSVTEAHFSWAQPIERVPNAQHLKIVSVPLNRYKICIGIPYV LFINIL cubi_01932 MGNKDCDNIDEDEWYAGLGVVPLSSEKTSSSAPIQGSTLVADSN MFYEADHRNKEFINEDTSKDGVISGGKYHGIEDVPMSDINLGRELGHLNHNQKEDSTT NVYQENSITNSKLGQCFDLASRPGTGGSKSRYEGEEFRDEIQENEKEMAVTTIVEEEE EEEEDLGGVILAEEVNSKSYTNIPYGKGVSIVSKGSKSISFVRRDRVVFLGSPPELPE RVNPDPSTGGAMTRVGSIVVISNLMWWINDIHVRELAAEFGAIRAVRIIERPKDGRSL GICLIEYVNSDSAPKALQGISASFANKFEGRPLYVVPLPLHGELHIALDNITPHWSRG GVITEEILAMICQLVGVDVQTAGLEIQPETGTIIGLVQPRFADYASYYFPDGTPSWFP PLYVEALKHLRDLIPPSRLQNRPATTTSTSKHSSSKARSNYISNGIGASSNYAIMESD SDVLNHLDEDFGGGKNGEIKDSTSSYNNSAHIGSSSGDHPNKAIKKGHDNSGSNFSGS HGSNNNQNIEENYQYISSGRGSKSAKYDQGLSDHHSGGPGGGGSNNEDLLTSLRDDDD HFSTTGGTGSGGGQSNRRFASQRRDYRERGDRGERGDRGERGGERDRDRDRGERVDRD RDRDRAERERDRDRDRDRDRDRGERGDRDRDRDRVERGERERDRNRDRNREKGDRDRA DKGDIKSERTEKERYREKDRERERSGDLEKGLANKGSGGGASGGVSNIKESSERVNGG GNQSKKPSGGTGNVMFLDHGASTKSSSKKHRSSSESSRSRSPQRKLSSKHVRKDLSKF SSNSTKEKPWEGRGSGGGSSGNSNSGSRGSKNSYRESRSLAERGGWERKSNRR cubi_01933 MKEEGRTELASLGKISVSLEDFGENFEGSNIVVKGCTRKRRYEK CKNGDLVVLFGGYEMVMQILLEQNGKTQTRNGVFLHNEIIGRHFGSRIFDTSKSKWMV VLKLCPELISISLNHRTQILYQADISLICVLLDASPGKNIIEAGTGSGSLTVSLSRST NPGGTIYTFEYDQKRHLESIQDFQKYGISNIVCQHRDVCKDGFISPLLDITKITIHGV FLDLPSPWIAIKHADQVLQKGASIVIFSPCIEQVSKNCNELNSLKYIHIRTFEVLYKP WGIVRGSSKKFPKKGLRYQLPMRGHTGYLSFAIKS cubi_01934 MMNNLYTEPEIEEWRNFLDEKLTENILSTGYLSLEIPESFKLSK VTPENSSSYQYSVMYTPCDIRELALYCGEEQDRYVSQFLRLIFEKLLKLVEINDIFSC NIGSKIAFKSSRECKKAKIDETNCEDSKVYSSLTSESSLNGEIKPQEEIKWFTCRTVS VNSHSLPLSTPINWLSCEDIEHILHTVKDSFHLHDMFYLCFPLRLKHSCTHEDKDRIG INVSAQKEMVILLTEKFCEFSNHVKKSIYFNSLVGENLEGGEINIETSEKAEQVKLIE SKNWNKYFPMNSLRVGLFMTLKISTMNLFKMNPGLFQTPLFINPFYNSTSCPSCYFSW LRLINSNDMSNKPSSSNDFDDIEKVFNDEEMLILSLETKVRIFLNGSSPHEEYIKVRK VVPKCAEITGEFAENMPTNYAGEAIWPGITSKGAFNPKVLIGLVNSNSMVLGAKLIGN ANDGEYERTSNSNINTENQTNFDSRESLNEGIEQWTQGGEILFRSKYKSLELYEENLE DFIFEKIHGEDPNCCGYKRKKDFPNDITIGSTRLVKVCSKYTMVPYFGSRNIVKINDS QIHGCSALGISHNKQSPSKNISVNLLSQICSYLPLRDFSNFRLVCRTHCCRTIFNSYV QNLTLFESDLYLPILGQLYPLLSKAKSISVIQTLNDKKFNFETFNSDLNYITNYHPHS YRIPPIAIQCLAAYTPKVKKMIFTNHSNANLAKIISRASGRKPETIVISQNFNNHQPT GINFNVDENHENDGEEQISQEIIHSLENSDQTGSIPSEPNNGSITGDNGSDVNREGGI SRSGSSINNNSNDISENTQFSNSNLSSNPGQSSQETEISNADQTLLLNMQAYPESGAL VSPSLIEIHIIGSIESSNSLPTSLFRIPITAPFRKLIRYFRDVAAIHEGIIDLYILRY GIKDRLIPDLSPIDYGILRGPTVLFAIGYKTLQYSQKIWISLFLVGSVHFPYLPKLRF NASMSTPFSRLAESYSRNVNISVTDVMFIYKDSEIDLNLSPHDYNVSENDTIEVILRT RINNDNNSNSNINNLTNFT cubi_01935 MMNLDDLNFNIETVNLDSELEFETFGGKANIESQPLNNSGYLGR LNEFEPGASIEGEIGPLPMGNQKIKGTESEDFISRLLDWFNPASWQFLKGHFDVTTEE IKQRLINISLVAFSKESILKNINTFVTNAKNPAISGISVFPHKGGNDMFENGFDLFYC KNLDQNRRSDLYGPLWLNITLAMLIGAYSTILPHLRSKYVLNPDITRLTLSFSYIFSS LVITSSIIYGILIYNRETLPITLIFTIYGYSSLMYFPGILLIILFNQNILNWLFLIIS SLFNMIFLYNSLFINGNRTHTHSNVISCIIIPNFLHIILLKTLFF cubi_01936 MKQECSGNKSQRRPHDNTFSKDLYPFFKEGNLQEKQNDVSLQSL YIYNLYNPQLVLLDCNVQLNPFGCYMISENAPRSTKGIWRKNILKDWFGLEGESKSSH GFDSHGLRLLAQPSNAKHFGSGFTNHDGGGGEKVQKYVYSGIKNLGATCYMGSYLQYL FMNLDFRSTFLRVDCSQVPGLKSMNGSQGSVNSLTRAGGNKPMEGESLLSSMILPNID TNANTDAEKDVGKNFSPCSHPSSFDVILELQKIFRQMETGRISVVNPIAFAKTLGIST ENQEDATEFAVLLLSLLESKLQSLSKLSIKGVGSSLEKDASRFIPELFRGTLSYTIEC ISCKNKTSIEDHFYELRLQLLINKIEEKVDFEDSATIVGRKERESGDTLTQPQEHEQK QEQEQEREQEQEQTKTQEQEEERTRQRSKEKDSNQKKATSGHSVNSTLRLEQAFDNFF KQELLTDENQYMCEKCQHKTDAIKRCLIDRLPPYLHVCLQRYCYDSVSRARKKVSIPV DFPHILNLKEYYSGERKPKEGNKDESSQDEEFYEYEFIGILEHQGQSAMSGHYTASLK DFQYLSDTEISTKDISPRQGEPKLDESEPKTLSTPNSGEEPVVTKKKRGRKPNNLKKL ETMFAKPQSEDSKRSKPEDEAFPVSPSLDLINKYRIAQLLVQQQQQQQQQQQQQQQQQ QQEQQQEQQQQEQQQSFIFGGAPNAEMRVTGISMGNTGYSSLEKSDGLSKTPVMHSVA TPVTPMTTLNQVSPISLTPLAPLGVLNSMQHLGTGVTPLNGFTGLSSPIVQHQIQLQN LYNLIYYNKQRNPHSQLIPSSGGGNPNFIKSEERNILVNREVPKGGFKANSIDEASDD STLVKSSQGSTVVITPSKVQESQSSSSISSTPNLGIETNFVVENNSNNNIMLNQYIQY LQFMQNQQVLEANKNLILGSLLNTGNQQQSQLQNQIQNQLQLQLQLQKQQEQMRMQLP SLQQPFVNSQAGNTAFLLGKTEPNQTHLNLINFQNSQERGLKGGAVSATQTSKSKIPP QKLDCRLDSSTTEFCVQSRKRWKWVHFDDTEVQEWTPKFSLCNNSNINRMVTRTGYML IYKRKDWAPHKTTCNTGIVNTCTHVDTNMGPVPGMTFGGDLGAQECDRNLILKKLSVL NLRKQNVTYLKNVILRPSIDRWREEWTKRLEASRDDDNELVKSYLFGGFLAIPNEWWM CYVHGDDLEQILRHNSGGFVKFWSYENLYCKHCGVVENEENKREKQASSGCNKFLDPF LFWEGRVKLFPPELLSALVECVSKENSLLREYYSLDIGGDIIESVKQEQKDQARQLSL EPGSEIECLNLSRSMCLECVKSLYGILDVSLKQSRVIYEMMRTNVAKEKEVCLTSTRM FNSLIVKFDLKHKNPAQMFQRKQNQLKNDEFGIVNDSENPAIDFDYNWRSIFTDIKRD IQKNGILNEQILLQLQKAPDSSFSQVGNLENGPYKSANRVQDWSKDIALGSAKCPHGS YVRSKVSGKTVILIPLRLLDEFMELEEQRSKKITSFVLFNNTRKDKEEIIANIYKNYL RYKCSNKLLPCKHCNTQ cubi_01937 MEKYQKLEKVGEGTYGVVYKAKDSQGRIVALKRIRLDAEDEGIP STAIREISLLKELHHPNIVSLEDVIHSERCLTLVFEFMERDLKKVLDENKTGLPGNQV KLYLYQLLRGVAHCHQHRILHRDLKPQNLLINSDGALKLADFGLARAFGIPVRSYTHE VVTLWYRAPDVLMGSKKYSTSVDIWSIGCIFAEMITGKPLFPGSSDEDQLLKIFSILG TPNPRDWPQVQELPLWKQRTFQVFEKQPWNIIIPGFCQEGIDLLSNMLCFDPNKRISA RDAMDHPYFKDLDPHILMI cubi_01938 MTDILGVPLCSFRDDLWLGRFTLTPENALEYFYLSPFHDPNSLN IQRKLGKHTDAAEGFEYRITYINEEGLGNVPQPPGSTWNTPNQFGIFIIQKFYIEFQR EVPLNIYYILSGTIYEAPTLSNVFLHRTAEAFLSMEKAFDALNEMARFSLYEGYTWDN LEATSKINSDMGNVDSNDINSNEENLVEIIYSSSRNSKIDNILMSAKKELIEKITDFE KSKS cubi_01939 MALRFSTVSLAFAASLFAVQAVIMYLWMYQNTLLFLMFLIGDVP LLMNFLLRRHESNSAISGGLQWFWYSLILTLKMVFLVWYKAFNTNSETSLIDRLPYTV FPSSIIPTILFITPAIYILLSFRAAPQLYGSPIYVLSTESLLHSDLVTHVLLDLIDIL VQMQHEQYPASLLINSTWIYMYSGIVLNLVLFFHGLSFPTSGGEPTISQRQGGDVYIV RKHAALVGIFLVDIPLLILRIYIWLHFPVFPGFNPWIMKNILFIPLQIVRLNQCRLAE KERFHKSQRENFFSSIVNRDGTKGDPLIKRTISSYNCQRPQVIHNKRSDTRNSTVELP IIQNKDDFNEHLNLTSDINNSSSLNNNSSQFRHNFSSKNEASSMQNIGSNNFSTVNIQ KSYNMSIRQRIPNNDEAVYQENGSDQFSQNLSNKNDQTNKEADSTIKRNFDHIIKDIN SSRKIPARSFSFGTILKQIIFMFQRSGQSSYLSSILDNTLNVTQFQNIRLSLALIIPL ISQIALSVVCRTPDQGGMEVQCNINWFFPDVTKEGGFYKMKCNATYISFMCINFVSYN ILWFGMANYFEVFCGAIYQLVMVSSYFFVIHSIRNANFIDPFLSEKNVDIGNILVLLC VCPAVLTMLFNYFPLSSAFLGRKHLRLTTPFSKKQWIVNQIPVNTEKKTKEIEINKIP KEGNNNSRDDEVGYLNIGSCLLLLNCRFGIAPLSMNELLIGPDTIKGIRLIDLLLSTF YRDILFIFVTRGILLTLCFTWASLGVFASHLTFMIFYGITSHTIRLLALRRFELKILF QKILRDIVKEDTIIPNLPNQSSIILSNFNQLYRSEEWKNSCLQQSFFKDRQTSVQQAE LLQIINQISLPYIAHNNIIKKYSSSGFFSSPGNIMLPYTIGTIPL cubi_01940 MENDTKIPGIHPLNSLKPINSVLALKLRNINIILAGFGNELRIY SQDFAIIHDQFLYHDSHNIYGIQYGKSDDLVAIYGLNRVVWCRIYIRESDQDISKLLG GKIHCIKVGELNEYDLFLESSNYIKGRWLVGSSTGKIRICQLEQQNQEVTTPRIRSLV APQSPTLYCMKIFTPTCSEEAMIVSGSAFSTVNVSTLNLDKATISQEQILKAHNGVVY DVRVLSDGVGILSSSDDRKIVIWMREENGIQMSSNTQKLKFCPKYILIGHEAIIWSID SLWEKKLIASISEDGDLFVWNLNKVSKKSYSIINGNDIECINGPDSKMSKAHQGRGGR IIHSLGYLTENEKSSWNFITGGEGGDLKIWKYYIDYQVNAENKKADLNSKNSHFLFSE SIISPDIHNQLEINDSNSSWYQGVHFIDQYHFIGVTRQGKLSFGTKCFQDLSKDWESI IIQDIGDMVYSISCLDTGHLVLGSSRGKIIHGLVSPITKNMEMREELYFLNWTENITF VQYFTLIEDQLYLALSSCSRGFVGASVSQKMINYSGEKSKNTWVTSIVPIKGTPKYGE LKCLDILKYEDGLEGKIVCGTVNGNIFILDFKVLKRENGSGGLEIDSATERVFTVEIL LSNVLSASHKGNVSSVSWISDMNTDMVFLSTGQDGKINQYSMGEEAILEWSHKWNSQC EYIVKCFKLRNSNNWMIIGAVKHELFFYLAKDHVTSTPILLLTHSFGGIKRSFQINIR NYDSKDFLEIIWCQKPSIRIDQLNITQILETESFKNNSLAPLSMTNSLNVNPPSRLTH SSAWISDRIVVLGGEDHIVRFYDVSDCSKMCLVHSIRLLDPIRRLKVGNIRENVHILI ITGGRQMLHVFEIREEKSQSDIIIKTIFSNNNDKKHNNCRFISLDYILYPITIGESYK LFVIVGSSKGEILVFSFELLDLGEKINLNLKRVFTFGLSFVPFSITAKNLSGEILVLV GLSNGSINILKSSNLELPRDNYILDLVPKFEMKPHSSGVNSVIILEGQNMDYQIIASS GHDQKISISRIMIDEEKDSFLGEGILSVPNAHYSSIRDLCYDPIRRILYSISWDQTLK CNKFDELMLNFVERKNFPISIWDPSCISISKDNNKILISGGSGSSQLFSLK cubi_01941 MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGVLRLRGGMQIFVKTLTGKTITLEVEPS DTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGM cubi_01942 MGRIRKLSDEVISRIAAGEVVVSPSHALKELLENSLDAGSKNIL LQLKKGGIQSLQISDDGSGIDKSDFPMLCERFATSKLTTLKDIESLKTFGFRGEALSS ISFVSQLNITSKTEGSSYAYKASFSDGKMVSELEEVASAKRGTVVQFTDLFYNMPSRQ RTMGSASDEYAKCLDLVQKYCIEFPEVSFTVRKFGHNTNDLRTNGGLNTRKNVISLLY GSHVVKELIQFTVSRDSERQKELHVGEMSDLSLKIPDFSAELYISGLGHNPKQNTLII FINGRLVKNNAIKQAIETAYQYTKTNYWAFVSVKVPSETVDPNIHPTKNLVYISHETL ISDSIQRKVMNSLQASNYSRNMVLEKKPRFELKDYFNSNESKSSYSDSTKNVTRVRTD SKQLVLQEYSSNSISKHSNISLISKENGQDNINDFGKEVQNPLKRPEEDEENLEEKKM KLNEKHDQEFQDENNENQKNDYRNRLNHSISENSLKNKQIFRLFDISNYVLDAHILSW SLNTDSIPLINEMRNYQIKMKQKFLTDIEIRNAAEFSKMEYYKNLTRNIVNGIYIGQA KSSWSILQAKNQILLINIEEISKIALKQSFVCRLGYIPLLKLNPPVKLSSLSLFTTEN IGEYELNERDQTELEDFIQNKAFMYFELLKVFGIDFNSSDKSFSTFPLCFGNLIPNLD YLPQLFEHVIFACWNFHIVHACKQKLFNTGDKQILCPHFNYYFKEKNNVLDEGYNDYI LKSYELFIELIEILVDYYLYGIDDNKLLFQAIRNNSNLILVEDDISRNVIELSSLEKL YRVFERC cubi_01943 MEARAIQIYKPVNKNEVRLVYRIKNSNVSGENGRHQKSYSFNKY GEENAWNMAKDAFKFINSNNKLPFDFSDPWKMKLINKRGRKSLNYNSSSMDEQNSPII NNKRLASLKNELYNENLIERNASGSKNTANLSPPSISNDKYASLKDNYDIDSSSPVSP QASPNLMYKLEKKLSSLEMKNNQKDYHLPSSSYLYLLATAATEIFEKQEVNEEKKVGP LSTNNEFLQESCKDSNKVPISQSRIENRGFDISKNHQNQSMGTNLSQPWSNYSSSSPL SQAFLPEIPKIILNADSYYNLANMPYYNVPLYFNNQANNQYATALINHFNRNIMLLDP SIPYLSSNNSNLSKIQIPK cubi_01944 MAYSKILLIISFVILILHQVLCDQNCSRPISRRVSHSIRQLLKN ERGISKYLRPECAFNQENHIFNHEESIKIVYPTGERQCGFCGEIFQEEKTYDQHMEKF HSHPQSGEFFCAEKLCTIFGQCGEPARLHACKSVMKRGDILEFCQKTVRSCFSENHKD SKFIGINLSQKLCNKERILEVNGCVEKVQQNRFSLSKLFFQHFSKVLLIFIMLTTFFL SYKMNEYLNKVK cubi_01945 MLFVSFLILELALVVYIWIRNYNIKAKLDDDKGIKQVFYSQKSE ILEVTGQEGWDIVSKNLWRDSELYLSIVVPAFNEEDRIIKTLSSMVYYMEGRSKKDPE FIYEIILVNDGGNDNTLRVCEDFWRQKMENKEIFGGRMRLISSFINKGKGNAVKLGVM ASLGKYILMVDADGATKIDCFSEMEDTILSKDRQLQIVFGSRNMNSSYDNNDHINVSK IERAWYRQILNTAFHKITKVIINTDLNDTQCGFKLFSRESARAIFPSLHIKRWAFDIE IVIIAQILDLEIQPVSVEWKEVGGSKLSIFSDSIKMLLDILILKSFYLLKIWTVKNPK LIERPRKEPMSVYKKTI cubi_01946 MVNPIHPESIPMSEFNESLKFEFSVLKDSYDDNLKGDCLILCVP NKEIMQSLPSFYKSLDTTLNGMLSDIVENASQESSLKDESSTISVNLPPKYPFKYLMM ISFGESKSTSPLFFNKMITQVNEFQKKNKNIQSYTVILGFEDVVPSDIMYFCTQFPFV NTDELRFKGNIVGSEPKKPTKKIEKIHFVLRSEEVRKECSSRILDSQSVSKGLLFARD LTSAPPNYCDPVNMAKEVINMAKSVGLEGKVLQPKECEELKMGAFLAVAQGSKSPAQF VHLTYKPKGNIKKRIALVGKGITMDTGGYNIKHQMIHFMKGDMGGAAAVFGTAFSIGL IKPENIEVHFISAICDNLVSRDAYLPGCIVTASNGKTIEVGNTDAEGRLTLADALVYA CNLKVEAVIDLATLTGFNYKLFEGRYASVLGNDDELFKLIQACGKTVHEKFWQLPLDP EFQEMVKSKIADLNNTSEGKAPVSTSAAFLCEFISKDIKYAHIDIAGCSGMDTFGNGF GVKTLVTIIKELSSNC cubi_01947 MMYKNMPVDLVLVRHGQSEGNLAQRLARQGELHSWTGEFRRRHN SQYRLTDRGRAQARIAGEYIKNNIGFTFDKCFTSEYVRAMETAAMLGLPNALWNTDIY LRERDRGVLANKTQQERALLHPDEMVRKQRNAFYWQPSGGESLANLCLRIERVLDNLS QNCGGLRVIIVCHGGVIKSFRALLESERGDVNTKMNKINNCQIVWYTRRDPERGSIAN SYNWVKSVCPWDLSLSSNSWKHIHRPTFTNEDLLQIIHKVPQLVNAPVLDIMEDSDNQ SPIEFSPSQEKGTTDIKKNETQHNEKLCEHNFNTCLEDLKQNISNSDYTDEEILDDSD VQDDLIDFNPQIFVSKYFKDYNIQDNID cubi_01948 MENKNEKTSTNKVLKKGSDPLDKEFKALYSNLLQTVGKDSKKSV KEKETEEVIEKLWNLIKAKFLQICRKPVYSRGIQSILKWGSNIYRKAIFEKMKNYLVE LSIDSHSSRMVEKMYNYGPPEIRKTIRDELLIKFDQLGYSKYGSRVFGHVFSEKRNPS ESWENIKNEVLFRILSTRLAQFYTTNGDSKTKNTSFVTFFLNLEHQNAKTSVLENSIV IIQKFVDGELLDRSFVHLLIWNYIKCCLIFYQSEDENGNNQESSQINTGKIQISNQYP TLNKISQEGKACLTALLDQILEGFYNLLSTKEGVDSLIILLGFAKAQQRKKILKNIKK DILELAMNPVDYVLLLRLISTTDDTKILNEIIWNSLIFEGELNKQILMNSFSIKLITY LLVPQVNLRNFTQYEYWALNLESFTSLKSTQTRIQEMNKICLPALENLLFYSKERESI LENLILSVSGKELILALIQYYLGNKDKDNLNENLSDKKYYNYALELVNHSLSKLISDN YYLLKDNIGHRTFVSILKLINEQPSIISSEFDTFRNTIITVFEKSLMEMLSSRAVFIL VEMVGQNFEQKDFKSCTMWRVRIKELIKDVIENCISNLKECNNNTIGIELVAKYTNLS VNIQDTSFIESGNNNKQNKRLKSSHNLDILESSSNSKHIKKTHL cubi_01949 MEEKHILKPRNDGESLKIAEGILRRRRVPDSDRRLKFREERRKI KKDLHKPEIQTLQRILKKSRNNILDKRRLKSKDKKPYLTPKKTNGRLIVLVVRNSRFC GSLESARKLRSLGLVNKFSAVILSHTDETIRILREVKPYVFYGFPNLGLLKTLVFKKG AFTNQDIQSKDKTKSKINQNNILLTDNNIVEDRLGDIGVLCTEDIVTGLWNGCETQQD KEIFESITSNLAPFQLCDLKKAEGFDAKKFESGFLGKSINEKISRII cubi_01950 MNSKMFTTPRPRPQFANSSTLGLDFDQKPISSISSSTTTTPSTS ASKSISTPNITSIIDGTSTFVADQNYFDEISSMIDNSHLDTPQTCRLGSAPHLLRIPK QGIGSAGCGSIHSVVSQTNCPIDNSSKILLAKEQHSGVKEHQISGAHSTGTVGGAAMM NIFSSPRSFACTLSSTRSTSAPYTPTVVDECSPPCSLPAPPGSDPFTISGFGPESRST DPMSGDFSAPLLNYLNDGLNSNSWGGFLPYSKITDYCFENSPDSNILNSNSNSNSSSG CGSNNNNSGSNVNNSSNNGNININSHAHNHNSNHGGSATTSTPSSVIGGNINTSKWGG SVLSKPNCVATPGSGNKTASSSSPPALLLSPSSLSSKANELLDSILMGIIKENGHAGN VFEQASQLINSTAVLLTTRFNKELPSLQPLSNNNPVAPSNSPNTSTGGGNWSPTTLGV GGPNFAAPTMLAHPDEFKNPSSFLKIYGDPLCGKQPSNGNPNPPSLGNMGAQPHDYPA GNVGAGFPNVNPMKGQNGNWACCKCSNVNFPRRFRCFKCGEYRDEVGDKIVAEYAKHV YLHHLKAYRSFNNGNTSVNSGSGSNSGPQSALSLGSGRTGVSMSIPSSFNESLQPVFI QRSNSNNGFIATTPPSNMNYIDSNGANNGSKQQCNSICADGINNNSSSSTCSTSPSTS VSPVGSGSMACQTTKKSSKILTVGL cubi_01951 MKLKLKKLLLIISNALIPGFGPLFYGISNISIFGIISGIAILSA FAFVMLISLNGEFFSYETYNNISLALFHKFNGHKLERTLWTILFIILIFTLTLYGLIV YLSYKITINLNQSIEAHAKQNSINNTESKCTKSCQTDT cubi_01952 MPIEKKEVFKAIFKSKERKPIKEEKTKHIDRNKFVKNNVRYIAP NNEKVKKKVENMERDLKRDKRRTKLMRFFDNKAISRSSPLDSRLGTYYEFENGLKMSI ITTEGTEVSSITLNLEISAEDIKQEKIPGLYHLMIQLLFSEKFLKIEYDWNPLELSSF REYVKDIGGKINIQYIPSCIMISVGCPSKHYIKMLEHLFDAIYLKNKGKPRKLILRKS SIEQAIKNMNLNYLEYIQDESYLRFEILREYIKSNSKVFQRTTNYKTIDLLKMWSIGS ESTVLSLIKEGNLEAEIMQAYNRIINNRAVIVALHSNLSNEKLFKILNDYNIPILQEN ELAKVSNNKFIGDKIFFKQSKSKNKNNQNNSQYNQSELPPVTVADLKPSIIVNSPIHN SMNSHGEIEIQWIIPAFYSSLSSSNSKPLKVISYLLESGSLVKFLNKRKLIKSISSGE VYFAAHSSQKLGFTIYSVIIKLSEKLESDIDPKSPLIQFIVQSIFTYFNSLIEQIEES LIKLDIKSSKKDIMEKKEINKPFLAICDIIQIENYISNSNYQMNSERDNYIDFFDRNF EITETAVQNLKSHNPSEILVGNNRFYSKVKVILKYVKFTLENALKPSNFIIFISSESF FKNDNNGYRYNKLKLSFPDSPNINYFELKFEPIFLNLLKEPETLKKYVIKLDPLKQIV FKNHIEDEYKFPISPHPLLKNSELTFLDGGFEWNSFSNQIEDDNPIKGTTPYISEPTI ISQDENNRFLMYYSQINYPDKSNEIIQSSLSSAIIRWYENIDYSQILRGKGKKGNLAK NSILASFISRIIFTIWVTTTLSLNFTSYRKIGATINFQPCHHFGTALSTNCVQLQVTS PSPMFPEYLKEVLKSIRTVSMLNISQELSEKLKVDAIKEIKQNILMNSATNSCTDKIK QLFLPEIFSYKEVLSELKMLESDEIMILLDKKLDIKNPLISVIGLITHIDEPNVSIDI IKESLISNVCFNDFNYLSNMLYSKNVPKKMKYPHPLLEEINSSKTRLLFYTETKNRED QNGNNENFEMLISTLWISVSKNDIQNKSMLILLVRVIQFTFKNIYLPTSLLVDKAQRK NINLLVIPSPFLNKLGLQLIIEVMNNKVFSSSDITTSMLLLDFLSFIESSKFTEPDDN PDYNPKDKSNLLHHSLNLNFKQIKTEVILQLLLSQTQIVAQSSYLFSRIVEFLGSETL SFSKPTSSSKITNEKERINGIIRNTSLTSAISFLKSIVSNNSMILVESNSQNNNSNNP LNEIILKYGFELAN cubi_01953 MSTYESKAYAALGPSQNLVPHKYEVKSYGPNEAKIKVLYCGICH SDVHQVKNEWFPGIFPMVPGHEIIGIVEEIGENVTKFKVGDCVGIGCIVDSCLSCNAC KDGFEQFCPDLSYTYNGRYKNGMNTYGGYGTYVTCNEHFLLKIPENLDKAAAAPLLCA GVTVYSPMIRNKMNRPGFKLAVVGLGGLGHMALKFGVSFGCEVTVITRSESKRECAIA MGAKNFIRFDDLETLEKFNGYFDGIIDTVSGKRSVEILLKLLSYHGTMACVGAPPTGD KSEFNSFSLIFGEKTITGGNIGSIETTQQMLNYCGEHNITSSIQLIKLNQVNEAYERM LRSDVKFRFVIDIANSQDQV cubi_01954 MKLALILVISVAICTENVFSQNEELIKKINEIQSSNITQRINDT IRLNDTRSDNSTNEGDKGLRINPISWDNVLKGLSDIFSEVNLLFNKLWESIRSYIKGK EGTTEENKMNLFNQSSINASSIVVKNLEDRLLWSLTIPEFKINLPSFNAKEILFGNKS KNTSDQQDLELIKINRSTSEEEILSGVLLSCAFFALYLICWIFAYVKDLSDWVSILKR PNLVFLQQIPNGDFKLKNKNEEKIVMFNGVKFPYTNLNFIPPIKMKVGYVRVLTITIQ TIFFPLTLIHFITSKFKVSHFHFEYFNSKLKSYYETIKNGNNVFNYYYMTNREHKSKA GIEITEKKFSKSIYDENIILLNIMRFISNKDNSNKFPSAEDLKNWCNEFWFKANKIET SQYKELLPRIACLIILRKLVQNEQMSHDYLRRLRMQIIGVNNQANFVRDWIISSLVKN NPKLNFPNQWTERSPAGSIKINLHDNGIFTLINDYTSRVGIYSEPQVKLLMELTSKQV QNLECYLWLIDELQTVCIHPKILCECIKGFDSLEWIGPIGFLPFDHIKSILVNDAVAG TVTFILEESKAKSKTYNNSGKKKVSEKTSILETMFDNSEIEKNTKNSAIKFTVLDEKD DISSLNHLIKSLIPQSKPKYSYESDLSDFSTEASRSLDFNSTINSTLPRSVLNEGTND VFWGEKLNIRTKNLKGEFETDFYPTCFWDFDMPVPFTATSVLINDVLTYLWINYDSRL LCVQRKNSSIEKTGDIKSNQSLKNQLSQIDHLKESIALNKVRSFDDPVVIESINNTPN SDSCIEILNLENIIGEKNKDNNSYNDDILNNKANTVTRGLMNKGKSTSFDQNKQEEVE SENQDITNKWLPFDKNLSSVFGVLTENEIQNLHPVYAVPGILGGSFCTRGFFIGSIIN VHVVGDFLEDVVDEYTIGVESQLLVTFEGGLTLRINTFSQGAANSLKNSLESIINNLE ENIMLPMRHSLSKEEIKSNVENNSVTNLQDALFSVGVSPPDLISRCNQLKEYEKASFK DCFIKSFTRFNPLIGRLQWNPSSPRTYRWLSYTASTSLSHFLIFYLLSSIPRINKVEN GILVGWIAYCILNISKMILDTAMAFSSVLHIMSIRQQAAYSYFRLWITWLTSSFCLLI TIILSVLTILQSAKPINNIETSSGFILNDINYSISTWIAGFIVTFLSLFLQPLTLALS YATILALSKKTNIFDGFVAFFKTFNDTQVNKDGWMPVRPGCIIKKQCNQLNLKNQA cubi_01955 MKVKLFLVFLLVLVFVEFSIGTDFSADLDSYSAITADPTSSTKL LNLVAQEAVPAHGGNRFTDIGDALATKHVIDKINSYTPQNLEVAERYAANMVAISKLR EEIYMDSKEYHESIKLQLEAIPESKKLKEILKSSEAKMKRSEEKYLESKKAAERSLEI YMRFIRPTTENVLVKAEATEGKGLKIAHAELSNMESISLPDMDTSGYEPLDADASLLS IAESVLPPEETKESLINKALEKISATIEEDFLNNNNSDEKVDNSNKKLVVEHLAVSDD DEDIGEEEDDDPNFDIRDKKVVNKETKDVSINKPDASSQIGKSEEIFGSTLTDSNDLI NSDYDSEDLGASVEGNNKNDKVLASIPSSISASFPSTVDPTNNNKRIIVIPGGTTLSG KVPEHFQYIFRRSYILSYIASIFVESYVLPQFFTENPEIKLYSFFRIKRTFISHILFG LASKYRIIFKELQKLPFVYKDSELKEKIEKVVFDLSTTNLLLQNALSDSQENYRNVAN LLVEFPFNVRETFSKFSAAIRVNYPNTDYSFIMNQSVYSAPRALFPELSSSGLESLKF FDKELSSKLEIFDIKQSLSDLKESQSWIKDYVLRNAAYRHYQFEKNHNIRPYFDFAQF NINLVPQVEEILKKSSFKKN cubi_01956 MSLLGRKGAKFPRYMVVLALILVIQLFQWVACSDISKFKSLPSK DRYELHSSVIKKRISFLELYLIKRVEGVEQTKSEADEENECLIKSRYHRLVDLLTEGM SFDADFEKKIAMKCLKKSLTEAYKFYTKFLDTKIIYKRKCLNRICSKKPEQVLFDKLE DLAFSTYYLLSLNDLIKSKGNFISYLEFSYDLEHKSEVISILDVYVNLNSYEEGLKVC MEYDMLNILIYPRLDKALVLKDYKTFSSIVRILTMNIGSYVDKYKYYRRFSQTCAKKD YEKKISLISKKILSLMKLISYAVLTKFLILADIENMEMYEQSYKSIVDDKQQ cubi_01957 MEKELSENTQDYFACRLCEFDVVLVRKKDVQTHLEEVHSISFNN CEGGVDEIILETMDDQLLGRCYNEKTLNQLRDDLNEEKQNDFYNESGKDLSIFEATET LKVAILLFLTEFKRKIVSLNFPNVQVKNLENDQLNKFLLANQGAFYYYSLIDLARIED ITVQMLLARSWDWSEEDQDLRREITNIRDGIIPNTSHSSHVEALQCVNTGKMLLLTMH ESIKHLLLLCYLTKKVIKRQD cubi_01958 MNLFRSTYLLILRPIIALSLIIQLAFSQFMSLNHKPKELEGPTI IKKMPSSDICNLKELDKMSYNCSPLENSNNAAYLEIRCDLISKEDSNFLLLGDCGNLT DLNGELVSLNHCKKFTCDVEDDTLDDTLSLLVIKVSGKDEYLKAMEHFKRDFRFKESI RKMKLSPIPIHVLYKKTNLFLDLSNRSNTWISPFYNKYIAYYIGSEEHIPTLDWGLQN FDTNFLNNFIHTKKEIESESYENTVIAMSESVVAILWVLSTIMEIYWTRAIDPSLTNM DGFDPQTSSFDTGLTCRAYCSLNFSSFIFNMKPFTDFAAHDNVQSGEDTKIEDFYVEN IKEIFLYSKIDLDAFYIAHSPNEINAKSSNMSQNDFFNKPFPCMSKYKNYRKLKRTAF DEYSTNNVDKNITGLSGLNKTVNEDILVLVNIIHRIFSKYIIELFQNTKQVLEHSERF NSYFEIYQKLFSYITKIKSEVDQVCGRKAFKAYIKNLQGKRANSSSNKRNRSKEQKHD VLEDESVCSLSYSFEIVRKLDYLFFEMIKSYESSEQFKRIQLNSPEYLLRSTYCFSKI LFENNYKDIPNELIIKAVLFNSVINKYQTSTEKSIKSECFKELRYGDLSQLVDLESNT QICESYSTCMFNKESLNISVDLFKRLSNIDKEGGFHEKSGLIKEMCIYSDKILSLNLI KSNTKESNTEAIFTSCQGFRLLQLSLRTSQLQQEVSIFKFRHTLVDKNGNSYFIPDSS TVSYLLINDFCMDPEITPLIQCNFDWEAFQSLEELRKSGKGDKYKRSTNLIILHDGYI INTPLYFRENTDFDFVSLSNGVLFARILDMDNKSNLRLTYFNGLPFPYHNNNEDLKRK ICEKYPSKFTYKPESSNPQKVLVLKLSYASSPISFIVDGDYYDNKTLIRNSRIYKEKF SVIDTPGLSIPLTPSIIQIESTSRSKSVCNSILFPYMMIQEFVNPINYDGEKNTVSFP INTMSDIIYPTDLEGVVDKIFEVEPLALNFIVTGRGHKFLRMILKSTYYLGYHLLTTL LSFWTGGTKFHQHCDMHHANILTRFPSSWNKYSWRKYLNNVLKHLDQRYFTIIDVDYS YESDMKITNLEEDIERLPDKNPCQHPSVIENNFQVSRNDYERIMDPLCSFHMSLRRFS EVISDSYPSKTIFSDFSSNYQDIMKIHNHTMDYCDEMKNITKDLNDKGENDLSSGFRI IQNLRKVIWNSILALEQFEVNDGEDLGQKDLLSRFLFSGSDLNLSSDSSSGSGSGSGS GSGSDSGTVTGTGSGSGSGLDLDSDLYSDSGSSSSSILESDSALTSGLGSSSRNLRRI EISNRGSISSESTPVSTEMVLNLKKEKISLPNFTVRLIPTMLPSIPEQTLSFYCIAVS TKKLLDIPSLRFTDLLNFLLQRSSLEGYIRQVHFGSSFEEFEPTRNKLQSVEHLKLEC MKNPENKSIILRMMPLLYSNQDMICPKNVCISVKHYDIFESMVSVFRFHLNHLVSTVY HLGDSYKSIEDRMINIKVWIVERDPISNKSEKKLLTLSLDQINSIIELYHFCVRDRFY SSLSYGQLKCKTIPEFDKYGKDSNGLLILEFSSIFNEYYSYIIFPWIYNSNFSVFESE FSYKEINGISVIGLVNSPEISRDINNKISEYNEVFIHKGNTCIYNYNSRIVEYKAPPS VIKKVSETHEHLYRRSFNGYDNMEMNNLLVFSLKENKVSFYAWLKDIIDKIEIVNDKY NKSEKVTFSSIPKFHQTEIITLTGIIVKTFKSIWIPNPECKHYSRLKTVCFPMFCGLS SKNIILNLDKDKRVKGIKFLFGQDIKVLNAYNEVNNFNNEAAGISVSDGGSSEVELVN FNHTLPNNCNGNFDEANDMITVASTLEYLTTIIVTDGSLDPLKLFSSNSGDTYYKYFK MTLEEIIWNIEKSCELLQSYSGSKNQIC cubi_01959 MKLKKSLFMTFAINTVILGLFKLAYSTDYENLVRRKINKTEEPG ILRENDLLRRGGDGKKVRKDVELELELEAFKVASELSTSFVRKVPEQFKEIYRKSDGR AESMYKNCIYSFLLLTKKGTVSPVLYVRTLVYRLGNNYYFNLGEINDKNERENAELIR LKVGQALHLFCMKASSLYYSKDETRPLNRKIKKEMAKLEETESFEDISSYNDDDNNEE RAEIREIMFYLTELLNNDVLNVEIDDNMFKKKKGIKSIFEIGTERRMDRERIKEYENM VRLVRVPLPESRLRDRYGQIHHPDAENKLGVSARVQRESDKIKSKLDQIRRDEKIIMG GHERFVDFERRKFLEAALKRNQVLSFEKNRKMISEREDKNDQSREARLKCECDNEDDC ECRIVDLYGKVLGKPVSLKLEEDLFNDHQIFRKKNKNRKAEVKYFKGLIYENYNSKGN QYDLENQNSQGEFGISRRKNLYVPNSYVEIPLTGLSKLQLLTLEQLSGIDINARSSTE CLPRIKEINHEKNIKVSESVPINEDSKSSTLKKSKSILSNRNRNYV cubi_01960 MNLKIPYNKVTLISLLVIVFYGYQVKTINCAPNNSKGGAKKSSQ STGNTANERYTASLYENLVPRHPQTSTSLLYGRMKVKNWLYVKRRNKQVGALRYGLNN DDMHVTIQMYVNNLKTHIPVQKSYLHERRGRARKFKRKYIYWVWRRWRYLLSAKERMP ILVGDKDLPQMPVDPLERVYSYAVNIIEIPSAFYLEQVHCMYTGIKPFINGILASYSL QDLIGASLYSVGSDVLFKDYLCIQAINLWSDDQIVPNANAICARAKKCLDNNEVNDRK NKQLFSEYSNKISSIYSNKRFIGAVSGHTFASETFFRMTQKFHELEAHKRPDYIEKLF VLVRSIRFMIYANELLIRSGVKKKAVFKVDRVSDVIQYSVFRVEPVSMIRYCVAHSIT ARYKFRRSMGVAVIEEACAHSLSFGFIDDTNKLPPGITKSKARENIYQHFSNLEEEEE RSDNFVLIQSYDQNISIDDKGNINANIKKKTETEDLQEADEDNNEELEDEENWNNLIN QYIE cubi_01961 MLKYIETVFESLLKKCKLLTLYIILINFVRLNYVTSDISRLAIT EGLIFSPRSLNLIECTNNVIPDIIKEITKIDEKNSHKVKTCLEGQHFQRSMMGLALSF SSGENLQYNKLKCPRLIASFLRDRILGYSCPYLSNISELFNFVENKELKTKDSIGKRN IRAHPNNAKNAKLPTILTSLYTRLIQSCDKIEDCFLNLDKESQTKYLKQDGPNLNKSS LDFSPNDIKLELEQNNINSIISLFPKKIGRRDILTYSNNLLRSATLEFETNFEKESPL DPFWLIQAYRMLLLYSVMLNDAGIFVNLSSYYFQKVFNYLLDTQHLRSIDSLQLQTKY LNLDKSSRKQLLFLRASYTILESCFDFWKDLHNYYVSSILTNKYKAKDLEIVLDFSKI CQKGFQLPLRQSLIFFYYAGIKEVIKYSDINEKSTNNSTNIIQHDSSEFTESTENNST DNKEWYDGSSIDFAINTPWFVESTNLFYPVTTIEELDKIYNNEVSALIKQSDPNLNPK ATVLNLNHDILSGYFIGGNGSMMYRSCTYYLRRKLRKGSKELLLAQVKKMSKNSGFHE VSPSEKIRYFCWYTAKIVYPNDSTFETEDKYEKSQFTQGNFKVSQSAEKNSNIIRNKN TRISSVSSSPKRIEYQDIKSLEQNPDRASFSSTSMTSSTSQPSLSSLNGSITTRKHSS FLDDRRREILQRLYPQSSDLNMNSLAYSISDKSGDLKGVPVIKKHSNSSSPQMLEPSS LVSSIRGKYEQDSCKKKDVKENTTSSKGLMPRLLEEIKSGVKLKPTGSSASNEIMNNI RKEITSSAKAVHLGVSSSQIKNDLESTEFAPPLVETNLLDEISNNHKFLFEQ cubi_01962 MENETNVRLAEEKAIREAEFIAASESIKLTQELSLRLGASEPNS KNSSRSPSISDIQKNNDNDEQQICQPYQIDQTNSEDENENKNENVNENENEKEYKEEN LDEEQDKFPKEGENIEKSLSKDEIGLQISNTIEPIIEIKENEYESQFTKQESKLILNE FRHDSLSFKKEQEETNGHSNDSEITILKEPENQDLENNQHSSDEILLINSQKSVEIQG CNHKLHEISDYTKSGISIILEFQKSIIDNCKNTNSVNTQLKKSSLDYFDSLHSKLINL AGFHSPDECSICKDFRHQDVPLLN cubi_01963 MFNLSRSISKAGINIIFTGMTGSGKTTLLYSSLLGGFDESPKFE PTESFNFERIMYLKKVLLVWDTSGHIAFINNLLPVMLSIIKLRAIVYVVNLLQNDKLI FDEINNEIRSLLYNQQLSDCRFCIIFNTFGSGYDSWPKQPAEFASLLGLCYLPPQIDN RTKWFVVDTSKGFTDNGWRMAVEFILFGSIPNMPYYTNVPDFELTPVHYYYSQYDGKT PYGYGFKQLIPSDYISNPNLESVPPPVVTKPPKTSRFAKFFKKNKK cubi_01964 MSSYAVCGSRASLVSKKNQRAIYSYLFKEGAIVVHKNPNDPRHS ELDIPNLQVMLTMRSLLSKEVVTEKFTWQHNYYLLTDEGVEYLRRYLDLPASVFPATH TKKTMDRPSRSEGNQGRGYGRNRQQH cubi_01965 MEEEEVELLSEFFKSKSIGIGLKRRKCWEMLVDELVKFGNCNYF EYMKTLRVFGIINRLERDSNILFRDTSSPFLNDKSILKWSEYIEKDEQSNLIGKKSNQ EIMESSMEIIKARLAGDYYRSELLDIIYAPNEPDYSDYLNLDQLNNGQDHNIKNKQNP KETRNMFTTGLSELEKSNPEIYEKQINKENFNNSNSKGVNLLSCMGRNLNKGSTTIGS QNTEAERILACLQGKVKMEHIEWVLSIRTEPKNFISEKDVIGLESIKKMLRVKIINPI QRPDLHIGLHSAPRGILLFGPPGTGKTMLAKWIASECKASFYDVSPGSIMSKFYGETE NIIKALFMISEYSSPSIIFIDEIDSIFSKRTSKDDDNSIRLKNQFLQMIDGVQSDLSK IVVVIGATNRPDMLDDAALRRLTKRVLVPLPDLECRLEQIKYILEKNTQGGCQITHDQ LKSIAIETDGWNGSDIKNLCIKAAEFSYDETIEKYNGIHNVPNREAFRPITIQDFNLS LKLVKPSYSSENDQNMDIIKWSNLFGVV cubi_01966 MSSGVKIHQECIDAFQKQKIRKQHRYLLYKMDSTYENIILFKAS GPEETYEDFLASIPEAECFYATIDLPDPNGQNPKLIFLMYTPENAKVKDRMVFASSKD GFVKKLEGVHGKLLQASERSDLDYELVTNQYF cubi_01967 MSNSHILSQYLSLNHGPYYSERIPSPIQRRKENLFGITNFQTLV RDKFSPDKIHTNKYFINEKSSSNIISSDNSLTSNDKTCIIMNKYQEIKNKHRVIYCEN SESDDGLLKRSLQFIKKISKNNTHLEVLGNHLNSLALCERNLENKRREFKKLVYGLDD GQFEEQELKGDQILVSPGVVKYKYPVECSEGELNKAKDCLYGSKNKEVIIAVNNRSNI ELSIGLVQCLRSQQWLNDELINFYFSMLQERNDRQVSKGLKLRVWLWNSFFYTKLTNN QNGGENGYCYKNVSRWTQRKKIDLFEYDLVLLPINVNNVHWTLGVVNFKLGYIQYIDS LGGKFQDHLGCRKMSAIFFQNMSRYIQDEYLDKKKQEFPGRLKHFTNFSEPVPQQNNG SDCGVFTCMFAECLSEGRSFDFDVAQIDRIREVMLVECIRNEIF cubi_01968 MKSRKIWAVEGEGKKKRLFLWTTIFSILGILRNTLVNCATITPT SMFVTQNMINTSSSFCWEYSGKTMYLPITSTFSTGWDNTLLIQFDLSKYSKNIRSSST TSYLSLKTYCDSYNCVCNAKSLVLKFVKICEDLCSMNTSTAKDPSTVVSILETTDYAS QDMQTSPATITIRTDYIFKYSGLTDIADTCNFKYFTLGIVNVGNCNAWVHVNSWTIDG I cubi_01969 MNKIFTNFEQEQLSISLLGGSLEIRDLDIRKELFEDLSFPITLS DGIVGKVNIDFMWRKIFTQEFVKITLDDVYVIFNTTDMKKWDIEMFEKNWKRVKTNLL RQDEFITFLKSSMASNFLKQFGHFFISKIQFEIKNINFRIENLLSKYMKRFVIGLRID KISSRNCNEYWIPVDKSGASILGRSTDKSLGLPLETAQVADSGVPKISNSSNNQGSHS IAKRFELDEQDEITIDSIVNKHFRSIEPKFKRMEGSDEDSEVDNDEKDDYNEQEIILE SVLIGSRFGENGAKVSETHDFWYKLKNKTPLRWVWNSHKYDQETQSPHNLDSEKHLEL SGERKLAVDPGTKSVRTGTWSNNDLIFPHPNGTGISREVIDSFIIAKEKKEIERYSKE KLVQTDILAKKEIFLKSFLIENFSVYIDEISTFNETKHSPWTNLKKSESQISDRISFL KGISAKESDTHNFIIYPHKIELRARLVPCIISYDENIYVSALNDRFYILSQDEDNQII PMISFYLIFDNVSIEITEKQLKLLLDFLDYSVFLYGDFSAGSCPKDFENFPSEQFCIK YRLAWFDFLIGEKDRNYSEICNIEESYNAYSLIKLRNDVYKCLNYSIYHSVKSIFSFD LDKNEGNFLKFPLFSILEGKENSCRDSFGGFSNLNKSVMVNEKDKISHNLVQYIISKV VVGQNDHYSVSLNMNENSETGEPSKDSNINSYTEERGILLSKEEIKLIKESELLGKIK TKTRNLLSECELPFEVLTFDLNSYLKSEKDKKNNRSYHTSPFTLILNAQNLTINYSVS LNDHSSVFKGKKNLRFNCLDLYFQYKLFTESKKSEMTVAAENCYMVVHDDREIGVNLI NSSNCLLRIGPNIQNSQASNKKAFSQLTNHPILHRSSIYTGTTICSKRPYKMFLGNHE NNKMHEPCEKIGGFWITIRLNKNLFRTTPKVNVYGKTYGEISIVGSYDLFERFLDPIV NHMSPKQRNNYLNKANQNFVKVIKKGQKFMSEFFNNGGAFCTFSDMPCPNYIYINIDA KAKQLLFFPILKKDFQNELLEKPNHSTLLTSEKAEKNSIIKPVVDLFSNLMEYKINKL STSSGMYCIDCGEMLIETFQKNLYRKGENSGDKFFTDDINISFSNISLSFMRQVRLID LYIYRDLENKGKGTFNEFQDPWENPQDYAVRCILKPQSWTFSVSKYRSNPIINTNSNL KQFLVNLEFSLKLAEDTSVEINSTDLDIHNIAEIVNYFVSFYSQYNFINYSQVLVSNM DETESLKGGGTGSSNQSCSISSLESMESIIANSISKAGNFEFLKNIEFVCTIPDTRII IKSDNVYRERQGMAIKDLPVIKLNVSLIKVLVLNGDKAKSGVYVSISDVCLSGGILNR NSKLLVPLFCPISDTFCSLSIKFSDLNNIDRYKDFTGILLNNTYMDIQINNPRFIVYW DLYGIILNWIITFYFKSIAIHLERKIDINKIKPILDGKIEDYSDTSNINSYQIKDIHS QSQEDHEQNFNSQADQDKIPLETDNDYVKSQIIQFLSIINLKIQFKDVVAILPCTLSD PIQVVITETEVSSSSTAVSSSATSSASGKTQTNSGTGFSGASVISATSAFKVDILKSL LAVIRCDLKYTFNGESIFEIETRKSSHSKLKSNTIKSTFEIFKGSIQFGRSLTPRYII EALGRISRSHSLAGRHGLITSNVLVSKVGSGGLSSSYSNFIQKKNENTSTGGRNLSII TTNSNGNNSNTSHNTVGSQFKKVLGESNITNLVKTFYLPGTDQALKSLIEIENLINSY QWQFNFDIGSGDEKKIVSSLRFKLDYIINQLEIKSEMENIIMLYGFLETVEIKINTND LLQLTNVVDMYYKLIQSNLFVDLSNQNGQLGGGGGGRGGLENQDTMEIQSDYGSSRYN VGYNYQTNGSSIENNSMINYLVYLFPSIFHTTVTNYRKFISQFKLEGLKIHYIPTNLT SNKDGVLIDVTETQLGVYVNDETPNNDFLLKIFPFLSVFNENSKRLMDEFEIRDKLLL IPRFSINSIPILPILAKSKDIFFGLILEFKKISVSIFNNELMFYDNILEPFDVSLLSI CKGEDIQKILKEKVRPKLDMEVTWMNLTMTPEYVKYWLNCLDNFNEVYSKYYLRLNNT VKLLSSRVFPYNNSLRMISQGIDNMKGRNSNDIEESFKCLSSRPLSGEWDDHTFNFNN SNNRQITGGIGGNLSNLDFVLYNDTGQTLAVLIPLFLDDSKRNRVGESLESRSSDIQT DQSQGKRLVTAPENCISTSKRRRNSNLTRRRTDKVSSEKPIKENIFDKLLVRRKSALD TRDLYSQESEISNISNISKTTYNLYYVWRYLKPNDKISFSRDEYGNSYPIVIRIRILN DVFDLNNITLDKVNMEVVYLGLSERDQTRIPLLIKTDIMNNNSFLISISSRVFISNNT SNILRLLPNPKNVEDFLSYNFFIPPTNSKPILISKYNSKFYETISRKYIDKNYIISIL DEEINKTSYSSNDYINILVQSNLFCKNFNSDFFTLYLNPNTYTCMPMWTSIPVLHASG KHEMLKFIPLRGVINNVWLESVLRSDEEKKNRVSIKIPGEGEEEEEEEVLKNEFIMDS RKLMNDYSRIYKNLFTSSEQIMQNKCYKKLFTTNTAGKKKNYFGSSTIQLTRRLQAGD LNLEGMENGVNERASGSSSGYGSNGYGNNISTESGTVSLCSSVCEYSRRDTRSNSNSF MFVIKLDIPLVIENNLLIPIQLSFNKDRERHIKINGSIEQIPNEKEEANNDKGCNNGV AIGQTGNSNDRVGSVLETRKRSKSGEDEEESEVDDVSELIDRVLYLNDSNKSNINLKN NERILLESLPNSVSLSILDVSFGKFFGFYKSSQIQINYNSTSKELNKEILVPLKLNNG YKLMPNNDLTKINGMSAVVTNGFLPNSTCINVVFERNRTDYVSRDFIPRQKQDFNYYM DNAPSLNFKNSVRIYQESQANNGLDISTPWNGYYCNAYNTCKVRLNVYAPFWINNRQH APIYILQKSQLFSRFRLGSNEFRILPTNGKSDLSIALNPELSRKCHSPFVHIERANIV GTITVPSKKFNSLSNYGANNLNSNLINQHIARDNISGNHFGLKLGYCVTTISNFSKSP NFMINIYNKYIIVNYHNLPIWIRESNKFGSWVYIPPNISIPFHPLDNKDVSIIEITFL DPKIIEEYESKYHIGLNNIPYKTLPLSIDNLYDIQVRLVSSLNNIPLINQNEKDGPSN EIGNLHFTYILSSVSIYSINSSTSYQINIYPSQIPDFSIVNETPCNIFIRQLNTSCWN HFNPISDSNYALLDPFGDHILELVVGVSLENLELTKLNKKNPILQSRKEHIHLGYHTK NHHNHHNHHRGSVAFNGFSSIPLYLYNDHNINFWYKNKNNLNLNKVLTHSRIFIPEYN SIIYIVMLIENGTRVINISFDNTLYRRRRNEIRAVNHSNLANKSIKFEPGSYNSKIYH EEEFGHDLVQSNDYTNIDLDTDLEEGVSDRDLAINHSGKSIFKSLGNKLKNQKRYRNK DGKLNIYDEEDYEDNRSDENKEYKENEMNPSYISYGYKPRENFTEKNISASSNHKTIN NETETNLEHRNMASFETFKDLEIGKEQGLNRITAVSSFETELSQFSNSNLSRVSSGQN LLLFVDKLRPETRICFEINIKCDGFGVSFLSNANKEITYMSFQKIGFKLENSKINYNK FHMRFRIMEFQLDNHITSSAENTIIRKATPNEFLRLSKLNTQKKGEKKNSKPEAASPT PKQNGGDENANIIDKKTNDLEDDMNEIEEDSYYGIESLLSVGVATLGEVIKKKSEIIR IHNKLLEYMTLGIQEGSDLTSREKKIKKILCMKSFLDLQFSIVMDNTNDISNKYGSNG VIEIPYLYFWMYPLSIHLDIDNLKEMVSILDQLINRILSPTEELDNTKSISLQSLPLV ENLKKVSKYVYIQVLLMNPIQILCSTSKSTWNITANQNVNFTDYYNIMNNITKMSESE VIVREIIPLVQGFGITGENIANQIGGMDLKALLNYKIMSNFGNPYLNFNNELTLNHAN ITEKIKTSYLVGYKQNFENQNKEKLDEATGMFLANGINPNNSENQIRKSVIGVEFGGA NNINENLVGQGQIQNNLKMNKTGTEFILNILQWLSSMPFSNVPIEFKGVINESIFLDS EKCIVQIGNLYKKQIFSHIGKIIGSIDLIGNPIDIYILVKEGLLASKYHFDLYKRATK LKEKIQENIEKKRIRKGELLTGMNNKTNKFDSKHIKEYQDIEIIENEFNENENENENL DNSSIEETSYQAEKEQLDEQYQYLLDFCYDLDEKQKTKAYDEEVEDFLKENKSLVLKS NAELMTKLVFFSIKEIMVGLHILVATGIAVASQVVVRISSAILHLFEVTYLLDQDCLM SIWYGTPLFMSKYMADNPNNLIEGLITGLLRSLLILMSILINFWQVPKKKYRQMGIWG VFLGIFLALVRIIPAGFAAILALFYGISSGVVQSLRTKIPVRHIRTVRVICNGSPILP YNPHHSIANMIINSLKLVNDVKESNVINFISFKNQTNGSSSLDSNIDNILNNEKTVTS SGIEKKFLIYKLLDNKEDSSLNQYNGIIIFKESISLLKSGKLVWMVPLINIIRINLYM VVNNVNNRSEDKNMKLNGKGIKEMFYNKEKAKNKNRRATMFENFLDIESHFLGINKNE DDTDEKNSNDYDQGICSSNDGCQNLNTNTTEKTYPIFYNDKDIGYNNSSFSKSSFEFH LFIKPWNYLDDLIENNVNQNNKSCFKIDSKKGNKTRNGLFCSYKLFSGNGKINSTGRG NWNSNINKNGNNGISLRNGKSLFPQMTGIMLKFNKWRIKRMRKKGINLFSDKKFMKQL LLPKKIENIQISKRFTIDEKNIIKTNNKALNEVIISMDENKAITNFNLSNNTFISFPS IPTSSLSSINLSQESCSSFSTDSQFQLSSRKQFSEKIIFTVEDKDDAIRVFSILSKHI NSE cubi_01970 MDLELKRYYNDLLNKVEKKENDKYDKNIMDGRMMYNLVIGNKSA DLDSVVSAITYSRLLNLINNTINFDDKNKIYGLHLPLINCNKNELKLRFPLITLISYF SNNQDKDSTNDFKIEDIPFICLDDEIISELILEMEKLTNEKKNMNFGHYITLVDHNIL DTFHKNFNERVVSIVDHHQDYMITKSKYRLSLGTKIGSCTTLIGKIWRDAYNKGKINK QTLIENKIFLEMIICTILKDTCNFDSKLSEKRWSSLDLEVYNWILEELSLKDNDKKNN KYTNFYSNFLKNSNRNVDLIFNNRPIELFKMDYKDFVYNKKSDNKDIIVGYSSFEIDL LKMISHYSLENFQSDVKKFIISNNLNLFIILSSYIYIKEDQSEEVKKQIVISYINDHI HGLDIQNLVIKCLESILQITEIKVESILIQNLNQTVQSFA cubi_01971 MSNRYGTEKREGQIGNEEFDYEKYMYEQRRIEEDLDNIEDEKMS RKGLLMNIKMYDDSMKEMEIIKDRLELWKESLEGLNESIGRDALYVKEIAIKVVERKV EEVMSERKIILKKMMDKLENFTNVIKTSMDCMSNSIIDFEKKVYNSQENGDYLAFDYK SNNRICKSYYQLCQRLKEYLRNISPYFKDNFELDFGLNMEMNMGLGIDLNQKISGTHI NHRTQVGNNSNRFECENSELKSIILEESKLLREPVILLINPKRMQTINLRNKINRPDL EEILSSSVTLNSSNDSFSSKQESDLCNNHHFNMKNGNYTLNGRGCESKHVLGSNTSTG VKFEEEKEQKQEQVQEQEQQLNKMQKQEQKQDQTRERGSGCSDEENGHFDTDVSISIK RVKHKLGCRGLKDRSRSKSRSKSKSNSRCKSRSISEPRMAFKKESELKINKIRGLVSE LESELGSQSETESRIYIKKGKSNGKGKIRGRSGNSVVSKNLIEEKSISKNKPKTKPDS QNARFKKKGSYSSSNSSFSPLSNLEYDSDSNFGSVSDSSSGSEMNLNGKSRKSKIKLR ELIKDYSNLYAEYIVSKNLSKINTYY cubi_01972 MGRNPREEIFDRRMKTNIYDDTSLEEIRGRIRRIDGHRTSSLSD IKNFQNSIDVSTLKGKDNSTILISESTLLELKHKVDESETQKHFMNALKERLKETTHE KDILLKKTQADKETIRKNNEEIELLREEITKLNGNILELKGILESSKQRIAELESSND GNNIMTEVDMQSRIESMADCTFRNKEIKTLQDELMFNNDLSSNISEASSKVTVKSNIR HVLFNDKTEKFVGDLKVGINNLGTNFDNSENVNQKSIRDISNKLQDYENESNATSNSH KCQISTKHNWMRMVARLNGFIYFKSKMILIKQYLADEEMQLLGDVSLENNSSNNNSSN AKIGKKSINIGYSGPSENKIRMVFSPLKIEVNEIIYRLEKNGEDDEEYIFTEKKHLLS KLDEQIAVLKEKIEIAGSVSSKTSISSSRVISFSDIATEKDSMQSISVINSSRSSKFS EKMKSSFDNVFMINRRLLFILARLKLWILEETLYDEQVLDGSISSKDEYDQNSSRNLL DYFENAYEFIINREDNETYPINNNDYLSIGIVQMLYILNKQWERSISNENRLLNQLQE MVRIYHRMKNYLLAAPKNSYHQNSKDLETEYSLNNSEIDNFHLSNENNYVFELEKELT SLGLGIFSGRDLNGGNNVSRSINNKEIEQATDTVIALNSKDKANNHETSGNIDGLLSR EQVNDILKHLEDFPDPYIANRNEILSIRELIRPLKSYGRRAPEPYIGFFAMMTQRLDN DIVQHDREIRAFQKVIKGLEDQCDRQQEELEELHEELEQLYEIIETKYDEHSVLEQEE TEDNSDKGVGKGEEKKPIEKQGNNSNSNNSSQILTDHKEKNERKLSSQISDKEWINLC KQTSLGLSDKK cubi_01973 MACPRLLSDNDLCRFRTKPCRRSKQMGCDFGITRCQYSHNIYWP RRCPFYLSNQSTIRYIPVLCPDIIIKEDESSISHCNRGGGCPFAHSYEEINYHPLMYK TKICEQFQRGDCNTYYCHLIHGLAERRESKVYLLPYTQNIQVPNFPGVLIANRVSKPF VTNNQLSYQAQKPLTNNENICNNQGNGFAIRRSNFENMISDKWSERIFSQNNNGDDFW KPTENDEITTMDSISKPECENNCDNLKTSKLGTKTALHALNQVEHDKSIHEKIKNENI LNVFRAFVLEDNDMLTAQNDSCRVQTITSTLSNNKIQQLKVKHENHQVQDHNKNFTPI IEDSDLIKNNENSNTSNFFE cubi_01974 MEVPTRMGFSTGIGAGSGTVSGIGSGLGLGTGVGSGQVGGGVGG DLGAGTGLTKSQVRAYKIEAGSELRIIAHQSQPCTIRLYSSSSSGAGAISGTTGGSQI NVMTTAEIFGAELPPDVDMKIAPLSRIAIYTWHGCILQIRGLVQQEYESVNKSMKEYM EVIQVLDNRRNFSKLHGTLGPRVMVTGSSNSGKSTLCQILCNYAARRGYTPLFIDLDP RGSTDKANMQFPAGTIGATKVDEFFLHGKELKNPLSFFFGHLNVTDDLQLYLYLCRLL SGAISLRSQNNQDTNSQASGFIMNAPFQPSNDLLKELISIFDIDVVVVMDDPSTQHYL ADQYDYKEPQSYDVLEKQHLEESGNASSSISDQENNDNKLNNEKQFEKLPKISVVGVS KSDGVISITSQRLTEIRRECLKSYFFGTPEFPLKPHTINLKVIPMGDDGKSLINTNTL VSSTWCHLVELQIASLPASALPADQDVSMIDNQAQILPYNKPLKNLVNTIAGICHTKN STYAPISSLAGIVHIRAVHEDNNAGIEDMQQNRPQINNANMTFPTIEVWCPGLNEHGE FPSHYILVGNTQKLKFHLE cubi_01975 MKTSNCVNILVGNVGLCNRLSAHYLKEMASKCDIICVNHNFEES DLNSILRIGNSKNFRKYEEFHKTGPTLFTPFFNKIIRFFKIDLNNMFLWYSYLRGNVY LENRSKIRFEVSDNYNLKKQSIIFWEFDVKGSFSNFKRLILANVNFTYFYSINKQISC IKSLRYSIKEIIWKNFISELIFNPQIEFDKIENNLITINFHNIISFMICGQFSNSNLS KDFLTLLGHGELISLDNNCSQFYNSNFNNYLLKSLTFSREDFLYFISVFPVSKVVGPD FNNYFIPDTFLNIFDLHHLNSNSLSNINNTHSSKSSSLKFTKFIFYDDVNVTKTSTDL RNDTHLQIISF cubi_01976 MWNAASEFICGCFQQGSFAKKMLEDTAKELDFSRADELSFFGAA ISSQHELKQQKSSINADSWLVSWNLLGIADGVSSVESEGFDPSQLPSELLKNCVELCN IRENNRVQFDSVSQKIFHKNSIPFYSYEFLKHILCRSCSNCASYGSTTCLLCFLDGNQ LWVSNVGDSQMIVLRPSNIEPQNLPPIPFIENPIDRKPITGDPRRRLPLNITVGGYDI TARSKVQQHFFNCPHQLTIMPDINCSNEEILKRAANVVQSFRVDVNPGDLIIIGTDGI FDNIFDEDIIDIANQAKRRYGRVFDDNPIMVADYIAKELLTYALKAANNVPSGSKAKV TPFSEGALIDVNRHIEGGKPDDITVIVAFVAYSDRLSSKMSNISPEIYSGTSYLGSSA KFNNDMSTYIINGEKYSYSSISEKFSILGGLTSKYK cubi_01977 MNILESEWYKNCNWYHIYPIGICGVERINSEKIERINKLEELGS KLWIDHLKNLNIGGIYIGPVFESVAHGYDTTDLFSIDKRLGSNEDFRNLIKVYHSNGI KVIIDAVFNHVGRNFFAFNDIKINGKHSKYCDWFKGLDFSKKSQKGDNFTYTPWEGYY ELVTLNHENCQVRKYLYDAVEFWFNEYEIDGLRLDAADCISIDFWRMFRDFCKTKFGE KFALLAEIIHGDQRLWVRPNQSASIKYEYKNQPFDGVTNYVLWDAIWKSHRFNNLELL AKVISQQNDLLISGWMYNFVDNHDVTRIASQVEIEDDLLTIFILLFTLNGSPSIYYGS EFKFKGVKGHGRQADFQLRPKLTLKDLEWLNSDKNDGFLALIKFVSQIRGHKIIGKVL TQGEYSLLLNTKTLLVFERRIKEEFILVAINIHTKPVEEIEIDWRNKDGSWRDILSPS DTYCSKNGKIKINVLSNWAVMISSYVPKVSYIQYKIKKFKKVLYNIPTLDKGELITVN KENTN cubi_01978 MMGKKKDLCFSECTTEFSREEDKSKKESIIVDEDNLDDLINKIE NVTISEKGKIPKKKAGSENIERRRTQLINEVEVEGKQEVIHIIDEESETEQLEGLLFD DSFFSKVPISDSEDNNDQINEIDEEQDHMDPPYQLHAGWYWNSSKIEYYLKSNSGRIR RKLYVSPKIYGNLRAHPHQYDGVRWMWNRFKRSEGGILADEMGLGKTVQVCVFVGALY RSEIATFILIVLPASLILQWKNELDNWCPKIPKFIYHGASNLRDEALKNLYSSRIGGI LITTFETLRNDVHKLRNVNLRNVNCKFLRNHLGTKVTPEDYFKEILQNKKSDDDFNIP WDIVIMDEAHKLKNCKTKLFKEVQTLRSYCIILCTGTPFQNSLTELWSLIQCVKPNLL GKNIQAFNYNYARHISKLNNKNIPEKEKKVSELTINKLKCVIKPFILRRTKQIVSNVK VVDSNFEAQKLSNDLFKIKKYDIVLWHNLSRDQSESYIEVLDSHLISKIINRSDIISQ NKQKNGEILEVIIYLLKVCKHPLLLLKPEFQSWRFLLKNKRQDKIEEDYEEMTNYKLE NFSPKQETSIPSQNTLIFSSASKLPQLDIEVLREQSTKLQILNMVIPKLLKSNDNKIL VFSESLLMLDLVELTILIPNKVGWERLEGKQSLEERNTSISSFNNNKEKRILLLSKHI GSTGLNLTSANRIILVEPHWNPTLDEQAIGRAYRIGQKKDVIVYRLIAAGTIEDWKFR LQLHKTGLARSFLGGAKQEINFTNEELKFLFSYSEMDSRDIQEIIFKFNVNDKHYEVL KNDIEDDCIKDMEPFVMAYLDFENIQRFE cubi_01979 MDSPEFLQYFWDLGSFEEKTSVSSIEGIISCLDNSVKNSNNPKV KDGSAKSIVTLSEVKNGRADLEYTLSRLIKGLESQRECVRRGYSTCLSIVLNRYKVPV SDVLQGLEKHYLEGMKKEIKGKASSGAVGDIRDRIIGLLLGYLAIIKTGFFKKNVSRP YIEQTIENLWMIYGVKMYLQDMVCEIIYLIVKDCCEYDPRLPIKYISSRLGNVFDTRF LDKPEINETKKNQLASQIPILSLFLKLRLFLESKDGIVINGAAGCCEISQTCDISVRI SDKWEDWNKLMFNPGYPFLKNRWEIILAQIQYLSLFSPRIPSFLFMLLTYILKSPLLN DKISSILISDLIKEIEEKYFSGIYSPQKHYFGARMILQILIHLKHFAFLPKKCNFSEK SFVKTLKNFISGHSKSLNWALKTSLNERNPLSSFSTIFLQTLVDIITGKGIESHLINN SQINQIQDFSRFIFGTIDAVVTENQKRLKSPNQSVNLETHIRNLVPFVMNDMIIALFW DESTISLSGTESNLISQLITLKETLEKPILISGKERVGLFWIILKSLMLKTGAKMKHL IRIFSDLLVQEENNSLDSIIISQGILIIDEILKEYVDESKQTNNKQNKLDFNDNDQVD VSMDDSNLNANKTEEDNEKVNIYWKKVQWIHNIMLEFVQVSFNSSKIGLEKNISQLVL KLSSQVYPLLDSIITFGTSNERWVENYRQMIFPRMEKIIGKCTSDIVLLLADIQKDDT CVDSVIIEVVSSYANSITALFDKINHSINFEGNKKLFNKNKKLFTSLTERRIPKTRFE ISSSLIESCLLLYYIMEDCNSSFILDMISSLLKSDSDKRKRLDVFFNSMIKLDITNES NNLQKQSDYFGEDDDYPSCLAGLSLLFQLNVRTLDISSNLNTKLLLELSRKIAQLPDL WNVHSNLIDGNDENYDDIESEVEVEADTGYDNETVTEFKGRDSKHSSSLDKDEVSQLL KPNIFSKEHEFFNDDYEEDEDNTDNDDNIGVNKDEIISYNDNDAIISHLLNDENTPLP PKYERQKEQKEQEKLQIQRINNEMQNKLRMLEILSLISEQYKPRNGINGEICTDLIRT IILLLEGLRLGFKHALYYSIKSNLTIFSDYVNKLSSIINKLMHLDILKEHTNTHLNDL GDLFKLLIHIIGKPIVEPQTMSRWNKKSNGKQLRSKMESYSKNFETLSINLIALIVMI DGENGTVAQLITQDLMKKWIGQKRFGIVTCSFLTKLIYRINNSNNKNSFRFLTQNFIN NFEETIKFSKSSYQLREYTTLINHILVVCSTCSEDSDGNNNKQIRDMVQVVIKMIDNC ITDDTTQENVRKVSSDLENIKFTTEIQRLELLKSLISLSKSIIVLGSDSNINNILEQK ISESNDYLNTFNQNCNSGKVKRQINKLRNIINSSNNNKKNKKIKVY cubi_01980 MKGFNLQEEEEFYSDSIECSEFEDSVEMKRSQDIQSEKSKEDDE IEQEDDEYEILNSHKRFRKIESSQESYTQNSSFNYLNSEIEDINNRIKSINSSIENTI MNSGNFTNPVCILEHIHTNSKNSKKKILVQVGNSGIKIGRNPDCDFPGKTTPNKNLLK LSRNHAYIFVKKNSQGKDQICLVDCNSVNGTYVNNVKIKNKILNSNDILSFGYKDEDN LNFEDRLSKNNPEINKTSSSENYWKFKVILCNN cubi_01981 MKECHYSVLQVDYKATFDEIRQSYKQLSLKWHPDKNRNNVEEAT HRFQLIAAAYEVLSDPNERAWYDSHRKQILSEGNTKMYNENDPDYDPSEMNLWKYFSR DVFEDFNDEKNGFYTVYSKLFEDIIEEEIKYLSKDSSDYTFWKNANKFGDSKTNLEIV MEFYSFWSNFSSTRTFSWKDIWNLNQAQNRQIRRAMEAENNKERKKGKKEYNETIRKL VEYVKKRDPRVISYMRNKSEKIMKLQKEKEIQKKMEEEVRRRARDEARIEEMKRMEEL DAERRELYGNDCLQEGDRDIECYETFIYTCKLCNKTFKSEQQLNSHIKSKRHISKLND LKKNDPKKFDSFMNKVDSTEETDDNQAESDSLDNEKQSENIFNSRFGPEEREEASSLD DDRKLPEERVDKEEENEKDDEDEEEEEEEEEDDEISVEKITEMMDNLNRRSNKGKGRL NSFEDSANMEDGVETVKGSKKSKGKKKVDKTTKRNTNNNNNNNNNNVNNDKEIMWKCL VCNQVFESRNKLFIHVKEKNHAQIKSNSNYKNSKVKK cubi_01982 MVDDYEMSKRFGYKANSNLVIQTGQRNRENVPTGEAESLAGRIK YKMGDLANKRSKPKIREKKQVKKRTNRSIVNENDSGNILDLDLRNTGSSYIPTTMETR LAYDELLGILADILGSQPSKILEDIAFELLLVLKNDELRDEEKRKKCKEIIIQLNNTT YSDIVDKSRRLVDFTLNMEETVDFQYKQTEEASEVAVIFDESDSDNKKGDISDGDDIS EDDSDSDRSDDEEDDNEDYYEDNEYYDEEDATLDIGKDEAENYLNEDSYTNDTGNNEN QEHIGYIRLKNKDDHLNENEDPDIIDIWKLDAYWLQRELYSLFQDAEKSLDIERKIIS ILNLEDDQECENSLVILFNYEHFNWIKKVLKNRWSIYFCTILGQAPSDSEREKIIDRM RDHQHGSEVLDLFSKPSVWKNKDSDFFKSINKYIEESSVKIGDFNEGDKSALYSRRYQ AKLLDLDRIYQEQNLNLNLNTKVVLPQGSERTENTDYDSITIPPLKRQMKEKSRLISI EELPEWSRECFRCVSVSYLNEIQSKVFHTAFKEFEENLLVCAPTGSGKTNIAMLCILN IISQFIVSQNNGKFTLDTSKFKIVYISPMKALVSEQVESFRIRLKPLGILVNEMTGDT RISRSLIEMTQVFVTTPEKFDVVTRKTTDGLSEKLKLIILDEVHMLHDSRGSILEGIV ARFKNNFTRLVGLSATLPNFIDVAEFLDVNPKKGLYHFGPEYRPVPLLQTFIGIKAKK GFRKLQLMNSIVYDTVIKDITNHQILVFVHSRKDTIQTAKFIRDTATESGMLNLFFPG NNNVSREIILDELSNIKSNNLKEILPCGVGIHHAGLVRSDRKVVEDLFSDGHIKVLVT TATLAWGVNLPAHTVIIKGTQIYQPERGEWTELSPLDMLQMIGRGGRPQYDNNGHGIV ITDFDHLTYYLSLLNQQLNIESQLIPKLPDLINAEISLGNLQNKKDVLKWIKKTFLYI RIIRNPNLYGLNIDEIINKNRQSEDLVADDEDTLDEKRDLAFKNAVESYLTKLIDTAL DRLEACKLIQYNYKDGHIGPLTLGRISSHFYLSPETIQDLDKQLVPNLSEIQLFRLFS TCKEFKFLIVRSEEKIELEKLVDKVPIPIQGVGSSTGVDDNDNIGNMVDLDTFTKVNV LLQLYITGTRWINAKLTLLSDLHFIAQSAPRIFRAIFNLAIKRRWSILARKTLKIATM IERRSWEAMLPLRQFKGISEEVVKRLEKKDISWNTYYDFTSNQLGEMLKSSKLGPVLY NLIRKIPRLEMSGTIVPIDSKILQLDLVIKPNFIWDSKVHGQSMTGDVNTDEINTGET FWILIEDCDGEYLYFSDMIIIRPSFNELNSNTDNEIMMQDEYYLSYQIFLEKKGIKKS YDQDDIIPPFIFVRAVADKWLHSETSISIPVENKIILPKNQYENTELLDLQPIGVKKA FSGSGFEIYLKKMFNIEDNDKKGNIQDYSSLKLNSIQTQLFNLIYNSDKSFYLSSPPG NGQFICTCIGILREMKKDSQFQSKNFQVLFLSCNKDKVDYYVSKFESIFGMNQIVSGL KGKTSIQIDQELNSKSIIVSDIETWDNNVGKKLTKTRDLVKRLKLLVIDGIEFLNNNS NGSAIESAISRIRYIITPLNLKIRIFAYSKCISNANEVSEWLGILRENVFSFDQNIFN ESINKRIIAFDSYYRSSRFQMMLKYLKDNIILQLNNQSQGKKKTLLIFVLEYEFCLSL ANELSLFIMSENDQQEEFITEYNSLLKFSLHNGIGFLYKNQSYKEREYILSQFSDGKI HIIIACENMKNFITLKFDHVIVMDTKKIDYEENRGSFINSKIRKTIDYSQFDIHQMLS RCKNQLNSSSNFTLLCSSNKQEFYEYIIKYSLPLESNIDFGIIDCLNTEIALKTIKTK QDTIDWITWTLFYRRISKNPSYYGLIGNTNNHISDYLSEYLESLLDSLSEAKCIQVES KENEDEENIENYEDDNEGDDTVVSLNLSLISAFYNLRVSTIEFISKNLSENVTYDSLI QLISMIPQLQEECLVRKGDSHILDQLCKDLDLNQLNPRSDNEVFKSSFNQNKCLSSRK IQVLLYSYIKRHKFILNKPLIRNDLTDILRFVMDLLFAMVDISSSYGWINTCLRIMEL SQMFVQAISNPINDKLMQLSMLMNRDKIKVFKENGVSDIYDLINMNDNDRDDLLTKKL SLNEKEIFQIAQVCNDFPIIETDYSILGCIDLSETNKRRRVDSEISNNLKNGETQRLF ECNTESDLNLSIDISRDFSSIEDDYEVKEEDSLKNQYNIKGQVISSCIVKNLSYYPLE KEENWWVILIEKSNPNIPKNINDENDDHEDKILGIRRIQLNKTSNQVNLRFNSIEDSG IITNYKLLIICDSYIGCDQEFTFSIKTIES cubi_01983 MGKGKAIMNKEKNAKEVEINQEDENYYMEILNYYKKIKDMILTG DLLNDEHSCEVLIDRIIEDMKKKDVEIFLMSDQRCSKIFELMLSINILSIKKLIDSIN FDILDEKGKMLEENDLFKRLKSCISNYIFILNSILKHVEQSIFDLYGSHVTETALESS AILLSFLKQKKIFKQDRSLILKEILEFVKKMGDTLGWVSILTNSTASHVGRTVINITI GKVTMSMDNMETYILENVGKRNQANKQKFSGKNQNKYKTYECSSIHELKELVFSPKTD NSSLSSQLLQSLKEDFEGVIGDTYALPSLILFIRGLNEIKSQNNTELVKLFNIFLTII ITNGQETEEDIISGYENKIIDSEKISRLLSNLTRNWINSNLKSRLLELLLDIIPYEFL VIWINSHTISKEKYDQIFLNDIIDSEYGHYVFLNLLKSNRIKREEFIPILKSLDFSKI TSKKEFVDILISLIDNCRRLQSEYKYLTKKLWKSIEINSSEDYQYTFSCLIFQKKKSE LIIEEEEDIRSKSDTDSIEKKLKISSQGCLMISSLSKFPIDTIHPLTSGITYFISKHK NVMLKDLLETSFGIRMIETIISSSSNIPQSLKRRWIQSYFGNFLNLTLSGTCNYAIIA MFYASDHLFRKMIVEELLNEEHGGGKDILMNKNFKIFKSLKIESFNKKDDWTNVNEKI DKTRKLFSNIIDEKIDILDNNLEDSSYSNVSNTNKISKKNKNKRSSSTYNNINNNNND SNDITDDQSMGSILKFIKKSKK cubi_01984 MKVTRVPFFDNKGRLKYTWEQNLDEVILYFTAENLYFSEEKVME CTKKVLKENLCVKIMCNRLKIQNKATNSDIFDNDLFDTIDTSESLWYIENNELIVQLS KMRKGSVWNSVIKSDENSISYIDVENMKKEMMLERFQRENPGFDFSNAEFNGNIPDPR NFMGGLRQY cubi_01985 MDDNSKKVDDTEVLDLLRKSRKRVLKNFDEKKNVEKEMENEDMD IDEDEDEDEEEEEEEEEEEEEEEENLEMTSDSDSSQSDERPEFEREAELAKEYEDEAQ AKRKYELLYKSKKSPSNKQDSALMKLRNARQRKIKGMRLSQSEDEEGEEEYRTKNSEE SDNIDGEEEEEDEEYYGEYDIKHRKNEKKSKSKRKARHSDSDLEEYYEDDDQIQTKIQ KRSRRSSSGMQGSSLFDDDNQNQERILKRCDKVLESINLKLMKSVHLTKDRIEFMLEH PKFTEYLCGSYVKVPIINPSTNNEIFLTCEVFDSHISDENKCVLTLQRGGSKKEWGIE SISNNIFTNEDLLEWKAMIKEFYSNDPDYILSLPRILQKKARDLNNFQYGDDDIALIL ERKQKKAKTNSLSGKTLIETRTNLQTQIKQLEMQLKNIELDNIVRKSKLAKIDQLQQE LEQTINQIEKLKLQKEMIHPDKSLRITSSRYIGGVQGKGSGTMPALHDKLNLNEKVKS STITASQTNKTSNSLYKLIFDPVSPRNYSKNSIGSGNSSNNNYDNSQSQLLSSSPNQT TEPHFGRRECRPSVMWETKRNKPNTRLPVNDKIESSEIQEISVIPSLQQNLKTSEFIH VHDIETTKSSALNTEEVISEFKDFCSKIDLDLIISARNNSLPCFPPISSFWNPSEFNA KSVEKKDLNSKFQELIKLVEST cubi_01986 MLNLDYWKSKKSNEALILVKNEDPKELTSICTISPFKIREEWLN YLVKLNDSEKQILINQNCKLICGSTKDSTIKIFCEEDDDEFLSCFNIINVDTREKVEY NIKSIYSIIKQESMEELKYSLEDSSLDSLYKDIVIVVNTDNKLLSCKIPLHYILTLFI RSKLRRIRKKLGNSQNSDLSVLNIRKTLWIYQIENGKNTNITSCFNINNVYPYILLAS KDEDEETKLHLCLISLSRMEFELNVESEKTGNPLNIICSNSFVLENCGSSFEILENGI GFYPLKSSYIWVVTTRKTIIQKLVDEKDYSQNVSLDMDCLNNENGELNINDLSENSSS CLYLFESNRSEIKQRGKMICTNYKIEFFRILNLTCINEGKDQSKIKEYIIRAVSSTKS PNGLFCFDFKLRVSQNSSEIDSMTLLSLIFMPILEKEVSNFLKPFDNQSNDINTNNYL NYSFGKNEIQSLSKSRNYEFVSPSERYNYLRITTLSVIPNYILLLFGRNIDSELCENF PLIFCGLSNGEWRTYTIKSSLNTKKSEIQDKLNLNTDDIGFFVLKGVHLLKGDELSIN NQLHDLNINVSPSYWSFDRNINTSILSIAASNSIGNVFLWSLEKERRQLPSKYMITKR KESMGYNSNSEIIPKEIFSKSNEISNEQDKEQRKVISSNKTRRNKDILKIMDEHIKRI DERLRKVKIQEEIISDAEKLQERVRRNKKNIRKLTREEASNFVPECLSRSVSPRKGCI SCNLSDPEEKKPFFFKSKYDNFDYRRYRSYYKNNDHEYLFQLNSFLNIECIYKSELCI EAGNNRKDPQIFNEIVNFDQLCSKWSKNVNNDIQYDEILNEK cubi_01987 MINTVKTETIINGQVFEVISNLETEKNSEKLEDVSIKVNELLTS YLSKENDDSNESSQDECENQNNEDIKEDLYLDTNNKSTKSNKNKNESDAVEQLGTSSG KKHRIDAGEK cubi_01988 MKGKCIKKNDSPLTQLEKDVERCFQDIESSSNDEELKAIVQSVV FSTAKEIDCGSRKAVAVFVPYAIFMAYVRKIQGRIIAELEKKLKCPVVMIGQRSILPR NYRKYGFKSRPRSRTLTAVHDALLEDICGPSDIVGKRLRYRVDGSTLLKVILDPKDKD KDIADKVDVFGAVYKRLTNKEAEFSFPATNYYPGRLGIIA cubi_01989 MQTRNLNSTKLEQITYLPEEEDILIWHSKSLESKEKRNLELKHS SKSKKNFNNDFKEPPKIIPSSDTEKQTRLINKFHTMPEESKSNVFDRLLDPKLYTGMH RYRFDKDGNGLGKAGREYIYREDGYTESTKRKHEVLGSPIKKHSYTSICSNDFLQKAK VIWLYKNGDKYDKGTIYYVKPYIRNMNQLFNEINRNVRLLGGPVRVLYDQGLKQINGV SEIVDGAKYLCTSGEQPTSIDKLEKFLSYWIINK cubi_01990 MEGEPIHSKIRDLTKTIEENQDLLSDPQKRSEYEEYVMKDDELF ENINESDYRSFSLLCEFGSVKALINEKRYSKIYRQSQGSLLRKILKNWREKYMNKKAQ QFDRFEEEPNHFFSNGDLLSYKTDDEFVKLNWNGWFHDHFRVNIFPINNIKFNTLQGL LDENSNNEPLTKRVRIVNNKTKNSNSNKTKVLEDENVHDTQNIKILQEFKSKLLNKIQ EIDIKNQGIELWELVLDKNQQYGFPNTCFNLFSLLSLLKDSLISITSPNTVIQNDSKE EQKVLVFPGSSNISLDLEKNTGIISNFTFKVWQDLCTRYN cubi_01991 MNKISNFKIITNLLLILFFFKIKVFESIEIEGIQLIGKKNNGIT SFYNIRYGVANRFQPAKLLSITEAAIMDEDRTSKIPKSYDLSTNKGSACPQDCSDTQQ TSQKTFLCRGTEPETQSEACLTLNIFTSTTQIEEIFNQFSTVTKHVSSNNTQINMLSN VNNTLMNNLNKQKSSISSIVQSNMSQLKPVYVYIHGGLYLIGSSNSETNFGEKLAESG AIIVTINYRIGILGWLRAKNLSSGNMGFLDQRLALEWINKYIIYFGGDPTRVVLSGHS AGAKSVLCHSVTKESNKYFSGVIIHSGALSQNETNLKEAESMGEMAENIASEKCMATI LSCTTKQLLEIQKEVRTQIVRSNPSKALHSWSPIVDGFIIKDSCRNLALSGSIPQNMN VIISTTNSEGVQFFRSFIAYLPAISRSLATSNFAFRYLVSRVFGPKAGEAMKIYSNNN FANSVSVASSYDNTDNNFEWQNITKITSDYSSNDNNETISSNLETKSLEIQKEASESM TESKNDIIKSGDKENNQIVSSSGFNLFQVGKNRDDEKWNGIIDDPVALAYARMIGDFI YSCPARMFIKKLSSYNPNVYGYFINSGFKWTEGLKKGYEINSNSFISEIFQAPKFSLR NTPKGVVCQNDDVACKTFVYDCSDARLVCHIEDIIWLFHTFPNKPNDNIDKVFVQEQN FASKFFSHLIQKSLGETESLLKKQQQIFRKSFSEPIEIFSELNFWNPFSNGGKILQIS SNRTELISDFKSKECELWEWRNSDLSMTFHQLIDSKTIPQIKSNAESNTFTGITENNE NENINLNINLIDENIESLSNNPTDNQFNQISILNQNDTEKDTLDNPNANSNESQ cubi_01992 MACIRRATIDDVFTIQQNNLYCLPENYQIKYYYYHSMTWPQLLD IVTDSNDQNKSVGYVLGKIEDDSNPLHGHITSIAVLRTHRGFGVAKKLLKQSHHGMQS IYKVPYCSLHVRVSNYTAKNLYQKALNYKVDSIEAKYYADGEDAYFMKFYFDNSK cubi_01993 MPKDKYEEEWEEKFAKNIEVSKISKDISDILHEIEKNCLKPLIY FLKSIPSGFTPLPLSSLEAILEHSENEYVKSVFGVDKNIESEIRNLGNNFWFDMVMSS GSGIFNSKVNSHFSNIFNHELLNQLKYGLSNDYLKANEEDREQNCDNNIIKYHQDDSL NQNVKTREKSKDFQKEDRNFKIVISIKQMLNIYRIGGINNENVWTALFGKQVTDNQSD HVMIKYVMPSFLSNKILMDAYTSIIHVSEPQNNSMQIYIYCRNILKHFCTDIIAPRIL IHANIFLLWNSTLKDFFNNKTYDKFFSDLFSKSSNGSEKVEINSDNNHSSSSDGILSL YAGLLGGTLPSLLLLKVLEEEFAKFSVLSHFLIRIFGYLDRNSCHIFSNSPDLNITAL LYFYKCVFFPLSSSFTAALLNIITVERDFFIQACLRNLFSTGMSDRNLFNCIERIVNT EQEIIEMDEADDAEEILSGTFRRFERKSLLKCRKENHFSIETDIINSSIMADQFPGKN YNRILFNVINNIILIMSSNSNNKISLRRTNTSTVNEDFIPKFKNGSSNNSSSSSSSSS SSSSSSSFSSHFLNSRICIKGTQNIKIEKKVTDLDRTSRTIDPYKDLEESLLGLNKSC KVSGLFWFPQEEIDQTVYKNTIEEPFLRGTLYYYYNRLEGLFGFLDLKQSCMLINCIL SEEEKRVVKIFPKRSHTGFFKLLESVLFYFLSRKLLDSYKQSICGILFLSKREVSTKE EESLNKNDMALNFSSLFKGSIRSYINNDNYCALRSIYLLFLKDTLRSNSHNVLNKILI KSEICSHHKKLEFPIGSLHIDISKLSSSIGANTNNSSNSSNKSISASSSRQSCFLYLA YVIYESIIEDITKVMKIENDDILKGEKNNSIRMSDRFLSIQIILSLLKRYRRFIKISF ENDQVLKKIINRAFYDGLILHLQNSISPNNHSLVLFMLSVWITSFIDNRIIQAHGIFS SFKNEKLVNLNRDSTGGFPTCSQEKSLNKNSTLKVFSKFVFDWFNKFFVSRYLNYQEL PVIDEVISIAMSIIERILESRHFSKICNILINNIITSIFLIKLLPNFEIIISLYKDRL CKRLLNINILGANHNLTDMRESACIGLQVCLEYFVLLCLEKNKEGDSDFDYLGGEIGI SELLMSIENDRTCFIDSFPGKLNMEGYVREGANKSYLDDDSIVGNSMNVSLNSLKSLL EDFLRSMFSRKNIENEFQVFLTSNFNWRKMSNYVATPVLMSKFPLGNDIDQKYSSMEF FSYSNNKHYMEVNIPDKIKKEMKKFELEYKKEYPHRKINWLWENGFAVLEAKGFKAKV LVDKEKELIGELLNFSIISSLSASIILLRMGELKVGQEITLGELVNRTCLPMFEVARI LLSLSLPSQKLVKIIENNDHIKMEVEITREKIINWNSWLNKDTSFILVEIVMENYEDF GVFRRKIQYCPKILSKELFLQRGCSYDFYLKSINFNELFEKFDHINYHEYLQTPNFDN SFKNIYQIKYPWNLDIILDDDKYEDYFNSMSNMIGSDDLFFSIHCNTNSDNNNIVTYC QEFNDHCDYIDCQFNTSSFIYRDNFGILFNESYRVENINQSMILRSARDLLENQNSNT FRSVIIDNENSLRILLNQFDVSNVLKNGKTVNSDHNLKTNKHVFDSKSDSDENPKKKK CISNFHSCDNSTYNDTKAKISSTCSDFSISSSSSSSLIYMGHSINGQLLNGYISGMID IVCKLESIIVRLLKKSKHKSYDEILDFIQKTWSLSGGNIPTVSTIDLAIIKLIKRDFI RFICKHVNQDCNCSEISQNILSYNENNSDNNKIFSQNSIFEYIN cubi_01994 MLETILQRLLGRYLEPYVYNLSRENLRLGVLSGNLVLENLKLKE NLGDILHLPLSIVSGQIGHVSITIPWTSLGYKPLVIKLKEIHVVVRPKDYGDVDEETL RKELREAKEKLIQFKEKRLNERFLNGELSNSSNNPNEVPKSTGNLPKEHGKELNNGTN NNSGKDSQSLAGGSEDTNNSANGTNLLWRIIKKIVANIQLEIQDIHVCMVGFQSNDEK LVINHSRSTRSDIIMGVMIKSGFVVTADSFGNRNTNSQSSGNQKLDDPNALYKIIEIN EVGIYIGQYFPNSFNFNSRRGRGLKELDSQFSAGHHHHSRSHTHSHSPNHSPNHGLIH SPTHSPTHSPTRNSTHSPTRNYGKGQVKNEKPSYEMVNIVNVRNKLLETYQEKNLNDK STIKALESKYLLIYDDNDSQKSLGAWRLEYILKPLTFHLNISHSPVNNELKGILQVHS ITEHTIILRRSHLRPIIFSLQSLNERQERYREILMKNSHLVNLDPVSLKTTTQDEYIS LYSRKLRLEAIRLYNNEGNEANFFGVVVQPLNEEELSRLQILDDVLSVRHITKWRCKA KEAINRIIIEASSRKKLRNISSDAKSLQMENGNLIVNSASGISKTDREGTHGNNLIIG ARNNSESIGNNTSWTQWAIGKIIGTGTKGGDNNAYKDSAMGRDISSSPSYMMHQRGIY SEGDFTVSSNNGLITEEEMDVIMTAVTNEKYFEKIETPTKFSITFGLAYFGITVFDDV TKPILGDIKSDLSTNFYNQMNINDINTNRNINIKFMESIPNPSIESAYLSLKLHELYI QFNIHSVIDRNDKDCYDWNFSTYINNLSLYHFKKRILFFNNNHGSDIISEKKAKDNEK ATNNMESGRGEIKTPSIYNLGSILRTISGIDSKNSGTNEKDGKSCNYIENNQLNSSSS IFIYFTHNVSHEGNSLKFVLKVSPLEIFITPHFVSDIYSLIYLYNSVIIIPEKIQKEK EDILNSDSRFCDQRYSKNIHGKEKNFYQINKEIVIGNQNQIEDSDEFVENLLEKSHNV RYLSSNNSFPDYIHFDIEISSPIFHIISSDFEDQANSLSSIQIFLGNCFINTRTICEL NKLDISVEFSNTQIKYLNYLKKNLKVDSHDLEKLKPNILNLSPDNSHINELKEDSESI IDGFKLNKEFTILHPIPVLLNTIIYNQKKEEISSEKDNLSIIIKCNLQQFILTINDDT IKYMMHIPLSFYNSLSLPMLMGYYYLPPDTLYTRKFSRSKHSDHLTGDQSRQSFKKLK ILDNNSDFSNRKDLPKSPKPDVLVKNDQNNHIQGMEENPKEVQSKIAKNVLLLMNKTM KIECFIKSDIFSFTILSNDKETDLVQGSIKGISSYLSFDSTDSIYQGKINLYRALLYS SYTRKPFLLTVSEYDPVISDISEIYKNLDKKSLVTMNHSEKIQNLTQTQSLTQTQNSR NSEHNNCLEQSSFDNDNAFEDAIEDYDSTLEIFFTLNGNNIDEDLLEVSIVSSPIEFY WDKPTILSLIDCVKSYQKEVSEIAQFHLKTMDKIYYLNKFYYTCIEAGLVNDNEITSI YGNTFRLCDLDYYGKLQFMINMREFLIQSGINNEEFNKFDKEDSYPQSLLDEFQINGR LFGEQELKKELRFILANLDNQNSWIHCMILMPPRFSFLHIQRVYLFLHDLYFLSHNSN NSKESGLDSIMCNSYLSPTLSRISLNKNRKSFASGSNTNTDFSEDASMEYHLSRDQDK DSDHKCNGDFKMNKNSSFDPSSEYLNNDYEYYYQDWMFSGLFDPDLDESNFNIESDKV GLPEFSFNIDTSKKKGYQNKDDKSIITNSYKKSPKIRITHIIKGGSLSMIKDEKIYIT AGVNNICICMDTFSTGDKMIKISVNNCSLTINNKCILTRHFNPDSEDSNESNPLLLMY INLYNNLKNSSNNNYNNKNYNFDTKNNSNTEDENYIEDYKVNDFQDEYKDELNIALKC YMDSICYILYSKDVLEFVEYINDGILDTLISKSYKVAKDMTAKKVFLYYLSINNSVIK LPENHPISHDLQSFNQKDEFQDNFDIIESYVNPMDVFDETLKRYKDTLQDLLHEMYID SKRRVIETEDLKNDHIINDSIKKNIKDLNSKYSSIDNTEISNYILSRVNNRESLFLLE KSISDYPRFKTILYDVELFDDTQSYCEITTRNIKVYNKLVDNSNLIQQFYNIQEEKNK NNKERLFFESSNERIWTTILIINQGILDIFEKEGGSEAIQGRVLPDLNALVEFGSTKS FPDMSIGRILCDLKNLDYSNQFSKEISLLSVSMSPLELSLSRQQLTFILNVISENLLN QKDQKRASFTQVLNSGSNLKMPNLETIQNCDEKEEITLIGEERSNIKRNNKRNILINV RLPKLSLETSFSHASYSKEGLESTSLPLLLVKLIDCEIRSRLLHFGENDDFTSITITS KKYQFDDIRLTSNLRFRRIIHGFTLGDVLCNETLKLNDKNANNTNIYNNSIKDTLNMV NQKLNQEKCSIQFICQISNKYGSHILLKAFNPRVFFGFNCIIDFYYYLSHSWRSSAFS KVVVNEDQRNQEIRKCNSYDSNDPKDPKIFKNSGNFGHFENPNNDLKENENFLFKMDF KALESSTSRFFRDNKKNIIQEFELLLNKINEKSVFKFEFKLEIENGIFGFTSHPQKKI NAEKIEELNDKLILIWETNIDCSITTYYNETIIRNLNLLNSLVYFTEIQRDEFNTEEL KSSNSKLNLKNFSNSLLKPIFKFDQNHLYLPITYEIPRIFQDKKKIIIAESFNIITSG RYLSNIKDIPEIYSTIISLSELLFLIKIDGLKISISPILSSILLDIAKNLFSDGPSIS PLYTSTLKSDSIPDKDDGGLIKQESEDDLEENKSRIKTLGPPPIRRIYDLKVSLDNFS INLISDHNENRGNVEKSNRKNDDHDYNFENEFGYLPILNLEMSIPEWRIEIIPIKTNH TIKDANIKISILDINNQILEPILERCLFSVYYFYDHLILYDPYVKPFKKSHRKYLHLT FSKTVLINIKPSILHALMSYFNYYSSFKDEQDSNFTYNSHKSSYKDYSDLRSFNEKPK ITSSYRNKPVIELSSLSKPMIQESDLENFSDIYLEGTKADSFKTCIKYINLTGFPYYC FNLKGKKLEENEREFYFEQLFILSPCNNLDDIKFKLDSNIDIASSHDQNQNQVKYQPF SANSSISINYINNCNSQIPLLKVSLPNELFCIFSAPIDIDVLRIKREFKNLDLEFIIR VLTVYKSYNLAQNVLKYYKDLENHDDICNKVLGPLPSGLSFTHFQNNIVSSAGIDTII PFHNLYYLKGKISKDSETYARLFSDLKLDSYMLGEEEAPGLENEEFERTLARSNEIDP IHQASSAIFTPSLNKSFTKSISKSFSRSSIKSFQNGIKKNLEKKLLKKAGIGKSAIGG SSKKFISKEKINNPNYVYKNIIGEVIPINSQEKLFCLISNNRIVNKTGIPLEVCFLNE NNIPIQLFDISNVSVEKELTNLILNQEVPNNQSKYKNVNSFSKEQDFLQQEEAKKLKE NSEYVTDNHLSYNETKSIPLWLNNTDIINTILNSMHQIYNGENDTTDIFEHKNDNLNQ RLKQKRFYYSYFLPNDYMMSVPQIALLNNYCKVIFRPCIIGFLEIYNIHSQYNNDNDI DQMLFDKEIFLKYSKLMNNYNDENILNEEFHQITYELLKIFKTSNGEFILDLYYSFWN NLISRFTNPSNNMMDLSYLQSRGWTHSPLVSNKNLNLSQTHFCNLYPDFKNNKKSESH FKENAIYFQSYIQTYQSLFPGNIPIRNILLYPSFSFFNLSPAIMDIKFRSLNQNLLHK CSNVLFRLKPMHHYNIYQLSNEMKLQYKVRIMLETNSLNEFEFNSESGRTEHLLFTQW SSPLDLNMSETETPFTLYCNNNSLIDLKCTIIDKTNRNLSVKSQFGSLFGKMQNNIIA LSISTWFINKTNHNVVPIFENSPFPYINTKSGIFFLSKSKSLNCQNEDEQDEKMISGG KNTSSISSSNLNSKQLMKNKLEDEEKIFLLNNRHNYLKIYFNSYDNLKEKYGNLGERI NNEIYLKEEKEILEVINSSETNIYNSGGIKNYDDCSSNIGLLEIPPINGTYSFPIVNE GKVYFFTVKSSLLFYYNDFSCSSKVITISPHIIVQNKTEDQFIWSSFMFSSGSDNLDK ADSISQIDPNILFNSMEKNTSNYTNMELRKHLGPNKNDFVTSIRIENPINSLSFNSNS NQFSSLQASKSKSGSGIIPLSSGSGGIESSNSSNSENNKSMIKDQSILLFLSRYVSEV NQEREDKLNTIVKNPKWSQPILISSESNGIFYMHIPKMFGNQIQDGIIVNPKTYCIEI IASNGLFILKIMKDFSMNNKWDGVIFTNYCDYLSRIYIETYHFEHNFEERKKKRKLSL LSSGGNHFSPGLLTIGTNTGSMFSDLNDNITIRYIINSGEKKPLKISWSSPFVYNSRN CRLYIIPKLEASESKNQIGGGGGSYGTSGILAFDMNFRYNQNNPYQSKRYILSLPESD IIKLCTMYPYLNSRYFLNKDAKKGNGMNNYPIATISITARNNGLYIDILPPRNHSSLL LNLLRNNNRPIRNNDNEDDRKKNEIRNNLNIEKMHIEDLYQRRMYEINKFVNNDVWSL IYTYYRKITLDISQLGISVISENREAEIIYLELSTIQFKKQEKNRNGIIETYKFIIGD VQIDNQYISSNSKFYVNNSSKLYGSTEIEDQNLEDGNSTELDNSIIVGSNGVAPYVLL ANRSNSEKPIEDINPFMTVKWSCSNAKSQWETIIYNFDCEINDLELNFDFNIYRILNE FVEECRYTLNRQYILDIRSILSKDQVLEGVEPNMTFSIEKIKIGALNLYVWCSIPLSE LNYIPEWFRIGLRILTVSNALELRGAPIKLESHNLENSIGTIQNIANAFYEYYMAELL WRIGTVLGHSSFVNIPLVPLQVGKNTLNMAFSTISMVNSNITNLLSNLTLDTEYINSR QRELLYNSSSIYSANSFGNASKLIQGQNFQQTSSLRQGFVQAGHNLTQGILSLGNVIT KPIEGAQKSGFTGFCTGLIKGVTSSVVRPIDHIGQALNNVIDGIQAEVNKPLGGYKER VYRRRIPRMLYSHYSKIGDYEIQDALLRDIIGPRFARHLDQYFIIPTDHYSMPLILLI FPKTIVLIKLMSSFSIGDLTGNSSTSFGNGFVRGGGSANNGNGIGGMNSSFGIGASSG VGVGGKVTGEGAGAGFSSSSNFSSSGRNYFYKSQIIWLVNISNIREVKASSHGILIEL SNEKRDTLQIPICKFSLIKEIIVALDNSQNNASPHLIFKK cubi_01995 MSSRHFRKIRIPITVSYILLLLWVIFTENAYVKGDEEFLLGRSV LDLDKKNTCEYYGEQDDIFSDSFHSRICIVPEDGLHGNRDHNHNPRKAFVTSIPSNKG VFSNKLKRKEDHLSSVITEMSGESMRDKRKNVDLETMFGIGKNNYRLTNNETIQSSNL TSYARTQLVNSTNNYALFKQGLMEYQNKKILKYYLYDVSNKKYSDRIVYPEDVLSPNS ALNYLGNYLQVYEITKVSNPTVVSWPNNHIVFVNSQVNADGSFKFVVYTSSGQIGFFF EIASGSYKTGCGSYSRIDKTNFAHSANALIQVQLIRRKFGFNVFIDGARRTELDIIDC IASPPTKVEVTSGNGSKIFPKVEDCKVSQWTDWSNCSKTCSTGSKTRYRSVIMPSMNG GLLCPNLLDSTSCNADISCSPCQYSDWVAWSECSVTCGSGTSVRTRKLITANYFAESC IETFQLKNCKGVSCATDCILTEWSDWNDCSTTCGVGNQISTRSIVQPEENGGSCDHEL SRIQECNISVCKKSCDPSPCLNGGVCSELPMSNFVCICPPFYGGETCDSFEFPWWFYY VLIVLIVLVIGIIYKTQFSNIITPNTMDPSYIGGGDYAFSEGPAPPPPTTQPQYYQNM NNNYYYGYYGNADESYLVNNDEGNWMY cubi_01996 MISSLENGPTEEDLELLLGGCNKEEQLLFVDLREEPDDFEETNY QENFNNLNSGDYIERNDNKKNQYEAGKLRKHRAKPKKTRPKRKRNQFKDNSDRISIDS DEFVVPDDEIIPPDWEYNYEKPIVCQNVTFYNKVDNILTSKFENVGNFNNNGKECNCS EDLDEDLDEDLINNLVINRSGLKTKKYQIKMSGIDIDKDYIDDKDNTALIVESHMVKD NLDDEEQVIYVDKKLDSFLKQTDQSKVINKIKSDDSELIIDKSDDLIMNTETLNFGIL NIYFKIMSIFEGFEKYNKSQDYIENSLNLEENDISTLLIIFKSIDIEFSNFSNNKNSC NSNNNSSSSSGNFDLIQIYLDLFYKNILNLFSKVQNFNSAFLKELHFEMIGFLESKYE EVINLDSSKLDNKAIFLFLRVIGLTLFFEHLLIRSIKIEKSSESKVQNMNEIVPVFFG NENILNKNNVSKILRQILKKMLDHLLDLALKDNLKDKMNDFQCILILTLFFNFKLSGD LIFTIISEKYHKESNLNSEIFLFYYYAMIVYSNITDLKFDISKLFAKFIFEFKNKSSS KKDCLSHYYLLNEYLKTVSVYQINNINCGNKFESLLYWLSDSNFLYNVNKKNEMNIFL SIINDKESNLEIFYSNIHLSYSKLKEIYHKISCLEGKFKRILFLDFGQNDDSTFTEIT GGIILQDDIKKIDIFELTEEIYFYLFNLLINFMKNSKELNLLLKFIHIHFDFEKASNI CKNDITMHYLVYNSLFTALLANSKKFEFEIPILDLYEIYLDENDKINNYLLTEMVLNC NNTEDNHFIKKIQLNKNYKLLIQTLLGSSKFGPHFEINFHIDIIYNIITLIESLDEKN SKFDYLRDINKLKLNDLLGFNSNKIIPKNNFNKYSLNSNELFLHSLLISKFNSNALFT HTINTGTKLSLTLKKNNTCKIHVDNNNNSNNKLHNIENELIKVMIYFKIIIEEILDIY FDSIYKISDDKLNQKNIDFIDEIFNLINNLSIFLVWNLFELNDSTVCNFLRYFFGLIS DKLLYYTKYFKNNKNNGGQICELFIFHTFRNLGEFILKFFDKFNQNITLKCEIYSFMI VLYLLMFNIKHFSLKYHYIEYFKLEKKVFEKIQILNNNDIFDISKIKSKKKIVGKLKN NNLINYYIKKRVCNNLSSIYKLVGVISNLWKSKIKTILDYIEFYDSSDNESLNSESKT FNINGLILELYFYYYISYLDNEVLQIINKKAITNSINLYSSNNIFGLLINFILKGISE NHINKNTTTMELILNWNHINNSVKGYINQLKNDLLINIWINYDFIQMIMIPSSFSCRF IYMRINFWVNILSIPLSKMLINCNEDFNNVRITLEYPLKYFKKLIILKDIDVIEENLV NFSINFIIDSVRLIHLSYFKIVLKMLISKIQNTEKYHLEHEELTKLILNLLNYMTVIS QKIKIEFDSNQINSKFEIDDNIKSDILDIISLIISYLNQVSKVVHDILINKKELIHNY YSNSFDGKTFYQINYESLKKKTEWINYFIYSSNLNYSNNSESQEKYNILEYILKNSDR YSLSVEFQKLPKIFQYIKKKTSKRITYKF cubi_01997 MAKLYFYYSAMNAGKSTLLLQSSFNYQERGMRTVLFTFADDHRF KKGSICSRIGLSENSHTYNSTTNIFDVINKEHNEKKVDCALIDESQFLTKLQVREICM VVDKLNIPVLCYGLRTDFRGELFTGSKYLLAWADKLSEIKTICHCSKKATMTLRVDKD GNPLHTGEQILIGDNSMYTSVCRKHHIICDENYCL cubi_01998 MNKGYKGGCFSLKVPPVFCKNGNLLLIPKGRNNEINVYDTLGNG ESRSQFSASKEVITGFGVIKSNHKEELIITTTFNGLIRIFTLHDVLNHMEKPLMEFRI TQCILDLKIAKNNIYLLTGEAKGEDTVLNPNVSLYKIVNSDIFSRLNSDNNKTDSKFA QKFLKKMIDFSYGALSFQVSFDESIFCFIWKNILLIWNIQYPNQIIRFRHSEYILSLI ISEDKQFVATGDAYGRLTYWFIPPSSSKEGIQMWENAPSISENSDIEKMIYKYNVKTS ISHWHSHELTCLNIIPGTDVILSGGEEAVLVLWRQTFSSDSYLIHSRNQLKNSNNNGT RQFIPRLGAPIYTITPFKKERVQNDTQNTDYHQTSESIPSLIAAIVCSDNSIKIIDLV HNKIINTIYGISTPFNIIKGSVMDYPQMKIIPSYFFNPTRLLVSIIGHPFKLHIHDLI KDLWYSSIFCKPEESYVSKVGEGISSSKLVQDDVTRVLLVDAYYSKNAKFAITIESQT FDHMKNQKKVYNFKFWRILISKERTHFELVSKYHIAHTDQVISIEEAKSENPYIDDYH NIMDKSNFETETNNTCFITTTSKREIKCWVYKKEVKEWVNSSIIHGESDIEVYSTCFS DEFNKLFLASSKGIIIYNWNKQHSVLLESDLGYLSLKGNKISQMVTIMHMNEYYLLAL STFSKKLFVWNITSMELILEQNIDLNFDSKLITTQNFGKYNKLMEEIPFQFAIIRDKN KGKISLYKFEKNIKSKSNKSRSEIKLTCLKDIEVDLLEKTSIKDAIIQPKVENSKICL YLVLLLSSCDVYIQEVGVLDRSDIVSQVLNNINVQPETSEKTPLSIKGFTDESHDITD IQESIEKMFLTASKDDQIKDEKESHVVSTLSKVSKTVQNIISYSNDSSNKGLVIDQAV RSFSSLYCLRQNSTEVLSFGKKNLKNLSQSLNTCMCPSPSNLFWTLFNSNSTNLSRLS EHDNDHNTNSKHHKSSYVQVFDSKDTNKLDEYQLSSSKNSFDQMNNEILISESLKPLQ TQKLQSMLKKATFSERK cubi_01999 MPLYLVWFVLHPDYHEFRLQELESLAGCFGIEKNKLWVSNLPNY VSWKGNEYNRPLANDHSNSFAWVYLPKEDIALRILERSVLVRGFMEVWASGPDHEKVK QILKQDEHVKSEFLRNYIHDNVDFSWKVRSIGKKLSRPEQVSRMNDYGFLFKGTEKVN LKEPSLSLGIFEDWRCINWEDEHSKEGISKEEISKVKSDLKRVYLGRIIGFQSCNDLE DKSLWWLKYTLNKRPILGPTTMDNELAFIMCNIAQVKKNDVVFDPFCGTGGILISASH FGATCFGSDLDLRVINGWFCSYVNPHMIKNNTIKEDHPRSIYSNFDYYKLQRPGIIRM DISQNSIRRSWIDAIVCDPPYGIRATSRTTNSNQNQINEQREDSSYSGNNYIHSFIQD YNYRKKTSSYSTPDMSNTHPSVGKNNFGSLQPIDDMIFDLLSFASKTLVNDGHLVFLL PLLASDANIVISNLIDAWKHIFSIDFPYMQTLGGGLGRLLVHMKLLKKKEK cubi_02000 MGESDKEIKNKKTRSAGKRIRDIKRLLDNQKFQISQEKKTELED EIKALKNTISKNKSSYKKKLNKYLQYKKNKQKTMRFVELVKVKRKINQLQKLLVETLK NKVKEYGNQQIEEDENNSGTESEIETNEVVNINNPRYLLDLTLSENDTFKDKVFKIKS EIAIYKRYEDYIRLLPFFKGRKYIPLFSNTELDAETLERRNQYINDIQELKEELRRKK VKTSESSNLSIKDSFLVQEGDLEYVNNLDKHSNTLNRDIKKIEYSIGGNKKSNKSDFK ARKSNNFKKNMSEKQENAKSNFNNYLKSESETKTKVKPSNSHVIFSDSD cubi_02001 MENPQNIVKYVFNEAIKQLFPEFDKEAIVAISNPNFGDYQCNSC MNIFSQFKGQLEGVKSPRDIGMKVKEYIEKKVDLSIYFKSIEVAPQGFITVKLSDEFI YNKLSILQEMDEVKVISTMKYHCKNGRKNPKVVIDFSSPNIAKEMHVGHLRSTIIGDT ISRILEYLEFEVLRINHVGDWGTQFGMLIEYLREEYPNFVENLPEISDLQEFYKNSKK RFDTDPQFKLRSQQNVVKLQGGDQDALIAWKKICEVSRIEFQKIYDRLNIRLEEYGES YYNDMIPGVVKMLDEKGILKLDEGAQCVYTKVNEIPLMAVKSDGGYGYDSTDLASIHY RLKTLDADWVIYTTDVGQEEHFLKLFDVAETMGWHNPPNTRLFFIGFGVIQGEDGKKF KTRSGDVVKLTELIDEGVSRARKELVERRNQRRSEQESTSNTEIDICNQDLDDSNLDL MASTIGHAAIKYFDLKQNRLTNYKFSFDRMLDPKGNTAVYLLYAYARICAIFRKVEFI QSDDNAIIKQKVLQFKVKMTNNIERGLAIQIIRFPEVFDGILNDFFPNRLTDYCYELS EIFAQFYTECRIIGLEDQELEQSRLLLCFLTKQILFKGLNLLGIETLEKI cubi_02002 MKGFSKEEVQKPFTNRQVIDIKSRSDNGGRFYRNNAEIGYESGI WSKFVGLMKNSLNISILNKSSNQLNKLTDNMNSKNHLKEIIMKKSIEKFTDKQTKVGG GLREQKELNIGLKSKVEKSSVSPKSTKISPSLSPLVSFPYSTSSPSSSCSPCSFSSPS SLNENIGISFAEQILNYNSNEYSKVISGQAPVTPTTLDGSSTTTSPAFTNLHFPSPSN NNGSTKYETPNYREIDSSEKSVSSNLKDEYFSNKKNHAVDFSADDNHLNYIMERINQG VLTDFNDKSIAKLDIPLINHYNSHDLIPEASSYFDGNNKRLSNNKGNLLGRGLTSLTK HSSSVSYSPSSLISGKNDKVCYTWKEAKHLISKLKSSSKLYKFNGVPFSDWVHEKIPT LGASPTSCRVQEMFKSRIYFEKDNNEIYVTLFIKKVPRNIWSKQWEMHEIWDGDYVTD GEDFVMEAAALAFLQNHSVGIAPKLYAILEHCEKIDHKENKISYKNISNKSPCDYLLS KDTTHIILVSEYYGEDLLDYLDKCEKKNRNLTDKEKKDLQYKLVLALNNLHSKGLCHL DFTPENILIGSNGINICDFAKSTPIITKNPRHTHYSRKSPKNHLSENFNSNNASFNFI NPPPEAYYEFESCEPTVGKGAYMPPECWKIFWKLEENKIQFPLEELMDVDTYNQRNNY PYLSEFSKIHNKSPIKCQDRSMFYFNARIADIYMLGIIMFWIWSDGGIWKYSDTRQDH RYQNLVFSDINFDVFRECRNWNKQLKSLLKKMLEPDPKKRITMSEILSDPWWRCPLDS cubi_02003 MVNIQFETPVNYSNTNYSVKDMIKLFEIKSCDFNNTISTVNSDS LCYEKNFKKLEKQKSNQQSIGTNLKNVPNTTESTVFFIDQDYYEIFNPVYPPINDIFG QKNKKNTKKSFKNNIKSNTLNNFNQNKYNNYMINNYFNCLWQIGDEFSEIHCFPLL cubi_02004 MAISEDVDTRLSLITAFHGCWVCKDANITKVQNQILSFCSGYRS IGENRVPFNFTLSHNASGQISDIDGPLDDQSHPASMGTPLVEFYKSNYLFSFYEDIDY ETSQINGARMVISSLNTASLTPFSAASNFDICTNMTHGKILNVEPDGSFDAGLTWKNA YYIAEPIIKRPKWTDKMKDPSSATQWTVSDYGNQNLYVPDWGERVSDFTNPRVYAWSF DMPNSINSEPFELDFSFRKTHSVFSLRLLPNEMALVVNALENDPVKLGYSYCLARPSK IILCNMTPSEPVGSYRLKTASEITISPPDEYVRGVQVIVTKADSLINKCTVLYFSIPS NQRNIPHWSSMQLCAQDLVLNGLSWAPAGERRICVPTQNEPAPANDPLKFKGFSGLFG SSIFKLIPLNGSDWVFTSTYLGSRVVPVAINVSTKQVCRIDLAVMGDSYEGDLEILSV SFGPNNATVFATLNLVSPTMPSLVMIVQMSMNPTRNIISAQIIKSVSSFGRNSEAFAS SLVKKAPEAPLFNNTFKLARVLDQIEFFTFRDKHLVIRNKTQSTPTTKKSPLLLFLHG GPHSLTTSNYNFFFTFLVSIGYTILAPNFTGSVGFGDDYTRSLIGHNFETDIKEIIYL ADTIRCIEELNIDPKKCFAYGGSYGGALIYSIITSYPGYLTCAAVTNGFANTISLIAT SDIPDYVFSEFIPETSQSEDNRITILRDNETLIKLHSKSPISLVDKVTTPLLIAVGGD DRRVPDTQSIEFYRALKKFGKKDVKMLYYPDSDHSIRVTNEPFDLYLNVANWFGLHSG IPFVFHGDL cubi_02005 MSVTLGSILFPERRKVVVDTRKGLHNKRKKQVNAQKSQEQTSKQ FTTRLLLDDETIAPNDFLTPFPTDDVRNTEQFWEYLERVFYPVDERWISILDLSARPQ NMEPKEACIGLHFAYRWALVDLFDTSELFKLVGNRNKIFKNIIEKSKNSSSENKISSK HVEQDQVLDKSEKSQLDGSENSDLEEQKIISYLEFLDLPILELIGIDPTILGGINTNG GNSTKQSENSSQNKRTVNNPYFEEWKFLYKRLCYIEKEVDSMLLKLTNNVSENIHKDN SRKLLQRHQKKKCREFLLKVMHYHSMRQFVDSLEEVLYFDEKTGLYLTTWDDGEAVRA AWVSQDQLLQLLLFRPSSTESKYSVSIPSEYIPYARSLDTNWLRYLLDSGLTMINDNP DNSQDDDRLGDTLNLDSPSKPKDGCIELSRHPLCHYCRRSCKRLNYSRCPSKIKPLNL GINPSGLNQTNQSQNLDSNSILNNSNSLKLPGASTSTSSSNIYYSRSLIPWRVPKSQK NMENSDHSEPTYIINNNQNHSQHINQTQFGCKSGRSINLNGRPSVGGNSCGPMASYLL NVNRSHVCNICTDFESNLDKSEFISQEEILISRAYSPNNCWRMYCSECIMHNFSSVIK QKYSSGIVLRYYCPFCNGACNCERCLRNQQIRKIKNYLKSRFCGYVFQCSISSCVIQN ELSWYDFLKDFGGDSLLFPHVNNTFKENTMTGNSETTLINDQGEIDESLVNLKNIAIV SYINNANRRFSIAENTHLGFIWLQANNIQFQKPPPSSSTGNTGSRDHNSKKTTILSGS SSGNNISDHTKLLSKNKSRNRSSINGTQKNKRCSSIQSNFEDTDLGNTNGTKLQQNTQ TVSSNSIFSSNIHKSHSNSIKGNGAHNSPPSIHIPREVVNEPTHASGASVLWSLRSIL INNVNKYHKECLSNLERWEVIQELYNRKRVELECYFEELLSKLNLMDEWIRSISFTET NKRFIMRKMPLLCWATDIPSAKTFNYDDYPQLMPNNNVVEMIKHIQNFVKNGIVSEDL PTHQILGFSEVDEGGGITGISQKTTPSLKLIDKLPGMMTTNSPSSVMEFLMNSISEIQ NIVVKTNNNSSKDDSGKTNKIQRNSKRDKSNSKNPTQNKLPSCDILNPDEVKVSSFVN GLASSSSSSSSTAEAEGNQVNSNSPINAEFLSNKNSELEIISSNDKSDPSQIFGPSNG GAIQKSDKSDLPNFNYHEGHNSSINPFIYGNNSYMLDEGTIDTFQPYSNKTIPNQDPS VVDSIQNKSKKRRSSLELTFVEIMTPERKRELALKRRRDRETIRKAMKINNHSSSSST ASSNIIGGTGIKSVEDISSLSGDGTVFSISSNGSSFGSPQL cubi_02006 MHMKKRRKSDSDQSFEPNKSIALDSQINSNVFNDWNTEITVITE RLRDELDTKVSHLYEGIGKDLEINIFDYSQNEDEYYLGLLKNTNIENNIKKIIDCLER YKESLKLEKEKNKDSNILENLRKNLSKCYSKFASQKYIKDGEFTIKNWTYFLPILLSN SISEIEISVILDKLPTIVKFLIKSIRKYQLSTGKCISVETIDTINMYRSILNEFEKIS KMYNDIHNLTIERMVMEVHDPFTLYIKNTMNYIDKTKLSLSFIPFKFGEEHIEMQKSS MTNFSLDFSYKPFDTLYMIYGSNISSLGVFGSNYLNRRMNLDNLWQLSIFKNQSISLI LNTNRDRNEKYEDFDIEKLDSFIGSLGYGYSSFDSLKLMNPRPELNKRLSNNMLRLQI SKKVYKKYNKEMDPNTKVKHSICLNPLIQKFTGTDLVTEDNQKFGFKDFSKFPSIPNK EPERAELIFSKEVKSEDKKIPLGFISTVKEKKHGNRELELVILDVKECSNYIMNQNKS STARNLRFEEYGLFWKTNPGKDGIYSFKMTPLRSCNASIHHSIRFKSHLYYSRFLFEQ LVNSNWKKRIITKNKKYYKLSSLVNGGFLSKSQRETVNKISKIILNNGLWENFSDIVP KLLTGTDKKINDHDLDEWEDFDENDEFDKEMSKLSSESRNSSTLKSSEFYGKYLKLNS KNYKLISKRCQKMLAKYIFKEYPRFLTQYFKIRKEWKEHNKNEVQKRNKQNVNNSSRL QLDIIGYSGEKNLKAQFSNFENLNIKHEPNELKTANIQDPQVLHLIKLEKKEGQNALS VLPKFDSKLPLNQIYKLENFISTELFSNIKVEWTKNTSNIYSSQLNNFSKMLLINLNN SSVLNSKLKSFFLKMIIYINWLIYLINNSNKSFGGSRAVQKCLQASLDSQSNNQNSKY QNNLRIPIEFCNWIIDSFMSKYQTESLQTRFSFSTAGENKLFVTILWLSNFVNSHSHN INYTDYNGDHFDLPEFLPSSEPPPIEFSNLLLQDLKDKFTKKFRSIAHAMGFRSTSPP PARFKSKNYMIPPNVTNVILNEFPRL cubi_02007 MSRKIELVKELCDEIKYLRRLCQENNIDFKREGTSHLEDEESII SSFEFDSMTETLLLLNNVSKYNDIFLDGNEKKEFNREKLTRLKSMINIKGEIKVRYNN NILIRFYVYIHND cubi_02008 MGLDNIYKSKLINKSYWEEFYENELKSYNEVGFRGEEWFGDYIN PIVGWIVETGYDVASKRILDIGCGNGLFLIELIRNINFSSAVGIDYIPSAIELAKKIV KEEGFSSKISLYPVDLVSGKDVSKQSDDEKILDLGKFEIVVDKGTYDIFVMKNERHVY KDSVSRYLKNGSILFISSCNSTPEELCNVFEDHVHFEKVSELKHKSYSYNGKEGQVLA SVAFRYIMS cubi_02009 MNSIKLLNLKIIQNLNRFNSSCKIFNFRNSAIPLKFLFDNNYKL YVLKAHSILTIQKSRINTNSLDISQFNLKTETLFFEIESKLNDLLDSGFLSDIDLHDE FMNITFNLNGVKNTIVISKQPATKQIWYSSPLRKPDYFEFNSDWRSNRTNETLFEALH DDLFKATGIHVNF cubi_02010 MNSLNISNYWSLLAAIIFIMYSPSMYNSILRFYEKDFINGLLVF VDIGFGNVIKSMRQFYKILNGYFNCSELNQYENLFNEKILVISILKMVPLIFIFLDII IMGFESFAFTNLIGLSIGTINRGPNDFENLYFDDLIKLCYTGFAIAMIYLTYLSIFDV IIDIFYCHELKRVVSSEEIGSQNRETSIINGSNLNVSLLIKESRQEMGYNSRLLNDLI SDEQLLLKNTIDPLSIPWLRYFVSNTSIMKNLHFVNLTNDEDINELNLRNELFFPIHN SDIYYNSYVIVPRVLPIKLSETSKWSIYRLIFIYSFLLIIYQILDIFLKFSIMYLLIQ IPFWPWNFLITITLQLIFMGLCFSFHNSPITNLIIGLFINLFGVLPLLLTSQNRIKHD VSISCSLLSLRSMEFLLSILIIIIIPFNSSSDEMQWLHANTGNLNVSTYLHAIKNYIW KNDVAKNLAPNMNGENGIFEKGEINISQFFWTIIIVFFIREMLLFLIQKIITTPKSRQ NDYLSENPSNERIEMVNSIDYMPYFRFI cubi_02011 MSIGYSNNNNIVDKTLEAELNNPRILAWSVIIKDGQPIHNNNAL KVDNNLTSFPNDSTRTSFEFRSPSPKSSSLNSPSFFSRRNTTEKSKNKEIGLEKNKQE FKDEFLRKINEENVTNNEPNFNVVKRDFSIWRCLYWPEIAINPVYSGGYGKMRLALCL NKILTSAQERNQNHVIMGRTSYEKRECINLLPYIRILYDNELLFQSCIVNKNICVILE IEVHHPLSRIIIEIFDFDDSDPSLISSSDNLIGHIIIPVGAHSNRKPLENRLIVASDD DGRILFIRKTHQKKVHNENLMAQKLLRFPIKSCERLSKTRKSRLELSESPNIFSEFID EEETLRDTLIRNSSKESLDGCIINELPNIIYFELANQMVKDAIDLSHSAEIKYKSIGI LQEPHCSFVVQVFSEIKWSRLSIIPREIFALYLPEPKVSSKYKYNNKNSNYNFHHTSL KDNNLEINIKIIINSMILLKEIYYSECIYPYKVYIWKLFNWELQLHSLFALSIIWYLL FHPKYIFSLGFLFGLIILFMNFHRNNLILNLERSISRNLKTLNSVDYGTDELEDNILC SPIKSNNHAKSLPLNNNSIPLENLEESKSIENIDFTILENLLTSICSPNTIKYIQKFS YIFEKSAILIYGIIKLHFWGNFFQSILLAFAYSIFFLISLLYSNEFSKYMRYFFLTAV LLPSTYFLPPIKGFLRIIKSYKKFKKLKKNR cubi_02012 MDEATVWIKQETDQSLINGNSQTNSSQNEERGYEKEHEKEDEGV QNDGIVSFYENQNILDTYFDHSEAQELRDLGVSLVDANEVSNTIFEQVKSHFNTSNKP SKEKETKGLGNSSLNRDALLGFGEEASRSTLIKTEAGNNDVQQGHISEEQESMYESIN DLVPDSRPDSELEPIFGDIIEMDTLLDEGFEVKDDIDLERYRNRVFKSRNKINQTVGS SRTNISNYSENNTNVETSNLKIIKISRDSENNQLFIWDKIWNALYPHQKEGVLWMWGL YCNNHGGILADEMGLGKSITVASFIAALFITFKRNQETILGDESSLFNIKSQVKSESD LNLETIPRFTGGYHKVPNIKNETELIKDLPGESSLLRSLSFVEYESNFETNNCKMVLL VLPATLISHWIEVFNRWYYPIRIILFHGKGESERRVMFEKLREVEKASTELLVITTYE TLRRNLQKLRQINWFYVILDEGHKIRNPDSGITLAVKSLGTCNRLLLSGSPIQNDLKE LWSLIDFVYPGKLGTLPVFEQQFVIPIKQAELKNAAKVQTMRAFNCTRILQELIKTCI LRRRKHELQDVLKLPSQAEHVLFCSLTSVQYDVYCNCLDLLQAKQLVKNKMYGISKYF ALLNILREVCNHPELLKLVRRQNKNGEIDSDFDFYEDQEDENSFNGEIRWNRALRSRG SDNDLTQSNMFLNLNVESNDSHSQRIISVDGKDSGKYQALMSILKLWRDKKEHRVLIF TQGVRTLKLLSGLLEKDLDLRPNRDVLTLDGSTPLSTRFSLVKRFNQDSSIFLFILTS RVGGVGLNIIGANRVILYDPWWNPMTDIQAKERCWRIGQKKEVIVYRLITRDTIEEKI FQRQLFKEFIAKQILKDPKNQSSLNWTNFNELIKKPRKPKNYVSNPKLVSSYVKNIKN IWGGKTRQRKDGNTYNSYFDDFDSSDKTGYNEYNNHRFSNSCKKEVMNDELNLFGEIT CDAKSEHNAIMSILGDNNDDNLISNSIGSSGKNNLSQNSHNGSVTYSFSNSMNDDLTE ATNEIIKTSVELIRQSEIERSNYDYSVPTWTGKSGQAGAPSSIILRNLKRQRVSQGGN AWNSQEGEEAKQIGGWDEGSIRRRLTEYFLKRERLGIKTSTEDLLGSFGELIPDSNHG LFKKILKQICTLNKSSSGSSKDNYWVLKKDNSKIPFF cubi_02013 MSLKIHPFWCDDQVIKNVKPIISELFDMLLENIEVKRKKENYLN NILIEKNKFQLDTKEQELNPNLEQDEEQKRVIMSRKVRNEAIQERIKIVDREITILDG QMRLRLGIIASLIFGNQSVLVFKQWNENYSEISQDYGLLCSSSNINSNFITKLSVFRE IEGSNKNNLVFEMKQEISELQEKTFLLCLSDSITSNHSIYITKLSFSSYNDSEEIRTH TENNYIQLKDSEGKNELEYYEFLEMDKEGYDQILVRTRVPNDQKCSNFKLILLDNHKN LVGNGNLLSETNMIGSQNICFWSLKLENSQFKNSDLLEIVQRHRDSGAIFPVSIVKLL PLNHENRMGRSIFSNSTLFKVLFLILNLIPITLLTFGVYIILIEIWTIIPPKLFKNKK YLINPTLKSSRYQPRANNCGKETFSNTEKERNISRHDNIKETKPVQLSNFDIVTKKDL NSEESGLSSGENEQIVKDDPFRYFDSDCSISTHTGI cubi_02014 MTEENEKKAIINSLLIQINVKVCAFWIVYFVLVYTLYFSADYLY IFKNSLFGAFEINYLFYNFRSNFLNLKHENRINNVSIDITGLVESDLKILKENIFSKS GYYSREILYNSQNSKFGNESNKIVLKANLISTNSINFSNVQNPIENHFESYLESLNIF KHQDNQFSYSIFVSFKNCGRSNSENTINLYNSKISLFCLNSKITKSDFFIILNDLFDI WFLKFTENEPEITKYSSLQIFMYNLFDLEMNYTNLPNYERNRSYLVFENFLSQINQLL HININKQNKYISKGSIDFQDNKKTYNRLIQNFNNNYKYYDENTLILPIFNYFSIFNNT NNYSFTSKSKDFLFTHSNIGNDTNSLTYSVISWITVIRSLMLPKNYKLNFKSRLNNIT FKNHIKKENQNIFLSEWQISAIQLIQSNYILNKIIHNIDIFFSTLSFYNKFKLPNHII YAVKHMNEIISNIHMISHDYFPTILQNSNDLLFNSEFHNKKTFNLDLVAAVYSPVTLP ILFVIIISIFRIIKHSKIKFE cubi_02015 MDVHKIQKKSKVWCDSDDENVEIDISENLKLRKLRKVDGEKSID GKEYSERLREFHNNKVFKTKSNEWIYKARKEMFEPANQDNLSQEIVDEVKSDTKADFE ASREIKTGKIYRYIQDYKITRNNRLIKNGQRLINRSKIDIKRLTNANVQSPSGCVVKS LEFHKGQHIENGNNTSLLSVSGWDKKIKLFSVDGVENKLISSLFFDNFPIYESKFTNS TEEIMFLGPRSRIGVFDLLEGKINFLPGIAGRKDKRYWNLTIQKSEGLDRSYIGLSTS NGTILVLDEQTKQLVRSFKMNESVTGLAFHPIENDQIISTSNTGEIYIWDINTGRCRE RIVDYGSLCITSIVSSYRSKVQRKSVSSSYVMTGSTTGYVNIYSLGDDIQRIGEKSEN QSKNSEFKIPKYIINNICTSITSMAIHPRNEIAAISTKWTKDSLKLINLYTGHVYSNW PTARTPLKYVTSMDFSEYGGYLAAGNDKGDVLLYRINEYI cubi_02016 MYNQQKILISNPNSLCEGSQSFSPPSTTLTPSSTPSNTPTTSSS SIPTPNIAQIASIATSHMTNQQILSPFMFQQGINQGFNNGMLNTALYNDPTLLQLFFT NLFQGNNGFMPSIYPYGCGYAPYIPGGTAGGAAGATVITGTKNGIPGVDNISISQTLK DNVESAKNLETKLVKTNASDNLGQVILSTPERAKQENILPTDKIEITGGLENGNIDDT SLKKRRKECSIDSVNSIVETVATETNSNMDESSTEKKSIIEVETRSFEISQEDDTENT GEEDDSEHTTVLEENLMENVRSSSQYLHLKSEEAKSNTNNLNSSIGGGSNISATSHED ELFLSNDRKTSFDKIMRIHSSLIGSLSWTVPTDKDDTILKSVKENNGNGDSDAPNNKF STGISNSSSSTNKHGESSKSLNQNGQDEYNPFIQRDYNPANVACFSPRMLPDWQLNWL RDVTRSLSNVPKSPMTGIHFDRTKPAWAVSYYECETRKYYFFFIPDLSEYTIEITLAA AIGCRQNVVARGAHKRKKPGVLTFNLYSGQFEKSTSEAHTINEIAPEHSGICRQGFRK NAILGANNACNNMKIQCAGPINHQNSGASSILNNTITPEFSGNNQTQPNSHHLSTLFV PNRNHINLLDPSMSTLSNFHVTSQMHAHPHAGAAQFLFPHMAINGQHSSQPAAMASLM QGASQNMMLETFQQNALRNASLLYAAALSSSGPTGLCGAVNAPHLPINNPVSSSIAIS ANVGPAIVVDSSSLGNIDANTGNCGNQVILPSETKAVSTSPNAQTTFGSNNNAVEKIT KSSVVPVSNNNTTKTSVIDNENITCTTTDSACIPVAPVTPALSSSNENSNNSNIMLDR VALGKLV cubi_02017 MEVFEIFIIRYINYINIWSIELTSQNFYQEFRDGILLVNLLKQW SSLYGYNNTEGDLVIYKRPLNRSQALNNINLCINFILNPPEKSQLPEYLSGENELIKA EEIYDMNEKNVIRFISTIFHHYLIPYLRVNSKIIINNMNEYLILHGLSLSNETIRNFY KMIMKKNINQIKDDELLERIESWNKYLSLNMEEINDQEKNNSNLIMLNNEKEEEKEQV YVNNFNYVKMEQINSKTNNDGKYFEPKYINSLQCDITSNGFILIMIMLYQMGWIDTAI LCQMYYVPKTLEEFKWNHEIVYYSFQTINKYLNIQKEVSIVKQHSLIKQFENNFLIDE SESDDETMNNITNCEIPFILVPLSSLYMENFSPYVLLLQIEILYNLLTQQIDSNYDFT SYSSNRLAVYGPYQPISLELLDELTYKDDYPLNWTMGKYENNISEDQQEGIAQEEYEN EERDYDQDENILVMENYKDNQLLGVDMVKNKSFTDKNTSKISKDNLARIEALINESNM KNFNDKAFDNNKRERLANNIDGKDNDNDNDDDYVVGSMYEYLQDKEKEIDVENRVLNL YENNVEETEYDIGYNYNVNNREERNYTENTGNSNKKTNISDNNNHHHSNKKINPILEG EMDQMFTGLEKELEILKIYDENNYNENSSNNENKKSQNNNINVAKIFQEKMMSNTKEF KEKFSHILTKEGNQRIKVKPSISRTALEEQQKKILGMVDNDDDYTSKVFDITRKQSDG VMEKISTAISENNKLLEKLKMSMNVNNNNSHSENKLELFKPNENSKSIISNPKESKEN NNELNNGINEIITQKFDSFQETLSNSKSPTTSESNSKDDLKDNFNVPIKRVSRKKKVN KVSFSDLVQMEVERTAVKS cubi_02018 MSKVKLNRNFSISKYLLIFLIIIFEKISCENFISVKDENELTTN YPDSGSITTNNGNGIHSNSLKNENVIGSKITWMPTKKLYLCDNYHFSVTTPNSYIYPK LEIKIIESTLTQGFTIFLRCGNLATPYNYDTRIDIPSGISKGSILDRKLPLCNFPLLD NNAYNIDIYIGDVDIEFTRRHYCPCSSQYVILSIGCLVEYNNNEIITLSPSSEYSLTG PINNKEKKEESTDSIINSNNLPLNNLKIFSTPVCVLENDFVTICNAVLQFSIQIGEMN VNKQNKGNDSMNNVSHNFCIYLSPYYWNDELLDTINKNNRLTDRGYLLDRKENYSSGM INNNNKKSLASIPAIDKHLTSSLSPNICIDLKKDLSDNLIKNENLPPQLRSNIDSITI KVFANDGNKLTDNEDPKMIKVHFILNNIKPSKYFIYPFIFQYNTRSNIDLEMSENSNI DSFNMKFFYDLNYTQLTGDPFIVKWLPTKINPNYRLENIPKVIESENLDINVKLNEPF YIVIPKTITNILSFDSNNLFLYISNELLYLFNKYNSSLIIDYVGLLGRYPLYNENNYN LIKDYELKETKIFNIASKPKLIDNSLLQIANISIPLLTINNNLPFTFKMSINTDIKST ENIPIKSINIRMKHQIIPTTCKESTCSGHGDCSLIEYSNNINIYCSCYPGWGGFYCSY PYLSSQSILAYPITLVGTNFVAIPTICVCIFKKKWLVAFLAFIAGLVSSIFHAAEVGL LVDHEDILLKGDLISAQLIISFVFILLCRFNYKIEISLLISQILILIILTLLTSRLIT TVIPLINCFTILFSRIIYWYNTTKLYSEKIPTEFSPPNLEISCAIPIELTNTSNTPTY LISKSEKNSNNKEMNSHPVNPSTPVSNCIENCKGIQNSHSNAQFDISKVNDIISLHNI AQNSNPIHNLNSTIRNANNFIIRLQYSIINAYKKLIFIFDREYYSPRHILIGFIMGGI GCITWFLETVDTYWFFHSIWHIMAFLASTFIVHGCVPPKIHIFPAKSHDFSFNKKIFQ INTTNNNNNNNVNNHTNNNFNQQIDIADGVINVELK cubi_02019 MAIIDLASLCSPIHTFKRGLIFGQNPSKSAKDQGLEAKNVPSNN NHSNNSLLEAQNEITNYGRINVTALKSTDEYSSLRKSIESKSKQDSESLEVTMSELGA GSVLDTNTSLGLLSGLGQKSESYSNYESRKIDTKTGCYLRSGQESAILVKQIPNNQTQ EGSLNLMKITQNIQSYGFRYSYENPNIFTNNNNNSINVSMNVNINSYQKNTIAIFDLD DTLIPTDWIRDAYSKIRQNPALSYLFSNSSNSVYQYIRIQIDQQVNYQLIPSIIRILN HAKNVFLDVAIVTNARSTGWLKVIDIMFPELSNALNELGIPVIRTNPVGKEPSIEDGE KYFNYWMLAKKFEFEKIVSRWNSGIESGKLDLISIGDNDFEECAAVHLAVVDKAVRYS KIIRCTSGLSPKAFIIQLQAISNAIEIERKRNSSHCWINYSNTVSSIIVENNQTFNSD TLSIFEDYSTEDE cubi_02020 MTFDFLDSGVETINHYGVRNENFRSSGILKLDFFGNNKDEEEFL EQIYQETFSYANVDNPCKFVTEYNSGEIRKMMNRGTTTLGFIYQGGVILAVDSRASQG SYIASQEVKKIIQINDFLLGTMAGGAADCSYWERVLSKLCRLYELRNGERISVAGASK MITNIFFHYRAYDLSAGIMIAGFDKDGPQLYYVDDKGSRVKDCKFSVGSGSLYAYGVL DSGYRFDLTDEEAIDLGKRAIVIATNRDGGSGGLVRVYQVSKDGIIKHIPGEDVSELH YEYSKKQGTDPTKM cubi_02021 MEGTDFSEWLELNIGKSFVVLLNEFVNNGRISPIQSAKMIENYI ESCSEVLNRHVKSGRKLKCEGTISYYNCIEGKWDLVIKDLVIFGKSLGRVKSKYVKVS GKEVKDIIRIGGKKR cubi_02022 MREFSDSEISEITEINSDDELPSDSIGDYSVISLKGILKEIISC GSGYEKPGIGDELLIELESTNILSSDNSDKINTVQQKNGKLKVTLGDHSTDEYYSTRK REIPWGIEMALRKMLKGEKSKILIKKGSVFSRPRDLCGKICVNSIIRVEDCKSYNNRS KKLINMIKKEANEFDVFTVTLHDFHHIEMICDNVNKKVWKKGVYLKSPSKKDKVELFI SKLQIGKISENLTNSSRLYDMNSEFDRLKFSLSLDDLSEINELVKYIFGNERIHVNDF IYCILSMKLGEISEFRFYNSNHENISILIHLTGFYWEKNIQIKLPFNKEIQNNSIKLS SYESESLYTTNPSLKEGKLSSKRISSLNLDHNTRINILLEKFTLVDNNKGERIELSLP FKSTKNMKTALLKVTPAFYNLPIWLEQSILYCSLGGKYTIKIPLSVIFLFPPTEIQAD SNIEIQKRTKSLIISENNNLSTLNSNACNENHGDGNLHAIWEAGKLIEELENMGYEIN DNIEDNLGLIFELDLSICTRGVVDNYIPVSLSTTKMEFEYYYYLGNILNNYNDSIYKV TWNVLALEVFDKLLYVVILLPFYSKLAHFRQSNDVKLKLLEKENASKSIYDKNNVVNN KNQNQKLITLKYGNSLVEDISEDSTKYQADSIPVSQDVMNHLDHQEKRDLENLINVIN IMLKLYYDMQQFEKCSNLLEKYKFLMQLKSNNSEIAELSALKNNDSNVCEVKDLN cubi_02023 MLNLVKNSHELINSIFNRISGIKILILDKETVQQISLVFSYSEL LRKEVFLTELIDITNRSNLPHFSGIYFIRPNKENISILCNELKKPLFKEYYVYFTNNI SPQILQKIAISDEADVIKRIQEVKLDFNVINFDLFSLNMNYFASMYNLPSSWTTYEEA IFSRMIDGIYSASLQLGELPVIRYLANSSLCRNIAFAVERRLLDSHLIDLVSGELVSS KLEISEDKRNENIILLVFDRREDPVTPLLTQWTYHAMIHELLEIKQNRICLVNEEFSK KEKEEFVLSEEYDNFFKDHKYDNFGDIGFSIRDLVNNHHESSKANQHLETIDDISRFV QMYPEFKKEYNNIYKHVSILHELSRIVQERDLMRISALEQDLTVCDNVEEHSKQVGNI LSDTGISKFDKLRLALLYSLKYEKEEIQINNFKYHLGTQANYIDKLLEVFGKEFRSGD LFQNKTLLNIAKNTINKSNSNNIYIQHKTVLYSILENLVKGKLKNSRFPSTLDNYNSS KKPLKIMVFVVGGVTLEESRDANVIRNLYDVDVILGGTNLLNSRSFVKDLELLINS cubi_02024 MGKLEENLEKAILLYKDERVWEYGFICVYNQNPLILEIQRRAAC IKYFANSFNDLNINRVEINSDFYNKKYEDFFHEFKLNILQSEVKEEFYSENDTESNNS FKSLNSQFESLNNVDELEIKSDCYFDDKGNLFDDKEYEECTGLITKTKPREWQYIIQK NPFDLWYRSYENSSIIEICFQGFIKTNIFNVLSVFYERDLYKDWIPYYTFPIKFGLNS IKEILHKDRIHLVTAIYIDIPWPFANREIILEIWVSNEIIPNNRIFIHASSIENHGYH PRLKVEIPYSLGYSNRAKISGGGFVSPHGDDLTHLIFKWKIDLLFEPPKVLLNFFLRN FIKACWDKFNNVCIHVDEPNSLHKERLTTNKDLYDFIRTKINERNRIELKNTNDSAFN cubi_02025 MSDSIHENPSIDILKELKLAGNYQITTHNENQFEEIARINLHHI ENLQYLKPFISNSSNESQYDVAALVHLLSLQRNKMRVLAYIKKRLDQLKAYRWNNGKK LSNEVLSKTSKSEEYFFNEYSSLIDEYNTSINNKYNIPDSDICNHKIGNSIRGNFNLC QIINPKTFSKDVIEFNNGKFETKSKQVFYNSGSFSFFTREQVASLGHTSDIIPI cubi_02026 MGGCFSKKSINKKSSSAGDLPNTVPNFFLNSYENMEGSNKVFPN YSGVNYDRRRLSVSQSDPVNDSDITDIPRGRAVFKASYKDSPNFKPSEFNPPNRIYNG GNNIYNQGTDSFELGNSRRRLSVTGMLSQRTQETFESKAEEVIGDDSGNSELQRGIGY VCRKGLKPESPNQDDFFILKTENWGLYGVFDGHGPFGHDVSNFIQKDMPALILKDKQW KTHPQDVLHYAFIKANQRLQEHVLETNQFDCSLSGTTATVILHLPLENRIVTAHVGDS RSVLARWSRSGRVLEAVDLTNDHKPNSESEKRRIIAAGGQVKRIEGDIPYRVFIKGKM YPGLAMSRAIGDTLGYQAGIIPEPDINTFQIQPEKDAFILICSDGVWEFISSQEAVDI IAEGGSSDAQLSAEKLAREAWRRWIQEEGNVVDDITVQVIYLHS cubi_02027 MRNFKMLGKLSEYKFQVVIQLVLLFMFVIPPQIKGDKVNSKFTG WMSEGLISSVNNETALSECKILLPYGIDQKEMIKVAYYCKDGEFISYIGVNNQIVDHS SVNYIDEIQNLKIGCSDSYSFISFGGSKLSHYNSTAPGYPVMFSSISAGFTPGGSSSI PSLVLLEGFFSQIHSFSISRRVSSLESTNSRKWSGEKFIGGCFALLPIPQPGNIIYSI AGIGFIPKGNANNSLSYSNKGIYLKNMIYTVGKVFPECQTLDGPEINHEKNNSVTYYS IMCPNGHFNKYRTYKKYSFGTTYLASIEIECNDYDIKVENSIHNSLLRLGEHKGKPWI NHERVDDLTSLYIAYFNSTSKENCKGSNTCNPIALRYFNKNGKEFSTFINNQFGVKRA LKRKSAIRERLWVGSNPNMICAGINKDGQITSFGIGYKEMIEPTPLFIDAVKMVKPEI IAYPISLQRNQTINTAISYNPNDVQISFLSSKATGCNYSYSPGKETVSKNTIQVHAQC KYDLNGVPQYINKLILFIDSTTQELKRIAGICNNNLKNSKKADKHENLKDGENEVNIE LNRKLKQEDQAKLISTFDHDKWPINKWAPSPFRVQHASPYKHLHAEKGGELYQNSLDL SFAFGQSISNNIEVVSSHSSPFNSIYLGYNTESTNPIMLTTRLSDLDSNKKTLLMHYS SFLVPSTITYWEIPSVDGNVVYTDSICVELEQRNGNIIGIGFEGSMSSVPYDTLDENV SVIKSLNNGEDKNSLLDGKLEVLGKQNNGLIIENKINKDKCEKLAYNEPLIDFLKEKN GLNSTEAEIGSPFTATCPNCTEIEYIKAHHIIDGPIIGIEWKCTGTNNVETISIGGRS PSQLTTQLFNKVQPKMIELAFSVRGKENGKKKSQEKQSIIPGPAFLSIDGYVSSGKIQ QLLYYRVKEDSLGPTTTWESNEKLKHEKHVLRSVCGSLSPSQGILSLGFGFEYLPLEC FNEEIKPNMIPVDNKYTGNIPSIIINGPRISQKVDSVHPHCSQFQGGSARTRLSNWII SFSCLDDDIKKEVPFSKLTVLRSNTNSKGMSGPIRVLSFQCPDGKTSVSVGENDSELS QMSSQSFDLSKVGSTLVVDFSPLNVVPSQIKLNPPYSSESASIKSTTLSNVSGFNEIS TSSDSIYAVCFELTNDDRIAGIAFANKPSKKKSGSNSSLGKKLAKIFS cubi_02028 MDSEKNVERGRKTHKEGISERVGIVGRKISDMDKIIPSKEHIEE GLAPSEGELAIVYHDTPAGSTQHIVTKSPNISPVKQEESSLLGNQSFQNYINPHSLYS PVYTSSQIGGEYAFPKVKFSSLIFPWSAFLAVIISYVLSSFSWYYLFYNDDYRLVLAP FVTSSIVCFFESPVMLAKYLFRKMRKSLIWKLIPMGSMVFHSLLLLISSVPINLLYCS YILNKGDPSQIFSLPLHYLILAGIQSLYIFPCILFLVAHSRFESQILKDRTEYDHW cubi_02029 MIKLSHSFIIACILVYILNHFEQIKIESNSKISLLRIKVKEKGF LSRAANAAGRVIFGIDTTPKEQPINTERIPVGMFPDPKELAQELDMLENRKISPDSNR QFALKYVTDVLNGQTPNMELLSNSQMAQVSSGVFDRGSQEALSVSNKTKIAEMQANKE KEQALQSQGQIIKDGEQIKIGKQGPVTLNDPDENNKGKTIPPNLALVDEEEKFVDKVA DQSIFSHPLVIISIFILVVCMFAGGGLVYFKIIGKKKRKRSS cubi_02030 MNPSTQNSSASLRADGQTVSFGQRKIWDNERDLPDVVKLLDREY KEVIPFSDIQSRMMEWNFIPKEHIIEACDTLRSLCDSQGNISLHILIDQLTGFPEASE KDDMDANIDTLEAYKIFDHNNKGCIDLESLRKISNELGEELDDETLIGMLNLATQDVF RKQQCIISRDDFFSLVKSKVLN cubi_02031 MTESEKRWDASLTMKSNSTDMVIYSEEKKEVEELLPNKFWSSIN QGVNLIVGLYEGIQSACTATGIHESEMLNEINIFDNKLGKVKRVVKNGEFGARINSNE VSTSNSTRVSSMINFGDLLPSSSGLTTKTSSINDLSYLYSHGGLRAGDEFSEFFQSLS NDPTIQLPHMDVPDLSTHTKMYAFRSHISLSILCSQNIERIIETNLVSGDFKLKGPGF RVENVYVPIRTEKQNIEIKLTTFTNFGKRIDLGVDIPLSAIHKSGENYTFWLSLSSDK DLPCQEYNDILPEAVFRLKLDNENYKAGVIQPRILVNLKRVMTGIIEDYQISSLKLSD HNILPSSGYYSSNSQKLTLIYVGLYNDSLDMEISQIFNSNSSFLSNYIIERVNSGGHY KINNIDVLISYGAGKYSNTLFIQDETSRQPLMDYLNNTNENIEWLTSEPTNATEMVPP EVLPDFSGTPAPWEVETDRRKAMELACHRVALREHIAIQYLIDTGCTNLPNPKFSQWL NPPYFRIGPQFEPVPMSYNWGPNYTNIQLIPLEQPKKIT cubi_02032 MEPEKILEENLLEHDLHGSNALILPTSKIERKRIHQENNPNCDP SSGKLEKKKKMSNRKRRKLKQLEEKKRLNKLHEDLTNDLKKYTLSNEELQLMMSITNS RMNNKQKQILRSRYLAANLELPNFLKLKDPPSRPKIDYSETILESDSDSSSSLDLDPC SGPSHEQDKDQCQDLEMEVKTVSNVNIDSNSNSKLELNSRGQDKEIVEDSQKNGNKSY IRKYTSLVINNDNDRPSINRTPEIEFQRSELPVRVYEFEILDAVENNDVTIVTGATGS GKSTQVPQLLYEAGYCQIKKESSTVNEGGKRFMIGLTQPRRIAATSLSNRIGEELNDP KVVGYQIRYDKKNCTKDTVIKVMTDGVLLQEIQKDLLCSKYTVILIDEAHERTVNTDI LIGLLSRIVIFRREEYNRKIKEGLEDILPPLKLIIMSATLRVTDFSENPKLFSKPPPV INIETPNFPVTLHFAKNTPKDYISAAYKKIQQIHNRLPPGSILVFVTGKKEVNILVNM INKKRTKAKRNTVLDQIGNSKLNMLLDFTENNLEEEEIEDGDLEDEDLEDENLEEDLE DENLEDESLEEDLEDEDLEFEEQDDEESKDKEEKDEGKIDEEKKNVWEIFGKKDNRKR KIRLHKDVDSSVWKGGGVLEESEAEDGKTKDDGDQKEGENKEKALEKVKIQLKAIPLY ASMSFDEQKKAFKLPESNNIRHVIISTNVSETSITIPNVRYVIDTGKEKRREYSKGSE SSRFVVEWISKASASQRSGRAGRVGPGHCYRLYSSAIYENVFSKFAPIDILSIPLDSV LLYMHSLGIPDIVDFPFPTPPEKSQIDKAYELLTILGSVESKKSKYVLTNQGISLSNF PLSPRYAKILLLATAYIRKNMLEEDLKFSIELLQQVSILVSYLAIGNLRDESFSSIIQ DNQNKDLKNVSGQNSNDEFESLPTNLGNDIELNLWFCIKYLEYYGSGTKKERSFSENF CKRFELNSRGMSEIRLMSIQLFNITKKKYLDGLMQFEANLHIKWPPKHPNFTQKHLLR TFFISCFIDHIAIRNDGNLISKISYQIPNDYSNSYSAFIHPKSILISTKPKFLIYSQV ITSSDNNRHNLCDCLLLTTDDISKATSLKHPLIDCSKILSFPTPYYSIENDSIVGYCT PKYRNKSVIIDLPSSEIKLGANNNITFEIFARAILNGQVFREFNNPRILKNLKSKNNN SNYFKLLVHTLEENVIFSKSGLISKFKSKRDFLLKNILQLYEITVHNDIRSFWPPTK cubi_02033 MVTLGFYTSHTQSRRRRKERSRPGRTCTQGTPQSVPQTRVRTKE ASVSLALLLNDTLVLLEGWGLTREGQRVCCTSR cubi_02034 MNSCNSVGLCSAEPESPILQPDYSSLDTNENASFRRTCMPRRDD FCCMSDRNAEDNEEDSFIVPDCCGSNSRAAEDANQDSVSSPGGNAQIVTVPLVQEVNV RDRIIEVPEVHITQKIRQKVIVKDVIRKVPKQEIQYVDKFVEVPEVRIVDKFVSKPVT KYVERHVPKVEVREIVKEIPKVEIQYVEKIVEVPEIRVVDKIVEIPTIKHVIKEVPKI EIKEIQVEKIVKVPKIEIKQIEKERKVLGPVEYIDIPLEKIILKPNPQIIEKIVQVPI PREIEIEVPVYNPDIRDTVEIQVDNYYTVEKEVEVPIPGKIIPVPVEVEVEKIVEVPV QVPQEHIRIVQKQVPQYIEHIRTVEIPQYQDEFVEVPQYVPMVHHTIIKPVVSQEYKE LPPVVEQGDVRYVKEPPQYLDTEYIHGEPIELDCNTPLPAPPSPRYTTIKVNSANNRG C cubi_02035 MDLENIPQSQKVALLEKENVIKEPTINGFVLETNENESFDLDKC IEKLLSCKPLSSNNIKQLCSKLKEILINESNVQKVRCPVTIAGDIHGQFFDLLELFRI GGMPPDVNYLFLGDYVDRGYHSIECICLIMALKIRYKDKVTILRGNHECRHISQIYGF YDECLRKYGNASIWHELTDMFDYLPLGALVEHHMFCDHGGLSPGALTIDEIDSINRFQ EIPHEGPMCDLLWSDPDEKAGWSASPRGAGFIFGPDVSQRFIHTNGLSTICRAHQLIM DGYTWSHDDNVVTVFSAPNYCYRCGNQAALMELDENENKQFLTFDTAPRRGEFSKNMM SLVPQYFT cubi_02036 MPKFYCDYCDIYLTHSSTNGRKQHNLGRKHINNKIDYYKNVIKS PGFSPPLMFDTDYNVIGHLGNVKQFIQNHEKQFPGDKKYSNSHENKDKESVNKSSYRN NKNLISNMNSNNNNNNNNNNNNNNNNNNSNNSTAGGSGNANYNIGHSYVNQEKFQYIR STNAGGNSTFTNQGRPEHSSYSNNYNSYYRGSQIDGGDVGLRNYKKGPVQNYGGRY cubi_02037 MTSELASSDELNKVWTQSCEDKTTFSVICSLVQGLFCIINVIFG YFILIRHFNRLLENKSILKILLFTIFGEMITSLMSAMCMILVRLIFGIRSSTYFLSVI SLLSTLFQSLFYYSCFAISTTVLYVWYFKVNVHPSDFLYSFVINKNITLDLTRDLLIY FFIEAFISFIEVIYSIPVLVSIIDYGLSKEIDKIKGKPIVEFYSVNQPFTYTNYQNYE KAFEDLNAHFSKSESHYVRL cubi_02038 MARKRKYGSVLGEENSTASPYLESINLPDENYYRNMTESPMELD QPHISTNPLKHGLPRANVNNMIMQDIYNRNMEGKAVPKVRRKRTLIPQVVAHERNDVD HFKRITYQDNNVDIFQDDLSLRNHPTNHAVNNNSKNTFMYNTRSKAMSVRQNNTNVLG KSMENASRKKSEKGSSNLPPLPRTEDYWPKINKDTTQDHEEYLNNIRDQHFNRYRNNE NIRNENNIEFCSQPGEYQANAYSVSEGIYSIETPLKSFRSEILRPANDDIMPIRRSRE VNNEFLEHDNIYNYRKNNINHKNERVICLANSNGNCLENRSEKSIVNQSLPVQKQIHQ RGIKVHCDAMVGGDTPLRDIQNLQEVSSNDIVDLIEMKTSEIGVGPSPRVDINLKKKE ESESDGREEPKQRVTGSILRKSIEVSDDNSSKQVRFSSNKSKSKQKEETIPEFEELRF DPGEIPLIDYHEHEDRFDTIDFDQENGFNVKIDENKMLDTMTVDNNICLRLVQLSKEE IRLFEKAVQSQKNDEENQNGSSYNYIDNELMIKAISGVHKYFLNKAFEYENEKELELH DIISCIPEVKYNEDKYQSVNSEREELISKIGVLNLNINRLTKALQEAEELNSRLSSSG VDNCPEEDDNEGIKALSDDFGYILKCTNILLDELESNKVGQTFESPSLNSGKGEQENS DVDKSDTSNLCSPTPVISCEDNNAEFDSNSEQENKIIDIDGLLNECHQSQFIQMESIA VLNDCISLLDDAEIGMQNFQRLLAKKAFDTTEEDDQHTGYNSNGDSMEMVEDTLLRLQ KGASITPRFSLEESLNYRRSSAGSRKNVGGKV cubi_02039 METFPKNWKFFKVNFYETKWDFLIDGGMQSVFSTFSNIKLNFIK CFQNDQFDFSRIKGPTIVSIFEKKEGQIIDDFEVTMNYFILKIERKSTTMINDIINKI LFEFGLNKTQLYSHIISPRIPLIFIQETLFRLGWFEPNLSMINIPFFPLNACTFHTIF ARQIFNLEFKPKCILPLLTRDFTLYRILQKLIQDNSWIADSSGTTLDEIDREFELLFR YKRLCGVKNIPCQVSIQKLSKDDNFENSYSPIHLFFSKSKDQVSREFLKALKISGKVV QNSSITSVNHLMKNDEFTEIIQNEIPIFFESLSHFSQIFHKLILCQTFCAGQQLLAYY LYIVLKFIIPINDIRNLINNQTMNISTKIEETLSSNSNLMNIEFYNTKVIENFQFGLL YLTKLVFHINKNFSDKNQCNGNIGEVLNNDPEFSCAVQDVTLWLSKFFLGRSVCDCSL IITSLYTNKHCLCPNYSDFMPIKSIYLNRKLIPNSYTELYNEENFNAWARIKIIDISN KPLEKMVYWKSQFLNLVKKLIIHYKNTRKSNKNLKITKIC cubi_02040 MSNKERLEFLYNPKALLEYDNEKYLLENTSKILGETNFQNQEEF VPDFDLEEKEIINRLREDPLLIIKKMELKHMKIVDEYNKKIDEFTQKKSTLPNTERNT KFRKSSLSRSPERRSDPYFSHNYKHSHDSRKNYLTNKYGNRNGNDNHLSKSQKEEKLQ NMINYGKFIQNERSHKFNAKNISKEEPAKNHNHNYLQEMRSQINQKQISSLEQRMKFM KN cubi_02041 MNAKVINNDHGKQTITHGIANNYLNINNEDESFEVQGESFNTSN IISLLRFNLNNENESREKVLNQIYNLMNKRMPIKYSNVQKKTKTKGFVSSEDKLPDQL YTDHLKNKNKDLSKNHLKNVQETSVSSDLDKESILNNNNNLSNDVEISTLKISVINEY NIKDKQISILFDYILSTLLISDQLEVKLDILTLLEEQYETILGKPEQILTDKRCWDRY NTAFNIFEHIIAANYKEIARESINLFEASTILSGSNSISVDNIYQELINNPKYSIPII LQSQTLIAFTSIALSLNLLEVVPFWFSKLLSILFIISKEDCVKIQKFQDRNPLLFQNY FSILRKYAIECLIEINRSYPLIFCPLIEINPIPNLENEQIDLKKQGLGFIQKNIHPSI WPDNFEKEFITDCKNFNKNNIYNDQLIELIINIIITLIVNNQTKQANISKVIKEKERF LTYLVTYIMENLKSVSCWNLHKRILFLKKITKTLLIPSNILESSLLPFSYSSRIHILF EICIFILEEISKESFSNAYHHIIGILNNFHFPITFRIYSSKWIQFVISKYSGHIIEQT SSNQNNTLAKFIHHLLIPKWHDFCKIKYFKSLIILQLKFYKVFQCNNDEDFLEILYES LNSLQEYIIIKAPIGAHSIYLKLLFKISILIGINQHISDLIIKYTCHPNFQISSDHIN NSIQLLHLISIYSENRENFEHILLLFETFLNHIKTLNNPRDIDPFLMVLIYLSQKSRL FTEMGNHTVISDLINSLFYISKVFLEKIHKPVLKWIYMNKIYTVFLSIITNNMNNYNV VYLLNNLFGYVKSQLKTYDTQLLDSISEIEYSMNYMSLKNINSLFGMEDSEQKELKLN NLESISKQEESNLKGHVTENETKELYKIRLDIYKKFRRQIYGLRDNSFSIFSKNTEHN ALILPFQIRYLEINEIQTCLYGIKLSFKSSNNSLGIEPVYIPFLKPQNIQEIEKEDID EKMIEKYLEEGSSKVINMNNNIVFLLLIAKVKFPIPGKLHIYLEFNDEFGHTFYDQLE DIQISFQDYFHPSPDENWTLKCYKALSLKLLDSEHSKIKYQEGISEIINTCKLLDIPS NLVLNNINKHLRIFEIDGNRLLSMIDEKFSIKEEFDFKHDLLIRKIDLKKDNLIEKCE IEISYRWFCIHLPPKYYLIIQFSITNKSSIIRIYTDFPEILSHCDNFFDTWG cubi_02042 MEIYFLAPNFASFYSGKKWVRVLLNENHNNEARSINHSNSTESN VVDSMGIDKNNNILVMLIKDPIFLTIENTFLEKRVSENGLRKSMRGLKIGESSVYVSE GEQKMGKRTLNGDRLFVRKDHCNCSILENSFSDIIENESGEFYSLMLDGKNSVNNPIF IQTISLILLLFKWIILLMYIVAQLLLPLKVMRQVLNKKLLKSSAISIFYVTSASLSLS ITGIEGKDCINWWFLGSSPEYLIVIQVFVWFIAMICLILYLIQYFLEIKFNISRRYKL MNDFITTFIFIPAGLLIIVSVSLCILGIVKPWIFMIWVTTVIIEFEKNLRILVKIYDE NFVSDTETNSNRNFVLFQTNNIRQEQNNEINIIELREEVCESDLERIKERNPKLSGKK ENEMVVIPISNSYFKILDSRFENFSTNLCLWYITQHKEMENKSISKIELEEYSNKNKL KILEFSISDFLENLNFEEKSQNENLPSVCSTKTNFSDSTISRINSFHTENISESTNNQ FICNICFLDFDSIIIFSPCGHGNVCLDCLGDYISNNFKQKQHPKCHICREDISRMIEI QKDNEFNSGDRHLLEETESFESTLSKKINTANQNSERQIHLISNIKLIAEITCNPKNN NSLNYNTSSNSESCRNSNSNIITLKISRKNSNLWSHPRIKEFINNKDNKLKTKIYNHF TRFFTNSNRRIS cubi_02043 MDMYNNFDNIESDYISLGRFNNNYLNISDNHNPNTNNNKNNINR NNNTLNEYFNLGNKRFSTILRFLVILSSLISLLVLIKLPVKNRIELRKEMLENALLLK KKHEEKIHNKFENDKVSPIVDATQISNLKENKTRVLILEENGIAKNQTSKENDNSNIF NKDKNNIRTDAIRLEENMKLDLGNSVFGSHYNVHGVNKRAMENDICNDKDYTKKVLKL VYELSYFALPKDTKNIRKFEASDVKVFNEEMWVVCDNSWMIGKFGLSLTPFSDLNKLL SMQTTTSGGNPLDINTLLLGTDPNVEDSQWEAIVRDDVTGHLFVIRESIPHNLDQEVL AEDISNGLKSGEQNSLRHYHSHIIELALVKVNNIESYEVLETCTAEQKFDFDNKGFEG AVGLRARNGDFYLLGLCEGNFCMGGIKGEEYGNGRLILMKKEYIDKTLELKNENVLQD EMNSLKSKCIWRSVRMINIPKEANFQDYSSIDIRGNQVAITSQEDSSMWLGEIDFGDG EYLDPEKIELLPNGRVYHFPRDHVCDFKYCNIEGVSFISDNMIVTVSDKMKKRSRQSP KCLHKDQSIHIFAIP cubi_02044 MPNIPEVSTEGVNVTRNNDFEVEEENSQSNGHFSLSNEGNIELT QIQRIERNPQNEESSRNSMRDQQSSSVSIRLIKLWKEYVEKVRECLIQLEYNSRTQLP LIKFVLRIFIILSLTILPLINEIHTVTPLLSVFLRESDWFLIPMSMNKHAINNDLLLR INQQEYNMLIQKKNYQQKINTDQFLSLMNVYDSNGLFSQNSKYKKSKNNYNSNVCNNR DARLLISKDESLSNNDHISLLVPSIWCPVTNLNNEFNQVIYNTRREGQNRKVKILYMN KDENTRNKILGFTSLRMHKNTYRYKPTNIFKIISTSFVIVRWCILISRMVIQLWSFNT FFTSTENDNNINNVAQFQFIQEFKSLVSFSIFYIWSLSCVYLLFGLTTSKCSKFPKIA IHEKIQWLLWICVLITTACFYIARLPYFDHTDNQDNINNSNSSETSPESSSSIRNNDN SQVEENYRSKKRKILIQHILSVISCCGFGFSLSGSVLLLSTRITSPWVNSCFITIISQ SLDLLLRGYLGLITDHHTFEEKKIRYYKYVFPSYLLKDEEKIHCNNNGQREWVVKCNY NSQPPPLIFKHSFISISLTKISSTFFSVSNFSNNKETENEKMENNEYLSDSQSNNASQ TINCMICYENPSNIVFSPCLHSGICDNCIDELMKWTVCKLKKSPCCHLCRSPIEIAWQ LNNNESNPNKNNYFSEKCTEVIYPKLQLYDDSSEKNNL cubi_02045 MNLKYLIQFLTTIFFLLLCFSEVLSCVFKEHFADLKSGRTFYTI NQPNTNGKKIVLVHGLLGDSTQFYEWKCIFSCAGYQVLTYDLLGHGNTEWKLSGFFSQ ERFVSHLNELLMSIGWVDEESKATDKFSLLGVSMGGLISLKYAITYPNNIKNLILMCP PGIMTKEDFPFLYKLSNSDFTSLIKNIHSSKRIFRCGLYCASKVGIVKLNNRLSKPEK KEMVKNYHKSFSTYVKSGGDGSLFDRHLDFEELSKYEDQFKIVFFWGIKDTTVPLAPA VEFLSQYFKKTPIVVYPFIEHIPMYPILSPALVSVDFLETNSKIGVPIGEVQNINYFY DHEVNIIQGINYTYSGEEVSIQFDPEEYSEGYIDFNNTTFF cubi_02046 MTELEQKFELGTSNPATQEPKQKEDAGATENGNGSGDERYYPEE ELTEGNWNTPQVELKQVEVMTGEEDEEEFWKHRAKLYRFVNGEWKERGVGNAKLLQHK ETKKIRFLLRQEKTLKIVANHYVIQKDSFCKLTPNSGSNKIWVWTVQDFSEEQKLEQF ALKFGQAEQADIFKTKFEEAVEINGKLFNSGEEEGTETEKQVEKNEDESRNEEKKQD cubi_02047 MNLTLFFLLLILIYVKSQKPIKNETVSLENENLKIPLFLDVSSN KLGLNLVVGKRTVLLVIDTLNEGIRLFQDGTEACNKGKLGYSFRSRTSFEDKDESNEN GNVGWSENNCYDPLLSDSASWCYNKDYCRISYYINSYKCEKNRKFPDTKENGAFNTFF HTNEKVYDGISKLEVFLEGNELVILPWTIPELTLQEFPIKLIKSNLSTGSGENWPSFH NFDGFIGLVGSSISCRGISIWSDILMLYNTSKYTFDVNFENPNSSFLYLNNLPEYWDP NLIYWSESKQSGFVYDDALSVFQIYNLKVCDSNIMGEISSNWLAALDLSSTCLSLPPF LFARLVKWLPLECPEYINDNNRFEFDKSKEKNLDNDELFPYSSLCIVKKKPLPVVSFW LSQMELNNGEVEPIKIILDDYIISFNNIDYLCILNSSQGTFGKINYIPYNESYNFNYL SPYYTSSNTPMILFGSLFLKSYGIVVDHSSGKVGFYPKFKYKNHNSNNIEYSTNSNCI PKKECLTENGFKYDSSVNECIPPNCSDWLLYDFNAETNTCELNSVFPLILTFIIILFT FFEIHIMYLKQTVLDRSNAIPNS cubi_02048 MKITGLVVILVIKGLLLTTRVNSCEIYDLDINDESKNCKHSYFE ISEEIPLNKLESLKFKEFIFGSIELIINKITWGFEKEHEKYLIESQKDVSFVNNISVD ERINNYLKNADLLTGEEIKKGVLQILKNYQKNDKKNNPDSSNRMIHSETLENDQISFN QGFVNLVIFPNEYI cubi_02049 MADLSDIRSGNANTKVSVLFRDEQYCPIVGDTKGRNVETNKRRI PFGMTELTLEHEAALKGLFDTLNTHGDGRIRLNDLIIALRRAFDNGTVTFSRHEASAA EITGEHIDSELEKLKEDFDMNGDGTLNFDEFRRLARMKILRLSRDDEIQLGFRLLDRN NSGYITTLELKQLLTTKGISPLSSEEADELLFIADVNHDGLISYEEIKRYLEYNRKPL LN cubi_02050 MINSSVSTSLNSYENFDDNEELKLSGQKKIDTDNFQSEINPGNI INNFPIITGYYGVVLRKKKHPLFGSYWNKEYCLLQSNIFVCHELKYPYKIKKSISIAS ISKCEISKMDPCVYCLTINNNEHNSGKSEISQIRGFSCFNFKNKKIEIIKIKCTSTLD TQKWTLYINNTLKNWRSNKNNAKNLYNANQYIEKTIAQELFEKVNRKLKKVIIQSSIN NMILIFNQIQKRNFYNSFYKIKINSIINLNNKESESSRSTSNLSTSKNDFTFKNDLLL IKRRIILFKIEQGSNHLKRIIIKRMSEYWELFKNQIKSNLFNSYRESEFMEIHYKLII KRRIFLFWRELLRIISLKNKDNKQKKRKSVDLIISTDSTAAYNTNDTLFHSNSSSLKD STLNLLSEMTLSPTTNTKNPNKEIYCKPDIFKNQLKQNKIINKTDNKRQTTLKTSAKG NNVHIRDISNIRKNIIQITKLNFIILTKVKIAWNLLETNRVKSNALLSIYKYNQIQSF FEILERNWKSEFYRVFLVLKQKQ cubi_02051 MLYEIKVENGEPADIYEWKNSELPELFSYEFSADILNLLLEYDV TAISISETLITISTLDNEIVIFRKNKEFTRIGKTRVNFRTKEEIFRLIALDENKKLLH ALSNKDEYLVYKINFSSEKIEIIKIFRELEVINFFFNKINYTILLILKSGKCIILSLE NELDYKTKVIRTNEKIINNCKINAIWNSKNQTVLWNIGHKLYLYSYSLDKLFLNINLK NYLTIDERVSMNRILIHYSQGNIYILVKNKVFLFKILKDEKEEYIFNFKLIYKMKDVY SAIDISNIEIQPLNLIYTCIMAILSNSKDQINIFIFDPFFRIVKHDIIHCKKRLLKDF RRYKYLNESDYVNLEHLLSVPRFLKLEKNQLLIHKNRNQSFLKMESMGMDEIFISCMK KSFVNNSLFLINCDISKEMETCLMVNYIKKNKNSVEFVDKVLSKRQILKDTKQEFDLY IDLFSYFGNFYDFIIYLKDSDSFLKMFIEIKDLQWYSNFVSMLYKKDITLFYYVINNL PIHKIIMELDWNNKIYSGLLFFMETNTDLDLKGFGHGLCNHDISNSYQVNSKDNKNIA YYYLSYIEVLSWVKVSQEQTIINCLHLLEIIPENEMIKDSVINYFNMYSKNCPNKVRK FIFENVSCILNTNNYIIIGILLYNNIIGEYNYLYDIIKNKKKDCFIFLKQLLFYQSNY ISNKFTNISDNQQINIHLEEVIDFFNSHLNNIIEIYLEFSVHEFLNFITINYGLFSSE NLNYLAELDTSNILENVKYDLYSEKKYIIEVKSIAMFLLNNKTSALKLLLDNGIIFSS LSLIIYFNSDGENIWKEFINMVLNSNITESNQLDNFIFWYEYFICNPTDGLPESYILD VLSKFSFPKNQLLFNILRKDLFKSSSYSFDCCNYHKIPFNGKYLIPKIKKLIIECIKS FKEGLENKNLISNKKIHEKYLKFQIELACTNIDFCNRESNRNIKEYINNLPKGSSLNY NTDLCSLCNSKLFFLENRKLSSKVILNHCKHNYHYECYLKHVHIYEKSQKYKVGIENK ISKNNIKCIICQYKLLINNNF cubi_02052 MNSLDNQRKSNLEDERKRLNLQLLKRHDENINEIIAHSSFVSVY LMDGNSQKWVRGDVEGFLHIVKRDIEPIYQLIVLNQKNPENLILGITSEWELSGETNY LFYKVPGKNQNFTVSCLWFYEVEERKSIEASLKRIISKLMVRRLNTDIDNNSSSNGLQ SDSAAIYNNNAGKTILEFLNKKSNQKNQGNEINIEQMIRDSVNNNRTIEANKNTNNNN NNNNSKNNNNNKNNGINNINSANKNNHNGNNQNFSNKSISMEKINSSEKTVISDSLQK LLHFQDILGKGSKVECNSYQRNEDITPEAITDIVMDVLSSRQVYDMINERIELLLRK cubi_02053 MNKFENGLEHVKIRISRIEDEIYNITESRERNIIEKNKLEDEIS DISGIEQLLSELYDELYRISEFHEANNLLITQGFELELRKIFKEYYSNKLKCLNSMDF LKYDQIKSSYQNSRSSLIKKLENDSGGDFLKIDPFNNIIKKIIKSNEKIFLTIFQIES FYKILLCFLNTDFLIWDPFSYSIDTILPSFLSIINDVFKQNGEIILNNDLFNTQKLIE RFYLQNLMNYICEIVKIYWTPLYFKETENLVESISYIKNSLEIEMPEIMSEFMEKLQN SFNSLISEYLSNSDIEYKFVILLLNWGTSVRIVTKLLKIKKESTDVLLNNSIINFFKN ITKDCENENLRKLLYNTEIDTQKMNDYSVHDRKLDSNTILNPETIGLVNINEAIYQIT NSYKLDFPNKKYTLNEIILEIVSNHN cubi_02054 MLKRERTNELVNQRNKLSTYQAQILTNIRLLEFLKKILETYNKL ISNNYITRISSILCGVSSDCICKLGKCPGIHGTDSINDFKDVIIAIKDKIIIKRITDT PVPISNTKLDLNEILANSRDYIIEFKVEDKCVEDRIKVKELDTQSSTNLIRSKKLGKK YMDSLLKFFEDQILMNIGVKKEKNDNKLTDKVDIEVKENNLEDFRIKRINQLISLAKE KNQIIGSDEVIETISKSTILKGGIVSQKTENAREAKYCDENDEIYSILDQKILNMLSS YINNNSSDKFRFYNHQSEAIKAILKDKKNVIITTGTSSGKSMCYILPCIQFAIQNPKY LTLLIFPTKALSEDQFMKITKIINCFKPESGDILPVINKLDGDTNMNQRREILLKSNI ISTNIDFIHWNIEFLSCMIYNRLKLLVIDEAHIYTGHFGINTSYILKRLNRGILYYKQ KNKLIIPEHCTQYIVCTATINNPIEHFRNLVGSEFESLNDISLIDNDSSSKEESSILI WDSNKYINKDNTKSYNQIKINKSYKECINMLIEFYYLNRKLILFCHSRKLVENIKRDL LMVLKKINIHNNHHFNFENDIQIYRGGISQVERRKLESLIFNGKVKIVISTIALELGI DVKCFDSVIVFGYPGSINRLTQQFGRCGRDHKTKSIKMLMLNENNEIDNYISNHGKEL LSKQFDSCVINLKNPYLLILHLICLTVESFKYINVKRDSKILDLNSEILVDIVKFLYN RNLLVNYDNSKVQDFNTCDLYYNWNKIKNQVEVGIEPACISSLLSLYFENNDQLILND PKDIYKQIDVRDSDLTISLYNVKDNRIVIDTLPILSSIRLVYPESIYSINGVLFKTLS VDLASRRGLMQEIKKGDHRLKQKTVSSGEIAVIMQGNGERYTLNENSKNEMIKMSIYI TGARVTFDIYSYSVYEIINNEWIFLEEKYIQKPLVYSFNTMGMQIKLELRDKFEVEII NIAIHGIIHNVINSLPKYISCNINDISCECPDIKIFNQKNKQTDSIILLLYENKKGGN GYFNELMKLKCTENGKEVRIIEDIIHNMRYNLNSCNCKNGCLNCGFLLYSCIKNNRHI NKQITLEILENIEKIRNNTT cubi_02055 MSIKLRELIRQVRSCKTAAEERSIISKECAHIRALFKEDENQYR QRNIAKLLFIHMLGYPSQFGQVECLKLIASNKFCEKRIGYLAICQLLDEDSEILLLAT NSIKNDLNHSNQYINGLALSAIANTAPKEMCRAVFREVSELLLVGNPFIKKRALLASV HIIRVLEDAEIESFINCIPSLLEDKHHGVLLGTCHMINSIIQYHPEHIETLGPFVPLL VKILSTISMAGYLNSMEYDNGGVTDQFLQVHILIAIGDLRTVIGDDIKENICAVLAQL LTNTDNSKNGGNSILYESVRTITKLLPYINEDGLYMLAVNTVTKFLQNTDLNIRFVAL GLLENMKEFPNNSNNSLIISNNNEALNGSNNTGNENTHAGLAPHHTLILDCLKDSDSS IKLRALKVLFSLINESNIKIFIKELLNALLISTENEEMDFSIELATGMCMAVRKCKHL NPQWYIDTYIKLFCLAGNIIKEEERDYFISYLSSLNDSSIHSYTVKKLYLSLLSRNDQ ILLIQVTLWAIGEYSQYLFTNNNGIDSFEDNKSLDSSEIDISEESIISMIESILISNT REISNSNYSLSLSGVNNLCIDYSHPIKGVGIYSSINSIETTINFALVALIKSSIRLKA QKDKILKLIQSQVSSSSVEIQQRALEYMQILNSNWDSNRNKILNPIPVFDISNLHSNE TRSNSYNNINAYNSPKKNKNENVNTSSNNNNLVTDLLDLDSNINEVAPNTSNNNLKYR DNLNINSSKVESNSGDILDLFDSLTSNNAKTNPSSFNTSNLVIPASASASFINSNTNS TSNNNLLYFNEEKPAPTIKSSPFEDLIDFNKLDLAPSSAPNNNLNSVETIKIYENKDI RITFDISKNRFNQKETNFTAKYFNISGIDISNFKLEIAVPKYLNIHLETASSSHLPSN NFNSPVKQEIKVTKNGDDNNQILMKLRINYNINNNQVTEYSNVNNIPNNY cubi_02056 MGIVESVEDLTQEMELEMKDFDVSIIQGFSLDEDDLNCTIPFAE WAAALLSQMDDLNNIRYELVPGRMSESIFWQSYFNAIRNIIIKDVIISMESGNALISN SSYPVKKYKEINENEISEENVPDFYKNDIIYPDNTLNVINDTNMDELKIKISSEEYLS EMHEHDLDANTEIDEEIEHEKKLKNENKSQSQDSDSILQSQDQYRNESQVQKHDKNED QIQVKNQIKNQYQDQDQDQDQDQDQDLDNCEHRANKEAIKEEQEEIDSESYIKNNYTY NDKNIKLYNNQLDRKMNDIYTNQMDDDSSDHQLNSSDYEQETNDFNDCSNIHDHNSAL FSEEIPINQE cubi_02057 MREKKGEAKRQLRNNSNSTRRIVRLSNTNLSNSRNNLNSANRSS KSRIIRGQGGRRVNTSFDNLNRRRLASSRNSSNKGSSISQNARNRKGSIGRFNSSGNS NIRRGGGGRGRGFRGRNSGSGSASRGRGGASRGFRGNRRLQKNKNTTSTASLDAALDS YMGADVCKARLDSQLDSYFSGQSTGNNDSMVTGDSSMNVETNQEPVMISL cubi_02058 MELFTKWYKNILILFLITLAIIGLSNCNKTLETLIHEYIYVNIL NSIWWIGLGFLSSVGLGCGIHTGLLFLFPHVYSIITTAETYNTLNFDPRQNMWNNLLN PGDLFIVNDLNTEKRPTINLFNILLKILPYAFLWGFGTALGELPPYAAAYAASKSRKN NKLRELKRKRESDEFERQNTSFIENVEDDNFEINKELLPDDENNSDNEDSSLKHYLMS LIKKFIVRLIDKFGGYGVFILSCWPNLMFDLCGIICGHYLMNFWTFFIPLVLGKGIIK VLFQTLLLIFLFSNKFENKHVDIILWFVKKWPISQFFDRDEIYLKETIHRELIYIKKI LSNVNEKSADSISPSTLNSYNKKSNKNWWEVVANKIQITSLFSWITIFVVILFAISII NEAAKYQDEANKNKENKLKED cubi_02059 MNSAKSLERILVFYLFLNIFLLNLSLVLSKKVVNFNNGNNTYSL KIIRRKLSRNSPENNKQNSAFSKSSNLILRKLMINEDGSTAIIKNDSENDFSLGNFTI VSESNLNVENPVNLENNTNENSMLAFSLEKSNTKVPKKPHKNNKTVTSVTTKITSSKT ISSKTTSSKTTTSTSTTFTITNSTTITTTTNNNSTTQIKTETNNVRRVENKNNQGLSH FNNNETKKDLQVNEESITNDMAENKEDFTQIFNVIQDPDWITSNGDYYIIEFTEQNST VPLVRLINLSVGLYNKNGNVKEAKQLISAILGSRNLGDPDYEEDFEENNNYFGSGLFN LDEIINWDDLDFMDEMPSFFRKLNNIIWL cubi_02060 MKLEKRTRSITDTEDLSEDNGKNKKINRDDSQGISEILGEFKKY IKDFEVEKMYFTLEKINQKEILLSDIEDLITFGFSEMIKESLEKLNYTISLTNDTEEN EECGKVLNGLKIKIFKLILNVLLDISEFQDGSSQIGNQDINTLKLKLLLEDGLTFSLL KTVRNIENMYLRNLKAESIFSKKSQDFGELIDHENELLQESILETYFQFLESTIEIDP KKVSKEFTNSEGLFEWLMQIFDNDESFIVDEITSLKMEILSIIFQYIKVSQISTNGIN IDKKEVMDKFLVKLATFGLRDGEIGGIKEKEFVHNIVDIICNSLFEEDMRKEFNDLQG LELMVKLIEEKAFMRPLSVKILSFALIDKGEMSNKFIQISGLKLVFALLAHSSKNLTN NEKYIKNRQQQDTDEHLCSIIKSLLQFSTGNDHEMLINKLIENNYLKLKNFLVLRKYY SNKITQALESSQDLQEEDEEQIEIIETIAIDAGLFIVQLIDLIIVYTIYYEKGDINDF EKNVLSKNGVHLDDLKTSIEDYSNSLNQDEKVFNEKILLYLNS cubi_02061 MFLFRRKQEKEEVNPLSEIETAHEKALKASQAIQRSLLDLEEKE KKLENEAANLALQGDKNSALLVLRRKKLVTQEREKLLSSSLLLEQQLLNLETAKTQQM TMSALSASATAHQSLISSTNTDKLEKISDTIKEQQSIQDEISQIINQSLPSMNEADLL EELSAIQAKEIDRKILESSEIFTNNKVLLNSSTSNLDEKIMEKL cubi_02062 MEVDSVVSAEKLGQDRKCENCDNDYKYKCPACNTKSCSLECVNY HKFKTGCDGDGLKKHIERNIVISKYNSDDMWRDFNFLEDVKRRVLNASRNQVKEKYVE NQTVQQNYGLFSSLRRRIGEHKKKNRMGEEQKLVTVIKESNNLSKHSPIPLLKKACSL RKIKISFCPINEMQIRKNNTTYYNKKDDLLLWKMEFRIYEDKDLIEKKHLDSVSENEI VSEVILKLMSNIDQSMIKEVFLMDNFKDKANRTLQEIDISKSFRDNLMGKNIVEFPRF KIEIQKK cubi_02063 MTERCSKEEFLTVVNDNISDDDQISEGEIEMLEYDSYLEEFDSL SEAKCLFCDFTSNLTEDIWNHMKIEHEFDFSKETKAKEEYDQIRLINYLRKCVKDGLD AKKEYEKITMEHKIWEDDSLLTPVIIDDRLILELDTLNENYTQEDVINPNVVCELSIE EENKLLKQKIMFMANLITEFQNKESELDHNKDLYPSPCSRVSTASEQSISTKISPHEL SLKLMDLSKKESEILKSNFDRKNGDLDHSVKNRINNEDTLYFSSYSTLDIHREMILDK VRTDAYYNFITDPNNSINLFKDKVVLDVGTGTGILSLFAVQSGAKMVVAVDAAKDTIK VAEKIAQTNNFGEKIHFICGKFEDLNLFMVDNKVISISKNDLPPKNSVPFECDIIISE WMGYCLLYESMLYTILDARNRYLKTKNGEFSGHIFPSSVRLQISLADYSDSIDSLMIP WVNNRLYNLDLSEISPKLSSLLSTPYVEIVPAERLRCKNAFDLPCLSILNITSKELSN LRQYFKIELSEEFPFFTSLVISFNAEFYSQFKKVDMETSPFHEPTHWKQTILHIRSPD DKLLKIIGSVSGYITITPRIDNSRHISILLELYNIKTLDNQVFPQLINHYAMN cubi_02064 MKKNSNKVLKHEQNNLFNETVREIRKLVYPHLDKFQRQQYDNAR AKVLGIKQKKSQKMPLPELLSRQKATKRHIDKRKQLEEELNVKLHIGDKANRFEAEKD IKNRRKSKIEKRNISTNLSGKGFSEKSGVVYVGKNIIKKRHK cubi_02065 MEEEKITRNKKDYVQTASGNIVCRKTILCGSQNIHLLGTCIIDS GVILRGDLVMIRIGQYVILEPNCIIRPCFKKIKGKYGSVPISIGDCVQIGENSVVMAS SIGSNVYIGKNSIIGSGSIIKDNCIILPETTIAPNTLIPPFTEWGGTPGVMLRRLPES QNILLQQRAIEYYNNFQLVSNKVQEEPEKIQHSNTTLIKSMKTGISNYTKDNNIDDGD GDGDGDGDDNINGNGNGNGSVDDKGNSNSNDNDNKNNSNSNGNVNGDENTNSIVDPSS KADDDTTSDTEQHNINRDNESNPENTKNDETQQAL cubi_02066 MSDVEIDQEGTQVQAEQEVVNDLTTAIRYVLKNSFVRGGLLRGL NEVVLALDSKKAQVCFLAESCEEDCYKQLVEALCRERGIPLIMVPDSKELGEMAGLCK VDREGNPRKVVGAASVAIVDYGVESEAYHYLQKHIAENCRS cubi_02067 MLEKCIKYINKSNVEDLKDLLGFNDNLFNENEDYLITSNMENIN QKSKKGVIPIHLACKIQDFDILKLLLRCEDLDVNIRDPTNSFTPIITLINNGGDIECL NLLLKRSPNLYLCDEEFGDSPLHWAVRLELPKVVHRLVKYGMDVNLLNHKTGQSPLHL AILNGNEEVIIELIELSANPILQDDNDLERNSILHLCVLQNIPNIALYIFNSATESQK EIMRKSVDRNGNSALHLAYMYGMMGLAEFLISNGFDKNALNDQNLSPLDLKLEYEENN KKESKDGNKVNQNQHKKTEIRQRRGNKIQEEIYKTPVSEFLVKYNIAEKPSLISTDDE ENDQVLGKHDFVFKLFYKKGYYYLDSSFTSLTFNDLTNIGIKDKSLKDLILESIGAEV KINQEKIQQSVKKQQECRRRRNTAYSITAISICILIFFIMYVILNALTRNKEMINKHR cubi_02068 MGRVRTKTVKRAARLIVEKYVSKLTLDFQTNKKIAEEVASIPTK RLRNKIAGFVTHLMRRIQKGPVRGISLKLQEEERERRMEKLPDKSEVDLDVILVDQDT KDMLVGLGLDIPVEVVSPTRVFQGKSGRH cubi_02069 MRDSNYNRSYDEDSSPNQNDEVNNNNNNNQTSLSSWMLCLEEDL DKEIYVVLRDGRQFTGIFRSFDQYGSLCLEKSFEVFSSQDCYNEIYQGCMVFRGDNLM LCGLIDQDVKSNNAKKIPLADILKIKQEEKNLKAINSNNSPNVFEWIPDDSI cubi_02070 MDFNIQESQKVAIGNMLNLSQQVNNSSGGSSTGSYGANKNNVWI LEGPHIWKVLIYDKMGQTILSPLMKVGSLRHHGVTLHIQLNAQKSNIPEVPALYFIKP TQENIDKLCEDLRNLYYESYYVNFISPCTDKLLEYFAKKALETGNANRITKVIDRYLD FVSLSPTKFSLGMDKVYSEFFNSKTTDTKIQSIIDGIVTGLICVLSSLGTIPIIRCSN KQFSSSQMIAKELDKRIREILRESNNNFININSNNRPVLILLDRDIDLSTMINHSWIY QGLIHDVYNLKLNRITIDDSNSGKKVFDLDSNDEFWIKHSGEHFTQVANSVSEMLGEY NKKLSELNYNSEDSSQMATNLAVAIHALPEMTEKKRSIDTHTNIATKLVEEIKKRELD KFFEVEESFDNIPTISGCISELETLFNSESSKNFLENDKLRVVLSLLLHERHGQNITH QQLEQLIKLFNSEQSNSIKIIRYIYKSQIQTKNASGVGGFSSNLNNQDLSSTLGHSTT HSKQETSLENNLSKTGITSLAKNVGGKVFDMAGKNILQGVRALLPINKTLKVTSVVDN IMEKKSFSSSQLSTGSSNLDDEFLYFDPKQPCMHDQDSSKVSRIKVSPKQGIVFIIGG GNFTEAHDVLNYAKKTQKSIIYGCTEFINPSEFIKELDTIAS cubi_02071 MNAETIRLINEGEEYNFSRYYVFILTAFFNLFFLYLEKVKSEKI LYLFIPSRVRKLISEGIQNESRGIKKWNLRIRGISLIHALTSTILSLIILLNREIRQD PYSGKPSFWLITGSFSMGYFLWDLSIIIREWNQNKDSTVWFFHGSVSLVSLLTSYFIP EQPLIKVLSLGIITEFSTVILSIRYCYHIIGDTTSFSCKLVSYLFLIAFFFTRNVMLP YGFHILMFNAFRFAPTFRSKLRVYIFFPIGELFNIMNIYWLFKVVRNVFNPKDVPDNS GRKECNKPKLDDIDELHIPESHDNVLLGSRQNSSEVTNKIEPTKKKRD cubi_02072 MNDYEFEQRSLIIEKAFSKTPYVGYNLEPLNHSNNDEKKTYIAL SNLNGKNYYVEVLIYDSENNDFEIGYLYDHIFSPVGGVHWMPRNGIGLEENILGTASD SIKLFKEGNLICDLRLNDYELNNMRLESVYNSYHTKITSFSFSEYVEGDIISSTQDGR CVIWDINESEQIKLSEGAILENLLSEYKNRSVLSISQMERFTIMDVCFGYSKDNIILG VNNGLAISMDLRSPFKQSSSLLSDCILGWDTIPEQKFPHIKLCCIKNTNYFSRGILSK GIVEIFDIRKTCGPLLKLNTTNSNPNSSENSLVSIESKNSEEILLAYSNGLINTYNFN KERPSIHLKFETNLYTYSFSSLNISKQVNSFLGISSVQMQNSTGIKISKYAKC cubi_02073 MENVSKEQLSEIIESLNTIMLTNPDLYLKLAHEEFKNNKNLKIL DVDIKYIVKSNFVYIDISSPMTKDIIKLGSIFRLELKIGFTKEMLKPQIKVGSQYRQA ETLEELNTSELTVSFDNFLEKDMKTDNEGVTILEVEAVLHEMVFEKISKDEIFKYYMI SIIHDRILLRLKAQIVPQNSQKIIEKIFSKAIIEKLIPKSGTSNQIGFSKNEMTIYDL EFMKMKKQSIKHVLNLTCYTSYDELLSEKDSELKNVQQPFEVQTKVVEEVEFLNHRKT SELPKDWKELDNLSGIQIKNDYLPIKFKALLSSGKLVFLFQFNGPKEFISNLYRANAN VSILSARVTYDSNVIIHINLENFIQEYHQCSLCKPTFDYKNSILMCNQKFLKLSLTVN NICDAVKHKLKI cubi_02074 MLRTKNGPWINSFFGNNWMMLSSCKRFISVANSKFTENKVGINS KPISSNYDNKKLKKSHDKVDINSIQGTLDYGDDGLYVFSCLCNICNSKITKKFSKKAY NEGIVIIRCDNCKNHHLVSDKLGWFGDERETFDVFKLLNNKEISIN cubi_02075 MTGELVAKLQRVRAQMDDHAVHYVGGGESSRADARNSVFKLKWR FATMPDKNKIKIAMDMHGHVLGEQDPSDDEAWGNWNTNKLKKCKMVDKLEQTKDIGSV LVSEFENVTKIEKEMEAKGLINTPYLNEKSETKKRDEILKEQEASSSSSDDEDSEKGK KEVQRFNTMQKEFMLQNLTEWYREIVSQYKEGIKLIKVAKSGKKFIRIVKLTDTGFFE ISSVTSKSDRMVHLTDIKNVELGIEAPEFKECKKVNPKNPPVPGLSCVLNLPDKKTLC LMFNDEEARNSFVFMIRVLKRKFENSKSK cubi_02076 MFCTIFDQLIECCKLPNESIFSTELEFELESIKKTPHCQEMLNC IHASGSCFGNILNYNSFRPNTSIRYLTSNLHQRYGTKQLSLLPKYEAILFEGINNEQN KIDNELVQNLIENDPQIGNQLLNFIQALSKGIMVKQVLDLTIGDTKYIAMYLSKNLSS LILDNFGGVLLEVPLKFVIDVVFSDFSNDKKTLINEFTIRKGYEPVKLNEDIQYLVSI DLGTQMKSICLTFSSEIDGMSFCTNLCKLISYLNLKRIG cubi_02077 MCCAHKNENRGAFIVLEGTDRSGKTLQCQKIYDYLISIGRKCIK TEFPNRSTDVGKLLDGYLRKTIKLAPEVSHLLFTANRWELNDYIEKNLQNGVDVVCDR YSFSGIAYSSGAINLDYEWCKSKEQGLVSPDLVIFLDINLSLSSSRSGFGDEIYENIK DQNNVYEVYQSFSNYSFWNNIDASQDPDVSLKNC cubi_02078 MDSIDKSDENISPNLDNKEEKKMKSALSWSLILNSGDSNNAGTS NKRRKRSMSRCKSGTQSNSSIYSNSVINSSYQSNSSSLLNSSICSKVNKENLLKKKRD FKQNFQINRIFFEEFPVYRKEYQYPSKYLSMDTQNEGPSNFNENYIRGSLKLIPRRSN MESEHISNPFLAFFENKKICLLGDEEYLFHSKRQLLLKLRWYGAKTLDYYSSRADYVI CSSTFNEVMAKLKDLSIIKDKGNSNMLQKLQIDKDIKEVQFENLRGVRIGQQIKLTEL ELLDFVDEDLEVALEMMNISELEKNTWNELENSKSRMKLELLRPKTMWEFIGNKDCAD ELYNSLLCLRLQCEDESVLKDQGINHLNLSESDGFQRNHQLEALNDESCVIFVLISPG NIGSQVCAELAAFGCGYDVKVYKGSDVVEPIVKQWKKGHFFKLFEDSESPPVCTIMTD CSGVIKTGDILKIKNSYYNSLFMKDEGGGIGCHNGKRKKSMKSQKHPTNYNLDSWENP QETRQIVKKQNPGAIIFILEETSETAQFFLSHCNNSNRSFGCSRSYTLCKLDGGSSTG QAIKVLRFNNLTKSAVACKLYTRFKSPTLLSILIGQFGPNIIKASQIMHWIHLIDSLD LSNILSCISLSYTPILFDSILEIPLLMIQKCLLNQEETSMREEIWSFSSFGLIEDQIG IFEVLLKVLHDLVTSIISCLDLKEENSYDHQVLNPEFKQVKTPNYATGFESPQIIMKG YSQNCSCFINAMFLHDLTEMDTKYQIEKFPHLVFHSSGNFSQQLQYQLYQSQNQQQIQ HQDHRATCESYFTTLYSIALFNLTSILKQNIPNKTLYSEKVINLVNDKLLKYSPINTG YDKNLTNYYINKKQELSSSWRYMEYLILKQIGNSISKVTWRSVSIDSSIPNLYYKKNV NQAKYHDIVAYIPSRIPKLSVRIPDMFKHN cubi_02079 MGNAHDKVNITGKGTLKGGTEGTSFQENNAETTKEKNNNKDNEE KIKVDDNNKEKLVKEKGEKNEGKKGRKSIEQMDPMNDDNVSEKWKKDKDNNIARFEMS LKDKLSLKKRCKLNIPPCSRVPEPIYDIKLLINDKYDGPFKLEMKVNPCEIHIKGFEG IILKNIKKRDIIFVQTIKNELIRMFIKCSEDSTDNSVNEDNLDDSILYTSQYSGEIMQ IICKFVNSQDCDSFEFFFSFIYGLIVHTDKVKIPVSDYIDFGEYVIGSSKHILKRLDE YKNFNDRICDDNTSSDEENEDLFDSYENKMIAQEREFFSRKNLELIYKPDSLFGLEAS KISGSNNSIEEDDEKIIEKKEKYSKFFPVSIIGEAESGGIITFKDISAIGLKYVPEVI EWRISKNIGGSKADYYEVPVSVSKSFRVNEEHVGRYIQAKVFRHIGQDLADTCIFSEA QSNIIIPGDNFLINIADLLIHGKSYRVDISTYDALLLLSVQNPTYYIQLMRQNNYYDN YAFNPMVSCILDIKHSNIKLLLPTPSILQCYYQNSKMIPLCFGYNEFIMTKKSDWFGY NEYPPIYECIMKQGELLGKVSLVLSLLENQRKDISLYFPTEKDKYIVYFSILFRQLSP NFSLEEIGKECKSRKFVTFKKQFIYGLKRVNWKSRILLLTAKQAGTYIKELGKIAKYD PNMNSFE cubi_02080 MEEDTFETEKELVESIKQEANELFAKGEFQEAIQRYTQGILNCP EDEKRLMSVLYSNRAACHIMLDNIDSALVDSNDAIDFDDTYTKAYLRRFTVLEKKEKW HDALRDINKAFELDENLKNDTNLIKRHKNVEKNSAELFNKEKDEMVGKLKDLGNMFLG KVGLSLDNFQVEQNPQTGSYNIQFKQS cubi_02081 MVKLNIRIISSILFLISGIIIGNVFAIEFNLHRAIEEQRKLIST NPFFALAADIKQLRKLAITQPEAIKNAGVIVAHVENPVVLQPGSGNLDDILSETQEVV KTFMEPKKQNLLLNIISPYDRINYDEKQSVILQDSIEKHKQLLRKIEEKDEEEEESTD DESE cubi_02082 MDINNVAELSVDFKEFIELKKKKILLNPIGTLPRYSQQNIDLGL PIAIMDYQYDLIKNYRQEKSTNINQYQNCDLKQNQVQKTNFLPNKCIYPENFSNKDNI YKQVYYDLVVKYGYYVQQGSKYGCDFVLYENDPDTCHSSFLLNIIDPHKKQILTVRDL VLWQRVSNKVNKKAVIAILIEKDPEFNFTTQNKEQKSNREIEIKYFCLDSYINDLKII K cubi_02083 MSLIERSRIILEEVKAREQEIRLIIKDTNYEFGINLKDAINKLD KIFSTLDGSQLQNTELISRISMLLRRMVLYSEIGDIILERFPVIIFGLIEDVFKDGIE YKEHGILETSYISRYLYLMVSLLDLPYFVDSFSLFWCKEEDRKRELLLLLESVAELLS GLGKIEELNKEEILTKVRDLMTINLLWERVVPVREYLKVNHKLYEIIERFMHNLQNYK ELNKTIKYLFLRLYSNVVQLGEEGKIKLYRQNDVQDLLISIQINQEYADKDQMDLYLQ YFIFNKPHLTAFSLVFSKSNIEVNGGFKKDIRFNQATQNQIITTSFDEMDYKTKNQAI IENKTINRKESTILDIYDEEVISNLKELALSSILTRSSLIFLESINNGLQIYFNLIDQ IKISHFENKHQFKIVRLYLDNSVDSKSLLGNWVVGDKPGEFKWEYGILSLCLINGDWL LIENIQDAPKDVIIKLNEISEKICQPFVNGCNITQTRIQETHYFELSEFNRKIKIHPN FRIFASCVFSNSKNHLNNNNYINKDKNEKLEIINPIEYINADVLRLLNNDKWNICSIP TPTNKELRRGIETNYENLFPIKEELLESFTNLVSFMNNPSNFSENSIAKSLNTRKPSC NDFFKGCESISKQYFFENKDCKNNGDNKNFTFLNEKLRMNISMLFGSILVDHISLKGY RNQCQAEISRSFGINSKESEYYLKNGKFDIHFINKGEQSRNISSINILNKELNIDINI NLLRESFRNSVDPSSSRLVLKTTQQDDYKYLNYTFTSIHSKIMFKIIMSIYNNENILL VGDTGTGKTTIIQQLHYMLYGTNKSYINEHLEEGEDKFCELLVYNFNEQSESSDIIGS FRPINIYNEVKLLYDDYLVLLEKSNISRKKNETIINYLLSLLKERKWEKFINNLIIII DKLLSEFDNILKNQNSKKIKEKDEEDLDGKDNKSKIDSDITNHDKKKVNKRTVINIED IWTLKIYWKDLRQKCLIKLKDSRIKNSENERQKHYFEFLDGILLKAIKEGHWLILDEI NLASIDILQRLIPILNRGSCRNHPPEIEIICNNTNFGKKNRSKTQNNKTNDSDYLIIP EKGNEMIKIHPRFRLFSCMNPVSVPIEQKEQTTTSKKDEFQLIQIKSTSGKKELPQLI RALFTEYFVDELTERKDLEDVVYNYLKDVLLTNQINISTLVDIYLELKNMGNKHEITI GLEGNGHGIPNFSLRTFSSCLSYIRTILMLQGNWRRNQNKLKLLEFIGEDNKEKDNIH VKNGNSIIQNAILKQEISEIIHNGVMMSFATPLVSDSYNKVDKLTRRILKMSLKEVSN GKISSFIESGGKKNVDMGFVSFSAPRLGSDENQLLLSNKVNFINYILITDYIVRMGIY NKNFKLSNVISNDFVITPYVEQNLRKILRILSGSRNPILIEGETSTGKTSLIKYVSEL TNHKFVRINNHEHTDTEEYFGKFVPNSQGELEFVEGPLVNSIRNGYWLVLDELNLAPS EVLESLNRLLDSNREIYIPETGEIIKAHEDFMLFATQNPAGSIYGGRKVLSQAFRNRF VEIYFDEIPSKELEIIISKRSNIPVSYSQLMVKVFMELKTHRSKSQMFSGDFSFVTVR DLLRWGNRLVYSKNNILDKKNLVIQGFYVIGERIRDKEEKLQLAEILYNICKPFEIKS IEEIVNYSTYHISSLIEDKDDERLKKKRRKEDTTKDGKNNDITKKCIISIDDIGNSDK NLLSLKNIINSNGDYVLTDSFKRMLTLVNDCIVFNEPVILVGSTGCGKTSIFQLLSTL YKKQLYIVNCHQQIEASDMLGSLRPIRSKIMRLNQELNNFKIELLRINDRIISNTNYI QQEFGNSISVILCKIDNLNRLLDESGTSNSEHKIQYSEKKQKIHCEISSITIMIESIL EKVQNCDFPEILLLLDNMLNELRLYESGESQKEQALFEWQDGPIIKAMKTGSFLLLDE INLCDDSVIERLNSLLEDHYIKSEFGLSYKSRVIYLTEKGGVETLNGNDDYVIYSHKD FRIFATMNPSGDYGKRELSPALRNRFNEIFVPSLSMYQLRDDIEFLILRNVNKLSEGE VSLTNKKMLSKCIIMFSILYENDIKNDQILKIKTSILDQEVSNYFNLMIENGSIQLFK EENNHFISFGGESGNSSDVKEYTIRDIISWCQFICNNFQEISNFYNSNKDNVIDYIIK NGNIKELINLKDNCGIDNNYSIESMILVTLVLGELFIQGASMLILDGIDCQNIQDNEE EGFNLYLIYMERLIEIFYTYLIIPSFKENNIEISKIIKDIMIFRFLSDHGNNWIKVGG GRVFKKESKKDQNEFSSENQNKYLVITQDYIELGPFKVKRKKDSNEDEEINCNVNMDI EKVMKKFTFDSKTTLRNLSSIIRSMSIMKPILLEGAPGIGKTAIILNLSRLVGVKLHR INMSEQTDFSDLFGCEVPNNESRDQNQNKYQDQSNNSKNQKQEGRLINWIDGILLYAM KNGDWVILDELNLATQQILEGLNSVMDHRRNIYIPEIGQTILCHENFRIFATQNPVKM GSSGRKGLPQSFLNRFVRINVGKLNYEDYLVICKSLFLNDSNNLTDDIINLCIEITQE IELFNTNIRTLKDGSIWEWNLRDIIRLLRFVKLNLARNLNLKDENNKIRNILVFNSIY CSFETVYLSRLRTREDYYDIKSIIDRRLKEKMGEYEKEKSQILGIANNIIDQSILDYI NNGDGSFKKMIIFQDYLKSYILNDGIKLIYKDSYGLSDHFKDLDLNILPINSKKRIIS IIDCILLGENIILTCNNGAQIEEVISSIENISKNYFQNIRVNKVNILPSIDANDLIGG YQQYNEENIINEILDLVSSLRVIDFDISNYELGLINKDLIEDNQSNNMNKKLLNRLNL AKLNNMLNKGNENFVLELRNYLNFFKDIKTKITPIGILEEIESKLNAFELTKNKSKIE IYEGPKFNFTFSALINSIKNGDWLIITNIQNCSSALLDRLNSLLEDKENDLFIIESGI PQYVKRHENFRLFFVNDYNIQNKYISNALINRCIEIFVDDDEHHHHYKKHESNLKEYE EMDSMEVESIKKEFNDLKQDQIHLKEILKQVLFGTKFTEPLEEKQIDLLSKSQSQVIE IDDGIQKVIKQKLLISLFGCKKCIEELIVTIVERNKSYNRQVLDFVKIHNVNHFMNNN TSYLDFCSNFAIYLAAIIIYGINNYILEKVIKINKKLKNNEKSLYSHSDSLCILNKEE FQIITKNFTKEQIQIMETVGCVRFFINWMRNLLFSVLNRPRKLKRMTKSYVFSVIEDS LVMSFKQLGFIQILDTIEDEHENLLNDHFANFYLLILKMIENSIFGQEKQNLTLNNSI FYNFYKLNISKMIPTQLNPVFKEIVIKRYDHIHENYRDLVGIILRKPNIEKSEYWMDL IHIHYFFVIHFRDILNSENIYGNILYLKVLLKEITKMDDYINYHNIKVKVLYIKSILM VVLRLFGIDLKKNQYFDYSDKLNHNEIILIEELRKYIPISDFQILTSILSLVFSPLMR IQEKDLEENVLKYTNKFSFTINNIILNSGMNDQKDFKNLIKQYIYDKRNSQNIQNSQT KNVNELTGGYIQNYYALNFQDRESLTGLLNISEYYNIRKTKKWILEYLIKVLTSNQEI NKNKVLDLLQLFELLENNILTLDFEKEDYNDNNDDDGNNNNHINNNNNNINNSNNITS NNNNTNSNSNNENNNTQGRLTSNELIRIFDTRIKNGVFNNILKLIKIKLKDENIIKDD HNNIIKIIPKGKTYLETIYGSEISFLGDGVIINKENFIIQKSLRDHSSNIVNFMINKK IDVNNILQYFTQAQLCNLEFLDSFFYISSDKNELWRQLINQIENFRKNLYQGIICNIS SELKNQQNKQPEVMILSNTFFEYYLMKQYVRSQFESSLRKGKIERENLIEYLNYLKEL ILNSNSQFNSYLINIMIQVIKLLSIHDSYTLYLDYLKRKKFNGNNFTIQNKKDIEILE NIKKNHIMSSLLDLDIFYDFEIEDLFDLENEILQENLLVDIIKESFVMLIKPNEKNTN IQEQSQVNLGKNYEHILKLVMKFDTGIIKLLFLNCIYHKLFNFKFEQILNLKSDYLSL FYYLNNQEIISKEYYGNQNNHYYLKSKDYYIKRITGYLIKIIFDFLNFINIKDSRIEN INLDNLVDIIFSNINGNINNYENKDSHKEEIILNIQIITQSINSVRIENLHSENLLKI LEKYFGFIYILITFENKKNQSCYNNYKNISEFEILTKTCLVVINLPIYYHCRNLFYID ENNVYNEYKEQILKNKQNMDYRVKNQYLSYFHHFYYLKEEKSGNINYIEKHQVIRKLN ENLDDKKEFVLLKIKEIITEILENDLIKEFMDKNNEEKISVNNIGRLENLVIMVQNIL SKNNFELFQGDLKYLYNDIFGFTLFNKNNNVSITKLLRNPEKQNNKSLDIILDTYEYE NDLGLLPILIKDDITMIELWKSLLEVGKYIHEYNTEFLSLYNKYYQDFEYNVQKTHEK LTGSKNHNQEDIEDLSEDEKNLNNFISENHLIYKNDNIDKFIEKNHILNEFDLMNELI SQSNKVSTTGLVSGSETETEFGTKYNNIKYICLKILEIIILRYGYNQSFKQEKVRQTN GIISNDYNDQMKRYIYYNMTYNDIKKINSQNEDDNCISFTNEKASNNIIWKFIILHLN NHKIFSQASGLDSGNYYQHIKIHIENEIFNMMINSEEKAFFNNITASNVKSILKNTEL SIMVDLVERIQLMERLKKILNQAQELLLEYHDQPILVMIKDIVEKMIFMNIQKLNIIT LICYLDILLMRLLKWQEYIKEGLNLNIEINNSILGENIDFIKYSIYELRKLQINSWFN LVFKIYQKYNYMASFSFGNILIIISKIMVEYEEFNHNLIYHEIFDYLKNSTRGEYPIR FLFLRYISDLIINILDYPKISSIDYFNLKNNQIIKLTKLSKILISILNYGGIIYKEIL KDNQKLLQDIKIEIRDIIKLSMWEVKDFNKIKKNILSIQKQLKKSLLKFDEKYMIKVN LLINNHHNIDTKMTGMINILPKLSDFITNCELKGQEGISYIIKILSDNNNDDKMLKQR YYKTLIDMDTYDLEKLININNFNDFYYINLDNYINNQQDYIKIGNKYSDDNNDIISLC FLILDQLRFNENNKYNMQYISQSLINYNKLLSIGNTLLNNLINYYQEFNNEFNFKFLI YNYLLSINKLDNYLNYGNNLSELMDFKFCIINEIESEKYIQNNKITLKEYLNYLIKIL NHLERIIMLLSSPSLTLNNNFGIMSNKKIQFLLKDKLKHDKYNHQIISFILDKIINKG LLRMISGLKNMFYISGLVNYYIKKEDKCIIMNWELMNGIEEFNKWIKIEIEELINIIN LNFINLGIFELDIIQNYINKLDINYLDFIVDNNLIKELELNDLLCLNDLMNIIKQEIQ KEDNILIKIFKMNNDINIRLDKMKSKFVLEYDSKFENLKSLSGVTLEYIQALYLLLEN NFFNLCEKKEDKEDEIDNKGGESNSNIDWKSGCGFGNKDNDDYQSKDISNELNNDDSL LEGLKGDDENEIKDDMENKNKNERKKNDAIDTELDLNSKLEDLDEEDEEGENNDQNQK DNNEYENNEKEEPEKIFDKVDLEKKNSKIEDVKNDDEENQEEDDNNRNEVEDERKKEN IETKNLNEEESNNKNKEKDIVAKLGKGEEENEGDNNKDENNEKKNGMENMDEESEKED INSMDSDLDELFEYDAKNINPYTNEEEENKEENENNENNFGDEKENKDDDIDIDMDDN ISISDIEGEGLVEQENGEFEEDDNDFDNYGDRIGEDENSEEDDDNEMKVDRYQSLGQN GMDEDMKEEDYKDQDEKGGDDLDSKNNLNKKKGKKGLTASEEDLKAEDKEEREDRQNL ENIKNEDKEDLKIDENNGDKNCNEKDNGDNIENEFGSKQEDENNKKGENNGKDESDKK EELMNPLLEENSEINKDWYSSLKDLIIKDEENEDDIGEFDPNDNNSNFKIDKDNNSKS NIIQNLPSFGNNGSNKMDIGEDKERQEQKNLESEIIKQENANIEKFEDNIENSNKKDH SSEHYNSDNNIMMRNKIKKEEEFRDLSEKNDVKIDDNDIQDTNNEDSFYNKNSDKSSV FNCKEYNNTEIEMKGNNLMYEEKNNKEDEKEEEEEEEEEEEKEEKEEEKEENSSKAKK VTNLSSQSMEMWNRIQSEISPIVNLLSNQLRIILEPTIRGKMEGGFKTGKKLSMKKVI TYIASDYRKDKIWLRRSKPSKREFNILMCIDNSQSMSISNNEYMALQSLFIIIQSLQK VEVGNFGVCSFTGENIKQLIEMTGQINNNDAINMLNHFNFSEETLDSHQNSIPNILKY STNLLKEFSNTSSNSKQCHQLIIIITDGRFNKSKVNVWINYAIQNNCIPVLIIIDNYT KNDDGKDNNKNSSSSIFNMKSVNKDENGKMIITPYLENFPFPYYSVIQDPKKLPNILC ELIKQWFELICN cubi_02084 MSENEKKESSINKYQNNFPLISDSSTLSNEIEAIKSLIKVKGKL SKGKKLLLENQKHQQKKEQYENDNSIQDLKIKNQQISNDYTPNINIQDIAKSKIRNYF TCIMCIKLNFDDVFTKKNSEIKIGKLNYNELLIIFALNKLTQVYKNVYYKIGITSDQP KIYPTFDFPETKKIHDGQTLCNKEYNSIITNISSNSEFNLILNENYIVNKKIGLHLSL TRNHHISKKLSFSFLKNIIKKFNYCQVNYEIPEIVLKSQKIKIQTKLKSFYIFLDYDS IIILKNTSLYSENTTINGGIFVALQVHNASKITYLDPIIEMIDQVCVDLGIQPLYSER VFHISLFYIKKSDLYLSNTNYQNSYISSGNILETYSFKDYLASKLPLSSSTNSSSIKL CSSGSNNMIWINQIVFRIGIYEYYLILES cubi_02085 MEDYDSRINQKPHSERILEQYEKYPDDYIENATLRPEKYHVLEQ KEYDDCIITTFHQIINSDYLDVERELINGKLMNSENYESRVFGKYGLSQDQKGRDPGE RGYTETEKENSEDELDATGVEECEETESEELDSEDEMYDEDENSRELLMLLKSRGKSK RIHKREMLSLPSSEFLVIREFPVSFGIPVIKLQKLNVRPILFLWLLLLLFIVYCISIF LDEYIINDIIPLYPLGSIFEPKNEHNEELVPSFLRSKNSKTSGSVDMRLVSKSSLIKK LFPLFSTKQDSEVSEDAINRPLNVTLDIKHCQIQFLNSSNDFSYIKVRSWRLFSKSHM YSGSSGRYRIPSKKKDKFEYVCSHGAFFWILKRYILPWDTYSDYNIKSVSWKEYKEYC DSSLRPWNFVNDSNLLIKLHQTNTGDYFQCSINFFLADNFQFDELSVKFVPSSTYMRV SSSIPIRAKSMFHLEALHGTFDLKDVYSKNISLTISDGWASIDLPNIEDSIHRGVLIE SRGAPIYINSKTPLLVVMPVRIAELAVLRAENVKVQLESKSNGLNSHGYYKTASVVAT LNPDSSFLENKLSGSLINTRINIQGSIPPVYVNVYSNSESNSNSDKFSDELITWAGRH QWKDPHLLAFSKARFSRFSKWLQEDLASPWVVYINILGNGEYPKGTWKAVSSRAFIRD PYALVLLSGGLLMPRIYTLFIHILGLKCMVPASHSDLEDLDVINVDDNQNIVNSNGII GNIINGNASTQNNNKDTVGKVEGVIHKSVDNGFGDQEKGGLLANMTSKISKMIGPEES TSSENGKNRQESKLSELKKIFGVKNGPKLLLNNFQESIYFDDNLSEDYYINQDSNIHE DIANIKSISDVGNYMGKSSRIRACESSIAENIFSVINKAIIDTAQQPSVIVWTQNDEE VKTYNSDLGLGRKNGLSVSDRNLFSLTKWTIKKLKSQLRRLFKLFFSLVSFLESIIFP GWLIPGWSKLSKKILKSSMLADDDFVSNPEVLSDSPNQNIEQYIFTAENDAILKDLVT ANDLQGYIVSLSLNILCAILVATAAMWVLYYYVFPWFLTGIREMQLVSTASHRLNHDF RTSEAAEWIVMVSTIQWPNFGLLLRWNQRQKRRDNEKLCIYIKQIENLSQMSGNSNIG NGEGANGSEISEFFLYIPANEASLTTHLGRNQQELFVPMKYTDKCIFEVTMPYELISD QKYRLRILRISSSGHIIEQSNWSNEIIPGNKMKFVDFPLLFLRRFLPKKRSSLNLFID KNTDHYSPFGIPFYFRDIEIIIFDVLGGKKKKRSSISQENFFDYGFEEEEDDKNDLKN IKNNMIDFGEGETTNPTLNDKHKPSHKIDGITTDKNGERKFERSFSNQSFNRELSAQN QTGIALGPHGVHMNACNTNGMVYGGNDSSGGVPSNFDHETEDGRGYSEVRGNKKFVLV ARLIRDLSGTCGTGEALNGMSGGLEKISENYTSGGAIREGRSGGRFGSLHASSIAEED DNFASIRSYTFKNKSFDSDKVRIEGCLENVNFFEKFNGNSGVNRQINMMDGMEVGSGN NVYILRNKVKHGSGGEGRKLSIGGFGKDEKMSNSDPVASSLYLEQSLISQKRILFELQ EADSGQVVANGDVKCSRLIDIAWKSLVNDANMEDSFEGGFVPMNERDYDDVIHGRRVH SKMGHDSEIQDNDIIQVHDTISNNTVSINKFVDISIKLYYVEGGQWGELSMLLDRSFW VQYLRHDLSEDIIIDSNPLSNVESIDIEMVTKKSNENSNYLVYNHSSNSIINMQKSQV GVGVDLKNDSLGDSERSGRNKKAEDTSNSNKPRFTNDTPGKIIINGSDTQLTWVWREL KKDSSSYIPKKLHLHVFDHYTDTHLSSLHGEKSIPNTGTFAWSVDVPFISQRSSSRDV YLVMAVSKDDIPSNVTIQGKTFVPRGSNTQESSGYFFGSSTGVGWKRSLTCNKQIIVA VSNSFKVIRLTTLSEFELLYATFCRTFGLEMEVVTYNDLYKYGFLVSPSSLRVCENIR KPIPTETYQRGTYCPGQFMISAKALAVTTGSKYILRGEDKELENCIYLKSQRKSVDII ENCTLNYSTYWWSSSPDRFLLKNGIILDTSIFLPLTLKVISCFKIDSIMLFLRNFSIS RVTNSFYSFFSNLKCLFCSKKRDYELNQNEDVKTINISSGLLVHSNYSTVFRRKSTWR SSKFRSWKAILSLIYNTKENNKQYRLKDKEIKSISKSKQRWSKALMSLKIAQLKRSST NFIRTIKNSISNNQIIDGSINSMRNSNCEYTELGTITNWEKAIEPEQLNDTQVYNYAD LRLNSSAGITYLANGELNHEKLNSISGYNIGYGGILVPIYIAKIKQRQELIHEIWYSF QFSILPIILSAMIYGFQISLLSIFPVTCIILTLVYNYLSTLSISSPHSHISPNYFSDI VYNPTWDFFMSLPFPVPHLLILSVLHLLIIHTTFIASTSSLSSHNKGKEEERHEDSKL TGSGPILKWKRNLERYWIKLLVLFEEIGMFVTLFSMFLTLLSISMFFLWFLLGSLINS DNILPYVVMLLALGFVVVSLWNNFSSSRGIVDRFIAENLQSLISIALGHWFEATNQTF TSNSNSADPNDLRAAASEQLHCEITNHKYLWAKAMLSSMGKQQICRDNGGGGGGGGGG GGVEGPFDLHSSNKNTLKDFLDGSMELKHSTRIQSFGSKLPITDYCSLYCSKWEYLKI FPTTPKSLFGINSKGEIVGVQETLRVYYGMKLATVKDVISENDKVDSLLEGFDVALLQ DGVKYGPRFGYKVEDFLHLDTWYKCAIVKVPIFPPSEALSDEAKVKLIFDFFDMDEDG FLNREEFLYWVVNLHCDRFLLNGLNTKYQDIIDHFNSICNTNVDDEQGFSVDDIIILY SLYPGNLDSDYEKVIPIRGGSSGNEYDISMMSQGYDQFITDRGIIDDRGVQENGMMDE EEYDDEFSDDIFTMSSVNGSKVGFKSKIDQKGYLWIDLLSSGYLNSNKNTGNHLQFGK VVNDSDIGVIIGDLSNLKLCSLNNSAKSSSGSFDGVGETRDSAGGFIIRGKNTNSLSS NLRGGVSNSSKHTKFQLLREMNVQKLKYIFTFSTRNLRTPDIDTALRDIHSLAYKVFD AQVALLIPIFGRKNVLTFGNAHSSINDDLNIISGGNVISKIGSYDDYTFSGSLTMFER QGNELNGIVRSGLLNRNPSISSNLQLNLSNSHLNGSSSNFINSGINNSMIANSGGGNG LSMNNNGLNSINTGGAGGGGDSIGIKNLSSQNKNSDDTTKFLQLMRILHNEIRQDIWI LFNHIIGRLFDRSRVKKGADHFLDIVYPKLIRRKAARIVNVFYGPSLNEISVSIHDYF VSAPPKTAAQVVDALKEYRVENQRNVKDTLEIISLSQGNASLQFQVSLITKALYALSV LKDTDVEMLTVDIPWKRSHTLITEEVLILPRNHQGMKVILGAIQTVLRRANSITSSRS GGTSVSNFSNNDHNISSSVENQSDKNKIHHREVKTSVEVFHSALVDSKTNEINGILKG GGATVNGETDGGGGIGVSSSTSNTSEFTETTLEQVIQVIVSQYLWMDAFIFLIRLCGI DLSTDRLPSVTYDNSVSLTNLNYIEERNLQHVNSVFTKLSNGSGFLPVELSDLALQTL TERCLNFPGLLVSLHFLGLISNNMLLMGSGDPLERLSELGLGPNINVFHIHKNTNFNN IISNSINGGTVGSFLTSQSNIFGSSVNEKGLGRGGDFGIGNLDDIGFGLNGMAEKDLP FSNSNYGGFGGMTLEDKFLNKIIQEKCSNYGELLDWTLLSNIKGIPEDVVKDFHKLAV LRCGFIGRSQLHEFIKKHKSLYIHKSDNSQLNIGNKNLGDQKVRKNEIEQNKLLFFSR SQSNNFNQLNGTLAISELDNVEVGFGGMNNNKVINKNQEDYFEKMTGSSSNMVDFNIS FDIFDTALTTLGFSSHKLQSYILWLLLCLNLNMGSVRPFVNASLARDFIIMVYLQPIY KNNDEGEYLSGRRVSVINGNNHINNNNSTGSHSNNTSAKNTGYGGTGILRAGSMSGNS MATGTFKSSLYEVTGQNKHFDAQDVQSYRGYFTHEMLRRFLALAKISIPNSGVESIWK SLPKDPLNITPFIIPFRNYERNMEREEEEENHCNFTDKDELALKNMEINGVNNLHRLR RSNEEENCMDEEEDEGEDSGFISKEEHFGNNNRVFEDEPYLGGFKEKGGRHKHKFKSA YIMDCELSSSRKKFSKRISIGGRFKKEKKNLNNLNKFDDSLENMESYIRKSKTRNNLK ESVHLRILMDPTGMDPMEGVVINIDSVRRLLPKKLMTGLWPEAIKVVLNIGLHLEKPD SAVIEATSKCLEYSNRYGLIRPGDMVSILAALSKEGLSFDLLCELLNNMRIQLPVREV KRMFDLMDLNQDKSLDLQELLDGFEVLFGLFLPQLVHDHVGLSYERQGLIIMATSASL LLFCIFVGIAIKTFEGMRNELSTAVQSVLAIVGAVGLQTGASQDSKEIEERMKERIED IMGGDIETSISQIELGGDSQYSSNNDNIIVLREKKSSSRIISKNKNYSGGGVGSSSSS GSGGATPNGLMIITEKGPILKIGYSLPSKFRSDINDPRPCITFYSQDQVNLEPIFYCT KGIINQQHNIFIEDDVSKRWCIKPALPKYTGLTFSTETGIIYGTIPTHTQNKIGYIKR VSHLESDDRNSSIIRNSVASISGGSNYNIIKTICNNNNGHNNNSIIGSINGGIHRFQK RHSNNFKSSSNESDISLGCSGGVGAEGDIPAKDLLKNNKYQENVMMPYGGGQLVQMNR KTFTIYCIISELDEKVVFKTRVTFQIIPRTRTEKN cubi_02086 MSDRGELELDKNVNRMRLELEEALDILTCHENEEIKSTREGECC ILDSYPLSMTLIRCENDKEKLGLIIQLKEFSRMVKGSYWMVLEDNKDPRNLYMLEFFN YNDGIFRNKTFEVIGDRNEREILQNYFSHSNSILNMNGGNKCENGNDKWMMTTLRRRR DIENSRNNLGLAFCNSFLKESGERIRNFDLSSLTFMVKFSEVGNISELISEVEALYGD YWLNKIEESFLAVFCFSIENKLDTQVRFEDELEKMSLSSPKKDAYMIHIFTSPTDYAM VTSTYNWSSYVSSLKNLAQGEVRAEFITQKLIYNSLESFEDLNIFRIMDSERDLEIKE NIMEGGNLDSKSVTTTTSNCYSTDSCKLVDSTNGFSSTDLDSVTTSINSSTENLVLSK KGSVLEGLDENEKSTDKGLSTFGDNEVVELEEDPLLVSEFSNLSYWESAIIDASNSFA ASNARKRNQIYSNLAINGNKSQTDKLTDCQEVPILQESVKDEEFYECREMKFDTCALK AKLAKSVWGGAKCTDRSCMQCFALPLTHAHAESEKDLRATESEKIWCKEGFRKFCEIY KSMGVVELRKKSELGNGKKAWLIREFIGDELSDQVFCYGLKRRRRSIKEGLRALSIYL VGDFNDWNKTSHPMQLETENICLMNQELYEGYPSIPHFMKNRVYSIILDENKLQSFLS CNPEANSISFKIRIVTSKANSSEAQMMEVNDSLGAVSSQELETYRLLSYSRSLVTSGI KDSTENALMNCNFYLGDQTEFESPRNRSLKYPLPRCVSKPKTLGFLRANKDKTLESDE GDWPISVGSIVKELVTNLRQSPLYIYEANIAFSSKNKGEFGTFASFRENVLPRISRGG YNCLLLTGLLEHYQFFNKSQYPFNYFVPYSKYGTLDEFKDLMDDCHSRGIAVLMDFNL TFADITNSNSMLQPCDREMNNNLSNLQDIIDTDEINMRTGSEVSSGNISREGSFVLGS GGSNSTINSNTIRVDELFLDDSIVDLYESFMLTNLNTFNPSFFKHTPDVISSLFAFNK SYSRLNLGYIPMLAQILSSFHYLMNQLGIDGFRFTVPDLSEEQEKYSCISSILALIND TIHTIKPFAVTIANELLLRGNDDKACSELTVPLEYGGMGFDYVWDNSICSSLQSIILK NPSSLNIKKDIIDELLPQEDKMRNSNKKIRILYKNREKQNFIDTGDDSENINGSYLNK GGSDLHTVEFVSKRLYGIESLEMKTVTQNPLRIAMFSWESLHTHLVGGVSPHVSELSA SLVRLGHEVHLFTRATTSAYVIKVHDGVLYHECPFQLNSDFVTEITNMCNSFVYYMQR WEDGLTKVPDYPMLKNVKNGYRFNICHCHDWLAAPVLTSLRRIGNNNRTTIFTVHSTE YGRCGNQSFGGQSSRIADIEREGCHTADRLICVSGVLAEEVCRLFGVNRNKIKVIYNG IHCRTFDRVVMNDAGEVKRQYGIGPLEPTFLCVARMALQKGVDLLIEAVPSILKYRND TKFIIVGDGHQKDEIVRRSHQLGIYNSVRFVGKKLGDDVIRLYKACDAVVVPSRNEPF GIVVLEGWTAGKPVVATTSGGPRDFLTPNVDGYLVEPCKDSIAWGCCEILKNFDHSRW MGSRGRVKAAYSFSWDSIAQITSFLYFEQCNVLDVAPSLILKPNTPLILQSFGENALY HHMMVFDGFEKSVFALKQLKLLVLTMMAFAKNGVFQSMGSEFGNPDSFDLPRPNNDMD VSKMCCRWDLADNKSLKFKHLEFFNTILIRLEKSLNWIVRNNIQDYNICNNYLSTFTE GVEGELNKSGFSSACSKLTSPLIKSSTSVIPSEISPASKFSKKPVTKNQAVIKRLDEV SGDSNLIDNESVIYLDSTPNSGQEGSKSIQKIFSRGKSIDNFSKDSLKILTTDSLYNS SSNVNVILCHELDKVLVVERNNCVFVFNYSDKYYNNYGFGISSELPQSLIIDTQDERF GGDKKYHVIGNFCSCSVKSEQGFKLFDSNSEPANINSINNKAFQKSFQHESPYRFINT KSLKQTVFLNISPFSAYVLAPSILIQDFPCETVGDKLFYQSIDNFVDSLGLFC cubi_02087 MSEEETQALVIDNGSGMVKAGIAGDDAPRCVFPSIVGRPKMPGV MVGMDQKDCYVGDEAQSKRGILTLKYPIEHGIVTNWEDMEKIWHHTFYNELRVAPEEH PVLLTEAPMNPKVNRERMTQIMFETFNVPAMYVNIQAVLSLYASGRTTGIVLDSGDGV SHTVPIYEGYALPHAIMRLDLAGRDLTDFLMKILHDRGYSFTTTAEREIVRDIKEKLC YIALDYEEEMKKSQESSELEKTYELPDGHVITVGSERFRCPEALFQPGLLGKEAVGIG ETTFQSIMKCDLDIRKDLYANIVLSGGTTMYPGIGERMTKELTTLAPSTMKIKVVAPP ERKYSVWIGGSILSSLSTFQQMWITKEEYDESGPSIVHRKCF cubi_02088 MSSKNNKGAAPKKIHKKKKESYSTYIYKVLKQVHPETGISKKSM MIMNSYISDTFEKIAQQAAQLCQTTKKDTIASREIQTAVRLVLPGELAKHAVSEGTKA VTKFTGGQK cubi_02089 MLLLYSNGDICIETELYSNEKKLEVKNDQNFHINLEEVGLVYFR EKDQSKFIGKVKRANYVGKGVIVTLDGGMYESEYKAGKFDRGNYIGRYQIPKMDERFF KTKNIFNMDKKLNDRKKVPGYSKAERYWDMSLELEYFKNSQLHEDEKVNKLLKDLLKN WGLDDYFEIIHKRLSLEDLLLSSKLLSVNGIGKALGISKVGHRIKLANCIKQFRLVFA PLFLPKYIRNPTEFGRITGNFLPPLFISRPLNFYSYSGINIPFEQLIFLRKLERTDFP CKCSKGAFSSSSCCYLGKWLGKDIIIKVFRGKILQSKLWENTCKLIWSLRHPCLVLTL GFCHYSPDIHCVITEYIHNGSLQKLLYKGTCICMYNCVWRDSDPKSIKINLPPYYYNL KDAIKINLKKGSHSQVNIDPESSYYIPNLDFNPNLNIGLDIIAGLELNDNSNSKISDL NLILSTNSTSQDPSYWPTIPEYNSSFGIHTQNAIKIAKGIVTGCLYLKQRGLFHLSLK PTNILVEEDLSVKLADFGHTLLEASFYPPGQVYLDATVNNKVLTHTNSNSSFDFSNIN QFPIEDGFSFLNQISSSPRLSDNRILNYLPPEILKTNSPLLEPAKLYLENKLEQCQSI DSYSIGTILWEMINGQPPFAGLGNLQVQAYVGYSGATLDLNPVKDSLQFSNVSLIQGL ISRCCGFSNLSHNFYLRNIGNHTSKRPIISSLPFPPSSSSSSSSSLSSWSSSSSSSSS SSSSSLSSTLFVQKKINFAFQVNKVGLEKSYKKRISIIQILKELKAIEKMVTTSTEDS LARFMTGE cubi_02090 MGNVPSNIFCQNDEFIYSCPIEGTGDLNSTEIRRSKETVNSELI SNFHEKLENCWEVLLHGKEVSNNGEFMGFRVPLENGELSEYRYVKYSYVIRKAKELGS GLLHVEGVEERKFDDCNIKLKCVALFAKNSLNWSITEHACNAYGISTIPLYDVLGNSG ITYILNSTLPKTVFCSVECCKKLLPLLESIKSVKILIMINNDSKSIQEDITEYVKENV TIMDFEELIKIGKSNPREISPGTLDSIHSIHYTSGTTGNPKGAVLTHRAWISCAAGFI YGQLGRKGTKLGPDDRHISYLPLAHIFERIVHVVFTYLGGKIGFYSGDVRRIVDDIQL FKPTIFITVPRVLNRIHDKVMMNVEQKPKFLQMLFHFALQQKEKKGSPFHFIWDTIVF NKTKEILGGNVKAILSGAAPLDETVLTRIRCFTCSYCFEGYGMTELLAAFMSEISDNS KNIIGGPPGCCEFKLVSVPEMDYSVKSNPPAGELVVRGHSSFIGYFRNEEETKAVICE KEGWIKTGDICHLLPNGSIRVIDRRKNIFKLSQGEYVAPEKLENIFVICCELISQALV IGRSTESFLVAIFVLDEEFTMNYVKANKLGDNLKFKDVVDHPKIIEKIRADLEKAEVQ HKMLGYEKIRTFKCVSTPFSVENELLTPTFKIVRHKAIKFYEDSINEMYKNGS cubi_02091 MHTLVTCAKIDDLIEPLKKQIFEQVEFPNTNWEALSIEEEREYK EIYDNVMLEKPEEYNVIIREFEESLGNYKVDQVELETISKSLANKMDILAALSKEDKI QQVLGGKGIIELSELNRNLEFGKNLLGKELSISKSIIENFHHEIMINLKQPVDNLVLK INQLSSNAEKYLKAYQLLNVYRERSHQIYNSDQVISKNTVSVSLLVNSWAYILSEIEA LIKDQLKPLIDESKVMVASGNDILKKLELINSIIANTVLVKYGDLMNEKVEQKNGFET LIKEIKIKALNMEEIGITDDLIKWRLEIIPSILNIFRSPLSKLFTEINKDLENVNFVD GIEQINERIQESDEFFSELDQIKLKMNSIWLTLKYLSKDEVLGKRNEKIKAITNCEDA YNENKEKITFLYGHAVTERNQLDSIARNLTLSESHQIFLGLNTLKCLAEYYQVYKGND TNVLFTYSKINQTCLGFFLNKIHSFNSDEKNNYINLGEIKDPVLKFEYIISHFISVIF PNSRSNHEDSNFNSSLNELIQEIESSYNQIESNEAKTLEFRRKNDRLRKIIEKIGEYN EFIIGFTKSLDNIKKTTSIESFEILKRYLTDLNNIFEDHIKESIGNKDDAAILFDQLF KELVIMSEEFSEKELNNDHLKVSVIKRFEDVQLLFNDFIAKVNLDYQRSSRLNSKEFI DNTQTNLEEIDIEIRIFNEELTQVNEFMYKQHSNNILSLATFVYASKLLLNPVTNLKD ENKLIGEYFPDPFTHPFREKYSYILLQLGYYYNVYQDFKEKKKNILEKENQINSQLLN IESELMKRSNITTIDSSTMYKIQKQRKIAERILSGYIMLTITLYHSRSEDIKKTVLAL DNSISNFNNQISVFQKQNKLDGKFGFRNIIKNILRSRKYLKLRRYYKRMKKFQDYLVH KREQMLEFFKFSENTITSLNRELIKIIDHYLCRKKGESDLEYYENEKSINHENMEPIQ IIMGDLYNIFSRIGRLEYYHSPKYLMSNSKFLGELLKQYRQINYEINKYQKKAQKIFL TQQKTLDNAFKLIRIAIPASYMISKYNKFPKEYQRYTFKTGKLDIIGDEPRHINIRGW NLKKGKKTLSLENELMIERKYIKNDQEYYEIKKDVEEKLKKYSLLPPEKTLDKPDTKT LIFFIPDELVDQMIFEIERNNIILRQGYNFKGNNDEKNSIQTVMSNYVHELVKRRKSL YTQLSSKPFITKQAQCSIKDEKDDFSLSFEDKKE cubi_02092 MLKLSQFENNGGVLSLGGQEQSRFDSNLMQDSKKNSSRVIVNSG SAVGIKYKDGIMLISSPLICYGTMKMNSNISRFHVIGSGMIDLYDERQKLKSKDEDMS SVNIDKRPLIKKLTEVDEDAKVRFYEGKLSILVSTGEFSDFQNIIERVEEKAAEDFFE GNFKSAKEYSGYISSIHYQRRNKMDPLLNDIIVAGLRKDGSKEIYSVDPLGTRFDEDF IASGMAEYLAITLLRDKYKADMSFDEAKLILEDCMRNSFYIECKGARMVQIATINQDG TRIYPKYPLDVTWKHDLFIKSNLDRKDYIGF cubi_02093 MSIRVVFNNNSIKLINRVFRFFSAMPFELPSLPYDKKALEPVVS SETLDYHHGKHHAGYVTKLNSLIKSTEFENENDLMKVIMKSSGPIYNNASQVWNHTFY WNCLRSPSDTNTPTPKVAKLIDESFGSFESFKESFAANATGHFGSGWIWVVIDPSNHK KLKIVQTHDGDNPEKLGHGKPVLTCDVWEHAYYIDYRNNRASYVDKFFSIINWDFVES NLS cubi_02094 MATLKQKISLVIVILSAIICISVPFIMGISSVKIFHRKNSSIFR SVNDTEGVKYDITFSSDKQVFPLFPTLLNSIYSNLGLEEYANVHIIVMPDVTPDEYSK LLKLNDNLGFSHKLTLMFYPFTYKLNYKQTLKHVSEATMCRLLLPEILDPRIDKILYV DTDAIINHSLRQLFKTEIKSECGIVARSSTNSNIINEWLKKDDLINYLQYNANRSFNA GVLLLSLNKLRRNHFVNNTLSLVQKWGINDQIALNFYCNGTYDELPMDYNFWAGRDDW KDSVRHKIVHFAGPDKPWKINYQPYEEQLLWYKYFLSYPQGEKMIPPLKPTYIFLLKY NNNLNNETLVIPEIILNSKKCRYMIHILAINYKGKHKENLEKQIKSYIKDDFWITYNF LNEQSDKYKDPDELVTQYALFKTPQILREYVERTFLVFDNIPNSQYLCKAERPLEPES TTTTQSHKYNDNIFGMDHGLDLSSFNGFDNNYSFNQQIETSGMTTTSTSTTYSSVDTT NSETNHINNRVVDLFNQISGINSDKLFWCIEILNHQLYYLNLQLLRLEKKRIEKIIKS NNIDPWEIFNSECYKIPTYNNDNIKSIQLEQIETIGTEPEKEDNFNSEINNNDIISNN VHIQNKLYF cubi_02095 MNLVSGKIFVGGLSQQVTSSVLMEYFLQFGIILDAVVMYDNVSG RSRGFGFVTFKDPKTVEIVQNITPHIILGKVVDCKRASPRNTNLMMKEYFEDIKEDEN IQENYDKNVVSKGIKNISKIFVGGLPDLTLEEFKIYFQRFGNIKDAVLITDKNNGRPR GFGFVTFESVDAVNNVTKYYSSHYLKGKWVECKRALPRDGI cubi_02096 MTAKIEDLNNLETEIVLLATGKKVELQIEKAKNNEEENSEDREI FERIRNVGSCSSAAGSNFFHSYRKMKEIEEERLNKMEEDYLKEKEKKEFNIQRETRIM SYIESTSKKSQKRKKKKMQKILKKQKSSN cubi_02097 MEGSMQIELRNALEQGLVENEAFKNVDIHSLSKSIEEDFLKVMS GKDNRIIRQRRFELMSNLKRPNNSDLRRRILAGEMSVREFVTCPVSELAPDSVKQQRL EEQKRYFKEECILMMNDRDSIEINEKKRNSSFDDINDEMTEYNIETSKDLSEESGNDT LMTKKSKRFKSDKRVLEIFPDLPISLSVPPDLSHITPRYNLNKYIDKYKEMIKDVNIQ SELIKQAKLRIVTTT cubi_02098 MKTRDQRPSRNSKSVVQALSLEKEASSSDVMHKENDLEERRVTR SMRKSGEKVVFFENSNANQEIPNNYVTTDFRVSQPHIQVDVDSSNIQEPILTSIGEVK NVVSKSNQEVSQTTLKQKNKKVLRKSKRGRSKNKSKYKMEASSFGKSTDKMASKARNS EVKLGKDIYHMNELDLDITSVTLINENSTSTPSSSGGTSRMAKISWSRFDSFFRKIPM GAKKDIILKTIPTNPGLRKPIIQELTILEQTLFTDPPWGKIGRASPYINKSNLSLPPI YMKSCSLDAIVDLVSKSILKIRGGNPSKIPQEIQKPYFLLFKLPRSLSLVALEPKSPL EFGISEGGHIETSVTHEQQIPINDFSEMESTNSNILMKLSNEPSSFYHFSLSDHRSPK PEIPDILDTFNIKWDILQTLDLSYYQFKYIERYLRDVFFNYDQSHKKVFFTPARINNK QDIFTINIFERISNNIDELNSLVNLNISQQTKTYQNLYEEEGSLFQNISSNNVDQIDL RSLIDEFNRVHSPSSTISTDTSNTSINNISTTNTSPAETPVLGTITGSSPSLQTQLTT EAPLYRDDIWGTYRSYDPIYWWVDFVLSSNNTCISTVPQSNTLQDVCTDEQKVFLHKN GLSYIDPPGCVELGGVYWLDPNKPIRLTREVNDKHKFNINTQSPKEEKSIQDSEKVIS SDFTNHEEDLKQEPDTLFIHPYMMNDFVEYKDFNFVEANLERFLPIYNEIVDSIAKLR FKIQNRIIYENENNVRITDIPFIWMNVVQRYQIVNSWNKLKFFLVNGYKDLYPAFLRQ LDASKKMESVQDLDSEAKFKNEKKSKSSKFDALLESKEKNMHLTNVCSVCFNWETDTL KPFVECVRCGVVSHVACYGVNIPLNELLDFYGWLCDRCEFEKKFLGTQYLVAFNPGSI SCILCSHSGGAMKRTNKEGVWVHLVCAIWHLPLVICEDWKNLSNWNVERLKRSWTNKR IGRENNQHYVLGFGKTDISNSGQEVPKTSESPETLESEQRSISTILSNSPDKGALKLE TDTPELDILENGSKDISLDQDLSLQQESFRSNTFIETQPTLSDETVNDGSIRCVFCRN DNTFGLVGCDHKNCGQLFHPICAWLNGVQVEVDCDPCYSRGETLVGLIQGWRNVQDEA LQMVNIRCFCLSHIRNKGVTIRELDEEVNLRKKRYINRDMFPDIFNSKYNPKSGRSSQ IGVIQRSRTRSISRSKSWDVSSPNVIKKSSCEIFKQNQVYYCNALNPDKYDRDICSIC LKLDSTDFPSNGEGNLIHNKGIVQVPSSGGFSDSNLSGILPERMVSEDGILASNILVR CNCCGLTVHWSCYGLGENLESLDSFMCQACTKGVRPENTSCILCPRRGGALIEAQGVP QNFSGRPGERSQFVHIICALYTPGVYRLPCGQAYGAANYLGMTSLVKLQKDGTLSKNK GTFVRRFGGIEGSVQQIPFRDIRNEEQFILEQFSDQDTLEIPSVYCCICKSSYGVNLV CNHPGCTRTAHPLCMKLYGCYMETIGEIEEDKKEDNILLDSRISNGQPLYGSYPSGRT SNVLYVSPNCQPPSLDSINRPGEIKENYFSQKVFCPEHGKEMGKFNPGGKLLYSTLSS LKIVSKILEDLGHSERLKKQLFNTQLDIMSKENPIFSPLMIVNINALQIYWDYYLRGI LQESVKIRNGTINKFKDNSKNGMLLKPLLSQGDSNLIGSYRSPKKNRQNSVLQNSSQT SSYSSSTGPLKVTNLKRTRSSGEATEVPSLEDAIKEARKQREIYKKELVASGVVLKKR PPPNRSPAIPFSRLTDSELKERALNCLRIIGSSSKLAMSLMMGYNENTNNSESHENQV KPPNSQPSANGSTPLVSTLTVNTPTIRSFCIKQHRHDCFRRRLAEFIIYPPAVMDHRR KTLRSLTRQLKQYGVTAEELMASDVPLPLIKSKPPSQPTINKEIVSTFNHSSFGSSTT NSGVNTTGTTTITNTNTSNMTTTNFNPSTNNNNSNNCNNNVELTGSRMTTNSFISNNT FNSINNVNTTFNFNQTNMSAQESVRDQEESFQTSNPHSENNLYF cubi_02099 MTESLEEVSVPLVNIQEEVLVVGGTYDGGIIGLSLKSHLGKKNK QVSSAEEDADEEKNKGIKGIFNMIFAFKAHLASIKDMDSRGNLLVTASTDETMRIYNL KTRCDSGMLTKHQGSVTYVCLSWNSKYLISCGEDKVVCIWRCANWDPVKSFDDIHEFT PISADFHPSMKLALTLDIEGNICMLDLLQGTLATKFTVPGLGKKGANLNRRFGLFTLI KFNVDGSYYAVLSQRELVISSITDDDDWYLSSSTANLGLGPVQITSFCWITNQIFLVG SSNGQFRMIQITRERLTELEIIFTFSNEISNEIKNPHLGNRIKGICTIGYDHLKYRDP NHYKTYGKLVTCDSSGIFVLFNFTLNLSEETGKNYCEFEIMDVFDSDNRITCMVIEDR NPKDPLSLTYQIDPDTQEENGCLPKTFVKGNKQLKVVKKSKHGSSTKKTNSKQ cubi_02100 MLMVNNIPPVTKVYFAISTLLMILCTLDIISPFNLYLNWLLVIN EYQIWRLATCFFFFGTFSLHFFWNAYVLLYYCASLEDVVFHSRPADFLWMLITCSWML LLLSYFFGAGYLFSGAVINVMTYIWGRRNPSARMSVFIFTVRAPYLPWVLMGMGLVIG WRPWDNLMGIIVGHTYYFFEDIYPLMPVSNGFRLFKTPRIITKLMKQEQN cubi_02101 MELLTFNLKDGYLEAMVRGYRSGFVTMDEYHLIGQAETLEDMRT ALEETDYGTFMQDEALPLSVNVITQKCREKFAHEFRMLQSQAYEPLGKFLNYITYEKM IDNVVNLIQGAMNKKPAEELLARLDPLGYFPEIRAFVALDLSSSFDELYKSILIETPI GPYFDEFLTSFSGENEDVTSIVKEMDLEILRSSLKKSWLEDFYRFCQTLNPTSAEVMS HVLKCEADFRLLAITLNSLNFNFSSASTLYPSFGYLYPEGTEQIRKAWNDTRVRAALE PYSKYSALYDQCKAFYVNENTNDLGLSDGKDESNRKSSSHKNLADRQFKSLEDLLYAE TVSMCELVFDQQLNYGVFYAWAKLKEQEIRNLTWIAEMILMNRKDQVDAIVPIFAPRA R cubi_02102 MEAGSKVQGEATPRSDASPNNILRLPTKKNVHVFFDISIGGTPT GRVVFELFTEIAPLTSENFRALCTGEKGKSPNGVDLHYKGCRFHRIIPEFICQGGDIS SYNGTGGESIYGATFDDENFALKHNSAGLLSMANFGPNTNSSQFFITTIPCPWLDGKH VVFGRISTGADVIASMERFGSSNGKTSVSVVIADCGQI cubi_02103 MQSSKKHNLILIGAPGSGKGTQCEFIKNEYGLAHLSTGDMLREA IKNGTKIGLEAKSIMDSGKFVGDDIVLGLVKEKFDSGVCVNGFVLDGFPRTILQAEGL AKILSEIGDSLTHVIYFDIDDSEIIERISGRCTHPPSGRIYHVKFNPPKQPGIDDATG EPLVWREDDNAEAVKVRLDIFHKQTAPLVKFYEELGILRKVDAKLPPKEVTEQIKKIL GN cubi_02104 MTILVDGQALSVTDLFKKYDLDEISDILVRIKKEIFVRTNEVKK VIGSNPDLMIDFGQNVLNIYNASTKLNSSTYELLKDIERFSDSLGDKVSKFVQEELPD VIRKEDLNSILSHQEQHEKLYFGILESFSKKSFIQSYELYLEYKKLINEDSSNLENTI FKDSIFIKQSISSNCYTFIQSCEKLNSVELAQLIMIRYLLEIEGKHTDHLEHIESDFK TILETALILRVDKTIQILKNARISCNSNLGKKEEFNDKLGQTFMMAMTVLVDLYELKE SISIVCSEFKQRGWFELQFLDHISACLLTLTNSSHISRLMSVLLEEFGSMGGEKVVET NNIENGDVYYSKKSEEINHNFRFKFLSKIYLNLFSTIKHGVGIEILKNSFFPILISTI EEELIRFLIIGNKNQLLELILRPEEDIESYYNRKMSNIFEDNNTIRWLISKNESFEIL INEIKETGELLDKVEPSEKNSILRSISEKCFSSILTKFIEFNRILFWSIKGRDFKQAP TILVKNEDDSMAKIHRFSNILIQGKQLEFLESSLIEYLSTGQEEFGFSYQILTQDNNI GPRNINWEILVRICSEIIASLEDSSQVNEESKNTQTLILCSLREFTKSYVSPECLFRH IQSIRTLETIIYSLMIHREELCQKICTYQSLLQRTNDKLESNCLNSKEVLSLTSLYHQ FGFIGDNSVTPHTLLLEFCFDLNMISTQLAFKSQRSIFNLVCRSYIKSYILPFQINII KTFGDLYLKLGNLKNDENFNKELKDDDKDLLVKSVSCLYSDLIWCERFSVCNYSIEHD NGVSINSKDELSPTMDIVKTILTPEDMVSIEDGIISLLKEARENYNLEACHQLPKVQN LFASSVQRFPTLPLVNPSSRGSISNKITTANDKTLKANIDSNSVSANNDYRQFLLTHY SDILKNYNKSDSIRLAPKVSSVVNSQDSINNTSNPNFTEEKIGGWFSMGNGNQNVETS SNIHLNDNNTGSFANNSGTGVMGINKKIDTQAIWQVSNLLKETVKDKVFGQ cubi_02105 MKFLLSIFLPISGLAVRGEQIIRCNSNLMNLCGSDMVIYKSECD FLASKAKFSDLTILDLSDCISSNYKVINSSSNLNITNGASHLNSRLLRGSGMLKRDSE VNSDMIVSVKNETQENLVELVDKKVDNSDKNSTLEYESSSDNENIQDLRLAGGVYSDE IDREKSFYMGSHDSVYKGINKYNVNMINVIVENFDISSFVLSDGKYNLSRLSLLVLKT IIKILENQKSKMLNYLNQLNNVQIDNNNDKNLTSPDSGYNGLEEIQNSYTHKADNFSV IDQRMHNSLNVTFRFVNFNNTDNYLNSLMLVDFALQTLGKMSINNLKDIYNSTLKNDL NNSTNIGNINGDKKKTMNRLLLPLEEESTFEELNSSNGTNNYLDEADNCELNISSNKE TPAIPNFHCIFPKNSTDNFKMASNYILNLTELTLKDTFDNKFGNSLTLFPHSIFNPTM NTTNPLETSTRALLSNQSKEIENRVDLVLDFFDLLFSPLNITNDDLKDLEIGEIFVNF DLNFLKDESINSTLTNMDDTGVLEALDEIFELGSIINNFTLSEQKSNSTANSTSLNPL RIASEATPKIKANSTVEELFREFPPAFDRVRGEGCIVKCDDQVDLHCGNNGVTYKNLC EFRNAQCTNKNLIFVNFGKCLPLIIRG cubi_02106 MDRLFHTEICIFSPKANIIYLKLLKTVLKPSIFILDLKEEGFSD DFKDFFLEIFKNRLNLEQQSNSNFCLTRNAKNSIILSSSKILYLIYEKGNPNDFFACN TASKIISLNTGVSTIIRNVCKGMHLVFVLDLGSLLWFSELYDDIEQLGARTDEFLSSL ENILNEVSKLLEYLKYMEDGVEVKFSVFLFGTNQEEPCFKLVFYEIPIISWISEKQIF REHLQCVIQEILKTHLEFTQGEINFFKSLYSFEIFELLNSFSTSWTHSVIVITTGIIS SRLFSEGNSSSLFCQNNDLLRYCSSNDIQIHFLVIKKFSMDLEESEIGLIPSLDTLEF VATSFNSTLTVCEYGVNEQDARKIAKDLLLRPDICNIPFKTESGVKDILDGLKEIYNY KVNQRLSLIELLVNKLRNGFNFVGESKIDIPLILFSKFHKLVEVICLIENYKDFQDNC SEFWSIKFYIRNLGNAISTPDQFSLLKKLLESKEEIKKLNFSSKPYILTYFLYSFIFK NRLLDTWMEGLVENYSKNSEYIPTFPKELNSLFLPYIIRHEVDFILDSDQTLFKEEVF DGFKGSWDLLKAKLTDLGFKSFILENQQDEFDFSSREIEGILMYYNLFPQIKTTEMGM KFEDLEILRILRSWASNDSLIQTQNSNLSGKAKNITAIWLKAQRTIIKTSDHGKNKSK EIESQSSLVKFNILFYGLSPIYCQEYTKWFKEQIMQYGIVPEDADLSLLRISLRTSYF LKSSTKGAICLEKSWSYVLWSEKEKHIHQEQEPMNLNERVILTISNSRFLDGWILLNR INYKDQLNSTWYKPSDLKITKNFRLKVLFIYDLKFQFDNNANSSKLTCRALMASSIDR TDIINENNGEFFLFNKFAERIHYQDNKIIQTISLIDYLYTSSHLRKNIEYFRNMNEEI NEKSNHEDSSEIKAESFHQNAYRNKFGAINSNYYNIFFSGLKKSKEFNKYKRIIQNKS FYANDDKISLSIREISNLFLTFNNNEMETDSDDNDKFKIVSQSSAESDNYEAIENELD KEFINQFKPLCLIEIPLINTNNLFTCQNNSKNGKNSDIEARRKYLEGASEGSFEKFLY KISKITDRSVRVDENEWYTILFIDEDELDVKSKDQDSFIISEDLEPIFSDKREKTKSW NLIRRLHSNQIYDYMIITHIKLHRSSSNGPTSSILGIYLCSYYNICFGITQKKANLEM GIFEKKKLNKVRQFVELYISIVKEIWDSIKFKMISKYYLLGIPICSKDIAKIQNENEF NEEKAQPSSKIDSESIYINGLENSSIENPISVSGIGLDLDPEFDFIKEENTHQDSFQS LLLGLSYDQEVLSEKGSNSDPDTCSDITFDINECNEVRREVCKYLKCNIFRFKLSLSS FKIVLYRKFRNNVELDKGLEKLDKLAGFIGEELESRMKNALRMKLVHFDCQDLNCFLV FCGVLDLYKGKTENIIVAISLMKNKQNLGISYLESIRFWKRFKKNLSFSPSWFHCLVW KSLTEKDDLELKVTIFTSIDKKYIRVNRTDMELWSMIKLEKELFVSKLRSVLSSWMTD MTMELSFIHGDEIWGKSRDESYRMNLFACFGRELLLECLQGIKEKIWNYNDELFSEEV FEKNKLISSGSVILRRDIQFPLLKSETKFGNDIAVINLLSSQADYFPIKRKPHLILIW HGSQFPMDNLQIASPSLKSRLRRGFLDNLLEHTRVLAEIYNPDGLVNNELVLGLALKI YFPSSITCGMKMSSQSKFKCKICDFHIEENCLHESINFIFSTMQSRFIDIWKEATCDF LLQNVAISRMVSSLMVPKFISSDYSNLRIENEKKKPEISILDSNEQEDIFGQISITSE WLYEVPFEISPAKFRRMKKNNFSSLLKRKLDPDYYEKPGEVILWDGRTIKVPNYIFWL KKHEEVFIEIPSGLYNAPLIDILFGGGKWDISNIDRYNKELSSIEKSLFGNFDSGKEP NKSSEVLSSAKEIEFISLIYPKGWLLYSEDAITSTNTLGTGSIFLIKPDYLFIDIRNR EVKIQKKKRKDLINQGELNQSYSVEFSPSSSNNVPKLKSKVGISLSFFGKRKPSKSTK LYFYRQLKFALQRLISNWNNSFNTIILPKADYEKSVGEIYLNSKNELSYDSNQKLEAP YHLTLILKLSKTYHNFLGASVFNMAQQNNIILKFGDLFTIMTTILTCYNISYEEIDRG IRIFIRNIDFDSKSEELEYQIDLSFYSGINGRILDTSDPWAAGNKFGQDPCLNLEKYF DDCLLPVLEDEIYQFPSPYWDFSKISDFVFLYRNNEADNLNNDTINETNSWNLIRDLD GRLIQIRKNSSAHTTPENNLDERKKQKKSSYELLNTQKYDSSNNDLLIYLMPCIYLGA DTYYKGKPIYLDERSEMDDENNHKANSIIMFINSLFNLVFMELLIKLKLTIKDNWIVK NQFQLSKKELDKEINKNSGYYSFLLNNEYPKVHGATIGDIHKYRDDIQSFFQLMINNR ETIERFVPLKLVSKYDNMIKSYSIPSLIIKDIHGENDETQMYFPSWSIADFIKKIRDE ISKIQKEKSMLSELNSIKIVISGYTCIIHDNKEVDINERVLKKEDGISSSNNSSINIK FPIEKRQSMKDTDFEECGWHILKYKSHVKYLNDIYEQTDSNITDWVDSLYEIDKDSSY DNNIADTRSDSNFMNLYGSFFNISGGKQRPCITVNIHSTDDTIIEYMIILELTPSGIM IVSWNVPILIIGKFQHIIKSFIFENSIKMVWSLQLSIWYKYNIIYRKYIFVLDLSKFY IEFEKSTTINNNEYSVPFLGNNYYFPKICEISTNIISYIWRDKYKDPELKSSIFQDLY KKELIIECKINRLKLEDILIPNIVMGKILDLKYEELLKRYRINEKFNCLIPSIINQIR MLNSDDLIERWNNCFFKYKFWVFINQFLIECFSIKSLKQEISRMFSKSVILQVNKSNM IFKYQQIVNFLKKRVESYNIEYSKYQKINEKHNENIIQTYYYLLTYLQNSHNNINTLI SATVQNRNNHFVLLHPNQLFEDNGTRKLFNYNNINSCELCMMNPKDISIRIILTILFR CSIDLLERLYNEEKLEINIQNDQSTFKEIGFVTEDSSDFYESEQNQSERKNSILNKVQ KDKFISKKIIIEKLECFDNKGELLIFIEDIPGIPYQNFSSNLGNMYIMKDLTIKLDEL FKDNGFIRLANSYNEIINKEYLFKNNYCISIISSIYNGLNIHEKIQAKNLNYLMETEI GNEKEIIIYIKTIHIKKYNFSIPICVFLSLYISKIKIIYLTSIFNECTSYINQSILDF IKILNIKKLIFEYVLSYSESLILNIWNQSNKKLKIQNFQYFENGLSGLKRSVDHLIKS ILWVNGFLRDYPNIEISNLSIFQILITRDLKINNNQFISIQNSFYQYIDLEKSSYRIK MLPNSENKVIILFQSLVKNELIGLIIDDSKDKGNHTNIQNYDIDYHKEILRDDLELPI DCFILKNESEMVQSQHELEFSQEEIKWEITNTLCTIKEYIKRDQMLQKIQVYGTSFSQ KFVDDLSNNQFSINLSLDNKLLKQLPIIKIKNLMVNDGAKMAYKSMMRIQENINVIVS LFRQFWMENDAIQFSNSLYRIEESVIVGEFIQDRIISLLIKNKIKEVILIRFFTTFKA YKNSDMEWIFNDIKFTFKNENKIKRYFFIENKQNDNNDQEIKSDLYNLVLKSIEYILD NNSRKSTNKCK cubi_02107 MSLILKNSLLRLGYPNLLRVLPTRYKRFNANLSFKRNISDFNMS RLSNGMRVATMKFGIDSIPNSLTFGLWVDSGSRDEKPGKNGIAHFLEHLIFKGTYNRS RKEIESEIEDMGAHLNAYTTREQTVYQIRCFNQDLPRCMNLLGDIINNSKFCKSAIEQ EKEVVLREMEEVSKSEEELIFDDLHREIYKNHPLGNTILGPKENILKFKREDLLNYIR TNYNPEKMMILGVGNIDHSSFKNIAETYFGNISNNNRNSINQKSVNTETSSYYQDLNN NQSVKKPILVHRKTNIDGKTLLAMAYNGVSWKSKDLFKIMFLQSMLGEYGTNNINRVT GYKNQILERILSGIKDHVEFFETFNTCYKDTGLFGWYLKSNNDLSHKEILENAKLISS RFKNLHSLITEDDIIRVKRILSYQLASLYENSGTLFEEIGRDLIVNNHYTSIDDKLEQ IQKIDLEGIREIIHKYFHFDLLG cubi_02108 MENNIKIEHGYGVKKMVESKHIVFLMWVILSLVFTLYSKWLMNQ YFPYPITMSLIHMIIASILSHIFGGLVSKKFGEKTRFSSIGGLSFQEKKSILVFSIIV AVNIWFSNASLHLVSISLHQMARTTIPLFTMALGILFFKHKYRLSQIPPVILVIVGVA ITVNGTPELSIYGLFIVLMGCCVSSLKGIVAQKLQVENLKINPIIMLQYVGPVASLTL GFFSVILGEINKISEQSENMDKVSFLITNIFLIFAGVMAFGLNILSLMSSSIVSPLAM NIAGNVKQLLTCLLGCFIFGNTVTEKLLLGILITSLGALWYSLDKQKDSGKKKARYVK GRYIDRVGLGIQSEMDSNATKLQNNYLGSKSIEIKQIGAYNKTSTIESSKEQKDHLKE DVSLELESQIEGSEISLEDEDQESEEVSCYLKMESIIEEECQEIQVNLELEDLEEIEK NDYPLKKNTDLSKSMDIIVLGSGANNLQIPDENADYTNIQR cubi_02109 MNKIKLLIYILKIFQVLLEIIEGAVKKVPIVSSSGLVCEDNPSV AESGYSCSFLARRFGGFLGCEKLLKDLAQDSLPPGIPGSTRVVDACPNSCNKCRECSP GCALWFIGNGVCDPECDNLSCQFDGGDCWKVDCKLSAWSSWSTCSVTCGPGGRVTRTR QIVVSPRNGGESCGALKAEETGCNSHIPCPLSCTVSEWGSWSRCSSTCGIGHQMRERS VIKAPKDQNLFQCPETRQIRECIQDTCSSNCTLGDFKFKSAVSGTPCLMEQGCVERRE ILYPPFKPELGNFTCIMEERPSDCSGLHLNCPGLCSFSEWSGWSLCSYYKNEGLGFEG EVSKYKLLKKRRRIARKRMRDAKCEDELEFKECDESERQGVEALVRCNMGDWSSWSSC STSCGLGSRLRARWLLSEPENEEVLSENLINTESVCGPLFQTKACNDRSCLTEVCKVS DWTQWSPCSSKTCNSPGLSKRHRSIISLPRKGDCPVLEESRDCLGVCDHSVSVSKSNC MFGDWSSWSSCQDDCYTKYNNKGTTERSEKERPKRYRHKMIIFNPKDKDCSKENDYIE VKDCEGGCESANVEICQVTQWGSWSSCSANCDGGSRRRIRERVNSGRGIVKAGSRPLT RGISSSCPSLLEVEKCNTHPCEYSCELSPWYSKTRKSIGTQDRRGSRGDGVVDEENNE DLVISDCSTKCGIGYIERSRKILSGGFVISSNEEQNQYTSKVCGPLKDTIKCVRISEG CNVDCQVGEWSSWSVCSTSCGEGFQSRSRELLVPSLGKKCELATEELRECFEGPCPSS CRVSPWSEWTTCLGGCDEKPYKRRERKILEPPASGQSCPVLEETLDANEECPRSEKCP SDCKVGEWSSWSECDAKCGIGVEKRVRKVLRRESKGGAPCPNLEDLRPCSREACKSDC VLGEWGDWGVCSKSCGGGSRSRVREVISQPSQGKECDFLKDFEPCNEFQCIATRDCEV GQWSVWSPCSASCGGGVKRRQREIQVPATGGGRCEFELNQKVGCNGFKCPGEPCIDRP EAQEVVPCSILKAMFGCQKRLIDVAKSNGVPYPDDRPPEARIMDGCPATCGMCSECAP GCQLRDVGNLNCDPACNNVACRFDDGDCEKNSSHKASCILPKLSEAFLFLSKREYQGK KSGNDFLEIGASSQSTIESQNTVKDSSDNSLIVLENNTSQFGLNNLSGMVEDGFTGNQ VQSQEMEFSHEEYNERHGTDGSNTIREYKKGDIVYIRCDQGKRFRKYTAIPYFKYQCK ESGFIQLIEPKGTPLLYVDGDGIPRIPTCEEDECPYLMVQEAPQMIGTVNTLYKRDLK HSYTYIMYRDIGEPIFITGDHQRIILGPVGTLYLISIDSFYPEFGDPSFEKYRLWSLV DISQQKIIQEFVELRLVCVKDPNSLNSNVMSSNSSTDSEIVKASFNRPLRFLSQVSSS RSNLGSQVVNYKAHVETENYGRSLQEKPYTYVMGKKRFCEDQPEVKEQGILCETLSMM CDINIPNPQNYGLPENSFVWQVCPSTCDKCGECSPGCPEWFKGNTVCDKACNNQACNF DDGDCEGVINGQDEEKHSEDNKLDERNRNDGKDHTEYNDYDQKNTNKDNKDSIDLQNN VVIPEGAITYFAGKFRNCTDIPELIDSLASCKALKQTCHLKLPLSASSLEAGLSHSST ISQVCPKTCGICEECAPGCPKWFINNGYCDVSCQNPECQFDGGDCSDENITSKPKLPI KNPNDSKNSPISTSNQYTNSSSNKNNNSEKSCIDHPIIFEVFKRSCEELKTSFGCSIT LEKIFQSRDQLPDKIKPGTKLRDLCKLTCKNCSNRKDENRNSNNGRREQTGSNLENKA ERPYTIVFNERRYCEDQPEVAKKGISCKNLSSMCNASIPGAKTYGLPDGTPIWRVCPS TCNKCGECSPGCPEWFKGNTVCDKACNNQACNFDDGDCKGVRNEEDEEKKILNKDDDI SNSEKEYEIDSRGKIINGKNSDRDNKDKESDLDRKFFSMLKEKGQKCRDDPQVEELSG FSCEQITSIFDCSTLLKDLPGSSIPDDVPKDTLLKHACAESCGLCEAFSNDKKSTGKE REEKEHQENKICKDDVYISFVTGRCRDIMNFSINISEVCNESLSERTVLRDNRKSSTF SMNRKVYEHCPKSCGRCRSECEDDSKLLPGECRIAIETASIQGYGCDMPLLQVSFSLA ERFGDEKEYLLLSDVCARSCNYCSQSQGLGTDSLLDNRSSESFCSIKSTKNWGNGYLL ELKPSNTKDEGSGPKTGVFPENMEKKEDVRSLLGGSKLIVSCNSGYSSSDKQDSVEAI CDIKSSVYILPETFKCEEPHVEVMQVELEINEASDFDFTAVSSIYTALYSTLPVYQPN GLRIEAISTTRFENILGDGQQTIKCEDQNHQLKSFGINCSMLKPFCSKTLEELAKQFN RSIPDGVSADIKVSIACPITCDNCKEFLEVLNNLRNEENEEQDQDDEEKRDSERVETQ VDNAERRRKSQKKDQGGISEEILGRRNSDLGSLYVLFNVGFFLSSSRGRESSFEAALK DRAISKRFVTTLKTQFASRGIRLAPKEFDIRSWDSQQAIVSNSKLASEDTADRKMKSR FKRHRMIRILKSDWDKFYSGQKGVGMDEEARNLFYTSGISMPFIRSSRFGTKEAVFLK RPLKYLQNAYLKCGGKGIFSNSPISMSSSTNQFSYSVKNRNSGYVEQDNSCCGLPQDF RTILISEGCGSLIYDRIPDKIQMDLFCRGTSLARNSCFLRLQEVINRYKNRRGTLCNT VEFAQQLLDSWCYMSPSNNTSKSLEKENGTESSETDLDYQVGLISNSNSESISDSGSG LELNQSYNSGGKKESQSRGLSRGNNSKKETNGDYCFSSIKETISVGMDSQEFVSATFD TFKSRCHPDSCFRNHLRYFDAITQLHTAWGYPLPSSPLKKSDFGTGTSSSISGNKSTS FSRSNTGNIETEGVTGSSTNSNTYSNLEVLLEESNEGWLDLLCTSTSTGTHCQESLSI LLERNPIKSKTLLLNPCHSKCFMIVAGRIGSSLQRFGQLASDPQSESLGLLLRHYSRH FCVKNSKGQSCGPLLFAGASFALEASLSHKQNMAKEAQADKIKNTNISKENRSSVSGF GLENQKLREEEINNSDEDWNRNLEKKPYLVGGMDRDGSMIGFQTHKLENYCNSGCFSY YVGDGMCDLQCFNEACSWDKGDCQSSSMYPEIYQPLEDLFKGCLAGSSSKTGGVKQGG TCSTTCRARYSILAETLGCCTSVAVDLYQSLTQLLDEQPQGGFLKPGESFSSSLNSMW SISRLEQMCGMSLDRTCSDGLPRILTRMTLALDHPDSGLAEDPQTPLAVSKVVSRSLG ILDSDITKVFFTNNTPYSQTNPKDFKFLVDIVIDTGSTELIDLDDKLLLEGQIDKLQR RWGLEFCYELGDSHGEECRVRLVEVVSEPTSSLGISNSTAPALPWMNSIGFSYLNKDL PSEPCSMNHQYLQDASRYKLISISGQSSSSGAGYTSSPGYGVTNNHKSMSHGSKFSIS CSNNHYSPSSGRSPDTLTCNNGRWETSSGLRCNRKCLSFSELPTGLKFKENSGVFGSK KEQDQMVNHGFKVQVECFSDDYRSTSAIIEDTIQCMDGVWTAPVLECRKSCTNLSSKS LGSSSTVVGREYRHNDQRMVFCSDDHYLSPKSGKSVIPSRSRSSKDKKETKKKDQLVN ESNSLILEEELHISKSYKGISTLDEAIGRGYYLLTCNNGTWESEPLICVKGTLTDYSK IKKGIEVTMTHIFSIKTLIACLVIISTIFIIIIGIWLAWIFRYRRQTEIHDMDQFERV ENARRVLLQLSGVGYTENISYDQTTENSNINLQGQNEFSNRISSPPSSSWESLNNLID QGNCRNLTQKAT cubi_02110 MDSNKEEADRCVMLAKKAIQGGDLDKARRLLEKANRMYPSHTAT EMLNSLNYNDSSPSKPNHSYQNHHSHHHNQNKHNTQHHNRTSPKNSHSPIKGKRRNED VDCPNSHTERSANSSSSASETSESMCKRILKAKNFYDTLGIPKDADDAAIKKAYKKLA LQLHPDKCKAPSAEEAFKRIAMAFQTLSDAEKRKNYDTFGEDGPPMHSASGDVRYYQY HQGEGFLTPEDLFRMFFGGMPAEVSFQRQRFPTRSGHSRSHTRTYTTNMNSGGATAEG LQTGPLWQKIAGVIQILPVLLMLFLAIMGNFMPTFFPEEKPIYSFNRTREYNKQRWTG IHNVSFYINPKTNFDSKFPPDSSKLQELEVKIEMIHFTRECGIEERTILQDIAYAKYY QSRSKLKEIQSRSKPNCDKLKWLRDKYPSIYRQTMRN cubi_02111 MVGEQEPKIVEVHDDQISSGESSEEEDLASGKAEGVRPRHNKGE KKNRKLVQKLGLKPMSDFEKVVVRGARGLSFQIVNPEVYCVPGTKSYIVFGDAKLEDR NTASQAAALANATSQLEQKLAAMKTQDGEPSKNNSATVNNNDNVVDEGDVDETGVDSA NIDLVINQTGCSRAKAVMALKSNNNDVVEAILSLSP cubi_02112 MEDQDPLSPLSNTGSESSQNERFNIRPTHQNDRKNFEKVYNSFN SIVGKGAPIQSMQRCISIGLTGETFYDYDSPFGPEDDICILHFNDVYNIEEDVNGTGG VARFVEALKSFRSLNPLLLFSGDVFNPSIMSVTTKGRHMVPFLNMMRVHTACFGNHDF DFGVDHLEYLAGSCNFQWLLSNVYDAYTEEPLANARTYRLFEWQGRRIGIMGLVEKDW LKTVPTISEEDVIYKDFVEEANRISKILREKDAELIIALTHMRAPNDELLAKGAEDID LILGGHDHEYYGVKEIGNSVVAKSGTDFRDLTMIVIKPGKHCLTCPKSDSKLHLEYCK YSSVELNQSKSNNSFEERLIPNNKDGGDIYLKKFLGGSMMSWSYIDISTFNPNKHVKK MVNKYLCDLATQMDKIIGECAVRLETRFSVIRTSETNAGNWLTDIMKSAAKTEIAMIN SGTIRSDCVFNIGPVRNQDILMMLPFVDNLVKLGVPGNLLLEILENSVSQWPNKDGRF SQFSGLKFRFNGDLPPGQRIVPGSVYIQDLNDPLVFNPLELDRVYTLVTKEFLYTGKD GFDSFTKCELLSNPEDMPPLPTLVRNVFSLAALANGYRKPHNLATARKLKTFLLNPQQ TMIGLNKVPSRVFFKNDHSNQLSPNSISSRSHESHELILNEETISRMEEKIVGEPEYC FMIKVEREGRIIREGNAPDPIIESGIERVD cubi_02113 MDVRLDYMNPTKVEEGIDVEILEGKINSLNLEDLDPREKYSRVV QKRFERSMKELDERENQSHPFVKCRSCFLVKKDHCICTNLSRLADQIKKVQTYPEIKF IIFMNDREYFRSSNTGKLVKHLIPGSEILIHGIPGSSERLEEILSQDLEIYNETVILY PSKDSKSVLDYFSDLKENKYFELLGKEPENSLFSTEFYRKLKLKVILIDGTWSQAKSI NKSLPKQIKRIVINSKLISDFGPLRKQNKAGNISTVEASSLLIKEIREMLSNIIGDSI TTENLSHLNLQSDLLEESLKLLIKHVIAQCKREYLKEILESRNEKRFSTHKRETL cubi_02114 MQSQTSELKLQHQSIPSTVSTSMDSIDIDSLGSQNIESKSWLDD ILNKQGIQDITESILSLIHNENKLMNTFNKNGCIVSTKVYLLKQAEKSPSIITIVELG KNIESHPNVVHGGFSATLVDNCLGILATQLFKFPVTKTLNLAYKKPIQPGQTIVIISR VKDHEKVEGESTIQLDRCTLISEIFNMNQNLLLSSEAVFVDISGRAKK cubi_02115 MKCFTSLLTVIVTLFCVFPSIFSMEHKSLRQTKFAPFTKVALFI EGFSQESVTRMKLTIQSGMEGAEIVELKLNDLKSLTVRDYQLFAAPSEVSSGSETIVK EGMGIISNLVKGGSFLFSHLDSSSPLCKEFDYDGVKTTNDPFIYNGLCKGPITNVGPM QSECRAITALVDNKNKATIYNNFYVNGGFYFEDAESKKNCKILARVTPRKNSQSLIEF RKNTDSKAVVVACKHGSGAAILSGIQLDQNKLLLANYVNKHPENAHVKEVAEKLSEIP DFSSSLLHFVAVMRLASLME cubi_02116 MGKSKNSKIISEAEPLVASKNKSNGINAYILVSLAFLQMLVSSG IILGWQSLANIIKDNGTYRFLCEDPSLPCVEEEVYLANLMTISQSIQMFSVLFLSIMM DFIGPKFMAVCQFITALGTFMIAVGDPKGTVDYIWLGAILQNLCGCIYIPSFIHLSNL FPKGRAVVASTFSTLYGFSSLTFLAIQIIHSHFQTTLKASLLPLALIQTFFVLFSLLL PNKIIHLGDTCGFSLKKYGFYSIQSTSNESNSENHISIVDNHAKERNSSFIESKIKPL LKSIFSKMFVVQFLLELLVVVSVNFYVSTLSLQIEELSGLSSIEERKKLGYHWSTIFT TISPFGSIVGVLEGYLVDKYGLWKSSLVPIILLVLCASFKLVPNVPFQLLTFIAYTTS QEAVFSSAYSLFTLAIPTDVICTFAGVNLLLQSFPVGYLPQYLISLFSQYGWTFREIN FMLISPTIIVGVIYVKFLYTLEKKSKAIMSETH cubi_02117 MLPYLALGGNLGAGLTRVGLLSNFPRGQIFLFGRKLLRNLGTRT ISSKSKSTTICEEEEKAIWEAEIAKIGVLQERIKILEKDTSDYIHKIEESKEKLLRSL AENENLRQRHKKDLEAAREYSISAFARSLLDVSDSLSRALSSVDIEKADKNSIISLYN GIGMTYSTLDKVFESYGIRKFQSLGKQFNPKEHEAVFEVKDASKPKGQVCEELLPGYK IHDRILRAAKVATIKN cubi_02118 MIWPFLMITAGAGFIIARGTSLLAKRGGLVPRSVVKPLIGSTRK FPLKLKGFENPMSIREAYKILNVPPIASKARVREAHRQLMLRNHPDNGGSNYVASKVN EAKELICGDKNQ cubi_02119 MQRNSYIEKTNTHYDTLELNKDCSKEDIYRAYMRLALEWCPDGN RPDTELKEMMFKKISNAYQILSNDELRNKYDSSIDKIVRKNSSEINNDLNLEPHEVFE EFMAEIMADKDKYYQYSSPSNEGLCIYSNSNSDSNSDVEFGFDGDEKDANINDDLFTE DEDIEYCDDYSDRNSF cubi_02120 MNAKTRCKETVNDCVNKMMENMNRIIEQSQISTLEGTAYDSYLS SFSMKIQIHKIIQCCQKIQQVAAEITLNDLLNDPKHKFNQVQLYKQNYLTKLSEIDNF QI cubi_02121 MGLRICPQCSSTSIEVHEGRGETICTNCGTVLEENTMVEGLQFS ECSNGSMQMVGHFVPSSGVRGFAMVYGNRESREHVLQRGYHNLQRIADQLRLSSSHIE SAQRVFLMAVQRSFTIGRNNMHVASACLYAICRREKTPHMLIDFSDVLQTPVKVLGQV FMKLLRLLRLHVPNIDPSMFMERFAAQMKLGEKTHAVAATGVRIVQALTRNWITTGRR PTGLCGAALLISARYHGIPVSSSEIAQIVRISSPTLLKRLAEFKHTSTAQLTADEFEN TDLLSLPIVRGPPCFEKNRLKDEANKALAISNGEDKLAIEGIDSEADLNSKYNKGNEP LGNDEDKKKGDREVQEEEQDDEEEEEEESEPIDIEADDLEGSRKNLSFPKFLGGIELD INQDKLCNDEPTSQDITNIASKLINAFDKAKCASENSQSGASTSTGSTSAEEDLAMDP LHFLFKDFGDFHVETEDKQTAKESTQGEGNNERLIESTGGEKINIANSDLSTTISSSG YEDHDNITSSDDLSEISDSEINDLLLDEDEREAKRLLWDEITKDTLPPSWLRKINKES INSNNYQHNGILEVSDNTDTGITGTGQLKKRKTDHPEKESSKAQKVQPENAIESVLMA LQKAGPGATKHVNSDTLAKLFTVC cubi_02122 MNGVKVCNGLKEFRQNVNLKSYSNLEYIATAFRNSMAYTETVEK IPVPLFEQFCKAAVLYSDFYHSALGDNFVCNMLRNDIISNSCDAIEVWKRESPDAKSV EEFLRSQIKIHTLDKIRSNSNSAVIKFLWTVRAANFIQHFIENLISASAEDLHFSARD AYNKSLRPYHGFIKIGIAMMAFKLIPSRTDLILSLGYPDTNSGLEALRELSSVSKPCI EQINVLLEKYGCNFQNKV cubi_02123 MSEKGYKNLVKESQLFEKYYKEQKIVPIEEWEDFLKYLKQDLPS TFRVVDVSPYSSSIKEFAREMEGVSVYLNENSDEMDNLIKEIKWYPKGLAFQITKSRD KLRRSSGASKDFHSKLVLMSDGGSIMRQEAVSMLPVLFLDVKPNHYILDMCSAPGSKT SQIIEILQTDQAITGEYPKGFVVANDLDTRRAHMLIHHTRHLNSPSLIVTTHSADHFP DLYLDDPGHDSLKKFYFDRILCDVPCSSDGTLRKNPNLFAKWSISFSLGLHRLQRNIL LRALSILKPKEGLLVYSTCSFNPLENEAVISSVISSLAARGVQVEIVDPLTISDISKE VISKTKFGRGINTWKVPIPRKQLKKNKNKKKKCEESNIESEVIQEPKEFFDNYEEVPF HSRESIFPSMFPPEDPKIKESLSNCIRVSPHQENTGGFFVSVLRIFNSENENHKMVNF KIETQDDYLTLSDNPNKDNIIKMLFNPYGIIDFNREKVMSTLIYRNPKGDFNRACTNK KSLEELNKMEKNDLNEKGNTDKIEDDSTIISNFNNEPSIQEMEDSKFPRKMWQVSESV SKFLFSAKSKNPLRVIHAGFPGVELSRYRKGPITIEEDQLIDINFPPIYRFNCAFNAY LHFSHGLSLDNTPRCKEFSIQSVLKLLQTLEKPTENTGELKEFHPYRIPRHLLKEEEY PELKGIFDLPGSIIIKLDVKRLGESKPIFLPAHVGRHHVELLLDKFLRFCVKFHIEWL CKQLNL cubi_02124 MKFFLLILILEILLDKFVSQTSPGNYPTHTYDQFASHFRQAYTT IVVTGDQGPDYFNSLYAYPQFPQLLTPCSHMYPVNIIIGNTNSQSGFSIEFGCNYKNL DDTIVVSSSYSHLNVNSTAILEGACTYFASYLNMASFSVFQTNSYTNITYLIPGSVVL HTLSLIPTSSAILGTRSKFEWINSHFFGRKNKYDLPSFLNFVGDFSGTFSLPLTYKIF LPDDVLPGVTVVGSQSERYYLDQFNVTLTYGNYTNVNSSGSNSDSNSQSENSWISKKG LAGIIPIVYPTVAILPIQLYQDWRKDENKVVPSWGASNVPIGFSPLKLLPPSNLPKSG YKGCGSNFEFYYPEHAELGNDYSIDKNQTLSLLKNTSDSNIYHMNTLQGTFFFNKVPY SMSGDTNGQLKNILPGYQFLDTNYIGGCFFNGTYPLAVNELGAGFKAGSLCYTDSMDS YPTSNSQFYPPPLKHSGYLTQPGHMVGVLTTMSQFVRYSVSGGISQNEPQNLRPYNHK YPGLGISQSIIYDIFNHGPRIMVKSPFNVYEKSSIEVLFDYFALGEPYTLRMFGQYGS PGSAMSCRSPRYIPNNEEMRDINVEWLCFKPKTSITQIQAATELKRMVSEDCTISQNL EPCVANQGALGVNSWSLNIPAGSYDKSKVALIVQNLTDLNFPKSFSTYLDAGSWFRIT VLDDNPYKETWYPHSFCNVLPVLPVVPISLPQILRLSSMTQPWDHFRDSIYSIYISTL ENTYVSVELSLGSETSLPASTAIYTTAYLLCQNMQPLQLKILPEKLSLSNLLTHGTLP LTAEVPPIAAGLRGSLDCFLNFYTSFNQPFSGRVGTFSSFSGAAPATPPSGIAMYSYY LLAESPPFVGTLSVFAPDPEGSVLTDAIFILAEKILYGSDYQSGVFPSVGILASSSAT INEDSTVILLGDSLLALPQTIRLPAITDTWYIYFRAQTSSNSGCSIPCLSSIKNTFFS HWCSSPDSETQICPKQILKMQEKDSDENILDAIAASLNSVSYYSLNDAFQLLSGLLLQ PISQKLVWEDYFELLYEKILDPNQIPRALDFAASRHQLLFILKQVLEVAFNNPGLKID TLAPLQIFPNVTLNAECANNPGTKYLILDNLDLLLANNKDIGTTLEPSTDRMDIILRG VEAVANRFSFWSGPTQTLNYTGKYSGINIDIHTTSFFMAYSGVQIGSLKFKYLTKMDF RELHLINSYYLKLNEKLYNPQEVQTNCSSSIFTISSASISSERIYDSLVDIGKRNKTE MKENPGVYIGSASLFWCSVDYQATNMLQQVEFSVNIQTGDELDLSNMLCAAKIKDQWL TSVCRTILTVSGSKGKETSRFECSCNAISDYALLGFPILRKFNLSDGSYDPFSPSINK PWGGFDDSLNSFTNNETLVSKIINSSYSGFISTFETINGAPPLLKSNLSSLGTRVGIK GNYKQEGREEKKTFGIEWGIYETQSVYNISDNILTSSNNNNYILWGPTGNYTNKINGT ST cubi_02125 MFLQFFGDKKYALWAYLGWFLILCLAIFECFFDTHSSYLMEYYA NCMQSLLNPTPGGYKATVNDFFQFIYGFLWIEIAGVSLCNACRAILGNFIAFKWRTAL NNYYLKKWEHLKIIEGASQRIQEDCQKFAVILETIAIEMLYWFCKAFAFYRLVVKYGK MLSFVPFFGSHSHSLLFAALSFDLICFIPLIISGIKLPSIEYENQVLEASYRKKLIYS EGVSIQDEDLTTIRKLFIEVKKNSFQMYKHMMFVDSASTATIFFRQYFVGLFIIPSYL KGDIDFGIYTASVAVVNNMLRILSYIRQEWSNLIELLSIKQRLDGFEIYLKEHSETQT LVGNQE cubi_02126 MIAPYLFNKKYMLRAYPLIITLIVMMWFETELNIKMTKIFGKFN QRLSDCESKSSDCTVNEMLKFSLNNFAANFLMISLLRCLRSYFVNIFVFYWRQALTEY YLKSWNLISEIEGASQRVQEDTARWAKQVRLTTFEILSAAMTFFKIIPELITLSPTIP ELFILGKLKNGIIFPPFIIFGFQLIILILSSYKLPYLHFENQKLEAKYRKILALNEDS EHNVDTKELESVYEYLTRNYYSTFKCTFFFQFLYVSANEIAWFLGNIFLWPSFFHGSM TVEIYYTAHKFMGDMLFVFMVIQERWRGFTELMSVYRRLITFETEINNTNKKDVQLKE VDLD cubi_02127 MKIYIEFCTILILNVTNLILFLQFNIVNSESSEPNVEKKLVGQK SSNQNIESEEPKDVVSEKIDKSSSELFSVEYSGIKEKLPKPPKRNISSKEEEFVILEK QLETNLSKEIVNVIIMEFEFLVFNSYYLFLTKFWQDLRSNFDNSKLVIGLVNYWQGKE SKDLHLSLISFFYKTNINRLKLLLYSSYNKKEKTRKELIKNFLASTHMLSCLDPKKSL AITILIFQNKLIYIYSSYLLYLLSCSYQDLSGMEFNESLKLIIYNEDSVGDKIVSIAG DNIGIFLKCLRKELGVSSRRLPYNYEIKIQYPLNMEKIDFETFGLNLCLSFLELSILR NVEFELNYLILFFGSRFSKPEEVIMARDIFKLITSSEITVSEITALLLYNESTFISLK SKIFSLHNVNISSLKNRFSECIEKINLYQELNGIRFLTDFQERSAKRNNRNERYKNRI RSKYSFML cubi_02525 MRRSLLIPFVQIFIFVLFTNYFESTSALSLKAGASNPYDSNSDS DSDSDSDPSSQSTAHPTLKKTSKKKIKRKGYSGFDKTGLSSSLLRYLEQKENERRKED GDDDDDDDDDDENKQRERERERERERERERERERERDRDRDRDRDRERERERERERER ERDRDRERERERERERERERERRERERERERERERESEQGRTQSSSAPRPGFPARGGV SSGSSSVAIARKLMATLGGSPRPQIPPKPTFLTSSGEGSQQSASLSPPPPPPPPPPPP PAPTYPLPSSLSSPGESGLGSDDFPPPPPPPPPLPPLPPSAPSQYPSLPPPPYPTSQS QVTLPMPMPMPMPMPTPVPAPAPAPSSSGIKLQV cubi_02526 MDIFKNKIKGIQVTNLFNGPLDDIKQNIIDKVNTIGFEKNSIYE SKDYHLDDECQHVIDNYLLENGELIKEFLTGNDLSSGDCSQHKLIVSETSGFSSNNIL KQPISIDSIFEEAVFRKKQVDLKLYSQLNEMSQPFFSTLDQFEQIKANVAEIVSFLGG NSDSDGYCKDINACSEEFKSLNKILLYLHKRKRVCSIIDRLEKLDKLKFVQSDVQLLL NEKEYDKAIKMIDESVEILGTEMKGVKAVNTLSVQLYEMQSVIEKLVCQDFIHFILSW LSFGEIPTKAEISYSKLIQYDSQFEQLLQFFRNIESVRDTESFKISVFQKFQKLCLFL YNEDNTKFSSIFNINLVMNPIVALIKKERINLAIDDLEIHLNNFIDEKNQNLMDNLKR DLALLETNSNSKIICSNSKFNHQSLELILNYNILNIFYKLIFVFSIIIHSNRYLQENF ESQNSETANKTLKHIYLPIIQLLEQILIRIFSKLLISNDNENNEINPSKDTYKHSSQY YIVFLKYIIIVTKLQNHFNEQMTSTCNKSYVASNSKTINFFGKAAVDIRLSLYNYYKT VFENELMKNFWINLSVIIDNEKWEKKDLYLKYKQTFKLFITGELGKEESIGIQNYFVS YDKYELNLPECCLRCIEFTSNMFVFIQKVPIISYLGFTLVFKFILNYCKKCFENIMNG DSVNRKLIEKITAYNMFLCAQNTYFWVISLEKIVNYQFRILEKEYITDEKFVNDNINL LNDENNLDVLIGVKLPIDYLNNIKMELKRILDQLEELMELSLKKISDIIIDRFKNCIL NWIKNNIIEKDLYQEKNDLNKHKVDVNMNNFIRDFSNLEKTMRKYLKIDFLIWRIIER IETECLNTWKNFLLTENKKMLIGSKKLNYSNLLFDLFYFHVEITTKILYGEDEANLEK KKNLSISLLDTLFEHFQMNFSKFDEKKIFQIKETFSFIRNKLNT cubi_02527 MCKNIRNICIIAHVDHGKTTLADYLLASNNILSNKSAGTIRYLD SREDEQYRLITMKSSAVTLNFKYEEEIKLEAEDGNYLINLIDSPGHVDFIYEVISSLR ISDGALLLVDVAEGIGDQTRKVLQHAFKERLKIILVLNKMDRLILELGFDVKEAYTHI TKLIEQINVVVHQLIQEEIHELMLEDIEIDEQYQDEREKTLEFSFSNGNIVFTSCLHG WCLDITGGNMLKSISAKLDLPWNSKTRSNLQKAISSNFYYNSKTKKVSNTGFKKDQPT MMEQFILDPIWNIYQNIFINFNHEKIRKIIQVLGIYSTEIECHINEYLKIHDLNNAKN SNLLALICKNIMGNWLPLSKSIFERVINYIPDPNTSNQLRFPSIYTELISSKGDYQKY EDLNIIFISKFSACDLTNNRLTKDRLKGNEELNGFVGISRVFNGNIRIGDILYMSNYF NNKDKNNNKIKVISLFYLLGSDLIPVEQVQNGHIFALCIQELYSETDIQNNEVIIGRI SSLDRTLTLSNYPNFPAFNPLYKSNTNSSLSSIIKVSIEPKKIQDLPLMLRGLELLSR SDPCVEIDTLDTGEYILGCHGEVHLERCISDLQFVFAQIPLSISKPLIAIREGLVSHV NSNQIHQNFCPHIPFPPWSKSIISQNKEEEISVQNNEFHHQVEKEKEQDENIAKIPCE LASIKINAIPMNHNLIDYIEKNQSSILEIINPSNITNDSFKYIDKLDEINRILLSKHT LNPNNDSIELDDHQDLVLIGICVKKGSITLLTSNEKNLKALKWSLKYTYNYNYYPEVS SPIYKDIIDLYRKVINGIITGYEIASKSGPLCEEPIRGVNFILNELVLDNFDMKQLLE AENSENQDFNSYLNNIQKSISLISNQLTTTTKELCRRVFLQRGNVRIYEIYLNLVIYC EQSVLGKVYSVINKRRGNVYNEELKEGTSTFKIEAYIPIIESLGISQELRSKASGNIS FNLSFSHWELLDEDPFPESSMTMEEFEDEGFSKVNLLISDSNKYDYSIQLNFDRETSN INENNNSLIGKTSMGLNSSSNDDESGNNRNNSNCYGNNTNIARMIINSIRQRKGLPTQ HKIVMAAEKQRTLNKKK cubi_02528 MFKALNSFVGITDFVLGNCFSVIFMLSGKEEIDASFIELEKILQ ESFSNIKFGKILPSGLNEISAIKQFDVKELPSILLFTCESLKPYKLISGYNPSELHSS LEELTKIQNLNIPSKNEKFKILTNFKSLMVFMKGIKEEPYCKFSKGLVSLFDSINVKN YGHYNIFENEETRQGLKEYHNWPTFPQICINGEFIGGLDILNEMYSNGNLVNEIPKDA F cubi_02529 MLERVKRKQDLTSYLLKEKQLQNVNNIKKKCYNLNKLKYEIMNT LEADKDESPNQHKGNKNKLSRKKIQNIKKSHIDFYKDVLQHPDFNELDTIKSKLMDKF EGNCHGDDHIMDIVKTPKKPKSKINKSVKVTKSIKKR cubi_02530 MGKEKTHINLVVIGHVDSGKSTTTGHLIYKLGGIDKRTIEKFEK ESSEMGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYHYTVIDAPGHRDFIKNM ITGTSQADVALLVVPADRFEGAFSKEGQTREHALLAFTLGVRQMIVGINKMDTCEYKQ SRFDEIYNEVDGYLKKVGYNTEKIPFVAISGFVGDNMVERSDKMPWYKGKTLVEALDT MEPPKRPTDKPLRLPLQDVYKIGGVGTVPVGRVETGIIKPGMNVTFAPAGITTEVKSV EMHHEQLPEAVPGDNVGFNVKNVSIKDIKRGFVASDAKNDPAKGCEDFTAQVIILNHP GEIKNGYSPVVDCHTAHISCKFQAITAKMDKRSGKVLEENPKLIKSGDAALVVMQPLK PLCVEAFTDYPPLGRFAVRDMKQTVAVGVIKSVTKKETTSKKK cubi_02531 MRAKNILFIEKVLLLIAIFVLSQNECLGLNIYSALPDHIVEWLK RHILIEISNINTNITHDYLENHLENVQTCNSINGPFCKYLSRISHTSELDLDTKKYIY DHEGSIGYLNKDFFMGKSIYNLLLISEIFAQDTISAFDQEVKTASRAKSDDNITIQKH CKLTKKNLIYCLKLILKSYYKTLIRKFTEINYISRFMSTSKAFLQLFKNFKLFKMINN SKKRKISRIKYEKRAQIYTIIHDYDLPPLHSDFLDQEGNYLEKSKISEDYNEPKYISQ FHSKNMHFQTKSNLLFDETLNSFLKSRYVRFNLINSLTWILQQKSPYSDIWQNWRNER LGKHYIIIDHPESHRCNQFSVSPYNGVNICSDLLIGDFHSKFRVIRKSWNGVSSIMNF SSLKPNVRLLPSKFSNDEKLSFVWEGLWETYQDKVLNIKLLSRFGKLMLINEKFVISR LPISISSGFLELQTNRKTDEPETITISGYNYSKLMWKSTIPISNFISENSNKMKWLNA LDYSDNIPYSLINIIQFETDFRMDYIEEGIIVGPFEYNLLNPIFNYIPRNDIYENLNV EISQLAELSLVTYINNNEQKRNNTISSISMTTMYVSKASPLVSIYNIENNRLYLKDKI SENTLCVIKRNMAELTKDQ cubi_02532 MNKLFLFLFLFILLLKNVSPSSGLTSDQYIQSNYWNSYFPKLKS TKLADLTQLETDIFKCHSKLKDQKTMRISWQCITKIHNVLWIPNKKTLGDRKRFLISF TDTLGTLYAFPYSQKKLLNLCTSTSIDVFGQFNEKGVAILPKDFTFLTINECINY cubi_02533 MFKKERVSKLSYKEKKYTTEEIYNGITYGRIGWKSLGWNLWLGI SISCVILIWIAIYTSRLLLIAYRLKNDDNLINELMFEEQITTYGYNVGCLSLSILAFC RMENNPNLLNIPVRLGLSNQILKQRYILRNSLEKLNEINYPKSKIENKSIIEEMIEDT VTLLKDLVPLNFILEDQPSPISPAPPPYYVPNRTSITEEEIRNSTESDSTTFLAAKYL CNNTEYISELSDSLKFKALNISNPAKLFDLDYLNAYFKQNDIIRYRSTNKILTSHYVI VTLMAIITLIVFYFGSNDYFQKKKRLELMDICKKQINDIFNQILNVDEANRDLIRRKF RPVSEIFKNLKFVCDGIIMDYREEVQGDIIFSLESLSKVIGEFQHCVGLAEKFCEILD NEINLNKKTIYEPIKVNEIIENDISSNNITNNSHHFSDNVTNEIGLHSGIKEFNEILY SMLNVLSPKVDKTIFWDIIQVRDDSLIPVSMVNSIGEVSTNIRQVIEGNWNRISSFKE EKKEYSFLTIDISPRILSKNIQENKKIEKPNNNYEPYRYEINYFLEALNGITRSFHLL VAKRMASCVFGGILISHGNFGDLRGELQVNELKYTRNISGITLIIPIKKNPKNFSKSN SLVKSLSSIGNQDIKIYTCGLDFKVSKIIKSISKILKVPYLVIENWEIREKDDIIDYL LERPGYWLIDTSIIHLLGGKSKYITKLYSQNFYLDNNIDIILLTTFGKVEVISEYNND INLNQFYYSLKYFLSGKKFQIQQSSSKINFAQENKIPCDYKQIIKLLNRPISEINEDL FREVGQISQVTGKDSLLIENNFLKLVNIKELFYIQKSNKKENETLESIEDKNCDIRKP EILFKEWYNIDLLELSIEEIKEIASFLIYYRFREVIRDKDDYEEDVLSWPINQKKIKN FVSELIKYYHEENPFHNFYHVVSISITLNNWLDKPSVRHYVNSLEAYCLIISSLAHDL DHPGITNEMFGELKIVSRKILQEYVTEEEYFEYLQNNTLSTLNIYNGFSVLENHHSSL LFVILNNESSNIMPPSTSKLYPELRKMMINSIILTDMFHHKYLRGLLSSFNESYFSAQ SSMEIKEYRKNLYLSILLHSADISNPLMNTNIYLKWSRLVYEELENQKKLKLMLDIPL NNTTDNYNYIDLQTSFINTTCIPYFQALLKFDPELIQDCIQNLYFNSKYFTKIDQKRT KDSTLSTV cubi_02534 MSSENVKAESENVGKYILSPENRNRTLFLKKNTDEKVEVSDTTG GISPIIKVTPAKSVNKTQTTPKKGEPPSKNVNKADVPKNGVKKENSLGKKTIVDVTNS GLLGLSGKLREKKQAVPTKLLKGTINTDLKSFDTHKSTNSPSVLTGSSLVGLKNLQSS AKITNMVKKINAARELNPNVGDIDPLKFKFVKTDKNNLSNRPHLFGHEPATYTTNPLI IEDLIDELEEKAKNYFIMFSKERKEREKLERQCYWMQEENKTLQNTLKNQVTTIQGLQ NKIIDLRGNPNVITETIERIDMLYTQLDTLIQAFAGICSYITFNEPTDRREIIELVLE YLHPCRGLDLRLNSLYGSIYYFKLGIIKDLPPPIQPPEKIPGYDVWQPPPPKDVETKE SENKKLQPHMQQGNSLSKVADHYMKHDAGEPNVKTSAPEKGNKDENAIPKILTPVAST KQSVVPTNSSQLSGDINIPLSGFEIKIKSVEEIDPIGDGKGKLSFVARIDNESFIQCK NNPKRKSMEFEYKINPTNNKGSIENEINLEIDALPPKSTGLIPRFTIDMWESSITSGP IGHASKTFIDPYTLLPEQSWDVLKEDGDKPLATLIITVIPKPGNSMLPAGRFIVAKEL KNKQNQPGKKDSKLSRLSFRGDKESKGLDKINSMLRPALNIGKRSKSTGNLDKDEKLE KLKEGSDLTKAILRPLSLKESSSTPPAPVLTKAESQESSNVPKSPPISVKEPPKSSAP EPDVSSLKKSMDVNQPPKADLKSSLKAPPSPEKVEEVQPKNSEPTVKESVSKSEQAAA PKSDLNKSNSLNKSGELGKSQANLKSVALKKSVSGEISANKSVSASKADVKSSKSEVP NSDEVKVQSPEEVKVLSPIKIVPKIAPKPSITKEQPLTNNAPKAVQANNLTPGKPGQI APPPIIKKIDVKPAAIKPKIVPTTPTINIKKPGSPIQIKPKGIELGKPAVTITPKFTV TPPKSGSVKLGNTANQPKEDIKIVVTKGAVNISPKIVPKVKITPKAKI cubi_02535 MHLENPRIQERGEEDFENEIEFFLVKLYEINNEEEATSCKINSF KRMKLIKGSEFKKVNLERTRRLVTHLCNHGQYQFLYDTFKKNYFEVWTGNMQIIQQHE LLSTIQSKVQKISGSLELTEFIYNALFDQVDTRELAKNGILNDYLSMFTRFWCHHKRS TQIICNVYLTFDCMYKRYRAALSDLNKENEYLGFVQNANSFLKSIILCPHPTTRQPQI TEYLPISLVGTLLSLFVFLNEIRDFSHTCSISISEGLKKAVRGKYEKTFFMLRKTFEM FIGLEIINEDLKNLYLEILRRYYLKILEVKKSLGFKDFVNFVRESLEFEKSLLVTPNN KNLIFSNIESSESKLGTSLLSFINQDQKIPLNVFGDSSSSDISSFLQHREYDTSWDLF VFKVELNILEVLVSDEIIQYYSKINQGRSCFTMLVANEEFEILTFLFNVFKRKNKEQL FRKELERCIVEQGFDLVRQLTKYRFLDPSEMKDCRRTSFIFKTYLENLKGLLELYLKV ERIWRKSFIEDEKIRNLCINEAWVQILNYNDTLAKEIMRGFSLLIHHILVKSYYFHEG DNADLRSGGTQKKLSRVNLDIINWTLNPSSGNSLEEASTGYDIKTREYFESIIYLFKC SNFKEYFQKYYHQLLSQRIVYYFTNNRIGFGGDQREIFKYYYGWSKSPALDNNIEMLM NKVDIYEIYLMKLLYNECGYTFINKSNFVIKDWFACQSIFKFYLLESIGHKMVPSINN ARNCHSEPLSLKENINTRVNFGGFSQRKKKIMKSLNSPLCEEIHSWLKSEDLKIRFEA SSLDCVGLTENDEGDFVISSGIPLEIQKSQENEELGKEIVLRNIQYDVPFSLIVLSST NWPLRNFNNVTDGAKNSNILKEQNYLLNFLECELFSEQKENLDIQCISNEMQLYQQFY TKIYSRTLTWSYFLGTCILDYCMHANWRQRLKMILTLQQGVLLLCFNEKNSVGLSGDQ YLVLKDNIDVLFNASKLLIVQIGLEGEVIEGEIDLPPLLKLHEEYRGQDNVRIEYNVD FDIAIRTQIESGKFDQSSEYLLNYASGLNTMDFSSDFNLDYIYKEKDYLDENMIKNQK GGTEEEQYSFEYDNLNSNNYTCLFKNNKYRIEAIIMKFLKHKQKSPLLNIIQVVMKEL SLNKDDSGDSIQDNKIISGEILKILNSLIQRDLIEIDSENEQFYNYIP cubi_02536 MEQLEVVIKNNTRLTFFRYVHILDKGEWVYHPIEKIKSGMMTSF VCSSATYQSILGCNVVYSVIVNGLEYFFSYKYESRIIGDCEANVWTGMIEDDEKFVKF TGKAISSSSEITNGNPESIEGSNEIFYLDNTNGLQRSCKRLMTHINIRETEFGNKLLD KLDSRDFKENYSTQNEFEFNKRLNSNYYEWVKRLRTFPRSLYIRVVNLTDFDLTLYLP AANKSDNEKFRNRNLANSTISSAVTPSMHIESHSESLITRGPNSPYSIINLISGGQWI EFPPEMISANSVVEFGASCESLFSADFKGNIVYKISGYAGKILLGWDFPAGFTPSLSC KGFHDLHNLSVSSHYENFNDGNILFHIIDESKPPKIRLLSVKAIFSEKFLNVVSVNCN GDEKHNLSENILDQIFGKNNATLTVNNGKNQKMSTCTQNDDRNIGLEVYGSNELELYN IREEEQFEMYGNLSRDILNYFIEYNKPERVIPCKEGNIFLYHKIILNSPRVFFSSLLA SSNINMHPKYCGNVDSISLFIEWSIGCEIFRKVWAPDEKPILISSFAGGLNNSNSILN AIYPRICRRNKSKERTYFYDYREEFGEKEAILKKPSLCRTNCFFLEDNELDVNKQDHS HFEIALIGQQLLAQILAPYLVKCLKSSTLSKGGTSSNISNSSVLSNTSTVFQGLVDND HIWKYSKVRNIRGTNNLEEGDKQGILDFQGTISFLIFHWDDLFYELFEKKLSKLSSYF QHQLNPDTLLSIIQKVSLLWENKEFELFDDPNFLIEFIDAATVICRIIENDENSHALN CFIKLRNSIRI cubi_02537 MAGQSAKRIAKEAAKYTSIYLYIMISCILIHFISKGLYNPSKLL GRTGFGFAVISTIYLFTYSSIKSRLEVGVGYSMYQDVYILNSLVAILSIISSYFWYIF LLIPIYIIYKIGKIIINWVFTPEPGIYPACSKTIPVNHKHPLYIS cubi_02538 MRLVRAVKHIGQNAEKFRFDLKVENLHVFLHEECEITIDWIRGP KRISGKETVSCVNGSIIHPFYQPMVLIATLFQKSSQKPGKTQSNSETFLPKDSRLVVY GRFKGNPLVTLIGEVPLELSSFANSYRLAGYEVSEPVRVEIPLIKCSDPNSFIEIYVT CSSLGQVSEGFDDTASMMSGFTSVYSEISEIPVQNLSELKNNFANTSDFSSNKNRVNQ KGLIINDFDKKDTKLSCESTLGIPMFSIKEDIEVSNETIRETEELTETATIVDVEVNN EKSEAQIHNITHNENTLDTVTSGLNPINNHNHHHNEINQKTAPSYYPNPIIKLPNQSV ENFKTFDSASEQGVTKASSPHSSTSHEILTQRLYMEQEEYRRKIHEYNMEISSLKQQL QIYSEQEKNWKQREADLMNEIMLLRNTEDLSDKSDDKSNTEANKGAKVVGLDDYCLLQ QEVSELTGIRDELRRLRESDRFKYEKYISQLENQIQDITKQFEIYKRNGQIQDEVEEE IFSIPKHPSSFATANSHASEYTIAGKAIKLSVSMNDDNETGNHTSSKTLDHNQHLGED SSSHNLSYGFEEIEKELISTKLALAQTETNYQIEVNNLRSRIEKQRKQLLSYSSYVGN LEVINADLKYGNKKHKNFKSSDGDFDENIAENQNNQTFVTSSQDSSKSKSGKNINTIN LYWKTLKQRIR cubi_02539 MNHFIDEYFISPDHYCKEKKFSSVRFQSEKSGLIQCYHYFKVEP NQTRKGTALLIHGYSSHTLSEYMNIVENKDFELNEIKDSQSENKKNDPFYKSQFITSY NGSYIEYFNKKGYDVISLDLEGHGFSQGLKCNTENLNNNCFNIIQMLKIELLKHSGDQ KNDLEKYQVSSYIWNNENQTNYDLKHDAKGKNDKENEFFLYSSKIHKVNLKDTTNYLK AKKCIHCSELECYFKDTFGEKIILCGISMGGAIALRLSELIGQTCEDDQNGFPDKSIV KCLRSRMVCTVLLSPMLSLESVKKKALNRIMLPLLPITSYLFPNLQVGSRTHNPVCGH ISSFSKYDPLYYNKRVKALMCKSLLNLTDIIRDNLHYYPMDVPLLICHCVHDTMTDFE GSEKTIGYFNQIIKDINHCESNEINTLAEFALWPITCKKMWHVLTRESGFQDLLEKIL IWISKKESSHEKKCKSMKRYDKNSNIEPFFRSLKNKSLFKHNLNNNDIQVSN cubi_02540 MEYLIRSEILKKEENNTVRSFLKNLMEMLEAAFQESMMNIDGLV RQQMKNLERYGRFIVDKKPLGEVSIHDARKIVHIRHPNYKLDNSIIDKATKLRGILKS NEEDLLEIVKSHNQNYGIYTDYNTNNFSNNQTLVNKDETNLALDLSFEEKQKYEEEYL LSNEIQDYYNYGNNEYNLLFYEFKKEYDAEILEDFQTQIVDLEADISSDPHLIYRVES NLNSNSDSGSLIRGVSNSHMGFNSSFSSESNSDYKHKSNSDLLSEFISKHSTPSAQAI SHSCSPVFTPKNFQASKDSSNRLVNRSISRSKPDQEKDTITIMKSGKLPEVLESTGYC NTSSFFTVKSLE cubi_02541 MEEQERNGPVINRDQSVSGSYAAISESVISQNQNRPLQSFGPIP EGNNIVQNIEEVQASAHVAIAEDKAPLLPINHSFSKQTEGISAVSKLNSAVSMNNGSN ATSSNFNPINFVSPIKNVSTELNGNVNNGAGNASGGTLNLDLYKATQQIQAGNQVKPN TTVLVNNSGPSSVPFHSNAEKIHQQQMILLNALQQQHIKQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQFLQQQAQNPSQLHAQLHAQQIFNTMVPQH AQINPMIFQNPMLMNALQSGGGNPIGIAPQQSVGQPHPPHPMGLQPFPMHSLLLPTLL QAQIQAQMRQPTNQSVPSNNTVENSSLITGLSQGIGKPMANFQEEVKCVVNENNASEG DESITKDKCEVSTANNLVSSTSTKEDTKGDDNHSESVVLSQTLNDVNNTTENPQTNHT EEESKESAKMPSQEKVQLPELKPEADKIDSSASIKPIKQLDSNSVKTSPSLVPALGGN QITTPLLNQSQQQVQQQIQQQTQQAAVVQGGILPGLGIPITQSGGIANVPGGQGGVVN PFLMMQRMPATNPMFLAFNPQLAAAAAAAAAASTGSGGQIQVPGFPPNMPPIIPTQGG AQHLPQIMGFMGSGGGGGVEGYNPFFPGLNIGGEYIGALLPGALAANGMNIGGVNNAN SGIGGNATTGTGLNGIIPGIIGPGSGGGCLLENDLERLHSHAESLVKTLLDTSVPKSG IRLRLEWDRRRYCFKFTVYQIEEVRDSLGYQKKRLIPIDQDELFVNVNASKQLSIDNV EKAMWSAFVSVRHTLAKYCDRQAGQRRQSGSGIASATSGRNLGPNTLIKMDESGIISG MGGKSKSYKKDELLHGSGLLDSKKYIPGSIGGELISDLSSIGTAAAAAGLGGRTYKSD PYGSNIGTIGQTTGTSLAGNNASIGLKGRRSYGSGGGNTTTTTTRSGRFVSLKYGYDD ILMSDDDTILGGDQEYVGSRKGRGKSSKYGSGAVVGGSSSGEDENAIVSGSVSSNNRY AKTYLTSNRGNRRGNNGAYSSGSAVNTGGMSTYRTRGSGLSVSDNYDSLVGGITISTN VPIFVLQKDDPNKNSYSLVGLYAEWVDKNTIWDVRKGPFKTVFVSENVKDLNYSSDKR ETLKFTTNNYLKWDPSHLVTDLRGIHIPLSLAGKYFGLLRNERTKGDKDGNNKVTEII ETTSNTTTTNTNNNNNNNNNNSNVGIKKEESSLDSSTGVGNKSVPITIKGNHVPNANQ IRFISYEDNSKNKQLSNNLSFGSESKNGEKLQNKNQEEPESKLLENKLLELEAVDSVL VLTIQTTAALEQRKKRENKNSCISVPTGSFLIRAFYSDNSANNNGNHSDSTNEDENSL VNGGHSGNGIEHTNHHLININNEEIEEYKYLVLRFMHQKNGNDYYHLMENYNNNNHVK ADEMISETQSLEVKDSGNITETINDSLKNTNSQNTAVTGRIPSRGLKRRCSMRNQTDI SMNGNGVEANSTILENNSGDADENSSMPVTGTNINSNSGSNSSLTVLGEGGNGTTSNN NNGVSSLYGGPVDDTVSSGGAIAVSAMLEGLITTVRGSSGDKYRQQQLMQAYPQNRFV AKKKCKETNEMLAKYVNDLASEYCHRNYGSSNLADGYINAFNSHVLKNFNK cubi_02542 MSEKYWSRLLLDIATNVRSMHDGVSRMPFNYRENQKYPEYLDDI GALCACIRVFQDTLSPISQHLALHPYEKIYKDPISMPDLLTTSISDQMKEEIKASEAI IEELKDIDDSDQISFKYMDRSQICNRINEFNNSIINISQTISDLDLLQFNNMNDNTQT QMSKFS cubi_02543 MAQLTITYKNDDRLEESGVFLSELNKIKKEGNRFERFSSISDLA ALIKQESFKTICIYFSSGAILGEVLTFEFLKDFYGILDFGSVFKVNILSVDFPDKIKV FERNLLFSGFIKVNKIENAVSNSPEGGFEMIVKAEKPSWKLEEGKVLVDDIDLERSVP DIKNYVQLGQGKESCKSKERACKNCNCGRADLEKEIGVEAARKVYQEKVETGTARSSC GNCYLGDAFRCSGCPYKGMPAFKPGEKVSLANAEGDANDRTVDMNMIQEEQVNLITTT SVNAASESSQIQNVGGVLKLNI cubi_02544 MSSNVFRSFGQNSMRRTTGFRENSENGDLNGQDVSMTSFSIGNN KTQSPTHFATSSLLMRNGNSSISNANNAWAYSSSRGGNSQRGVSTVGPSSMTGNMNSA AASSSKGRSISDSRREGLKTILTFLSKRGYPNQINPKTLNSPTRALYLEVLQFIISQY DSRIKISKPDDEIPRFFKDIGYPITINKTTIIAPGAPNTWPQHIAAMTWLCELLDYEQ AIFPHLNYSKDGEFGGYMADTSLTSTLSNIFQHANSNISNGFTNFEGNNGNLDSSKLL SQTLNRRLNESYKLFLNGQNDGGLLNETLEAYCRERKNQAQQALEKKKHELEQMNMEI QKINHELQEGELLLQRNHTLSQDLLKMEHAVGQCQNSIRQMENSCNDAENILNIKKKE LRDIEDEIEKLQKRIANQGIQRDDVSRVYQDMKVKRQTIRNLRTERENTEKENWEIEL SIEEHSTNLFNLSRVWNSIYQEIINITSNEIRTNEVCSFNLLQPLSLNVSYSGSHDKS EGVNGIIGQNWSNMKHSCKRCIVEVEMWKRKLKTQEVQFSEIMKSTKDTIIQKKQACD ALEKKIKNLDGDLTVCDKREMRQLEQIQEKVRSYKAEFDQNRDEALKRLEKAKSRIIQ LEQQSNLQESHSRNEIIQCKQVIQSDAEAIFKLKEFIVQSLSNSLNSISHLKNQTKLK NNSKLIEVEKWKK cubi_02545 MKKSGVPGKAPLSPLKGKKQLPTTKPPGGKLPAKTLPSTAPPSA PPPPTTSSPPPPPPPPPPSAPPPPKTLPPPKNPPPPKTPPPPKTLPPPKTPPPPKTPP PPPTSTSPPPPPHPPSNNLPKSPPTSSSPPAPTPSKGPPSPLKLAPKTTTETKTTAKI KAPLSSPAPSKNVAAKPTPGKTVVKSISKDSKTSNAPPKSTEVPNNGIQIKKESKLLN NNLSKDGHYPEISNRNTFVESTLPFDVGPIKRLLFQDKSSEKTNTKRRDSKNARISQR EDDMFNLHVSVLDPALTEAAEHSLAALSQTFKEEFASRHFTLTTSEKNNLLFGQTTKA RPQIVNEKFEIMTDSLLLLQRLEESRGDGEIHEIQRIIQRLRASALEKFMKAQYSDAM LNALHCYEISRAFLSKYLGHPLEGIMVVELIIIAKCCGLTGELRKGEQYLKELRFLVE NTILAVADRKSENKNTKNDSSHIKSISSISGSNSGSGNSAQNTTAIGPSISCDPSVLC SLVLTVSDLCSMYRDHATSSFYLDKYLSLVNEVYGPTDLVMSDAYSTAASYYFRTKNF EKCKEMLHNCLEIRKQVLGDHNKNPPHPRVADCYSNLGLVYRILGDCRQGVQHIMVAL DMLMRIYNNKEFPLVQDNILALGCIFHQAGNFRYALELYNEVYRYRRENLGFDHPDTK YVLELITLLDADTQVYLTENVNSCTESYISQELQNKCIDTRESLESRAERSLKEIIID ALGKSSKLERIMYEESALLASPICPSIDQLRYRQLEMFLFDPRNTKGLNKNTPFSNNQ TQQLLCTSRIRALHGESFQSMAVSSTAILPHLKVRITGPDHLGVSTMLSLPPFCKTER RRLPIINIPKLTRGFPVMGDQSVVMTINEEAQGILEKWEIPEPCITPEGKLISQIEKD EKTGLLLYLPLVDYTGKEEMKAYFKETIWIPNALIPLVRQRIKDKEEAKQVKVTQNHV IGIEQQLPQNDILGKIRGAKPDVEESNSGRLPCISFLDGEGNVITKLPYVIDLEPFVC ASTRIALSTSAKWGLPSPDSQNVIELLKGSGIGALISSMIQYKPSDGGIYSSIVGASM KSGKALSGLLDGIGQMDNIIGKSTAPQSKESAPEEPKAPSTESKAPPKAPPAKGKGPP TKGKGPPGGKGPPGGKGPPSGKGPPKGKGGKIGGKSGGGKFSGPESKTKKLHWDKVEN IQGTIWDIKEPIKLDFGNLEEVFGIESAKPKKAAEASKKPKVMQILPDSKRAYNMSIA LSKFSAYTFQQLRDAILDLDKKILDTEATEALILMIPTPEEFAIVKEYIDAGGDLTQL DKPEQFIAAMIGIPMFGARLNAQLFILSFENSFNDLMTPLQELITVCNEIKSNSKLKK VFSIILSVGNILNLNTEKGDAKGFRMSSLPKLCEVRSTTKPIKTLLQYITEIIWRDKP ELLSLAEPFALVEKATKCDLGVIEGEINSLNGSLNKVTETMKSAQKDNERAGPMGEKD PIAKILADFISDVEPRIEDLVVTMKSVKENLEKMALYLGEPQNTIQRIVWPDYFRILW NFISSVDLVRKTKLEEERRLKQKQENLAKREKSTSTVKLKLSEKKSLSQPKRGNAESR PTLQKQKLTVTMAPKANAVKTSKLDEMASWFANEGEETQTPSFLQEAFNQPNDTNVVL TDLDIDVDLW cubi_02546 MPPFKIGGGPTIQTSKVGEIVRRMGLAPSESEIERFAASIGQTC DLQSFIKFCDSIVHPEDTFDNLVQFFRSYDSNVGRKILIQF cubi_02547 MISLLNGTGCAPCTSGCKVVMDDYKNTGRIEYGVFVGYYSESDE SDYEDSELGTLNKKASKTQESLNAEEMISIGDELDEGEADIIKKMFEEASSQGKCTLE ETRHLAHRMGLAPSNTDLERLKEETGDKVTYEDFERWIVSITHPEDHIDYMVSYFKNY DLNKTGKISRKQFVWLTSIGGDMLTREEAEAILDKLSIGGDVYYEDLLKKIMEIEASD KPMVNIGNKKSAPTKMPTSKVLVSQVSTTIRDLADSEAFLPTIDLLMALKATYGNELT DIAAKEATECCENREEAAKCLLKFYSEWAQNKGIVSRKTVRDLLMVWKAKLDQVSAEA WITSLCGTNEKIDIQDVLEKVDSKSSELN cubi_02548 MNGGFGGRNHNISDNNLNYSLPNNSIQPQYISGSSNRIDGIQEA SNLPNLRLNFMGNEGSDHIEHQLRTNNGVLNGSHSINQKVNQGSFGQNIYDMKRSIPG QMGAKSLASLRVGVNQPQGINHNQQAGIQYGDIQPDGNPSTSIGAIQESNMPSYNMEM GYRGSSSSGNIGSQSIQNHLDSSANTQYMDIHGISVQNSYLNSQSQPRSVSQTRSLSH SRTQSQPRPMVQHQQQHRYQQQQKQKQQVQHQNQHLSSQEYFHSHQQYIQGFPQSHSQ SQTQIQNQTQTQTQTQTQMHSQSQMHSQTQILSQTQSRPFTQSQTLKNTQTQYYSHSQ PNNTQQSFSNPMTHPLVHPYSQTNPGIQSISQKSSAELSKTQRHSSSSSNLHSNLGTV QASHIQQNFQNFSSSNISQRQVDNGLKNANRGIINGPHVLYNKAEETGTTGGVTQYRS KPYSQQNHKYASQQQVNSSSSVIHPNYVQSYSQGSSSNINGVGEIESKSISQHTSNIG YKTRSIDLGVCSFQVNVPNNISDDLRNSIIRLVPKEQLNSVFFLVSSLISKSIQFRDF HARLTAILRSSQLVEILENMLREYFQQNASSSLFKQSGVNQKVLPDSRSQISHPNISQ ASGGRSDYFTISQSQYSSGINSNSQIYDGTLFGSMISHSHSKKSISRHGGHYNMHAKE DILLQMLKHARSKSATGLIGIDITGPSSYLTKLISNQNIQNKCMVLEEESISPETLNI WSEKLNSYGRYLLSCDGSLNLKFENSNKVSGTSRLGRVVKFGTQPDLEKSVFSTQAVK TVYKLASFYIRDILKFILDEENKSNKELNDQDFEEEGIDEEEGQQKQREKKNLPVNGN FAENGKIIEHNQIDNLKDISFNDKDSNTKSSNSCNFGMGKSIGGKGLGMKLTLSGNVS SVKPVMITSLWSCIYKSLRYNMNRYNACEPNAFHNIIIQNRSGHSRDQCSNYILIPES MYRCVESWLLSNAYNQWDKLTIL cubi_02549 MPFSQNMMYCQAIINGPKDTFWESGTFNLIMQFSEEYPTKPPDV RFLSKMFHPNIYPDGRICLDILQNQWSALFDIASVLTSIQSLLSDPNPNSPANSLASE MYVKERNKYNSKVLECVEESWSTPYYSLPK cubi_02550 MARRPARCYRYCRGKPYPKSRFCRGVPDPKIRIYDVGRKKAECD AFPAVIHLISDEYEQISSEALEAARISANKYMIKYCGKDNFHLRIRVHPFHVLRINKM LSCAGADRLQTGMRGAYGKPTGTAARVNIGQVLMSIRCKEDKTQTAVMALRRAKYKFP GRQKVVVSDKWGFTRFTKEEYLKYQAEGRIIPDGVNCKYISCRGSLSRIFPEAANINI PLDD cubi_02551 MQPLKDPLNDRVVKESDPPPPLPITEELLFPNGIEGNPDWRVLK SHLLREGRVRKDHLLEIVRRTSEITINESNLLRLRDPITVVGDIHGQYYDLVKLLDVG GDPENTQYLFLGDYVDRGSFSIEVLLLLYSLKLNYPDTVYLLRGNHECRQMTTFFNFR DECEYKYDSTVHGCFMESFDTLPIAAIINGKFFCVHGGLSPELRSVDQILMINRFQEP PRSGLYCDILWADPFDEKDETETPEEPFVANDVRGCSYFFATQASNKFLDQNGLLSIV RAHEAQLEGFKMHSPNVKTRFPSVITIFSAPNYCDVYNNKGAILKFENNTLNIQQFNF SAHPYHLPNFLDVFSWSLPFVSEKVTEMLYSIIQPVATSSSEPVELEEEDLPDDVRRL MDAFCINEDGRLPEEAASLGPSIIRSAQPASSVISKERADTLRKKVQSVARIMRVFST LREQNELIVKLKGVTPGHRIPMGLLLGGRDALENELEKFNKVKTMDAYNERRPY cubi_02552 MKNLKPRQNTIEENGVNVKVIVRCRPLTEQEKKDPSNSNVLQVK PDSKEIVVSHHSLSRKFDSYSTKLFTFDGVCGSFTSQRELFKQYVVPIVDEVLLGFNC TIFAYGQTGTGKTYTMEGDMKEYLESNNMELTEHAGIIPRAVQLIFERLESQHTEYGV RVSYLEIYNEELSDLLSDEKLSLRIYDDIAGKRGLNVDRLEEIPVNKAQDILNILSTA VRKRRTAETLLNKSSSRSHCIFTITIHTKETNIDGEDVLKVGKLNLVDLAGSENIQRS GANAVKDRAKEAGMINQSLLTLGRVINALVEHSSYVPYRDSKLTRLLQDSLGGRTKTC IIATITASSIYLEETLNTLDYAHRAKNIKNMPVVNQKMTKKVMIREMNCEIEKLKQEL QCNREKNGVYLPLSQFNEMENKLQSQANEIVEMESELQNQHALYREMESTVSHLTDQL NEKSLRVKAGDFANIHVSKHAKLYREKYQDLYMQMNQSLENIGKLHRKIIGSELFQSC QNTQLFKLQQQLVSDIQLTEKRTRECLEILHNDLKQDLLVHWLNKSQLSHTEIIGMIE TGKKLCGNVTSMLSDSLEQSLIEELRVGMNNAKDNLKKSLKTQEEMATRIKDEIRKSL VDCSTNTEKISMDISNQLERLKLSNERCQSLVQDYKEHSKGCEVQLDTVFSQYLVNSV DNLAKSHQIQLDNRLSVQLSSLDEKSKEMKNQFCKLVDSFVEETSKALVDEKDQLFKQ MDDLKEKIISELENGYLANKNLLRETNCRIEQLELAQLELVKDQSECLEKRRNELGEL VHSISQLGEKRLIGGFTDFANVRDEQFGLISSKFTEISELSASSVQSCVNNVSTVVSM QNNSLNELSSCIDDYFGYNFKSFQENIQVKISEITQLLGQITDFSCPSYEKSEDNEKR ETSSSSVEELPKILDKVFQELIEIENVVTKTNPISGQTPSRRQSYKIPVLKERCLSPM KYVEHYIETLPRPNIDFNQTHKMSDPFYNENGREDMMNSRLNFEQEDLHHQSSFDWKS VQWDDIKSLNISQFIQETNTASSDLFLREREQESSTNDENWNGYSENTLNVTHHASII TSKLEQKQSSLDSLKSLSFYQTSPNDENRISAQENTVKQESLLETNNNPIGPSCYTNA TASSSSTGIPRPGRPKKVAATRK cubi_02553 MSSFVSTSSSRFLTEESELEQAIKECKELLQDSPHLLYEHSYKK WNRMIAKGLDYFIKEHPKTLRRRLARGVPQDFRWKIWNSLLEISSLDEESQINDNILK SLRNSKFFLEMFIVHENQCLSSQVQTANLMYYNYYDYAAKFLNKYSPLISIDVPRTFP ELNIFKDQASQECLFRVLNATANHIPDVGYCQGMNFIAALLLIISNFDQERSFYSLIL ILETYGLSGFYKDQFPLLTKYIQAFDTMFQINIPKLWKHFQDEGIFDPVYLHPWFLTL FVSTLPLKTVVIIWDYLLANGLQSLISIAIALLKTLESSLIGQSMENIIQFFKSLRIS VGINDVTCARMLLSKAKNIHISEEILATFKV cubi_02554 MNPFSFYISYLILFLLQSSFGSSIKSASQGHTRSHCSYGNQANT STSGTTKGVTSPNCSHFSGSKSQPSNSDFRYISPIQTSKPVVNTPYYNYFLNSVNGKS FNQSLINNKHNSERDPKKPNTKTSYYQYYLNSINGKPGNQPYTSKYFGYRPSPYFSQQ SSFKTTDRKPLSPTRHTSSKFIILKLPSDTSNKMNDKPRKEPFIRPNNHPKKGTSDDQ KPSKPSGIPTTDLSIFDKYPLDNYEKITIHSKEIHEFRRVNLCCIFLQDIFDLASKTI SNMSKSWTGNSELLENMLIQATTAFSTIYSSLYTCKSKLLRFDPNNKFALNITPGPII DEKKVLNCEKDLVVQDKKQFKSALSSIRKINQEIKNNSNFITKVTKINYMDQQCKEFV LNSCRNALIEILKIESKILSNELEKTQLAVDIYTVNELLDESTRLDFDKYVLKKPMEV NEDEDEDDDDEEDDKNVA cubi_02555 MLNWIDFQKKAAEKLEGVETESGNCVIDNESRSNSMSYNDGIIS KISNSIIGNKSENNINNCGDEEYEKLRSNFLKVSDEMSYNIIRLINSELVEGDDKIEK LESKDDRDKVFKRVINYRKDFNNIFKGEKKEVNLRVQLDDIKSIFLNLVDKQEKELNL WLNCGSNQKIELNERIECIYREIFTLSEIFCDSLLLNITCILGYISMEEHSLWVSIIS LLIVFEIRACQHAILLKENELISGIVEENFVILEWLNRNCDEFFSLLLKQYSFIPITY KIGEVLRDISTILNLAQGPGIVDKQNNKTFPISESFKNKSNEITQNLIYKQEIFINLI NLSGNQCFDISSDSISTLRCYLFVSPKMTNEYILKNQQIFFSNIFRILIQSNEYVPKR HGLRLLNQLLSLKELSKVMTVFSSSCEYLKIFMNLITSHLNTISFEAFHIFKLFVANP NKSLGIQKVLFKNKEKIVEFLINFQTSRTDPQFISDKQVSPLYLICI cubi_02556 MSTYSVFELGKLDEKDFSEIAWLNNALRRRNVTENGRQLEEELL AISQSCMYAITDYNEQIESNMNEIGSLMSNFISKNEENNRICKELNSKISEFSNIVNL NEEKYISNINIENILSLFEKKEKLETVSQILTNNSKFESLLCEIESVLQDKDTFIMLI KGQGQDFHDIINKVCSLRVLVSSLESIPEFSARIERYKSLENSILNILDDFFKNKFIN ENIEENNIHELILVYSKFGHDSRLYKKMMDIINEQIEQVWKLLWSLTTFGSQNRGLEV EQFKKSSKKPYNNSEKHSSIIFLPAIMDLESAHISQDIFRIREDEAIIRFFSWFSELI FSKNHIITPILTTSNSNITISDTIQSIIKKLLCICIQDVKEFIEIIVNSITSSKFIFP ENNSESFDMESDSIFEMDHDTISTIDVKEIRTICERIYKSIISGLELVIIPDSNKQEA SNFVSSHLNQDHFTQISDMILIQGNFVQSLLLCDVAWIFQSIKNISSNLKSLIINQSP VSEYSLEIESKSSILADRIGTLLSNERFGDHTQLILIPLENYIIFFISIIDYSLRFFL ENDLIYLLNPIQSSVRAQTLNLIKSIEKKQKDSNLLSSVNLLDKELLSSCFAFHFSLM KTRNTFYDLLQTLIIRCRSIYCSSAKNDDYESKSFLSQIFYDKICFNQYSIFQRIQET LSDSLSSEIKAILKNQELSLDQLDLLDQFGSQQLISQILSDSIDIISQCCSYPTLAFF EGYNRLDCWSKEDINIIKLIHNLKDSNLQSFEEAIISIIENSGIQPCSSIVSIGEYLL NIAVTLESTCNNNPDIQSQIESENLIPELVKKVSYIVEDTYRRQIFEIEYLSMQGCLQ LYVDSKYILNIFEILLLYHKSPESDDSQKKLQVENGNQYHEEYNIEVSGGNDIVPLNS ALLMIISNTCLESSSNKSVVNWYNSLKQKINNTEQKLLKNK cubi_02557 MSGIDYSKWDKIEISSDEESGKLGSKCNVTRFDSPQSITIGGQR ESKEDSLVSSNILVDDLKTKSVLVSREKVISLDDYVFGGKSACGKDYYWTQTENGLTI ILEIDPGTQNKDLKVNVTEDKVTVTHGSETILFEEFEYGVKDDDNTIFWSVKEVESLN GAQNKRSRMLVLELEKKELDTSIRLWWKKIFKGGIEADISKFSRFSSPKSEERNRKFL EAWEEAHNEFRNKIKNRQKLSI cubi_02558 MRFAQLVVGPAGSGKSTYCSIIQKHCEVTGRTCHVVNLDPAAEH FNYSCQLDIRDLISLNDVMEEIHLGPNGGQVFAMEYFIENLDWLEDQLNKNFGDNDYV LFDCPGQIELFTHLPVMKILVTALQSWDFRICGVYCLDVGFLTDASKFVAGSVSALST MIQLEVPHVNVITKCDIVQDENLVSSFLQKDSLTLINDLEKVTPNHIMPLNVALANLL EDYSIVSYVPLKPDDEDSVSNVLLSIDMNLQFHEEQDPTMNFDINNEDY cubi_02559 MRKLCFGLILFLAIVSLSNCTQVDKTINFKGDLVSKEPIISVKS EPQDFENNLEICKLCGCDSIKETTIEESFKSYTCSNCNNTKLQEGDCIFQGATNEDND NKIELISGLETSTYENYKTLFFGKSDSLISRKNRNHLLARIFEPEFIWERFSHGGIKD NCKVYRDKEHGSGHFKLRYTYSLHGDQNCTRLLLHFVNKHSGSTLHKNLRPFIEVDGN DHSNSMMVFRHDDVRVGVDSFEKIHLHQEFNITSYDLPPKFVFSNEQQEWVPAGIQNP QAIINPKIIHDRLLLIFQLVNTQTSSTPICKLENIKVKVVIQCRSIAFPPNPKYSREF VENRLKKIHSKHNDKNFKLFLEKLEEKLVHVLSSIPNALLSSNNNELSPNTLLSTLSI PCKEALYFGDVPILMSIFNFTSKLSEHAKSSSYIMSTHIIEDNEENKLLNEIENTLNT N cubi_02560 MVRNGDQSHLDISADRSDKRDLKHKDEEIQARKVVEESSLEYYE RSSRSASHFGKVYNGLYPVGPRLPNFSSSNKRSRLQSTYNEDEFSSSSATSSVINSDD KMYIDFPIRNFWKIAKTPIDMESPQIGYCRGFYYRLLVHPRGGSCNDSESSYLSVFLE ALYHESYPDDWIFPNVRFQLSVVNFLDPKANITSWAHWTFSHDAMSRGWHKMVSHVRL TKAAGFVDDEGTVLIRGRAEPPFPRIWSRSPKCRPWSIWGTLPYRNASALAQYKPCIP GARQDQNQGSSDQHTVTTNLCAFHELAENSNRGLLSCNPESITPETCSLPQHQLESSL LVGSTPLSIMDRLLWSSSNLFVPTLKSQLNVDFVPAFVHLLYHIKEFRRAIFSWNPQI HKKCTSIQNPDSLEKSSGRSKRPDSPYPAANLIDSQQPQTSIIEALQKTFAYMTLWPI AYSVKRSIRHSWQERIATDPSLVDDWCFSKCCKCGGEFESYPVGHNMTDTSLPTSGND RQNTPDKNTPTQTHISEEKECFRCKLPPVPDCSWIMKALYMNDLQRIDVTDPLMRFHC FIFGAIYLESAHAILQIAAHHRKTLPQNFKSSEKFASLGLSETKEEEFSRYEVSGNEL EDYNELEEKSLKEPIVKDQNQNRDVNIPISSIFDLEEDLRVPTEFDNTCRVLFSSVAE DGGDCFSDYSTCCLRAKHIHSIPKAIEHYAHRFKRFPETLFIYFTPPKNAKKGELFDV PFRLEASFLLENSSVNINTGIGVVSQDFKNTKPASNGHNYTQQEDMQPQISDQFQDED GIEENASDLKSKVRSSKGTGAADLVQNEKGIVLDNSSALCIESNDEYSLEYVSEDGFD ENQDASIQSDSQDDTSGRKSDTKSPDSENFFGSSSQYMEKWYSLYGVVIREGDASGGS STRSVHQLLIRPEEDGPWFRICDGLVERLVPKIEFTEWKCHGGFFCAAAVYIAEDYID SIAAGDVMADCDIKTINPKLYQETLDLLEVSEEELQYIPSWVSTQVNTYGPNNVHLEI PSSDVDHLAMCVDIANVTVCDSTTCVFGHPLMDAGLSIDPYSLWMLVDYRWGWPLVNS FFQLQEPLIQAFSADPSLILSGRTILIPSANQLLNSLSFGSLRDSIPKFWNMLLDIML GPDMDSNDLNSILQVVPHLRSLSANIFLEIYRLLVEFGISEESQDLLFSQSELYSAME LDINSNSNSNSNSNSNSNSNSFQNVNDYSDQEKHDKGCLKEYLKNNISIGDKLVPYNR IKRIIYPSIPSNPSSFQQTQDQITPSYNIALTFINRLHIQLWPHLIHFIYELLPVAIT IRLKRLLFKSSDDLLFDPRLTPEDLCARFLTESKFLLESSCCPLNLIRFCDNKQLLNA AINQVAGALDIHGSPTTTVSCSLSCPTNRMVDRFASELGSSNFVVPILVYITQILLSG ALYKVSSKLLPPGAIAAWPTNSSNQESSSSTGLGGPFAPKDATPLKNITGSKKKNSSN KSASGTTSSNGLIVPNPLAEAIQHLQVNQVSFSHIDPYVFVPLHTFHAVSTPLLINII FEQDLIGRKSFASESFLCASRVLHVNRLATVRHLYSAMRKVLYQQFLDTKISNNLDTP DITPLDTPQSKNNSDHNIGARHQSSSQIQSNKKNTTVHIPVPLECEGSDSSWMPNNPL DVFCLYSLRPDTDPTRRGRLKYTYMQPNDFLENHYYGPHKSNYVTYCDVAILICVPPE LKLQIPVGSQNSEHTAKTPSQTNTGGDLDSDCGCLYTCPSHIAHSPICQSSRMIEKTI PKIVSPLFKAKLIDPLWSSTKFPMNDDSVAPLLIFKWFDQSTLDITLLSASICEARKS LRDCIVSWVFPRARKMGLMPQNSSKETPNADDYTVLEECHIRVCQNVRRWTSSIKKIN RTTGDVFIVQRRSNNLGSSQPLISDCPFISPFISAALSSNSYSSIVDDFPPSLSKDEL LAIITSMNTKRINQLGIFNTPIKGVSGNNSPSILELKKNGLDRTWPISPSPLGDSKLA FSPFFDVLKHGDDSGDFLTSQIEDLDIQSYQNNSCKVSMEEISVMRSGTDQVNSLNQS EKGTGSITGMNNETNSTDLKSTTGVSALNTNSAQNDSLVISNNTIITGAGGGSKKKKK ASKKLPGIPSLQKSSIVKALNAQRRLESQSTKLMENDTADLSEVRGRDQDLDQDQDQQ SYHDHEEESKQDKVILKHKQDDKDREIEKSGNNMRITQEGVPNKDMNSLESLDKVEMP IKPDSSSLSTSVRPEKLQKVPDELFEIALASLSGESFSIFSLISRLLERVIQKFSEIS NRDSSSPSGAFSEHSNKSEFLIWMNGLNDLIMNLSLIGGSKKVDQTLVQREILQLLRR LLSSEPNSQQLSSIVSESFSSIIKLLEDGNLEASFKLIVSNIPLNGSDFGIGSELASG YASGSRSSKAGSSNSYYMNLYRILVPTQQRLSILFTVLLMKSLSILSPSSVIEMHLGL LPKTDYVRSNSLIKSTGYKSVKSFVLLAYFLSIFGVKDAMDTIVSIQGVKGFENLLIR MASYTIGLRQYATSNFINENIERLRDPSLDWILSLRITDKLAISEEILKKILVSICDH FSTKSNSAFAQSKKTLDLIQNVEGIVKFSDIDDFDYEEAQLLEMRMVGKDAPKILVDN NDLCILIKPPFWYCSVEKTRDEEEEDDEEDDEEEDDEDEDEEDEEDEDEREEVKDEEE GKRKFRHKFGIKNQDKGGKVDNRDKTEQEDEMKNKVTAMKKDDSENEICKEECKEKNI RDSTDIIYEEILNSGKMESILSFLKLRCKRIRSVNGMIRFGLKLGVEIGGPILVVKNE ELQEYYNKIEILNRIQCQYIFLCHGQIQNTSDGNVSLSNIVSNASNRIFSKCISPDGG DSIAKYKVCEHIKFKNYNKGSPSTFSLCTCTSKPGNINKIRSYMHQIGHTIVGDSKYL GQKQLSLDRRYFPSIFFYCTHLEIPVMQNNMEQNREYGKEEDHQTKEESKKCESEDNF PIQNGDLSKKGGEEGRGGGEGGEREGGEREGRGGGEGGIRKGREEEKMSNNISDCHAI IGKENIKVLAPVSRDIMELLENDFEITEKFSDMYFCPFYRTNVGLEKLDRTGKSLQVL SSDADIETRIEDVKVSSETKTTNIPFEDNSRSGTVNNNDINNDNNMRKNYRISDIRDL EPQTISNTLIASLPGLGFGLTPFLGMPNSQYAASVTCSQNEKPLELKQGQGSLSASLR PAGPDSAPVISPVPVAVPISAPVSVPTTTSAPTSVSTSTLNSVPLNPKTGFTSLGFGR NFLTSSFDNTGSIGGVGGIGGIGGVGGIGGIGGVGSIGNIGNNINNNNNLRHFVSQQN PSGGGNSLQIKTHPNLVSCLSELNTKSEGSVLGTGQVTGTNTGNLGPGGGSVFQQQNF VPGVGDISISNNLKHPGISSSSSSSSSILQPHNSVGVGSSGRYGNSSVSSGIGNIGIG IGGAIGGNNMVQIQQQSSGVKQGWNIQNLLQNTRWLSSEGNNDGFGGVSGVNRIGGGG GLIFDETNINQWNNGVGGNFRGVNSRGMNNIGGLNLSTVNSVTGVGSLGGGGTGGTGR SGLWGQNTHNAQQQPSIIVDPPSQGKHSSQPPLGGIPSLVSNFHGKNVQDGINSQRVD NFSHEREPEHLETRQTKKSPF cubi_02561 MMIPSGSKLDLGTLTLDSGTVKKGWDAFSTLNNAIDGVRKVAND VVGYKSVGTGGNEKYYGETEFQKRGTLEKVEVGQIYGRLKNDLKLIQIFERETKSMGT LEIVSTTNNVDFSNLIIKWRRKIRDRLVDIQGKDSSLMYNLTADDIGTTIIIEIYPKG EVNDSLNITYGELGPIEIDDTTKMSLSKSLKTGLIRFPVQIINDEFESLINPDNNSKE STNNENSSMTKDILVVTNDDVKVIRNGMSSSNSKYEKKVEWSAKYFGGNIHIQLNHLS TKEFTLCSGYDIHKQKISVKTTSRNARDIATLTIRCLNIKHSLSLEAIIGGISWNSKN MNSQDLNEPEIDENSKLNIFAYIGCLEDEIANLENMKERLNEDKKRLQTEKILLENEL AETITAYQDIISQYQQEKDNKVNTNSCLLPPNPENNSSIKQVKEFNIDYSFENKQEVG HSNSSPKIKSINPSLNQSSSLLHGYNGTYPTNSKQKETVNSGNVDNSYNDSNSQNSTE IKKLLEENASLKLSISELNTKYEDEVSAKTRVEMSAKESMERMHAEIEELRGRLENTY KENEEFRNLSEKLSREILIKGQELESIKSGQDMSLAQEIEKIQVMQDRILDLQSQNSE KQQAIQTLSTEKSKLLKDFSSLKLDFERLKEQNNQLNKKYISLSLENSKTHHQDKSLE GGNESVISNSQDQNNQGAEILKLKDELENCKKTNIELNQTITQLKTRIRRLAMINSA cubi_02562 MQRDVNLRRYILQVFCSPNVVVFASEKARNVLDTEFGVSLSSLL RPLGVESPSKFLWPPDETFKKGEQYVLDHDWQNRSCRENCPTFLSLKEVFQNKPKGSP RIWIPQNRIEEITITMQDKKYRNDSFEVRFVSLCDSGVSQGKDIQPLSCIDLEAIKSY GDFIKQFSYNDLISSNLLYSGGKQFESIFKEFLQNDFEVNVNDDIIELNSELPFWFER WLETYHENYNFLNHESISQPIGGIVFVDISEDDPIESIIEITNSLKTGKNFEKLGGNP GYYLFGKKSNPGFIRRSNYLPDTSKITKPHYLQESYLNDFPLIYIFINDEKSNFDLME TDHNIISSFKLSFPESICYIWNIKGHNTKEEIQDPNKIVWPGTFSHPFKSKNENFLAN RYFDCNEMEQLNSFIIDSIKNNFVPWLEGTINRLCNHVIQSRKGLKNHLRILWRRPRN DTNANSDGLLSTLGVGSTFSNMEERSQIFEHEISLFSSKSSSNGNVNNKGVSTSTCLY YSSGSLEGQTRLVADLCLISGIYSQSIHYYKQILSEYKLDKSFLHIGSTFFSMAVAEI LLDFDLETVSDYCINSSNSFLRNNDEIGTISAIKSLLFLSFVILKSFNFEKRSQDCIT TQDVNSVMINNVCKSIVSSLSKISNELQVSQPSLRPRSLFSINKMDSQDHSKDSVTIQ YISEDLKMVPGNTKNNRENSILSIFGVINYVISRVLYLSSSLSDFNFRTKSNPTNLSH CWSIYIAQAAQAFQDLGFFGISLKQYLMILLHMDRFAYKHIDRYLKLHSARLCQKLSL LPQSTLLYSSLILDIINDKISSNKEMCSYSDSNVESLWFKINESNSASFIGEQDTEIS LICFREFIRSFVIYKQGGVRSNISDLEPSQICSNTFNNFQNLSPSQAPCTFLKIPLPV LLPIGTTLILETDHDSLFKAFGKKESNTLNRILCTCNDKISNIVDQKKFCNHDNKLIK IEVPGTISRSKSQYENFPGSGQGFFKPINPKCYNSNRNEDEFQNLLEKANRLLDNESF LESSEAAELRSQYILRRNKESHRPNNPKTPLVNSSQFLNSTRFSFIGKVCSIEFYIYN PLSFPIVCESLQVWGHLRLHDGSTGIAHTLKDTENFNIGEQSKGIIFFEKSIILNPNE TKIQKLSVIPLEAGELFLLGISFLLDGKIPIRQNFGSTSINFDNLTSKFGQIGNLNSN YSTFNKEHFGIQKINVLGYSNNSFIHFGDISPKSINPSQDQGNDQYRDHENFHQDLQV LYSGVPYTVPISISNEQNTNTCLFDSTLLVIPCFPNNFNIQIKYKDPPPDMNNSTVNF NSSGCIFRLPQIEFQRNHEFYLTLWVNSECSGNVYFILLNSNRSYEYLEGDETAAASS FSFPSSSSFSSSSKSKVIRSIVFANLNFYFRNSVSMSCSVFPGEEETLSKLLLRIKNQ TPKEITIYDISANPSKWVEKYKAELKTNIIQERPILTLSQKNSPSKEFLNGNKHYNNQ LKRVPPSGTFQIFLDLESKNKNTFSISKINLILSWVFDLSHQTSDNPNQISLEYLGLS NLFSGQICIYDINLFDPYSIPFNGYKHDEDKYQPLSISMNTKDLPNLSENSSLDGDIH LVETNITLTNITINSTIICSLICNSKFLTSQSFQDDLLLPSETQIKGQSIQQGSSIIA QDEKKPYINRKISSALESGELNWVGSTVTNIKLDPRSSKKLKLIAVIPFKGIFSTNAI QLVIREKYPFFPNYIKPGKKEDLKKNYTTTNYYSDIFFYISYMGWSPQLFIDSNNNSI SKHPIRTLQDCLVHAFFYNKGKSGFDHNSGNRNLLPAYIENKGNTSKKFTMNQLDSKS SSRARSKDNLIVQEQNTFNINKLNITILDKWLKSEEFAFSDQGNVKIVNNPNTHGILN LPQKYLKKRLIIQKPHQSHFLIRM cubi_02563 MATFSTSDVKLFGKWSYDEVNVSDLSLVDYIAVKDKACVYAPVT AGRYQVKRFRKALCPIVERLVCSLMMHGRNNGKKNQAIRIVRAAFDIINLVTDQNPIQ VFVDAVKNGGPREDSTSIGSAGAVRRQAVDVSPLRRVNQAIYLICTGARTQAFRNIKT IAECLADEIINCAKENPNSYAIKRKDEVERVAKANR cubi_02564 MWFFIRHAESANNATNNNDSTDSGEKYNDERVPDPSLTELGYIQ AEKTGLYLASKSVKVWKQEREDQKSMSLENPNFKAIYCSPMQRSLETADKIQKILGVP VFVNPDLCEVGGVFRGKRHTNSPRESKEVCSGKKRSVIMKEFPNFQLDERITEQGWWG KPQETFKEASERAKKVAELLWEISYEDLKKTGTEYQGNTNILISHGLFQDMLMKRLFM QRNPVPTLEESAIFPCENCAISQIALYDHTIRQFENISEENTQHCHHKSQNKQDPRRV CICIKWNSSHHLEDCERTTTRTYPNSKYTHQSANSSSNSS cubi_02565 MEVQLEEKGVLESRGLVWVKHPKLAWAPATILRRENEDFVARVE DGLEVRITREHAEMHSVHKSSLSGIPNLLVLGDYCEGALLHNVRTRYYQDNIYTCIGN LILISINPYKVIPELYGRNQFNLYRNGLESIGSTSSGKKANQNNNDQSSGLPPHIFKT AQNAYDSLFKEKKSQSIIITGESGAGKTEATKIILCYLANIQRSTSSCDFAGGRPISI PSPSIYSRTQSPVSSLSPKKDDSSIESLVLRSNPILEAFGNAKTIRNDNSSRFGKFIE IYFDATGKLRGASISNYLLEKCRLTNQQEGERNYHIFYCLAAGLSKGIFPEAFLKELN IHSQEDFSIIRESIDIPGRDDSIEMKEVLECLKCIGICESEIFEIMRVCAGILHLCNF DFIQESAGVPPNVYRDQMGAFDFASKLLKLDPNELLNVFQWKKLKDPETGRIIRMPVS LEAAFQTRDSMAKAIYSKLFDWLVFRINKSMTNGLINNGVVGTGQDHLSKKLFSGRSI GLLDIYGFEVFDCNSFEQFCINFSNEKLQQQFNHQMFQEEQQVYEGEGIDWTRIDFID NKVIIDSLEKKPNGIFPLLDSECLMPQGSDSSFLNKILKLSGDSNKTHNDNQVIYKPS KMSNSSFAVSHYAGPVIYDTRGFLEKNRDQLHSDVTELLRSSESCLITELFDSKPSCD SQAKRSSISRSGTGIKLGEDISSNINTNTVNRPKGILITVSGAFRDQLNGLIETLNST SPSYIRCIKPNSRKAVHEFDSLDVLRQLRCAGMLESIRIRRSGYSVRRKFKDFYNRYK ILYPSFESHDFTGAKNYSLICKNILEKLQSELQEGQDETERRKWDNSWQIGKTQVFVK DSLQTQLERCVSEACNKYCTSISAAWRMYRAKKEYKKIKKASVNIQSSWRSFQIRKSF LEILGSRIKAAKIIQKAYRDHMKIKKQIIQEEVSNAQEFDVVPKNIENDQKVSKFKVK TENNETQDHGQNLSTNTVPTNVSRLWEILDSLQTNNDKTRQDLEQNKEVVERKDEEIA NSKIEIGSLKDKITLYEGQISSLESKIKLMESEHQRIISDLEYKMEISKISMETETNK ISESYESQKSDLNSKILVLEQKMEIEKNRVLYLERLLEQSDKDLSALRNQFSAEIEDR QHERDYCMKETERYRETQVKLQNQLSMLEQSRSAALKSVEDLNKFKTRVQELEKENSG LRQELNEKNDKISQLETINQQLKSSKHLRSQKLDHNYSNIVSKSDISTSEDAFSLSGS LTPNYYHIHPSSQKSSASSLRLAELEGQYSELADINNQLRENVEILEDDKATLQKRIL ELFVERRTLMDENKSLNVRVRQKSTQVDALKSTLTELRNTAENSLNEIRSEWESCVQR LEATQYALMESSKELEVVRSERDELLACLDDVQHFTEATMKRRQRSLTSCITANDPSL IAADSSEISSYIPYDNNVDSSNNLNVINSHSPIVNSFRRKHNKGSEN cubi_02566 MAVKPQIKTEKDGGKGEEDQSRPLDQIDIDIMRKYGMGPYVSKI KQVETEVKDLMSAISKLNSIRESDTGLAPPSQWDLAADRQNMQMEQPLQVARCTKIID AGTEEAKYMINIKQMAKYVVGLGDKVAPTDIEEGMRVGVDRTKYKIQIPLPPKIDPSV AMMTVEDKPDVTYNDIGGAKDQLERLREVVEMPLLHPERFVQLGIDPPKGVLLYGPPG TGKTLTARAVANRTDACFICVIGSELVQKYVGEGARMVRELFKLARSKKACILFIDEV DAIGGARGEESAHGDHEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPG RLDRKVEFGLPDLEGRTQIFRIHAKVMSMERDIRFELLSRLCPNCTGADIRSVCTEAG MFAIRARRKSISEKDLLDAINKVVKGYKKFSATAKYMVYN cubi_02567 MSKKKAKFDLNVAALSASVGSMVLLMMSIFLSSWLYNAAGKGFY PYPRYWGLLTVIGRRTQSHNEVWEIACMTAAKLALGLTCTSPLCIWYSHKCDAYRIVK NISYATAIVIAITCGMLTGAMICSLRSNISSLRLSTAFSFAAFLVCSLVICLYAFLMN EAFSIINSVGYYPVPQPSISFAIALGGIFLLLVAFIAYAQRYRKLRAEKDFEEDTWYE YQNLKNEYYGYNAIQ cubi_02568 MSYQNSNKKDEVTKTRYHGLGLSNQGRGVIKSQSIAFQNSKWSN INSKSKEMGINSNVVPEMTTVYVGRIPTQVTNTHIRKLLGECGTILRWSRQEDPTTKK LSSFGLCEFDSPEGVINAVNVLNGVKISGGQLLVKYQHGIDKEMAKWQSNRINEMLKS RGGDCTIETILNELSASDSKLRISVQRLLAGMSFELDSEQEHGSAEPVDKSSNNDYPE KANKKTLYSNSGEFGASSHMQKSGVLVGFPQNSREKLRMKKFEEKNKEFERKLEDLDD ELYEIVKLFPEKMDISNDLTFRYLLETLYESYHNFKFISSSNKRTYDMVSEIIQFDKN LIESKIDRSNPDFSLDSELFDNENDTDSRYKADSVTLYNQEHQNLNDGIQIKSENLGK QGIYSQNGRHQTINDDGTKNDISNNSNITKISINLPRSKQNKQYKNPIETIEKMNSSK INNSDSSLSSNLNLANSNDLINREEVFSAENWKNVLGSVEFENYKEYIEQEMRLIIGN SDQNALDTITNFIIEKFSSKTLIQETINILVQILDTEAESFLRGLFLKILDS cubi_02569 MNHATAFLERMDDLSRKNYLEKNGKGKLFNLLRKTATQISLKNQ LLYTDHKTGRTLTFFEELNRSFPIICLVLFERRVRSKSDGIVIRYKEFRRCRDHCPEI FKQFLTPSMYAKMFKDCYGFISPTKFINTCLKILYVFQIRSELGYINPMEEVITYYDF FYWIKNSIRDNSETKLGLVGQLSMNHPNFENFYANYVIERILFFSGSKNSIIKIQDLI SSDQFAKFLELRLSDTSESENPFNPQFIFQIFEWFEYRTQSISTEQNFQLSIKDDESQ NLNVGNIENKLFLPEMLQQLLMDFEYIIPTFVISRIFEVHSEDCKFVTDSNGQTRTAI GIKTVFKVLFSLEFRESPQSINWFWHILDLNEMGYIDQSVISCFWKNMVTCIEQTYSI EALPLFEHISDEIFDMICPGEFKGKIYKNAFTDSPMAPTVISYLCDPKAFITIEMREE IIAQNASRTLDNNQSSLDLEKCASINYESKSDTTDCSEESESNSS cubi_02570 MNNTINSWTSGLANFLNMGRNQRENVTGEVNIPNQGNDTHYWAD QSGGNRFILHQNLHGISPKDIYQKGNNFVNPMDYSQFSPSIPNFKTAAYTSLSGMKQI VSITDIGSVVAHYYDLGKYVGLGGAIRFFMLCKQIKHSFINISLNDENINIGVYSSSI DQDSGFSYPLIIHNKKFHLFGTVPTLRYIAKKIGEYGIDAYRDYALDIFSETLSEWRN LLLLAILEKISESKIFQDTKEEVTESDLNSSRMTNFSRNISKVIEDTKKTFGTAITDD NYYNNYLKSRRKFFKSVESILVNFSANPFIPLKGEMIALDTTTTEGKEIPRQLCNCPS YCELFLFSIIYDDSVLIKENSKFLNENDISTEKLLEEFPKLHDLFQAIMAYPLITQWY KEMEQPSETEESSQHTSNKATNTINNEKVQTELNGVRTATPKKVSDIDPSIFDPSGTN IPIHNEPRTTNTITYRLAPPKSQVIFPNNSNNNQRVEIPNIYHQTCSKNPNVSTNTFN AIRINNYETSFRPSNPQPNVNVRIANFSKVNNLPYQMGQGHQVSTARQFNNYSDMRQN TQYPPFAPSTFR cubi_02571 MSVDEKLYSEVLERLSKLSIDYLKFDHDVTPNMESMGNVLKEEA KKHNTDFAKNLLIKSKGNEGLFFVLAHHNTDTKMKNLGQIFGVNGNKLRLADEDVLTE LLKVKRGCLTPLSLIFEKSGEIQVYFDESLKDKKVFVHPLINTESFSIHINDLVKFVE SCGKKVNWFSMDNLEEQKPTVKSEESKENESLLGITVDKIASFADWYSQVIIKSEMIE YYDISGCYILRPWSYFIWETIQNVFDKKIKNYDVQNAYFPIFVTQKKLETEKDHVEGF SPEVAWVTKSGKSDLAEPIAIRPTSETIMYPYFAKWIRSHRDLPIKINQWNSVVRWEF KHPTPFIRTREFLWQEGHSAHSTRREAMEMVDIILDDYASIYEDLLATPVIKGTKSEG EKFPGGDTTKSIEGFISEIGRAVQAATSHLLGQNFSKMFGIEFEDEKGHKEYAHQTSW GLTTRAIGVMVMTHGDNKGLVLPPKVAPVQVVIIPIIFKTVITEEQKEICNEVESILK KAGIRVKIDDRSNYTPGWKYNHWEVKGVCLRFEIGPRDIEKRSVRVVVRDNMDKMDIP ISELESKIPKLLEEFQNRLFSKAKQKQLENIVNVDTFDKVMDVLNQKKMVLAPWCEEV LCEEEIKKETARLSLDNEDNQTMTGAMKSLCIPKDQPHKLEEGKTKCFFCDKFAKRYT LFGRSY cubi_02572 MLEKEEEIISTIGFTSENAGVSQKLFENCLDRWRRSDLCTDQQY DNIKKSGNLDFCILDARFSTGKFPSQNSSPEFYEKYNSYSYNAFSNITPQDNIETPII QLFGSTQSGITVLVHIHGFFPYLYCEVPKSTPPNPQKVKSQIESNFGSTGEGKHKVLN IQVVQKESIMHYKSDLEAKESQFYKITLQLPNSVPTCRSMIENGNLDCIPQAFEANIP FILRYLIDLGLSTGSWVSIPKEKSHIRLGGHKFDYKETNFVKTSPYQKISSCQIEIDL FYDDLEVLGSSGKWASLPPIRVLSFDIECVTESGVGFPEPQKDSVIQISSVVTLLDNP ESICNVIFTLKECASIPEAFVFWFESEKDMLIAWKNFIIATDPDVMTGYNCINFDMYY LLERAKLFQLNDFFFFTRLDSTKVASKDTRFSSRAFGTHESKLINIEGRILWDILETI RREHKLKSYSLNYVSTTFLKEQKEDVHYSMIRGLQDGNPETRKRIAIYCLKDSQLPLR LMRHLKLFPNIIEMARVTGTTIDILLSRGQQIKVTSQILRKCKSTNFLMPTVKNQSDG ENQYEGATVLEPSKGFYKNPISTLDFASLYPSIMIAHNICYSTLIHPSNVKNVPEEQR ETSPTGHSFVLSSVRKGILPLIVEELIAARKKAKKEMAETTDPTLISILDGRQLALKT SANSVYGYTGAVAGGQLPCLELSTSITSYGRKMIDITKNEVEKIYKKEKGYSADAKVV YGDTDSVMIEFGVSDIGEAMKLGQEAATLISKLFVPPIKLEFEKVYCPFLLMNKKRYA GVLFKNPQFHEKIDCKGIETVRRDNCLLVQKVVDTVLKKILVDKDVEAAKQYTRNVIS DLLKNKIDLSLLVVSKSLGKDDYTAKLAHVELAKRLKLRDPGSAPNIGDRVSYVVIKG AKGQPQYDRAEDPLYVLEKNLAIDTQHYVDTLKSSVIRVFEGVMKDPEKLFSGSHTRS VTVLSVTGGALAGFVKKGLQCMNCKTIIKEGSLCKDCSKQDNMECSVLINKLHEFREK EIEYNSLWTQCQRCQGSAFQDIICTSRDCPIFYRRTKVRKDVNAIGEQIKRLDLNW cubi_02573 MEDEPGLQGETHKSNLESELAWYKENSEQIKNDLLSKLNKSGIS SSEMSCVLQNEMNDIGKIFFGRPVGNFSSLSNIIQNISKKITLNNESHACTHLHANAG IENTYVAEISHSFSESNVDNKEIEKRKDKYELNDDVDEVIELNVLRGESIPNSSSSKE NLYTILNIQRDSDFSSVKGKSRKNAVKKSSSNEKKLLNGKRKVLVNEKDQDWERDDFE WSEEMKKINEQVFGNESFRSNQRQIMNAVVSQRDVFVMMPTGGGKSLCFQLPGLLKYN NPASLTVVIMPLVALMVDQIEQLNILGIKCASLNSNQSVAEVNNIMNLLKKGDTGVCP NFLFVTPEKLKHSKTLFSLLKQLNEESRLLRFAIDEAHCVCQWGFDFRPDYIQLCKLR EEFPNVPIIALTATATHSILSDVIKQLKMRSPVIFSLSFDRPNLKYEVRTKSGSKQKM LKEISELLKSPQFCRSTSIIYCLSRNECEELSKDLNKEGISATFYHGSMKEEKRNLAQ RRWMNDERQVMVATIAFGMGINKKDVRLVIHLSMPKSLENYYQESGRAGRDGLESLCI LYYSYKDVSRLQTLAGINIEKPSKKSYTSKNNYSNKSTIDGLLGMVKYCEEQYKCRRT MVLSHFGEDFKGECKVKCDNCVRSKLEKPVIVDVESIAKKVFFSVRLSLRDQERAAKT YGFLTLSSLAEILKGKKRSEKLSKSAPKDCIGLLKSGDIWKPGNLQRFLHLFIVNQLF SENLVQLKNGVSVASLKIDKHAENQDIHELDFSCFKTFQLESMYSTPILEPNTMNAYQ GTVSSLSSFSYNSQIISPVTSRNLQSISDKMESINHKNPKDSRRKRQFNDKGSPHTIA KKKQANLIYQESDIESERLKDFRTQLLLLRRNLAAEFGISNTNSIASKEAIDALVENL PLSLDALKTLPGWGARQKLERFGLRFISKVRDFVDSKSTSMFYTDKNYTCPIDLINPE DLDKELDLIWQ cubi_02574 MEISQNIGFDSHKHNNLIIQKLKNGKEKKGTENSQEYKDQLEKR LGNIFREISLRNESNSFRITMNGEIQKMRNLSKLDAYCKFMMLSYSRMFFALIDLKNN CGLEDVQFHTLELRKLNYIFKNCTHFNIKYKFLEQKKTDLEKKTISSYEEVSIPKQEK YVHKNEFNLLRKIDSLNNSVDDLKNKIKNYFSKNIQEEMGDYKKTAVDNEPISLMSSH SDTNLCNSESSNLETFNDENSTNSEEETNMINTNKHLKEFKTKNKQGFRHRRSIETIS FTGNKLENTPLVEFSPAPKYHSRSKTQNTNLLQQEQSVNSFIISYNGILLNKSTINNN QFRDAIKAHKIVESANLNQKLLFRNKSNFNPHQNVR cubi_02575 MNEKKKTPFQEAEKKTEKQELSEKMENNLSERKKELWIISKSFG AITLISAFMNLVLVGIMIFMLNSLIKGIISENSRQMAIQKGIWSNFVERNSRIEKNSQ NEKMNINNHWRKGAGVLPLNMLYNAPLKSLENVDAIILEFDEPEKVEPETQKIMKGKR YQYMYHVESIVREVSIEETEGEKTQEEAVLKFNLTNEGTLSVYKDKAILRDRLGNKVN ELFFDSGNQKNEYQSKETEKLLRSDPKDEGSEKQGENQSKHRKLISSNSHKHSASHSL KTQSGYMAAVCKYGCGEMGVTYPYYYRPFFGYGFPLLGTEPYFQSGYGGNVETAMNNN MFNPESASPRPPGVQATPQTSPFAMGENPYSYPYSSSPGGGYYYYYNYYPPPPNLAEP FPYYQDNYFFVPQNITDEQ cubi_02576 MEKDKEDSSIQAIEKLQLLQRVVESQKVIAKLTSRCYKKCVVGT SGAKGKSLTKKEKLCLWNCAQNFLESSEFITSRITLTEDAASSNQKDSDQ cubi_02577 MLLFSLRSLSDLCVPPTLLYKENSLDAMQRGILEIMSEALQQFP DDEDISTNCVRIMFGISEFMKESQDTELTNMFITRGGSNTVAMAVNGLVESNDSSTLA SICTTIENLFCIGALDSTTAANCLGKFYESKYLGSSELVKVTGAICAIAHRESGVAAL VQAGIGSKTLNFAHSWTKSDNESATLIENCLEIVKLCASYGDVPEDALKAVVHIIDLY RSRRGITEKGGACLELLMNPQKLMECLVVIKSPNSDITQKDDALTMLSAMAYVSSFAD EIVRQGGISMVVEALNQGVAEYSQSGDELHTAKSSRIIIGSLRVLARVASNPSNVDTL IEIGGIDAICSAFTTCINNLEISTAVCQSLYPLFVRETTAVAAASIMGDLLQLFYSNV ENDKDFTKCAADLLSIASQHAPLAEALISVQSVEIVATCLNYYCDDTAYQSASLGALN HLAPFIKTLQPISEFGGISGIEKSILENVNDEVLVTTAIQLVEKLSAVSDSSVYLSQG EMVNAVLEAMLVHNSNDYIKEAGLNILEIIATDKDVTLHTNNLPKVALSDPNAAYKDL AAIAGLCKVSSLAPLFSSLNICDDILGYVRKWISENPFEGQEKLISAAFQTVSTLKIS EAQGDLGPTIVQLLDISTLPQMKTFAEKNTNDNPVIENMKAVRSLIEVQRIGNDETVV NVVNALVSTIRKYIENRVVQLQCIKSFCIIAETESGVRAEIDNGVIKVCLAFLQRTKV LLECQIAGFTLLSLLVKYSPDSIEILRKSGAIEIVQGAMRLHNRSSELRLIIAPLALA LVPIGEVKKIISEKVGEIKISLDSGDLKTILQAIITCNELAVTPEGSKFTISEGVPGL IPRIEELASSKLNSVEHGIVAQNILCACSTLCSLLTASRPGKVALVKNNVTVSLLNMY RNLAQCSLNEEIETGLVDNLVAVANIVKFDIKAAEKCFENGAVQLICTSLDNFQDNEK ILGSACSAIAAMATSPKRVEILLAEPSFNNLLTRLVNTVNESSKAEVRIKCLNAINDL ISSHDTSIIQITTDVGSIVAAFGVVDKYPSETPQIRAACRVLNSIGNYVDIRAYFEQD LHRCVEVVLRALDAQKNDEEAVEDLLILLNNLTNSADNNILRECAIIELMQNVMMIHN DNPAVISKCGEVLSKCGADETIKSLMVSIINTQQEKGPDCARDLDQLCRQLAVFVASQ PENPEDALQYTEACLQSLVAAAAEYPTDVRLLSSIAVLTQRITDRAFDNSEDSFGSWA VATSGMMQHIEDNLEDTSGKAVASKRYVCSGVRVLSGCLNNPYTRNYVLERCNNGTFL NHVGEILDKYQSDPEVTAKVYEFMRILAEDPTGAQYVAQTPMGDISAIISTIKKHRKN DTVAVEGMKLIGNLVVNAGVDPSYICSMNGLNELQGLTEGSSKADIRDSALCDLMTKL ISAGNTFEDKSLVSRQIRRCRAYDDDQSLSEQRREAVAVAISKLIGSATEASENGKIQ GIDSPAEILSMMQAYPTSPDVIRETAKALTPMLKVNENAASLTYNSVLPLLATSALPV VAADPYAADAVSDLMLSLAQIQGMGVEMSQNQNTDDILNAISQLGDYYGDEFGQGLKA KVEEIINTMASDIPAELSLKVVHDMFKRREAEGLSLSITESTLVAEKMEYLVETMAQF VTQKMDGPTSIDFKFGCMATQIISSIPEDVEYLVEHQWPKTMLLNIQNQDCETKQAMA SALIDVAKSDKAAIQCATAPGTAQICCDLIQEIHGSAMDDPKKEELMIVRIQLVEKIA VSRQLFIGTSMLDILLSIWEAYDNKHYSLNILRHVFRALRRIVSDEFVSALLNANVLK RLITIIKAKNDIGVLPDVLYLLGSLAIIPNIKSEIGENGGIDSICELLKASVKMPPEQ ISPTVTNGCLALANLTIQHSNNKQIFTKSKGPEIIKTLFNSYIGYWDVINSLGVLIVN LGYKKDETKKELGSSGIPGVIVNFLNSYNGEQERIATRAFMSVLKAVANMCLYTPNIA VYASNHIENVFNHLLEVSGNLPKETILMELRTLCNIASENEAGQLASFNILIEPLLKL VTEAPGDDSDIKRLCFDVLSMLCRSPSNATNFFKAGGTDIVIKQLLKNDYDTGLMTSA IHLLSYQTTVPEQMDYLVSAGIYRVIIGIVEGKEGSSDLKIAAFRLLRRCMSDPSNAL DFLSIGGTQSICESIKNSSEQTLVLVEAIRVLLGLLYSGSPDSDYSAADAPKGYQVCQ LDLNDCNAIVKSVNTAIHKEENGRHLRLMRAGFGIMAYLLSENLCIESIANSETINVM SKVMTIFASDIDSTALICQYISFLSKFASDLVPGIVNDDFRNALENSASKVKGNRKDF VSSVSTALSSGDYQTLSVLCNEFDFDITHWNVEPYPNGVQDLPKETKDFLRNGGKLKI VLDGKSREEFSWRASQDLYKLEWKIGTKDNDYNNSLPIGKIRNIWKGLQSTVLKAANM VEPRKITGPSCFVVVGPPSEDQPQGMELSLKAKSKSERDGIIENFVMWREAATYH cubi_02578 MYGLALLFSIFSLMSGRVLSNENGFICQCYCSVSQYIFENGKMS LDSVEYFADIKPILLNSSGKEGIVEFSDNQPKLRSLNGAVSEYRTGTIALWNSEFDLK SLSSLDRPSGDHSMIDMVSSFDEIFLNVNRIPKSAMSVGSKSPAILIFKNSEEESAFD DEDYRQKYCESACQRPISRLNKYSYSKTEFVELSYRGNIFNQYPARDSSYYSGLEESI RNNSKKGRCETIASSMIGTETAWHLIFESIRKEKTEQLLKEKFVQMYPVVSRSVSSPV SIELTASSKVDFSNTATARVKTFERFMKKNHKINFFDLPENIPTILEQQISPLFQFRA KLYLEGNIWKVSEIYSGSETLSHDYSYEFLNLLLSDEEVHRLTASHKEIVEAWAEKFW NSDHAETHVVLPAPLESLEEISRKDDKLEDLERWIRAKERQVRLSNGDIPISLLHFAN KKITHRFSMNNHEQTDLTDEIPSIHGNTPEDDQYEIEESDSPIKQGEELHVIYYGLLT LNCFTHRTQGESAESFHQSNMVAFNIFPDSLLRKDGDNSFRRIQIPKNEKRWKVIGEV FDSENSIHNLIRNSSYSFVLQLAKHNPQLYLILFRDLKHIFGFNNPKIFSLNTCRVPV AKHHVEIISENENTLQKSINKVLFADPFHNISTTKIRFSDVNHFNSLVNTMSKCLGVV PPTARIRVNDHFKHPRSVVSDYVCAVDGESQNYLLQLYSKYNIN cubi_02579 MMEIAKYGESRDSIEIESPKGRLRMIGIKNNEYMGNMDGEFDEL NHEIYEFIHQCLNTDDSDLLFPTFEEQLQSTCISNGSEAVGAENKYIDANTLQENGES FKFGFESENSSQCVNLKQNENAANSMSGFSVTFENTFDFNGILLKASFLGKTEVIKSC LDNGADVLYTDKVGRTALHYASACGWLPTMKLLLKYNCDINRRDHKQWTALHIAVSKK FPEIVELLLSSGADLNLGLPHTCAPCRGGPISSKAIHFAAIRGNRMITETLIKYGADI NDKDEDGKTPLHYASFRPNSDYIKWLIEKGADVNGKDKYGRTPLHVASLSGNLEITKI LVDNGSQIQLRDIWDMSPLSLASTREHPEMVAYLELISGEKASEISVIRGFELDAMES IVLNTIITGLQEPKKEFLARVIKTLGAQRSLQLYENAMKIENSGGLLTADRSRKKTIG GVFCHLLKQLVSENKITMQEWNYIRQEEKERINAKNILKRNNRRSQV cubi_02580 MSISNRVPEIQPIFERNQEATLYCGNLENKVDEEMLAELFSQCG PVKSVHIPRDKVTGHHSGFGFIEFEFVSDVEYAQKVMNSIKLFSKQIRCCKASNDRKP LDIGANLYVGNLSPEIDEKFLFYLFSNFGKILSLKIVGNDQNVQNPKNSAFINFSSFQ ESDSAIQALNGQFFCNQQISVSYAFKQNSKNEKHGNYAERLLESKSKTKPMQE cubi_02581 MREPFFLFVAFFCITVPCLSVVSYNQTFSDKQHIKLFEIDHENN IKIPIDSIERIRQIESPVSIVSSLGLARSGKTTLVRLILGELLSFEDEDENESDGVKW AQINGNESILGNSPDSTNYILLDTEALVIGRGINSSIKLAILSLILSDTVFVNSINEM DIFLIDFIRLLISQAVMFSSLFVRKLNEISVPNKKSLKKNLIFLIENLHKTNISWILH KNSDLKDKQDPKKFSRKYKDWLKSKLEKYVWPETFQSKMFRNLDSFIERFEFYQIPYF GTEEKYNEYQYHNTIQDRDHDHDHDLEFGKKSYRSIFSDLFMANSGEERRLTGAEIAD LLELFSEHSYVFNSFKPLDFGIAKSDLKTSTLVENTLLIFGTRLENQLLNENVYPLLE IEADAIYNKTLKDIESYWSSISEFDYFDFGSLLRSVQPNFEKLYKSILSKNCELIRSH CNDVINLHISHAAHQIEQFHEKIPIPQSLLVEFTENLEANTMNDIEMALNKSFGNFES NISSYRNSPCCSIDGSLTQEKIEIALSKLRKKNQEEIEKILLDDFEKALDVIKTLEEV DDSYYKVSKEEFEKKLEKIKNSSKLIFNTHITLMNETDLHAKYWMKLKLELDEFIEKK MVAWKKVCRKYSYSFAQSIAIRQNISIRNKLTLPIADSVILESFVNLKDNVIKEMNGI YCSDEESWKDALRELMSVIEDDKEQLVKENLIALKNLLHRPLKYALRNAIEIARQHYS WINFVKEATVLAQNSLEDSESWGPINIDKNTKDRVIELWIEIDLSGIRKGFVRNQVFM ILRYLLYSLVFTIISFIALFKNNSKPIIGVIFLSFIASVLLFSSIELGVAGEAISHNL TDLLIYFGISRFTLYYIRYNIKLIFAVLVGLICVVVYFSFSLKFGNSDSNSKSKSNSF QEKLGTEISPRKKVANSGSPIASPSMGEKLKHHNKSSNAIRTFIF cubi_02582 MSSATAKSSISSGGLEKSNPINDDTRIEVKLVQQGNLVGEASLE WSLNFLTRNCDFLNERSMSPLSIYAVHVWTGSQNCEFANELSFQGKIRNMLFKLLIHP VHELVNNLKTNAYYIAALSSKKPFDFDKNMSSHGLEIPLISGNCFDGDFILNQMRWIY KLFHNKGEEAGRLSLESEEDEEFFSNVTFSNNLIEFSRIFCSKLIFHWLTHHTLTNFL YNPNGPISLLSFNILIEKLSPFFSKYIEHSKDMYSDIIAFSDSVWEIWRNMKEKNTDL HSVAHCLEKYTSESEDFMKCLTNVVLKHSLDELLDNLSELVIAINDALPCANLHAMLP LGTRLNTSLLEDCQKRDIAPDADPKEPFNSIFEVAGFKRAVSVCREFPDLLRPKATYS EKVVKTTQLVLNYCLRNKLADCKYIKKVDHDKEVAEEGFRDILESQNGTSSCQELNSK NIIEDAVSDVKKTVRQYSTRSVTKNAPSSLSNETGKASNDKNSLKRKNVFKDDEQVES EKSKGLNLYSDDKGKTGRKISLRGISESSDLSEDTTPKPIVYKKKKDDEQQSVPKRAS VRKSSTSPNIGISRQYRRWSDEETNLLIDGVNKFGLGKWRVILATTKLTNRDEVGLKD RWRNLVKGGHVTWDSKAKIYRSVK cubi_02583 MPQSSTLWNQPYSRELIMDHPEGIDWISQDGCLENIKEPHFVDY NDPNSVSMDDPLGNNFYDCTDLDDSFHNYLIKGLMGENIEGGSSSSNLNDISTANSSR EQNNTSGSIGHTIDNICHSINHSIEDVGIGVGALGVSLGGVGVGKEFESMGCSYETHS HSHPLVDPSEKVMRDQNTCYKMPVASSNLGISGDSDVFLGSPSGSVALTTAPSTSTSS SSSSSSSSASSSSSSSSSPSLQLNSGLSPISLPPRAVPPVNISQSLMTPTTAVSSSSP NQISFSQNSGQTGSKLVGFDISYQIENTQKRARIEPEESIRIDIFDDGLMGSEMKLGD HQIVDLDSSKGRRWSNNSTTSTISSNFSINTNDGGCVSHINDNTNDENLGMLNHDFQQ DKFCSTENLGSQKPKMVDQSYEMGVIEDENYSMGNQMEGEFFMNSDNYLTEEEKLKLR RRGRRRRPGEIEAWKTIIPRTTVDEAEHFVQTSQYRMRHVYRDPRAVSFVYRCVQHVD CQYEMRIYLISHETCYVQHRGYHTEEKQKYKRPDELIRKGLPPKSVIDHAIQIYPEVA DQSNISVLYRQITNRQQPGGLPLNSIAACGGTSRDPEHGMNSPFGMVPSNERAHANQQ NTDIDLHVDNSNGHIQKFEHTNLPGLDEVSDFVNGFKEEAKRENEDQSGSIYYKRDEE QYMNIEQGEEVGDTEGFQNINPEADYYEAVKEDNTSILEKIKEVGLDIVGQGESEPEV TKKSETEIKIETKNEAKAGKEERKHNIPLETEKPRGEAKIMEEKASQEKTKMPGIGFL GRPGITTEGIGGENKKGNETEKIESESKGREESKVIEDAEVQSEKIKIKAEDTRKKKH IKAIPSLEHESKKADQVQATSLAINSASITTATANTTESVSSDISNSTTKTNLYANGN DTLPRGEAEDLEKAKEFPKEILEESTGSKGNATVVDPASNTKGKPKSLKKASSPLGKD KTKKKLNKTEETALKKVPKEASKGESSELPKPGRLGSSRGDFPRSAKAEKPKQEKVLK LPLTEKKESETTLKDRYKCLNVNTSILDPLIQTCPTGLEHEGLKSMRGRAGKENQKQT KEPKQNVKPLKNPKTNRKNFPHPIWLEIVEALNKICTKFENDGNLISKKIPAKDTQIS KSSISSKLNNNATTSTTTKTSQAGETKSLPIPARKLDTRSLTSTEKEKSPEHESKPIK PQQHDTKREGGKKSNEIISWGGVVRMMELLSIPDTYIRGTAKRALKFNIYIYKLYTNI YKGRILRRAQKILFRIPIINKSQIIRDKHFLGAYTVFGSLLSVLLLLVITGFHRRLCI ENSENGLEEAETIKKLGLVGGKIKMLNSHISNSDRGVEKVVFSEDPTSICNKILESRS LDTKIQSGIDHSEERTDSKNLTSILDLTAKLEENNEILLHLSNGLAKCAQSLTI cubi_02584 MNSGGLPASYSARKRKNGACFLLFIMTMIIMLYACYVVILLQPL FEIYYIGASVSAAFHLVFALFLISFYQCSNTEPGRVPAKWGFRVGDESKRRRYCKVCQ VWKPDRTHHCSECGKCVLNMDHHCPWINNCVGFYNRRFFIQLLIYAQLSLLFLFVQGT MFLIEQYITLWPYNHGTDPTPLGRSIEAMKLTSVIVMLVIVTPLLLALFPFSRLHIGF IVRNLTTIESLSPQSPEYGRYDLGPERNIQQAFGYNPMQWFCPFNTKSSRPVGDGVRW PVRCPEMDDLEMGQIPIYNHPNVTDRNSYHGHQNLSPYNNSGVYHQL cubi_02585 MNELFEEYLKLVGSKVERKDPVYKSQCQLSFKDYFSEGGLYVNL KNFEAYSTEFLNWDYEQSGCRLYLSIKGTRKYHSENVENPTNLSIGKKGGYFPEKPFF EDLFQYNLVSIPDMNTMSLKDDQVPRELSEKLNYIIQYDQNMELSKDTDSLVTWAEER KVSKHAENLFQVSSPKQVPPKGWACEKCGANKNLWLNLSDGFIGCGRRLYGVGGGCFD GKEEGAALLHYREHIERPLAVKLGTITQFGNADVFSYDPQEDDLVVDPHLVRHLSVFG INVGQLEKTEKSLVEMQIEQNNKLDLTSGMESEEDGSFVSMSKLYKDQAVLVGLENSG NSCYVNVILQLLAGISEVGELFSCHFQDLLEIYSANFIQKTRPRDSIVIQFSKVIKAL LSNDVIKDRKYKISSRSQDVQKSISELKEKGINENIIETLTESEINPFLVYVLPSMLK RTISKGNPEFSSSHQQDTLEYFTFLQEKLSEDLKKYLNYASNELQKLIQEFLTLFSFF IGERLECKQSGSVKLSSQLNNVLSLPIPKDLITYCSDTRQSKKPKHACEDEDSVPQNS NTTNDQEKSDSDERFSDISFLLSNWKQEEIVESFLSPCTNQLGKAGKSNFIKTMPKYL IIHMQRFYLSDDWKPKKINLSVKVPNFLDLENLRGSENLKPGEKPFPEGDEADIVSKN EIQVPESLVSSVLDLGFTKSHAELAVKNTSSTDIDICINWILTNIDTINTMDLTSANS SSSTTSKVNNSVSPQDLEAIENIVSICCCSRDIAEKALKISNQNLERAIQMIFDDPCI IESFEETQIQVTAPNTSELPELEDGPGKYELIGVICHLGKSVHSGHYICYNKRKDHQE PSSSIWVRFNDTKVYLSKEDNFPHKEKGYLYLYRRTS cubi_02586 MQNSRDLDSGTGLFGQGSLVWIPCPKEVWRPGVVSRVDDSQIIV KVTNTVDDLTLEEVSEEVIFKLPLEVGSNITGGQLHIRAAEQLSDCGVVTPDDLCELT HLHQPSILHAINSRFDLDKIYTFTGPILIAVNPYRDLDGFYSMEMIQKFRSKSTFDVP HVFTVANKAYSGVCSQRKSQTILISGESGAGKTETTKFVLQFLTVVGSSQNISNSSSG NSVNGVEKRYSFIEDQIIQSNPLLEAFGNSQTLRNHNSSRFGKFIEVQFAESVSNPSL KSDAGSIHITSACINTYLLEKVRVCHQQKGERNFHIFYQLCSAAKHIIAQGSSQAENM VYRFPSHNTESILFSGQGSEGMILNHMAQLREQLFSNPMEIDLSHIVSEKNFKYLEGS ECLATDFHQFEKTLYAVRTMGITNDQLYNIIKVIKAVMFLGNVKFIENEGESSIPHES CIQDLEIVASLLSLPKEDVIRLLTCRKIHLREGEIMKHLSVQEAEATKDAVSKALYSL VFDYILHLVNAQISRERVSITINNEEKDNKGLYCGILDIFGFECFPINSFEQLCINFA NEKLQQIFNDYIFNIEQDLYIREEISWDPIDFPDNGDCVQLLQQQKPVLGILPAIDEE CYVPQGSNTGLLNKLVKEYNGKNSRFEIVKKNPNNFVIVHYAGPVSYCVENFIEKNKD QLSQYSTEVLSNSENPWVSDLLKAKFLENTLDSESNTKSKRQQTLGSSFRNQLNRLIS TIQQTNPHFIRCIKPNENNSPDEFDRISVSEQLKYGGVLQAIQVSRAGYPVRFPHYEF LLDYMILFSPCGQLPISKSKDEYDKWCRSILHSGQEYQDTKSISSLSISNSATRKSQI IELMESLSFSNLILKDEQTTQWAVGVTRVFLKVETFRDLEQLRVRVRDTASTRIQSMW RMVLCSNQHKKVLRAIVIIQSIWKGILSRRRLKFLLKQKAALTIQTIFRGHIARQKLK CMQLRVRMIQSRWRVYLRRKEAEEKLYIRKICLIQSTFRMYLQRRYFVRLLESVLKAQ ILWRGKLARRQFQKLKKERNEFSELFSKYQEALIEIQKLKSNCSRLEDQLYKALSERN ALRDEKEQLSQEIFSLKNDEKIGSDSRILVDIPDQDQNHDNNQVSQNINNNNSISEVF SQFQSQEGKDIVNEMEISRQKEIFKRLLRLSSYPFSKLELLESIPEILFPRDSHSIVL NYQDRQIGLLFAGSSGSGDKQLLGRFMVETGAGSVDENSLQVFTIELSNIPGAHVMST QECLKGSYYGSEEHSHRVRESSVGERWPLNIMSITSLEKDEFCQQIESFLSRTRVAIF VYDVANAESFRALRGESSNGDFGILKKAIENGCKVVLFGNLYRVIHKSANIEVDIEQV RKISCDLDIISIESDSISSLVSSIVGIINARNHLELKTAQMEDIQVGAEDKSLKDTMV NKDGLEITLERNTMQQKQLNNSLLSKFNDGIRAFGLRIPKFPVSKTVSDRNEFLVATM EIEESGNSSKVERQGGIGPVINIKDDQNSSVTHIVFCRDIPTEPHTMLLVARKNGVIH AYYCYKTHLEDGIVDENSSLEWSGKVEEAYSRKAHNRAITSLALSPDESEFLSTSIDM TVRRFLTATGHAISLFSDNSPVLVGSYLPFLPSLFIVSSSKPLLRIVNVDVGVAQKIK TDSTIRALCFDSTGIYCFAACKEGRIYVLVNIAAKSSSRTSVETDFRFTDKRGMQVCS RAITNMLYVHGSSDYSNVRKTHVNGAIGTGLGGFSSLLPVLVVNAADSTITIIDIRLQ APTGQIDVSNVPHVVLQVRFRIPNPHSLMPLRSCYSSRNGLWVASAAEDCSVRVFQLN NDSCEKESLVLAGHSAPVISTAVNASSTLLASGDADGIVIIWRRFSKS cubi_02587 MNNFNNSSFVCKGFDELYSAIQTENIEYLNYSFCDTFGSLHHIT ISSNLFKSGKDIQKGIAFDSSSVRGMQYGDFSDMIIVPDFERVWIDPFFNRKTLHVAC FVLFHTGEPSPGCVRSISKRAQEVLLSSGIADKCFIGPEIEFFVFESVSYNSSPNHSS FCLDGDEAYWNSGNNTTFYPHNRPNLASRRPLKQAYCAPYPIDRDLSLRSEILEELEN IGVPVEKHHHEVATCQHEIGVHCSTLIHSADIVESTRYLIKGIAHRNNKTATFMPKPL GNDNGSGMHINISLWKNEKNIFFDPESSYFNLSNKALYFIGGLLSHAKAIMAFTNPTT NSYKRLVSGYETPARLSYGAGDRSSAIRIPLSGFSCFKTQRIEFRLPDSSTCPHLAFS AILCAGIDGISRKIHPAAYLNTCKTQNNEYNLPKSLSEVLQYLEEDYEFLIKDGVFSH EFILNYIKLKRDEIVMVESFPTPKEFELYYD cubi_02588 MSQPGGAGNGKTQITAAILQEAYIHGCQNNNELSPDSLKSLGWE NSIIVRVLNIFTEKRLCSVKKSKSSGLLSSASNKVVFQLRTEEVACKLNKLSNEEYLV FCSIEDAGNHGIWTADIRKNTGLQTHVVQRAVKVLCNDWNLIRPVKSIHVKNRKVYIL ASLEPSKELSGGTFYENGEFDMAFVDNIKEKIISFIDSKRKCTVQEILEFINYDMNMD SSSARKNISLSDLQSVINMLIVELKILCVKGGNSNSNEIFFMCLKWPESLAVTPEAED VPCLACPVFETCSWSFESQILPCPQLCKYIDYALNNCKPLLPQ cubi_02589 MDNQQLELENTNYSESSSNTKALASLKGSLPEDCYYSADEDFQL ENEEVVNTSLVAPSGRFSNSNRSSGLKTLGNNSQNFKQENGRSQKISLSGLENRFQDN IKGKGRVFGNVYQLEKTGIDEYTCQACELDTNSSLSVLSSSEDNISEFSVLSDTDIFS LPVEKQNSLILKASKIGNLQLVRQLIASSKLNANATNEKGFSSLHWASLKGHSQVVEE LLNADADPNSKNIMLCTPLHFSANNGFDEIVSKLLKAGADPNSVSALGHTPIFSAAFM GHENTVKTLLKSGADPNHKNKQGLTPKGAAESQGYSDIVKILEGACMH cubi_02590 MVSIKGNNMSNFKEDEKITKIETSEYRNYQFQTTYYSDSVAKFN ALKKKTYFPVVLVAGIAYIAAQILMEGILSPSTWLRAIPILMFLLIYYRRIRVRDQTI LIFYGIGVQLETKYLFGKTCTFIEKSKILSAIIYDKMTYMELSPCIGLVVKNEKSLIM PFSDFTIPTEKNILIYNVLKMI cubi_02591 MFSSSSFGSQNKYNVNNSIELSNCPNDSISKVCWSMNSSLLAAS SWDKSVTVWEVQHMGGNSVNTRFGASFQHSAPVLDCAISSDSRYLFSGGCDNELKMHD MSSRQSQTIGRHDAPISNIFWCDEQKFVVTGSWDKTIKFWNGQSQNPIYSLSIPERVY AMDLKYPALVAAAADNAVYVWNLQNITPTPYKRIQTQLKLQPRSISLFPDRTGFAIGS IEGRCAIAHIEESHRDKNFPFRCHRVTSSSPDIAYSINSIDFHLQYGTFATGGSDGAI AFWDKDNKSRLTIMKTMPAPVTDIKFSPSGKLLAYSLSYDWSKGYDNTAINNSCNKVL LHVMNDEHVCPKGKRN cubi_02592 MKFSKDVSSSASKCRKNHFATTSTQRRELMASPLSKELRQKYNV RSLPIRKGDEVMVIRGSNHDYEGVVTRVHRKKFVINVERITRKKTNGESVPIGIHPSN VVITKLKLNRDRRELLARKNRSTKKGKYTNADAADLD cubi_02593 MGISRDSRHKHRATGGRMPIVVKKRKYEMGRPASNTKLGASPRI RVVRCRGGNKKFRALRLDSGNYSWGSQGISRKARIMEVVYNASNNELVRTKTLVKNAI VVIDATPFRQFYLQRYGVELGRRKNADEATNEKDTQKASGHLLATRKARLMNNVVDPL VEEQFGIGRLLACVSSRPGQCGRCDGYILEGKELEFYKKKLEKKKAAK cubi_02594 MVEKILLVIYLLSFVSTSFIQTSAWESRNDSNYFTFSYLEDWMP EWTKMNNRYLFNALYYGQESNENGEVELNNMQLKQIQCRIVVLTAGDEDFNTLVELER NRIEYSKNQKYCYLHFFCKKSKKYLAIWKLFTSKKLVTYNRKIINLTSIDWVLYMDLD AMFTNYKIKVEQIIERYTLNSTSLIISADTKCYDEKYPINNGIMLFKNSLFSLQLAFQ VLIKQAYRNSLLYNGTNQWNAKGLKDQPLLTNILVQDKKEIDAEKVLKYCTFVMEHNL DLNGIVYSSDHVTVVSPRVMNSVRRSSTHFRKDNLLWHWRSGDWIAHLSGLTPMASSL RKKFIKQVCDSSPDEVCPFNIIIDNNELVVAENKLSLIRYKKELKLLNQGILNKDIKP VKKDKKKSKLNKKSKMSDSKNSNLESNLNENNLKITKFDEINSKENEIDVFKDTNIHV SNGQNSQQDSNQNFVGSGFDSKDFTSNSNLEAEPNSELVSDEGLISNSEQDQDTETTL EAEIVSKSEPNLGKEQVVSESGYSSASNFDSVSISGITLEKISEEGPDNNPINKQDQP GNRFENQDLDINQPYDNKVISTTPEEQN cubi_02595 MSKLEEDEQPIISSEELSNGSEEDEEGNLEEGLLEDETASDGSE NDSPPKKRKKRSEGRNKDTSSFRHSKRSSSGKKKSSKNRAYNNVNAFLDVEALVGEDD EEDEGEYYEDIYQEESEYASNAAEIGARRRADLERDDNMGRRHLGGAGHLEEAIAQLE RRYEQKEGEVSEFERETEMGSSEVTSSMQACDVLPTSRDPKLWLVKVDRAGLEKDICI ALVQKAAECQKQGKELPILSAYVASSYRGYIYVEAEAPNFVNEALQGFTGVRLSSIKI IPVKEMTRVFSVDMQEKEQLMRESWVRVRSGIYGGDLAQIYEVDEHEANVILRLVPRL DIPALIRKSQNSQDVTFSKSRIRPPAKLFDRDKVESLGGVVELTHLRGTVKFANQLFE QEKGYLLKKMKANRLVVGDAVQPTIEEIKRFFGVSDLSEVNIDSKTLLKTQKSTSFFV GDTVTITKGELIGIKAKVVAVNSGINKSLEVLPIDKSLGITEPILTQIDLVCKSFEIG DSVQIIEGVNEGESGLITSFDQNYTMAIVYPLNGTQPIRCPTNYLKKVSQDIVVTSGL STVDGFSLDDLVQLYNGKVGVIVFVGRNKNLRLLATTGESISIKSSEISSKRNTSLMH RIPDRNGNIFGVKSTVQILEGANAGKSGKVEHIWKSTCFIKLPSKLDDSGYFTCEGRQ LLAIKTGDNSRLDAATKSENSNKKGNSGAKNSGGGSGRVYGMGLHSNRRGGPDDVFIN QKVRILRGKHKALLGSIRGFKGNNVEVLLDIGPHTVLLRREDIVLVGTTIGNSQASSF GGLAKQMNTHPVSQMKQPNWTGGKELSQQNPDHSVDNSNHPPLFCRRGVEVTVVSQGE FFNQKGIISDILFPPEVPQVTCYIILIINGQICPDEMIAIAPQSISPNKPIPGENSIS VSPQTGVFTGIIDSVEGDDFLIMDSNRQSTTKVSGQFVFKYHTPPE cubi_02596 MRVSSEANKGEGSKDESNIKNNWGRTILSEATSKGPVLFSGTDW AVVPMESLSPERRAIIHPISQRLAGAENTALVDGLFGRIWEFPKCENVRERKVTRGDQ FVGDSRIDFQWNKTERTLCRVCGKHILKQKFATEHYQRSPTCWRLVMRHIGILDFDNQ NGNATHFPYKTEEGGFNSPQKSMLEKRTGPIFSRNMNGEKNESAYLPSSNHETESQNS VFIDSFAQNNFNSSNMIPTSNVEYILQNKIMNLFRAATKHVYEELIQFKGSNTQINIH PLFNTSNSRDGSKFDSSIVENVMSEPKGEESTNTIGNPEEPFLLISSVLPNVFPSISH RPLPVNVCYIKLTFNWPGARLVRDLWALDCELLVDWGDGVLTQARRFTLKELGEPPES DNEMRNIYSQGFAYLKCFVPHKPPGRADLKIRIPKSLWEYWEAFANDKNNTVTFHTPE VFASEISQNFPIETNSDMILPTINTNWGNKSRRDETKSQRSHPYSPSITHITSPPQSP STKSIGNNANTNPPKSPSI cubi_02597 MVVILFSKLPRDSVTSLVIETAIKQLGIQIQSNSKEILSLYLDH SGKRKSEISYIGGSNMNDTKPGSKESLWTNFYSSIKSATSSEGAPYDLIRQSKYDTRS KALEELVVETIREVELDDIFVPEEDLGRRLYLEQHYSRYINLKKLKNYRETTYINNEL ERLRRKGRIVDNNTQAGIVFEEMDFDTYLKVFDQFSSIPRYFKYRDSDYDDYIVNLLD YLRGFFLKTHPLLDDGIIQDELNKDFEQAWENGLMADWRVPTNEMLYYSKPFDKLFFS EGTFNSHIKSKHYKREYSKYSELSSEEKARIKEKSLEQDKIIARKEFFIGKFSQLLSI QRREAIDHVNKLQSSTREELEIDKELEMKNGDLESLIAELQESLDKQKTKESKNSNNA AYDSDSDDEFDELQDKVYNPLKLPLGPDGRPMPYWLYKLNGLGIEFKCEICGNCSYWG RRAFERHFSETRHANGLNALGIPNTCHFKEITKISDAQELYSALCKQAKDLSFDDQNY VEMEDSQGNILPLKSFQDLFRQGLI cubi_02598 MKIAGISLKKALFQRCDSALVGAFLFVIGSVVSKLSSFVLNIYL AKKIDPELFGIGFVSIALITNLSQSLNKKCFRRVALSETTQLNKEENSYHIILQSSIN VCWLSIALTSILSLVMSLIWMSNPPSNIVLDNRLVKQFNISVILAGISSIIESISEPL IFNLIKKEQVFLRSVIEMFSGISKSVLLIFFVIFKRSNLDILYYSIGQFIYSSVFLVS TLFACFKTSGWSKDLIILPGALGTSSKSQFFLKNHKNILKQQILTSIQSTVLQETDKI LLLRLFSAKEWSDYGVISNLANIVTRVLFAPIEEIATERFREVKLDTNNSKSMNHFQV QLTPLRELLFFSTSIGILAICFGPPVSKNLLSILFGQNWVRPENIQLFSANLYILGIL SIHGILETFMLSLGGMDQISAYRRGLTQRYLVYQIGIHPEVRKLLRNDIGELLISFLV ALCSLAISLPSILRILKKSHTDKHK cubi_02599 MNQQNPTDQASLITGFRVGNRAIRGWNQSSGGSNGSLQILSQGT QDIRNPNGSVQTIPPPAQKSLEDWYKAHYYDYYFKAYKANGLDDATSSQYAHSCVSEL ERTGYIQNAIQAQSEIEFQAASNPKEFREQKQKQQKSSLHNKDTKQFQTSAVSINKSK GLQNWIYRLFSTYRSNGTSKEDIEWNQKLSAYSQHISERYKNSNKVIDWDLQNLPKRE VIQNFKVKNLDQSEDIDHPSETSPNPTVVSKLTPSSRRRFNSQLDTELMKNEANSSCY KNEESFPPLKTKRAREIHTTDQEKDGKQIKHLKQRKHGKNTENLFGNDVSTKPESVSK SNYLDQSNQFNFVSNYTFPTSTNGQFSKYEGDGYILDANGNKRLSWQQILALGKSTEK IVGLSNALEKQYLRLTSSPDPNLVRPEHILRKSLDFVYNNYLNYTNSQSLSTQKQKKY DWKYLEEQFRSIRQDLTVQGIKNLFTIQVYELNARVALENHDLGQFNQCQARLKELYS LGLVKFEGSNREEFLCYYIIYVTLQNMKADLIRVLDEAQPYKNFKGISFAIQVCKALM EGNYYRYFKLCRKAPWNSRFLFEIFRNRQLIVALTTMTKAFRFIEISVLTSSLGFESN LECHKFLTSQKAVFTHPSSPISELKLDCKASFAVFSSSPLLLNRKVQALG cubi_02600 MKSFDVEEVESKVNGKESEKDFQSNTTLGKNADFEENEKVENEI NFEAKFFSRRNLVKITIGSVLSVLSGISIPLFLLYFGLALQKIPHSLNYSKEQYHDFM QYIYCLIGVTLNSFFSGWLSIAIFETLAENFVKNIKRESFQYLLQMDEDWHRDNDHGS VSSKMIANCALIREGYGIKFSQLISNVSQFLFGFVVGFYRGWRMALVMSASLPLVAAA GFLITKIHKSWGTNTQKVYSKSGTLAYETLNNIKLVKSYCLESYMFNKYYKVVTEVEN VGQKASIFVSLGMGFVSLVVFSSYSLGFWYGGVLVADSMDSGCTSMEDLSCFTVANVF SIFFVITNASIALGQSTPSLGSLVKGSVAFKELSKLFKTPRVRNQREALKFGSINGEF KFKDVSFNYPGTEKIILKNFNLTFQPGKVTALIGGSGCGKSSILKLILRLYDPDKGKI LLDRLDIKKYDLAFLREQITIVDQESKLFNDTIRQNILYGNKNASEEEIEKALKLSQA WDFINSFKDGLDTPVGNQGSLLSGGQRQRIAIARAIVRNSSVIILDEATSGLDVRTES LFTQAFQKHISEKRITVIMIAHRLQTISFADQIVVLDSSPTEGIKILEQGSRSELESQ NNGIYSNLIFRVSGKDLGTQSQSKPQLLSSVSDKTLTKLATFYSNNSSKSTTRHYSLC SFESLNQGLCIAQKDTKDYNLMVKDLFNDNLRALSVKLPKVSSLKILLLLKKDIHFLI LGIISASIQGASFPMMGYLIGKFVTSGTLPTSDLVRTETGKYSLYFLFLAILIFLTTF AQNSFLQISGERLIKRLRAECFYSLLYQDIQFHEEPNQSAIKLCEVLAEDTRLAKSLV GENIGLYTQNIVTVLLGFVISFTSSMELTLIILGFFLLLIPTGFAQSKIIKGSTNRDI EIHSESSRKQSVTYIQEILQMHTIIKLFNLQQEFIGKYRRSTRFEYFKGVMDSHLLGL CWGFGQAVQNTAQSFGLWYGSRMTINQKIGIGELVQTILVLILTAASVCRSQIYATDK KKAKISANKIFSYIDRTPIMRNRYYISMDERCLKDFSLSRDQRSQESILEGKIKTKLQ RFRKFIKAKEFATITPNKLEVLLSGGDYCAHRSEIDPRRGEIVFKNVSFSYSENSESL ILSKVNFTIKSGEFVAMVGKSGSGKSTIFELLERFYPINNQISEETRAEGNDYQPEEK PLILINSMDVNELDVGELRSNISYVQQNPILFSGTIQENILLGRMEASIEEVEEAARM AQAYDFIMELPKGFHTRVGEGGTELSVGQKQRINLARAFIKNSSVLILDEPTASLDIE NEVSIMKSIYSYSKERNSTVLLITHRLGTIQNCDRILLLSEDLENGGSTILEQGTHLE VSYKA cubi_02601 MTTNIFAGNTGDEASSSRIIIKNLPSYLSEKRLRDHISSIGCNI TDVKIVKKRSESNPKVESSRKFGFVGFYSEEDAKKALEYFNGTFIDTSRIHVQYAFPP GSDLLPRPWSKYSVGSSQYNKRNNIKENTQVIDKEPVTLNKEDDSKKENFKKWISQKN SNKSWLDSADLLDNSEINSVKKDHSSKVSSEIVKPTKAGVSNIRKHIQFSESESDLDL ESETKHETSNDNNDNSSTNVSDSELLSESNINSENDQEPEEVVDIGEQIATSPVETSR LMVLNISYFTTEEDLSRFFSKWGEVKSVSIIRSPDSGVSKGYGFVQYEFSEHAISALS QAHLSLLHGRVLRVSPAFNKPTKTITDSLNESNVIIHSNYKLKALNKKKESSTDKKTW NLLYISGNSAVNAFIDNEDIKKHEIVDVQAPDLASRVSLMETHVISATKEWLKKEGIS VRAFEVEGSDIFSAKLKFEGVENTERSRDTIIIKHLPSEQVTLSELQKICSPFGRINR LCLSPSKTIAIVQFLDESSADSAFKRLAFKRFKSVPLYVEWAPVCLFVSETETQEQKE SGNILLNKEALKNDEDLDNTNAVHVFVKNLSFETTKKALENLFKGVEGFKGATITMKS HSDSDGNIIKKSMGYGFLEFKTNENAKECVKRMQSITLDGHTLELKISKSSKTSQVVS NTSLPYGTKLNDIGVKNVSNKLLIKNLPFQATKSDIMSLFNSVGTVTSIRIPKKSDGT NKGYCFVEFLGKLEAISALEQFQHTHLYGRHLIIEVAENDDDSSSKKKKIKSS cubi_02602 MSNEEKPFSYLIHKVLNILQSNATPLRRKEIHSLLYKDGVQISL ESDFWTKIHLHDRVFLDQATGKYSYKSPYDKLNSEISLLAFVRQHQDGLLIDEELLKT NPSMERWIRNLMCKKAVRCLRQQQIAGRIRCKNGGLASTGSSTGTGCPLSSQRPCESC SSLKGIVLYPLTENVGIEEIKMDDDIKETWKSLIHKKGMNLEDIIRTTRGEMAVRKLL GLDKTSNCSEPTSKRRQNKSSSSNGTELKRRRIKIKNSHIFNNSDELFSFNT cubi_02603 MALKFALTTILTILIMLSLYHFRDTNHWIERFSVEVQENTIITT TISTLIISLLMSISVPIEPILISMAFFTSRVNDPTFDVILSLFICFNATQISTFLTVT IFRCCFLGYYKEMTHKYTIFSAFNEVAKEKGILLVVLIRLSLFLPFVLSNCLLGLTDI SISSLFIGNLAVIPAQISLILFGISIYDNKRIQQELYITYIHPKYALLFFSLTVLSVL VLIYIVFQKYREITQKTEFLLKEEHLSSVPFHQIDNL cubi_02604 MTTQSKSKSCFSNLLKNIAKCIIFAILAIVLAIITIPLMLYFND NLYFLKHIEQISGDNSLIFFSLMFLAFVLYSIIPMNLEPIIAITSFYFSKKFGFSSGL VLSTLNTFLAVNLGSIATILFVRFFIYPYFKKSPEMTFNIWSDLTNEYGIILVILLKL TFFPNFISNCLIGFSNISITEFIIGSLAPLPRYLSISAFASNINSIKYYGFILLSLKS HPYHEVLFTTISFLSHLFLLGLFYRSYIKIKDRVPVPTEENITNLPNNTSTLNSEIV cubi_02605 MSDEVDKQNRPVIEGGGGEMETPEQEKAPLRPQRRKKRWDIVSE GEQKVSTSLILDQKRSRWDTSEVEMDKESKAKVEIQNSEQSLESGSLQKSVNEERKPH PAFQKEYTDQELDKILPSEGYEIVKPPEGYENLRRANLENKRKLLEPEITLYEIPEPN KAYPEELKETKDMAQQGFMRQVFHSELGELSLRIEDFHFFGKIFSTVSDDDLSPEEVK ERLVLTLLLKIKNGTPILRKKAMKQIVETARDQGPGIILNSLLPLLMQSTLEEQERHT LVKALDRVLQRLGDKVKPYVHKILVVIEPMLIDQDYYARQEGREIISNLAKAVGLATM IATMRPDIDHPDEYVRNTTAKAFAILASAMGIPSLVIFLQAVCQSKKSWQARHTGIRI VQQIAILHGSSILPHLKSLVQIVSHGLNDENQKVRVITALSLASLAEASSPYGIEAFE PILGHIWKGISEYRSRNLASYLKAMGQMISIMEKDQACHYIKEISPVLVREFGSQDDE MKRIVLRVLGQCVSVEEIGSEFVKRKLLGPFFGQFWTSRNSLDKRTSKLVVNTTVLLS RQVGLEPILDGLLLFLRDGSETFRIQALEAVRNVMENVQVIHLEQRLEKLLVDGILYI FQESSAEEDTSVVEHVGRILTLLGTRSKQYLPQISSIIRWRLNTPSPRARQTAADLIA QIIVVMKQCEEEQMIAHIGLFLYEYLGEEYPEVLGSIIGALHAIVTQVRVEKLSPPIK ELVPRLTPILKNRHEKVQENIIQLLGCCAKKGGDLVSPKEWDRICFDLLDSLKANKKS IRRASVKTFGYIAKTIGPQDVLVTLLNNLRVQERQLRVCTTIAIAIISEICMPYTVLP AIMNEYRIPDLNVQNGVLKTLSFMFEYIGTMSKDYIYALTPLLEVALTDRDQVHRQTA AWACKHLALGVAGTGCNDALTHLLNFLWPNVLENSPHLVQAVYEALDAFRVALGPGVI LSYLLQGLFHPAKKVRSVYWRIYNTLYIGSQDSLVPFFPQIPPTENRNFVMNELFYCI cubi_02606 MREIVHIQGGQCGNQIGAKFWEVISDEHGIDPTGTYHGESDLQM ERINVFYNEASGGRYVPRAILVDLEPGTMDSVRAGPFGSLFRPDNFIFGQSGAGNIWA KGHYTEGAELLDAVMDVVRHEAESCDCLQGFQITHSLGGGTGSGMGTLLVGKIHEEFP DRILQTFSVFPSPKVSDTVVEPYNATLSIHQLVENSDAVQVIDNEALYDICFRTLKLT NPSYGDLNHLVSVAMSGVTCCLRFPGQLNSDLRKMCVNLVPFPRLHFFMIGFAPLTSR GSQQYRSLSVAELTQQMFDAKNMMCASDPRHGRYLTASVMFRGRMSTKEVDEQMLLVQ NRNSSYFVEWIPNNIKSSVCDIPPKGLKMASTFVGNSTAIQEMFRRVAEQFTSMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDVPVEEDEYPDDEHNIEA cubi_02607 MDKVPVEFKRKPTVEELKRPARAQSDGSLQSNLAYKEGGELYNI WYGKYQFDYDKRGKLASKTKCVPEKDSGWTKADQDPSTKSHFCLYFAKGACVNGSKCK YFHRIPTEEDDALVSPMYDVFGRERHAQHKDDMTGTGSFNKECRTIFVGDLYINRSKT NYLEGVNKVIKREFSVWGPVDYIRVIPSKNIAFIRYFLRSSAEFAKAAMENQIIFKGQ TEPITVRWAYDDPNPTSMENSKKRNAEEVEKMVSNLETEKKSKPDLQVNNEIEGFFCH LNNLNYNVPSEEVVKIQKDYYRVEKILESIKTSKAKNSGKSVTSCEESNNEEKEPNKQ EDNSIYDVVL cubi_02608 MEGQVLSSNFENENQANTELCGNNGQIPSQYYVDLFYSQLAYSY YGSLHFYWRENFCRIIKEKGLLVGSREPLSMGFHDPLAHLTEKKVTSLTNICSDSSQD LGPKIHLTASVFYRGDPDHILLAQAYDSSIPDPKECDITTEFSLIAEYDSQNKEFSYP GEKKYSINPWERYHISILMKAIFVFMFFDVSKEFYIILISLYFLYIRGLFDGLQELIE RISSNQPMDTTLAELRRRRAEIELEAQINFEREQELLINSELDQIKSQTKEQAGDQEG LGPFLSQIPSPNEISEDVSSKITPPNEEFEDGSSVISAYEETHFLDSLGVLSPNEVLN ISQTQNIKDHSDNSRKEGTTNVQESAQEVSGATQDNQVQAVKYLTCVIYQTIGMYIFT LMPWWNPDPTYLI cubi_02609 MLDKNKNATAKDNTNLQDVKVANLNNSIGKLFEQFGSSGEFKIN MDDRLCMHCWIVKSTLYCSTCMCFYCASCAHMNHVSAGSYHDIISVHHLSVSRTDTAK SLQEKRKNLCKRHKNKELTYCCTSCNYMLICETCIIIDGHGSHLLLTLAEAIPRGRQI LLQSLSSLNAASFYMSQKISEISALAEQRKSVFENNLINVEETQKSLNRAIASEEQLL FTEIDLLANKLNRGGEDICKSCENLKEMLITVCGEVSIILQLSKESPSHSLNRYVKIS NVVKGTLDPSYRVLVPELQHLSVPYWNLFPEIIDDVLNDTNKYTQEHIDHAMKLSDAI TKIASGDISVVEDIGQINAQVYAKSNSENERAARAKVHKIFTSKLKMIQHERLKNIQN PLIAPKSSLKLDPKNKAKSKAKAAQTGPKLQEWLGLRDWKNNQSRKQNNAKNKNPNGF KIPNEAYNSTSKGNKSIFDDLGIIRALSDGDIKFDEIVEKDIEIMTIGRDKKLEALGR TKEEKLQTEEFYESQVHFSGTLMGACIFTKKDDGIEVFKMDDELTKINFKYCFPFIVI YDASSSEKQNSKIMDKAYSIKGGMNEHALPTISPGLERIDIPIVLGKIEKPKNSLFVA DIRQSNIRIQSIHSNSITPETSKFLGATANSLKPIEDGFEISNVIKGNTIDSWVFSCP DFNTAEKWISMLKGEDLDVKSCIKKVGTARLCIKSLANKRNNQKMSESKLTENPLGST EEQAFIDVVPKIPNNAREKLLISVQPDHHELTQTIIYEDDEGEYYEEQEIELPDVMSI RLDRKLSAKKTKSLEKLMIPSILKIGKDSVIQIEKLNVIGSSFDSMNLQVVALTKNRL YITSPEIFEKNLSHDLLLDEYSIQEKCFIEPINLTDSSDPSNSSRFYVISPKLKESPK KNDNSMNKNYFGFEYGENRHPINDKNNNQNDNSNNTDRTTIKFICPSKDSEQIWLDSI YNHTKKKPLKYWRQILDGIIVRHRRRFTSPKSSKGPKSLKNSGALSHSKRRSSRNNIF EESDKFTDTSTHIQQSDMEAKNLNHHDYHHNDKHGSNEDKKTNETSNHSKDRELFNTS NSEGKSTHENSSHKSSFENVNNLKNNQNFVRFNLNNHDDIGKINRTLSSKTFQTSQDF NKIFRDKPFSGNSP cubi_02610 MPDNLSPSKNKVELFDVLELDEETKNHLIKNEIKTVPQLLLDNL RKKGLKSSQEVTIYSKCREKITCFPNNVDAEEQKINSGSIGIETGFITGKTLLEVAKA NWAQNENFRSHINLGSDILMDFFDGGLLIGETTELFGHDLPVIRRVVHWIISNTMFNS SLESLNSETDSEKFLPYQVIYIQTTDNSFDPIFMKKCFQKLCGELELTQNSQYSTEIS HNMELLLSNICIVTVDDCFQLQKLLCDLPRIKQQELKRLKLIVIDPITSLLGSHNFIE AQELSNNYYKEIKGKVFIVGRLIRYVSKILNISSIVISLAKQSIKQTNAVLNYCSNQL LCDINYPKSVHFEGIGTFSIPPPTRAFLTEIDYNWFLSPHNRILIENIVKVHEENAGS IIACNPPIPHNMRILRWTVFKSNRLPIGKYVCVIFDKVGLIESECQFL cubi_02611 MKSKQKFGYFRTTILICLLFFNYQVEGDDWNATSNVKEYRNSRR EPILTRAPPVTKLGDPTISLTIPARKVCYKGSFDTKYNSCTYIDKDDEAIPIYKPCIG NSTLVDGYCIGTMISDYEKYCHG cubi_02612 MWSSLELSRPLLKALSDLNFVEPTLIQKEVIPLALSGRDIMAEA ETGSGKTAAFLLPALERLLRSPYIRNSKVSSLGRVGGGVGTKVLVLLPSRELAMQCFG VLESLVKYCPMITRTVITGGMNIQQQERILKCQPHIVIATPGRILDMLLNTLSIQLEL LEIIIFDEADRLLDMGFRQECLEILRYSSRTKQIMLFSATLSRGVSDLALLALNKPCK ISTVGLKSGIKSVGNSGESELLSITGLSSTLQQEFVEITREEEREGVLFYILTKIFTK RVIVFFQTKKEAHRCAILGNIFGLSSTELHGFLPQEKRLENFNKFKSGEVDILFASEL AARGLDVQDVSAVINFTIPLEASRYIHRVGRTARIGSKGNCITIYTQSERSQLKALMK QVLRSGKSSTGKGDTKENVSSIMKKRTFATNNINEWVEKISNSEKQVKNAIKADITEK ELRIAEMQVNKAQNIVLHKKEIQSRPRKKWILTKKEKITTRESAKKDLLKSCSSLIGQ HSAFNQVKNTLKNDLKSTIKTANKNKKK cubi_02613 MINSKRLNELLNREICESDEIKAIILVNKEGGIFSLGVNKESIE ISQINHISSVLVSSFNEYKSNNQTIKDLYLESSNGKFIIGHTHFKNLFLIVWFEYNAT IGKVHIKFNNINNALSG cubi_02614 MQKTNILRLLPYILVLLGNKAFCNIFNTSSISINVVEGRSSDLE LVIKNPADHSATPEVQIIEKIFSPYIMKQQKPPADDEQYEHMLGEFSEMENYDDEDHD EEQNQRINPEGTPKGPGSRTKGIPGRLIIKYKEKVLSKAVKELRVAGIMSKNGQYPKL DGVAFLTRVVSALSESADELVGIKSATVLEALDMMIVEVFNNSKASEYISKLSASLLH SDEVEYVEPDQEVRLADFGISSDSGAVYPNDPKFGEQWGMYNRVANTDSKVLMAWKHL GINTSAPKGPEGYYTSENQPKREVIVAVIDTGVDFTHPDLVDNMWINEKELYGRPGVD DDMNGYVDDIYGYDFANNRGAPVDDEGHGTHCAGTIAAKGNNYEGISGINWKGVKIMA LKFLRGNGIGFLSDSVKAINYAIKMGANILSNSWGGGSFSQATYDAIKRSIDKNMLFV VAAGNDRNNNDIRPTYPSAYQLPNVLSVAAIDIHGRLGVFSNYGHRSVDMAAPGVDIL STSSNKGYKRLSGTSMACPFVSGAAALLYAFDPSMTFDQAKTLILKSVTVLPTLRNSV RTSGTLNIYRAVQIMSQEAYNQENGKESPRMGISWITFKAPDTIGPQSSSTLKLKAYG EKPGSFTSTLVVKLLDSNDRDVTLAQGRLKTIINVVSIPKPKAILPNGNSTNLGLSFM GLEGTKTLIPISNSGIGTLEYMAEFVNMEGELGSKKKGKFKVYPPLGTILTVGEDQTN KDLQVSCIPNSLEGFISGHLMLRYNKGGMSSSSSSTNKISAKSTKIPYVRSNLFTETS SIINTLSSNIIPPNGNYASLSSSSFPVGTERLAIKLYCKGYGIEVRPPSINRVIRIPE TTISGYLIFKGLENSFKPKVYLRFKSLRDPSSSRFERENNKKDYLRNIIPIKDLSPSK SYFKMILSGEDLPAKKRIIHRLEGMDSEEGKTKIVVSLDDVIIPKSNVKFEWVDFGLH QETILTDLSNSDDGVQKVNLPRSWHFLGERIDEIYVSVNGFISFSPIYSEAFVPALPS YAPPHGILAPLWTDFTTKGRRKSTRIVTFFKTGEDEDFGSRDKYRREMDMEEQEEDEE ENGERRKQNWEFVIEWRNVFLKSEQYNSKSATFQCVLNSNGSVRFNYLSIPWDDLEDP GSISSSGKGNLAISRISSSTMLGWESIDGVRGVGIPCTEKFPTSESSIELYPENPKIP WFQLSETIVPPLQRAQMVYKVGWKATIPSFFEDQTFKGQIQVSSSDGAASIIPVSITA IKERTNQAQSSEELEGEYIGISGESGGSEMEKVGRLDS cubi_02615 MSLICSISGITPEDPVISKTGYVFERKLIEEYIRCNNSCPITKS ELSLDDLIQVKSTSSFKPRLIKNTSIPGILDSLRTEWDAMAMEMFELRSELEQAKAQL THSLYQHDAACRVIARITREKDQAINRLAEIENSIHEKDENNNESLPSGGGKRFRAQE NFVDIPKNIENLFTEYSEKMRPIRKRQSFPDLKPAEQVREFSLKKEVKLKGSSKLEVG VAFNDQIYVSGMENGEILVSCVDFDVEEVSSIPISYPQNCEKAVSISVIGKFSGDHYS SQSTNQLPLNVLACFQNSSKIHIFSRNQEDDKSFNSHLLMDFNSSFESDLVIDSLEKH PMGIHIIANSSNKGLFSMFDLESRKQLLLHKLEQNHSYSQLKPHPDGLILGGIAPSGN LIDIWDIRNVEKASSLEYSFRNNNQLTNPNINRNSSLCFSNNGYYLLSTSLDNQIHLW DLRKSAILDSLELSTLPGSSNLILHIDESGKYASCSSDNHFSIFSLFNKHKIQLISSF SNISNNNSPDIIHHVHFNQNLSSFSSLCQSGVVRMWTDNHT cubi_02616 MDHKKRKLENEIDGSIDRFPLSDESREILRSRGIERLFPIQAQS FESIYDKKDVLGKAKTGTGKTLAFVLPVIERLLKKGKFDPNKHGRRPLVLVLLPTREL AQQVSNEFELMKGKDRYKVCSVYGGSPEYPQIQEIKKGVDIVVGCPGRVLDFIERGIL NVSKISVLTLDEADKMLEMGFKETVDKIIDFVRKETGDREMQNKQNKFQVLLFSATVP PWVKNILTEIMSSDTVTVDVTHISIHGEEEDEAATRSRVRHLAIQCAYPQRTGLLGDI ITMYAGISGKCIIFTETKQTANEIAMRSEISKMCQVLHGDIQQNQREIALQAFKEGRY RCLVATDVAARGLHIDDVAVVIQLAPPRDIDTYVHRSGRTGRAGKFGTAIMFCNMSDY PFLSSIERASKISFQRIGVPQYEEILQKTADSIGKDLLEKEIPSAMLDCVRDPAKHII QGLWKKLTGKTSRKRHRSGNSDDEETEAESNINDNDIDDDMPEIPDCAVRALSHCLLE LTGINNDLKKIPYRSVLNGREDFKSYIITFNRLKEPIASNSYVWRCLKSNLRGCESLI ERIQCMTLLKKGDGAVFDVPLGSIRQWESAISGFTPKMNSNSGFFQIVPATSNLPELS IPVTDTNADFGHNGRMNSQHISNKVGYRQSRGSRGGGGGGGGYTSRGGSTSGGSRGGL SRGGKRF cubi_02617 MKDSFKVTKTRQKCINLTEAHFKEIISELENAAQIITSQKNEIE SLEMALNASKDEIELLQKSDRALKKEKKKLAMDNQKISSALNSEIETLKMELQHSQKQ VEVWKGRTNESKASLRKFLESTIMDSGEDSINNGTYIESYESTIMNLKNQLDERLLRV EQLEMNYQNVSLERDELSSEVANLRAKNKSNEYELRELREKQRKEENERKRFSMREEK LSNEIDSLCKKYNTEIDELQKKNNLLNDEIWELNRTIKSNNNSIKELQDQNSNNKKYY EYYIAGKKVEDFITSKISDFESSVRVQLTALTNLVHKFEKNRMTKMSHSFKGQNPVYT RKNALTEIQSFSPVILNDSGKADTSDMEEINPGRRNQENYSSTLRLFENDDSLINNSI TIATTASSGIITANNSQCVSNNNSNSPYIMPKSHTKSVDLNSLKGSNVVQQNDSSNIE NFSYQELTENIPPNYSELVKETMKVLTQASKDHQAILEKIQYLSDNMDDEQVINQTQY YQNSNKGIKSEEIFNNESAYYSIGNEEICINKKRPIEDDNCSESSVISFLSEL cubi_02618 MDIGNNVEEHQEYISGPYIALINGTTQQREPNKKLKNIIVATLI AIFIVLVVTISLYITNNTSDKVDDFSPGNSVDPTTKEYRKSFEEFKKKYHKIYASKEE EDRRFEIYKQNMNFIKITNNQGFSYLLEMNEFGDLSKEEFMSRFTGYRKDLDDNEGRF KASRVSAIEFEEDFAIPDSVNWVEAGCVNPIRNQKNCGSCWAFSAVAALEGAICAQTN QGLPSLSEQQLVDCSKKNGNFGCSGGTMGLAFQYAIKNKYLCTNDDYPYYAEENICRE SLCENYVEVPVKAYRYVFPRNVNSLKSALARYGPISVAIQADQTPFQFYKSGVFDAPC GTRVNHGVVLVGYDIDENTNKEYWLVRNSWGEKWGEKGYIKLALHSGKKGTCGILVEP VYPVISQSI cubi_02619 MNNSGRELLVGEEVENEQSQITKLINCGMSAFNELVIKPCRENI FLLVCMTSLLISSVFNSVFFKKMTSAMPNHVWFLTQLTSALYIPLFGFVILVSYFRGE LSRENLEFPMSKFWMMGFFDAFSSILTLLASTHTSGVMQVVLGQMCTPITLIMLSSIC KDRFHKLQYIGATTMVMGIFIVKSTLLFGIREGNTTENSNKLVFNILFVIACIPASAS SVYKDLSFRKYSSLNENYLQFCVAATQVIIGFFLVPINSLSILGPLKIEMNQIPSLLF NGAKCLFLKKNSITDSCGEELQRPCDDCSFVQFPVLMYLIANVICNVFSVLVLKYGTA SSGFIVSTLRLPVTTLVFFSPKLVGKDATEPKLEDLIGVLVLIVGLVLYRLGSLKISQ EEEDIQAEERLEDNYYELSSMTYSKETLNKIKSNTSSTTCSFNIEYDSSHFHSS cubi_02620 MLRCEFEVFGKVQGVSFRKYTFLKANELDIFGWCKNTSSGTVIG ELEGDEDSVLKMIDFLKKEGSPGSVINKCVVSNSQTVTEHVFDSFRIKM cubi_02621 MPLSQNMSMTELSRFRTRICERKALHGVCELDERCPFSHCLSWH RRNPYEYAYRPNLCPNVVFQNENKKMRVKNYCQRGRMCMFSHTKEEQMYHVLVYKTQL CREYPLCTKHYCPFAHGLDELRNPETIEFDPVQGPEVIERQRLVHDCNKFNEIDLSED LNDPIIIKNKETTINNNSISSVSPSNSPLHSNMAVSNLAPIMDPTTRNPNSKYSIKNK SQTSSSTNNSPKKNGRGNGFNNKASSNNQNRQFLSNELLKGELTPVNNTNELPVTPST GCDTPTHSQNHVVTTGLKNDTRKAVNRDFQRKEVDRITCSPNPRSAFPKVLDGDDIVH ARDNVNIPPPPYNAIGLNFPCNEGLNDNTDPSSLLPNYDFGRFAWSGYYDKNKNLLSG RLIDYEKNKLVQQEPICNIDDYGNGTSVEQMSYLMHLQLLSLSDSSEKLLETNNNDSD WSLSNPAFGVTGIYNTQQNRDSNTCLNQCNFSGDLNSSSIPLASHQDSIRCQNEVKIT PNSQLFLSNNYFDDYEFDFIDNIPSHDKMGIRDVNNLYNRSDVNNLKIDAANNSWNLF HPSLLGSNGRDGDFWTEGK cubi_02622 MKGNEIFERIKKSFKIRVEDFRQGRQSWRLKEWHHFQSSIYLLY GVGALIFVRFIANSNPNNNYYDHFVNDPNDPGSSFTHCGITPMFNMIPIPGSYILLIY SIYSLSIISLAISLLSFILGFSFKFFSRIPRSYFFSPSKRTRVLGFCCKILPIIIRVL NLFFILLLIVPFVYITTSGACYRRLVRFERNKVQNCRIWVNKCAKDYRIFGRHLECSL RKNFKHFPTEIVEDPTIGENLDIIIGGPMPLLCPMCNEVRMDPKSPLYKGEAYVEQLK SSISKMEAINIKDRSLYQVEALSLIQLSNHISTSSEIVTNIYTIQEYKEMIKPIMFTN ISPLMQKIINRQNLYSYFQYLPLSLLQLKAIKSKTNKKEYSDDLVSLEEPINIDLNSE YIKDYDHNRPSVQLPSKVSIPSKFKSIVEKYAAALSSQVNGDTLFSEEVTQKKDVFSK NNPTKNHHKEVDKATLNNSFKSTFHFSGDSEATPLTVEQSIDLNREFEAARYQIQVTM HEGSNFSRSIFVFLLISLIFWALTRFFSFVIFDTARDEACFYVPNSKRTLIWSKLRTF LDVLS cubi_02623 MRKGVVSINYGDAAVTAEGNIENQNENELFVDHRRGEVNDLYEK LQDITLIKDREKRIELFQKAIALMTLGVDVSSLYSLMILASATHDQVEKKIIYLYLTH YAERNSELALLMVNTLRKDSEDEDPVIRSLALRSFSSLKIPIAIEYIEPILRNGLNDS VGYVRKTAVMGCLKFFQYSKNNFLNTNLLETLTYMLDTELDPSTIINLVYVLNEINKE TGGATFTKQFILKILTNIKSFNEWSQYHILQLIFQNLSSIVQQDQPYPLESTIEEQVP SSDVFQILNSLEEVIRHSSVNVLLISIQIFISLTKAYPKLFSQVVQRIKSPLFTHAST SIPEISVIVYSHMRLLFSFFSNYSKDGIFIEEPNRTFYSSSSILSYYYNEYKLLMSRN GDPYYIQDIKLDLIPFLSSEENTKYILEELYHYSYELGNPSLIHKSIYLMSVLIIKYI QIRLDSTPEDLKPSETLKDDHIIKAYINFSKDLMESEKEQILSPILMGLELVSESFPW AIEELIGRYFQISLIEKLSFKTGGICSFLWIMAKFPDYVYCDKAEILDYIITNLIDVF EKNSDNIESLINRPSNLFSILITSCCRLLFDSPEDVRPILGRLLEFSIERMDYPDVKD LALFYYRLLQFDYKIARTVIQDDSGEYMPKGKYPPSIYILKDYFFRWKNDNLFQEFNT CSIVSEHYKQMGVKFDFFGHCLCNETEAQFGDSKSIENIKTITGNSSKISGKSTNLRL KQSVFMEPDEFERLWNKLKESLEIQEEMDCQTFENFEFMSSFEDDLGKEGIICIASGE IDEKSFKLFTFCVVEDLQNSLDLPCLCEITLEYSISKRVHHVSAQIKVDTQDLTDLNT SSYCNYTWSWIRRIFDSNLIVIRS cubi_02624 MEIPIFSEAPLLMQRNREAFVQQIKRDLGEYFAIMGIKDCDYYG LEEILERIKTSNIKFNKDVVRNVFLSIKPTHMGKCSGKQLKDSYLKRRWELQTMLDTC FREFEALEQLRQQAENNLRRYENVASKYDQEESKLMIQVVSLDLQKEMNLDAGYKVHL ECQDQVIETQSKKFQYDKKCVFWNELFSFEIERKFGSEANHLDIILLDSRNNLQLGGC NVILDELADQRKHELKKEIYSNADEVVLGSLLISCQWLYSRHILYKSYVREFKQKRDQ KMEDLNTYQNELVYLDEPFLPKPSNLSLYSYLSSVPDFLANKLDNSLTQFKMNGPEVL YKYVIFISFLLQWITSATRSCYLDSLTTCYIFWCNLGNEGFASSRWTDNGFKFILYSI FISLIIDIFWMKIFFPAWSVSSEEGDLRNFSKVFTFFNFAWKIILFFIIWKSRNDFIK FQQYDEALLRKNKHVNLSLQNHLSY cubi_02625 MSETRRSFFGSIANGVSNFVMGSNEKEYNLRNVARASASFAKPI IGQVSEFVESGKNSLSDSFVWKDYHKGYISTEEAIDLASTTAREVESSEPEEFKIKYE EKIKSKSQVFSYPSPRLDTLSEVFSNSKEKIQNCPIFSKIGSCGCNIFQSLKSGSIKV FSNIKNLIFKAMNYIKDSFKCCDQTILFLKKNWGIFSKNANRMLLNIRNNPLEYPKMM YHFIYGFFHSIYQRIYHYRHPEVHKSKIAALGSTIKNIGWSWKK cubi_02626 MILRTKSLLNLNKNGFLWVLIERNIENEDLNVCYCLNNYAYDSS KEGFLGEGSYFRAYRGRKLFSFNRDSVENVLRTLKVGLLSCLKSHKVVLVRGDNKKEI LSVYEKVVLEYLILRKLNHFSIPRTFGLLEIPESNQIVLIHEYLPFQLMYWNKNGQVY SIIKGSKKILVYNERISIFILYQLLISVEYLHENKIIHRDIKPENIFLTERIDELDMV SIGSLNILDEDEEEDESEEFFEEKCLWEDAPIFDSVSQAKTEQIRLLRGRGEKRRGKK GELREAGERKEEEGEQKGEGKGEMEEEKDTDEDEEEENLDSSLKRVLDFKEYRDYFRK DFLQKSEEPDPNLKFNSRLLVKLSDFNSCIIVDDSGLIYDSGSKLFAPPECFKLECTK DGVDGYKRDVWSIGCVMYCILNGKPPFFGKDTRELLKSIRENSSNLVFHREDISMETR KLIEDMLSLDPVNRVSIKDAKERVMKMLN cubi_02627 MLFRSGIRLYRSVVCPAEIRRVAVRLGMYYLSSGKELSFGSKAR REMLKGANDLADAVGVTLGPRGRNVVIEQKFGETPKITKDGVTVAKAIQFNSGPVNLG AQLLKDVAISTNDEAGDGTTTATVLARAIFKSGCEKVDAGLNPMDLLRGIKLGVKHVV DELDSLSQPVRSHEDILNVATISANGDSIVGSLIARAYSKVGVHGTINIEEGKTTQCE LEIVEGLKLEKGYISPYFITNQKYQKVELENPYILISEGKISSLKSILPILEFCVSSR SPLLIIAEEVEGEALTALILNKLQLNLKVCAVKAPGFGENRKQMLKDISVSVGAQIIQ EEFSKAKLEQLTPNQVEEFLGKCKSISISKDETIIKQGEGSPEKLKDTISLLKSQIEE NHKLSDYDREKIQERLARLTGGVALIKIGGYSDTEISELKDRFIDALNATKCAIEQGI VPGGGSALLWASRNLGKLYSQSPPPGKTLEVSQTSESNPIRNYDMAMGVKIVEDACKV PCHLISSNAGFDGSVIVGELIKVFSQGSKHFGFNAQTGQFVDMIKSGILDPTKVVKSG LRDAASIASLMATTQVSVFESKNQSEKMGSPELMSSSSPSSSSFGSLPGTFY cubi_02628 MSDFSERNLEYRLDDSGQQVQQQEQEHISNENKIPSGPIIATLC CLSALGLRGKVVSTFSFFPPKLSGLVLRNQDGSEIEFKKEYIRKRFGLETIILRSATN IEKRLEDLLVGERKADIFCTWLESGRSKIPISCISISPINKENVINDEIPTFIFSHGN ATDIGSMLPWFVNLSLKLNAHVLAYDYRSYGLSKGKPTERGIYADIKAVYEYAKDELK FPANRIFLLGQSIGSAPTIYLAKKLGKKLKKNSGKYHASSRSNIDSERSNLPLGGIII QSGIASGLNALLAPDYRRDIPCDVFRNYKNIRKVPFPILILHGTSDQVIHISNSKKLF ENAKDNKFHPPVTTWWIDGANHNLPGPSPKKEYYQKIGVFINSVI cubi_02629 MDFSSKEKSLAVDFELDGFLKELDLALKQTVRDHEREINQMRLD NKVDEYRNSIEFIICKAKRILKNIED cubi_02630 MAIVSVADSSASSMERLSYDRSLLRSSAESYSPLERAIKYSNSK VVLQRLVLIREFIDICHDVGYSKATSTLLPILGKLIRDNDEDVRRGVLSILGDLVGFL VQSDLEQGYSVVLSSIVPIIKDVLMGDTQQTSISVKEVACRVGITLCSHLRHSDRVDS ILSISLALANDLENEDSRCLATWMLNGLAEYMGKDICMQFIVPQIKCLADDHSERVRM FAAMNLCEVIRITQNFSELLPVFKRLLLQDSNAVRKCAIKVIIGIFSSIKTIDNSIFS SFLIEILRDVSGDDFSNDLKKQIGPLITLFKDPECVPREILDVYLAMGMNFEEDGDTE FLEIDSRDSTVEENNLVYYEQTVEEDNQNSKVNTEGTNSRKSSEFKEIKEKQDDISLL PFGSHLGLTFKEQELNGPLFFCSYSFASVFSHMGPSYWPEFSQLLAQLVESNHYSVRL PIAASMSLILATALSCGNRTHSHLIRLNSSIQNPPFSEVSNVDNHSNIKDKEKNEKTS AEIKKEQNEFCNTIEKGVDLFYNNIHHEPAKCLLAGSSFKLPCSKGCQLRTDILPFLH EIIGKLLDDNDIYVKRAIFSCLSDIIKCLPHKEHVEPVIKKFPDLLNSCRIDWRCRNI LANQIRKICIELHLRNMEIRKQSETSQELFTGSFAQKYLSPVAFSLLLDPVSEVRKTA INSVSSLLRISSPFLWHFFQNGGNIHDLPSPIHSPNSSSGSKNGVQTLSAPTLVSTSV SSSSPSPSSSLSIDAPSSIAPVNITGNSEPSWPKEEKRDLSTMNNTEKSIKENHDILY KTFQPLYIHKQNSSVIYSSIYNFSPSSSKTLNKVSGYIGESSSSSNSGTIQAVGALSS NCNHNHNKTTNATTYDDGFNFSEKLRVLFSLDGKNGNCKLINELALIWCILKTFAIST KYHHRQEFIQMCDRFIRDIPRGFFYAYFLNPLILLASDPVRNVRSTWLRVIGPHIKDS GRLGQCANIVAACKLMSLSELDKECNKYLSNIKFLPKSTLKRVDFLSVKDITGIIGRT ADYDDILSWNQQPLIKQFNLYMEEVLGSLWCIDNVPGSSLLSSSNTNYTNRKPFYSSE RCSDLTMEYEMMLLEVRPNSSPTNISSLEPVITSDTSSLEFGPSNNDLFVNSNALFSC RLGFSRRSLELSENDSLLPNKNPDKILSSDNVSYKTLESDSSLDFPTSCVSESTSISN SFSVNSLEILYRPGIPRSYPKFICPFGTDTSFQNETEPEMILKARRIPRKKTQRDHSQ RPKQCQKLSVVFDHKLHAATRNFIMVDIARAATDDLF cubi_02631 MSGGDNRYFGVGLYCLEDAKSQHRIGGINIYDILSVLGTHEDPN YCSGTKGFVNYKPKMCINAESGVVNFEWDPKGKDFKGSDYTHITCLCSDKSLKLFRID PDFSSYKLLSSVKSESIENNNQIIGLDLSCICHNSTRKTCYSISDGRVFIIRDSELIE NEFYAHPNTEVWTVSFLDQEGNLLATGADDCTLSLWDLRVSCIDKPVIKNGKSHFMGV TCIQKSDRNHLFWSGSYDETLRLWDSRQMNSPIYEYKVHGGIWRINQFEDYLGMAQCY YGLEYLKLDPNLKIEKQYVSLPNDSEIEFSHNSIVYGIDTFRMNNTLFGLSCSFYDKA GLIFQILED cubi_02632 MSSNDKEISALRNNIKEKGEFSYYYAHKNNSHDLKDAKIFEGPG IVTGGEPILLHKDDKKENTSEKPRNVQIKKYSWSDCGESIEIYISLQDLADLISSKSP LEILGKPNLEVTNNTISLEVLSENTNFLFKIANLSNPIDSQLSRVKVSTKKITVICFK EDSDLKWNSLCRPML cubi_02633 MDGTLYIILDSRSLFVYEYTEDHQLGTQDKLLVSGECVLNSVFQ FTRLYNLSTGKKKRLNIYICDSNGENLIFDGSISGLTKELQFELMPKMLEEISEKIKP GEMKVSPTISKCLCKINGTRKKFGYNRSEKDRIILVDASDKDDYISQYVSLLNCGFAS QKLVSLINNSNIRLSVTILNQDVVIDVVSVTRNPSPLLNNLVDICNGLNLKYSDMADS LVEGGVEISEDNYGLDQGLTPFLIFHLLPSIQAREEFFVSINKTKHTGLAVCFCHHQK IEIGYVCSSCLSIFCSQFKAPICSTCG cubi_02634 MRSKTGYILTSLLIFIALIITGIVVLRKCANIAKDIIEKNILAF KPEIVMTSDFSAVSMSKVNSRISKVPLIMFSPSIINFKRFTLSRMELEPERFQSLMIV QSLNDKIVPTRDIMELISGFNEEQVRTHFVQGVGSLLPTYASLSSEQLKEYMVEILQM ASDNSSINGDSQNINNTEMQRFGNNSNTMEMGVDKLVSNRLQTMHTFTKNNYGINLPS LRKPISLISRSPRNSYSRYKSLSSELNDSGYNEDTELDENEQSPLIVSKEKQNTKSIY NPKPSDTNELFNQLKYIKEDNSSDYNSDRT cubi_02635 MYTIHEGCNSISGNSRIYDVPNRHSWDNNNGSTDNLRCDDSSPR FKHNFSSGYSEQKFKYHGGEEADFTRNQGDRLARNGSLVRSTISGYDKNVGAMEGSYE HLKNLEISENPRGVQKFETNKHPISEEIVEKRGHEYETLALDKNTKLSSKSGTQSSLN QATYKKKVNLGGFTEVDWYKKIPNWERIIDERYTSVYSSYDRLEMIGTGTYAKVWLLK DKITGKKYAGKLLEPHTYPSDTVERIERMFQSEIKNLIISQCPGVVRLHKIVVGPEGS LLVQDYVDDGTIWRENCCVSELEAFQHFIQLIQSVLYFQDKGVVHRDLKPTNILRYSN KTIVIGDFGWSEQTKKLHLSPSEWPGTLEINPPEVLTFKGPLTEKIDNYAVGMNLLLF LTGRFICRQKGLDVTEAAPYILKVVELIRSDFNNKILSKGKQKGNAQLKQSQYFMWEI FIGFTDPNPNTRWSIQKALFHPDCIQQLLLCFHNNFPILWHPQVIAIIKSNIIPNIVT SCQSYIEDEKQYCEGNKQRVSVGKNTMINLSNADQIHIHNISHNTNFNNYNCGQNPVS GSIESQRSHFKSTGTSLRPTEGFSGQTLFNNDGHQHKLTLNNQFLPGSSDYNTIYNSE FATSSRKKTSVNSSSTNLNYTNNNHKFVGVEGSVIKQPHFINNFHY cubi_02636 MYFLSILALFSCILVVVKGEASFDELVSTQITRTFYLTKRVAKV TMEVKIKSIKGEIHGFEYIFNLPEEQFSRVGFISAGTSSINANNFKVERIEPEKGFKI GIGDLTDFERTIFIEYHIGNPYSALPKAIRLGENQLLVFEDELKLRNKYKVTKEKLII QFLAGTIIERIIPTYMKRQENKVVHLVSQDKENEKFLVHFSLNNHLVYFYSVSRIIEI SHWGNVKVKEEYSGVNMAAQNKGEFHRKEIMILSGQGFARMRNPGTVLPQNTHLCLFV DHILPYRAVGLEYYDQIGNISYSNAWRVGNSHTMLQIQPRSPLMGGWEFDYTVEYNLP LENVVFYDQNLKLYMLNLTMMPSAKGIYSENVNTQIRFPTGSSDISIDFPANNNIPSL VKSDKLDHYFGWLDIFKPRPVLVYNMSSYYIPEQLLLNYKFQAYYRIKRILGGAGGSS EDFYMIRQINVRDWSDI cubi_02637 MTSVVPKIMDEGAQESKGELARLQSIVGAIAIGDLLKTTFGPKG MDKILQPIKEGPIDSTPIVTNDGATILKSISIDNPAAKILVDISKQQDNRCGDGTTGV VILASELLKQAERLLDQKIHPQVIISGYRMALEEARKALKSNSFNNSDNREIFEADLL NIARTTLSSKLLTVEKDHFANLALKAILRLGENMNLEYIQIIKKVGGSLQDSFLEEGF ILEKKIGVGQPKVMRNCKVLVANTPMDTDKVKIYGARVTVDSMNAVQEIELAEKKKMY NKVQKILKHGCNIFINRQLIYNYPDQLFKEAGIVAIEHADFEGVERLGAVLGADIVST FDNPENTVLGTCDLMDTIMIGEDEFIRFSGCKKNQACTIVLRGASSHILDEAERSLHD ALAVLFKTVSDGRVVYGGGCSEMLMSVSVDELSKRVEGKKSLAIEAFANALRQIPTIL LDNGGYDSAEIVTKLRALHYKGSNVCGIDFKTGQPGDMKELGILESFESKLSQICSAT EAAEMILRVDEIIRCAPRQRQGV cubi_02638 MKGGLKKNGNMENFSNAGSNDLLEKILELTKNGYDYEEVDGGVE LRFFYLNDLDGGITLEKGNAYINNLFTKFIELGIFEYNWDTVPFSLELDKVEGVDCVK CWLNLSTYHLDEWYTIYLCYKVTENIENLAVQAVNSDGDPILIDLADILPVWMKPNNS ENRCFLVNGKVYLIPPELLDNEREILSLKSALDIIKFRVSRCYTKESFTNVFMEKFES ISKINQQEKSHNFYVILPRRIAEMVLEFHYLLVISLKYLLYNRCESSEVRVLEKKHSS DKGLNLFLPKDLIRIKICMTRTQYSRFINEALFTMLPSKFSRNSWINHLPENLQNKKF HSELLHGCLLTYGIYLAYLINPSNSLGLFIWKFTNTDYFKLREKTDFQTIIKDSLELM KDLKSQNNDDFRTLWIHLTDNLKHLKTVLPSKDGGEDGQCDSTSWMNNEEYSKKLIED IQKIYSENSQSFQKRNINFTDILEENSYFDGIELNFDGSHSTPDYHNADFSDLSLESD LSEHDFEELDEIMRKMDDELKRTLKTSIPTLNDNKEARRFAENTYSNALKLEETFGIV GPATVFNKIQK cubi_02639 MKLNIGRVIFLAVFSRLLCVLISFLTNDLFSNIRNTGILHLYLD NSQDSENTLTWKLFKSFINWDGEYYLRLSYYNDYEYEHQHAFLPLYPILVNQISKVIS CFNKTSILVNMIVGTLLSNLAFVIASVGLYLFQCELFSKIKIKNVVFPGISTLFFLFP SSNIFNSSMYTESLYSCFNFWGAFLILRAESNSHKFGFFSVKNFTYLILSVLCMSVAC GIRSNGILNSIPLFFYFVSTSPKIGNILSFIVHWFIAFILFISTILPFLIYLVYAYFR YCVNISISRPWCQFLIPNIYSFVQNEYWSNGIFNYWRIEKLDKFILIVPFILVTVYSI KIFFIKKSEKDKNDTSSIINQTLHHFQNCYSVFGYFFQVYFLLISILLYGYVEVIIRL FNCIPIYFTLMGYFFQNQSSKLTSLFLIYQIFTFIFGSIWFPNFLPWT cubi_02640 MSGKQIKLSSNVSALRFIQAAQTKVKHEELKKKQEKTLGWYLPG FEETKTRGSELANKNLQLENMYILTRKSFNSYNQIVEKQNLNIISRRKHYERLKDEQR EEKVIRNMFKKHQQN cubi_02641 MLDDRECIICNDVEEYAYSELTLVKCKNCDVFFHKICYGISSHN VSSQGIVILCDPCQYELQIKGKQLNQLSSSKDLKCIICFNSGILKRALVPKKFDLFQN SEFKVNSQNRAIWIHIDCAIYSQHYISVNNWRTMSNIEILRPLVYINDKSCSICNGNM GLLKQCSFSQCLEHFHIHCLLKSPSYKHKNIKIEKNHLLDIYCINHSKNEYQISLEHG QKKSIIKSLREEIKTLETSRNLDNYIKAVLGLNKGELFTFPEFLSSKKDFIGFTLLSI IVSCDIWTLRTTALLSLAGKPLQKDNTPIFTVTKKSNINKWINLSRYIPVSLKYVENL ISLSCLISDIIEKKTNQHTRLEISNNILYYITDIKLILDNQTINEWETKYLDYYNILR NKLDRSSLDINQLREIFQDLPLMNKKDGVFNMANHSSNLYLAIKCIFNNIQRLDLELD RVLKSKTLDLDKLRYIKYKIENEIPSNSPINRQKYNKVLIYYTYMEKLLERMIRKFKF GYLRQDLNISKNEEFEKNFRDFFDQIERLKSTKTLIDFILNVEIEEYSKKESETKNNL CQDLENWRSFDFHPNSHIITSFNSKIVTRGVTSKKMRSLVQEINKFQKWEKMVNECFE ILKSLERRCFSSNKSLPNKDYSTFREKFQRFINQLDILELKTTFLIKQILSLKRIFIK NKDILTRSKVFNQYECLLEEFNRVSELENLLEREPDPNFNSVLLYFDFVLKSRFCLLP RKFYQTGLISKLEKYLFFEGYITRSLEIRELSFQDVIILNQYLSYKKENAHIHITPES GIFQDFESLLIKLLKKFSENFDLVSKIYLILNYSEEYSDFILSSFQDLSILDPIIKYL IKSKKDFDTPTKGLFNYLMTFNSKLRSQKWDSDCDQSHYGDLEIIKWIYKPFGTKFSF KDYQEYFIDTVKNTDFDKDLCLLQQRDLNTIIEIPFIYKIKLIQRYYILETLSILIDN HFHYIDIQDLVSKIFNTRDLQILVMIWRNLRSLDIDRDTFQDFKSLKLTYSQEKENLK ELNKQGLIMNDYLYNLVMGHLIEKILVDFPVKGIVFGSIDDYLVDMECIKKYNIIGQS DTNLLISEINEIKLLEDSLKKIIQKMNSDNFNHKKRLIEDILDQDLNFKTNLNSCDLF QSYNKREWDDIYLHQPISYDEEIIGNRLKLDFQVKRRNNFFLKIHINSLFDQESISII KSKNPNMGNHFETKESTNNKKNKSIRNLINEYLSIIEDERNEILLILGRSSKYGVHVI GNKENKLIFEIDNLFKNLSQKKEITSILKFLNHLVNDLIHFEIAFIINIARLCKIDLN ENFVLKEWFNDTYLKDKTPYKVFISTETILEKDNNAVSFSIYKLIRRISLEYLNLVEN WDQKYSEIIENRIEKESSREFYNVLLIYNQNKLLRRIFQGDLDSTAIRSYDLSNREII HKIKSERIKEEYQKMRDLVGDLMIPFKDHMNKTFVDIVTNGLYDMKSSSKFLIYFPSS LIESLRSKEFENYSGNPLRIFVEEISIIYFSEDISLLLIKINMTYKCESFYVSPELLI TLSNEIDLKYGISTRQLFMDSYYFFVKIYKDSLTTENYYYIRESNRAQDLIDFVNSKL KSFETEILTEMGFSISSSKFEKNRIKMNLEAFKICKDGNNLEKIKNKKLIMITDIISL ISNIILYRVFLDGLLIEDDNFDKKIHLFRRNYSVLDFDLALKSIVMYLSLRDLVEDSF SKIEKMNSRDEIFAPIEIFKISKELSILTELLNKEEISINIKERPKIHHYLFEKESML IEDFRTWEIASDSYLFKGDSYNNQSFNSEFCLNTILWVPEYENFNTVSDIIELILFHI KKIKSSKLELSSLEISNLINALETKKSLILELEENSLKILKEIKLDEKENSRFETKSK PFLLIEKVIPPPKVSQKMVYEYISKKLFKLIPNFKLLNQTLNILPYKGFEILNKYFNN SILMDKSLISTLNIIGDLETKCDLETKQDLEGIVSHNFEYLSKIIDLRILINESKLSS ETLYRAYLKFFRGIFRYFLVYGTKHRWVDISELQCNNDEKGKKRKEIFVPILEYVVLR KLYVEFLSWYKFDKSVEHSKGAFQNCEFIILESLLSCCNYLMEKVSFSLGNVENEYLI NHCILRYLYFQQEREIIDKPKKLNLMIGILDIGEYLVNYFDIIDMELIIKQSFTRIKN PDLDYDKYELGSLSVFSRVDNKLIHHFLRKNKIQVSICGLEDAKYGLISNIYYIKDNK EKIDFRWSIGRISYSLKPIHGVYFLRSYVKQYLEYIFKTFYNKEDQDYIKKKDHSIYL EAFGEEDQLSIENLFRILDKYLKDVMDYSLRLMLKSFKIKSNNDHFSYSNLSKYPSYL EELKNKWMIFGQDKWISELIKVGSHIKMSKGTNKKRIREEKTEEMLDIDKVPILVKNN KSYTGKEKDSQVEELKKRSLDIKSETTNNMEEKTEMVDNVELEKVTTKGTDIIDLERL RTHEGAKSDLKYAWLGWLSFGKNQENVDEDVLEIGIYQISNQFTKKNDNILKELSRCL ELRYNGIKYSMEIVEKALGNNSMVVLISSNWSHHLRFCKSQIFNRIFHYNYNCEDYEI FEFEMVDKNTTNKDIFNNNSKNRDSKNKKSEEEVKPIGDVRLWLFPCNLSNENSNRLF FSGNLTRKNSVPLPISKYYLIGMLVSSSEVKQNFGFGSGSGSGSGTNSDPDLDSGSGL RQDPDEEISVMTSLLPNQGQMHKIAQYCHNKSMKSESKMEFLINNDMFSSAGTLLSSL LSINGNSSQLTHSTSLSNNQDNYSNNIYTLRDLAKSIKRKISEG cubi_02642 MEEEKKNLQNKFDSSKIFKNYKLPFIRVRRGDCNYVQNLFKEND ILFKFPRVSPIINDKENSEIRIILMGENLKESYKKVSDKKIRLEDGFSLRQEFDGDYI IELSDDFYMRMPKNVFICLNSVDFAEYGIMDFKIEYKYLSYLECARQCIPVDIEIVSS FETVGHIAHLNLNDDNFEYRYILGKILLDKNPGIKTVVTKTGNIESTFRTYPLEVIAG ENNLKARLKEQGIIYNINIEEVYWNSRLSNERQRIVELVPKKSMVFDLTCGAGAFTLP LMKNKDCVLYSNDLNPNAIKLLKDNILTNKLKKNNVVTSQKDCIECIQEILERNLEPE RIFKFEKDALNPISHQNETFYWICNLPELSLNMLQGFVLHKRNYLDKRAKENYYFRDT MNHFFFYCFSKDSNPKDDIEKRILGFLEFNERNLSSEPFFPLNLSVYEVRDVSPNKKM YCAQFSMVIPVTLN cubi_02643 MVLKIIYFFLITYIYSVNIGFAHNDDPASAAHPSHDSIKQQKVD RIVMMRHSFESPLTLDTTLSEWDLAMATIPVRKSVVLVPGVKNRTGQFWNKSPLNTSH FEILFTFEVVGNSNNSQEGEGFAFWFVSEAYGSIYPKSNEDLESWNLLGYKNAPKGLG VMFSFLDRNNKKNPSISLLLSDGEKTFSSHTDIPTHLGVYYNFVNSDGPTTFRLYVSP ETGIIGQIRTSPTSKWIDCFKSDANSIPSSIKNGGFIGFSSYTGHEAPNYPKVADRIS IISMNVYNLDLSRAGEEIPDTLKHDSNTEQVEVTDLLRDTHVHGDKDVAEALKAFSQI LYKHITEATPREQAIHRTLNSLLTQVQKLTTEVKEMQRILLISSGTNHSDTLNTVQTE LVGLKTLFDRHSKYQTNTLIGLEDTLKNKEDVASQVHRAIKSQSSTTSIVSIILIIII VVFGLVVWKKVKDIEKKHLL cubi_02644 MWRWWSDDGWVYYSLENSAVIEKSWRNKEVNIIINVGGIPFLLN LRHFYQFNFITQRHRRISRDISSAVWFWTDNDDQLNLYQPNVSAQIERFYLHIQWNLY KESQNDENNHKKCQKPFQGSENLQPAISNDLVKRPKAGNCVVWNQYDIYPIECIQVNR ITGKERKIYRRYLKKVEYDLSDNIDSNNEKDFNLEWEFTDTSKAQIQDALSIIVETGE FSCKGYSNAFTQQEIDFSVSKLLEEVQVDDDCCLCLDALNRDLVKLKKCSHVFHSECL NDMISHLNNKTTLLCPLCMTPQCFGKGNSPPGKMRYIVYKSGNIEIESYPNTNVIEIE YFIPSGIQNKRHPSPNKPFTGTYKIAYLPFNKKGLIMLDGLAKAFQLGHTFKVNNVTN PSTGASMEIVQWGNIPHKISTCGGPALHGFPDPNFFNTLISKLSSLGIQCKTKEIEFS SDNEE cubi_02645 MSGHYDQISELPHIDLDRIEELRRIQNKCWCCSRGEKSFHSSCL DHQYSKKGNCMREKRECFNCGMDEFREENEYINGRRYYRDTNLDLNYDLGFESNYNRP SILHSNYSLRARNDTQYCSNNYNNCIYCNSSLEKVSNIRERNSLSGQDRRIHSYYKQN LSEDSDTNAYKDVEEEYNSDYEFTGGKNISRNCEKQFSDEYSDLSEDMEVVIKRNNRN VISLALTWISLSGEHNKKIRKFVADSMDSIELKEVPHFVILFQYDDHKGIRGLYSYFQ EENNWECVVEITSNCPKVIHKEMIHTLYKFDTCQKLFKPIHRLRKITDIVDGLSIKNE YLCKSL cubi_02646 MNKRKRSKKNRDFELRDGLKRSNQLDIRKLFLRNAPKRTGYRPV PGVLIYPQNFREPRVFEAISHKNLKKECCNEFENGICRCGDIVACPASQEFQSKNSSG EDSAINNDQLEDQNTQIPDPIDFRGLRGMLWSKINPEKFEMFERRFSEPNATGSCPIG LTDNFESPMFFANTQPAPSKLYETAELSQELIDQVNEEDFNNFMDTWQNCLNQIDTLE QEMKINIELQLSIENELDRGIRLLKPRLRQLTISERKFLHICINYLTSQVGFPRTDRF SNIQSMGEVDINRDQEIVHIKNSSWFMGTDAMELVNQHHKIAELQGKLVQSHLNNQNN NSNFLLSFNEMGFEDDESYSNYNRNLENKMINEDSELSLHCRSVLSKYLSGKLEERES SLKMKRNLLVRLSNILKLLESSPLSNFPFTMNNRHMFLNPIGIGRRSICWTVFDFFDL VPSVLKLYRLDFSAKNEIQKESMFSSNVSISSNTHKLKIVMQESFARLRTIRSVFNSQ IGQIKKEEVPLEMILFLKQNCNVHSSLNSNTIKNRQMNHGVSDVILCSGMFEWYTPLS LSSTIVEVYPHLEYYDILSYVHLNGVLGESTSLMYIRSLLRLFFLFSSFKDSSGKKGV KSFIFPVKASRVYIRKEESCFLIGPLDLIDLDSKTDLLQDDIIFKKKYPVNLMQCNSF TQVQKNDDIVNYLPPIIRNIITSDDSLFNIENIKLLKNRLLDLGHLCDIFEKIHVYII GVLLYFCLTGNYYSVDSQKDTIYLNLSSESKELLKAMLNPNIDQIPSIERILDFPALH PERLVGTLGVQNSYNLFQNQISELKLMI cubi_02647 METNNNAEENKDVITTVRTPSVSTVSHPSGRYFDARSWEENRDV ISLRIQSPASELYLDEEFSPNTIIGSPNSIVDIKTPQIVGNNKNEIQGEEMTENDAYF KNQPNENIESNSRTRRSIFSSNRLFYVVFTVLIGFIFIIGILGAFFYLGKGQGWNEVL LKGLEFNVEDIFVDFGTDKGLEKMDMSLMLNINAPQKEGIQIKNAKMVLPLENTQIEW NSIPIAKISSPRSLDIFQSDKLNLMVHTSSNATHMVGISGIIHDIITKGYTEIIVKTQ MVFPGQQDNEENQFMSRKFTISMPLKELITNIQLSDISFDREVGHNSNIMFNSKVSYD YRGRIFVNNLGKIFLGVFHGNNYLGIVKIDDSDILIGGKSEMNLKGTLNMHNLIKDEA ILKSLRPSLDFGENTSHHIPIRSFDLDIHGVGSSSSLMDSAVRSTKTTLNLAVLPPPP LRQIPIPSISVESIVITPQLMVQIKGKLRTTFYGYLISNNKTPKMEALSIKGILVDGG QFTITSSDQNHGIPHQIGSSISHFPEITPSQDKEIPIPGANNGLDGSPNVGNDLYNEV PYNTLTISPYTDNLEIPINFELLFDDFSKASLLNNNKSLIKAAELQILSSWSFFTGQH TELKNINQSNIELQMFSFLPKILEFNVKDGIFQAQITLQLIHLEDSDHDETSYNGPIK VTWFCNREFGAEFLLSSVVVNQIAANYILNSNQLIPNYCTLENSKLKVEIFSK cubi_02648 MTDFVKKKREVEIKASKSENSEEIDLNNREVSANQVISDDNTIC TRGTESSIITILRNSRREHFFDGRIKLYKEKLSLMEKEGKMRFSKGQNAQKKMSGCCK TNKYQVYRICITILGGCFMLLALFGILFLMLLPKIAEIQIRKSKMEIKEIDIFQISRQ NGKTSFEVESVLALEKTPKYKTQFIHSLMQISYGIKGEEKGVLGDLYISQISAKKDGT FKARGRFTIKNKDSVNNLINYMTSNLNFNHKDEVLDEKITFYGKGLMSIRIFGILLNN LNVDKEMSYSSVYGFSGRSDDDSHNRKERNDQVSSEIPSFLHNNGFKMHKIWVSSHKM GGMRVNLEFELDFEKFLGNDSEKKSEKSLFFPKLHMENIGNLRFNVLYRNELITQITW YDANLKSGNNIWSVSLDFPEKLNNGHKDLIKEIIQNNDITKETVTIKGDSSGSEAFSD VFNDFEMKIPLSTFKSIVEYEFNKLKPGKTKVDLMDRLIQFINVSHIQILQNSEDLNI LANLKVGYINPLGDSFPISLKSMVINSQLTDQSNYYGDITLDLNEIKEKDRISSFRSL KESNRDSSTIISMIENNSANSQLQRRVPRMQPKYESFTEKNEYQQEAQHVNKCQTKKL DEINEPLKFNACYNTQEFDMNLKINTDKVQQDIKNRWLESILFNYRKLKVVDSKIDAK ITSFFGESDFNGINLKRNLLSSKNKIQLTGTDNASTDDDNYNFDDYYKEDEDDSEGTI EAFSVKNITSLIDANSVKILGEGFNNSWITKVIINYSDTLSKLGLDKLDIGPLGILIS FDNSNIGFIGTNNFRVEKNSTLELLGVIKPENDPNTLEINHSLTHFIKSIITGEKSEI QGKSFGLEFKTNGDGKENCKKYFNYPKYLSEEEFRSWIKDGKFPPIGESDQINNKKRK GWMGKLLNGQKIEIPVTIFDDFTQLNIQKYIDKGMESNSNLIKESLCRVQSIFNNDIE FENSVDSDRIEIKKAINDKLKEKNIDLSNIVFQLFMGKRENDLELPIGGVFDLKVPNI ISKELYMSVLSINYRLNIYERTSNRNIIEFEHMQNFQNLNMDNNNLSSNNKRKTENLD MKFNFENSNLKFYDESNQFTNTLYSLLNLPKLINEKISNMVIKSTIDIALISSIGILK LDNIVLTANLGIPECKRKMKILETNESESNISSFFKVESIQIQKIRLILPPRGIDIQL NTIINIPNYVENIKIDVIMGQCVFELKNQENHLLAIIKTPKQVIIGNNRITESVCYGF VPAKSWLPMMNLIGNEEKNEEKLHVKAINTPHGIPYWLVPVFEFLKLPASSTLSSLDS TEFGSVTFS cubi_02649 MDDIYLAEFHGFIDEKTWEIAKLSIHYLDTLKENTFKVFNNGGI LEKYSHLRDLIEIVRICVLHGILIGINHLEKTQEIYEYDYGSLYHFVKIFPELNELGK VDDMCFLSFLLMNKVFTGFLESIKNPLSKWNQRITMYYHHASILRYNEFLKEFIFIIS SFENCLRIKNFHIPSNWMTHFAKLSLRWNNFGNDQTEISFINENFGNINHKKFHREDN DKKFVRNNPNLSDIEFDSKSSLNILLRQEFEQNFSLNDYNIYDDRNFISKSSILNSNA TIITDYNSNCDLNHDMFADDDRTVVYNEGNIQDIEELLGNMWGPIFDYSPVSGEIGLD NNENQGNYDNNKKKILEIEDKKDNMNINSTQNDFFNAYIERENTENIDNHLLLGKKTD LSYFKINYELKLMRNDIDDTRERYLYYYHIPLNKQEERLILELQNYKCFTNHCESRLE FDEESKLNFCCFTGYFYCDHCFGPNTCSILPGLLAKYGNLTPVPVSFKSKEKLKSLEN IPLIKINSLTAEIWESNKYIKQLVYLKSYLSSLLVLKDFDDKERDNHNIQIPVNNYKI KCQYKESIKRRIMREYDMVYQFGRYDYFSVRQLTEIAYEIFSQEVTMYSNETNLQSIV KEMAKIAVNWRKHLSKCIHCQYQNKNSKDSILCLKE cubi_02650 MNSFHSFGDGEEISGRMKVNKRALLVSGESEDFKGCTNDVIEYA ILLSNIYQFKEIRILLSEISEMLYGNFISLALNRINGAVVQIVRLSKKSLKEGFQWLI SMEENPVNQNYYAEFSNIYGVIGDNLGNGIRNGLDYGMNFPNTFYDLVFVYCGPVIIL KDKQDFSNSNKNDNELIEKDEKKIDDNNESDKKVPFFVISKGRKDDSDLYQTINKDLN ENDYISFSEFDRIISESNSNNKGNLTCFLDCNYSYLFLSNYTKGEEYSNIYENAGFNH HRISTMPQLHNDHVKLVDIQPKKVSQKKKRLSVIILGSCSSPKQVSQEIKVCSNNGIG LSIIYRGLFSYCVQTIIRYNFANIVDMGNSKIQIIPRITLKFLIEEISRIMFHYSTKN QLKNQIPILLASPGISTKDQILLNNSSIINYANMVDFGKGFLNNNVNNVNKGEFIDTL DQKNNTNTSKNLSPSLVHFGSINKNSISSYNTMNPSQFSIKNLNMGNKRIYNNGIIEG GNRLIKNTLQPNNKGIYMHQIGVSGVSPNSNPNSNLMQEINQLRSELCYLKQTINSEN IARNISYAENNYNRMNGYQSYCPVQIFNSDTIFKKSVKPSSINHHRTFTSK cubi_02651 MREEYNYDSYRYRQGLVKKEEPRYDKFGRIEGSRKENELNRREG AVLKSKGVPKLALDSIDSKGKWKQITSSTFYGDSGPLLSKLHSVPDELQRHFEIYDGI EGVLGRGAYSSVFKIRSKRSGNIYALKVMSVEHFTCRGLTGQLRREIQLQSQCFHPNI VQLYKCLEQNGYVFMILEYVDTNLFNIIHRKKKNRVIRAGARRNRYIPSDESYDIKEE DSILFTRNEVVSYLTQILKAINYLHEMNIIHRDVKPENILISCDGRVKLGDFGWCGDL CRRCTSMAGTFCYMAPEILKGERQTSKVDSWSVGILIYELYMGNVPFVPINSSMECDG STSQVISMLNSIREISKEAKPSRFPSDAWHLCCWLLRKNSTERASPIQALNHPFLADA NLTPPTPITPFRRHDLQLKSTPRTAKQEISSPKIQSSLTFTALPLVAVPTPRKASEKE KSKPETQIKRMVTEIPTNLYYNYGSEKSPVLHANNISQKTIFNRPGEIGVGFVPGMAG VGPGMPEGVGTKAVSVQSERFKQQNLGLETSYYHYQPHVSNLNRVEVPLRRDLSVIRQ PPPRDNFEPKYLQKITQQNPIENNPKSHHKTNLQIPFNPKFERNQPYGKITQPQGFVS SQDIYIPPVIQMGGVFPRDQNNKSMFKRENTPNRYVSQPPIYYCQQQPSQVRRNHYLS QNHY cubi_02652 MEQESRNSHEGGLDTNEATNETFESLKESGPQDVDVFDTNEVDR ETFIENKDNLVGSIGRNEFEDTQNNPTKILSSSVKLADNSRAQRPKKKKRRQQGSVTG GGSLQALLHAGTSDDHFSQSDSSAVSEESRSEKRTRKRKSFGSKSQKKNREKYRMYIH DEAEEDEDGEENTREGSHERPSRRKRDSRSSSEDDEEEDDEEGYDELKDFIADEVEED GIDDSLVYRGPHILSQSAVQGEKNLLAPNERSDMDHESSEDDDDDEILRGGLEEDDLA LIEENTGIRLNKDSQKHRLKKVSETLDSQKTLVGKNIGDLEDLLFNNGDDGVYQEEDP LHRPRDLDPAPGIDSEDSQDSDDWMVDDLDERGLRGDEFNIIQSVFGDYDTVMDILMN KVSKVKDITESSIIDSETVNNPKFTKTSEEFETEKTIVGGDHEIDMVDDIDEELFGES PEKEEGEVENIQDRMKKTTLQTLHSISEPAELEGQFLTDFDGEVKYVDIPERLYLLYG TRWKSLKERKIGKEELQQEAIWISRKFLQTYPELFKEELLRRSHNLEFKTSFPYVSNG GVLQLVSNAVFSVLDWLLNERLDIPYISLHKMHLIQPPLNDFLLGKIVFLDSIYYKLK LSIESFQQKLLAVREKKSVSDIFRLTESRELPEDHIIQAFLSVSGLQETLKSSYYFDS SRDIDNIRLYFMYHIDTPRFRQIEEITIGDPSNTSKGTEIGQNSQRNLNRKHRKNYMI EFFEYIEVNELFNVWSPYIVSPYVLSLYLKSFTSPQFHCSTPTGGIPGLPNTFSLLPP GGPVLENSKTALYKWLDKLVRQDNPMFSTSEKVLESVIMYEARRLASFPAIRAKTFEY FLSNACITTVTTIKGEKTLSPNGVFWLAKRLFRKPVNTLLPGTYLPLNDDTGSDSQNN NSNMLFDGCLCSEVLELERRGLIELIVHPLCIDDPAPWRGSDGEAKIKERFLHEVGLL DSGIESNMSNSLQKGSLPTPILNRFDQEFRMYVNSDNIDNRIVRNILDELKYGYVSIS GSIWSKLVQIPILHRLIEKELFPSFRTEVINYLKMRSQEYIAELCSLNLQKRLRVLPP KHPERDESVAEGQRTKSGENNKGDRSKEDNQYDSEEDDFDNYSGNNIDSDDDNFLSKR SKNSKWRGTSGDDFNIIREEYNTNWGLNVISCVVEKAQNGFKVCVVSLDIFGELRDFL VLDHLLGNVSFRNSKYNDKNNERDALGSLESQRFDEDMENLTRFAKMYYPHYVCVGIS DRKSLELAGLWNNILKKVKKKDQKFTPGFLFVSMDVSRALVRRSVTDQRTSGAQKPKG LQSEYPMYVNLAVSVGRLVQNPLAEQLQLWEDSGNEIESELSTNTLGLDNDIVLGSSS SSTGAKDWFNPITCLRLHRLQDVVDPKMLQFHLLLAIRSVVGNLGVQINRMKGHSHLQ SPLKFVSGLGPRKAKSLVSYLDSHPGPISTRSQLKQDDHYSETKQRKGAGNNDFYEYD FREGEENQAGCLTKNVYLNAQVFLRVDEDSYNEKEYNKNLNIFDISRLSDEEDKELVL TILGNIRDQVSEETVSGGRQKSKEKDETLLWTWISKRSSKLETLDLEAYAKLMYENQD RPRLLPYLNRMLRELKKPYDCSFVSREFRGVEKSRQLRESLEISREDLFIGCEITCRI TAVLSNARGPSRCPISLWFDQYNMKVILEDFESLWVELLDEFPNLQSASFDSLFKVNT PLQAIVTNIDFSQHCLFVSMSQSKVCNILNYLIDGVWRQQQYRLDMIDKHRHESSSVG SQSQGGQVNSNLDTSSISMANMAIQEYRKNDFNLLQDDLSALIWTDIRHFAFILFKKN LNVLSTSSGLNLSSGLGKNGNGSGDRLWERDGRGDGKYHGTVLRTIHHPNFRSWSHEQ IVEHMKVETIPLGEVIIKSSTKYIDKLNMYIKVCENPFMFKVINIDEFDQRLPGELGR RLRIDDSDFNDIDQILIQYVHPLKVHLSSVFTHPKYRSNMEYQNLVTELLVESSNSGN SIVWGITADKQIPCRFHLISVPPNSRVGSSGARIHFEDGIYVNHKGFQLWRKSENTLR KLLNWWKTDGFFKRSKHLEDYKRYKEYMVRQKQGKTSSENDFGSRKFGASHHNTYHHS SGGAGFGNVGGTGHQSQHSGNYSHSRHHAVESFSSQAGGSHSKAGGGAPQYGSHFHSG GFRKSFHGYPPQMEGGSAHHYPPPIPEPYESGFPSNYRYSRR cubi_02653 MLIIGKSESGTSLNQEDIAKYVELACGSKLEDKVVLEYLIKVIY AEILENKGRMSKDRLFEIMDIFLKQYGDFDDINSVVNEIYDQLEIEPEESDELAGVLK VIEPTKILSSEVETEKFKYDPLLDLDLGASGNFNKSIPIGESIKLQKAAAKEKERQLR LLRQWEKQKLEVPVPVCHHPIDRSRQKLTDIQIANITIFIAGRALLSDASLNLSLKHK YGLIGRNGVGKSTLLTYIVRREIPGIPSDVSIACVEQVLHYKPEETVLDAVLSIDTER LALLEEEKKLLARSDEELTEQEFFKEENNARLSQIYERLTEIDAYTAENRAFVILAGL GFTQEMIREKVVRLSGGWRMRVALARAIYANPDVLLLDEPTNHLDILAVTWLENFLKD WDRTCVIVSHSRDFLNQVCSDIIHFHDHKLTYFKGNYDSFEEARSNDLILKKKQFEQQ SAEKERIQKFIDRFRYNASKASLVQSRIKFLEKLPIIEEIQKDPTVVFDFNTMDTPGS TNTGKSNGDFVSLIECCDISFYYSQDSSNTIKHIVHDFSMSIHSNSKIAICGGNGSGK TTILKLIMGQLNPTKGMIKRDPKIRIGYFAQHHIDSLDLTLNSIQQLQARYPGSDISE EKARNFLGRFGITGSLALEPLYVLSGGQKSRVAIAIMAYLNPHILILDEPTNHLDLDA IQALIVALNSFNGGVIIVSHDAHLISCVTDSIWHIDHINKTLKEFKSGDFELYRKTVI RSSI cubi_02654 MMSFVKLFSGLFLVICLINLNENVLIQHTSLLSIRSSTKSNKHK PTKLQCEEYAQQYLDYLILKDRFFFRVKMTDKYRSVLSSESDSNLAETAQQRFDSSFE KMVSLSKEIESMLEEVRKLFELLMKCLTVYGEFYSPEKIFQRNNSMLKYLNSVDDVMK cubi_02655 MVRKIRIGGNRTNIGVRALFKYILLISGLLAVIDNKFNVVVLAV SGFDSSQELSGQSNVSNYGSSVVVGDEETENSATSKVASELSAENSALDYDTSNSKGS SEPLPIGASLFQGDSSVAFDDSPPDGLPSGLKITSPGVIEYGYNNIPESSVDAKTPDS GKDRSEFSDPVIMESLENTPKSKTDSHRASFEVFDGSILEKELSNNFKKMKMQEKLLE PLTENVEITSSLMKTPKTPETYSVSKFSEPETHAVHADSHIESPPKTPDDFVINDYEI KVEANPIEQKKISQDQVGSEVVFEETSESKLPIFLTGEGESRVSQPGEESSQLTSGIS ETSKFGSSSLVNVESSSLFPQKEDNKYQTTDQKETSFSTDISKNIDLSIKEGAKNLEH SFGFVDESKASGRVDSVHDLSPKESSFVNPKTEDLSMIPSESEATLMDVSNKINQPFV QEPSKTASISIEPPSPPSSAVEERTVGNKRIYSGPYIRTSATTGKVSPRIASVINSLV GQFERFNLYGAGNKNEEIKPGEQNISNKYVSSMKSNFDRASKDSSVFVPQNSQENELV EALKKEMPRNNFLFKNRFEEMKATQSSSGKTLLQEEIEKLRGTYRSTLSKRFSTGKTW ERPSNVQSDNKPIQVTYSGDEFLDKVKLINPNYNQGESQPVETTKEVDANLVEESRPE QSENEENTQYLESSHTYKQESPKYSVPPNNVENIPEKTQETEMTTDEGYSDAESFSKV PTTGFEDSDQSSMDETQLNLNFQKAEEGSINDSPKNIQATDELKVNSFANMPFSYINT LKELPVGLKPQDAPVTEIPEAIMDRRSQIDELENQDNQLENQSTPYQSDSAQNKELNI SHPKDMELSPEQEKEINVCYNKAKKIKTINFGLQLTEHPSSVVTETFISEFTKYKPKI REFVNFAQETLRVAENYNKKRSWRKKTKKFKLEFLELSNEFLQHSKAIRSLLHHLIQV TNPNIMDILQQIITGHNQDRHSLLVQCKILYQAFYSYKNSVRSKLLKKISDLNFVDVL NKQIKIYLEQRFHPIVKAIQSNKYLKKKLSRHIPDVNILESVNQYQDLSQVGGGEQTT PNKKKGNKITRFFRRLFKKPSCIKCQRSKKQSKKQKLNNK cubi_02656 MATESTQMYSNSFSDAKLYDIPIKLRGLVAQNSLDQMYIVFQDR VVPGAELEEGEKIGVLKPFSGFQQNQNTDVSQQTYKVSQEIPITSPCKAKIEEIYCSS NSIVAAENNNGIMAPKYILSFSCLDFPEEDLRTNKKDDSDGLIEVSIISKENSLVVDV PDSESVQEGTVLLGIWLDNLVFLRYEATKPIQNLKTAYPKTQMISHRFQRGVTLVMFE IEQPLASQNIINDNNTDYLSQIEPLKENSIPNQEQTEKDDINKEVSVGGFEGDQSIQP LDASPTNLDETLENDHFENQETLNNFAQPNKDELQEIKSDSIVHKDKIQPIEIALDNS AVTYSIIMRNQLKKSKLHNLNQNFDLLNQKAKWIVRAPCPGVITTKTLTPSIKRISQG TVYAYIECSSKKGKTKKGSASKGQEIPLIMPFSVKIIRIKANLQETQEKGLYSAQVSK NQSILSGKLIKESKDIPAHLIFGKFQLVVAPCSGTLGNTLEVGKSVKKRDSIFSVECT EPGKDSVMYGLTTKPGLVTKVFRENRANVKEGEAIAIVRNNWKILTSPCDGLLIYTNT PGLAKIGTNIAVVVCNDNKFKRKNIQATKNFMILENILPNPSFVSKKQPLIVVDAARL NWDLSDDLDDSNTIMKTYSPSNTRMNIKNSKLPSNIIIQNVVSPCNGNLLREQELKIG SQIGENTTFAKIKCNRKKSYSLSSNTSAIILQNSVYEQIGGEIIHDYEPDNFQISKGD SILQIMFIHNVKHHIIASPCDGYGYTFNSAGNKVTKGKYFAAIQCKEEDKSKKTQKIK AVKDMNIVSSYIYFKKKFFKGDPLFIMVESN cubi_02657 MKLNLTGFLGFLAICIKLAVTCANKHAWEPKLYNGLKPRNECIR ETSSLYSELYRDGSKKINVSSMYRLLPSGPIKQLFYFKQSRSAALETINVPLALGLHR PQNISEPINRSVAASTSHQFLMEEFYISRFIEFTVNNIELPLSNIFLGSCIIYTYRSI RNQLGISSSLPYIQKVATMTFQLPNVRDENFREICKRYMTSLSSLGRNNSPSNLASQV CSQIQSCMDDSNLLNSVVVLRETLSIDPKKLNIHDSPNRTKFISDIGSRFQGRPLNVN LLRLYKLVCKLDSIINTFFSNKSTDAFSVKDQSHMDSWQQIVEYLDEKNSGDMKCEDL LRLHLKAFPLFSTQLNIKKRNHLSKLLCKTSEQAINQYLIPLPPTPIYFQYLMYLNEY LELPLKLISKSQCVFLLSASYTGMFPRFEYSTELTILLDQLKSKTKEGFLLQCIQNLP RYINLAENTFVPKERIVIDTKRDSSELCNAILNCFESDSPLSENDLTTLFIATISSQG GEFYNQFLPRVKFITRNFEKKKTKEQKVESNINNIADSRLLTPISVINAVSKTHDPTV TIKVQKFYSSLVRIGLLLTDNRNIFEASRSKRPLTFPKEFVSGKRLNELVPSISEISK IVSKNLVPSECASAIIKRHPELSGIFFTLTSLCEYVLDNSYPTPFSDIPYLLKLVTIL SDSYGFSISKIRRIYAYAAGSYAILGVQIRLLPLFSFVSKYNEMNENFKSEYNICIEN FQFKMFFTSSQIQDKKESFCRYVTLVLEDEFYERNIMFAIRTFSKKSSVEEDWYNLLS GLFNDVKNSMVNSIGSNLTVGYSIGDGSKFFPFFISKYIRSIQKSNYIGELTNRDIIK IFTQIYLNYEAIPDIGSSSFIGNALRYEFISSKDSSKDMSNYKLISDELYGLRYLFSR ETLLEVYPYLIESCINATLEYMKFVSSHDLDHEKITKICTFTFSIPNRELPTQYKTNA LFINYLINYFSIPQEAISQLHCIYEVISAFSNFSEGTPSFEVSLNFGLNIYVLTHGFR NIKEDTFGDKCKVAALLSNILDTNKDATIYEEEASSKTNFGRSLHYHNLNPINTKNVS SLCSTIMQCSKPSLRDGPIREFINQTFYSFIYNDHLSEDPLASTNILLNKDFSGENIS RNQIKHKLEKKIEKLILEGVEITYDNKNVKIQGLRMVESIRLYGVFHDSFRNLLKDFD GKDLVSEYGFSGLYSGANPIKGRNDSIDDLKIFSIETAALVVHEISNSILRNEKASET QSFVLSIQNNTIKYCREHLKSVLSEAFNSHEINSICSIAFSAFKY cubi_02658 MEARESIDVKMVVEESGVETNLISGTECTTENTNLTLEARENAV VDQKDESMKLKQSELEVDHELICIDFFLRYISNLYPLWAEYGDNLMFHIAQIYGAISK EEFRPYLQIIECIGLKMNEKMKPDHYKTISSELNRKTRSSNSIIDNQGYPLDIEKCDS QVCIRAISHLDSYREWLDGMLTGTDCRLESVSQVSESLEGQIGKPISEWKPANLTGEL VLPLVYQAYVTMEIKRGGDPGQNGRHVEDFSSAVTEKSGGLNNLGKSSVPNNSPSKVG NEVGVTYEKNRDRWVAVWTENGIRRSRIFNVKQYGYDTAREMAIQYRREQLANINNKI PTVTPVQAVRTNKRSRRSIEGTGRSGRTSPSAYNTRNSSLDAISLQNPDFEVFYDQEK DSWICRNSVNTLEQETLFSVEEFGTEKARQLAIEKAGGLADETSFTPVKKVSSRASAS KGTLFTPKRKDSQGTDGTALNVSGEGHATSSSSFRIRIATNASNSKGEQGSGQFGNGT QNFSSEQNTGGRSESRKSASGEEFLVYHGKKYYFGPVIEGIRYDKIQNRWVTGYVGQD GRKRYKYFSIGAYGFEGSRQLAIEYRESMYSSTKGVDKLSEFLQTVIQGFPAHEDGVL QDVSFEDLTIHKGQLKDGSERCYLCIPSKGDFLVTTPTDPGDEQVNFLLNRYRSVLQN NGGKEEHLLKESILACVRSDLKSPSALINSSLDTITQKGENLGIPTEIQNETEVSIRS EDVVMQDLEKKKEGDDKVVKILINSTNETLKKEGRQEELIQSLKEDPGKKIDEGSLLS ASPVVNLDFSKGIEEPLGSPSKMGTPSSRSTDSIHDCIVTTYDDSMFIEIEDKSSPNG RKRFFIGPEIDGVSYNPTIHRWVTQYDIDGVSMTKNFSVKSYGFEKSRYLAIQWRIKY NGEPSQLSQLVRALHDQGIEYPPK cubi_02659 MKLLKKALGLTLCLIFLIWNPERVKAARFWRPIFGTKYKAEKDL REDLSGTLIVDYCSVLTPNQIKLSNLFIIVSKNFSVNGMSLLDACYVVYVLRDGYFSA CALALELTIKEDDKVKDNRLEICKEVLRVAKDDPDIKDLVHEAHPDNLHNILEKVESV IKMGMEDLGGEACSKLSQEEISIANALVIILLEEGYKISRTRACTVLLSLKEGSLEDC AKSLRSALRGHIEYTQAERFCQEMAGFASKKYPNISGKLNNGHIFPIDGVNSNLKNKV EANEAILVALAIDNRCHLDELDVRQILFTNYPSTNVVVDRRQLFDRFLIFYNRNKSGK IAKRWFKDGVLNGVEEEESNTPLGPKMELVNLTNQQALQTLYSTYRSLIGSFKETQKF VQDIQYQRGSIPQSLKRRFYMQIQFVKYMEKHIGALKEYIESDNTDIYSASNLPYGIS DLRSFSELARKDWTHSFVEDKPYEEMNRFSLFCSILHKSAFRLALLVVGVSHSILGKT KIKLPDACLAISQVKNDRDHKNSIKLALSLVFVNNQKILNLDELETLYNLLVASVDES INEFLLGIPEEFFNFDDERDITDEKLERWIAKVEHRLTKVIIEPKSILNNISLFDVDM IMQSISPLEAKLQLLIGVSLRRIHEALEDLTDIEHEMRKLTNKIEFARIQTDSSSEIT DTQEYNLLSKKREENLQKVIDLGVEHAGYCAKAIDIFDISDRPIVRKVHRIIAINTEL RRLTISYSKAQQDKPIFKSNKVLLRTSIINHRRNLAKKMLEELIIGEAYNPSDNRWYT DLNDCFNNFVPDLKLSLKLDPSHIVERSSGFSKINPKKKPPKYNLLCDKNQLRRFKIF QPDLEKIFKLAEIPVIDFEGNRPLMADILTLEGWAPLKTTIHEKEEPNIPCSIAKQLF RKIVSDISQRATGGTSFFNIPLVHLWCADHIRFRRGNQAIWRNLIPRIWSIFIEEPLD ISKLRSNKWTISNWFR cubi_02660 MKVVFLLYSFFILLISHSYGYQANQPNISEYNSEQNLLAALCWS LPFKFIKCDIEFEENVLEHLKLEQIPRNKVSTTDEVVYNIRMQPVTNGFLTNAVLTVR SIYGMIISNEVGNPVVPIPNQLKHSSTAKFGLPHQAKNIFPSVNCVLMYSNIGEGFYY IHPGKSEHKSIPRSVPENIMLKVSTKKTRTREMFYVTLLSNQQFPTKPSATYGVGADF MIPPVICFGSNENLNQQLHESKSYLGGLLIQERVMGPSLKDIIKFFKSTALAKWLNSS RTGEFNYRDRVFLRLEAQLSLAHSVIAAILTLQHHSIAGRVLHCDLNTKSIYIDRIVW SWSSRKVEENLNALTQLSPTNIKFINFGYMRSTLEVLEENLTCPQTDNDLVKVQEFIK MLFSEQSDRTEFPGSKSISGGMMTMSTGFKMWWNELVNTVGADFTNQEVNQQEVLQVE NILRTVYKDNSVTLNLDRTAKFAGIVAGIKAIHSSISKALRYLYYQGFGKTKKRVIVG WSSKDLEPEPPTLPSSTVKKILIGKKIETSTNSDTSGTDKFESTDIELSAESIGLS cubi_02661 MKDNGLTTGGNNLDLNSSRGGGDHSSYDAKGGSSAAAVRCSVTD NSMDMSININLGVNVSKQVTLVAKNLTVELTKRNKFFRKVVTPILKSVNFMASPGQLI GIMGPSGSGKSTLLNALSGRLKGREYNIKGSVAINGFTDIDLGYLTRMVVQEDYLLPY LTIKETMDIVAGLRLPHYSKKDREDKVIEILDIMGLRHVQDTYVGGISKKGISGGEMK RLGIALELIQSPPILFLDEPTTGLDAARAHDLLSYLLSLSHSTGIIVICSIHTPRSQS FVLFDKVVLLSKGFVLGQGSPMDVVTFFNDVVTPFPDNYNPADFIIDATSLVELVHKK VISSSIYVPKDATIKEIEIAELNLDGEEPLYAFEKSVSRDDISMKLFGLEVPSINSLD EFHKIYEQSVYGENVKKSIDNHLRCVDTSNPQSLFENYGNIDSANMKILPTQTICQKI ARLSPIPGPIRFCREMKYLTRRIVLNTWRDPNVTLGLLLVNTIMAIIMGGVFFSLPRS GANDLDTAQNARNILGLIFFVATSISFFSVKGLVVTVDERFVMNKETYSKMYTPISYY ISRMVSDFPVQHIQTLIYTTIVYFMTGIYDKNFKTSLADQFGLWTISTQAGVFLSYSF SYVLSSFSTSRSTVFQIFPLFSLVFALLAGYFVTFDQIPVWIRWLGWISTVRYTYTGM VLSIFIPGERFSTVTTDLFLEQFDLWTTNIWLNILVTICLGLAFRILGYFLTAFTLRN YKIAS cubi_02662 MKLLIGLAILFGMLTGMYSNELNESILKEINSDQLYMKSIIDIV LDQYYYMYYHQGRRGGKGRWSNGIGGLPSPRIAKKHIITFVQSELEEDLFGSISLKSY IRFCTNMINHFPRMHHGVGGGILIAIHSYQEEEYSIIRGFCTEAGMMYFFKKEMESLI RESSKPNSLILPLNKSMFDKYDVGGNWDDFVESCEDLIKNYREKNIKRDSSSKWSSID FSVISDFCEEVSDDIYPNFSQSQKEWSHIYALQNYIIERKGEIRAPIIGIPPKKPEYY VAGRHQSSMYRKCLRALWDMFQYDDEVDLKIAGVNQYETLRSFCNAAKNYYTRNGRIS ASPMINIGDPPKKRKLPVDEDGLVKQILKEQKNKELKEKLITMEMRNKFAKLQEEKIK KRREIRRNKRSEINPSITDFPLEGVLSPIELPSPEKHQVPKNVFNELPTTSFQEDSLL EPQPILSTIEKNPESEEGLDNFGLETFNEELNKLI cubi_02663 MNPQFRFNLRPVIQNKSNSGTPKVFVRSTSTGNNVQNISRTPIV PYRQIYGANLNDLQGIYTMISPLQSFRTPQFENQHHNNFAFENKNYIQINTERKFNST NNSAEESNVLTYRSESYIHNNFIEYKQNELTQRTDHSNVKNLSENQLSTNEIFETEND SFISQNMSPSKNLMHSATNILTNKSQNVTKEIKVYPNDPSPTQIVNSLNINKESHLED QKDNISLERIYETNPLENLSQFINKVKEKIDVEEIEYDILNLNQHEFDKESLISSSDT QGCMEICITPPLSSELITSNNFYLPDDNKEESQNGSILKNKDICENFTSSETQKNDKL ENQNKTKKYEERRFRFSSFCPKLINCLFPWIPFEEYFESHEQPIFYISSNEESQKLIS S cubi_02664 MKLINRWRIWLLIPFFIQSLALDTIYCRSSFRDSESDYSPNFSE KQGIDSRKFSFLLYTIISQVLTESSSSSSEIIFEYSKNLKNLSDSIIQDFDNCDKILT DEIPHLFLPFITSPNIEHGHNIAYEVCCRLRSSISANSELNTLLGNSTKYLDNEDFVK TSMEAINKFDISSDIPAYTTGLVYGTTLDHHKGITSNKDKLRNLPYQDDIGSENETDS SSSGMIFSFDVKAAPFMNSNTQQYIYVYYHHSDNSKCLGLTKKQLEMVETIQKVSVNA KDVQKIPLDIACTSMWFISQRWDFCPLVFKQLMEIKGSSSIKFCDMVKRAATERTKFI TKTLKISDSFLTTRAIKILNYVETVLKLNSKYFPDSEITSKDIADIIYKGDSDIFVEE NEDILLKELRRNRAERKFNTNKELPKNNRLGLSYPNYRRDRHNFTSIFDHIMYSENNS HDYEGENLLLLPLEFKRSPHWTSDKHLGSNSKEVVSSTTVLQKYYIPPPSSLIPNDVS NWITGPCCWLEDKYKHLPSLIISISKRKGYKLHVLNACEAIFTLINDSGESCASILVS AVFLIFSLENFSEASDICSEAAIRASLPFYPEKHLKSTLFPTNKYELVTLYEAIVVAL CLELGIVLDNEDIHQIIFSDYPTNNSLVPRSALNYLLYRALKLPNDFGKVRGFWNWST QMYTRISNKQAKVILTNRATQLTLEIAQVTNEIEIMNDILEKAKKDPNVLQQGVENLN VLKHNKEMPKINYLHHYNYDFKLANFNSIEVNLVKLERLRYDLTIEKEKISKYINSLS AFIGHKNYDIPSSFVKKKFSPLYKPSVFKINMYDSSKLQMGIITTRSTRNLYHLIDSE PINKELDEYPPKINYSIKNLFQEIKECSYLTDKGLDLAIYSKELFNSYLNIEVSIPFC CDIIMRMHPNINIKDSFIHSAYSVLNYKYTDIQYSDIEEIWLKIVPIFSVPSGNLFKT RFYHFIDYQKADNFGSNSESPIQLLSKNSPLNKPIILDDVIPNEKRENVKKNYYVIPE ARPISNQVKKPKNLSYTGENSKEILDLSYVDSYWDKNNTQHIKTEHDELLNNEYKSLK EKILDIDNELIKIRERLSDPNFVDKNNFFHMMERTLKEDKEKIKERIIHIAGIIAGTE VKYASETGIMIPNNVRKLADLHKINSITHSDILKLKGDDSGMDHSQRIRELEAKINSN NIDMYKIIEIEGNDLDDPRWYSDMNKCLSSFIPRMREVKSLDPTSGIKQFYLVSKDYE YMDSSPGCTRDQLKIYKFVIKDLRKLFAYLNIPMIRIRGKRLESIEREGLIDPRKMEY WEDLHGILYQDNKTACSYIKKFFKKIIPYKTLDLKEFTSSPVFIIWCANHIKSRLGNE DVWRNIFGLIWIIFYTKPFKPRDFVWRNILLV cubi_02665 MKFWSLYVLLVFFINSVKIPRVYSKRKKPTKIEIEEVSGVLSSD LYGSSQSLDLSQTLYGSSLLTDNYEISNSESSARVIDLKNVESISPTNDIFPLRNKEI KNLYMKSINEKKVYEIRNLNKDIAENYPNSSILSRNKNGEIISDIDISIVISKLEQIK NKDLPSIEEEELKSEKVRDLSQLDDSRFLSQEVLDHFNDVFGAFLSIPKETKADILLH IHKILEESTQSLSNLPEIFYGNGIQVLLQPFSDLSINPSEILKIYSSLFEENSDNFII FLDLINYILTSTISSSKITSLAVSRYLKESDSNNSKSKNLFKQNSSNFWYNTICQTIQ NIELLAVVYPFLIPPSYKKVATPVEVIHTPKTPTLGGFKEYLEKGEEKKIEMIETSNT LTQELIFGGWKESIYSMGHQITSDFFNTKSLCIKFGLINSKKENIEDVNESYQIRKFK GLFKDFKNDEELEKHDKINYLNSIGLQSSSIKLSTDERQSIITTSTNYLLEIANILSE VDLLTKCYSFISNSKSIENKNTVLQMDPELGSVLRNPYAIEKIPLDRWKYIVTLIPSE FKKSCTIALHSQYIECYNKYKQLHYTLQQPTVVGKIISKLQESSDVIGENAVKTLTTS FSWKSLYQADATFTYYFSILDLNRLIGFNQIIINVVSRYEPIKDIYSLPSKETKKTKA LKFWNTVKGTWIFRIATFGLFSFIGYIDKGYTVEKCLYIPKARKGEPEPTIIW cubi_02666 MKSLIAFLVSLVVLDLHYVFANDLSTNLVGRNHVLTGPQAYLGR FESLGDVRKCMNSANNQTHSKFIKNSKLSPISCLQNNTEIAQRFITSINGTEYALFSN ETVNPIYSKSEDSLLSEIQTAEVLMNYKKQDPEVETLVIDLDTLTDVSGNNHIHPKDV ENLDIFSSDVLLNHPYVDDSETESGFSCISCSSSGNSKRPKPESQDKESKSSCACSSK KSKQPKPESQDKDSKSSCACSSKKSKSSKPESQDNDSKSSCSCSSKNSKQPKSDSQNE KTGRLNAESPDNESKSSCACSSKNKTKRPKPESQDKDSKSSCACSSKNKSNQPKPESQ DKDSKSSCACSSSKKSKQPEPELQYEDSRQPRPEYQYEDLKQAEPESQDKDSKSSCAC SSSRNSKQPKPDSQDKKMRRLMADPQENESKSSCACSSKKSNQPKFESQDKDSKSSCA CSSSKKSKQPEPEFQYEDSKQPRPEYQYEDLNQPKPEPQDKDSKSSCACSSKKSRQPK PESQDKNSKSSCACSSKNKSNQQKPKSQDKDSKSSCSCSSSNKSKQPKKDPSGLIIYD DDDEELTPVTHNCNCKSITKEIDSGCNCSNTENLYKNNREVDNRLLLMKEDTKKRNVN GYSYQVNSAPKDEVIVVTMPTSGPQALAQRPSDERSYPNNENIYGSRNNTQGPLDQGG SYNPDLIRVVDEDPVYIVSDAHRTAESADTTTTTTTTTTTTTTTTTTTTTTTTTTTTR VTYVAPIIIPSTTTTTTTTTTTTTTPVDRYTDRFRGTSKNVPVSRIWRATPEDKRPKN PMSFPEPSQVLGTYSQRPTRLPRLVRRE cubi_02667 MRGLLLLVLFAVLKALFVNGDQVSLKISSPCNALVTYGLPLNST FERSDIFLSGLCKDGVVFTLMSLGTGFIKGVATPNRDGVLYVAEGSPIYTITTGSKFE FLHRIIDRRTKDAPYKISVMKYSYSALSSEKLKTVVLREHTSSECKFPQINIEDQNLC SLHLLLKKYSQNPDDSPNFRVAKIHFAELESSARSNAVTCTSELHVNKSTSEEDLIDN FSCLFNESTKCSTVEAGTQTETIPKVDVGVQTDPVVTQTSTVAILSKKELKKLEKEKL KEKKLLEEQEKQNKKLQKQKEKEEKKVEAQRLKQEKLKQKQQKKEEKMLLRQQQKQKQ NLSKEEKEKKKLLERKERENQKLLKKREQEELKAQKLREKEQKNLEKQKKTAEKSAAK ASQKNNKEDSSSSCSSSSSSSSSGRKSKAKATQTEEPTNVVLASTQTTDDFLVIPLKH NLGEVSDVETTEPEDPLVNEDITFENENLKDESPIQIIDENNADVEIISLSPSVTPVD PTPSSTSTTTTTTTTTTTTTTTTTTTTIAPRIPRQTKYLKKFDDVRYVIGPFASRVDA PLQKVQKPSSTPTVPPRSTDSRGQFIVESKDLSQTGSVGATSSLEEILEDVSKSNLVT VVRDD cubi_02668 MCKYRETMLKIALIFLLKLIHSYGISNYEGYSSTGKGELSVSNY NEFNKDLCQPSVISFNELTGFGKAEVIRFKCPEGYQITGVEYFTDSLELFITGIRFIC NDSNSSFYMGSENFNSKNIKGKYSNGGINEVAFGYDVETPILRLIQVVKEEALPKGSE KLLSFISPVEQLFRSQTFVGSIPTSVCGVLIKNSSYIAPIIGNIRVEFLEKRPLSQHK YRGLVYTGTPEIDFLKPDFQRCNQISGASPSNTKDLNFHIKCPNNHRIIGIDAIMGDQ ITAVSLESVSNQLNKFNKQSSVLKPDETEFKDESTSFSDSFIDSLSSSSKESIGMDLN NKDNDIDSAVNNGEIIMFRFLCSDYITSFSIGQALKNITSWNLQDQIPNVKDWEVTSS LVNDEAEKNMRDAAEQKLVLGTAEVRLGNVNSILIGYTTEKLLVKAYLNDAKQKESKH EIAENVERFTFGPVFINVNEFVDNDFKRRNILKLLPEIYKSNPINSRLSFSGDKFEGL CGRIMYGSLLISSIGFEVSKSIKPHFPQIITTSSVKIEKRNGESFGCDKMLKPTEITQ ENKFGKHNLESIEARSSLRSFQGSIECPPGLAVERIQLLINNISGKLTSIKFDCGNDS ENYFIIGEAKSFQEKIKSHQKGEAIKENNYEIINTVVPKSSVSYDEIKSILVSPSKNF SSNSIFIPEANLPISMFQVIQKNDISPKQLESRENKSNYISERYPPNDQRKKIWNNGV LTKVCVDITSGGAINNIGFGFKFPFQPTSRKEISSYYGFSSEGNGELDVDSKEFICPG MLHSPRAQLLFSKQTEEIIMTGELRSRSKKTLLRSVNFRCPEKSVINRVEVAWQIIRG TESFTRLGQGSNYNIGKEPLGSIGAMRFFCSDGKSVLKVGSDTTPTHSKSNLEIHEVL LGYKELTSDEIATVKRELTKENSIKVTEELLRTLPGDPTIFKLVHESRPLSKIQLEVE GVKSYSSAVEALDSLKIRPKTSNHKSRIWRGGRWSGVCIGLLNLGGGILKHHEFSIVS FGSYFEKLPPLAILPYEGFIQTGIPQIHQNKIVSTCDMTNPPSFGLKYPGFSAAFLCP NGTHIERIGYSTFENNSKQGFEILKNGTLSLPHAYKEKKHVGPLGQITAIQLFCSDGI STVTIGQPSKSTSISKPGEIGTVKVGYVKHNSSLLDKNTSMTSLLMPASFELISKDKK RRLLKHSNPNFPEYTQKVTTWEGEDLIAVCVDFAVIDDTKRSSRNSNYLRWAGNTIRY PEKHLIMSIGFAFKRKIMRNKSTGTAIPQKKIKIAENIPKVKLQSEKSIKNSTRKRKK FN cubi_02669 MKLLSSLYTLITCFLIIYVVSATELSTTNNDAPINAVGSTGAIA EKTDEIDLDNSQIAVDSNGVSGSDDSLGLKALGIHTTTELDTKPVDSMESETTLYTTE TSTQDKIEESVKQLEDSMPLEGAPDAIEIASTTAELSGDEGVETLGDEAFDKKLDDQT SFEQKPTDLTFDDQKSDDQTSDDQTFDDQTSNEQTSDDQTSNDQTSNDQTSNDQTSND QTSDDQTSNDNIDLSEKLDEKDQEETQESLVIHEYEVPLQLACETKKLICHNVSSSNA ITIQGSRKGSALFASFDSSMIHSSGLTSGDVVSATLVLNKIGGTRTLPVRIDVLNLES KSSHISKSTVLATYQAVLPKTQNSPVSVDVTPAIHELLEKAKDHDKFTVLVSAYSRTR FGDILTFPTKDYPNGLSLKLRVTKLPKDSSASRSEPAKEIRSLSDRIFSGYNLYIAMG ILATVIIIVVSLMMM cubi_02670 MFFNGKTCLLLTACFASTLSVVYGAIGNFPTIDFVEGPLKPYTP KKPSLDAYKTRGELAFSDALAASQTFTTGFDGLSDLDVNTDGIYSKNSRTIERIQRAT KLEVEIAKILFWRIKTDAELSAFDVSLTVLEKIVMDLFRKYGFSATTCQALLAKESIP KEKAMEFCYNLEPFALLECHALTWLDFSVVMDIKFRMKRRGMYLETKDVCRLVERVKP SLIPKPERKSIFGKCQTVVNSELMRNYGVTNMDVDLICTVMDYTIQPACADLSKDELS EVMKLGLHLGNFIYPRLFPIFVSDLCIARKMMKRGPSREKCRNSLVRVLIERADEYAE SVVADSWALYTEIWRSCAYVYKRKATGAEKARLDRIFPRIAFGSIWSDRKYRGHNADY PPSDLASIHGETVEVAPDVTEDSELDLDLTSESSIDLGSGVKTDSSIELGTDVGSESS IDLSSDMSVELEGSEGVSLGDDSGSGRSKYYKSSRAAARKAVAPRERWVSSKTKALET PDQFLWSSRQSVALNSVQKAWAQVLYSLYSQEGNKAYGIEDFEIIASRIDPTNLQRSC SRLIFAKIKKYPGHQRDGAGTRFSETTIANWCAAIDGARSKACQSLSVPALLWSKSVL KSLSLMRDESSFAIDLRDICRFVSKVEPWTFTKKGGFTNHCISSLSEPLSTAKHSTQI SFLDKYKLNEKESKQMCLLLKPANHKSCTKLSSGDLTLAYKLAYELGKIHNKEKKLQV TYDSTCRVITRVGKESTIEHCILATAEFVGYIAVDSDVAGKEFETGVANACEKIFEAK EPKETSKLANSIIEDVYSDIAKHMPPQPQDYDAIRRKIVIGDELIEETREIGGFDRGD FAKRNAGSRLLSSTYFKPVGSNEEEFWTPFGLDPALIKKDVYSGIGAAAPVPMDDYYE KSEFQSGITMDNQWIEIQQTSFKKSLSDRLRDEFVRTAPSSLVVESSNIPEFSKLVDE IDLAPNAIYVSCVKVLKKHKIRSEVAEVVCKRIDPYAAPACNGLMIVLLDHAEYVHSY LTSLVKKEAFDLSDFCKVMHALNPFSNRSGEVGNISNNCVKNPVMFNFQRKYSLSNRQ MTKLCSKLDFTRTESFARLNSSQRARVISSSKAISLLISDKYQEVYKKFGGVFWARHI PRVSVSNMINLISHTGEVEPVKEKCEYECQSGSPFFSRVLADTEICYKSCMSLPGLDG RPLVGDEKVGSDSQYSGLRPRTYQTYDRYGSTTEKPLTKTVWWMADQ cubi_02671 MHSDKIESKPVRGSIFPPNTDQGVYLAATDISYQITNGVFEQST ARILSGIKFFAEPKTMTAILGPSGSGKTSLLNILSGRLSSTGNKLVGGSIYLNGKKVT SKDLKSRCSYVMQNEITIPYLTIQETLLYSAELRLPFLSAKERREKVRILLNDLGLVH CMHSIVGDDKVRSISGGERKRVILGTELISDPQVLFIDEPTSGLDAFMAFQILQLLIK LAKTGRTIICTIHQPRTQVFQAFDEILLLSRGEMVYLGPSKSSVDYFSLIGYPVPENY NPTDYYLDLLVPRSSMDKFADNRLHSITYEQLRVLPELYLSSEYNDRVIRKIDEHLNR QYSQIPELLLFSRTSHTCCGWIRKKLHAFSVVTKRSFMNNARNTLGSLVFGILVNAFI AVVIGSIFFNLPSFSNDADESFLRAANIMGALFFSVMTATFGAMIALESFARFRIIFS RERAKGLYGPATYILGKHVGDFIFEIVPIMIFSHIFYFMSNTNSVSYPDWSILTQYLC YQLTILLTSWASYGLIYFICGITKSLELAYGIAPLIIIFFVIVSGFYVTVNKLPSWIS WVKYISFQRYSYSALAVNTFPANNIWGLIKTDDLLKQFSIDQTSFLFNVLILFILGIA YRIFACFAFTLFYRNLGLE cubi_00380 MKSIFFHLLLTFLVFSCTYASLFPDLRQLESEIQELNRQFDDFV EKCEKLSGSAHIGENLLIMAIEQGENVMELPLLGSFKSKIQCGHTRLFFFDFDSGLFV VTEVNCKNKVLFVSGVHGKYELIIKDNELLRKEAKNKSNILVETNFVCTTNTYNLKQI SSCPSYLKNFDKNMIPKNFNIKTDQSPLSTNDLSHRGFYFTNSRKDQKTDSRTELTYA LFSSDPLKYAIPIQEGCECTVENSKILLCVCDGFSYKFDVENSFLVVGPVKLLTNNVY GLKVLTANTNTELLSNIDITTQEQNEAKLETQL cubi_00381 MNSFTYSVLTFIFIKLLGLWDFLVIHDIGYLDNGTNYIQPLCSS FIKLKGTNENDDLNNNKSAGTSRKGLFGLQGRSNLPSRRPYSGIFSSSGSLQHSRQTP FPQRTPYPRQTPFPQRTPFPQRTPFPQRTPRPLQTPPRHLPKRVFPQVMATPSLPTIP ESPEPTSSSESSRPSSRTSSGSSSPGSSRPSSPGSSRSPNPLTSKSSSLTQFSRSTPK PAPPRTPYSGDLLTMGYRSALDSIFGSKQSGSAGSSTPGQQRLSPESHGPQSSGGSRS PSPKSGKSQTPGVYTTTLKVELNKTPGTTRPNPKLTASKIEIASKQSSSGKPSSSPEG FGSQRFRKSRSQSPKTPRSHSPKSGKEQTPGVYTSTLKVNLNQPPRTTRPNPKLTASK IKIASKQSNSGQQSRTPDPRQQHPTPRYQAPPSSSRSGVSTQGSTGRGYLASRCPLGD PCVRCLEPNRDNTLICATCNNFMKHEECDPPRHVSRIVSKKYK cubi_00382 MLYLNELSMLKGLFYLFALLHVLLYLNIDANTNIQFLSFLTLQS SASLSRGLVGLAGFTLEDKYRLCLDELSTARSALTQATEKYETCMETLTHLEDEYSGK WNLCGSLMADYEQYCKDEYKPKVFGNEYCEQLKEQIDKCLNERRELADKVKEQNKKCE KLAKDIKDTTKEYEKIERKCSKMESSIFRERTHEQINVLEDEDVMDKPLCAIKRGDQR MLALIVEGTQMDLKRCRSELTELNNRLHHKNYQIDEQRKKISQTEGAKSGIKGVFKSS DEGESSKSSDLKVELSTLKSEAGGLKKLILAQQKKCKHLETKLEKAIQRHTLAKSLPT TTSETRGTAGCFGRFTGLISRTCRRVANRFTRNNGNRRNRARRREGEVSETQF cubi_00383 MRNLKFSIITFIILFFCLFEYIPTIEGAEANDHDENESFEDEKV VHRVDMSSPTYVQISALETSVFERNLFVELIIKESLLYIVAIIKLASLFNPAQSLSEY LITKNVIVSINMNSLFDGKDEIISKSKMEEIGKLSKNKNQDLQEKVRYLLNLDSIKLF DLIAENEILLQEEIILSLDKLLTLMDKGVDRFSEGASVSLIYVLNGCKKALETFSKLY LNLSRKERSRKYILNKAYISQISLDSIFEEKASEDFDKKTSNSSDAQSEQMILCEPNL RIRNIYKLKAILKTTFSIVQLCSFVVFLFNNQEYKQIHEHIQKEEKILNKVFSKVDFS ELSEQERLEMNLKARELLKFEKIQSYNFVSETFDTIIKKEMIIQNLKNIYRFLVALFV ILKRLQTTGLDAFKDAVDVTQSLCDYITKRCAQEIKLVEALSEEKIYQRQLSTARSQI LKKKEEYRQTKLKEAEDLKKEEKIRKQIERKQKDEREKANKELFEEAKKMSEKRKEHK KKTKFRRKFPILEDISDRSSGLEKAINTSLENISEDSPHPEVSSSASYETCKPKINRK ALKLQKLQKEEQKSCQKEIIKKVIQEQKTVAISTKKRKQKDRKDKNENRREIEERERE NERERQKQMEKERLDKMEHSNFMYRLVNSISSIEGLFKEAEKDTNLSSLIYFVLDLIN REIEKEESEEENLSKQFSSISISDEASREDIGTTPRVGGRVRRRADEVPESAPRVSTA EFFASRVSVPVRRHRSSSGNDPSQISRPRSGSTSSRSRSRNRNRSKSRSRLISRSKSR GRSRSRSRNVLEKELTLTFDGFSFSQSSAFGNQENSQSTGKSKFMKYFFSSENVSKSD SFACYEEKYSSLKCQLAELSTVNEESTENEIVTALEICGNTIQELSLEVVPNLNGKEF FFIKLLEKSFIKLFVKFLILLKNKEKK cubi_00384 MGLTKFDFIFLVLFITFFSNPVVNHDKLISLEVFSDFSLIKLRS PRRLLRSLCCCSSCKCKDGCSSCGSEDSVVISGPTDPKHVWSLSGEFGGFKQNMEVVE PRTEDNQETNEEETNDNHTNESNNDDKSTSEDSEEGKSCCCCKNSSSSSSSSSPNNSE IRNPYDE cubi_00385 MNPIFFLCVIFPLLFQVVNYANLSPDIMVYNPNKSSLLRLSASP HENDSESGNKGRNPRGKLRLPNPFSRANQKGKRIKDKHGHTDDSSNSRGTDGIDGPGG SGGPGGPTNSNNPGPMGSRDPSPELPNFIPPPPDGKNGKPSPPIKGRPPCPTPRGHPP PPIKGRPPCPTPRGHPPSPTKGKPPCPTPRGHPPPPTRGRPPRPTPRGHPPGSCQRSR TPDPYSENSQSSSSRRSSPSPSRADQTDGGSGRTGRKFLVQKPSEKPPKPPVRSSSLP NLLD cubi_00386 MMKSLFLHILCLICFLNYNTFNRNDSVEYLRLSLLKVRISPGLL TQDSQQFDPDNGKETDDGTDGTDGTNGTDETDSSSSSSNPSSPGRNTNPFLERTPRPP SPYRGKSRREKLRKRFAN cubi_00387 MYLNNYLVLIISTLTFSLFFFEHNTEKSFLTREVSLIRVKNETP SSTGPGTNSPTEPGPTGRPGSRPTLRPLSSSSPLPIPSQETRPGPHHHHHHHHHHHHP HHHPHPDPSPSPGPSPLPPRPPPSTKHTWLRRALAYPAPSPSESGPSSPSESSQSSSE DSSEEEEEKEEEEEEEEEKDESDSERRQKGRLTRGGGRGRGRGRGRGSGRGGSRERGS SKGKGRGSRRGRGSSKGRGRGRGRQSSTDGGSGQGPEGSEGPGDNEGIFKLDDLE cubi_00388 MRLVNFLFITILLISIANFVPSINKGSNLQVRDLSLLQVRVPGC LRGFLCCCSSSNDEELDLEATRNGQSTREPVSTVSFVGSNGLMLINHGFDPEETNAES DSSSCCCCSSSSKSSSSSSSHSGKLEFPQNGELSFEPETSTFRGFTLTMTVRPPSPPV ETDPEDDNSDDS cubi_00389 MLVNRTTLLIATLILILSLFDCGQYELKNSFEIKTTISFINLRA SRFRKLLGRCFGCCGGKCKRKGGRGKKKTFPTLPPPPARSCLKKKNGFNGTGESCQSS EGGAGREKPRKQVRFSTGGMRSIPGGLPSPTAPPLRVMMGLEKPTPLRNRSED cubi_00390 MNISYLPLINQFQNSDILKEFGDKLANEDGSLNRKLLRSIVFED PLKRKKLNKITHSRIFSATLYEIFYHRVFILFTRFRNVKTVLVSPLLFESKVFTWICS PIYVVFTNREQQIKYLMERDFCTRTLAENMIDSQMSLETKCELADKVFWNNNSLHDLQ IQIKSEFSLP cubi_00391 MGNSLSSGCCSGRENEEAKKLAQEKMNRNEALGDDISRFDLAYD KNDIQEFINLLSSTQPIDKLDEPMHPWAADPKTVGALAATQLAILAARDSQPELKDEI RKKGGIQGLLELLKSKEEDRIDGAIVALSFLSVNNVECCNVMFECGVLPYLVKCMSSE IDGLRAASAQTARNIFILGLNQRKEFMRLGGITVLVNLLTPPTKNVDKPESWYTPLEA VYHIEDLIIDQNEELLEYTRAIRKCGVVEKLQVLTKSNNRDVSEAAEILLARVAE cubi_00392 MFKVTREELGEDIDLLEYLSDSTYDGEETEEYISKKCNAIEEPL TLSDSFSKSFAVFGLPCIGSDKYERFLKALRTIINQTLRMMRVEYDENFLLDIPQDED GNTKGVAILTFSNSFQAETVCQALSKAPFDKHTRFNTVMFDDIKRIIEQDESAPGHFE TLYPPPTLFSRNDLRNWLMGPRCREQFVIRYQSATEIYSFDSIQRIPELIYDGERAKG GKRVWTDFSVQWSPMGSYLVTFHRQGVALWGGDNWDKKVRFEHKDVKFIDFSPNEEYL LTWDGSSPDSRFDKAVKVWHVLSGRLLRCFSTPTMALNNNVSDNDLHFLWSPTGNYLA HCSDKGELFVYESSNMTLVEDPSTGNKNPLKYPLQFFDWSPEEDNLSIWCPERGDTPG RLTLLSIKNRKELAIKNVFNVREASVHWQPKGQYMCLKALVSRKAGKKAKKEYTQLEI FRVKEKNVPVDTVHIEGVTVKCLSWESSNRFAIVVVDDVTRSQTLRFYQVNATQTDFV CSYYLTSPVDTIKWSPLGSYFVLGGSGGNLTFCQLTNENKFDILQKDEHFMCNWIQWD PTGRYVTTAFQSKLAEGAYKYSTETGFIVWSFQGRQLYNSPLETFYQFIWRPHPPSLL SQKKFDEIPKKLKDYSKKFDAEDEAVRSEKRNVFLQKRKVNEDEFNAILQKIHDWKVQ QPPYKEWLAAKETLLESLQWEEQEEVIEIELETIQEVISTEV cubi_00393 MKLIIVISLLVLLISCYLPDSYGDQGRDYQFKYTGYVAPSLDLF LREYNAFGKSANEFELDQKLLLLLDNPDCWYNQRGKKVILLFNETNFPEEYAILRSSS KIFGPNNKLENDFLVLEEEEERQNYMGFYDQMGDTYNSEISENTSIYKKIMSDEEDKN SYLDSYSENKIPIIKDMMSEDLEKEKYKSRIRRLINMKENTLKRIYQTSIEIKNLESS FIMQCDSPECNICNDFQSHLNSKYIELNKYKHRYKMIISLFKEFVDHSNKFDFYHNYD KNNSEWQLNSGVNYTQAWEDFNKKRGRIYKVIKRPNFNITKDDHRVDHESNYKELKKM LSESEKEIDIQIKNSEKDKIPGNNERAESNMTDCTKEKLEELVNKNEKLSLLIRSVKF FIESLFERSCPKCNIKSFNGFTKFGDMLDTQIRILKYYQSQKIKFENDIQSCIYFLEN KNIELDDHSQSIKRPYGYKYRYMPPNEYNRKLNKIFKKYEEYEKNKVDLEKLIYGSTK NWEVHNKKLLCDVNSLVLMSELSKEMISRIISSEIQKRVYLRRKECQTCSYNDCQRCY ENYKKLKNLESNINSQNKLYKSILAYLDLCGFEQILISSEFKDLNKNNEIELEYFGKD GRLWSVEKYLSESFINRVLGLDGQLSPFLRAKVLERIKFTLQAFIKVLELSYSNIDRK DCSSCKNRQCNDCYYKFKKIIDNNTQIRKYNNLLRLVNFELNKYFVQIRKTNVKRLTR GNTKEWILIKDNTLREWLPNQPELILKCDKESYTEAFTFYKEISFKRKHLQDMSEHIY NSIINSNLKFSEYHFSKQVKEWGSIWKEKTYYDEVFSNIDRYLSSCVNKNQEFNSSFH DYLNRKSEIKNLYKSFQEHSENINCLIEKLREIHSKGYIECSRAFQIDCCSNLTSSED IYQRLNSEFKTFKRIRKKLNSYIYNEQLNYYDYNKEIYENYHRNEFKDRFSKYLSMRP EKTRIKKRIKEDKLDKTIFEYQDITKSPIPGMSKYLHGSKSSTGNEDQNTFQLNPESE FQCSKIEILVLQKIASLLSKNQTRLKLLMGLNSIRCEIEECYNCKVRSKFHDKIEREL NILNKVLNTATEQLNQCMLDSSENLITKEDIIEFQSTLIQLSKKESSFNVDLKSYIYK IKDFQCEKKEIEKILDIKQEKSIKIYFWIEEMLTQIQTSNDEDENINNNYTIWTHQVI QLLNFSMVLEERLQECINTLNICIDHSEENDTE cubi_00394 MTVNNAEEMEYMKKRRVGRENQEKSLWEATEQKQKRHVNVQFID PDGNKVGDEFDINLDETNLKDLTSLVNQLLENEEDTPYSFCLNDSNLEVSFSLSETFD KIGGISTEDVLRIVYYPMTPFKILPATRCTSSLQGHKDAVLCCSFSPDSNLLATGSGD TTVRIWDLLTETPEMCLKEHSNWVLTLAWSPDSTLLASAGMDNIICIWNPFKGDKVFR KLKGHSKAVVSLAWQPLHLIEYSNEIKMDEEENTTELGSNNLYLPKLISASKDFTLKI WNVASGTIINTLSSHTEMITHVKWTGINQEYVVSSSRDTLIKVWNPQTGQLLRDLKGH AHWVNTLALNTDYITRSACYYPSGKNLKLNFKSLQDKITAAKKSIENFKKRCKFERLL SGSDDNTMFLWDPLGENGRKPIHRLTGHIKVVNHVAFSPDGRYIASASFDKTIRLWDG HSGKFIAVLRGHVGPVYMVSWSVDSRLIASASSDSTVKVWHVSSKKLKEDLPGHADEV YTIDWSIDGSRLSSGSKDCIVKIWRH cubi_00395 MSNKVESNATFRVPPKVLYNSFLDEQDLTRLSLGSKCVMDPKEG GKFSLYNGSVEGLNVKLEKDKKIEQKWRFSSWEEGVYSKVVIEFRPLIGEDDCTEIAL VQTGIPSLDKFGNPGCLEQCMIGWERNFWDRFEKIMGYPKFK cubi_00396 MKSEGLENSSTVSIPYFTSLIQSGGLIPRRERLYARLVFITFGI ASLFMWNTYLSCYGILNKQLFPEMGFIQYVQTSYMTSVFIGNLTMVFGLTHIFDPHHC TVIFNCVGALQSSIICLSIWFLHGTYWGCSLNILIAGLVAFSCAILIPETFTLAAIMP ESFCSDVSLGQSFSGAITFALTIILDMIIPHDIQGRKLLVTIMFAASTVISLLAAFLA QSLTKSPWSYSAIAEIRKKSNASTLLSASQNRNDSQFSYEDSLLSVPISLDTENSYEC RSSHLEVTRQIWPQLYNIFMSFMVTLAVFPTVCAEWDFFLPEKYSNILMVTLVGMFHL GDMLGRHVPKFGFTIPPSLLWILTTSRLAFIPLYAAIKNASYGSFLASIWTKIIVQFL LAFTNGLSAYLAFIYGPETVYFRHNKEKASFLLAIYNVAGMTAGSWLMNLLIWVKYF cubi_00397 MKDHEENKGDIQNAQIYLENETLILIKDDKTALRRLDLESCNVM QIPSAPDFKLYYRTVPEDMGEGFSKRLRVQIVAISKNFSKEEEKGDLNLIFNVLREKL NHWNKELELRTEIALQQEYIKIGNECTNTKLPTLLNLLNVLCSDRVTTGEQRKQIRDT INLFLMGEIRYDDIFLIFSQILGDKVLHHLITSLEGPLENDGSLDFSWMLRSFEFKKE ISPLENLLSYTNIQNFLQNSVKLYPFNGFDSEEIPNVGYSSVKCGPYLIFLGGIKTSK REQGIRSILLHSFDKIQVLNIETLKVSNFSCFGPTPKSIIHHSSNLLMSQSGPKILLS GGLYWDDSSRKFSFSETTSVFDMKTRSWNILNGCANTPRFFHTSLTYPQYSTGKSSQF VIVFGGLTKSLTEISPSNDLWIFSAKFNSWTQVAVLPAPNSAQTELPTPRFGHSMAWI NEKTFLVYGGESRTKDGDDNYIGILLNDIWSFTINSSEHDIENLNIRGHWTKISSMGS PRPSSSLHACISLSFPKTNSKVQRITDLMLGTPNDSNYSNQLLFIGGCTSPLIKNYPI CLNDQESVSEDAKHNQDWFLFSMESSRFELNSNKKLQMSILDINSNEWKSIENLRVSN PSPTTKSILTPLIDQDDFSNTTILGGTSLMFETFSINKNTVIPCVLLQKLDQNSDQSK TKKNKFFALSLLGLEPYYSNTCNEEDQNQKPNNINLISEESLILDSVSKYLKPKPNKN FSISTSIFSPLSSSQSWIFGAIAHLIDNSFSTEVNSSLFEISICKNYISVIDNGSGLL YEDLNKLFRHFGTDSNGSLVEYQTDLKPSSSLKMYGLGFKHAFSRLSETCMVFTKTNN YIGVGLISKYIMKSENLVENKYWTPICYWYSDTMKPLIPKGSTISEHEENQKLIFKYG FVKDPDLFYDHFNSIDSCSGTKIMFSLNEEYIKLHPTQYMEISEMGINLLNQENSFID LNYHNSCKFSIPNEEETLTQSLETMNSSISPYWNSERFSIDYSLSTYLGWLYLNKTQK IFCQGKLLAYDNNSESLSLYEFLTQHLKYSVELSRIYKNGSNDGAFALIGKLSSTSKN NDKQEISMETESEIGKVNAKRSNEISSINSEITQSEHLFEAGILLYYNGRLIRRLEHT FPNTKVKEANKFQVTALINVPQWLKPASNKQEFVLERTGVFEEFQDYIRGIISEYINI HLDQNKLKAWEAEFNNSNSEDLSLLRPNKIPKLEG cubi_00398 MENSKTNYKENEKVLVNGMNFEIRPNWKDNDISEIYKYKLPPEK QLEKRIQRVSKNYHKASSEYRNYLESLRSDERVFWSLCRSVSPVRSKSKEKSKSRTVY KGKSTDDSNIHTKSSKGSDNTKYQTNHEAGSGINFRGRSKRIYSSETRNACSLPPNLE QKQKITSNGNLETTQDRENNGEIDLYLTYVRLQSCIEKYSRQLYNLCKMMDLDSEDEF YEDAYFEEISYGIPDRVIKNTQVEDKKGHTEISASFVKTEENTLENKSNTQPQISNET INETASKWSTRLRANQNSSCSPGFDANNNPISPSMKLSKATRSRLSLKEKISNMNLSE INIKKQIHISNNYKDKNIDPILNAEDQPIANVIITQNAHISKQPFSSLFNM cubi_00399 MGAYKYLEELYKRKQSDILRFLMRIRSWEYRQLPAVMRCSHPTR PDKARRLGYKAKQGYVIYRVRVRRGDRKKRVAKGIVYGKPKNQGICKMKSTRNLRAIA EERVGKSICSGLRVLNSYFVCQDATYKFYEVILVDPAHPAIRNDARINYICNPVHKHR QQRGLTAAGRKSRGLQVRGGRAARLRPSRRAVYRRRQLVQLRRYR cubi_00400 MSKFNYVLNFSPDTWTPSMESKAEENCLGGIQNFPFAPSMKIDR LGAVCDFSYTSYSRGRDPKSSQPDNQDFHLVDSRPFPKTKVRYVQRKFQKETAQAYHQ KQQQQEKAEMLLRQGKKNAKMRQAGTQQYQYSRHRFVSRMRTLTEWSIEPLSSWISVA EIPLSSLPKQFLDVSKSTIEDIGWFGTLKSYNKSMDRISPKLPMALKDFGNMEFLQPT TSDDEILRDALLQPEKNIDVAITDQILACLVAAAQSKYSWHILVTNVDGKLIFDKQDG SIIDLITVNETSAEPPLPDNVNKNNRPHLLGLEALKSNQNYTQNIQINGDEGIIKQFE PIPFSEEHQDANVESKCYRYRIFSLPPRAGASSSENAQKTVRVAIRTEIDAQIPNAES ENSGFIFARALNEYDPKTVKTWNTQLESQKGALLATEIRNNACQLTKWATQALLSSCD ILKLGYIVRRTPNDRESHQIISVQSYKTKELSAQMGLKEENAWGIVRALVDLLSEQPE GQYVILRTPVKHVLRVYRIPDEESSELPQDEQSHKE cubi_00401 MHKPIEEKSIVNNREFLDINEEKESNGIEDLVLIVDHNKADESS IINCKKKDDSQDKLPPWKREPILLDNSILKRAKNFLSLCETVGNETVTQNYNEDKQVI MNVHMGVFDVNGKLPENSSLSNKDILEVPDLDFGNIQNNEFCQIEQEDDNESDYYEDD ITELSFNYDPNSNNSLDEQKAIHNMLTRKKSGNKSCKNNKNLVQVISSQDNDEG cubi_00402 MSVSGKNGTGSSALIQKLMDAEVDAEEIVRRAKENRILKLKEAQ ISAEEELKVFREKEEAQFESEFKNLSVEDSVDQVLEKSTEEAIELVKNDFKNNGGAVA DLMLKKVLSVDLSLSPTVIHLAKIGRIH cubi_00403 MNLALWNPTTLEVENSRLTYFPIRSDQLLKKNRPNGNNDDKLVR QIILDYKCLIDYSCLLEFSPDGLYVVPEYENIYTWQGGIFVRSGLYARSFYRFQIELA LEGEYTSDGSGLKTHKVEFLNPPAHPLVNNSNGLLYIPDEWQSKIQNNILALLFFIKN IFYLPELLSYKLENEEVGNFEMSESVRNDSKKAVYKIQRNVKDSIEKSCRLYNSKFSP FKLNFHFDSEHKEDKEEEKQEFHYNVDDNLSTEIVEYIKECVNNSSNIVEQKELLSEW ILNIVDKHQSKQK cubi_00404 MGCSKTRWKCIHNGECSQEFYYKIHTFVGGLEISVEDIDPNPTS SRVTLFDEQTISFPRLVILRPKGINHLKEIKSIEQISKYRKNKSLDEFVEIYKDLYSE PLSIETKQLYDFTSNCIFEILSEEDIPLLTELRSLYGPPEFLNSENNIDGNIIGNVLE KDESGILIINPWDSPMSQWGDSPSHRNSMRNETNIPFHSSELHKTNSSQKLDANQASI IGSQGLDFSGFSIASIKALAIFGILFVFEEPYLLVSTDGDISSVIYQNGAYKTINRVT KSLAIPLFSNSIETQFFNLDHPTTSQNEKDTLKLTTEFSKKQSELVVDHLKAGKNQQD IKTSKSSEKIEWINRVSSTLQKLSPIKGNKESFSLLTESGANWISNSLINVSSGILST VSTALSNSINIQQSNPILSTSSSPTNYLSKDLSFSSIENLSKTKDSNSPLSLEELLIE RRSHLERLIEGVTKMISLGGFFYSFDLDITHTLQTKFENSWIDHKERVGTLGENGETL SEMKNKAYIITGDSRFIWNRNISLPVLISGVDPRWITPLIQGYFCNQAIVISPEGPGY IKIGPDILSLRMNLINSFTDLDELFPQINKSTNSATCEQKCACSDNGMVACRHKFACK MCYRDYVDSLTRYDIVLMSRRSWERGGTRFNARGIDENANVANFVESELQICINNSDH WFAFTQIRGSIPLFWEQTGVNSCAITCFDHDYSSYMFEKHHVKLVKQYGEVFYVNLLG SSAHEQALSLGLKKEINYYNLNIESKYDNIKYSEDSETGLVCDCKKKHESNQDDFCFC SCKAIPIVYTNYDYHQKVKNQGFESALNEYVSILAKDFGDRIGYFHGVFSSSNSKPCS ETTSSNNQEPVSFANLLDLDDFETREEVLENSNNSLVLKVDQKSELVIKMRQKGVIRT NCLDCLDRTNALQWYIAWTWFVDLILSISTCSLESNGLQNKEKVSNISQEGFVKSLLN SQLSHFGWFQLILNSGEKSQTEETNILEIINGKNLDQILIPKSVNSSLSSSLRNQTQS KVMRSPLTLSNPIIPSKINKGDFETRSSSIQTECRDIGQRHLFIMKKSAGKNYNSSVS ISNLDMNRNISTMDLDDYRSKEFSQSNKRNLSSFEIFRNCLLNGMGVVTLKDSFSLLW AENGDVISEHYTGAGSVFSGVIKNRKVSLSTNLDHAVKSLRRLYHNTFEDSSRQQYID CLLYKHPSNSNILGQTDDLFKGNLSKKSVQVQSLPESLSLSSKDLNNEKLTIWICSWN LGGQTLNVGLDAWIEANLPKNTSIVCFCVQEIVELSSVRVMFNQNDKNREATFEMLAL QSLGADKYVKLHSISLVGLFCVVFVRRSFHRFVKSVESNSMKLGLMGNTGNKGAVMIR MNIERYGSIVLTNVHLVSGEQYREERNSQLKLILQSSVFNEIPNFKGFLRSGASLQDH DLVILAGDFNFRIQLPKQKVMDLIKEQNLSELLKFDQFFLEKKSDNEIMSKLFEGEIS FLPTYKYIRGEYSYDPSRTPSWCDRIFVLSKGSELSRITDTRTNQQDDSFDFNCLNGY TVSIIDYKSDQKCQISDHHPVFAVITVQFD cubi_00405 MADASSRIKMSSSGITAISSIENRRRKNVTIRKPIILGTYAFML SIAEQKKRGDNATHSWTCFLRSPDNEDISYYVKKVVFSLHPSFLNPNRVVEKCPFEVT ECGWGEFDIMAKIYFVDSSEKPVEIKHFLRLYPPGTTDVRSVKFPSDNTPSECVASET YDEFIFYEPTEKFYEKLISGPIQPMAQHRLQPYFHKTDKFTFEKTLAAAHVSIQNEMA SLRAEIAQITLDIQNIRENYYSLRPSEVAGMFPQQSMADQSPVSESKSSQIMHQQPEL NLPPGGTFPQKSEIYARSPPNQKTQNSKFQGLTNSRPDSMIDPQFQSQNTDQIHQYDS GGISQVSGQQYHQNFQQQQHFQAHSTTNDIPSHQIRQGHSSHHQNIFDNSKMVSHLSH NHNTNSNPNFKQSNSIDGVNLDMNRQAQFHIANQMQPNRNPENPHTIINNNHAQYSSH DPNLPPKIEPHISNLNNTNIQ cubi_00406 MCLRQHLRCSLLKVTIFGAGSFGSAISCIVGYNTERTLIFNNEV KLWLYDEKVESGESLADVINRDHVNVKYLPDFKLPSNVRAVTDLKEACEDCNLMIFVI PSQFVRSVASQIRKLDLDFSKAVRAVSLTKGFLVENGHPFLISNIIQEELGIDCCVLS GANVASGLAAREFGEATLACSDYDDAYIWQYLFDTPWFKIDCVPDVICTELFGGLKNI IALLVGMIQGLGCGTNTVSAVMRLGVLEMILYGSIFFNIRSSIMTRVFFESCGIADLV TTCLGGRNVRGGKAFTLSNGQKSWEEIEAEVTGGQHLAGLVTLKEINETLEVLLIEKS IDVDKHFPLFRSCFKIAYTGAPPRSLIDILGRNELRELRFVPSGLLSLIDDSPNHGEV MQRSSSRQVGSLILSKTQSCMQY cubi_00407 MFCGLIIKPGQKVKLDSTQGEILHLSQACLSEPKDNGRVYVQAI DNGTAYTICSLQKGTVEHASLDLFLSTSAEIELSVIGKNEVHISGFYEPEMDEEDFDD EDALMGMSDEDEEELELENSKADNKKNLKRKLPSKSDDSTDEEIEDEEIDSDEADDLD LDELIQDNDDEEDEDEDDDDDEDDLDDLEDEEEEEEEEEEDSVKISSTKNKNKQSASS TSQKAKSTDSQPPSKKSRVESKSQSKPDQLYEESIIDFLKKNGRTNMAMIGNKIKRPE GVSKKLGSFIAERTDIFKVENNMISLVK cubi_00408 MMDDNRKIGLGCCGLGMILIVLGVLFFFDKALLTIGNLTFVAGL TLVLGLSKVTRFFLKPDKLKGTLFYFGGLFVIIVRSTIIGFILQMFGLFYLFNSFLPN IVSYIKLSPLSFILELPGIKQLSEWIYDQRRLPL cubi_00409 MEDGIGVICGKEGDYGDKLVNKYDVLSYIDSISPEEMQYANKLV KDELSSVLSGLKNEGEKKEKNYLEKKKAEIEFNKREEQPEIEDKTMGKIKRISSEIQY NYITRVNLQLLKEFGDEAWDDQVKKLKSLKQKFQEEQNNLKKCMKQISLNRKKKQLEF RENQLGPLLDDLKRIQNENNSLVKTLLKIRKENRK cubi_00410 MNNFTVRVRQFLNNPLLARKQFVVDVIHPSLGGVSKSDLKAKLA KLYKVQDANCIVLFGFKTAFGGGRSSGFCVIYNNISALKKFEHRYRQVRMGIAEKITA TGRKGRKETKNRRKKVRGTEKAKISGGKK cubi_00411 MSKKEKKQISNNNTGSTKAKSKSSKNAKDKVDKETELANKEINL KSIKNKIKRVELANQLKREKKLEKSKRRRENREKEQRGEEVVKGTPRTIENTREIDET FVHDPLNDSELHKEMENDEFSDYFSKKRSPKLLITTNNRASKHMYNFLKEIVSIIPNC DFYKRNGFNIKDIIKEVNEKGTEDNYTDILIFTEHPIKKIPWGLYICHLPIGPTSYFR IRSVKLAQDMKGSAFSTTHNPEVILNNFDTRIGYRIGRQLASLFPFNPDFAGRRVIAF HNQRDFIFFRHYRYIFRKKRTPNTKNAEEQQDQAINSAGLQEIGPRFTLKLMWIQEGT FNTKKGIYEYLWRPDLQVNRKVFFL cubi_00412 MLGSRKYRRWLNSQLLINRGRAFQIHQYCDNYEFDEIESIIISS SFRDPNYMISPSIWIKLSSDNELHELFLDCKEGDKRLKELIVNQTERLEVPTKNFFAS LKKICSNLDLDEECFTNHENCDVNIKAENFNNINLRSCITGYLSKVFGEVLSLLDRDD LVGFIFNIENLMIELIRNDSQKQEKLYEEIHKLVLVSEKDCKMMSFYDIKDDDVHGGF SPLSSEFESHLNSSQRSSLLIIKGCNKLLRKIIHCLCNHYCVNSRSISALLNDNYKGN NEKIMILSPRKSSITITPPQVKISTLLKINQLYSKRKIISMSN cubi_00413 MGMKYVAAYLLCVSSGKEQPTANDIKKVLESVGIEFDQSIVDVL ISNMSGKLSHEVIASGLSKLQSVPTGGVAVSGGAAAAASGGAAAESAPADKKKEEEEE EEGDLGFSLFD cubi_00414 MAKSKNHTNHNQNRKAHRNGIKKAKSYRKLPTFGMNAKFLKNQR FCKKAAMKEAAAAAAAAKKALFN cubi_00415 MIDIPTWTKRWIQISQLDNTEIDQKNDEDLLKRFNKNIKKGLKE IEGFTGFFPIQQKVIPYILNGINSDINNYYSSDICISVPTGEGKTLCYVIPIANYLYN RTFPSLSVLVLVPTRELANQVKNVFTIFTKVNKGRFPIKITTLTGQQSFSNEMHQLST IQPDVVISTPGRLCEHYNQLIISQDQQEIPEIFRNIHFIIIDEVDRLLSQPYNDWLSI VNDISKYIISQENNGELGLAKKIPIRILLSATISNSPYKLNQLDLIRPIYFITSVTGE SNIPSRMYQRYIKVTNKRFKPETLLCLIYQLLLNKKQRNSLSKLVAENQKADIKGIPK VYKTYFKAVIFCSSKETTSNLTKYLQQELSKSNRNDLFFVFNSNDETNNQISFNFENI HNSKILIPFNTINHSETSQNSQDNLQTLQIEEFSSLLLQKERNLLMKKFNNNEFNILV CSDILARGIDISDVDIVINYDVPNNIKTYIHRAGRTARAGKTGYTYTMVESNQIRHFI KLVNSKYKNTHRQTMFVRIKKQTNNEN cubi_00416 MYNKTVIHEDEYEIHNDVSSLYHEIHQHSSRKMLKQLNNLKQVR FDSSPPEVFEYEALDPENLLNDNYYQINFNNKSQGISNNDSFDFSEIENHSNIYENEL SRSENNPLTLPIPNRKEIFELENYPSDLNQNNNFQIVEPLKAEDILSIDGKFIQKTKL SDKIYDSSSEQDSKFTLPNDNWINLKDIRLEIDKISVNKESNNGFSNNIISKDHPTTS DQFNNPDFFDMQSTTESLSFL cubi_00417 MKFNNKNIEFAGGNTLWSKLFFTWLSPWMSRFLGTGVNADDLPR LPENDDITLWTSYLERAVNQEERISKLKGKSLRIYKPLLKVFWLQCLTVLLLKIFFDL LQTLATLSLRNFVASLEPDSTSNNTNNNNHKNNYYMGSIFIVLTLLKILVETQYSYWC GRVSLRIQASLISMTFRRVVHWKASAISFEESAIGKSARKSIILRHAESRGIQDSEAV VSSNVFNLIVVDTLAVEGFLINAIECFIFPFRLIIAYFVLKDSLGSSTTMFGILTLIV FLVISFTCQIIASTYKAPFMSARDKRIDRCHEVLSEVRTLQMMGYQNIATSRVMKCRT VEMKANKIRNTLSLIGNWIGYQMSGIAQLVLFLLAVYKGIHYSREYNIPLVIPASVGV TTLKVLFSLLGPCRNLSMYSFATIEALISFNRFQSFIRSRPLDLRHCDSHSITSNNFY DFSPNTKNTFPAENACTQNSGANSLDLARNITAPRLITYYRENTSSPESAGLLSSRNQ TSPSISDKETNGEGSIGRFDNTNLNIYSRYTSENKSNNFVNLQDVTSKDVVIMRNSSY TWNKDTRKRNNKYTNNGNKEHYNQHHGNCELNTDTAFNHCTNSDVRFKLKNVNLSLSL GETIIVVGSPGSGKTSLMNAVLDEIVQESGVTYVQPRETKSPIAYASQIPWIPNGSIK NIILFGRTLNQHKYDIILDCCQLRQDFKSWQDGDLRIVDEGGCSLSGGQRARICLARA LYSLPDYLFSNDKEPSSLLESKTHSKNSHNNNHYHYNLNNSLTSNDESILYLLDDIFI SLDPGVSKTIFRRLFGQNGLLRKVATILSIDHTNLSFLMGTNKLIKDFNPKILVLDDG SPQFFGGYQSYLTDWRCVHLDFNHREHGTQSEDFSHDDDDLHIHSKLDVTTNNNTIYL PINPSTKNETLRKVMEKEGRVTGMVSKETYFWYFSKLKWSWTFALFILCCSKTLFDKG TDYYMGSYTSRIPLSDVEKSIYEGKKFAFIYTLLAIIQLAVSSMVFIGEAICGIRAAE EIHDNLLVDVLNAPLNFFDSNPVGRVLSRFSTDLLMVDNIPAMKIATVLVGAMNVLFQ CVVVVSAEPSVIFLFPLIGLGIYYAVARYFSRSSRELQRMCLVLYSPLCGVFSEAMSG GPIIRAFRAQDHYMLQGTEIIDMVQRAKFMQLCSKEWSSLRTQLLTFPLTAMSSNFFT ALISDFFSASSDTTIVNQKIINTGKNAGSGPGAGIVGIALYYSESIASNIGMTITSYV NMEKEMISVERLHQYNEMLNREKIHNLPFSSPCVIQNIMKSNSNEKIIRKGVKIEDLE VRYRRPNSNPADDPDEIYFPPAINKMNAHTGPNEHIGVIGRTGSGKSTFLQAILGLVP ITNGRVFLDDIPIDQMANEDRNKLIGILPQVPLILKGWTVQNFLDPYQKFSKDDIWNA LNLCGLSGLIRSLPGGKMLDAVIVPDNSPGTTCNSNNADHANKANHKSKTSEYIYSLH NSEELDDERYLSDSQLRYLSLARLVLYAKDYRLILVDEPPPDVLQEETTDYVPIHQLL RQYFPHCTVFVVAHHAASLQNCKKVWVLGKGRILLETTLNSNFSQDKMLQLFQTAETE LEDFKTIKE cubi_00418 MKEPLNQRNLIYWNNEIFQKTKKLFQKVSFFWVIPYFNEKILFK KKTILELEGEYLDEYVNKSYYNNEKLLNGGLIKPTALLRRIIQVKWEIILGVILLKIG NIFVSFIFSSYLSGFMKSETPKEHLKLGIKTIIVYLLRLIFESQNRFHSGKLALEIES FLLRLSYSRLVFSFNHKKRKSNLKDGNEGKNQISNIMNIVLADCSSFPNLITSTLDLL TFPLRFFLTWVLLKEHVGSVAISSVLAFLIVFSLGFFLQIIGSLFKAPFMRYRDIRIS KTHEMLRQISQIRLLEQENLIMNKIFEIRKKETFYNRLRLILVQIGTFLDYHVQTISQ LVLFISYISKVINDNSNLQNESLKSLGPTGVTVLNIFFTVSSIRGLPSNMIEGFISLI RFQKFLRDTENVVKSYKTEFEHCKNNQLFSEDVILDFKGRKVVESNHSLMKLVEMEER KEDLKLLIKETDSRMTATYEYFKDLSVQVRKKEKVFIIGESGSGKTTLIQEILLNRGF LFDTQDINSYFYYNYYLLNNLPIGYVSQIPWIPSGTIRSIILFGSEYDEDHYMKIIEC CNLVTDFQQWKEGDLKQVDEGGNSLSKGQKTRICIARTLYRFFSKTNLNLGSEFCPLF IFDDIFCNLDHSVANKLFHNLFNSNGILSNSSVVITIDQNSLSTFLKYSSILDSSSSF SLYHSFRYIFIENFNYSPISFKVPQQVLINNVESILTDTRVETLLNNHNMIFSPKNPN NINPTSLSSISDSSYIVDLNSSSEFSRQNTESDKFISNNNSCIINSFNEKVTNKGYIS LKNYNWYLSKVGKPIIILLITLLFSKSTFERLSELLFTGNSTHAHTLKKFLLLYSLST SLSLICGGLAFFLEAIACTFGANEIYKSIFDLLLIKVPNIIPIHIMLNRIGGDMLIID TCIVKSIISGLAPVLTIIGQTVFIIYTFPYFTPFFLVWIMVIIKPICFKFISSYREYQ RFSISLFSSICGIFSGTQLGGSTITMLKKQEVLRSQANESIDLYIKVRYIQLASTQWA GFWMNMAMTPVGIILNILLTQLGFESKSSSAFICIYYFLSIAESISSLMYKFVQLEKE MCSVERIKNYIETFTMLNEHILMNEKNHIQDYRSGCYTPLAINSKDSDTFTIIPIFEE DHIIDGPNNKGLIIKDLQISTLNNFSIGKEFNQESVNDLKFETLLTINGTLRAYPGNV IGIIGRTGSGKSSLINSIMGLHLQNRGVILLNNIDIRIIKKKNQIIGLLPQESLIISG ATIRSLLDPFTEYSDYLILRALKKTKLLGFIQSLPLKLETIIISQDSNELSTYSIQSE SNNTTIRLSNSQIRYLSFIQFVISPLRYQLLLIDEPPQNVTFSYKDNVFETTSIHNII NSTFKHCPSLIITHDINIFNNCDIFWIIKNGQLNKVIHKQFKDFSNYILKELI cubi_00419 MGLRSYLTKIWNISAFGFINPYLLNSKNPRITSINDLPELFEVD KLDHWVEKLSLAIEDEEKKSMYRNERFRIHRPLIKTLWKPTLEAIFLRLCFDILALLS SIFLSYFSESSSITNTMISSLYIFLTVIFKSFFDCHSRIIVSRLCIRIEGSLIWVALD RVVRHKEMYPELPLSTTYMTESSKKPSSCRKSLLLRQNEVKFSNSNAASESSNIFNLI IGDAAAIELFFGVFVDFLLLPIRLLMSWYVLSKVLKSSSALPTLLSFCIMLGLSFLFE IIGAFNKEPFMINRDKRIDRCHEILSDMRFVRLTGLEDVAISRITKSREEELYWNKKR FIYSRIAAFIDYHLKVYSQYILFMLSTYYLFYYSNNNSKDNFLRNGASALQILMQLSS KIRSLPTNIIEGIISIQRYENFIITHPIERRRSSSDIIKPINNHSLLKMKYNYNNNII ERMNSNDDNNMTNNNFSELNDRICSNSSVSVSESALISASSIVSKYINISETTSLIGG DSYYNKEDELSYIPDRYNTKSNSNITNNKFPSNLMVLIEDGIFTWNSELHNDSFTNTT LRNINISLRLRECIFLVGEPGCGKSSLIKAVLNEIRPSASSIYVKPRETNSIISYSPQ LPWIPSGSIRSAILLGREWNREKYELIIDCCQLKEDFSSWQLGDLRIVDEGGVSLSGG QRARISLARALYSLPIRFSTFSKNKFFIDAENPQKFESLLYLFDDVFVSVDPKVGFQI FNRLFGPNGLLLTVSSIVTISIDSLHHYMANIQYLYNNNSSNIFNDNATPDDNKDIND LDSNQSNINSSCKLKESRKSFISTNPNPLYNNSSVNSTSSYQDYNFNFDGFKFNVCVL NDGKVEWSGSYSDYLKETRHKLFDQELVGDNLVLNTSYFKSLELESLNLNDDSNNTDN QFTELLNSEGGNCKNKDNYINHRFSFSSYNWYFKLIGSKWMIVFIFGCVMKVIIDKIL ELLYVNKSDKIQITESYVYRFCFNATLAVLIESIISLIIYIGEAIGGIEAAKKSHEIF LYKIVYAPFWFFYVNSVGKILSRFSSDMLAIDNCTIRRITAVILPLISLLFNVSYVSF MVPITLPFEIILIIFTIKYIGDKLLLTYRDAQRCALLALSPLCSIFSECLSGGTIIRA FDAEKVYLNKCASFIEKLQRARFLQHCACQWAGLHMQLLTAPLTLIVSIIGIISPSYN LTLALPLTYTISFAESINEIIFRLISLEKDMCSVGRIYEYINLIDEDSKAHPQIILED FAIPDTRQGILISDLEVRYRRPNYKLNDSKGKELVDSSTKTQSYSNDVFSNLDNMYFP PTLKNINEFAGPNDHIGIVGRSGSGKSTFIMALFGFVPTTKGYIYLDGVPINQMPSST KRKIVGVLPQVPLILKGWTVRDFLDPEGQRSSEDLWEALRICCLSGTIRSLPGGKMLD TVLVPDIKITSSTVENSIHNKNNIIMNNKKNTNISCRDDCQESITSLVARYLSDSQLR YLSLARLVVNARDYRLILVDEPPPDTFEDQTSAKSYVPVHELLRTYFPHCTVFVVAHH AASLQNCNSIWVLGNGTIETVIHPKAVVSQKYLAGLLKNN cubi_00420 MSSLFQLFWLSQWSRYITHSNSNPGYPLLFHGDSPLIWINRLRE SFSKKKDHFWLPLLQVYGRSIANALFLKLIFLFSCCLCSIVFTQTVSNHQGIGTLNSC IFLVSAYLLKSVIDAHARLYLARVTMRLESGLTGVIFYRLLSARGPEKPRERESDEIS LSVDLNEISSKIRFGIEEDEDSLSNNKEFKICKDILNSSKIKSEPEKITYSKSLKYLL AEPDVFSMLVGDISAVQLFLNSLIDCILLPVNIWITWKLLSKQVGLVAALPGIVTFLF FFISSFGFQVLGTIYKAPFMEYRDKRLSVCHDILGILRVIRIMGAEDLAFEKIMKSRN TETALNRKRLVRTQFGSFLEYHTQRATQLIVFIIFYLFIGTSLDLPSALASIHILHSL ASPLRGIPVTFIEGLISLLRIRTFISSHSDNYFEYFAEDDDNSTNCEFTGAIDFSNSK ISDQKRESKSSNKLICFKSFCKSPSYQSVNDQYPDNPNNSFISDCHRIIKSHFCFSEN SANNLGRCIIFTGSSGCGKTSVINSILECKDNDLCYAYSSQTSWIPQGTVRSAILFGK PWNEELYMLVTDICQLQHDFQSWKDGDLRVIDEGGSSLSGGQKTRVALARCLYHQSEA AIFLFDDIFLNLDPNVGSKIFSRLFGYKGFLNSPYSRTVLSIDLTTLLYFINDETENN LTNLQIVHLNNHDIFFSGSLVEYQALINNSRPNLNNSLENNFVCNSDVEGEIISPVCM QRNSCMQENLHESTCNQSLAPNDSNIINLELHMTSEPKLVDKSDAKNEELEVIHRINH HISALHSKNINIESFHSIIGTTPTSSKTCATPSTLNNEIPLSLTSNKTFYKPVNLPAD LLLETEINTGKRNTLEIYWWYFSLVGRTWCIILLISCILKSIFDRYSDTFISYNDSNN NPNFIWIYTFIVLFQGVLCALLFVGEAIGGVKASNIVHNNLIHQILFSPFWFSDSTPT SYLMNRLSTDMLVMDESPLKKIASVIVPSIDFLIQISILSYAVPYSLPIILMVTYMTY KIVCQRYISTYIRAQKVALSVLSPMYGLFSQLINGIVVIHAFNAQGHLLNQFLIQIEH LQRIELLQHIASQWAAIRLQLYTLPLVIFILFTPVESNWRYLALLYSLLISDTTSVIT YRFASMERDMCSFERIFTLISNKSRQGTETGSDNISLNGNNKTTIANSIKSFIISKKE KNFNHTVYTNIQNQVTSSNNNYNTHKRFDSIEIQEINDDLFFVVDYRFNNFGNKKITL ENENVGHKLLNETFKSDLKRTGVKIVDLEVGYSDIMGKSYSVVLDGINVNIQPFEKIG IVGRTGSGKSTLILALLGLVEYSKGQILLDEIPISILSIKERRRIIGVLPQMPLLLKG WTIRDFLDPYEEFTDYEISLGLDECLLDPAFIIQIKNNLYVNAGSLTGSQLRYLSIAK LVIHSKQYRMILIDEPPPNNTGDQGLQQNISKVLATHFKHCNIFIVAHHAESIKDCDN VWVLAQQKIVKSIHPKSIPTQNELAQILTNYE cubi_00421 MSGNKKLYVLFESAAGYLLCAIDNWEEIGQVTESVIEVCADPMR FGQVAQFFAFFPFQTAEMALENMKEIQNGNATEELKTFLIQNLPKKVKKYNLGVGDAS LGKTLSDQGYPVVIDKNISELLRGIRIHFTRIVKSFDSSIGDLHKFQVGLGHSFSRNK LQFDPNKQDKSIVQSIALIDRLDKDINLFSMRCREWYSWHFPELAKIITDTEKFLKVA LLIGNKDQFEDNEETRKKISKIVDDPSLEDDIFSSVLISMGQDITENDINMIKNLAKQ LIELYKQRSHLIEYLNSRLYNVAPNLQSLLGDTLAARLIAHSGSLVNLAKSPASTIQV LGAEKALFRALKSKGNTPKYGLLFQSTYIGKASQKNKGRISRYLANKCSIAARIDNFS TANNNIFGEKLKQQVEDRLKYLSQGISPPKNIDVMREAISENQDILKSTVPSQLESEK KKKKRKSHESVRSEHNEEDKQEIMDNDESSEKKKKKKKRKSSGNNEDDE cubi_00422 MRELSIISFNVNGLISFMKRRGYTESTFSRLIENICVNEEVKNN CLNVEKPDIICIQECKMSFKEDLNNSTGCPENYESYFSLAENNKRYSGVATYCLKDTI MVIEAGRGFSWLETSPRQFDINQMMKEENLKDIELSITNIKDKYGVTISEINGEGRCV ITDHKHFILLNLYVPLLRSKTEEKEEKEQVLFNGIQTQNQNQTQEEPLENVDPERLRY RLAFHEYLNLSLHVLKHLCGRKVILAGDFNIILEKIDCFADFRRFLGDNKLEDCQVLN PTEILEKSSFDQIYSEMRKLNTEMIKRYNLVDVYRHYYPKIQSKYTCWNQMNQSRIRN QGARIDLFLISKEMVSESTKCEILDHIYGSDHCPILLILKMKEPESMCSLGRSKPPSI CSRYLPQCKQKQSTISQFLVLSKGEIKNQCNKTIKSQDFKINCKNSDSSKKLSSNYPH CKHGIPCIKKKVTKPGINKGKLYWGCSKSDQQKCNTFAWVEEANNKNETNHNLKNFII K cubi_00423 MRILFSLLISLFFSAKLNHFSEANTILDLNKVNSSNERQKLYSD FLKEYGSDYNKEVEEISRVELKRFEGQTYLDYTGSGLYQKSQLEEIYTDFINNAYGNA HSRNPSAELTNRKLSEARELLFNFFNISKDTHTIIFTGGATGGLKLIGEDFPWTKHSK FYYTRVNHNSVLGIREYAISKGAEFKALSFRDVEKILAERESNYKENKDIKYDHMCLF AYPGKDNFSGEKYPLRWIKQIQKYGLSDNCEWKVILDAAAMVPNEKLDITEVSADFVV VSFYKMFGYPTGLGALISKTDQVNRFNKVYFGGGTVVMASCDSRWCKMRDNPSAKFED GTVSFLSIVSLKYGISKLQYFGMDKINKHISSLSLFTFKLLSQLRHFSGSNVVHFYGR FASPPTGGIINFNLLKPDGSFVHYFQVEQMASDNNIHLRTGCFCNPGACQDYLGLTLE EIQITSEVKENCSDPAAGLAGKPLGSIRISFGYLSTFNDVLTFYEFIKTNFVH cubi_00424 MAVSEGPAIGIDLGTTYSCVGVWRNDTVDIVPNDQGNRTTPSYV AFTETERLIGDAAKNQVARNPDNTVFDAKRLIGRKFDDQAVQSDMTHWPFKIVRGPKD KPIISVSYLGEKKEFHAEEISAMVLQKMKEISEAYLGRPIKNAVVTVPAYFNDSQRQA TKDAGAIAGLNVMRIINEPTAAAIAYGLDKKGTGERNVLIFDLGGGTFDVSLLTIEDG IFEVKATAGDTHLGGEDFDNRLVEFCVQDFKRKNRGMDLTTNARALRRLRTQCERAKR TLSSSTQATIELDSLYEGIDYSIAISRARFEELCADYFRATLAPVEKVLKDAGMDKRS VHDVVLVGGSTRIPKVQALIQEFFNGKEPCKAINPDEAVAYGAAVQAAILNGEQSSAV QDLLLLDVAPLSLGLETAGGVMTKLIERNTTIPAKKTQVFTTYADNQSGVLIQVYEGE RAMTKDNHLLGKFHLDGIPPAPRGVPQIEVTFDIDANGILNVSAVDKSTGKSSKITIT NDKGRLSKDDIERMVNDAEKYKGEDEQNRLKIEAKNSLENYLYNMRNTIQEPKVKEKL SQSEIDEAEKKIKEALDWLEHNQTAEKDEFEHQQKEIETHMNPLMMKIYSADGGMPGG MPGGMPGGMPGGMPGGMPGGMPGGMPGGMPGSNGPTVEEVD cubi_00425 MVDKTNNEFTDFDKYSSAIAHYTQKTVSRIIKEWYLYTLKRKIL LELESYVGNEKRRKTKLRILRDWFEISMKERLNSVKQEYIIKKKNEQILRYSLTYWYK DHYLKRRHEYKISCYIKKIYLTHLLQDTFRLWYIEFLNHTKSQKFLKERIQRKIFLLW YYEYIQMIIIKNKMENNKKRRLIFGYLNYVKWKKNNRELMAKKFKFIENMRLSKIFNN WLILLQYSMNKRYTILQWQIALINNKMLQLLQGWRTVVFIKKQERDKFLLVKRRNETN IQLIVFNLWYVLAQESYREKTMLIISGLSARRHLLFNAFSSLKKYLIYRRNSKILKDK SALYLVNYVLTVFKRNSSSYAQHKIKLQNAQNIFEKNLTKIHWEKWLKYINIHKITQF KQKTINLKYKTRILKTTYQIWNYVWFKTLTNKMIIDCIRVKIAMNIKKKVFYFMIYTF KIRKSQFWKIFHLLILNKLAQGFEKLRANMLYYNNQEMIENHLGDQIRLTIIIKYWRI LTFQNKYIHHFLSKMIVIRFLQNMRDYSQFLRKQQFIVNKINITMESKIFAIWRIISR KRKNLKNIFNNTTIEIISKKITIYSYFKKWYFQYNHKKKILEFITSKQNQLIRQLFFK WKDHFFKKINIKTKFQKLYKKYNNQLLHQFFQIWIIKYQQKYQNRKILNEYLKIQVSR QTFKTWIQMKQISNERKNIRKLFNHLFKKLQIFTISKAWIKIKENYIIEKSLEKISNK YSNHIKSKRLKLIYDHWRFVSFQIKKIRNQENISKNYYQNILMSKVFQIWRKSLENEL FKQKIIYNQLKSKNDINFIIKLKMIIHLWRKMVSKKIEFKEKQRKIEIIIKRRNFYIM IKSFNQINYYKKKILDSINYEFINSFIFKLQYNRVIEMEKQVTITLDISLEIYMNYSK LKRKMELWLKSMMYRIEQKKILIKEMKIMEMKIQYFKLRISFNQLYKWKCEMNLKEEK IKRKINISLYKNVIIELQNKSKERKFTIQISDLLYRNYMMKRILQALNFWKIKSKYQK NSQNKENLLRNYVNKRILTKILIIWRKESNDYINYYKLIKNIEIPIKKRVMIILKGNY ILSKIFDNNKRIYFEKWKLLTQRKNLLKKRCQEFTLNYISKRLIKRVIREWRNKYYQK LAIYQFYHQVIVKKPIERIIYYWYNQTIERVNKQRELKKTIQHREKFYYFKSMIMIYK MNRIYYYINKRILIRIFHIWRKLYFSEKYSKIKILKQCIKYWKEYSEKRIIYREKKNQ FIHKRSQKLLEEYYSKMVSIYIKRIEFKEKCGYIMLKKVEKEKKRIFNIWIFRFREKQ EMKEKYENLIFIHNKFILKITMRFWRERVLKEERYRIIIENQRLKREIQIKSQIFYIW KSIWKPYHYVNVCKELFKILNKIILHNAFHKLLIISFYSFTYNKDNGDKNNMNNMDNM NNMNNMNNMNNMNIHNNSIHNNIHIHINNNNNNNNNNNNNIIQEKTERRITYSYYMDC RCSENDLKRLILLIKYNKKVDNIMNILSKIEQVRNRAIIEMLMRMKYYVSIRERYENG MKEFEIKLRKIILSRVWIIMIDNIKRIYMIRRIIDMIKNKNKIRIEREMLVRWVNKYN KSYRNRCIIEYMGIRYGNTIKTKCYLIWSIKYEIIKKKKIIKEKSGRFLRLYIFEYTI KRMNEYYLYKKWLIWCKKAYDTIEWVHDFRLKSSLFINWRRYSKRQKNLRDGLYRLYS KVKRRIIRDIMVEWGIKTKNILIMRKNADKYYLEIIIYKRRFKECFIIWKEYTLKLRN QRIRIIGYMENKSRNLKITCFKLWRFYIYYRKTRKSRFEKITNIIRVNHLNMIIYRIF YSWKLKMIESKSLKNSIFSFWNSGNVWETRKGINRGEVELEIEGGEKEEGSNINSENG LIISSPSFSASEYSMEFSMSSLSKFKEERMRKKEIKDEKKSDLGFMNIFSSSGNSSEA SQNVNKRVNSIEVSELDKKLTLSKGDEEPNNEKSSAREYVNRLLESSFSSLSSIPSFA PASVQHSVQHSVQTPTRSKDLALEFQYTIPEVKSSASNVEDMPGLSAFSPFSSSSVSS SSVSHSYSDGDLEIDELHSETAIRTNKIGHNQDQIQDDDLRSLPSPTPSPPPPQYYYS SYKSPVLNNDLNTRNRSKLSEKLPLPFPLPVQQPQIQETQNLRKVNQNLTPSSSSSSS SLSPPISYSNMNGKTNFLISSTDANQRIEDLPQDPYYISNSSPYQNYSSMPTLQPSNQ LSPTRTVYKNPAHTRNDIFASSLSSSSLSS cubi_00426 MVLVGDFNKEIIGLFKTKYPTEKEKLLELNLSSISRNPSFNCNL SSLYGGKNGNKPKCCEEGNACCGEDNKNSDKNNKLFTLDGSSTLKWDMFSTQMETKID MNGIAIMEAKTTPYYGLSLTSKYERFSKGLSSSSSGSGSLEIGGDIQKEYFQSRFRVS PSLESLGCVNKLNKENKDSIGMMLSNTITMKPFSCINSLSFGTMISSSNVNLPYNKNS IKIVMGLMLKGPLFVRKTLKECELSQKGGGNYYNYHSLNHKKENFGSRFKSGINYNDH ENTIKEETSSSFTPNYILSIQTNTNNHNNKLSGFTGGLFLNNLLKNYLTLGVMVSYNA ALNNGGNYQTVNNIIEHNTVMSNNQINSLNESSKAYKSFATFSEKVQYTIGGKVSFGS IDNYCLFGKKLNLDSEYNGSSNTDDNLKSTDLRFKIMNNFKMAYSLTHRFTRNISATF GAQVDPKKLDNPDSVKYGFILDINA cubi_00427 MVKFTLKNTAIIIVILAYLGNVVKSQKQEKTEVRSLGHRRGGVV GEQTVFVDRPYFVEQPLYYPYPYQYPYTYTYQYPYTYTYTYPYTYQYQYPYNYSYSYT RTTSRPGVLSHPVGYMPPPYAPLPAPYLRYLGNDPALLERKMDAISPMETVTPGFIND LSLQDGILGRNENLNNDQNNANSQNPPQQTQNNQTLPSGTKNDGMNNFVNRDGINFDR FPALSGLNRMGLADGEREMVKDRDDDDDDDDDDNDDNDDHDKNVKNNSLYNQTSVSGD AKKYNTTMKTPEIVYFNNTSNLRVLAKKGLLERVEEKVNDVFDGIKKKINTNDDNDDQ LSDLEKEDSNDNEKDNDKKKNSNKKTQNGNKMVKQVFSTIEDIVTNTLNK cubi_00428 MDSKIWNKKRFLLSGFEDNEYNLIKKKYIEKNGGKVLEINSDIT DDDVDYLVCNYSKGYKHRHSNIDYQKLRTPFWIWLSVNDEWNYSLGWHPFFRPNGNFT YGILKGFQIYLIGYSRTMKGFQDREKSPRYIRKINDIGILVSFIEAQMGGKVIFDDNE NDNDDYNKLSIVKSVKSVTKLALVCNKEEVGELINTVKEKNKIQTVVNLEWLFDCYDE GRIVSYRKYIYHGSKEELKSKISMEMEKEREDIGNIFKIIISHQVYLNHNEMYYTMKK FSNNEDIEIGRTSKEVVKYIQNDEENHSLKWDKETILVLIFNDSIEEQDFWYDLMNAL EENVVFNIKLASKEKKNYILKYLQRMRIINDPENLFNKLINCVDSIIPLITKLASKVG CSIPSYISDFLTSESEFLDTVLKFTDFENITGLSGFIEYSNDNPIDIVNLNRSKAIKR FDGFEKEFSTKKFS cubi_00429 MAKRSKEESGSMEEEGIGLNETQQSKADEDNMEGKSQGRPSRNS RKAIKYTDFDDEDDELDDFESDFDEDMDLINEEDEDGSDEDDDLDLEDEEFEKSKKVK KNATKKKGGESNISKSTKPKKPSKENSIEDDFESEEEDKGPKTAKKKKLSAPKNLTKR NVASSGGGSTTGDAGEAIFNYMKEQNRPYSVQNVVDNLHNVYSKKQVTDEMDRLATEG KFVCKEYGKQKVYLIDQSDCKELNKEEMEALDKSILSSESELNDLEARLKQLKQETRN ISVPLPIDVLEEKIKQENLKNESLMEEISRKEKMLGEDCEIIPVEKLKELKNNLTKKQ AKLKKLKTACKSAVDTFSESMERKASDLMEEIGIEMI cubi_00430 MKEETKNVKYYLNCALGGALSCGLTHTAIVPIDVAKCRIQVYPD RFRGLIPTLSKLVKNEGLKTLRLGWAPTLVGYSAQGALKYSLYEYFKDLYSSKIKTVN KKSYKGLIWLSASATAEFFADIALCPMEMVKLKMQTCLRPHTRSNSFLRSVSNMYKYR SETKFPFGSIKPLWSRQLPYTMAKFYFFERINQLFYDKIFTKPKALYSKKSQLGITFL SGYLSGIICAAVSHPADTIISQLGKTAYNTSKRINILSIIKEIGLKNLCTAGLGTRII MIGTLTGMQWWVYDSFKTMIGLDTSGKSKVQSQV cubi_00431 MSIMTYNGGAIMAMKGKECVAFATDMRLGSNGYRTVSTNFDKII RPSSKTLMGFSGLATDIHTLTNLIKFKTNLYHLREEREIGVKALSHMTASILYSKRFS PYFVEPIVAGLDNNNVPFIAGYDLIGCLSVCNEFAISGTADNQLFGICESYYRPDLEP DELMEIASQCMLSGIDRDAFSGWGCKVYLLTPEKLVTKTLKSRMD cubi_00432 MVREVPNKGDSSPALTPPKSDAPKAAPVPVLALPKDSAPVNIPV VSVSVNERSEVPNSDKVIQVPTVPKEGGNTQNQTSSPPVTPPPPKQSSSQQSPSKPPS SPAPSQSAPSQSAPSQSASSQSASSQPTPSQAAPQPQQSSSQQSSSQKSPSTPSSSPT TAQSVPPPQQPSPKSSTESSSKPAQTQMNSQKSDTQKSVSQKSQKTEFSKMGETSKQI ELNGIGSNLDTRRSVENTKFSLVESELDSKFPDIKTAFSKDGLQEVRVALIFIVISLI MVIGFSIRKYRKLRKGGEQVRNITNTVFPVKIVSLYLYIFLCFPITVLMQFLSCIAPV GFGMFNVVQSIYQGSCYIWLWDLFVEYFGGSEQIVTAIRRTGPYQIWKVPPFMIPTNP ETYFQPKIVIVSYILMVQFLPINVLVSILNIIHPSKAFLYNIFLILSLSISIYGIMLI YWPSKEALQPYQGGWKFGILVIQSFSIIIIDSIIRLAKYENREYNSIVYKLYWKLMLT CGVATICTLAAVAIIDSREIYQIYNLSIPTKNSENIPENKAMLNTKV cubi_00433 MNALELISLDTFFQLISYLIIAGSCIVKIPQIIKILNSKSTQGI SSFSIYVEILSSCIYSFTNWRFNVPWLLWADSAFIGIQNAFILVLCIIHSQNKKFPIN QIFYITSISLLIAALYQDVIPIQVLKYLSISPLIFVVLSRVPQIVKCYIESSTGQLSF ISFFLLTGGSWSRVVTVLFSESKSNTILLLTNVISALLNTVPLMQIIIFKYCSSISEK NGIEQKRHNKRKIE cubi_00434 MEKSMGKHGQIVTKPKPSCPNIEDELRAICAPCKSSNLNYIKVM CEKALLSFRHYRDVVYKTDCDENGERFKQFPATDICSVCREAIIASTKYSDSMQLSIL GMNCIQQILIPGLLDTSHKITILAVLNEITLKASITIKNKTKHTSINYHGNHSNSNSD KEQVLLKVIQTILLFLSPEILEFNEAMITLIVSIISTLFNLSGYYQLIQMSQLAIRQL INISLDYIDSSKANKEDSKGISEVVSLLLIKDISIMIDKNIVENVKLVSNSKTSKDNI TPLSLLKGGITIPSEICLDLWYEILDNNVSSRRSLLENNEDLRSIIHNILFPTLTKCI QNACLELNPKMSQNIHDFVMFARFIRLFVKILEFNSSKKLQGPFIKDCICNSLISFIQ VISDGNYSNIPSWSLQCILEMLSELVQNPDTLIIIGNYNVKLPNKEQKMNEECGITNL LELIIFNINQILVSLNDQLDILHSAENTLNLSVPSFLNEKISLPSILLPQHNNTSIEC GYFIIGSSKKTSEKNLRLIDIIMEDDRIYHISKYYTFGGNQAHAGGTTNLPAGNMQSL SNLSSVVLISLKPIEISLLVFDIILNISTCLNSIYKGLINGDLDKKVEFEKLVFNIWD KFYILIKQCMDYYLLRYYVYRIITFLFIISNLPEFKSKLSNILELIFESLEKYYKNNQ ILESRILTDAVMLEKVFSIYKCFLSISYQFSECLDEVSWQLLFRYMEYIDRILDIGLG PMVKSNEVKSRSVTEIGESVELDKNLLNQKLEHQPSLENGESIELSNLKTKDGKVRDG QIIKTLMAESTILRASHQVFLKDHIKKISENTMNCVIKGIYKELSFIMYKDNLDIEVF DYMLKQLSCIIQSIYDKMSYNLFIPIWNDKIIPLLLETVKQNNFCNTGIACAQDQPTC NLENCMHSSHICPHEKNNDVEMNKNKKITRNNVENVEMFNSIMNFLSSTITTIFKFID EENPMLMQNNVQTSLLNPYLMLTGLFPMYNTLLLRSLYSILCSTIPRLDNSTWILINV LINNIIQERYSKYIKYLKYEKSTTNENIVINGSILFLESLSNECLNSEKDQIENNHLD IDYDIELLQALFSLIEYISDEAEIRFLSNTNKISSSWNLLIESIAVFGRVNISTENMA FQAVSLLWKLTDLIGPSLSKNNQNTPIEPEESFDCMSEYDVETDTNKILVFNQRNVKI SMNKINRVFYSDYTNIEIIWLNIILQLEDLCKDPRQEIRNCSLRSLFSALITHFKYIK NQLLKSIIVRILENVLSLSIEQYNNSYKETNYEELQSFNSLLKDDKESKETTTTALSK KETENVYSSQINTSLEFENIIPAPQNYDSELNKTGKKDECPSLSTSFIRNSQQNKLWE GTLAISIDGTLRVIKELSQSNVLKDLESQNICHECTMFLYKTISLLLSYKKENCDRSG GFNNNNNAIIYAKDIQITSIKTLYELLVVSMRIGNKELWNSGFLIYTEIIDRILTQSC ETLKKLQRAEEFIQDLDQSSKDDSIYKSYNNYVHKLTKFYLSDKLAESLLQSVVDLLI LINEDGNMTKYMLFDESFKQLTILLDLIISIITSTDTFIHHTVPLSNLNYPEITDHFL DTLKEIINRQSNDQRCKTGLYAKFSEYYNNQYGKNDTDYGLLVSSLSSYGCIWGRDKS NPISSLYIPKLVNFEKDNQEHVKSGKEFNTNGRNINRLIYIDDYNNSDFIHGNYIKKV GNTSLLDEFYQKRKDQLSNKELESEHLNIVYLLRNISNLNMFKPEANYCYYLKCKEVS KVEMKSIDENIIERTNNIGTRSYLCSIKNNNDYLSSCINGEIRFVTTIQNIGMEALQF YIMSLLSFNQVKNIESSVLGEISFRNILIPLVIKKLLDTLVIHKDLFMDTNKIGISSK IISLLVGYNRNIILKSMEMFQLFTIEKDQIKIQQLLSGMLTNESSSIIQMMMILPYLF EKLLLLMELDRERIYGIWMVAKEAILVIMHDWISFIAYIKMEFRDIHGEDFNSIKNEF NQIIMENIWPLFVEIIGNMVKENQGFGLKIVASNILNKNLLKVNNYIENYYLYFDILL VNVAMDFILLLNRLNIRDELQEQKRDDIEDLEMFELPYKYYIIMINYFDSFINDNNSI NRLELTIRSATEEYKRSNYRENMNFGLSAIHGNVTNGNYPTLNVNYQTLKIYIIEKLF ALYDHLNNKLRSIGRRNIIHIINKEDKNEKLNDHGKDEILKLRSKVRDLVNCVEELGG ILHRMTSNMFKNFSMEDLQSGLRPMPHLKIEEIHSLLVLIEKHYKVLRIFEEENLDET NEIQLEIIKVGKKCFGNYIENVLPELIECVTCKDQSIRQKLKSILSSLSIDISKDYNS LLLNKF cubi_00435 MEEIKKEENHQNEEYHKNSPEFYLVLSNISKRQNFGTLLRSACG FGVSEVLVVGEKKLMTFGNKGTLPHLSLTQYENIDQVVDIIKEKEMDLVGIEISSESR PIYPHPFKRSTAFLLGNEGTGLNQKYINLCDYLIHIPLYGNGTASLNVAIAGSIVFHH FGIWAKFTESSKKGAKYLIQNDKTSNIKGKIRHYLFPSELGMSSNF cubi_00436 MEGFHPDWQGYFKPLIALVAPKNIQEHLSRSIYSFRPKSCFPCD KYLELKCMTYSELHNPTQIPSATSPSKALLKSPYSISNSNCILGNRTGSYLGGIDILN MNWMNKVRYETPALYILCFDWQRYPINTTNTSNSETEKNQQIINELENDALHIIRNII SILKKRQSPPSVLIFVILPSGTPDPQSCVTCFRRNHFPELQAIFVTCGLKHQKQLNVR IERLAEMAYETAQAYYSENERRWRKSAIKAQTAGITAPNDSFIGSLSMKTSSSGLRYS VSNSIQSSLSPRFGRNSYFSTSNSSSNSVNNLFKNVSLGGGSTLSRSNKNNSFASSAS GNGCQTLTQLQSKVLLIRYCIKSAVMNEFCGNFVLATKQYIAAWDNIMNETNIPSHQF VVLFNIISLRLYHIYVINDEIQKAMSHLKIHCRTLREFGVNHPKFIFLSSLWLSQTLQ KLASILFFYIVNKNEHNHSLNQDPNTREYTKLCHNNYHQYPDGLEFSSIPLSIGNNHE KIISRASETCSESCAFKLENDNLGDILSSIARSIQNIVIINKNNQFDANNENEVSGKD RGEFTVINNNYHNNEVVDTLLNISKLYKTSAEYSHLSRSQLSEFCNEFDTEFFQNDSE IGGEILPPLSLGCFEELSSPEIFFEYERKELTQYNSTFNELGKLSSLYIGSDSFDINQ IEDRSIASEVLASCEIVRRNIKLLVNSKSLFYRSISLFYISAVIYRHFYPSNLNFISH PNINIHANSSVETSQKSINNNLQTCNASVNKCNLPAHAAHVDNASQSGCMHASANLAE VLPGIQGQNKVEAGVGNFLGPSTSLVNGIGTVGSLSGGTGAGSTSAVIPPKVGVGQVL GYTTDRFFQMISFSFADCLFEENYINCSLSIFEAIFQSVIPKHVFAEISRLTTIDEQQ SKLFGKKKATLWEIVNPQMTNTCFQLTSSYFDSSFWLFLKRVSAKILECLSIQNLNME ERMNMPKIIISNANSENKIFRSNPNLCNNNSKQDDQYIYSVVSSFLAVLKPKRTWIQG QNQATQCKQKTNTSNHCSIMILSYLIISNSLEKESESTHKQEIESFYNWVQVLYYKVL NSAVTSNTGGDNMNNTINSNNISSAKSTSSFTGKAQVKNILFTHFLHNNYSTILQDQS FHDRITIMIHHFPTFLTVFINSKVEASLVSNIGILGVIIDKFEIVEFEEVGSFNSQIS LHCRIRHVNYIPQNYENNEPRKLYYQEYRPPILIFGLFLYLLVSNSSQSNDYIKLGIH ALKYFNYQKSISNLAGTNIYNFSYVQFCLRSPPISYFTCTGSWLRHARTLIYNTPKLQ IVPGGFLDLSTNTIANPVPIFKVPVDDLQLISKFCQPFNSLPIFHKLVTNNVMFNDKL CLKVNDDGISPEIESIKKLCKAWLFSPFNALDVFLSESKQRVDTIESIKYNAISNEIR PLQLILRIPRNFSEKFMLDISINVEKLITQDSHLEIPKSEFENHKEKIIQKEEDVVSK LGILQIPFNNKWKIVFSQNTIYSANVNNNNITINSSINGSSNSNRNLYPYSNTVGRFN IDGKLLTKSILSEIETSDETRTETSIGNIHTENRNLNEFKKVHSLIKGGKKIAKKEDE TGEVFNESIQFVNFDTTLAKETTKCPSKKSYSEVENPSPNSGSGLGLDSNSTLESQLT SSSDSTRSICYSDENSTSFIDENITPYFKILKNGPKSYEQFETLQRGEIDIIGEEESD EDSIFYTLDNSLGVLLFVPMFLSINKSGKYKVSANVKILNRFQFFNNKDMETEKETET ETETKKTFGFSSSLILHDLEYSRPWYVGECITVNSMIPKMDSLHGSVNYVDRYGGQLE HRIVPLYDSSKGNSQFFLSFEITNQQFKEKMVIEDLILPFKFSNIDNWYPKILNGNES NTSLINISKEDFEKYYISNKNENLNTPNEIISNTKYHPKLIVSLEYKLSCEISSFNHD DEFLQFLIFPFQKIFSSNYMDEFNEEKQKTTYLSLKSFPIKYELFSSLYNSLISPVKR FYPITLETHVRQLSYIGNPFIYEAVITNHTNLPQPIKYSLIYPPKHPNSDQDLSNQMN SNCDSKNLSLSSALKYNNNHNLPVWLPLLIQGITSSNVLLRPKSTEIIKWNCIPTQAG TIPLPCIYIQSERSNKQFIDFKNHENNSFSSKHSVQPQLISVLYTSEKRIVVIPRPNN NLIN cubi_00437 MRISRIRKSENDELYFNSCAVVTSEVLKLFCSLIIILYTNNFNI NEFYNTLRFDVFNSLKSIILVGVPGLLYVVQNNLLFIALSNLSGAVYHVTYQLKILAT AVISVIILNKQLSKIRWLSLLLLTIGAVLVQTGKSSQSTTSNSPGSEFENTDNFLGIS SVLLACFTSGLAGVFLEKLLKDSKTSIWGRNMQLALYGTIFGFIGCLTGKEGLEISQK GFFYGFNSLVWLVICLQAIGGIIVAAVLKYADNILKCFGNSLSIIMSCILSWYLGDYS ITLNFFIGSVLVIWSIFIYGLERAFPCSDYLLKLRLVLKRNSRNKIITKYKNLRESSS EASFDFSGYNSEVGNNYPSNINTQNNNNSNNMSNNKFKNFNKFIHPQKQNRDNNSDID DVIPKGLQMAIISSGV cubi_00438 MLAKPSQDQSIHGERQHGSDVRVSNLTAVMSIANILKSSLGPQG LDKMLVDEVGEVTVTNDGATILSQLEVKHPAGRVLVDLSELQDKEVGDGTTSVVLLAA ELLRRGTSLVSNGSHPSNVISGYKLALKECVRYISGSLSINETISEECCLNVAKTVLS SKLAGADADFFGKLVVDSIMTVKATDPLTGAIKYPVKSLNILKTHGKGLFESFLVEGY ALSHTGRACQGMPTSVKNVKIACIDFPLKQYRMQMGIRVELEDPKELARIRLEEKEVI HKRIEKILATGCNVVLTSGGIDDQCMKYFVSAGCIAVRRVEKADLRRIAKATGATICL TMAQLEESEESFDPAYLGECQEVREERIGDTDYMMFLGCKTHRAASIVLRGANEVLLD ELERSIHDALCSVSKLLESSGYVAGGGAVEAALSIYLEDFARTLGSREQLAIAEFAEA LLVIPKTLAINSAKDATDLVARLRAYHASAQQSQLNNYSASKLASSELSTVSSQEQDN YKYFGLDLINGTVRNNVQAGVLEPTISKLKSLRFATEAAITLLRIDDYIKVKPVEVRR EEE cubi_00439 MACTKKANSSVKACGSKMSGCSTDSKKSSCKTASKNCNAKSSKM PVKETPKSASLAPSKSCKSSCSSKLSVAMSKSSSKPNLIKREQTATKPVVKKTITKTS KKGGETLNSKIVKSKGSGAKTTNKIVKKGASLSTKKVTKSEEAKNKILDNIVKEILTG NKKGKSEGTVKKEVKTVKDNHKEKKTAAVKKTKTKPKPKASSPSSTLKKLPASATSLT ARKNAMKCDTKNVGDSSLKTPTRISRLSCSSTPISSLMGKNDFDSDNGAASGGTIGDL IRTPVHLVSSAFRRMTKIFKN cubi_00440 MTKNTSQSAGSGSNIGAAGKSSIARSSTMRQRRALASSASSSRE NLAQNLMSYYVDDTPGLKLGPMTVLVMTLAYMSIVIVLHILGKFKEKIMG cubi_00441 MMNIELSKPLLKTYTQDQLSCDNGRNQIRSNISSNQSNISLANS QQNEIRDLISHNSGYTQNMLMENGTLNIMHHWDRFSQFFEFCLHDRFHFMLAMSWGNF ILFLFLIYILLAIILALIHFIITSGDAANCIGSDKFGKVEYFFFAVETMFSIGYGSPR SPSCLITNYFTPIMVISGSILNSVTVGIFFTKFSDSTSRKWSICFSKELCGIGFKSTP PEEVLATPTQLNLPPITSYTFTKAAETTCEDCPFIISFRLFNISQEPFFSPDLKVFII IHTDNGPFITEITSYKLDVPLEFMETPITVSIHSNQPNSPLKNFTINHLRNQGHLIEL MVLLRFFDNRTSKNLEVRKTWKLNNIFWGYKFSSIIKKQVNHDRTMYQVGISDVNNIE PVISGTPFL cubi_00442 MRSLLLIFGIVLCVLASSKGVNGELYFASLSNYGCSGSQKKIAT ALKAQAEKTPFSLLVSPGDNFPGGIDFKNCFENVYSEKSLQIPLFASMGQADWDNGNA YGLLKRNNETYDSNSDFFPKFSFPNYFYHYVAHYTDTSNVLSRRDGTVLFVFIDTFIL SSSFPDHKVSEQAFQNLNATLHYGHKHHDFTVVVGNKYLASSYSIDSSLKKVQQLILD THVELVISGHNRGTYNSTIEGTTFLNCDSYCSFKVDGSKMVPYIIQHGNQVELSAINT QLPSPLSFQGIAGDELPALEMIELSFKKGAKHLSRNAFLKTIGTVGLMILAACVGSLI VNRK cubi_00443 MKNKNTKKRIPVEKENGFEKPNFHPWKTVGIFDTEYKKRFLFEN RKMSNIKKIQVNCNPYNKRSKKLETNMKVNNNLTRARMNFISKKKISFRLWLNRKVKN IFKYLYIFIKLISLWLIIGTFFLIIWNSVNHLANYREIKVIGTQLFDQLIKKQNFVNL IKQKEIGLFSVDYYDETTNFVEFQQKINDIEEKIIALNKKIAILADINEFSRINQTHL ESDFQELIKNKTFMIENEIIQLNTKLVQEIKSVKNDTSIFFKHFNNSINDIITQTSNN YIHNDTYEEIEGQIKLVLSNLTEHERFNLRLRKDLDNIIEEIKLIDDAQDVLKSMIWS MNKRNEEILTFGSGNPILNSPTSTFQSSEIEIYLKKLLGTQLEMQGYNQVDWAQSSMG GKVISPKSNQYCETEKYDDRSIIIKSLEYFQYKFFKFFTGKKFGKLNIPIYNSECFEP NQLIKSNQEKTIGSCFFSEIGTSIDIELSTLINVTSVGIEHILFPLEYDNGDTVPRKF SVKCLEGSSFEEYKYGYFMYHYPQNGESLQIFQVNSTNRFCKKVRFTIHSSYGSKYFC LYKLRVYGKMVNIKLVSQVKKSYFLKFFLKIITNFSKIVKKISIIVKNDILTLNDILL SCFKYIKRKLQKQKNEQVRYKENNLILGYKVNQENKKGYINKFHEKEDFDMKNNSNFS QYKKFKMINNNKRERVIQ cubi_00444 MIGYGKRIFKITYTIITYLYFLSNIHIIKSQAEKMPHFELNSLE TIGRSLKNTIFVDNIEKVNFPLTDSGFSPGPKIYLNKQLLQNTKEVKKLYKTLRNMLT KFYIEEFGIILTYHMSQIEEIKFIGIDSDGHFRLTNHKPKLKKKKLPKLTKKMIKKSK FSKSNTLEEVGFIDKEFDSQIKKNEYSTTNENEKSQLEFLKTSPISFLNMKNSNSKFI TFAKARSIRKLHIISSKVNKQIAICKCNSNHKLHLKLIVLAQSLLFVIINSIDTNNTP WYSMPDFIALLLEDILRITSIMRFLGPVFTKFKNIKEYYKYSKKHISRSEKKHFLKFF SDRKNSYQTKFLLQILGITISFFGNVFNSFIEDFKNSQSSFVDLATQIEISNPNNYEN TKSKNKNFSKQDLVLISEYIERLPNKNNCIIYPMIENTSSINKPNKRSIFSLC cubi_00445 MNEKNKNTGVINKTSDSTLYEKRLGLYILMGSVLYILAIKNKHE KGWLDTPYSWMLILFRAGPLGGMILMIPYMISNLKIQIENMCETLKLFHVRYQKKEPL TIALELFPDLDKQFNMIQSMRRHIVHGLFYYCIGESLSEISIQYSKNSNIFFQVIPIS ASLSRSMGTYSFCKSILCDSKNTRNFVHVFGALCACTLTFSIYVLGIYTMNSGSYSDG IKFTLSQSIICGEVEKDLLKVCIHFELLLQTVLFSECVIRRGKSMYGSIASRITPIVG SLLMMISLVLRCLHLESRMVPYYIPYLEIGPYVWGLFVFIHCIAFYIRYDEGNSKFGN NVEDSYCDGVVKVNSQENYKKGNNLGSITLEKKKQ cubi_00446 MEQGGVLKTLDKEDSSVKELVVEKKDDKRIGQENSDLIEENERL KLRIKELEEKFYMESLDEGGGDDRRNQVSVLTEQLVQERNENERLQENLSGLQESLVK SKKKLLEFQQKVYDSEIISSRSQHESKILEQEKNALQDSLKKYQEEAGVMENKIKELY TSKNNILLESTKKISDLEVSCRDFEHKIALIQKSRDELEMEYSKTCDELRRVKSDLDF AKGHYEGKIKIKEEAIRLKEEQVQQVQSLLDMSISTAQNLESEIEKLRSGITEEGHHR DEEILRGSLGGALREQSQTTGLNKFGGKQLTDKQALEILVKIFGETYILGEASSKVED TLDGPEEGSGTTTSGGRRILIDLLTQLEDYQQSMDELRNQNQALKEDLKEFQYHLRVT VPDFELTREKFESLQAENNFAIQQIQSLTQERRTLIHEVSELKTLWQHEAKKREIYEE QCKMITQNFNRIINNTGAIDIFGNDLENQNSKFSTDLEMRRDLEYVVPENIGEREQIY ENVIANKTMVEKMYSDIIEQNTQLKIQVSRLIEGIELDSQIQIRKLTSDIASYKDQFE RIHNERDELVSQYQALISKLEEENNKLKHEKDLAKESIDKDDEGKREEGNIQSEKVIV GDPTMNPNIFVKQLGEVTEMCKTLSEKLTSEIESSTQCKSELSRYKAQCEYMESMGER NRKRLDELFEERDGLYMQLNDLRRQQDRKDSQVQELKDRISSKESEIMDLKRENEHQR QSISSLNACISDLRSDIEQGIKQRSQDNALQRDVISQLQGELEGKCVEINSMRKSQDA ILQREFEESQRLRQQLRAEHDRYQGLESKIKDLQNEKENLERRLTEIENEVETKKNRL SSIGVFGLATSSPESSEIKSSVRNSINISGFNSNIGIEDMDEGCKDHSNIETEVSKLR VQLEESRKLQNYWKELVQSVEKQLETKTRDLEELRVIEENLKGELESRREEAKQMEEE SQKELTRLNESISAMTLRISSIDEEIAKRESTLRENLSQVTEENLILKKETEELRQME QDLRGQYQNIVRMHSLDIERLQNMNKQCIELKEELGTLRENSRKSDDENTNLVMEMQN DILHLRRQLESYTKKYETAKTDNINLREMMNKLKTEHQLPSGFESMLDMAMVSASLVE GGDGEEGNGKVSDERRQSIQSASGSGGSSSTNSSSVTASLLLMRKVQTLTSSVEELDT KLDESKIEIQRLTFERKSLREENEVLQRRLTEELERASEFASKAEANESTLIRLGELV TLRESNDRLRRELIQATERHSNLEKRLEQESRQSDPLRCEISKLEAQVENLKSLCEEK SALSRSWEEQYNRVLLNYENMNPNEISQMKEEISKLKVLNEELKDTLGTKTQEIEKLQ IEKQQEHVGFEELQLTKQQMEQLRKVYMSTNQKLKEERNKCTRLENELKRLNSQTQTQ TQTQPQIQVGVSSNLNSSNSQDGSNSNNNSQSYKPSSQEIKSISQATENLVSTVLKLA KLSILRMESEGVGGNTQTQSGSSSSSSLVVSPSGINTATLNTLNSNNVIPESTFSGVT SDNATTAALGNSNTITTSTSSIATTNNTATVITNNSSSMTDTTGAGATATPPVSFQPL PPPPPPPPPHLPSNPTQTQGSGSGSGSGLGSGSGSGSGLMETQTTVSGNSIPYTNPSD STKQQRIQENSGSSSFFPAQKKRPLTGGCTETKETANQNNQENTQTTSST cubi_00447 MTTKSNIRISDSGLEIVKFSKGIQVQARNNRGTRYLNYKLLIRK IRWVSEQETQENWIEAQRYDLIFKDILLRDMNRMDAMYSRDINYIRDLMCILSRDVWN LSLSSHNKYNQMNIRHGDHSDNNTTSFMFISSLSNMVNPGIEISINDKRVMEWLYNLL ECCGKLAKLRSYIIWNSIAVIKVLKKRRGKVSLKRHIKNPLDAYNLLNSHEFYLGITL EYLNKNLRNLMLKVFRKDMFSEFCQKCNKRTCEPIKSICNHILCWKCIINVDEVFYLD SNTTKNDKNLNCNNTNTGTPSSCTSAVSKQYDYETDGSVNASDQTTVSTSVTSSYSFR RIKNRPFKNCPVCHARWSRNPESLQAENKFVKLCIQHYLNTKSLTGISDIDTIIQSSV SNVGFISSDDETEDEFNIEDDTELLDFDFNSNSNSNHNFEKNNSGNFIKPGYQLDKFG GDNNEEVGINYNETGKNTRNNFHQNHVHINNNVLENNSNVDSTKNFNIGTLDTGNMGI YNNSMSLPTFDNIFGMLSSRPNSSTISTITSSNQSLDDSLSNSGGNFFGSTSKSNMNS NLSFPSFSSSKSMENSVSGIGGGIGGGSGCGIGGGSVVGSGGGSFTMTNNNSMSGIGY FEAIPSPPPAPSYSNSKSLNSANVFTNGRQRSGYAFGAGHGTGQGQGNYLGKKESELL TNNSSGTVNMNATATLTSVSGIGAGRLGLKPTKNLERSSSGVSYQHNNHHHSQYYNLQ GLLGTQGVVQETQGIQGGEKEFETGMVSNRVNNIHSKNLMNSKGGGGFLSCSSHNISN NYGSGNSSGNGRLQSQQFSSKKIQQNNHLNGFGHNHVHNYGCNGYHKYYYGHNSDVLG HNFNHNHHSTHYQNSIQNVIPQQSLISTGSCCNGNYIPSFQSGLGLNVNNRSNNNNNN NQQISSIYTDSLGIGSFESTGLNNSYSGFHYSSQFSGMGGNSNNNNNSKFNNNNNHHH HHSNHNSNNSFKLSLSKNINSFNNGNHTFPSNSNNNNNNNSDYHRKINNGNYGEVGND INYSKNFDFTKTCHNINKELNLGKQSHCSPSLIGINSIQLNQHKQKQNCSERLNNYQN YLTFGCSDEDEERYSESQCRSDIFAFSSSNKLDDRFGDQYHNIFGIEKTIRGNGENCD TGIDIDFDINLGLEIDIDHGNSWINNSNIISSLSSSSSSSSSSSSHSPLLNSKTISDN NRVCNSKNINNSEDSDNNNNNNNNNNNCIEDNKDLLDNTNNNETSNNNDLQKISSCNW NPFTSEYLIGNGDSLQQYLSNNNSNNNNIDSNLNSFDYNLNEEIVSSDSKLLDLFRDF GV cubi_00448 MLKKLSKEDQDRICSQQVITELRDCIKELVDNAIDAECTEILIS LTDFGSSTIEVLDNGKGIEELNQIGERGATSKLESFDHINESLSTLGFRGEGLNSIIN SCEIVEIDSKYGNEENKVVFEQGKCQIINLDEKDQGTNQFHKYHFSGKSGTRVKIVGL FLPYISRRSQFLKNLRLQLKSLIVLIEEYAICYPMIRFLLSNKILSDVEREKIHKRLV GSEIKHQSNQIQSQLIMTRGKVSSQKEVAQYIWGKSVLGNSLDFKLEGEVFIPKLQKF ASKVEEESSPSNQALVGGKWIITGFISSLDKGRPSPDHQIFTVNSRPVDSIKRISRVI SSIHSTLSSFNSRKLYPAFVINIQLPQALLDINVTPNKRIIMLPAKVETIIAESIQQF LQDSYQNNIPIKRESIGSQSLLNFSSKYQDTHSTETIQSQPSQKKFTDCITTSTPSLQ SDTLADSLHFGSNKEDRNEENSSSSESELEPGKVPKSIGIKRQCLGVSMREFNLDDSL TNKKKETNIGKPCLSSFQEYIPTGSKFIDEDNNNGVGKDKDKDENENRDEDKYKEKEK EKEKEKDEDKDKDNDKDNDNDKDKNKNKNKDSNRDSNRDRDRDFGKPDILGQGVSVNP IKIRLKNNIPVESIIELRYNKAEQEAIWSCRKNLYPRFGRRSNQEKVPSASKYLPNED ITQTINKHEKRSGVSELLDYQDDGASHSFNFKKHLFAQLQVIGQFNKGFILTKLSVVQ GQDQEEIHEKKEKETESIHIFIIDQHASDEKARFEKLNNDLSNIQTQKLITPLAISLS PSQEQLVISYKDIFEKNGFRFIFNQNSEIGSRIQLTQLPVVLGIPLKQIDFLDLLSQI NKYKVRVSTEYKSLDFQEPSSIINKEIRGKKKLENNNQMNEDEDEDEEEDSISILTEG QDNNSVTLWCPSGLVPRPRKIWSILASKACRSAVMIGDDLNLPKMKSIVRTMSTLKSP WNCPHGRPSIRHLGYFSTL cubi_00449 MDTNTGFNKIPNRSNIRRHVDYFDQMENISGSNVRRHMTCSDLH RKGNYSYTETTRSSLTSREEDFDRDLGSNMVILNVYDLDAVSGSINRFTRAFELGAFH AGIEVYGVEYCYGATNDGSSGVTVNLPRRHPIHIYRESVKMGRTNFSRGDIKRIISEM KPLWPGSDYNIFRRNCLTFADEFCMVLNVGKIPNYVKLLPELLCQAGDGLDKVTRHLS TLFDRMASTCSNLALIEHDQEEKNNQSVTDHTGTSSNKFPFGIRNPFT cubi_00450 MMKFLRKIFYVKKIANDPLESLTGELDNVLKFAKKMQVAVDKSI TCVTNLCYSREVILNGIGNLFPQEAIEYGSLMNAMECMGGLSTAREHFNVDCDQVKIL IQEMVLNVNSIQKRLIKRRVAYSERIHYEKKLKKRQQRMNSGKSISLSDNAKLDRTQR KCGDALDEFTRIDDDVQQELQQFLTRSHEMSLNIISKYNYAIGSFFSFVYSHYDNMVN GEIPTNNLMIPDQPQVSISNSNSTPNSNLVVSPGKISGATMTSATSISTSSSNPMQST SNSQLQITSSMNAISSQKLDSSETLTRPTSTSTLKKSDSGCFSGVEFASRRNTKSINQ NSVSMEINSTKA cubi_00451 MVEIPIKSIVCVEIPGKVENLDNAIFALGGMEGIEESINTKTSI KLLFCREDPYNRPICSDFMKRTGILLRKLKYSDGKIEWRVIGDVSLVHQFTQMADFYF IPPPGFRDKKTIHEEINEEIVGTPFIPPAVFSKVTHPVSIKWTSTNGNFDKSTNTNNI TTSLAQDTVGSLVSGSQGEPRDNDVEMMDATEEQEEQIKSEGQQYWNVVFKYSDSTVP SMPLKISTSINLDKQALNTLRELFKEQPLWLRPVIESKISSEISSWKKKLLFTQVCYN ISDGPWRACLCRLGYDPRNDPESRIYQTLDFRDPYLRKVLKEKRIGINVKAEEDLGET VLDWQFKVPPKRGSQLYQLSNIEDNHIKKIIKEAVPLESCTRESGWFKKSVIDQIREL LSLKCEQMRRLDNS cubi_00452 MSEKKAVIKNADMSDEMQQDAISCAAAALERHNVEKDIAAYIKK EFDRKYNPTWHCVVGRNFGSYVTHETRHFIYFYMGQIAVLLFKSG cubi_00453 MQSYFLLECPEEPIGSIDVINSHCRQDILGHSEGCLLALTCWNK SVRLYDPQIPYEMNLNDNFKYNSANETLERPDVLQSTSATAQSPKYISTGKCIQSIQC EYIYLACKFKDDSTIFLGGFNEAVDFIDLQDSLYSPRKLVGHLAPVRCLSLLEKNSIL ASGDWDGEVKLTSINGGNFGSQVSRISVPGKVFCMDYSYNEEWLLVGDSLKNMNLINL RKLSSGIEATPPTEIIPNFMKYQMRSICANRHKDVFATSSIEGRVQITSVDKALKGEI NNKECPKDNYAFKCHRTKDNSMMTETIYPVNSVCFHPQFENVLATGGSDTSVFLWDIS AKKRLWRNSNFQLVDSEKNLIRTCNEGVSSLKFHPTLPYLISSCCDTFDQSCRFSSET RDQVKGGPNSTPAQRSSIIFHSVHGVKPLE cubi_00454 MEEAQELFRRAEKAGKGSTGFFSSLLSGGPDYDGAIQLYIEAGN KFKILKAWMEGCECFSKAAELSLKQNDLVTASNYYTECGNIMKRSDLQKAIPYFLKAV DLYNKNGRFSQSGKLYKSIAESLESDFQYVECCEYYKKAADMFDMDEYSKTAYSSCIL KYADNFSLSSNESLDHSVSKFGKDAPGVSGLLEAVEIYEKEAKKALSNSLIKYNAKEY LFKAFLIILSLEDSVDAQIKWEKYSSIDQSFCTSPQGKLCRSILDIMERRQNSIGEEN DDLDKNKFVEEFTGLIEEYNNIYPVDDWKVHFLSIIKKNLARIAMNLMEKAENNEFDL T cubi_00455 MPGTSEEFNSGERESVGQVNVTFEGLNNISPILLKSYKYVFQGE HDLNNIIRKANSVQEELSSLDAFSSVSHNVSPNTNNPLDIDIVFKLRESKKRYTLGST INKQGKIGFEASAFFPNLLGTLSTSKFSVETFGSNSRELNISHFTPRIFSSNINMVYS LSKSTVDYSKSSAYTESSFGGLVRFSDPNNRHNFTIESRIREFPRSLHEQIGEGPQEY GVTTSSKLFDHQSIQTLKNSLCYSWNQIKSNNYNEKEENLSRTETKRFEIVPFKIHSK KTIQQLSFELAGFNGDVSFIKTQGFYTWSSKFSRIRGCTNFFKNPSIDFSLGFGALLP NLINRSIFKASMHDKFFLGGNCGAHYCLPGFAPRSVGTCKHIIGVQGKGKNPTIGENR GSVCLGGDAFVSSELKISHPLDLSGMGTDVKPNLQAFISSAVVLDKNDYNKKKQLLGK FIQNIRAATGFGFSVPLGPADLSLLFSAPIKHLHTDMLEGFQLGMRMTYTPL cubi_00456 MTQVMDFLRENQSFESIQFTEEIISNVNTNGIMNRNIKISPGDS LCTVILKNGVEEVIRSNVGGELSEINMNIKNINGECKSSSWIAIIINSKY cubi_00457 MSVTTASKPQFDAFRDEYSFSEGLSFDSFILVNDPRCRKPPQRI IKEEPLTPIQLFLRPFMQCCAPRNRGGKKIKINISSYSTTTRTSVSSIKIPNNFRIDE FETTNLETLSKQSKNILWYIIVPYEYGIPMITETQRQYACLLWGVKNEYGSVTTEKSI QKIFQIASERRDNSDLVKEYKSNPDLQILKLHRDGLSVTADEAFNEILSYYSPKYSIL AIISRGRCFAFYSKASEDNNNVTYMLFDASSKYENGPKVFGFKNMNSLKEHVLSEFSR DHETRLKVSEGFKVTVFQGRVMWNTEFDLPNTIIKKDYKEKNEAMIRLGRLNDPKFDA KVVYGELQKATTRPTDQDYFFTYQNNMMKIIR cubi_00458 MSAAQRKTEYGKKRVSITIKTFAWIIILYLIGTVSSEGLSGEKH VSRDYCRAVPLDGFADFAECAPPSNEAEYTIEVVPVVASGFDLRSEVDIVLYGGDDTQ TSPIPISSSVTGTVRSLDTKQLDIGAPQQVGIFLKSNTTWKCLKITVIKDYRYWVFSC NGELTPQHRSLTYGVTGNKLYTASIMTGKSGEAGTRGGVEISISGDAGQSDKILLKQG FYSSTLRRMLFRAADVGRIKEISLTNTALDDPWYCEYVRIQSEDGESMDFTVRRWIGK PFSQMVTLTNNLSGSENSPAQDIDCNTRAIDIVPRAPVTTITFKVKCPVNCGSEKFAT IYGTGIHAASSSICKSAVFDNILSPSGGELVLTIVPSLPMYFGGKGGFSMESSDFFPK PGSEIFSFYTYLSDSIDFIQSEVRVVDSTGNLASSGRLEVRRNGIWGSVSLKGESTTT NELAATLACKQLGYSFGSILPSCTYVNGGNVCTPKGYPISVAGLQCNGNEIDIAQCMF EEPKTTNSMTTVAIQCSSIAPKDTMHGALRIVDSSGVPSRTGSGRLEVYMDGWGSVCS DGFTSASERVACRQMGYSGVKNGGASTKSCKDFGGEDVCGNEQEEILMVDVACKGDEE KLVNCQHFSSSNIYCVHEEDVVVSCSGSGDPTGNGAYLSEKSPSILPSIPYNKYQFEC NDSPVSIKGAVGTGAIISCPSRCSKASSHIKGSYIYSDDTPVCKAAIHAGIITDEIGG DVVITFVSGQKKYFGSLNNGIQSRSVETTASKSKIRGSDLASLRSYTISKLSATVNRI DNDLSKQLINEELPSISPPVLSWVCPTDYPGFRGGKNDYIDATNLPGGDRISSLDDFT ISARILITGGQGTWRTIMAHTECLGISLYIDRDNTIHFDQLCNSDTVSTSYAPAINEW LFLTVTYNFNEKRVVMYINGNEVANKVTGFVFNLKTKLIIGKSSDSDSEYFLGQITNV NIWDKFFSPNEVKSAMEKSWHQKGMFGGGELKGKRHTIDGRQCITSCQSEFPVAYKMG GINYATNPSIQITCDTNLDNAKFSSKANKFRVQCPAGCSKSRYPVKGHKVYSPKSSIC QAAMHMGIISNTGGEFVVALYPGLKQYSGAAGKNNIVSLDENTPQTRSFVVGAAPKPR QLTCSDTASFIFQLPVGERELVSCPSNCGLESDAKVYGMDVYSPISSICRAAIHASKL SSGIAGEIQIEVGPEQFHFEQSNRNGIQSQSTGYYIRSFKIV cubi_00459 MSLGTVSTASTASTPSKSQRSRSESYKRLNQENDILGEYFEGDR DGSLYQRFIPSLYVYSSLHGCVDQIIPTNYKLLESSIGLSPKSLGMLTFAQKFTQGIS TIISGIICDRQINAINRELDYQHKTLISLEEGENRVGFKGLHAGNIEGRNIIGEETDR EEEDDVEECGVGNLSGREEASEERKLLKYSNNTSFLTVWPAYLMMVTTTGWGMVLLSM IFASNFFQMLILLCFMGMFLSLMGPLTQSVIGTFNNIGRSEYFGNLFLSQNIGRLLCI SATANIMGHYFDFTWLLLTFSFLSFLFSIFLYKSCIKHAFQQKGGKGLSMINMSYTGE HTYFMYITLQIKRIFSKSSYDKVKDFLNDYSYVISNKSAWLMLIMGIVNGIPRHSLNF TMMWLQYCGLSPLLATTVYSSSWISAILISPFVGKASDYIESIYPWIGRQALAQTAIL LRIIFMVILLRYIPWGTNYFFYYLIVSILIGFMAGWPGVGASRPILCQIVLPHHRATL FAIFSLFETIGSAIFGAPLVGLLAQNYFGYDSSLKKGIREIILSNNTHGIKTLQLNAN ALANSMLLMTVIPWILTITLFGFLRLTYKQDQNGNNQAVN cubi_00460 MAEQLTEEQIAEFKEAFSLFDKDGDGSITTKELGTVMRSLGQNP TEAELLDMINEVDADGNGTIDFPEFLSLMARKMKDTDTEDELIEAFKVFDRDGNGFIS AAELRHVMTNLGEKLSDEEVDEMIREADVDGDGQIMYEEFTKMMLSK cubi_00461 MASSSDTVGIPTPFVVDALSEVGKSSSGYVHIRNQQRNGKKSLT TVQGLSAEFDLKRLLKAFKKNFSCNGTIIDDPEHGPILQIQGDHRHNVARFLVCENIV NSDEIKIHGA cubi_00462 MELEFVGPSNLSNPVRIDQINNGNHKNAMMNEEVSGTPILASIL NSASHPTVCLFQILFKVLAFLSFIFGPFFFRFFSNNSFIITFFLTTILLSLDFWTVKN VTGRILVGMRWWYEISKDGETIWMFENYNESKNANAFVSSSTDKSIFWVTMYAWALLW IVILIFQFLSLKFQWISLSAIAIALSFSNLIGYNKCMRSSKNFQKNWITNIAVKTIIN NAQNMV cubi_00463 MSITVPAADVSSFVSWILSWISLIVYLSWMFIPDEYLNRINITY YPDRMWGITVPIYLLFLIFMTIIAYNCLSALNVRPTNSFDLIMDESTRYIDLSFLYKF EDLEQIKSICHSTLKIYKLVNGNPGEKVTEGVRSDHEKNYKLYDDPKSSIIIQAFKSE DVTLGLVHLNNEIDSLHSKKKIIPVADLPLPLVCEIIYSNKFLKKQ cubi_00464 MASGGDLPPDNNYDVYRRIWRVSQNEVEDLERQSLDFFKEMTDI DIAGLPVCSLGASFNGFGGGIFSIIPAFKSQFKVVETPGTPELSGLCLLRSMDGIAYI YDQATQLRVASIYHVRNLDIIGYKLVQSLKPQHEATESPLPLFDTGFVLNVLENGYLP CSKFQGSCIKGDRMLYGWYTIRDIYTKGEWGIIHYESRGPQNILKEFTPLSFNLSSSV WGKGYSNGFVSRRYMNNDFVQPCYENACPYRMSKMFDTVSVSFRNVLTFVTA cubi_00465 MEQDFLNGEIETGTTIVALKYKDGLVLAADGRTSTGPIIAFRAA RKITQITERIFMCRSGSAADTQTISRYVSRIIKDHELETGEDAKVKSVASVARLISYQ NKEHLLADMIIAGMDPNGEFKVFRIPLGGTLIEGSYAISGSGSGYIYSMLDSKYHSEM DLEECKSFARDLISHAMFRDSSSGGIIRILVINKNGVEEFAIPGEEVPNL cubi_00466 MGYGGVNQKALEARERKQAAALEKKRREEEHAENERWRDDDKHA NRKIERRREALNKQEEKQQKKQELRKLYEEEDSKIVSNKSKTTTGNKLTKAEIAKRTL MFAMQSSTGISRNKGEEFAQDDLLVENPNRIEKEMRVETESKNIEYISASTLDEALLA FGIDEKPSGDMHPEKRQKAAWLAYVDNNLPILKNENPTLKRSQLLQMLQKSWKKAPEN PMNENPKLT cubi_00467 MISKRKREENELKRSSISSKTDDLPLDSQELTNYHVVQLQESNK QYKRRIEELENQISKMIGAFEKIEGEGKSEEENLISKFEHVIQQKNDEILSLRNENQR LNMQDIFKKFYLSGDSNSDTNSSIYGKNNQTNSQGISLFGSISDDSTNADRINIATSD IKSKLIEKEKQISELTTKNEEISRENLELRRNLSIYSPCESFDSELKDREAKEGKFCR CVRSIINEVFELRSQLNAEKLKFVTLLENELLKNIKDFLANQKSCEEHCKTTNKELED IRGKYNTLKQDFFSMESVQKSLNARDREQKKKIKELELENSKMRSEKVRVAEFIRTVS TKLEKGEKEEVVENLMNEYEELSNAFEEKSLECDNLHHKLEEKEKEFGDYKIGIDDIK QALKEIETIKILYEEKLSLVRKTKSETLKDIINSGTIQPNQETKISKFLNRYLSFIGQ LGMNDQMDNQIQLEKESFDSIYKSLIEQKSISERLNLDLEFYKAEYYKLREKLESVEK DESNYIATISILKNRVQYILNRVNKLTNLEINFDNTEENEHLQIDDYDKEINTLKSEN QELLTLMKCSVCRDKVKDTVINRCGHLFCRECIDRNLSSRNRKCPLCHITFDKNDTGR IFLH cubi_00468 MNSSFEILKNSPGFIGMASFTKTGLPIQEYGSRHIKHDVSEFCS IITQIRNSLSSSILNENISITIETTKKKIIVVESNDIILILYKNNDSKISMGNGYLEA DTQN cubi_00469 MRHQRRLSHPKKGEREQFKHHLLPYPGKPSKATYGKKCENYPEF LFCIPIKPASNILGCELDIFVKLVSIISICIWLSLLVLEGFYFYIEIVGAQTVFFVTI AIIFGLLLVSFGIFCGILGYIGCTISNFRCIYFFFLHLNYQLIVNVYAVIASVIRGYI IYFCAYLLSILLICFFLYPSWSLYVHIKINGIPPNVYKHYGLLNDALSKFEQKNERKS NLEESESFVENVCSSVSNGPGITTSIHVNTHIFQKKEQNRITMIKQQSSIKTSGTSIH NNNFEDCTDRLMHTAVKFSDENDNSLCTRVEKSTVQTQNTQTLDSSHPSSNNNSLTQP YIPPNISCKIRNEAKILLNWSTPALSINRNVVASSNAEHSNRSSDTTFQSNKLKTSRH NEQPKFTRTDI cubi_00470 MFQLRPGITNLLKDGSRAFSGLDEAVLRNIEACVNLSEMIQTSY GPNSMNKLIVNHLGKQFITSDLTTIIEELDIQHPAANMIVMACKRQAEEYGDASSTVL IFAGELLRNAAKLLNDNGLHPSDIVSGYEIALERSLSLLNGMVAHRVANFKNIDDLSA IVRPLISTKNTGYSDLITRLTCEAISSIMPDEDKLKEFNIDNVRIVKLLGGSPMQSFT INGMMVSREPGGTVRNLDKVSNVMVLGCGLEMSGTEAKGTVVLNNAQELLDFTKGEES LVENLIKDIKQTGKIDAIIAGGPISDIAQHFCNKYDILTLRITSKWELRRVCRSLGAV AMVRLGVPLPEEIGKASSIKVEEIGSKKVTVINALNTKVSSVILRGATQGMLDEMERA IGNSVSVVKSSTKDNRFVAGAGATFVELSRCLRASDRSENKCQQNSVDVAVPGMEEYA VNMFAEALDVFPRLLAGNSGLNSTDLLASLHAIHQQGSQPHKGINVFCSSETSPIVDC ISNTEQRIGLIVDHLGTLCSALKLATDSAVTILKIDEIIMSKPAGGPKPRSQDDDMD cubi_00471 MKFPNTLEINEKLSKRVFNAKYNNQEVILKLFTPNDLDSYAAQI KHVFKEYEGTDCDYLETSLDDRVSYCVKIHYLIQRRLGISMTSEYYCKEKQGWVFETI IEDKKDCPDSSQSIESTSETFDDVENSFVQLIHVFGVKFDKKSQVFISQDQFIGLIFP KLGQPLMNFTQNHGWVPNPIYLSSVFNGELFIAHIFKVVVNSALFLLKELNIVHKDIK IENICTKLDYSKPNKIHPILIDFGESQILSENKKLRDAYGTHTMLPPEAFLCSLSSHN NEKYTGYSAEKREVWSLGCLLHTLVFGYPPYFEIFSKKSPIEFQLNLCDKNKTINIPS YSTVLNADISCELRDLLSIILVKVPTNRPSLEDILVHPWLQTKSS cubi_00472 MNNPQELIRKVDNIILPECEDRKYRALELKNGLTAFLVSDKETK ISGCSLTVYVGAMYSPKNLNGLAHFLEHMLFCGTKKYPNVDEYQKFIANHGGKRQGST TRSTTTYYFEIKNDAFNEALDRFSSFFTEPLFCKKMSEKEVSAIENEFHLNYHNDERI RFHLLAQLADKSHPLNCFTTGNKETLEIKPKELGINLHSELLKFYSSYYSSSIMSVIL YGKEDLNTLEKYAIEYFSKIPNHQVKCFDYTKLFMETPPYTKETSIGKIIKLVPNETD KRLKIYFPLPPLDKYSDSCAPAYITHIIGHRGEGGISSILRSKKLATSASFTITNEDP CALAQFGVVLTDEGYNNIGQVLEVIFNFLALFKATPIIPELVSEFIAITKAGFTYQPK FSIRDLFSLPTKYLKYKCNFEEILSFGWVVNQFSEDHVFSILEYLNNENYFILLSSQA IDEEYKANPEKFTIEHYYGTKYNVSELNENLLSIINSSSSEKALKLGLILPKPNPFVS TDFSIVNPQKECINDYSRIPKLLNFDGLITENETNNYNINTHPLKMWFKPDTTFNSPH SLINMRLVAEKMFDLTENLGFEKLSNFSNELVFQVFGEILNQVMYRSLHEFSSDILAA SLSYTINFNARTNVFVLQGLGLSQKLNHLVSIMFENLYNGTEVKKYYDEAILILSKDW KNKIIKPNLISFSLECISESLSPFFFNRQEKLNVLELFTFELFCSIRRYFLANCRLEG LIMGNFSELNAKHISIQHWKNLIDFQKSLGNETKSGGTKVEQFSIVDLKENIYTLSYV PNNSNKNGCWMLSFFLGGYELKKQVLCDLILPFITSEVFADLRTSQQLAYVVRATQVF SSPAIIIGYYLQSSEYTNALTLERLLEFHVKKTKMELKIKLNEEMFIKLKDSTIQTLL SNPKSIFDEYKTYLHEINEKSYIFDIRQKKIDILNSIKYEDFIDFYDSIWTSKSVLTE IRSQIDDSKSSGEGCIYKSPSIPKEYLKLENISQISRNKNTPMISLEDKVRNL cubi_00473 MVEINKSLKEVQNDITKPFYDDNKYRALVLTNNLRVLLVQDENT DISGASLSVFVGCQQDPEDLNGLAHFLEHMLFLGSARHPNPGDFDDFMKLNGGSSNAF TDHLSTSYYFEIKNESFEHALDLFSAFFTCPLFDTKYVDREVNAVNSEHNKNLLSDLW IRYHVISSIARNGHPLKKFGTGSIETLKFEPEKKGIDVIAELKNFHSRYYSSNNMFLT LVSNCNLDELENYAIKYFSEIIDKNVARIDYFNEFQKERPYISIMESPEDGALESMVY LVPNKDERKISFNFQIPDLRKFRKGLPEMYFSNILGHEGPGSLTSALRKNGWCLALSS GLNEMYSANLFEITINLTEKGAREVSSVIEYTLNFVNLVVKNEIDMEVVSDLEKLSQL VFDYRNRPSLDETINNNVYALANLPPLKEILTFGNRIEKMDVEAAKYLKQYFDPKNMF ILLSIPENKALIEDERLKDKLIYDRHYNMNYLKLEFGPEIKEIISNISLSNASKFGLK MPTKNNYIPENFDLMNTYGGNMQLLPTILETPGNPFTDRIVAYYKPDTNFRTPHGFSQ FFFFSSSKVSCELLVLDTLTSLTLSKIVTEEAYNATIANLDYKISGGYTLQNSMNCLS ITISGFNDKMHTLLKFLIKSLVNLKNDAKKQQYKSFFEDALEESRLSVRNSLFNPDIL AHLTSYYFREFYSAYTPSKEEILSVLNTATYEKLCDHISSFFSNCLIRSITVGNFNKD QARELVETVTVEELLSSCYSDVTKTEKAIIRSCIDLKKAIELDPEIESNKIILSKSVL NPMDKNGSVIYSIDMGEYNLKNYVLLELLSKYLDSNSYLELRTNQQLGYIVHACSYNL KPAIGIYVCVQSSDFSNPHVINRIHTLIDELLTVKLSEKLDEDQYKILVDSEIKIYSN KPKNIKEEVVQYLATITNGEIDFDWKNKAIQILENLTYQEFFSFTKNLISRPRIIIQS VSALDNKKNCEDITNDFVPSGFTVIKDFKHFSDTKALFNITA cubi_00474 MNKSLLIYYFFIIVVILISINGSSLGKKKNLVDYEECENPEYFF PWGNDYMNACLSFQCHKVREHKNWYRFWDRKPKYIHNNINGNEQVIQCSDCEGFLKKG ALFGGMSLCTPLEFGGNFDTPKGWVSVATANLQLNKEIKNKSSIKLIPDNWRIDGETA KSTLDLSKCSISEEDSAVALSIIVTLSNIHESSKKLPKYRGILGLSDDSINVRIKTLG IDMEKSMDGPDNDLDEDEFDDDEYESEDLQQSMVQDDDYNEYLLYDMNKMVSTHHRIP RDSSNTKAKNKKRNVKKQKPFTGAEKLPTKWKLSKGQTSIYSSSDIVRLDLASGLHPN DLVEVILTCNNKYMNCNIQGFASCFNILCKSVTKEELIRRAALKKAKQFISNSIITGR IKPIMNYNDIQFQQSFPPTNTGPINMQSQYQNANVPVRGANYGVPKMVFVNNGAQNMN IGNQVGTSGILNGFVQQPVNTMTSQNVTVPNVFRISVVPNQTQANTHYQGSNNLVSIK TVAKTQQSKKEQKKPNIHKLMIVNNKSDVQNQFNTATNPPQKNQYVLKARVDMIQTPE PTTTPTNSNYIVNGLNCNGGQAPVEYNLLLNPKLSTTCEQVSKPKSVRASYIVNQNPT NPVDKPQTINIKLQQPPALRPKKKTAKIPDTIKLNVVLPTTTTTPVPVQIKLVNAGKP IQAKKMVNMVSKVDKNEVKQVKKPQNNIVLRCNLNIESEKVDKNCNHVFKPKKVKETP EPKVVKIVVENAPSKTNKTFKSNKSGSDKVIEARIVENVNERKSGKKVLNLVPKYTSS RDNKDTLSSDLNYNSDNLNGKVRRKKTPSPSSDIKIIIEEPKKAKPLKENTTKKVYSS SKKDLYVLKKTLPSKEKKYVKHTNNVIKYKDVVPDDYVEFEVEIDEVEDLNEDESDTL ILKKVSRNKDYKKPVKPTKSEIDFIVNVEPLQKEMNKNNIDIREKTEFKDERIEQPES GVKIDNSSLIDSSVYENNNKYKNHNETITGKYILGYSILISVFLFTVFIVIKLFLL cubi_00475 MIVDLESYPKSSIRLLSFIYEKELKSTTSTKFLEYCTEEKVEDF LYLEEENRLIVSENFRHAVRAEIREYIKAYREQYHELPLEKKETPDIKGKLYTIYGEF QLFDLPKDTILWDLLTLLIYVDSLNPQIWDRRAILIGGLLQREEIKSDYMRFLSSELN LTKLALCHTFKYGEIWSYLEYILMKYYEKIKESKLNNMNNDEIIIYILKENYDFICLQ MDLYDHNYYAWSLVNWLFYDLIPTLISPKNDSSIPYSYNEIKILEKWVIRLIQKHPFQ YGGYHALVNLNESKIETQNKSNKLEASLVIKLVYSEETMDMLRIIIECFNNHFVNCFS VILGFRYANFMLLIDSVDEISHFQIFENEIMWFQKQGLVEKMSKSIKNLEELREHFYS ILSEISSYKYNKNIIQNYYDLLDSELQVVRQGSTMFYKSDISGILSVRMSNIQYLSEN FEEYEGMEMENVYEMEKLNINSEDIDEGVDNMVSVHAAGFGVYDFESEDDEISKEITK GYGIKNETDGSVTETERTTNENEREDHLITPKKRPFLRKGEGKSLVISNSRKANGESL KKSSQVKSRTTVKSVPNSTPARKFRNSNRPDIRKVNKNAKFDSLLLGIQSSNMTMESE AEGFEIFESEEEFVGMEWDGEQNVENLKSNKNDKNKQKTSEKEQERDYENLIQQKLDL LDKKMEYIHETHEEMLKKKTLLARERKLLESYKVNFEKELKLKFEKSKQEIESEKRRL ERENNKLTKDKIALSDQVTRLKMTIKAKDAEINRLTKEITQMEKKEKEREAQKSRMVS VKRENSSENVEKKRAEKFKTKIQKNEDLEISRFPGSSSITPLTTNLQTTTSISSNSSG SFMDRDLIIEEHLVNFDFEKELDLLYGILSTCFEFVGEGEDFTTLPGIPNEIGKPWCD IEKPYKIQKDDDLKTITFKFPSGLVEIVFCDEQENSICPKNTRKLLWTHLGWCILVYP NGDIKAIKPDKNITYHYVEKDIVKCVVGMRDLLNYDGEATKLYLSKFFSLGQLQCVDP ETRKTYIIHSDMSKQILNG cubi_00476 MDFCDELLKLNELASESKGNLTGILDSLLVFDTRNENFHSLNNR FPGGFLLISQLKDYNQEEGISSEQQGDVGSLRTQIFDHQDFDSIKLCICQDLNSAMTR SCLLGLLKHYSQFIGINSKKEQCGDVSQEVVIFTIKYKYMILESNLIPLSFKAVRDLL YILVIKQVKLNSRIVFYVEEQQSEIELGPRKKSLVSYIILDPKGDESCEFNVYTVCNP TGFLTKIDSEESSCNKVKISEIISNELVTSSLSSDQDNYYAVSRFDIYSESNLIDSET QKENSPLLNDETILHNKLNRSKCINVFPGTCFSLFVRWNLEIDDVLYPNIPKINPTSI DEGLIFLKIDINKIKDPSLISIIEGINFLECLISSNYNYEEPNLQNHDLSDLDTQFES KELHIIALKFIRSLSEIWGYSIMEHVDLYKEEKETNAYVEKDKFRLKGSPNGRIDADY TDLLWGLLVNIREEKLIFNIVLLLLDELEKSCSQQLYENRFIPQVRNDNTTTFSKLIR IAVDIYKGSQYLGKRYESSKENEGSDFKEKHTIWKSIRNKYFGEIKTFRFVLMEIGFE CIMADMKMHVRKSEPLIDDSSFDWHLNNLYSESKQLLEEFNLSELNQKNKELLGRIRK LIPVCYISNILNTYNCSWDISKKLIRSSIKYYSNQEIQEALPTIFLVPVFNKTVISQM ISSNSPNQIEISSCETQGGSGRGIINLNKVDSIELPSLDSELNESIIKLNRLPICVWK TLSDLEESRHIPNHYTIQIEKSLT cubi_00477 MDNSDNRFFRARRTLCEMLEDRGYIVSSQDKEEDFPTFKERFES HQRLRSRMLMVASHKQDQTKRIICYFADESKKTGVKPIRDIVEKMDEHTIQRAILISQ NVLTAHAKVAILDAAPKHYIESFLENELLVNITKHELVPKHILLSDEEKAQLLDRYKI KETQLPRIQHADPVARYFGLAKGQVVKIIRPSETAGRYVTYRLVV cubi_00478 MVNFKILTHNSKDARYRLGVLEIPKCFLSKYDSTIRHSDIKTTS GATDVIENLQETGVKAKSSSSNLGNIGLASIATPTFSVPTFGGLPHCILPKIESNQGE NYEIASLMPEIDYLLIGHGLDLERVRRRGLVSIEKEKDEKRDDNHSGNCTCFKQSSNA SKFDILEQPPPQLANKDYIRYLMFRQPLVPGVTCWNGSDGVLVHTESGRITVCIDDVI ASIEHFQPAFFISPAEEAQTGQCGKNVSFRSIQRADEMLLALLEKLKRGTSDCPKEVT EVWDGGISCTGSTGKPRTKVLVNIQGAHFVNYRAAAALGVWDLCNGTGKGLRNIGFSG DKGSAEIRVDSSGKSEEASGLDQLILGVAIGGLGYSEKSSVRAECIKAVVDHIPDNKL RLISLGTGSPIELLQAVYLGMDIIECPYVYRCSLSGVALSFDLDEFLRQREIINYSNE EKKNAERFLLEFDFSNSECGMDIDKDGKATGRTMLKSFGGAKYMDLNDRRYSCDSEKL TKNSPVPHSRAYVHHLINSGEILGFSLLFMHNYWQYQGLLLSVRKAIFDGRLEDFVTW FIYTQTDLQIHPIKLPEPALETYTFDGMKGRLQKDLIRK cubi_00479 MYKSHGNKGLSSYFSPSFEDELVSQYQTLSESTASDIGWSVQES CLKADEIGWEDPIKGGGLTLNEFGSFMGNMNEEPVTSSKDLRSRYLNNFIFDDNPIAV VPCGLLYPIVFIGNIPPNVPYEYLKSVILSSFDTRSEEDNCHRMIALNYQPSYKEWRT AYATFKTWSCAQKVIQHLHLRRHFKNAPFHRLVACISPTPPPSVLSSSFDSAYDPQEA EQIITEFQETNRRLIMYQPQDTEIWLPSYNRIEKNKGFFGTWNCFRRDHKMHQPPARW MQYYRENGICEYWDSFTGNMQSTLPVSQAKDDKHFLPTSITLLRDGPGGANLFIYGIP NDWTEVTLMQLCQRFGHIVGIRLPTANNNGKLNRCFGFISYDNKPSTWAAIRSIAGIK FFGKPLKIQLKAGEDALLPLTLRPIVEGSTRTRNFHQEQFELKSKSANQQSNAYMMNN MPFTNGCSVPCNNSSCVNTPIIPSQASNGINSFPNTTQLHCNYVSGIKQARYRPEPGH TRMNQKFENPILLPPNDSSIKSPYNGVTDLPINSTTISNTITTTTTANNTKTVSNKMP PSEHTSNSSLSFSDFFRIQSTPQPSLESLENNHLIAENQNHLTEKSANLQMLLKNILI YDHSSSHRV cubi_00480 MMGEGVVLGESKRRGSVIGSAKEQQVQEKKKSKVFINSSVSSEC DEFSVPPICIWLTIGKEVQIVEIRS cubi_00481 MHNGDSQDSLNSLHSNTNNNYYYTRPYSDASKNVSYKIRNHEKN NSGFKEGASNNGKGFRNFVVNGLNQRKFHNNLLLNRKGNGLGTNAGLALGSVSSSSSR GIRSSKFGDRLGKLDWGSQNLIPFEKNFYHEHESVSSLSNEQVDQIRKERKITIIAGE NVPKPITSFVASGFPSFLIDALYRTGFTEPTAIQVQGWPVALSGHDMIGIAETGSGKT LGFLLPAMIHIRAQPRLRYGDGPICLVLAPTRELVEQIREQANQFGSILKLRNTAIYG GVSKRPQQVSIRNGVEICIACPGRLIDLLEEGYTNLSRVTYLVLDEADRMLDMGFEPQ IRKLVSQIRPDRQTLLWSATWPKEVQKLARDLCREVPIHINVGSVDALKASHNIKQYV DVIEESEKKAKLKMFLSQVMVESTPKVLIFCETKKGADILTKELRLDGWPALCIHGDK KQEERTWVLNEFRTGASPIMIATDVAARGLDIKDINFVINFDFPNQIEDYIHRIGRTG RAGATGVSLSFFTPDKYRMASDLIRVLREARQKIPQELFRLSPPNKRTRPRNTGFRRN NPNSLPLGGSNNRTYRDLQR cubi_00482 MESKTPMEQLELELNKRAEYSKQIEQLKTYLKEKLYFQYLESSL EYISIEGLRIQENDLCEFLINAIEPLKNNFDPLRYAVFVGEVLSRSPTDIQSKVQLLK KLMGEEDLYQVKDNYKNASLTQEQNIAPKQEIKGDLYFRCLLALEYSKSKDLSIDCEE TLEFLTQKLDSYRGLEAEILALYHRSYASYEKTMGRVSRFYKQAIHYLSYTPINKIPY KEKPSLVYDLIMAAVISEDIYNMGELVLHPVVQEFSSIVKGSNSEQIDELTKKKFLEN AWILEVLVSLHEGDIDSFMNTISKHQDKVNKTPLSIPEIQVCVTKKTTTLALMDLAFR KNKNERILTFEEIAKHCRIGINEIELLVMKAINMNLIRGIIDQVSQTVEISWVHSRVL DKARMKLLMEKMDNWINSTMNVVSQLENIAPELVNC cubi_00483 MEKSVEDWEIWKGDISFWKHSLAGSIAGVMEHSCFFPLDTIKTC LQSGRVDGLTGSRGMIAFVRSSGIKSLFRGFPAVVFGNVPAHASMFTTYEFSKRMMSK IINKFEHNEDKSRVLYNPNMSILNSVVSPAVCGGLSTISHDVIATPLDVIKQRLQVGS YKGMSDCILTILKKEGIRSFYRSLPITLFMNIPQTGLFVLLNENLKVLIGRVKKNSLK QNTYNFVIAGISGGIAGFITNPLDVIKTKLQTQACHISQKESFKVVYPNVKKAFIDTF RKQGLRGMYSGALARAFLIAPSYALCWGTYETVKNFL cubi_00484 MILGQVCSGKTSEMSLKKSGLLVCKFADGEDFNLTWPGGQTKQN LVKYMENSIRKRGSFSLDELLEIEVSYLEEDGHVSWKATTLEELAEDCRINIVRVVKQ GDPRKTMITESASKIKGLNESKRSSINQAIYMESMRSQENSNSKQYKSVTREELKIHN KQEDCWISYRGKVYDITKYLQFHPGGRFPQF cubi_00485 MFKIYIILHFIFIYIQTAYSSHSNSGDGTSSSKYFILDPIKYNN FVQSLMETPEEVISGNNFRSSDNFNLIKMNKLQDIICKESDLVFSNTETDVNYSTVLN LNTGDNEMKRNKNYLLLFGADKCILDSSFIDRKSIKINIRVIPDLRSPTKEVYYDKIC DCLFKREISDESGQNVFEVHCNSDLSIDIQQNHDYLEIGGVDTLNETDLVKFPTLVAE KNSEVGKKVEVVGSETFSIRAPNIKSNHVKTIKPKVNEFNFSKYFWETSSGFFGEGQE AENKANIEYCKSQNQNKSCENEKRLNLEKEFRESCSSKEHQLILDTLLIIVDKLVEHL DNK cubi_00486 MLGQGQNLLNGVYSRKYERHSMEISKNKGVRRKQNNKKGDFQVQ NMNHKKSEFSKGNVDHLIKHSNTVGNSPDRYLAKERKSQASCSTSDPTSPSAYRSGND NDFEQYEVCDIIELTSNMILSNEGRFETLSEYESVPKFSPSVITGLPNTTIISTSSSN TTISDPEKGIGSKSFLTEGKFRREGLIPACKGSIHSDYIIDSGRIGKGTYGSVKSGTN RLTGCIRAIKTIPLTRVEALENFMKEINILKNLDHPNIVKLYETYQDKKNIYLVMELC SGGELFDRIISQGSFDEIYAANLMKQVLSTICYCHDHGIVHRDLKPENFLFLNKNYNA PLKIIDFGLAARVNNEDTSLNTRAGTPYYVAPEVLQGKYDKQCDMWSLGVILYILLCG YPPFHGSNDSVILHKVQKGVYAFKEEDWKHVSFLAIDLIRRLLTYNPADRITARDALN HPWITRFTDDILFLSPRNSMYYNDGFMKTGLIFNDHVGSGNNHFKTLECQQRPRNGNL VNSRRGGYIKGGLRYCRSKKRSISSSSGLNLLSNFRAFHKYNRFMKVALTVIAQQMTE SQISNLKEAFILLDANCDGTLTPQEVITGLKNSGITELPSDLLAILNDIDSDGSGSID YTEFIAATLDSKQYSKEHVCWAAFKVFDQDGNGKITANELLNVFSYNSEQGSTGINDK ALSDVKNMIKEVDVNGDGEIDFQEFLGMFRRSTS cubi_00487 MPPKGEKKTKEQIAAAAAAGGRAKRKKWSKGKQKDKANHSVLFD KKTADRFHSDVLKSRLLTPAVVVNQLKVNASAARAMLRDCESKGIIKPVGEQTHGQMI YTRA cubi_00488 MNCFELLDSIRSYIKKWPEIHTEAFKFGNSSFLDSSNSEYYNTL KKNLCGYLYDYLDEDEISVILFNSFKRLANIFFTNFELEPEIQPELDISDLFVILEII DSEFIISNNTNEYCNLYKDPVSGKYGPNLIESKSIRNFNSIARLIEHIISRFNSKNIY SLRNSGTVSKERFKELALSRYIRMYEIHKKKPEFVVNKMHLSQFEAETQMNIERSWEV ILYSKIIGMIKTLFLLNSKTNDSEINNGSYEHSSVNERAFILIVTKFTETLRIIQKYN RENDRSGTILFLQFELYEIIRNLYPYNLVACIDNIEYWNYFQTYLEMFTNIQILIIFE LSHLPKDIEQMIDDAYFNRMQDMMDYVFNRIFITINSIELKYYSSEKQEINYLSSLCA LIAYHLDPLLFSNETNGNYSFIIKISMEIHIINWIKFSFLCTNNILNTCNDSIKIETD SIIPKNISKVDFFQEKSINGYSMIFCGLKMLYLLLNATDVSFFLPLVKHIFSNLQVTY CLLLKQNDQELSSSIFFDDFRRAMRLLDEIIILFSQSLNREIIHTLSTIESNIDLQRN KAPIELLNKLIENSYFVV cubi_00489 MNNSGIDYRGRPLFDIPHKMASTTILSKNSADLNLKGFFNLFIL ILVFTNMGVVLENAMKYGCKVSFPKGITDLIQNWPILWCLCQLVALVFISFILEKYLA SLKISLPNVYDSEPNHNSNETRYGLVNYSLPKITGFLSLILIITNMLMMLYIPYKTVK FYSPNPLSSLILLSFSFVWFFKTLSFHHVCHDVRRCVLNDEDFHEICGTSPEAEIASK YPASLSLRYHYWFLLAPTMCFQFWYPRTGGIRWLQVIKYTVELITCIILIKIITEQYV MVTAHYTFTFKEIQRMNIFQFLGHMATRIMKLSIPSLYVWLLGFVALFHHYLNILGEI TCFADRAFYLDWWNAGNFGEYWRKWNLPVHFFLTRHIFKPLRKKGFSRSFSGLLVFTF SALIHEYLVVVPLDIGWTGWVVLAFVFQVPLSSWTQSSYIQKRETLGNVLFWITFCFC GQPIAVLLYYYLWALSKGEIDQIDLNKIEGIPQIPLENISGYIANLTNVTHNIYH cubi_00490 MSSGYRVHDDDESSQNSHDGNHSASNSIANILLTQDPSDYSEAE EVAVDDVVYEEEMANESEEELGEDLYGDNFMNDYNKNPELDKYDPEMLDDTHYEHDIE AKRRADLALDRMELEKSHEKQREIHHKYGISAFNADDGDDGEIEKRRKRREAFREMAD EAARGAGIIDINELIQDLPLAEQSEWSEHVENIIRRLFKVFLQDFKLSSMYKTISNGE CKIEERNISFEDLYYIKKIEEMVQEEKTSLYVDVKHIFTFCYKLWDYLNLYPTPVIEC FNQCAYEVVTSMYHSLYFGKSIMVRLIGLDYIDQLRDLRVEWLNQLIRVSGIITRRTD ILTRFKSLYMECVKCGCDNLGPYEDNPLFEGGSLTENSSIMKNIGKCTDCQSKGPFVI NREKTIYENYQKLTIQESPGSVPAGRVPRSREIIVNGDLVDYACPGEEIIITGIYRTF RDQKLNIKSGFPILGTQIFCNNIEKKHDALRKDDLTDEDYKKIKELSRDPDVKEKIIS SIAPSIYGHNHIKTAIACSLFSGVRKQVEGKHHHIRGDINVLIVGDPGLAKSQFLKYV EKSFDRTIYTSGKGASAVGLTASVRRDPVSGEWTLEGGALVLADEGICLIDEFDKMSD KDRVSIHEAMEQQSISISKAGIVTTLRARCSIIAAANPIFGKYDSSMTFKDNVDLTDP IISRFDVLAVLKDEVHPMKDELLANFVVQSHMNSQEMYGGSSMDQHDQEKKFSSGLSD TSQNCEQRFAPIDQKLLCKYIRYARKYCKPQIRSVDKEKITTFYSRIRQEAQQTGGIS MTVRHIESIIRLAEALAKMRLSPVVSNKDVDGAIGMVLESFIQSQKYAVAQRLSKIFS RYKALSSGFVDVLENLLLQLFSDKIQKIKLRNWDEEQMNTIVEEVDNLTINLDDFIAI AEKIKLSHNVTLSYLKSSSFLKHYVISETIDSMGNNVKIICKRSNTDQDSQSQLSM cubi_00491 MAEEIVGKAFKVKDDQISVLDSPSSFKDSLNSILLNAKRRIYIS SLYTDTENEITKTLCKVAENLEKNSNNDLKIKLILDYNRMTRECEKVKPYIKVFKKYP NSVVISLCKIPIINYSENLLGRTSWNIINILSKFRRTSFLKEVLGVYHIKIFLADDDI IITSANLNSEYLLNKQDRYIMIKGAKKICDYFEQSLDLISEYSHRVLPEGKILQPQKP QFNELKKDLMELSSGVDLHNQITSKIKMKLDDADISDECVLASYFQCRPIKIASEEQI ITNISQLCDKKSTNVFLMAPYLNLPKYLISLLKNIEGSINIVSGVPITKSDKKNKIEK KTSLERIRTLILPKLYTYINYSLIKQLGTQEKGNISYHEYKKPNWSFHFKGIYFFRNS SKFDPSESGLQNQGSIIATAFGSSNYNYRSTNRDFECSFVLIPNQNKELQKSLKNELA NIMNTTVKEYAPKITWRRLFIMKVIKIFQEYL cubi_00492 MEFVDQDWTKFGFYFLSVVICTLSTIIFFLWKSDGEINTKKDIF ETINSPLLSEENVIRYSRQIALKEVGVSGQVKLKNSKVLVIGAGGLGSPILLYLSGAG VGVIGVVDHDTVSISNLHRQIIHSTHKNHMNKSISAQQSCNSLNPNTRIIAYQEALSI DLVKEIFPLYDVIVDATDNCRSRYLINDAAVYYGKPVVSGAALKWQGHVTVYNYSNGP CYRCIAPREDNGKVDGTPGGAETFGVSGTVVGIIGCLQSTEVIKVILNDFGKGGNNGA DVRNHLPVLSGKMLFYNSLSSENMVRLVKIRDKSSDCKTCGSDPVLFFKADVDSYKVY KGMEMAQDSFFPIPSSKILSPYNFEEKINFARNSNLKIKIFDVRPKNLYDISHIKGSK NLLEDEVIKGIANLGVHTNSKTGEIIEWPEGKNDQIKNFLVEYLQIDQDCIILFLCLN GVRSYYISTVIQQCFERLNMQVSNYYLNGGIASIRSKLLKNFPNI cubi_00493 MNFEVNNKLCLSVESRIEIELEKSQLSASEKFFFSESKFDFLVE AVCKSFDVIHDINILLIVLKLYSGRVIACNDHLNIYDGYSEYNRPQILLLLKKSWVIS IGICKRIEKLETNFIEKINNYCNQEDSKDILTCLSCLLLPFLIFSKSRLNGNLLPCNF KEKLSLLDEIKLGSRFRCLKFIQDEKYIHKPTEELIEKKITKTDNKKSIPKLASEINL LNTLEQRTLAILNMITFDENGFGYNKILNINNHHLIFNLWEEYISIGSEECLISEIIE TKNLPSMCLKCEILFIITTYSREGSTFEKVIKIIISKTSKDHKIMHYICNRWQSLLGE DKIEYISKESQIQAIKDYWKNMRILIWEFQILVPLVSKNVPYFIKLQSIALSYYLISN FVQTDEIIYEYSQEIESMNKVLQVLSNDIINIIKGSVLHSFVLERSVLPRISAFSENT IFSCNLINLISSIANIDLGEDANKAVYYQLVSNMLCDPYLTRHFSFEGESGLIDMFRN ILDLDKLLVTDNLIKFIYLFGQIPSEIITTTLCQPSFKNQIKLPFLNTFSPRMVKNSN RYSNSLRFTETFSGIIKIISQSNETNIKVILLFLADLVKKLYNQHLANSRSKIVNQDQ NKSDQIDFCYSYIILYKYLNHCFEKYNNYIDEHATMALKSVTKPEIIIPKNMIFIL cubi_00494 MIDLLYDLRKYAVAKHPEFLTAISDAEKGALQLGVDQGVLEQNG SLKDDEEMSSYYSEIRSIGVSLGYINKMIDEIRINKQRALLTTSSSEDEEISENLSKI LDETHERTLELKNNIEYLRAQNENLKKKASKKEAAEIMIRENLLQTISKRFRETISEF QAVQSEYKAEMRNKIFRQIKIVYPDVTQNTIELIAEEEGKITTTQLIKMKLSGSHETI GNAITDLQDRYRDIRRLEKSVEELQQLFIELASLINEQGEMLDHIEFSVSTARDYTEK ADIELISARKYQKRTQKKMLWIILCIFILIFVVMLPIIIGVSLES cubi_00495 MSSEAVDVKSPRLFACGYPGCHASFKRRSHLERHAQTHTGIKLF KCHECSKAFGRSDHLRRHMMLVHSSIEEKASYPCSSCNSVFMSPTSLRRHIKRSHDTP YICEVCGNLFPSATSLQRHTLRQHLTAEIPFPNRTEDSNSKQCWYVCRADPMLCSAAF LSYPGLVRHIKSKHWSSTNEPQPLILNQSQCIINNDNSQNQCLYALPNEANVGHNEND PLLNNTIGENKDSDIDCSYRCPYPDCGRFYSSKSNVRKHIQVSHCNSKPYECHLCPKK YGYRTPYIRHMKNSHPEFQDDNIINDHCSGITTMDESHSDLTIPGTPHYPPLYVDAIP F cubi_00496 MSFQIVPDQLEEVEEDVNTAIAVAVSTNRNALMEIGINEEEEGT PMSQSTNIEGQLQTQQSYSSDTLFPDDVQSFLINLNDSITRRDIERIRYFHENSHNNL TFKYYMKSRWPNPSVVENLIDSFSYFSELTKLLYTEQYYRHIFAKFPNHVKWIDRVSS WKNYIALFNYLLFRGHSNTESQEVQVPNSLKEYFESNDLSTNYDREDEICLPPEMING IIDEFLYQFQDTCRYRTRIAHVISMSEQSQNEGRAAKVDQDVWRCDIILQIFQSFVDL SEIRALMSTDGAVSQQDQLQALSSVDLIPIRYQLGYFSILGLLRLHALLGSYYIAIEI SNGIDHQIFRPLLWKVQTAYVSYLYYLGFSYMMLQRYIDAIRIFQQSTVSFTSNAMNS NNSSSHSSPYQHDAIGKFVDRMSWLMLFCQLLSYGNSSNFNRMEESLSHQNDRKTSPS FSPLGSDTSNWKEMLSKVSPRFISPSVPVITPRDQMVKTDQSEPQQRQMQTFSPLMHL YELSSMIRSFTKLYNNLNVDTLASLMHIAKKNGNLKSREVIKSRGGADSQTNEPTNNI PLVSDDFEVQEPSSIARSQIIAIKSRSGRQRIWKSGDLHSGELVPLNGDVDLLLDLDT IHIIEGKQVDKFFGDIFAKQIVKARNLLRHIDSLTSKSSDLSSKREKA cubi_00497 MSENPEYNKDEEIGPTIIQSLCMNCYKEGETKLLLTSIPQFKEV ILMSFECPHCGFKNNEIQSGGVLQDKGECIELVVTNVSDLDRQIVKSEFATISILEQE LDIPPSTQKGVISTIEGIITKTIQGLSLNQEARIAEDQQVGNKIEKIINNLKGYLEGK GLPFTIKLDDPSGNSFIQNPIAPLVDHNMKRKLYDRTKKQLEEMGYFGVQNLQNEESH RISTYQPRKDNPNNTFSKGADIPDHLIPHYIDLNKSIEDQGEMSNNDDRIKFDVPCPN CGNNGESDVCEIDIPGFRRCLIMAFVCSFCGIKTNELKPSGAYGELAKKWILSVENEL DLNRDVLKSDTASIEIPEIELEMGMGSLGSLFTTVEGMIIKITDSLKDCFTFQGDSAT SEQKKGFQKVIQNLENLLEKKEKFTLIIDDAADHSFIGKRIVNGQFIQDDQQLKTEKY QRTNDQNESLGINDIKVENYSESEN cubi_00498 MSTRMTKNKRKRVPQDNKTNELIFLESGLKKNVESNLKSSFTGN ELVIIKGEVGSWLRLVSGSSLFPVGAEKILSSVNNKELFRGLIISKRITNLPIRYTNI PGSIIPNLCKNSLYSISERFPCTPLNSDFEKENFEKILFQVEKSFDSSTELEEALFGV IDSSEKLIERSEFSINKKRKKETKANIDFLEQKLSIFPGNVGREYLVFQRYDEGVILD KDAVMDATPEILAQHIARRLRGCTILDACGGVGGNTIAFSQHCKRVISVEISNYRTLI CKHNSQIYKLYGESSCFGSQNIVGGESFIDVIRESGSPTLPFNQAHSIDESGNLNMYF DPKSNIVFINGDILNFCNWYHIYSRKEYSGTSSSIVKQAFKDFENFEWAFASPPWGGY SYNGIKNFSLENNSLLNYKEMIIRLSSIANNIALFLPRNTNLSEFSALLSILGFHAIE IEAIRDTRFNYILGIVLYSVRTKSKFKLRYLFGQDINFMNDQISKRLISFTENMIKKT NPGSNTNSNQKNISKPGIKKLSKFGRKVEKLLKKKGLESLIVITYILNYI cubi_00499 MDMDSTIGESQPDSIIRIYVKKRSGKHLAVLNINKDATVSDLKL NFYEEFHYYPERQWFNVARPNGEVLKEGKLESYGVEDGTTLYFKDLGVQISWRLVFFI EYLGPILIFPIFYFFPTLIYGEPAPPKSISQKLAFALALCHYIKREIETCFVHRFSNA TMPLIRLPINCFHYWFIFGVFVGYYTFHPKYSPPEFSPITLYSIAVLMCVFESLNFSS HLVLRKLRDRGTKKRGIPYGSGFDIVSCANYFWETLSWICFSFIANCATCWLYTLVAF IQMAQWALKKHKNYHKEFSNYPKCRKAIVPFVL cubi_00500 MAKTSNLVISKKLSWLLRHGDPAKTGLDIRNDGFVEVDQILKQV GISFEKLCYIVENDSKGRFKIINEYGKSFIRANQGHSFQFLEDEKLLNKVEIDDNSNF IQRIIIHGTYLDKWETIKENGLNRMSRSHIHFVSATNFKLECIKQDSNICEDMNIDQI IKEMCNYRCKLGIRPTSEVLIFIDILSCINTNPNCKFYISDNGVILTKGNQQGLIDPS MFLFCIDIKNGEILLNNINVEHAIPEIIRLIVNSTR cubi_00501 MQANQIVELRALQSLREIYEESEVIKVNLKIPKYVCLISKLDGY KNPYFFDSELECWEGRDCTISGKFKFSASEGIQVIKESICLNPKTESIMVFITKNPGD YNNKENNLGIKLLERQYKMLKSSINFFEKSEINEYYEYPLSPTNRNINNSLNLKTSWK AIKIFWFEECSLVGKEGIYLALGVEMPLRRSLSSLMISGNYNDLNSLNDTKLNHIIGL INLVIKYISVIRNVFCNTSYLPIFLSTDSILLDIEKSIEESILNISEITLTDIGEKSY LEVTKYSTYGHLDCLYPILNVYKNNKYLSPEIAISYFIECSLKKNRESSNMEIGKIKT IYDNFDSRILKNALNQRILNKWQPLIFSGDIMFYDKNNNVQNVFNNQDNITDMIIQKE INLIVRIGMPSVVFSLGLLISKIFGGKDLVVSCDNDTLHSIDCLCEWNSCGDFVPFIG RVKEDNVMNSLSGRRNQSVEDILPELDLKIGLNPQTLQLKNKIHKLLWGCLNFTPSQR LTLAQVEYELRRISDDFAFIYHQRINKFEIKSTGRVNWFNKLKVNFKKSALSRSSENN IDIN cubi_00502 MTGVYEKQCRGARFDYMVSTVENCKSLLESKNYKESYSLICELL LSLCNDRELIEEFFYSGAFEFCCEFLKIKGENDLKIMNNDSSEVQDVEIPNLIIEQLS LLSSFTLQSFDEEIGEISMLIFFLTERHRYYVDVNWKSNELKYMGIFLQSHFRFLYSA IKSFNKFEKTEGPSILLLTLKNIEVAIRGFPAHRIVPNMVKALTENSTWEIIAFVAEI IISNTLELLLEQKEKSENNNTNILMQFRECALVLVQIITYFPTIGTSPGINKHIIPSL IQIGFQKLFLTQSNNMVSIRCSCRYCENCRKIQKICTKREELIIKESVPLLKELIDVF MKNNALDMLSNLITTIQNIDNNCTMDITSSNNYFSYQKAFINMIPFIQKMFDTEHLNK TIVTLMQIMPKSTKISTENTQLTTLESNRTCFAKITISKTGSEISNSNSDEKCSNIKS IEIVTLTEQTKNIISCLTGIILQSFDFPIKSNENMKVSGILEIVCPTFELLLRKCIIE WEKQIDYIINNKFTNEQMILILSEINTLLNLIVPIYLKYLRQKNINSNNKNTYKSTFQ FLQKALSNILSVFSECFLSLSQIFITESNSEKPSKDHSKTTNYNQISQEQKDTIYFIG SSLILSLSIISDVSTYNWTNVSRRRYLPSPHFKVCGWISSSQFKFVDLWKRIFMSERC IIIKELSQIVTLISERSSALNSKDIITRSLKFSSEDNDQYDAFLEDTDDEAFNDSLEN MNLNLNNVE cubi_00503 MDLIIGDQNSFNFTCEELTCYETWYKIIQNFNDKYSGELELNNA YDIPKFGMAIARFLKTSGIPKDILHDIWQIVDVDNKGTLGFSEFGNACRLVSFYQHEK LFPSQQILSKIPLKIAYFSISKFWDEVGEAKVNEVNKEDLSGIIDSYFLDKSHISDCL KIFQDLDKEKNEFLDGITIFKVYISSTLAQKELKRIWNFSDLDEDGKLSAAEFIIFNT LVKVSIERNINISSGISKQSLLLIINQIIKMKKKHKEIDYCNISKPKESKLTQFNSLE EEDIEKLKSEIERLKGEINDFKEAKDVMSCYKDNDEQQIIKLNEKKIMLMAEHKDLIQ KLNDKYSEIIKNRDLINYLYQDIKFLKETNSVLSNFDLPKIKNVKNGKIYSDTNINKK IPKSNTNTGNVVLEKCQNRKYNDWVEFPSRDPIERKP cubi_00504 MEDEKSVANSDGIMFDASMSAANDGYSQLDGSSIGQSIRTFGSA GKLSRTDLGDSARIRGERYASSCNASSLNESEIVKKHLNSVEIGGEIEFKELFSTFLK NYVAKDGDTITEYSTSKGDNSMIDGGSSTYMSLLKKLLEAQLTVESGNDNRNESSSSG YSFDISLRHIEDFNKGLYYTIVSAPSDAIIFMDEVIESEIESILGDDYLKEFALPRVR VFDNINICNMREVNPSDIEQLVSIRGIVIRCSDVIPEMQKAVFRCTSSYNANGLHTNC DHREYRLLIGGEIDEPTLCPVCNNNYSFELMHNLCQFSNKQILKIQELPDTIPPGETP HTILGYVYDEMVDCCRPGDRIEFNGIVKVSGVRLMAKRRQLMSVFRIYVDILHVNKNI HNMLYSVVGNSAGEISEIGNGVQCIDGQNSNAAEKNTLFTKEMIEQFHKMSKDPMLYE KLSRSIAPSIWENDDVKKGLLCQLFGGSRKNLLKAASEMLAGPDRQSDSISDSSESKN INNSSLNRSEINILLCGDPSTAKSQLLQYIHKITPRGYYISGKGSSAVGLTAYITKDP ETKEIVLESGALVLSDRGICCIDEFDKMDDSSRSILHEAMEQQTVSIAKAGIICSLNA RVAVLASANPISSRYDPKKSVVENINLPPSLMSRFDLIYLMLDKQSEESDKKLAEHLC ALYTSCNSEEKSKNTAIFDKVILSRYISYCRQHCNPKLSTDACNKLVQNYISMRRQGT SGGGLQRQKTITATPRQLESLIRISESLARMELSEWVKESHVEEATRLMMSATYSALV DPTTGLIDMEQLTIGFGGRERMIQGKINKLIIEILGNNPDGISKDGIFNKILEQLKTN NSSELYQFDYRKEFDVCLDTLVISGSIKKFSGQKYKLS cubi_00505 MVKERSSSIIKLENFENAETLVENYRRELEIHRLHETLQSMLIE EDESISNILNTAYGDYSLISTELLPLIDSFLPSIKQFQEKIDSNINEVTSIVERYSED INSKINNHSLLFTKKLEHNLFIICHNEINRFKEMLLNTKDLFNKDETSTPFLSIRLVH YCILRLLKIRKSIDTIYQTISKMNAKLGFELENNKYYNECFEMCNDFSDELKELVIQA SSNLLLVNDSIFSESEEFKQNDNLLLQCIFLLNLKAEFITKINSYIDNEIIKNYIFSS NKDDKLFFSNLICELENIIQGEKLAKLIKKIVFSLFNYDNTEYLTFVIDIYIQGILLY LLEYLENQYSEHIVSPTAPMDLFVHQLNSIVSLIEKNEKILINYESNVIPANKLKDLK LRILICFRENYFINNYSKKWKFGTYWNLIYRNILNRRISIRNELVEFSKVYVYDNKKY WLKYSIEIIRQIRWILGNSSIHSNTPLPPSRYFVPLFTRCLYSSLSLLYDYSSYLLSF TELSHFSEKSNQNNSIWSPKTKPEHICCVLMDAISFEEWVESHFTNEMFFHIYNVKSE FGFTESFDFSDSENVLNIDQLKSMVKDELINKDYLVHINENLLKPLSNTISLYLKNQT IRIFESGLRAVPCLYRLTATSISNKSTDRAENSERIPSSYIENATKPVEIFLIFSLNA IKSILADLSTNTIQKLKEIYNNILYVTFDQIFLQIFDICEKQILSSKHQLASLQKLAQ KNIEGSAANRIDPSIIASQYMTDIDTWLAKMLKLISGFFNNLDPGSENCVEEYINAIK EAPSYTKLYGILKS cubi_00506 MRTLVDKFKHEYKTLLKKVLYNRFTFIIFGILTITSMIINEVRF LFRESTVDWIFFSTLIFLTIARGIEILILFSFKKNYLSIFFFDLVAYIFLILSTVFFD SSEHSTCDTDNQNFDDLQIFSQVKGYGFNFQLNLVNSTLQCSRFILLLISSDFFTLFS KRMKKTSIVHTEKTYRENPKEDLSKNEIDSDKYLNENKIALSQLLGLRFAIVLLLSVL LYPLLSSSVWIQINSSAFLNVKTLSQLSLLRNQYPGDESWPIAYMLELSNFVYTNSIG NSCKWMKLVAIQSPFTLNNNPTCNGCPKSNLEIMTDAMSIISNSEFPSWFVGVLTLPT LKEGISLIPIKDYRKIRKQSLRQYVSQVCMRSGIDAISNFYSSCPEDLKAKITMVFDT SAMLQLGAGLSIGISLLTLIILTILMFLVYNVLEKHIFSSIKRINNTLIAIANNPLLA IKISNKVCNEGSHEKATVGKFSNQMLEETFSKLGTLLAVGLGSAGANIIMHNLKDDKV VVKLPGRKIMGIYGFCDIRNFTDATEILGEDVMVFVNQIAEITHGVVCNYGGSANKNV GDAFLFVWKPEEKWEGIESLLADLSVMAFIIIIKQIYNCPKLKRIAMRNEIQERMPNY KVKLGFGLHYGWAIEGAIGSEYKIDASYLSPNVNLSSRLESATKHYGVNILFSHDLFE KLSVNMKEFCRKIDCVTLKGSKQPIELYTIDIDPEFQDGEINNTYHEVDTNKISYRVF SEFFIDNTIKSMRKYLFQDFYYKFNKGLKHYLTGNWKISKQILQDLQCECIKSWGKKD GPSSTLLKFMEENNYICPIDWKGYRIWDEK cubi_00507 MPTKFKSPHDKKISINFTIQDFIEPDTLVQTYCQYNQNSEKFVE DFDNLFSDIPENERKPFVTGCRDLVTDKYSIQKSICKKKGFNKDFSVVNSLITNEDSV KRDDHNLYSNKEVGEPKARSKVNRFVVSRRKKKKIRFNSAAKGSFTENSDSIQNDSPS GINARFQKDETFSPLNSHKETFSSDNYLSEQLSPLSEKKLPIIDGYGPFSPRKSKQPP HIMLIVHGIGSNESAINKNKDEFVHQLESVKAHWFWEVDIDITVDAVDWKSKLASVQD HMFDRITLPQHRENRMLLNKTIGDVMYFMVPRYGDYIIAEVAKQLNQKIKHYKSKISG KPKIVLIGHSLGSVIVYELVSRQQTRITKSEIPKLDFDVDHLFLWGSPLPAMLVMMFP EYLRSGLTLPKGLAPIQPNESFSGGNFILNKCPELKVYNVFHPYDPVAFRLEPLLYPN LVSLPDPVLLPYWRNLSKRTYHQWDKEMENARRALYDSISGITSSISNAVLGGILGWG GVNEYKSTLEVNLVPRDQKIKQLRDESVISKFKTKFSAGSKIAGFSGINFSSSSKGQL KTHSYSEGKESESVNFSLLKTNEVPGIPIRVDYQLQEGTTEHYISSLAFLQSHFNYWK SRDLGFFILWKIIEDFEPVISHDDYKAALEHLKQDQVGLDHLDSSSEISDDSSYDLES D cubi_00508 MNNLPFSNYVTALLLLYSCFIAFNYASCELFIIGNKATNQTNDS WFNLDLLREFLMDENVTSSSADVFEGFKRMIKVSPPAPQTKSSSWIPQNVKDVISRIN SNSKKEEVKSKPILKRKFEDLKHYLEYHLYPTRNAQNKTNSEEKGWNLPSLGFVGKNS TNEQNNRKIIVQGSESLVKEQVLTDKKTEENIQSSSNLKESVNQNTQANPLPVITVEQ CEKNVINMFKECIERCKSNLKQAQYRSGGISPLIISELNACINTCRHSANSSNCRLST SSINLINEEIPIPKPTIRRNQKNQERSRGLLNKYIIDPIVNFITTFLFCILGIIVVLY YFKEYRLYPKMADYITYKIESYYTEDEKRRAIFEGDGFPQQPKLNWKRTIVYFVRLNF LPRILANNFTWLIPMRDHYQQTTDTCNYVRVH cubi_00509 MSEREQNGDECTKYEGASVVPVLHNVTEECNFKSEKKEIVLGAE GTEPDSKSCCDSDEYLEGHIYAAMCTKCAGELQQKLNPTEPYRDPKKISGKEQISRGL IIESKSFVDANKNIKFAKKNEKNYYAGLCSSPEVTTPNSEHESSGDPNAKGTDSKKVS HGLFDRTCLIQEHALVNKNINDFYELNLGNLGRGSYGSVVKAIDKQSGAQRAVKIILK PKLENINRLKREILIMKRLDHPNIIKLFEVFEDTNYLYFVMEICTGGELFDRIIKRGH FSERYAAVIMRQVFSAIAYCHSNEFMHRDLKPENLLFSDSSPNSLLKVIDWGFAAKCP KTHKFTSVVGTPYYVAPEVLYGSYSKLCDLWSAGVILYILLCGYPPFHGKDNVEILRK VKIGQYSLEHNIWKYVSDSAKDLIKRLLMTDPSKRISAQDALNHPWIKSLISSPNNAD ASYFTNDVCSSLLARFRDFQRQSKLKKLALTCVAYHLNDTDIGALQKLFSTLDRNGDG VLTISEIRSALHKIQNVSQLGDDIDNLLMELDTDGNGRIDYTEFIAASIDHKLYEQES LCKAAFKVFDLDMDGRISPQELSRVLNITFLQEAFEQSTIDSLLKEVDINQDGYIDFN EFMKMMMGDKHEKKPEPKVQKVEDEESGNKKLSKNGIISDIISATNIFKKLNS cubi_00510 MSKESQNENSSLIKGTGQKTPYGINRYLLLIIYCIFCGLTTSAI QQSICIKFIMNKLGAYEWACDGAQTEILPTGAKCKAQDNYITTCWTAGIMAPNIILSV LGGGKLFDNLGPKLTGYIGQLMFMFGLLIFCISNQSFPLYPLGFILIGLPQGPIFNSV VSISNLFPSHCNKIISILSTMGDVSAFVFYFIYHFHEFSGISIRKILVFYSIFVCGTL SLVALFLIPNISYEKFQSTDSTEEEDSNSELTYNTTYSSESVSLHNASLMDQLKSSFF ILLLPYFTLTVFRSDSIKACLDSFLQSASNTDIDLIRSQMSVFSTLQCFSFLFSLMNG FIMDKIGVSKGMLLQNSLGIIVSALFLFNASHIVRIVSFFIFFSYASCIYSTAYCYLV ECFGFSNINFLMALTTAPVGFIYIVIPIMYSKLNPSNFFYFHLFYVISSLIMYITPIN LLMSNLYKTQKLNSNDELSSIQVDEPKTVE cubi_00511 MREICSRSFKFGQILSRSLFQQLNTSFSGKCLFYGALFNLPNKL LFRSITYNSDPYKVLGVSRNASDEEIKLKFRELAKKYHPDLNPSDEAKNKMARIVSAY ETLSDPSKRGHFDHSRTEPAGQAYNIYKNKKNKHSYNWFEDRPWTMPNIDKIFTSSLL FDNLFGFEDFFRKERQNLTKNIHLKVTINIFDAINGTNKTIKTHSTCKCDVCNGVGII KRPSATKCPNCGGSGINVYQNGPFLIKTLCMKCSGSGYSNLMLCLNCNGNGSIIKDKS VSLRIPRGTRNGRQLKLSSEGNYALGNYGDLFIKVYVKPHSKLKWIKDDIHISIPISI NTCIFGGEINVPGLIKDTSMRVKIPPKTDPRIPYIIKGKGPPIFGKNTHGDYIIHFVT QCSYPDLSKTHKEKIGIKDKLINIISEINKKFQKRNSSV cubi_00512 MKKKSIPWKIYLNFCIQICNQLKKLEIEWKQPQSDISLINWFIT NKTEGTVDLLKRGEEPTVKDYNLMISKAVEDGMLHVVGSYLDPIDGSNVRLLSIDKFF FPEIWL cubi_00513 MNQINNFPVAQQVYFSTHNALRAGENEIQKFVLYIDELDGTKRV LLSIYPFYDCQMVKRLLIKYLDLPEGTTIRDVQLFYRGVEIPNGRFMHTFEKQRHPLH YSLRMNKSDFGIRSTGLKWSSKIQKLVVEVKLAMQRNVHPKLTLDGTGATYRMYNAKG QVVAMFKPLDEEAFSPNNPRGYQGKLGQQGFRSGVLSGEGASREVATAIWDAYYHNFA GVPDTTLLEACHQAFNYDGWNKITLEWGDIFEKDNTKVKNEITVDWKLGAFQEFIATT ETVGNFNPSVFCIRDVHRIGILDICLFNLDRNDSNILVVANQSNYSIKFNIINSNNPS PVISPYEHPLSTPDGKKTKYKLIPIDHGLCLPDVLDVAQFDWVWFDWPHSKIPFSRSE LRVIKYMDPDADAERLKRKLLIRSECLRSMRVSVRWLKLASSMHLNLYQIASFLCRED LEIPSSIELLIQRSLQHCYRAFDATALISSNRLGNIHIDLATTSSTSNRARIFNESPK KVQNANTVDILDDCELSENSELSDSESGFSSDNSTIKSKWWESRKRKQKSSRSQKSDV HSCPNEQLTPSSFQKSCLNGVSRNTAYRTQLLLGLAPQNSTWMLLDKENNIIPIQWGD KHFEHVFFEVLEQEMRHLIIDKHPKWEEYPYFGDDAFDESKTKDISAREKDYC cubi_00514 MSSEEGTDNWSAEEAVESVKNLSASEIQSRIRLLDGEIRLMKSE SNRLKHELNQMNERIRSNTEKIKLNKQLPYLVANIVESLDFSDKQENEGEGMEFDGDK NDKCMVIKTSSRQTVFLPVIGLVPENELKPGDLVGVNKDSYLILDKLPPEYDSRVKAM EVDERPMEEYSDIGGLDKQIQELVEAVVLPMTHKERFEKIGIKPPKGVLMYGPPGTGK TLLARACAAQTKATFLKLAGPQLVQMFIGDGAKMVRDAFEIAREKAPSIIFIDELDAI GMKRFDSEHSGDREVQRTMLELLNQLDGFSSDDRVKVIAATNRPDTLDPALLRSGRLD RKVELPHPNEEARSRILQIHSRKMNVDLNDVNFQELSRSTDDFNGAQLKAVCVEAGMT ALRRGATILCHEDYVEGIAAVLAKKKSPLSYFS cubi_00515 MFGVQRSTSNLSLLFSKLTKRNFLPLFSVYFQKRAIQNLEYYFS NKRWKSFNKTNEKLSEGYVSQIMGSVVDVKFEGKLPELLNALEVKGHQNKLVLEVAQH LSDNSVRAIAMDVTEGLSRGQKVIDTGSAICVPVGEATLGRMVNVMGNAIDGCGDINT KIRRPIHRAAPEYMEQVMEPSLIVTGIKAIDLLTPFIKGGKIGLFGGAGVGKTVLIME LINNIAKKYGGYSVYTGVGERIREGYDLYNEMLANGVNKKSVIGSRVDSKHKRQPIYD FLGSKTALVYGQMNETPGARARVALTGLTMAEYFRDSMLQDVLFFIDNIYRFTQAGSE VSALLGLLPTEVGYQPTLATDLGKLQERITTTKNGSITSIQALYVPSDDINDPAPVAA FTHLDSTIVLSRKMSEIGIFPSIDPLESRSKVLDQNIIGEEHYKTSTRVLSILQKYKQ LQNKIATKGTDVLSEEERLIISRARKVQKFLTQPFFMSEAFTGKPGVFVNLNDTIKGF NAIVNGEMDSFPETLFYMKGNIDSLEKPDSIY cubi_00516 MELIELPKRQWYHVSGSSLDIKIPSSHNASTSQAVSLLQCNREY VVHMFSDVPRVIMLDSGINSQEELNNGSKCSSKLSGLGMENFSLSKVSNNIVYIKGKE LSIVNIQSDTVNTLVRGNSHWKSVVFNPLDDRCFVAWSKYSVCLWNRSSYEYHILQEC SNSNKSKNTQTSSSNLSGCVLINYPDDIKISNCHFVGDLIILFTSNGYYDTFSSNLSP GEYYRSLMSRQIIDSNSLLLDTAVYPNIRIRDSSVSHNNVIVALLSVEKDVYSVRIYN LPIDSNNNFADTTLLQSINVHIMDENKNSQYNAHIIGPNWGEYFAIQIFNQLIVCSVG EELPIKSLFFFPSRLLETAAFRPPKNVTWLDVGKTKSSENLCKQPIEIFVSNIFGGLE SITIPPVLELDTNKIHHSSETISTPNDVFAISLSNQKNTVDLKDEMADDYYSVLMANT SQKVEKNDFQYNKTPQSKLTSDRSNLLTSIFSTLNMEEKKTMNNSQSKNINRSNIGNI DTSLNNQIVEVIKDEFKKLSVSFSKEINDSISSAIGRLLKPIERDFQSIRSHLSGIEK SINNNYVSTNSISKIETNVKEFFTNTSDKLANMDACISRLAKESRNVSEKIIKLNKQC ENMNSSPIDDFTQVVADSFGTLTNAVTQLQTSVNRLESQINSGITLKTPTPDNSIINK GTQSIALQIEEALKQKHYDRAFAIAISADQSTLSQSDKRHISNGECFVLNLAYKFDPC IWLDDPLPISHPVILGISKILSDTLPSLIESFKTSNSFSQNNSILDLKLRILWIKETI HCFEPFTDALTPSDVMQILNEISESMNKCINIINSVIDVNKTINYMPPACLTDGSIIS DITSILRHIRRTTRNIASGMK cubi_01028 MAVNIKQHLEKVGMHGISNEMGIRVINDIMLYQNISSNKSSLGK YPVITCQSFNWNVYMRQLYCNSKYPSFFKEVVALMSTGEVKNSGMDFRGMSKEEVYSF VKDSVHNIVEQIIGIQSSSLDLDTPFSELGMDSLSAIELRNALISRFGIKISSTALFD YPTLRSIIQHLTDSLYVSETYDTFTDLEISISQREYSVAIIGVSCRLPGGVRSFNDLY EDILLKGKDCITEIPLDRWPFDVYYDPDPDNRSKSYVNQGAFTTDIDLFDNTFFGLSS TEATNVDPQQKYMLEVAYEAMYNCGITKRSLTNIKKTTKTGIEDPLLMGVFIGCCNTD WHFLQAKFGFENFTSYTGSGGASSLVSNRVSYSFGFRGPSQTIDTACSSSLVAMDAAL KSLKEGSCHTALVGGVNLMLSPHLFVAFCRARMLSPDCRCKTFDAAANGYVRGEGCGA ILLTKRLEVGGKKIRPIGYVLGSATNHNGRSASLTAPNGPSQTEVIQNALRNAKLTPN DIDYLESHGTGTPLGDPIEFGALKAVFGNKKDFKRNQPLILGALKTNIGHLEGAAGVS GLLKLILVLKNRIAPKILHFQKLNPHIDTENFDVEFPTELKAIVSNKPKLVGGVSSFG FGGCNSHVILESASDTELITENNQDKNYPYLIFAYTGQGCQYINMCKNLYDTEEVFRN EMDKCNKLLYPILGRSLISTIYCEQTLENEKLINHTSISQPAIFSIEYSLTKLWESKG IIPSAVMGHSLGEFAAAVTSGVLCLEDAIVLVARRAEIMASLPQNDGIMVACRVSEEQ VLESIEKLNLKDSAAVAAINGPKSVTISGSRTSVMQILNQLGMEDRYKQLDVSHAFHS PLVSSAEEQFEKVIEKIQFSEPKITFISSVTGNIESKLLTEHKYWAQHILHSVRYYDA LETAASTDEENIAIIEIGPKSILTSMGKQALGGIQLPQTSTEKISNNELIWIPTIDNS KSNILDFNAVYKVAQEYMNYISENVCIHKWNHSRFHWADLTSVHPLLGRFYSSISDEN YLAYSTDMLKSKVFKNIVEDHIIFDTNIIPAAALIEASACAAFKLHKSEKFPSKYVEL NSMDIEKPLVSNQDETTSEMEVFFAKSGSIQIRKKCISEAFEYEEEFSGPQIIANDVA FSNSRIYHHASISNSRILSKDEVDSHCIPNLEDYLKKYSSKDVMEIPIDNIYEMMNEI GLQYQTNYQCLKSVKIQIDNTTDDKNKNAESTQLNEVLCYVKRPASDFDLTELRIHPG MLDSVFQAASVLFASEELLKGKDVTNNKRGHVAMAPIGFTKCLYGRIQHNSDVWGLVK LNRFEKTGSIAFIDVTLWDSKTNILAAHFQDLILKGFSNAASLLSQEVRIPNQLLWKT YHKKIADNPNFVETNNTSELGNGNDQVKEVNIEDTDQGGLIQKILIFGIPTTIKELIC DTISKKYQNMSTKFICLEEDLTIDLIDQSIQEEGFSAIFYLGGLADSLSTKQVMGDIL KISQVISTIAVSIKTPPFICLTSFNDCEENPNIPRHSGIRGFVKTARVELENVIGKSI NISHVDCKSSLVNDPEALMKTISLITSSYDGNIKEEIALERDLKISDDGIYAPRLESI NFPVFGAVKLSMSSRGAIGNLKFKPQPQSERVNPPANTVEIRVKSIGLNFRDVLNVMG LYPGDPGPPGGDCSGIVVAVGEGVKHIQVGDNVFGIAPGCLKTYVTTDSNLYCKH cubi_01030 MAANLKQHLEKVGMYGISNELGIRVLNDILCFVSKSTSVVGCQS LKWDTFMRRYNTIPTFLSEVDYSSQGRSQGHVDISKLSDEELVVVIAQIAQQCASSPT LPSPDTVLLDLGLDSLGAVEFRNSVLDMTGVKLPQTLVFENPTIYAISMYVRDQKLGN SSTFDSTKSYSQTSSEQTKMTIEQWLLSSLKQSERYILYVETFEKRYQTINNLIAETD IISALEDLGVENNEDYDLLYVSWNELISSEEENNKKQNENNGKEIDQKSTFDPIVDVE EIRSSMKLNLDGVLTTTDPSEFKTAFLTGVTGFVGRILLVKLIEEFKNMQIVCLVRAS NPEKGLERIINVCEEAEIWDSSYASRIIVECGNFEEEYLGLSEERYYELCKEIDVVYH IGGDVNLLSNYKRLRKTNTLSLVGIINFCTTIKLKHLHFSSTLGQFPAFFAMFTREFE SAVVKETEGPSTREMSRLFPPTRQGYPWSKWAAEQILEAAHQQGLPLSIYRLPNTYVA SDTGYTNKTDYATALLIASILEGIFPIGSSTAPLTPVNTICEIIISASKKKERKHWRY NLLDTRILGKKHIETLASQLGLENYKGVAIDEFFTAIKKRGPESPIFKFVPLMQYWRH YWFDNTERTQPFPVDTSNVFEDMPEISWPPLDITFQNSFLYCVKREYFPPNSCSVSLA PHKCFSDALNEIKAELNTRNAQFNQNRYELICRKMKSNAETICDLLEKVPIKFYAKYS YYVFLKQSVINQYMVEESLNSSMIEKFKIMNCYFITGTTFEEILEMRKILRKSLNNYN ELKFADIACPYLPIKNSRRLILEQVKIATSVLPLSRFEMIDSEEILTDDSILLEMFMF APFSLPLLSGKEGFEGYKNKIISSSEKIIEAYSSFKNYVTRTLIKNNYNNKYPIIFSS PFHLGFVKEILQIFENSKIIVITNKKPHHSTIKNKSSILKILRSKYINVDTSGDDNSE TSDLTDILEEMKSRFIISEPSIDKNRLIHLKDFGEISQNEKTNVQLQDFCCNSIENSF cubi_01031 MKVNVLFNPTGELISLEIHKETTVEVLKSLIEIEFNLDNSKNYE LSIEGNTLQDDDIALSKVLNKDSIFIVSEKSSQSAVNSLNFSSNYSENLIQTLSSQLF TKIKEDQSLKLLYCSKSNEYKDAIDKDDIQKFTELVKNDYFAGNLNSMSSGSSSYMYN LDPLSPEYQRLIEEQVRKQNVEENLILAQDHLPESFAQVHMLYINVEVNGHSIKAFVD SGAQTTIMSKKCAEKCNLLRLIDYRFSGIAQGVGISKIVGKIHVAQMKIGNSFFPFSI TVLEENRVDFLFGLDLLKRYQCCIDLNQNLLTIGNEKVEFLSESEINSEMNLIESNNT TNDQCFDEEKKLQLLSLGFSETQVINALKAANGNTELAASLLFSNENI cubi_01032 MTSVGSGYDSSVSTFSPDGRVFQVEYASKAIDNSGTAIAMTYKS GIVFAVDKYVASKMMVAGTNRRVFAIDNQIGCCVAGFITDSNRIVSIARSESLNYQKI YGNPIPIRVLAERIAMYMHNFTLYGSVRPFGCSILLGGLDQDRGIELYCIHPSGSCYK YCAMAVGKGRQFARTDLEKLIDKNLSEEDALYEAARVIIQSREEGASKNLELEMAFIG PGSDFQFSIVPEKQIDSLNERVEKYIDDLASAE cubi_01033 MPIREVNVNRQTISIKGRIVQKSSLQTLRSGLKFFHLDIIDKDN DVIRIKFWRQKAEEYYNILHHGSVYILKCTGNDVVVSNTKFNDTSNPYEINFSDRCLI KKAENDDDSIGKAPKYIFSTIKDIKEMPVPSIVDLIGIVRQFSPCTKVISRKNNDEVS RRTISIVDKTCFQINITLWGELAEVRDEKLSGNPVVALKSIQIRDYQGRQGSTLNGRS NMEFSIDDEKMEELRGWFNDIGNTVKFESISNLSLSESPNSIGVNEKIYPVKTLKDIR NQMEDNEFSSVQSFQVIARISKIGSINVNQQVPGDRGGSLTYDACPICKKKVLFSTSY CEKCDESVIPETKFLFPVTIEDHTCSLTVQCFHEIGSIFIKELNASNCKEMEQNNDKK LNFILNLQCMWRHYNLKIQLKAEEYNNQKKTRAIIQSAEPINLDQISSKMLESINQSL LGKANKRSLYDENVNINKRISVG cubi_01034 MSISKRIQKETMNLANDPSPGINAEPDEDNYRYFKIIMMGPEGT PYEGGKYRLELFLPEHYPMEPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRT VLLSIQALLSSPEPNDPLDTMVADHFKNNILDAESTAREWNRLYAKGS cubi_01035 MEKLSSWLHERTKKRKELKKTIRNFWNEEISLDEEPEKGISNVQ NIIEHLKDKRRSSFERDLSSGEDAASISSKSGINSCIEERIEDDNIFGPSVSEIQEKI MNQNLNYGAALLHGEGEAMAQFVQAGKRIPRRGEVGLTGEQIESFEKVGYVMSGSMHK RMTAVRIRKENQVYTMEERRSIALQNEKQRLLKESQLIGELRELIKKEEKKL cubi_01036 MEKKFDLRYCEKYPNESLINGFVPKDLGTKPKCLPQQIQESGFV RNVENELNMLSAIQGYHLAAKSRMEQDLVSKAQRHPGIPSSHLGLDLLMDMDDKIEIS DVLGTEKPTNPLGRKTVNDALESIFNINS cubi_01037 MGKRTKKVGIVGKFGTRYGASLRKQVKKTEILQRETYVCPFCGK TSTKRTACGIWECKGCKKVLAGGAWQLSTAAAVTARSTIARLRKQREEAE cubi_01038 MSELEAQSNQSTERKVVQEDSAEEKVDATENLNEISVDNNAAIE LLNVNLQDMFANSFIGANVVNATRMQETIGESWPFDQYQATGLLPVDYAYWLTPYNTS IGPTAFVMMQATATFPDGTQMDAQQARARRRRHVFGVCC cubi_01039 MKDKNVEFDSFKMGDYIPIEIESKKKSLYSEALFNNRYSYSNGG NEDGTIKNLEFTTLNGKVSLFITTGNKLKIVNPAKKNEESSSGLASFNSKNLITASAL RKDGRLCGVSMESNECIALDTETMTVIRRFKKSNEQFSSIAFSSDKSKVISGTLTGKI LVLEVSSGDNILTVPAHNDVIKSILPLEDSLFKHFNFDSNAAYTVNTYFASCSYDFNI KIWRFSEFKRIDVGNNATLSKDDQIATIKTLKHNFPVECIDIINGNKLVSCGGTSIKI WDLEKADIDCIHNITNFGRTITTISSNENIFAVGCLDHNVCIYNSKTYEFIRSFNYNK GILKVAISNCSSYIAIALEDNSWSVRKKATLGIEEAPGVDIDEGINELNKGYRTGTIR YYKRGRGTNVSALDIEVKNCKKRQTKLDRLLRSYSYKKALDITLEMSWSHFISLLKSL SSRGALHLIARDNEYNKTIKLLKYISRNMGKCVPYQFMLISELIEHILHEGNIVKLLN QNKSIEKKNEIFECIKKISQKVSLETKQHAMLKEFKSTAEIIIQSCKKCLVN cubi_01040 MEFTKLVSKPSFKLDLEKINSKFEAGFKSDKSYETDFGQEKLIL KHGNLDNNVYAGDDNSQILEQLKRIEEENIRLREINEQLSRSLCMSTRYISMNSNSFP LQVDDLYRQSSLFNNQSLSTSRSISINPQMVKKVKMTVSDIIGDRSEIALSATLLEDE YFLGLEPNYDRQSARVVLSKTPSYWSIKKIPHFWEGFSNIMSSVMKKGFLNTLSYWSF LRAPPSPKKNVKRWEGITIKYKGPLKEFRGYSLAGNDDGLFVYLESEEDAYDNIFYCN FRKKKEKCTEDYGEHECDIQKNILNLLHANTRSFICVEPNIKSKEGNIMIKLSESKKN KLMFEERSASELLAKQLLVSTEQISQDFEHTNRNINQISSFPSFRYYEQNFQKINSNR YSFEENFTSRTVELCSADKQNKVKRGSLADELSNELAHSNQSLGNFFNDVNDSKYLFN ENIDSKEKMSHRETLEITERKLEENLKICSPRIRASNIINDQISGFEYHEVPLTRRLN VVYIPSTTAVLVHDLVDSEEELNNNIDNEKISDAEQEYFKMK cubi_01041 MRDNCIKYKRNISRKLTCSIKSLCEAEIGSRINALKIYVLKLSL RNAIAKHEIEGITNLLKIMDKKIRIIKSIKGLTYQLKNFLQYVINDEGAIQNTEKQDL ISLYNWLNKSTLFS cubi_01042 MVLFCLNFSYGNFQHKSNSLCKVWPTFVLFRSHSAIHLRQLPYD ISPADLYDIFGRHGTIRQIRKGVDESTKGTAFVVYDEIEDAKNALKQLSGFHVSGRHG GILFEQTSLFLSKWRLRLDKSFVGGSLLDDFFEKIGSLFYFLSLKKCEQTFSHSTMME INLFVKSLPKIFLLTGSIGSDLPKTVTFNVSIDKYVFGANPPASTCLNNGIRDLNNEL AVISKMGRCLQSSLHNGPGLPPAILVSFGNVNAGQYYIKSNNGYDANALNQFAVLINL ISRYIYIWDYEDIPVVSFFVSDVDSYVGKDSNMDSQLETINLKYILKRGYGMFFEMDF SSSLLKVDKTSLLRFLIRKRYGINEVALDYLLKEMIDMHSESIMALSNKELELVINEI DLIRIKDVLNDIELCNIPYTTSCGNFIALVPNGVKNDFFSVFLYYFNFVVGKLDKSIG YSRHEITYFSYNKGIGLCKGGLGDMIGCIKVISKIKNFLLPCILLYPEPSNFQLPVVF NEASGIIVSGGKGCGKSFLVTSIANSLNCGINFVQTADIFNATIGFLEKYLKEMINVC TRKRRFILVFEDIDQYLMRNNNTLFSLMFLFDLVSRSNKWMHSEIMIFGTSKRRYPVC ANVYQWDLREIIDILNKQLIPIVTRFSNRVPIKISHDKVDQLIENVAFLIRQEFTPSV AVGIANYLGLFLLRQLLEYSDKTTLLDLESYFDIIYKTVLNIASKCCKF cubi_01043 MSGLVGSPSIGRTVPFEKNDDWGLNLDLGELETYENRDLKTRLK ELISPFKKTFSSASTLEYLHPFTLKFRSEILEKKFKKKFRKRTEIGIFWIGLLTLFLI MLDILLEIYNQKMNEASNSSVNTYFIICGVVAICSLFLIISFFINFLNKHLEIIFTST TIITTILNITAGNLLLDASLSPNNDYDPAVQNSRSLIVITICSILHFMLPISFISILI YSIASISFYIILVPSMLRPIPNETQYVSTIIYLLVFCLVCILAKRVNVSSSRRDFFNI NLVKAEMQILENSMNQAPKSTMIEGTLANINKVQLFLRYLNTSCTKGINRKLLHDAMV DLTSAQVTLCRSDDIYAVDPVAIFLPQSLQTNVSQTPSRQSKIKVIGSGDATPKENFS PIISPKIKQEIGITDDIRIPISPNSPKLTGQFLSPKSHENKSSYISIDSVSARRNTES RATVTTIPGPSRRRLSMMIANAGENSSTFIDPSVEKVREYITAEFTKSSASRREVLYA SKVFDGSNSNPSNTNEKIKVVSQNSDANQTVENMISRQGPLNEPVVSGDIEDNYKHLL KNKRKVAHFATHSVSKLLSIKNPFGTISSLSQSFFNETNPHNFTLPELPKGVNAELYS DLDKALQLMDNQYSSNWDLDMWRLNELTKGNCLAVAGLYMLHLIASEEKTQELNFDSS SLPRGITTAYSSSITAPSKLIGNISKFNIFFRELNIRYLNNKYHNELHGTNVCHHAIC LTRATGLWDHLDTVERLASVVASLGHDVGHIGRTSNFLVNSRHMLAINYNDRSVLEMF HASLTFRIIYYYGGGAADFLQNWDAELHKEFRRIVIELILETDMHRHFECVSRFRVRR QAVDWDPYGDVQDRLMLARTCLKAADIGHGALKWNQHYKWCRSVVEEFFLQGDEEKAL SLPISPICDRESTDVPKSQVGFLNFVCLPLFQELCYVDVEGDVRRCIDRILENINNWE DIAEAGIQWDSEDALTFVTEEKVDS cubi_01044 MNLNIIRLFRLFLLLIGVLLLLSLPGFDKVTEVKESGFSEGVNK ASVDQGIILDYKKVTQEVLTSQKSGSAELVAESIINSITKETHCIECGDSGDIDIIKN DYFSSSKDKHFYHSNYGYIAAVVPSKRGDSSEVLIISISFPWENKDGEGELGSAAGLI IPLSKHFEKVYWTSKDIILLFTDSNVPNSMGVSSFLKDLATNQRLLKYNGRIRTAMCI EVLSFTPKKVFIDVESMDGLQTNQDFPNAVIREIESSFIYPPISINTRTFWDSITRQA FNKGSGKPHSRFLQNNIPSFTLSLVGEAPIENDQLVDHYKKSQNTNEFISIFPIQQFE IYKFLEGIVKIQSNLHDELHQSGNKYYYTSYFSALSFGTYLIPLIPMLISSTTGILIQ ISKGNSILLIGIILIACLLSSITPILLLTGYITDKMGYFSFECSNFPTERFAYLSVWL KTLTFLVLFSTSLITFCIKVFIKLLGIQKNSNIYRNIIASIKIMNNVFLLVFSVYLSI KNFSILVFLIPIILLIALITDSSTIRRVTIGSIFAAIILYFHFYGKRKNLACLFSKII MRSRYFLIDIANALNSSNLTYNFGFDIKKARNSASIWNSVLGNEIFCHNEQHLIGTNS FCNRLLDNFDQVSRHYRTIKSIPILQWISWLEIKIEKNFCNKKRNLYEIFTENLFDLL RDDRCLKSTMFKHLLIGLLSPLILALSLTFL cubi_01045 MAKGAFQSFGFSPKLLDSIRIIGYSLPTPIQRKCFPSILAGRDV VAMARTGSGKTAGFVLPMIERLGCSHSQIVGIRGIILSPTRELALQTYRVVRKLSCKT NMVICALTGGSSLDRQFESLSGNPDIVVATPGRLFHHIIEAGLSLAAVKIIVLDEADR LFEMGLIDQIERILESIPKNRQCILVSATMPTALASFSKVRLNEPEVIQIDSDYILSE TLKLTFLFTREDEKLASLLFLFRNIISPNERAIVFCATKHHVDYIAKIFEANNIIVSY IYGNMDQEARTMHLSTFRKNKSRALIVTDIAARGVDIPMIKYVINFDFPLSPKLFVHR TGRTARAGQYGRAFSLITSRDLPYTMELCLFLGLKMTTLTCNQVTDPSSDYLIAEERS ETNAYDNSFSKELAPSITSSSSLSNLNDRSRLILASFPDLTFEIESIERILAENSEIE RYRRSMESAYLLYLKTRASSSKESLKRSRELLDNCGGVSKILMTVHPDLNISENETHL DMMNNPNFKVISDPLIDHLRSFRPTENKAYENKHGYHNQINGKMVLKSSVKMKKIKDM NIIAKSILNEGKFSSNTNIFEGQFNCALDKISQDNNTSQTVNKKRKPKKQCNRVKEAE HFFIPYKNSQEEISRSAGLQLESSSFDINPDEEGEMKKQKCVRKWNSKKKRYELVDNL RQNPIKKVNESGVKVRGELKCTGQYKKWKANTNLKIQNVGEIEDKELNKNRGKNRPKD KCNINDSNSSFDYSNVHDKYKGIVEAVNNGIKLTHKQKRIAKRIGIIRENIANRGGIL QNEIKTPQQILKKRANETKKRMRNDPEYRRKQLAKKSKEFMEKNLKKVIERGKPNRSK VIIVEKRR cubi_01046 MNDRNRFIWKVVKIDELEWLDINSGTLSYNCSCGDVFWTTLTYL EEVAALETEENESSVILQCESCSLNIKVTFNRKSLNEIRKTLIK cubi_01047 MYNVIPKLNLELHKKYLVIVTSDALRNYESYKTQLSSVFIHGIY WVLCCSDLIKLSIFDELHVRNNFHDLLRKCKREVYIDSFSSKIYSANPLDFISPSILS VLSGIQINTIINNKILEENKYETNAFIKSLITLKDEKIYINNSKSCLNQLDIRFIYST LLVYYLSNYPDLTQLESVFPISKLTELLVTMQNKDGGFGKRHKDESHAGYTFCAVASI AIIKKMTKNDSIYLLFNIERLIRWLLKRIIISESHEITESQSYCFNGRIGKKCDVCYS WWVIASLKIVESINTNKSMDYSLILNSNILKGLINGILCHQNNIYGGFQKAPFIIGSK DCSDPLHTFLSISALSLLFNSNLTQSNLEFCELKKLFKTLDPIIKIDPVFVLAEKYFN NIIS cubi_01048 MKKTKTSNVASGILSRSVLKGDPVFSQENSSNKKAIKNDNKKRR KLSVSCIYEKETLNDLYKKINENVPNKIFSKPATNQKEKGLKQSATKGVVKFLNMIVN YQENLNSKTNKSANNQSDSGICTEIRKLKSAEFKIKPNRFGAVVGMHSDN cubi_01049 MLGGGGFGSNQNQQSSGGIFGASTSFGVGIGQQGVSQNINTVQQ PSTGLFNSSTPLFGGNVSPGLFGSAGSSGSVFGNTGQSSVFGQSSNGLFGQSMGAFGG NQQIGSSQPSMFGSSSSSTICSNSGFFNTSSANNSGGFGSFQNSFGASGGFGSQSSQS NPSNYFGQQNNGIFGSMPVQTFGNTSTSPFGGTTGTNTNIFGSKKGTNGITFQPVVDR DSDARIMSIVYQKDIDQKKSVEELRWEDYQEKRGPVNSLSTINTNFSTNNNTFGSGSF SSNSGGFGSGPQGSSITSSIFGQPQTSFIASTTPQNSLFGSSNSSSALGQTSILGQGL QNSQSSLTNPQFVSNNSGLFGTSNNLNSFALQSSTNSSNTGLFSTPNLFSSNSNNSNT INTSNSLGGGINLNSGNLFGQQPSNLGSLDSSFKTSNSLFGGAQTTSTNTGLGLFNSN SNTQPQISLSNSSLAMKPLFGNQTLSNSNLGSSTAGGTNLSLSTNLFGSTLPGSSSLF STNSCSNNLFGSTQPQSALNNTNSGTTSSSLFGKLSSSQPFSFANINPSNSSWTSLNS GVSNATISQTNLSGSSPMNNNSIQLQNILGGGQPNKPTSSQGSSIVLGNGLSTSNKLS NGIHSKPITQNSKFSDSTDRGKSLWLWKPLPQFISRSNRYQIDGLSNFSQEGVTNSSE LALPALATESARHSTSLLTSVRRIEKTIDPQTPAAFLSLLERQQQFYDAVQSPEQSSN SPVNSKTKSLVFTTSHATKFVPIDEAFEDAIDDSSSATFSPIVLKAENKSISDGSKSL INFDSISRISQDVQISKNDDSRNSIGRVSIESNNLARTPYLNQHRSSVNSIPAVSNER QARASIGLTTPRPITRRPGQLIDNNISSDVNKSYLRKQKEYIVESLTPVLTKTDYFCI PSIEVLKTFSEERLAIVEDFKIVREGVGEIIWPGVTDLRGINLDLVVSIEPLCVSVYG DGDSSIPVGEGLNKKAIVTLKNCKPKRVLSSKDPQEIDNFNKNRVKQIKSYTEQMGAR FISLDTVTWEWKFEVTHFSKYSFLNEDSPLTNFGEPQSTITNDTNLIASPKESLFGQH SKQEQPSDHFFAKTSQYNFSLYSEIRSIVEKNKSLKSAYNAISFRSGMYIGSNGFVVI PHRSFFKSNHSISDYNTSITMFKMNQMNESVLTDTKNNFLCSRRLVSAWFRLYLEIIG SETIDGCCEISIESITKLVFLFWNITKKEFEFSSYLSEFKSNSLNLEYLKYFEQSFSM IGGILELIIKDTNSHSEKRLETSLREFISWWLKMTSKNIPKIKVKKCNQSSQDLHEEK IHFLFNHILNGNLFDIVQNDTNLSYLTIPRIVSLCLSIGNNRGSIFQIRENLQWWSKI GIIPDLTHNSNKIYKTISNTDAYVDDFAYEWQTNILLSVNYSTNSKLCEKFNRIKNIP LVGDKREFGNLNNSDRLIGMEDTYEVDEKKILFDQIQFQIIKFFFCDENSRQAELFRL NNSGSIKFSSSFIWLITRVLTLYNNISCNDSELKFSNKLNLALIQELEILGLWEWAVY VIIHTNMNSAFGLLNCIIMRNIQENPNYLNPEDYLNDEKWKFLVNRLGVPSNWLFEAI IYKLESNGNVLQSLRCTLHLINCLKGRKHEVGDLFFHNSDQISTINNLAISAAKKLFD ASLLPTTLIRISVLLKHIITEEETLGDVNKCYDIYQLNAAEDLQLIFCSEGKEQIVAD IWQTLELILVISNQYMKFAIRLLESKSYSINQDEFKDILSKLDKLVVLRKDSSKCLFE PCISNIINDECWDIILNTIEIVKHLSKVI cubi_01050 MNNNVVVNGTGAFGHDGLTETDIFDYCRGIKSCGECQLSKFCSP CIISGGSEFFCTSKVDSIVSCRQNNISNICSSAWPWWIYLCIILLLLVVLGMILIILY KCCTCFFLKVQETELEDLKSEKKLTSFGSWAIITGASDGIGKALAKELIKEDLNLILI GRNEEKLQNVVNELLFLKKSDSNQEIRYYIMDFTDPTCYSNFRRYLDTINDIGVLINN VGVSYPHAQYFEETSIDLINELIEVNVRSVLMMTHIVYNHMKKRDRGAILCIGSGSSQ LQTDPLYSAYAATKSVSESLCRSLRAECESGNITIQCHTPMLVTTKLSKAKKETFFTI SAKRFAAKSIEMLKRPPSVYSTIVPYFGHWLQLLLANMIPRPIWNKIRISQTKYIREK ALKKKI cubi_01051 MSKQEGLEKVDDVCISESIASSEVVTKYYTAAEIVNSTLQYVIT LCVDGADISEICRKGDSLIEEKSSSVYNKKENGRKLDKGIAFPTCISVNEICGNFSPL PAESLKLKNGDLVKIDIGAHIDGFISVCSHSIVIGVEKISGKQADVLKAANAAMEVAI RTVKPGNTNTYVTSILNRTVKEFNCNMVQGVLSHQLKRHVIDGNRVIISKETLDEKVD EFTFEENEVYGLDILVSSGEGIPKESDYRSTVFKRAIETNYNLKSPIPRQFLSEVNKR FPTLPFSLNMISDEKVARLGVSECIRHNLLYSYPVMVERQGEYVASFKCTLLLFPNGS KRISGLQFAQESICDSELKVADEEIKSILLTPISMKKKKKKQVVS cubi_01052 MTISESESIKNISVPEHKAYCFNSWPLEYRRVKLYEVNPENGNW IDCGTGFLKLNKGNDKFNLVVLSDEDPNFGKEIHSQRKNTGDLLEIDNIVKLVNTPID LNREYCHQKESIITWQDGLNSDLYRALSFQHSESCNSIWSIITSLVPHLCVDLLEGEL PEESTNYMVLDRPTSNNIDQIIDDLELDSNILNSENIVMDVLSREFLDSLFNLMEEFE QNSDIIGLHKIFQVIRRIIVLYSSFNEVFETLLSDEYYLKFFKACEYDESLENYKIKF SHKKFLENVKFHSVVPLPEVRTIHLNYRLMYLRDVVMPRHLDEQSLQKIATIINNNFS SIISMIITTSDIWKYLKENIQKNFLVAKFIHAVLTVLKQNPLLSVYERHQVFIHLKAN NILSEFYGYLNENCIGAKQMLELSSSNDVCKNISSNADLSLLYYSNIMPIDLAVEVYS MVCEINPGLLRHAIHESTSQYFKVHKETNLHSSEIGENLYIDSGIQRNPEESLEEVVN LSNGSNNFHSLWATLCEIFINSRSESVQVQICTIFKRILDPKTMDVPERDDSLSLFYD MGILDKLIDNLLNESRIFTEFNPLYSARVLFCDILATCVQEHNYRIKYKILQNQLPQK LLRIATRPFHKIFSISVVKFLRTCLGTRDDFYYRLFTKYDIFKYIFLIMGQLKVPRNR GEGSILESVIIEMLDFICRNNIQLIMKYLLENYIIVIRNLNFKASKGSKCRVFSRILE SFSAMSKAASSVNSCEEMSLNILSSANTDLNMTAEQNEKSSHLIYEKRKAQKQKFQCL DRLSSSVGSFNSNIMKETEDLKPKTVDQIDVIPKKEVSEIFENDFDSFHKYNNEQEKR LIRRKKIEIQLKLSL cubi_01053 MLTNQEEIENSLIGTLLGVSLFDTDNPIENPLTKRVFLSEYRNE LLIQEKKITFLFDDLENIIFHRLKIFFSASDSKSRIKAYQFLVDSYNKFINESSVPKK IEINDRILKLAQFFPKYCALIIQCPELFEIVSNTNDCAISDLFEISVPNSFFSTLAQE MEMNDSKLFACIFTEYIEKQIGILKQRKFTDLKLHPIKNIIFLSQFKQTAFILTQTPS FIKFSIANQETKVSSGLIQQQDSLLGCIISPNPIDKDFKTNENPTLTKYFSGLLSKTH TYINQSKVLIKQDLSLVYEQSVLVIKNLLKANTECRRMVIKWMGIICTSNENKLKIYN SIPDLSVFGNTQNSREVQNNLRSLILRTSGILTNGFSINYLHIVLRLLQPVKIEKVSE LDCLFHVYGRFDQLNDVGGEIHGILGDLVNDATLGEKDQIEIALNLARRKLIHFNEGD LKYQDFIKFPTQIFWISCKSIKCFLQPVLLEYENILNDINEYRSGFTPGHRYKNDQHL EKLSGEALLLECTVFYQNTISTFWHFLSVFIHFIFRTIYSFDENGVENCDWNMLLASK QGRITLALKSIALPRKPSPQFSSLPSSLIEDILSVTELMLRIKGNDEIFIGVDFDSYI SFIVFIINNGSYFRNPHIRCQRGVVGLHYLLQIHQFRHRIESSDFTAEYILPALISLF NDVQKSPYYDRFSLRLPIIMLFESLLKIDLHRKRLQIFVKQRDESFTKFIHLLVSDLN YLLEEGLSMLAEIKKRESRSKQAPLAADSGNAEPGSSNSEANSFTQEGIESTIEEMPV ERLEHACKGYMQLSHASASLLQKITEYYTHEILDSPLILPQIVTCLNCTLDRLVGPKC LELKVSNFEVYNFNPRQLLANVCMTYVTLAFNIKVEGEGIKKDVSKILISEVTNEQRY FKIPTFIKAYKIARREGLMNKSKSEDFNQLIKCLQKELEENEAGQGIANLDETDIPEE FLDPIMQDIMQDPVLLPTSSKIMDRKVIERILISDGVDPFNRLPLSKDELIPQTCLKE KIKLFLESLNDS cubi_01054 MSINKNVLFPCMKKAECNIFCSNGDLKENYNPYGCIDIMDKISI FHIPNPQNNQKPKKIFVTRKECCKLLDISIDEYLFINQNLCGLKPIIKCSGKIIIKMS VTSVIIACRDVWILNPEHIKTIEIIERLLECTGYNISVDNNTPSTKVSFADGISKEFI SPLVENCNYNSTLECVRVNNFDETDHTLSNSALSTYTDVNTLDNSQDDKRQGSIECSI SNTPQEKNIDIYNYRFIPSINKDYYSHSFAAICIELILEILNKELIDNINYTKNEVLK SSNILIKSSKWYKYPWRFTFNDFTNKKMISKSVSTLEISISILIDCLTEILEEIKQNR NNDGNKLVICIEEWEDILHCYIPAIYLASKELTEVKSSITFSDELSKLYLDFQRNEYM YTNLKISLLTLSCSITSVITGIFGMNLLTGIEHDPIAWYIVTFVIVTPILLWGVSCWF TGAMCKREINYISQNQSEA cubi_01055 MSLQMMDQDFQHILRIMNTNVDGRQKIMYALTAIKGIGRRFSNI VCKKADVNLSKRAGQLSQEEINRIVAIVANPLQFNIPSYMLNRQKDVKDGKYKHITSN NLEASLREDLERLKKIRSHRGLRHHWGVRVRGQHTKTTGRGSRAAAAAKKR cubi_01056 MFGEFKLSRDQNIYYIELSIYLGSIKVTISDDNRIDCRFFSLAF DIEPSFTLENSLQEFSKQTQHIFDDNDSDTDEFEKTQFLTNWYTITDTPIQTTYDIFS TEINGIIKECAINQDICFNLKLPVWVSNMAKQFYNLYNKIGFENFLLTVLQLRITIWA TNNTAIESTEMFEVGEVVIKVSENHLLSKNSFYFIHRIPDHDVFAIKEYRKKGEECTI GILRIFFNSKPLIMKNINQNIKVKDVYKKGILSYDASKKSTVQKLEINQKNIQEQLHD FVPLQWEYLDDNNVIRGPYNSIVMMSWIIKGYFAENNLLRLFEIDQECANKSVITRKF EKFKSLKHYFSLIKSDVSRIFRYSPDNELNKELIVRKSINENISLHNTETINKPILQK LNTNFTSISYEKKRIEIENAVNMLKTQFSNIDKEFQNKRKVKDKKKDNDSSKIVNKSS DEFIEFATKNVFRQKNNILFKRWSVEYGPELVLEACAIRIQTAYRKYIRKKHEQTNKN SVMYFKNWKKE cubi_01057 MTQTKDIPVVDNWEDLLNSDNEDSFNNNSEYPTNSNKISASSTS NKRLSDYEEQRRCQELVEEMDGNVMDDLFDGFVEVRKATGKLPGNNSSHTISNLSNQQ DALSNLNLNSYAKVESTAFKIAECIKPALAKSPAWLRFVDICLNEIFQKLDLKDLKTL KKKVDAQLLTREKEEREKSQQKKKPNDFSTLSKNFREELDIFDGIDSLDESDDY cubi_01058 MNQDLICKKINNLRIGAEPSLRNNSSRIERILNDGLPLFSDEVG SFTSNAEKFYISTSNREIENMKIEVRNYLNNEKWDLINKQYVNVLKNLDSLTNIIFCI QKNYSDNIQYINISQSYSMASTSETLNARSFRINEASKNELLISIYKRLCMLKPFIEK INNEILRIPDRIITHKGALSTLNELLPIWKITMYSQTNSETQCFYSHENILSSVVCLQ LPLKNIFKQKESFTSRPMARIDHDNLFSDVLVNLFFNNKNEFISYNGKCNLHVSIKLP EFTSYLINNNYQLYVKIIPNRSPAKNNTYSTTPKVDFPICIKSTLAKQIHSILHKIHW TLIDRSIFHTLMQQIANIQRDNPEMIFIKELSSENITFSINETSLSNDANYYNFGLEL KSISISFKPINNKEDCFLSSNELNALKLLRESFLEIWKHSIELNLDTPLENPMCGVKL CKYSNKLLERWIYKLAKKCKKY cubi_01059 MKPSLRKSITPTNTRSEASQQLKSSLVAINYLSITIDLSSIIFS SGYTPSRLDILNKGFLLLYYTDPYTATMAAWFFEIQGIPAATISGWSLIRICGPITLD EVTEIISFKPFAITFFNYKVKYSWIAVHGIHTKQLLFKYIRNQNWNIVEALHNRNFQD VVEVLEIFNKEEIPNCCNKLEYMLVSLLEKKNYNIENSDQYRLHQKLILLSRCSRLTN EIYLNGTDKKNTCGSIQSPLKRDLMLAIRKYLYSIPIFTEACISKSSLKSLKTEEWYL GFDEKSIYRKENGGFKDCLQTNGNYKVQNNSSLNRNKLLEHILKVILIEIISQFKIKH NNNKYPNIIEISELWRVRFGIDVDIIQLFKIAGLNEDIKNIIEATNNKIPNISCCNCK KLNADSNSEKTTYPKS cubi_01060 MFFDKRKFIMLFNPVRRILKEKYFTNNALNRNWLDSFRNKYCFR LRYAVFSISTSIALFAGYCYQNTNKKLSIKGISEHEIKFLSSISKNMIVINVMKGTKV NRNAFIKELIRQIKSEELNKLDIKIYYVEEDFCSKSVPNVSLYKGYGNIVSNIDLNFR SYDSIEKIKSFFIPKSENILNNHSSVVKNISYNTFENDVINASDKNNPLLLMYYDDYC LMCFLIRPLINSLANKLRNSTKIQFARYNIERNDLHELSPTVRATPTFVLFRGRQKPE HWDEYRPGDLINKIVGIKHGVESDICDNNELAELNRLEQNVFIRFQLFTILNIWSLYL MELQNTLISTPKDQLDKLNFKQIEDLLFISLTFFEDRILSSKNGANYGGVNLGKVISY DKIIEKHNFQEILLENVKKDMKRSDTIEENIEHLLDEIKGYCNDYLAIKSLIEKN cubi_01061 MTNNIFQTRSWNDHLNNQNILKGKFSSNERDIINNSVKEYIKSQ HWNWDEGLDNLFSTRGRKKDRHWPIIGESLPNRSLQSIYYCAKRMLMRGKKGKWTREE EQELIKLVNEHGKKWSMFVEFIGRSAASIRDKWRDLHTKVGENNLDDSRCSLNSNSQH EKLLKSKMPFVIDVFVLYCIEHYTGKFLPFKGISWKAIIESFCSPNFPKEYISFWKKC CRKINKKLGVHLQPINGVDLSNYYGKISQGQIRLRYVSSLYPKLKSIQGEQTRSLISN TFSQAVKYLYETRYNYSHIDEIKYNDWPKLLSAHIPLTTLWSRIRLALTRITKKNMHI SDRISILYERLRNKQLQCQDLDSIDSTDSKFLLEDEGDYANENIDQCSYFHVDTKRLR KIVVKLLRTQSKREKRSKNTSRLQKEYSSLQQVFHSEGYIT cubi_01062 MSIELHKVNIIEASSQSHPKFFFNLQLSEYFRLLIDRSIEIRNW RRGEKYCTILQLIKPLDTCDILRWCECLFYLADYSAIIRITQNIFIEGNNYCSSKLKL LTYRSESEFLMGKYLNSIETSNLILEKIKHALSVEADNKKKLFLKQLRIRVLLVKARI YELNNSFDECSKIYTSVLLNDDPINVVSLEVVFCSHKYTVNEKIEIFKQWKDIVPKRY SWISTVVWYRIMHNYSQMIDNFNNNFKEDTNLYSLNSKIPLNKFLMTIPIYSKKMIFL KDKIYSMVNFNKISINSLKTHIFDVSSHLDCEIYIIMQMISTIYDSGYILTVSNVLDE KIPSSPLSIFTMGCYYYKQSIYSKSAHLFRKVIEIEPGFYEAHLLLAHSLSLNNNSTQ AIIVYSHIQDQWKGSCYGTLYKGVEYLKSNNYNMAMLNIRSSIAQFPDNPIVLNEYGV LQFYQKKYGESEKTFRKALEKYNTNIIQNSHLKYILEINMSCSIVWSILYCEEIVFRP RILEVISILERCSNIYDSANIVYLISLLLAISYQINGEKKKSSSKYLECVSLGIIHPI ILKSLHTVCN cubi_01063 MNTYLNTYFFERSTNGFLFDNHIGTGPSICDTNELTMVKTGTTI VGVACNDCVVLGADTRATNGPIVADKDCDKIHRLSDNIFAAGAGTAADLDHVTSLIEG NLELQKLQMNRKPRVANAVSMLSNHLYKYQGYVGAHLIVAGSDSTGNYVFQVSANGCI MQLPFTSMGSGSLCARSILEARYRDGLTENECVELVSAAIRAGIYNDLYSGSNVNILV IKSNSVKHFRHFDTDASERIYRQPKPISFPIGTTPIISEKTEDLSSFVVEISEAQIED ENMD cubi_01064 MARTKQTARKSTGGKAPRKQLASKGARKSAPVTGGVKKPRRYRP GTVALREIRRFQRSTELLIRKLPFQRLVREIAQDFKTDLRFQSQAVMALQEAAEAYLV GLFEDTNLCAIHAHRVTIMPKDIQLARRIRGER cubi_01065 MQFKNIGKDGISVELTNIEPYIIKDLMQSFIYTENINTTKQRNS NFPKFQFSFSKGDNFNSRLYGGCPNCGNSYDFLFLLDGFENKYVTSTYVMSRSYYLRC KICNHQFLKLHDQNVSDSSINTTFYSSSIDKKRIYISGIRKSLCFGTSIKWWAKSNNF GKRIEKELDDKLSKSNSVMRVLDAMHKKKAPKIQEICPECSHNEAFFTQFQARSADEG TTVMYECCKCQHRRVVNN cubi_01066 MSLDVPLNSTEPTKEGRFLSVLNQECIDLKLLQDLLWSGVPKGS PPELRRDAWQIMLGYLPPRKDRKSSYKLKKISEYRLLLKEHIDHDNYSEQEKKILRQI RVDLPRTSIEYESLRNQVILDLMERVLFLWAIRNPASGYVQGINDLIPPFLVVFLLPF CPKNDIGLLDIGKISSERMLEIEAEIYWCLTRMLDTLQENYVTEQPGIHKLIVHLQDI IKRTNKPLFQHLKEQGVDFLQFSFRWMNCLLTREFPIKCVVRLWDTYIAENTLNKTNK PLRSSSITSLDKLSTGNSVISHFNAFHAYVCAAFLLHWEKELKNMDFSEIMLFLQNSP TKNWTERDIDSLLAQAFVLQSLFHFSPRHLIDYSS cubi_01067 MNKLKIALFSLQPKKTIISICEHIGLFKNSALQVDLVKDILNCE ILKKYPLSLIYQKSIICEIIKSIESDGGEVSDELYIYLSQLMGSIQYNLPSHIVLTLP NNLSIIDQHNSNLGNFRNFVFGFKECNLVGMRPWSAAYRLVEWLVHSSKLERNVANSD IIELGSGIGISSIIPFSVLPIKSVYSTDYDPTIISNLKYNFEINGISLNSESEESTQS SSTKRCARVMCLDWETTNINIAKKIIKPLMNPIIISSDVIYDNELTFLFVKTLQFLLS SSYEKKFGFYPKKFVSKDSSNKSVKWNELQEADFNSISAYAISVNTIRNEQTIQYFVD RCIESGLTISRDYTAIPSLFNIELDISTIIFIISY cubi_01068 MDHLAHFWGKATQHVSMFRSGPYSQNITSTNGSRLILNPNHQKC LVKYQNTSKNPCKHVFSTAVDDYFTETYISRTLYLCKLPYDMTEDSVRELCEPFGDLK KVAVYPHKGIAFVEYFDIRKAEGARNTLKSSLVQGRIIGAQYSRGRDNKPSKDTNTGT LYIKPIINDKTAIDPNTEDDYKQLFCAYGEVKKVSSNRKRESEKFIEFYDIRGAEASQ KALNGYDFNGVILEIQFANTHSRTLNSDFQTILSGQGSSMKNQSLRIPNEVKHKRQLS FNTKKKNRLKKCGTSEMFKRLVARNVSDCELYSEFSKQHLSRNRINNHSDFSLSCPLP VPTQVTGNSGEFNKFIPITKHPSDDSTRTGNIAESLKILLSTFKPPITDIERDNSNNS AFSY cubi_01069 MKKENTQIKYSKSRQEGINIYFQEKINDLENKQAEITDLLVKKK NDTDRLIIINKEKSNTINSIKEKIAVMVEDHTSYINEMNKFKKDIQEALEHKRHQLSR IETKLSKLKQLYIGTSNEHNRLKKTFSERTQIKKDLENRLELLNETIKKKYWANTARL SLAQQIELANQEILSWRYRLQRHSILLNDTRRKLFNELQDIKGNIRVFCRIRPPTISE QDSCIKYDISEDASTITIKNSTPRGISLLTFKFDYIFSSISTQSEVFEEVSQLIQSAL DGYNVSLFSYGQTGSGKTFTMMGGKDENEYGMIPRALNLIFESIDRNIEKGWSYHIEY SAIEVYNETIRDLTTTKQKNSEVKIDQFGSATIVGINSIKVGGINDINNLLKIAQKNR SEASTDCNERSSRSHSIIQLKISGKHGKGTDTPNNDSRNINSTLSLIDLAGSERASKS GVTGERMKETQFINKSLSALGDVIQSINLEKDHIPFRNSKLTMILKNSLGGNSKAAML VHISPSLNSINETISSLRFASKVQNCITNRNKEKN cubi_01070 MPNNSFDEVPIRDTIKLLHEKLSKLFYLDSIESPEILRDIGLSI SKCSDYCISSCAEIIIPPIIMTIHNCIRNECKTQLHPTDRISDLNHNNSICKKYSLQI EELLKILFNVLQKINKKTSKIVFSRISMAFSDIIHVIAKVLHIYIQEGNEFIIEHCIK ISHFSFNIFWEYWEYKDINTDTNQYLIFPVTIQFLLTIAIPNNKEFKYSKEIRILSLK LLSEIPSIVKNNEPLIKVYPGVIQKLSMEIINSITKHSPNILEISLLTMIEWISNCLC NINPGTMNYHSNMENIKNTGKILNYIIKYKTFEFNLHGSSTNGHIYYSNDKAYMLKVR SFLVSIASMCLTDLFYIFHDSFDELLETSLDFLVSMKCEDDYINPLVDHVFNSILKNQ SKIKMIFFSKYLQNFVVSFESINTEKLTSVEFYEENNLIEFVRILTKYIGFQKIESQS FQNIISTFHADKFLDFFFRTLITNRSLKMYEKTIKDFKKTSYGFKYESSSLCMENWII KAIDIAEIVKICLIKSYFDDVTNNNENFDNIDYNEIFQLYGDVFTLTFFNIKRLGFEK SKLISGLIIKSISISILNLSYEKMDHKYIFGCLMTYFVKLNTKNKDDYNSMLDFILRI SLCNASQLILDHSVLGKIQFLELQNLERKKLPNILINWFEFTVEELNKDFCLQNLSDG KEINRNILLILLGNLLLIARKIELDNKIFIRKFNKIVVKLISLYGNGSPTTKKITTYV LDQVVHMLGYSSQKFSINTVISEYSVEIIRSLEMMYYKGYSKEKVDLILTALEHCKLE FILELSDIIERFDDLHINNNLWIFEFVAITTKRLSRYILRYWSNFLFHKILKNSEISV FRRKLESSKGLNTAIKEACYGYFVDYMISQENNIVEFYSTHIFTENDIENHTLYHLNF LEDNLLEEKYIEVSNIQKIIERVIQIIYSYTSNIDGKSFTIPSYQHLSTYSLLNCIFI LSTKPASLFPYANMIIPSLINQWSTTVNKIKDNSIGYFKIETIKLRELYQTNIIIMQL IISCGDFAIKIMEEKIIPLITEFIELILANYEIIILMDDKESKMNSEFQKLCISVLEI LYYFTKSYLKLNMAEIKFETLNCIIKLLLSPSNSFFSMRWRIVISKIILNIFFKFPSI INSKLIIQKENNTTIHIKESIIMIKLVENNLATIFNSNINIISETQGELYLKQKINKF cubi_01071 MDGNEMVSKDKENLYQTGFNPTIKLDRKLIFSSSEKHNLWEFFS NNFLLFKFYPSGIEIEKELESMHKMIKIFENWKSLISAKKMSLIDFCKAIEKFTFHAE TQDYRLKAIYKYKSLRKEVDSRKHSLKSDIVNDQIPDLKSETLNQNLGKGCGIEEKLK TRRELALKRRKLLLINRE cubi_01072 MSTALELNSPFSSKELKRVFSIEFGVLSPELIRKYSVLEIKISD IYENGRPKSGGLNDPLLGTTDYHILCETCHMDIKTCPGHFAHIELGKPMFHIGFITTV LKLLRCVCYACSKLFVDTLDPKFKQIKRIRNPRLRLKRILEMRSSSSRCVISSDGSGC GFIQPTYSKEGYNLYINQNQDENRIIDDETIDSKRLLTAEEVLTIFKRISHDDMKILG FDPVKCNPSWFINTVIPIPPPAVRPYVQFGSDRSEDDLTLKLQQIIKLNENLKKQIRI GSPEHIISEMSTVLQYHLITLINNDLPGLPQSRTRSNRPIKSLRARLKGKDGRIRGNL MGKRVDFSARTVITGDPNLAVDQVGVPYSIAMTLTYPEVVTPYNIEELKELVERGPHE WPGATSIIQEDGTKIDLRFSNTSNSSNNLLQYGWKVERHMKNDDLVLFNRQPSLHKMS IMGHRVKILPYSTFRLNLSVTSPYNADFDGDEMNLHLAQSHETRSEIKNLMMVPRQIV SPQGNKPVIGIVQDSLLGIFLLTRKSTFLTREKFLQLLLCIPYWNGNIPPPAVLRPQP LWTGKQIITVLLAFTTDLGEPIELNLMRDGSIVSIKQSNNSNADKDDSKETIVNNPWI SELDNQVIIQKGEHICGILTKKIAGSSSGSLIHILWNEIGPEKTGLFLTYTQMVVNTW LLEHGFTVGCQDIQPQSFTLEKIMNSLEGSKLQVQQIIRRAQKGKLDCQPGKSLIESF EAQVNQELNSARELSGTIATENLDSSKNNIVAMVQCGSKGSTINISQIMACVGQQNVE GKRIPFGFRDRSLPHFLKYDYGPESRGFVSNSYLSGLTPQEVFFHAMGGREGIIDTAC KTSETGYIQRRLIKAMEDCMVQYDRTVRNSNGDIIQFLYGEDGMAGEFIEDQVIELLQ MEAAVFQHKYRHNVEHPRWGSTWAGVQPSLIKNLQFDLESQNILNSEYKQLVTDREQL GKDIFPDGETRQHLPININRIIQMAQQKFPQRVSDASSSKDWGPVFIIRKVESLLDRL LLWKNVGIINEENNTNKSNFVFDSIIAEVQKNATTLLGIHIRSSLASKKILEVDRLGP TAFEWILGEIEHQFYRSLAHSGEMVGTIAAQSIGEPATQMTLNTFHFAGVGSKNVTLG VPRLRELINVAKNVRTPTLTVYLESGIANDQEQAKDVLTLLEHTTLQNITTIAHILYD PEQDKTIVEADKSWVSDYYEFPDDADITNRLGTWLLRIQLNNKLVTDKKLSMKEIGDK ILMEFSKDELDCIWTDDNSDELVLRLRIKNIEPNANNVRDNIQSSEENDSISPSITSG VEEHKFLEKLMTECLSQITLRGINNIKKVYMKEEQVSKYDSISQKMVRDNQWVLDTDG CNLEAVLCHTAIDSSRTISNDITEVFSVLGIEAVRRALLKELRTVISFDGSYVNYRHL ALLCDNMTQKGHLMSITRHGINRVDKGPLQKCSFEETVEVLMDAAMFGETDYLNGVSE NVMVGQLAPYGTACFDVLIDPDKLRDTATHLEIHGEFAKLGKFSTLSQTTRLYSENGS FESDSFESPMSPLSPMSPLYQSYEPMSPMISGQFSPVQMTPRSPMSPVSPGGIFSPVP YSPMSPSSPLMDYTPTSPIYAASSPTYNTELSERARKKYKVNNTLSSYSPSSPLNSNN KINNISPDISPTSPHYSPTSPHYSPTSPHYSPTSPHYSPTSPHYSPTSPHYSPTSPHY SPTSPHYSPTSPHYSPTSPHYSPTSPHYSPTSPHYSPTSPHYSPTSPHYSPTSPHYSP TSPHYSPTSPHYSPTSPHYSPSSPHYSPTSPHYSPTSPNYNPASPNYSPTSHYSPTSP NYNPTSPNYSPSSPNYVSNSSNSPRYSPSSPHYDPAAVTSVPKSPSYSVDKMVQDAEY SPSSPLDEVKNS cubi_01073 MLINSKYEFYFSLIEIINKFIPFREALNVIKIALNIIKNHLFTL TGGYWYFIIFTTFIASITTIVVLFYLQRNNNNNSILRHIKLRHNWVLYETPEYPKYCT KCSGIIKKRFLLMRNYEGWQCSICKRISHMHCIMQSDSEYCKDECSSNYLIKSGSYIK FNNKNSSSNNASDNFDGVTFQQKIHYHVLLKGNLHSGAICSLCRTVCFSPFGLYGQKC IWCNRTYHDECAENKKINQQQCDLGTLKYIILPPNSFVFELYSLEKGENSTVNTKPSI FDKEDKNNFNSSNLIGGKINQLNSTPIENIIQANLTNSSNRKSVSHYIQIFHKSNLIK KKLRFFDDFINANLGKPLLVFVNTKSGGHLGQGLIKNLYIYLNPIQIVDIQNSKGPDE ALYLFKHLAEVKKLMILVCGGDGTVRWVIDRCREIYGVNSNNLPPIAVLPLGTGNDLS RTLGWDVTFNGDILNFLKKICTSNIKQMDIWTCTAWDLKNEDTNNSNDYHHMLFSSTF INYLDIGIAARIALKFHNLREAYPQHFKSRLGNQLVYGEVGFRDFFNKSIQLDGLKIF CDGREVTISNHVGSSFLSKSEESINSLGVRLLNENNYFQTYYNLLIIYKSIIKKYINW FLSRVADIFGLGSSLIYCEINKKTVTEINSHFHPRENRLEGLVICNIPSFSGGVNLWK ISNQISKKSFKNRDSSIIRRTTTLTSRKIGKGLSDRSMSFSVDNFKNSGYLSCSDSEF SEYDEACNTELKLREGRRKSTNLLKSWFSKAFKQGQFPQLNDSEINDEHIKLGISNFH AQKIDDGLIEVVGIRSLFHLTQLQVGLTEPIKLCQGSNIVIYIPRQLPFQVDGEPRII NKCKLIIELSGKIPVICSEKIENALSLSVQNALEQAVQKKIINTDQKAWITEQIIQEN cubi_01074 MNYLYSGDNFGTIKEYNITKILNSDELSTDSITKYSSKPIKRTT FIYTNKFILNEKNQSKNMLIGYSDGKIDIILTPLNNDSNIPIISFIVNGEVIFIHDIT LNYPNESEKGNKVIVTITGSKKLYLLRLPQINEGHPYFQSTIDLDVNYEDACSIYTDF IIEKFELPCTNLKCVAYNNTKNCIAFGGYESDIKIIRLDTLELAWSSKNIQKNMLKHR MPIDVTKLTFIQEDPEILLCGTGYGEIRLYAPQLQRRPIINYVIWEEKLPVTSLEIIK KWSKLKSNKNSHGSVFAVGNNKGSALLLKISDTQVDNHKNLNFLMENRGSALNKKNIV PLIRECVPFNISIQQSEKNKLNIRSNHRIEISTIGSFKGIMGGITAMSYFKLNTQGEH RDSIFLAIGGLGRYVYIFEVKKRRLVKKIFTSQKTTFINILE cubi_01075 MFGAAVLAAAECRIDDLSSLINDPELRKYWKLILSSIPETFHID KYKHIIPKPTSIKNGSSFDENNTLFNNEQNIFYDSVESISQWSIERCFHIVKNTLSIN EFAFPFLTFMIQHLGFEILNQGALLSDLQKKYLVSHYDSILMLYSFYSILEQYRIFIE KNTKSCKQILLDPVNFILNSPINRFKMVIEQFLDSSYSELSSLLSTLFGSYYSISYLN SLYDKQLSKDNLKSTKILKCQPLVQKYSSSGYFKQFYCKNTNFSYKCENNLKNSLLLN ANTSLEEAVIDYLFDVKDDITVDFFCKISKILIKNSKLTKHLTKRYITCPIRVIQFIL LCINGRESPLLNVNALEIQEYVDEIYECTPKSAIIIKHLKKDLIVRELNSSILKIDDQ HSSDYICCPFCKNVSVLYQGQKSIQSFRNWLNNIFFSIESIEKHQLFIDICRELPIKI IKEITIYDIHKILYNPFNAECFLINLITKLPTFYTTDALNAIISLYKIIINSIKLPTY PLGSLINLLFYLLEKILITEDSKIEIITRDLMYHIKENITSINEHEFKNLFLKLFNHI YCRYSEEPLYNFSRFANILSKEIVLENRILNSQFRSISENIYISNIINELIKFSPKKT EINSIFKVCSESELEKVIYSRHCNNFEFIYYHPNSVILEDKKENILVNLFLSNPSLAI ISNKSIIWDKLQFLFKKFLFTDEDKIIWNNLIRLQISTLLVYGFYNLAIKILFDSVSS KDCINDEIVELSYYLLKNSKDISGISNENNSLLNNIEKIILSDSRINCLSLFLNYKCT PTHRKTVKFNKKLIQDNLEKCFLNRFYYDNQDSNVTIFDLFLNNKYIKSKVKYSNLLI KEINKYDLLICCSTDLLFNNGYKSKLIINSVNINQRIKILVCFSLISILSVKDLIPDT IRSIQSLFLIISTICNYIKVINKVRCLKSTKVSVSKLLDYESDLPIYLTEYNANVSLE RWKLLLNVLPFNDIVPLINKIDQIYSKNNLTQKPNLLFSYLEIYTLTKNFIDRNHEIK IPVITSHHIKAFMNYPMYYYKTILQIFIQSLEQESIRHLFISTHLLVLKNLSFNSKIT DKISFIIDNNQFKAFTKIYKLLPKYKTSIIRQVVTGKKCFVDLFDFSKVWSNYWLISK FIKFINKNLLSSFSYKLLNYIFFINKKFPIKLYKSLITLNGNCISLILNDLFRNLSEN VDYTIKFVGMLPNDKQKLEIIALIISHLAKDSSYNDQEKRKLFTYLNTLILTTKFTLF FPNFENRTNGLQTVPYNQILIFVLDLFMDSDYNNIDILILNILRPLKINFFELLSESI TSHLSELNKIKHNSSTDLSDFIKSIFEKADSNIFSSYGVDFYDFIFNLYNSILKNMEN NYYLVIIFSIELVSRNLFDILLLNKLTNQLIISINALLMKINIHIYCSDLAKFLGGIS NLTLNQHSKKLNCSFILEILYLLLYYIYVLNKVNISLMDEHFQARSSNNEILIDHIIF YKKIVSEFTLNMVTFISTSMVEIQFFNYWFIFGETLIDSNDQKLFFDRNKNNLFIGLN SPFRKHALISITYARMEEVLASKYYKLPCYNIRELICCFNIILNSASYVFLGTRLFSN LIIPVLINTKKEDHSLALFGKRICKILNINYSDSEELAVIGVFFKTLLIYKKKIINHL IPQLFALKSHNIVAEIVASENNILRYSHISGKYMFKILSKLFDKFYYINFDRIRIEIE DEISNGFVQNYLNSAVNNFLYDVRLNELSGFIYQKLLSKFYAFLFAEKQHFFEIKLVE RKVISTANN cubi_01076 MNAYTEVFQANNLDLAKQFLSEDYEISGELFWSLLFWKMPELFF LSVCKSTQISRELLSNSLNKMISNDFDNTFDSIILILAKLSDLLEIQAVKPNESYSPN IAVPNLQKIVFLYSTIIYTIFPYINEELCSRESQKIQLLSILNKTKKTISNFNLIYKD FDRIIKKVSQLNEIKYGLLKGVLSGITNQITQVPIIQQLTLE cubi_01077 MSFQAKRRLLRDFAKLSNNPPHGICGTPIDDEMLHWDAVIFGPE GTIWEGGIFKLDIQFTEEYPNKAPKIKFVTKVFHPNVYNNGNICLDILQQHWSPVLDI CSILTCIQSLLNDPNPNSPANAEAAQLYIENKLEYYKMVQRCVKESQEEVMTNN cubi_01078 MDSFFSRINIIFCSFIISLACCAAGNFASSFIYRELPIGNADLH STLDLGISPYLRNDQANIALNIDTELSSSLNWNTNQIFTFIYVSYENKHQNNYVTIWD DIFSKDKNKTSFSIKGVINKYPIRDIGRNLRSKTINLNIGFCYMPVVGNIKYHHLKMS NRKKLPGNYFQYK cubi_01079 MGKKKSNRKQELNSALQRNVSIGNSSAVLDNNLDNFRSSPNSLV AYFQSFRWAEVKEALINLSVILLILAVFVFTVILRAGEEFYSVHGKLNKNENQRNYYE VLGVSKKSSNSEIRKAFRKLSLVWHPDKNPDCEPCLEKFRDISKAYEILGDDEKRQIY DTTQGGEIEIIPSAAVTLTSDNFDDLVTFQTTNSWVIQVYTDHDELCHYFSSIWEESI EEMGKYYRFGRIHAKKESLLLKKLPLNVKVFPAIFIITNGYPYEIYSQIYDPSLESFL EFLSNSFPITLSLLNSEKSMQTWIKKNNAYKPKILIVSNKPFPTLLIRSSALKWSSTF EFAYFHNSKKTTTLYKWGIETSKPYLVAFPQIVDNTELIPRFVIPLGDHSNEHVLQTR FINDHILSLANNFTSLTQKLEHSLLYLQQIYVPFVDSNNAKNLCESNSLNRIICLMII HDKLEDGQVQNQEVIQALNISRQDYIKLKRNSENTSNGIDEEVDEDIGELFIQPIQVT LSSKVSGIPSMSKIKGFMELTRNVTNSGFLLIDFDGDRFSILEALTDVYQKVFEEEIF WTRLPQVCTNYNSNNLYRNCLFGSSEELSFFQMVSALLKLRNILYIFIITTSIYFFKK EIKISTNK cubi_01080 MEESNQVSLSLLTFLRYEYLNYCINKSSELITDEKNFQQENDSK KLSISGIQVYKDSLIRELSMSTLEESGFYLGIRLVERLKTNRRGGYAILDNELMWLSK LPSSINISSRKVQFEPAINVIIVCGIIRGALQHLGLTCSVAAEVSKIPSCTFQIRVYA PSK cubi_01081 MHITGIKGNSITPLRQPFETFVTGNDETYKSVERWRSKYYSSND DYLSPSFLDDTCSLSSSPIFHDETPNTVISTPVTSPCNRNKNSRKVRVSIDSVHTNPN ENTPTNNLDYKRSASVSTSILTPLSAKIAVHDKEGFLIPDLPGTSRRRSYRSHKRNDV SESYSNYLSSDPVHITMPPRLGLPSQTPLNMRDQYRKSPLPVVSANLDYRTVYMGDKL ATRARDLRRNYVASPLEASSLYQNNYEYAHSYSEDPTIYEEDLILHHGEILRNRYSKL HRARSSASHVGNDLRSRSLSSYYSESTSRKTFSPEYRSNSVINNHRHSVFGSLRSNNK RRRLGSYIANQNDILQQHIQLIDKSLERYSNNDFVHVENGVLDSPDSNKNCAHESSSL AESRFSLSSELNLNIEERLIKAASRTSNEPKPGIINSQILSDSESINSPGKNMRKSNL QDNSQPTDKDIPFDLAFETSQANEYEEPGVQDSSILAIPNASKGLSPAGSNSSLDEVV PHPIPNSSETLSQDVNDLSSAIDSFTNDNNFAENKLDKSNNVKTKKIRANNHKKHTRG KTVNRRRHIDYHIPIDQVKPPSGSNTKQLLDEPSNFENSSRRYPKRLRTAPLKWYLGE RLEYQRDERNELGYTIAAIHKVANPKMLPNERGSIHPNVETVANKFPRESNTDQHEIC ETNSAGACPTKSRRKSENLNISKKIKSKEKFSPEENKDKSNAVVLKDCDTNEEFSMIS VFDRSKLCWADVEYTAGKPYNVALSFISSQATCCEICLPPLTEKGLDESQDNYILGHV YMAPDSKSLQIMISDNNVYNIGIGDWFLIPDNTNYNFSNVSDQSEIYISLYVIKDS cubi_01082 MNLIENIVLLNLGKPISFNPLNWIDSFQIDKYVIKPSKRKYDII NIAKNLLDVEELGASGATITDIFDPNDSKYIIKTNVKYLLVIEKASIFQYLMEREVYN RIPCLIITGKGFPDISTRKLVSDIIHKSGITALYLGDFDPHGINIYLTYVRGSNNFES QMAACPSIYYLGIQYEDTKLLPSDECEMLCQQCKLMNDNVYKCELEAFQSISSDYLVN IYLPGKILKRSWI cubi_01083 MVKITNIENGRTIILNNKNEDSFVHSFKKLNVIKTARYSLLFFL PKNLYDQFNNVANIYFLAIGIMQMVPSISSSGGIPTIALPLICMLCFSAAQAAYEDWK RHKADSEINNLPTYAYDPIKGNFVVTKWGKLKNGDLVIVKNREIFPADMVLLASSQND NRVFVETASMDGETNLKLRESPRILFNNGIRNISDILTIFNGKIYCGDPNDHPKKIDI NLKFSLKKSGSNEKELSFSCNSSNMLLRGCKLRNTSWALGVVAYTGHETKIYQSSFRS APHKMSNVQSLYNQVTVASFIFLLFICLSFGIIVACIDYFGGSEWSKRFIPIGRLEPN ESVLKASIITFFTWIIVLANLIPIGMFFYLVISKVSQAIIIASDSRLTSQNSTVVRNS DLNDELGQISYICTDKTGTLTRNYMEFKTVCVNGNVYGSNHHEMKQDINQCNNGHTEK QKITPHVDMEDEALRKKLKEGRQEEIEILLNFALNHTVLIQENEGVDIDEPPLYSASS TDEEALVLASHHFGISLVSQNCSKSTIKFSGIGTTQTSVEFETLLRLEFDHKRKRSSV LVKFPKKIFSYESSDSEGYRYILFSKGSESAIKECCLNQENSGKTDKTFEFATEFATH GYRTLCFAKKELEEDDVIDILKSFKQSNNMNSEEVRKFFESNLTLQGCSGIEDILQYR AQETIESFIVSGIKVWMLTGDRQETAINIAVRVGIISNEMEVVIFDENELNSFSNTPI KESFCHKSSLFDRENNLFNFSNIVLVIDSQILEKALTVDEARFAKIATKCSSVVFSRV TPQQKAQVVKLIQEFTKQRVLAIGDGGNDCTMLQTANVGVGIHGSEGMQAYNVSDFGI SQFCHLQPLVLIHGRLCYRRTSILVLYNLYKCFTLNIVSVYFGFASLFTGSKLFFELL FQLYNFLYTSIPPIIFATFDSDIPITLPYDYHEPLYLLGITKPFFSLEIFGIWSLNAV FHAAISLFIPYFILGGNNPISNDGYVPDFWITGLAVYTNVVIVVNTKILLEAFTSWSL VLFSIILCAFLFFLSILSFPRIFHIPELELAARRAIITPMFIITVAITITLTITLDWI VKIYKRSLYPDTSHILQNKWYKIYKKKYESHRQLLLKHLSLNWLESMRRMKRKKSEFE QIQVRKKSSDIIGYAFNYPDVNVSQLFLSNKTT cubi_01084 MGNIKSTEEDKLLGSRNIGGICNNYSWCDLDHAEIRKIGNIFGI NDLKVENIKKDFNIDLNLIKNRFPVILQRFYLDLFDFYCKDDLGKINLIDFIKFMNSI LYCNKRGIINIISEYIILHISDELDLLRIILSIVYFEINFLLCDSSDSLTFLESYDNE RHYLSSLGSCLDFESIVQDYYKKKTAISYSNISSIDSISEFIQECLPLFTFFMKLAIR VHFGMISHPNNVQNTFSGDSNIIQSESKQSHSIENYEKRDCQKNCPSGRCTCSQNLFK LNCWIDDNSRILSTEHCCILRCQYFGETSGGECLTLFQPWNLLYASWKHGLSLHRLVS LIEGYSSHVLLLIRTTDNCIFGAVCTGDWKEGNGKYCGDETCFLISLRPIFSIIGQSG KGRNFMYINTKYDFSPKGIGFGGEPEYSRLWLDSTLGAGTCMKSDLTYNTGMLYWPND KSGKRNSCLLLGTPYSEENDESTTEINKFSVADIEVWGLGGRNILKEYLEIKATSNYF KQERKVIDKSKFIKSEFDKEYLLGNTYSKSNTHSFN cubi_01085 MKNNFIDENIFKIDDINLLNGSEELINTPCVVIQNIDYTPLQAS IIHSSNKIRNFILKQNNIDINVQNSKGENALITAILHKAPLNFIKELFRRNVDVCLPK DSKYSNILDFADPKWEGYSELKHMVERRKSYNFSYRKQNSEKQIENKYNIKKKEKQPS NSINLKKFGSELTESHKKNNEVTDNILNLSTLNSMNFDRTNLNLATNHFQTMEGNHSP ESNNRTVSKKNVSRNISKSISIPKSVLKPSLQYSNKDIKKDIGQINNMQDINYRNHES ETSFEVLETEDKLKEYKGNVDPLQNMAQNEEEQGVNDPNDETKNDISLELIHERNKEH EVFEKKTVENENYDDKRDENYKKYIGVDDEYMLLIGYQLNESIETSKKLFTCTCCALN RPWKSATE cubi_01086 MDFPVDEFKQFASGYPQLFLNLNQQYKSALENKEHIYYELLKNK YINPYLDKTDYSRIFKRFFSQEKAIEYFYSINLHFGKIESLDDFKILPIGVFAEETTI SGSRNYIVSSYESIWYYISSLSKYRRHIYEVILEKQPCWLYFDIEYNKNQYNLDENQI LIDFTNHLQLWINSAFGYLICKSDIIYLRSSNVDKFSYHIIVKKIDEVQNFSTLFKDN LSMKIFVSHFVSYLNENCIKINGFDHFKLQDIIDTGVYTRNRCFRMLYSSKFGKKSIL KIDEENTSFELTEFLPIILFRSMITFLNTHHFTKSKFISRANVINKLCFNMEKFEFKE VFKIKKTFCEYKNIENASFIPKHMVKAIEYAILFWNKLCEELKKNPKITFNNLKESEL TDYCMKKIHFDFVDKISIGIKMYISTTMYFKEKELIIISVSKLNRLCFNVEREHISNG IKLIIDLINKRFYQKCFDPDCINFKSRSFTIPTNVIDYSLDVLELSGMVKL cubi_01087 MKLSTEASSLICENCRGDRVYIDTNTGEYYCEDCGIQQQEIREL ERDIDDVYFETLNGPDGRRSNVRRASQSTEKLPNQENNDENSKDDDPLYFEDSNNISR KKQTFFDKWVNKKPKIVKNSDFLIGVQLIVQHIIKDMIERRQIKNEVLKTSKSIWFLF MEYLCKNKIPIRAFFADLRCSVLKVPFNETVSDPSSISEIKSRNIKIPNVVETIFGKE KNILYDLLYNLFGLDRVEYLSKICNGIIRYSNSINIRKRMVNNTLINEKNCGNLLEEW EISARKLQCLANERNIPYSNWCGESYIPRSELIKSVIDMIYEHDFLESYFNQISETIL DRNDNIYRSRFNEWINFHVFFFIKNRKINQDYILISKYLELPENEFQNRKDLKFQLYK VHLTHLLLYKILGKHIMRVFDNTSLSEYLPIFELYENIEPRNFRKRKLLKENLIESVL ECPKIDYSFILCIVWVSLLKSGYQVTSFDIIEWVKIGKIDIFKSENIIPKWLKDAGFK WDNDINSNINTRSVTQISRIPSPADLERVIKFFSASVNVVIPPINVPIMIHRILANCN LLRYRGGISIQPLCIRLWEFLFKEGSIIKLFGKLTIAPSIIIVIARSIWPIFVYHCIP NPKNILEDEKLYTKSSEPIVSVNTELFNFDFRFNRKLSSWILKTSKTQFSSEEKFRFY SVIHGIEWSGMFAINWLYTYLTSNIPSCSIEANDIFINSNIKNSEFIEKKGRPTVNFR GDFFSSIRKRRQAIKKKTGRNNWSGIMPTESKNCEFYSFGKKKTGLFSRYLPSNREIF HSLLFNDTNKANILSDYDILQLWDSFDDNEKVQLVNDWEKVLLTNKETENSSIIKGII KDISPSAVCSLFPLLIEHNFLKSEGQCFNNIGSLISHIFNLKLGLLNKLFQDPGIFTY VVLPLPQFIHSKQKFSNSEASLPIPYSILLLQLAKITGENYLNVHNCVIKIEEILTKR LKEKK cubi_01088 MSISENFKIIAESISKEDFENAKKNICTIDSIMDDDIIESIQTY ISFKLFTEKYNQSTETQPFQDIQQDSSFKIQKFTAECLSLIVQNYVGYAPMCQILSEW IDILDYKSDLLDDDDTVNFNHIMHGKSKKNGKKKIKMQKIGRNNLNNTFLSENMVEPM AMLIERYFNAETLRKYMDNNRDLLGQGGWQPPPCYWSLMKHPAIVNSIQKLFTRNRKS EFLLAFLQDLHKFSKTNPNIDCIPKNINFSSTSNFSVFTKNVTELLADFLLTDETIEA ALQGTNLLPLSGTINNPLYSNDGKSSSSNDYTSNVIQEICSTFSQSENAYFYAQALLH YMIVWRGDYSGCRRLSQMLYHYVRVTLQEPRVAQLNLLFTNLTNFPELFKLCRTIFKM DISITEQKGLANGGLGGFESAVKFSMNPNQISTLYDMLSEIIDRFESLQMLLYERERI KKVNNTEIDSEKKYSNISIKKNTVERINSAQNEVSANTEDWAPLGSLQFSLKDLELTI SAKQYSIDSLFHTNSFDKNEETSVDLFETFQRKSSDQTNDSEINISNSQKHEAKNSNC DLNSYNETIFDMPSVSRMGDIPGSDEIRRLKIGPERPPMDALRESALLDTLIDILVSQ SIWLTPEEQGKTIDLLLLLSVYSPYELLLFLEEQKEWNVATKEMNSHASNSIQDIWSN SEDDLEFDEKIRFNVDTLSDFISSNSSDSVDSISESNCSISKEKNHYWPSNKIKNTNL KSRNPASLLLEPKKQTDSTIGCRSTIDSCIYRNVHTQKILGSLQLLPKNKAKKMKEQF QNLRDLCDRFKNRARKELFRIYNIIHDNLIKNEVKWAYLDHLITTPIGASAVLRYIET FLFSRIDGNGPLITLSSSIVVIIKHITQWHPKKRICVFHLLRLCLEHGLHDQSSNNVC MVSSLASTKSEKRRWIIDFFVYLIGGGLYGAVLAYMSKVGIKYLDKTLIRDFTIKLSE FCGPPYSVDFSISYLEFLINANTIGALDLNAFCEGTLSGSSHKVKLSIIEIVTILDYL ESTTTSQGTLDVKGNSINRIKESCIYFRHDLQKVMSKCKILKQILGKSANKQVFRGKG LGKTWHQSY cubi_01089 MEMDPIPTNISFNPFRIESLIDEYTAVSLYRGIYECLELIKSGE THKLSFEFLYRTCYRLTINNYGGMLYGGVLDFIIEMLVKERDSENIDDIMNFWRTFEM TMDTLQDVLMYLEKNFIISNTKVPIKIAGMSIFLKYYLFSNNRIVNIINNILKQVNNF RKSGELNENGSKEIRFVLEQILNLPSIEINIKNLPIDTIDTIKFNEASCHSTIVEDEE FISFKSKVPVMNGIHDSKIIIFTYKFNHKASVFNWKDFAVFNQFFMPSFLIESRDYHE KEFEKVHALDKDSISQIRNYLNKCEKNYMFEKMLVENFLVFQVWDELIKEMDKIWIYH FFERFINNTVFELFSIGTKHDLQQLFKILSRVPECLEKLKNFLKQFFSLRLDKIFPSD ELFVSDGFDKFLNEIQLFKNRSEFIFIECFKNNSMFNQVMSMSFEDFFLNRNTTTAIN LIASGFDILLRSFYTNNQIDDNKKHLDILFWLFKHVSNKNLFEVKYRTFLCKRLMEFT ANKRSMEHNVIIRLRGECGHGYTLKLEGILADIMQSEILNLEFQKSSEVADVSNSIVN YNVITPNFWIIHPYINFPLSNDFNCKLDNFSQFFHSKYERRKLQWHLGAGSAIVNVNC RRLSNKSFIIECSTIQMFILDIFNRFDYISFEEMQNIIGCSDTNLLKNNLLSLALENK PSLLSIIPIMKWRETRINFNCINENKGTFEFPDLNINPKNHFSSNDSVITSISSSDII CINDNANPDANTIIKYNYDSLELNSDIKQNFDLESTSVQDKGYIIDSIIVKILKKNKT LHIDDITRRVIDHNVILLQGNTELIIERLEMLCQKEFVSKDLYSQNLYNYVP cubi_01090 MYKRAALFSSDLLANLSDNQYLRENIERQIDKVYGDDFSDECPK LSEKYSVSLLKSQNIAMENIDSVEDKFVGNFKCILCPKKIIINEVDLNKHLKSRQHLN MVEKWQKKQENSRKIREKFNIFHNLTTAQDHLDQVNFEDTKDSCLADTLKSNRKNKRK KSRNKDLSEEQIIARKVKFMRKKERRLARKSQKAEIIC cubi_01091 MNAFQLFGLENKFTIDKKNLDLNYKELMRYLHPDVGSIVDENIS VHIIKQYNIVNDPFERALLLVSIKSKMPRDGLLNIIDTIPVSSSLLEQIFEIDEKIKE IAKIGFSIKDFDEITKRNNLKINDCIQFLESEFEKDNPSIRKILSTLKELRIYQKLND RASSIIDN cubi_01092 MNSALEYQLNPLIFTSNRNLLPQIVNVPHLSCGRKDEICRYVNF IKKSNSTKRCFQRLPPAIRRRSMSYNVYRAPKRIRPTLSYEMAKAPPRISKRKKKEKK KLPWIKRNLLLRAINRENFCGKEIFPFKTRSLSKLSTAFRQNGQINARFPFNQFKWME SHLYHSKRFQMCDAFGYKLPLHSTSKRNRKIYRAFKHGFVVHDSSYLQLFELKGTIDD ISLLFRLCNFNVEFLFSYDYFNSNSRGGGFLFKLKDYELDKISTNKLFSKQIHFYSWE RIAPIEFVWTPSCTNCGSSKSLWVWIHPIASHQQFFYWEKCIKVFGLNIEINLVEDVN RFEFLGPKSLNCIESLIFNNSSPKIELIVAKQDFVNTVGINLPITYNSNKISNEILKL NNEYHLDFEDNHMGCSFFCNQYREAIRERFYSKKKLLNKEHATKHDKYYTPLINMLNG RSKKKKNIKTLLSNIIERNEKKNSHSNLNRKKNPKQRIIFSKVLIIFHQGSNLGFDLI FPRGLNSSLLLRYLHLYSAQIIGIGERRRLLTQLGIPMFPFDFIETLSCHKIQISNPI YSKSKEFLEEMCNEVTNFSNYMKTPPSKRINYFFNKIEFPFLINWNKILNESCLEYSK FQKNYLALNQIISNSISSKDVEIEKYNIFVPRVGYRGTKKEYDDAFSCFPTNPTMKLR TLVLVKITSSCKINHKAHIYMCKRGDVKEIEKKNYLIEEPNKYGKTSLKENSVDHPES FNSSNPSFSTMRKLVGIVTSGGYSMSMRKGIGIGYISLFSFNESCLEQVKEPLFFWIR KHDLRYTPVKIEKYSQSDIHFNIY cubi_01093 MQLRSKVNHNVAEYFRKNLQITSANLSDSNMPFPYKHEFFSGLI KLPFLSSEPEYINFPINQSNIQECFTTLYDCTSTLQINMIPRHDIGFSNDSIEAKDMI ENQFNKNHISLDDLELIDEETIGIYNGTKMKECNINDQSVCIEGNGKEISDQGVKLLH PIKQNVKAISILDIIPLDMNHCSTFQVMLDSKEENVDTILELDEGWKRPFTRFSFYNK IEGKLYKYDRDYSSTLPREYTKSYIISLPKHFVECNKNKTSETADSRAYLISTKSPKL LLSKVSSAKRKPKIKLL cubi_01094 MLKNNQLRNRKIRKLDNYCNVCGKGFINHDKYTCHILYSHITCN EENCDYSAPKEIMYYHKLKHINNNEGNSITESAEEIEKWLICRKMRFPKSNCSIKSDL LKSKDSNETQLDNGKKKIKDTQISALEHYIRMNMTQQAPSIHNVKLNKFSNKKNYNCN TNIKVRTNKKKIFQENKKVPKSLLFRLFENEICIYERKMISAINYILKSFCNANHFN cubi_01095 MSLSEVAFKNSLDEDLNIELWIDAADNFKNESSAELFSSNLNNL KVEKKNIVNRINKGRKLRNKKVSYRKLPDTHDRSIDTSNSGRTSLILKKLDR cubi_01096 MSGFNIERLINLNSFNQGISENETLALSLPTGNTVDLDFESYCY YYSDNTYNNEDISSILNKKNEISGINNWVNFNCTENKYFEECMQSNLTTNINKTNYKH DIESYCNDYYNRDNFLPSLMNSNLIINHCFQNSEVKVKSKVKSIVLFLRANLGINVAK NFKEEVEALGAYVFIAENCKELLDVNNELDGCKRLIVTSADVALNERLTEEISKKKLS NFLGVVLYCGPHAEYHIKWCSRVSSIKFLSQNLIEVRRAISWLFSESTATTNEMPNIG RRNKKVGLQELKKPSQNKINSVMGNFPSLGVQGLTNQIINEEDNQINSTGNYSPFGIN NSNPHDNIASMFRTLALETKIATQVNNGQFFSVDN cubi_01097 MSTGNLKSSLYSSLFLSNRHLPKLDSETSDNNSSVKIKDSDKNI NNSKTSVIKKRIDLNSSELVNIKSLNSKCLNSISDKIAKSNNSIKYNSKSSTNQKENE KNYLKEVSLINKSGKESISPSKTNLAGQNRINDANSINTSNKKTNLEDFTVAKEKIQL DDEKIQEKNFFDTGTTKCLLNIKENKNLEEEVTFDVYLRSNIIKIVKDENNEVIISVP CKLNMDLESLFYYFSNNSIYLKCKQFANMLHLSKLYHKSKPIDILGRMFPSNQKSLPD EEKQIEYNCFLKLLHRFSQFKFRSIGASEEEKLNLVVSFLLSCDYADIISNKLVKSER CIQVSDPTIQRKDKDIQISTELKDNSTQFNRSVNNVEIDATYSVSDASCSCDIEQELD LNIQKEFDEPSNEEHQNQTFDYLDVYSIQEEPEIQEIVVKPELIEINQESFKKSEKKN NPKKITQKSSDKESEILVALTSISQEDDKKLYRIFVYYSGPNSDRLSYRQFYNLMNDS GLLGGNFEEFLTPIQLERCYSAVIINPKGLDYWEFKEILLYCGEASSCGHDATSSFQS IIKKYIIPLILMIYQPNNFEKCEDVVLDKSISEEFDNAKSLQTMINKAILPWFRLGSR PTLSESDEYSDTCSCSSMNSNIDSFEEEESSISSSYISSSVKEEN cubi_01098 MNTTETTEIQRKPINFDYKTICRLQKEKMCDHYAKKEFSIEYWK KNGEKLEKTCILKDLFNYFHDLMFVKLDEFACINQIKEKNGGCNTIKVGSLWDGNKIE DIIFREVCYNNNIFIPVFLNYTNDSAEIISYKLFVANCQILLFDNDIPKSFIDEISNI FLKKYERKILILASDQIFSSSEINQKLDLITYTKGESMNNSQNLSIYSGNHLENSFGT NKIKNDVQNLEYKNISNNLRNTIINQTSKSTEKVDLYKEENKNISNNLDQNLDILKKT DSKIRNIVFTSGSTGKPKGVKLTFSNYLAMIGTLSVLCNNNDNIKSIFVITNPLHHVN STCFTEYCIRNSSKLVLIHRYSKSYWQILNETIKSSIYYYGNNFSIFVPLVPKHFEYF CSMIENNYFEDSHELLSNLSHPSVFFFFGSSAVSNNLINKFKKMLNEKVPRVRFGSTE TCLQLCGADLLMNNSLLKTAFKIESECINNVYSNSIKKSGYFIGRSIQPFAEILVVKS IDFNSDNFLVPTNEFEIGHIICRGKIVMNGYINYENVLITKEILKRMNKNLNKQIPNN MLFICDHHPWYIGLGDQGYWTAGEIKDVSKPSTVHILGEEREVIHINKDSKTIIKEKL NSCACCQDNILLDNIFLYWLSRSSSIIKIGGVKYSSEEINNRIVSTLKNLDNSIFSEN FFSTVIGIKDDKISEDDKIVFIYEPFEGSMKLEELIKNKLICSKIPKSYIPCKIVETN IPKTFKGSIDTEKLLEKIKF cubi_01099 MDTVLTQLSTIFLNKINESYSLNIRNSKIKTFDNTGILRDQFEC IDLSDNSISSLNHISNLNRLSTFIACNNEIEYIEFGFTNSLPNLESLVLTNNKLRSIE SISAIFLLKNLKRLSLVNNPVTKVPNYKTILIGMLPNLIYLDFQKISKVERSTSELFF ETNSIGRELLVHYSSAENFERNFIKNISRFSPSKINVILDSSENKPNHIQLNNIKIAI SVCNDIEKLRILEESLIKNKISPEAQEIIDDFISK cubi_01100 MGFNNTVQNEQRSCKNFEIKNLNWLKLNENRYSKLLKAKNSQIT KKSKLPPEHLRKLINIHGDMSSRKFQNEKRIYLGALKYIPHSIFKLLENIPMPWERVK YVDCLYHVTGAITFVNEIPWVIEPLYIAQWSTMWTMMRREKRDRKHFKRIRFPPFDDE EPPIDYCENILDVEPLDPIQISLDNEEDSSVYEWFYLDSKQQFRYLNKKGSYKKRKWY LTLEQLGTLYRLSMQILPILLDNNSYYLFNKDSFFTAKALNIAIPGGPKFEPLSSASF DDEEDWNEFNDLNRVIFRSTTRSEYKIAFPHVYNSLPKFVSISVYHYNVNIFAKPDNP NSPIFEFNEYYHPISPNNCSLENIYLTDREKLNDIQVDFTPFFNEYSLETNTTTNGIL LFWSPFPFNIRSGSRRRNYDIPLLKEWYKNNNISSEQPIKIRVSHQKLLKNWILNSLH KKTAKNCKKQNFLRVLENTKFFQSTEMDWVEVGLQVCKQGYNMLNLLIHRKNLTYLHL DYNFNLKPIKTLTTKERKKSRFGNAFHICREILRLTKLVVDCHVQYRLGNIDAFQLAD GLQYIFNHVGQLTGIYRYKYRIMRQVRMCKDIKHIVYYRFNTGSVGKGPGVGFWEPSW RIWIFFLRGIIPILERWIGNLLSRQFTGRQNANPYRSISKQRVESHFDLELRAAVMHD ILDMMPEQLRSSKARPILQHLSESWRCWKANLPWKVPGMPPAVENIILRYVKLKADWW TNSAYFNRERIRRGATVDKTVVKKNLGRLTRLYIKAEQERQLSYLRDGPYISSEEAVA IYTTAVHWLESRKFIHIPFPPLNYKHDTKLLILALERLKEVYSVKSRLNRSQREELTL IENAYDNPHETLARIKRHLLTQRTFKETNIEFMDFYSHLIPVYDIDPLEKITDTYLDQ YLWYESDNRKLFPNWVKPSDNEPPPLLVYKICNGINNLDNFWKYDDDSVGLLVETQFE QIMEKIDLTLLNRLLRLITDHNIADYITSKNNINVTYKDMNYLNSYGIIRGLQFSSFV SQYYLLIVDLLMLGLTRANQIAGPPSHPNEFLKYSDKKIELAHPIRLYCRFVDKLYII IKLNKQEIKELIQRYLSENPDINNNQNLIGYNNKKCWPKECRMRLVKNDVIIGKSVYW ELSNRLPKSVTTLEWENSFVSVYSKNNPNLLFSLAGFSVRLLPICRIGRRSFEQNDTS FISNENSQYYSRESTWQLSNKISKEITTYVFLMVDESEIRNFENRVRQILITSGSATF TKIANKWNTCLIGLMTYFREAVIYTEKLLDLLVRCENKIQTRIKIGLNSKMPTRFPPV VFYTPKELGGLGMLSMGHILIPQSDLRFTKQTDLGVISHFRAGMTHDQEEHIPNLYRY IQTWESEFIESQRVWLEYSLKRQQAQLQNKRLTLEDIEDSWDKGIPRINTLFQKDRHT LAYDKGWRVRQIFRQFQILRNNPFWWTHQKHDGKLWNLSNYRTDMIQALGGVESILEH TLFKGTYFSTWEGLFWEKSSGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRA NVYIGFQVQLDLTGIFMHGKLPTLKISLIQIFRAHLWQKIHESIVMDICQVLDNEVDS LGIEMVQKEAIHPRKSYKMNSSCADILLLSSYKWNATNPSLLLDKKDETTPNSSINTN KFWIDIQLRWGDYDSHDIERYCRAKFLDYTSDAMSIYPSPTGVLIGVDLAYNLYSAYG NWIPGLKELIQKAMAKIMKSNPALYVLRERIRKGLQLYCSEPTEPYLNSQNYSELFSN QTTWFVDDTNVYRVSIHKTFEGNLTTKPVNGCILILNPCSGKLFMKVIHTSVWAGQKR LSQLAKWKTAEEVVALIRSLPIEEQPKQVVVTRRGMLDPLEVHLLDFPNIVIKGSDLS LPFQALLKIEKFGDLVLKSTQPSMVLFSLYDDWLKTISPFTAFSRLVLILRSMHINPE RTKVIIKPNKNIITMHNHIWPSLTDEEWANVEVSLKDVILDDYSKKNNVHISALTQSE IRDIILGMEITPPSIQRQQIAEIERQVKDLAKNTSESSDITSLTTKTVNVHGQEIVVT TQTQYEQKTFSSKTDWRARALASTTLSLRSDNIYILSDESIISISNGSITPYVIPKNL LKTFIEISDLRTQIGAFMYGKRIIEPLRKDNCYSKNEYEGESIIEIRCLVLAPQHGNH NSINMTDILPKNSVISDLEFVGLIKTKVQEDFSVTMSDFNYLSRISQNNLDVQINNIA IISCSFTPGSTTLSAIEVNRDGLEWYKNNIDNIDLNEAFKNCTSNYTEKMKLILSETY NGFFLVPEDGVWSYNSMVVKYSYSSKCSYKVDKPNAFYDEVHRPQHFLQFAYLESISD SDEFGLLEIDEIFE cubi_01101 MHSIPTILRSSKSWSNSTQRSEYNQKTNNWSDFNSNNEKPNEFC SNSTFNGKFLNRDLSRSCYKSTNLILPENYQIQNGRLFRNSSLINENNVFKQRIINGK QIETNQKASLLQNPFLLKGGKHLVSRANDLINGFGAFEMEFRPSFPNNFQEKNNKLTL CLNSSKKKIYDSLNTDYSQPVPIACNKLFRATSFLERKPSLNYSNNNSVFLQRNSNFI SENCRKNSIRSCNHVKYIQEEKYNSNNLSNNQLNISSTNQENSSIFLNNKPINSKVPN FISSKFYIRNIPKISINNASSKSIKPLYNFESNNWIELNLTNIKIFSLLGIGSTSSVY SAFWRGTEVAVKILGSCPKVIKSSNSDYLNLSINKVIQSMEMNKKDSQRYSEFKNEIK IMNLLRHPNIVQYMGGNISSNPPFLICEFCSGGTLFSLLHGDSKKCSDSNKFTFSGPS INLSLYQRIKILQDIARGIHFLHSANPPIIHRDIKSLNIFLLLPIKSNLDVPIAKVGD FGLCKQLFSNKGFADGPGNLVGTYQWMAPEVLTNQTYNEYIDVYSFGMIMYEVLSNKT PFFELGVDVNPEILTEEIIKGIRPTLKYIVSDAPTEIKNIMIRCWDPQPSKRGTMLII INELQRLMEKLANS cubi_01102 MSYIECKKIGRINFNQLEDLLELLKSLCAEVRLVKWRENTWCPN ASESLNTQRKLDFTKAQKHIETEARILEYIYPPENNDLVSILCQTPIIEPNSNILDMP LSNDKDKKATVLVNKVVECLSSKSILDVIQHMNIGSLNSNPPTLYNTLYISCYVCTFN PLKADEVVILVQTEFKDLNFNYNFNDHILVILKSKCLNENVISTNKQAILSLSRKLEK IVSF cubi_01103 MNFGRKFYFSVSLLFREIAQKFINIDEPIFMLRNKYEPENKERF LNVFREIILFTYRNEFKNISISKGILKPKSNIKDDSNNITSDIGWGCMYRVTQMSIAY GVRQFLKSYLGDSNIENIIKNFQDNEYAKFSIHNMVNVALSEFGINPTSWIGPTTSSM IADKLINDNRNIISNIQIASIAYIDGTIYKNQAIKHFSEISSDSCTFVWLCMKLGTSK FNISAYKETIISMSNVPQFICIMGGNNYSSGALLIVAFSDTFLYCLDPHIKVLPMFSE KNFSRDEFIQEIPTKIYWEELNPSLSMVYICRNLEDFDGLCSELTRINSDLFEIINNF EIELRSEMEFNSGFLIV cubi_01104 MEKFNSCVLKNFCAYCLSHNFTRSVYTSRNISLFYGNNKKYMNS TILNNLVLRKRKFHGVINSYYDKFVSQLKKEIKNDKLLQDDIAFLKKKVGDLYFKISN KSSFTSFYFSNTHFNIAILYKINQILSNLKFFMAKISLIFSQLITSDFFSKNLIIVKN LFYDEASESKIERELSNWKFDRNQENPVGKINIHDNKIRNFDNKNDIKAYGIENSVVL HNLSLKDRFGAKLKNMSLLKNIFENKYIEIIFNRNKISRAVKEMKAINPNFKLPDFIT IFEAYILPKFMDSYYTCDEHKLRLHCGDTAYRQFYSNIMELKKMGLSFNTKILQLGDI ELKGAESSEVIFSYKDIKLRKSQPILIFTFKTQQINCLQDHNGRIISGSFDDIRELQY SISVTPHPNINVLGLEYPYLITELSVIGSTPIL cubi_01105 MKKRNKNGIINANYLKSKPWLAEEVNSRIIERNKIFNIQEDKFE RDKWFHLLNKFSQYGEITKGNKIKLFENSRDSYLSMIESINLAKKRVWFETYIFEECE TGENFIDSFCKASKRGCEVILLLDAFGSCNFPKKWEQKLLKNDVKVIWFNPISQIFKD TFLFRNHRKLLIADETAFCGSINITNRINDSSLYDEMNIENLNTIYNSMMQQLIFLFK QIVYLISPIKINKLCFYDVHAEIKGPATYDLGKVFIDSLKESKYGYNPEMFKRPKEIK DGTILQVLYSNTRKQHRGIQNVLSIATKNSSNCIYLTTSYFFPPSFLCKAIQAAINNN VKVSMLLSGKSDIPGDTIATKYLIKRFLSNNAKFFFTRNLHCHAKYITIDGIWSSFGS FNWDGFSANRNLEVSVASFDPEVASQFMKMQSKMTHSFQSTEQTINQWKKQSKFTRVC SKVAYILVKFVNKCLS cubi_01106 MNEDTPIENKETASKKKRYRKDKPWDTDDIDHWNVPKLTPEDNP HGLLEESSFAVLFPKYREKYLRDIWPDIRNTLKAHHIKCELDLVEGSITVRTTGKTWD PFIIIRARDMVKLLSRSVPFHQAVRILGEGEDDNNLGCDIIKIGHRNKEKMIKRRQRL VGPNGSTLKAIELLTNCYVLVQGQTVSVIGSYKSLKLVRRIVEDCMNNIHPVYHIKEL MIKRELEKDERLKGENWDRFLPKFKSKCVKRKVNKQIKKKNKSIFPPEPTPRKEDILL ETGEYFYTELERKAKKMKERKCDQKEKKDSKQKEKEKIYIPPDEKLVVKNETNQSNEI NYKIFGQNRKKYQHDSSYFVV cubi_01107 MYLKKVIELGKLPNLSLPKDTNGVRKVTISNDRICIIVENWLFF YILTKNHESARLIYSWKINSKEELILDGLWIDSGLFITLSSSSIYLFTLEDELITEVK TAKHDCLKTIRNSEIVLISSFSKGSIGSRIIIAAVNFSSGFFLFSIEYFESEYFIDIG NIVTTQYKGAIIPCDNGMVFVNSSFINKFYIGQNIFECKMIDSLYIKEILNRFIGEHD FKLISKYKTVKDNMLNIVFLMIEDNVKFNGIEITISSTKLIPIKMNKISIKNPENIIN ISHTNILNNISVNILTYESNLMIYEASLTSSKTCLAYYNDLNESFIPFVSQTQCENSI FYAGCTLEKKTGKIFVNILEKESDYNLIKNEVQKFESYNTMANEQITLNIDELNNQFD GIIPLNMIISKFKKLISNLEKVDYNYILDYAEKVPLFNIEDTLNLYSSLVSALEASNS SNVYIMKINYLIQKSLTFDIIYKNNDRNFEVALKICSEYDSNLSEIDTNWNNLLKKFF KCDLGKLCNCLLSLNLIEEFFFLFNRHFIHFGNGDEAIRSEIILELLNNLPINLPEQI EKEIPSWLINQVFPYVIDKDKLLKWIADRAVALEHISNGDIDRCLFFLSSVFLNEYSN ANNQILPKQIVSNGILWAGSGNKRNSIYKMPSNKINQVYWAFLECNDLKNRYKLEIEF SSLYYKKITTKDIAFKLLSRISSSELLNYEINHHVIPFCKSRGLEADQIIMEYLLDLI SSINYNSLEKKNNITNNRTYYQPRIISLINSITDEEYKAYALLQYLSLNNKCHSMNNE INLKFPHSVQNEMDYLISYARNLSVDGKRSFELKNRIALIDAQNLLSRYNLDGMASII LFEKNAPRRLILHVISQVDAGIESFNDAVFLIDYLKHETNTTFMGISEAYCLRLRFII FRRRNIVGWEKMTKKSITGIQNKIIEEEILDIFSIIGCKKKIYNITQQFVCFIWQFLD CYSMNCNKSHKLRIKCEIATYSAIVVLREFFKLVQENNWKIKQKTFWVSNESFNTFIR LQQLQFEFGMFLRPSDIMLDKMDHDQDYLFEKESQTKNTYLEPFQKNTFANPFGKIET KFYNNPIFNESFIFEWKNGYFQLYKESCYKKLCEIFDKFAQPLFEVKNFNEQKKGIFT KLLRLGSLIGVDESYVRRTMIRHSIRDGGKMCIFKRLTQELYKTPSSKNAMTIIDEVQ NIILNLLTSNSINKNKSTTDNEKGTCIHGPELIFIFSKLLQVVAACIPYYPVKLISFV LALSSDILWAHDFLVHASDATDHFENSNDLLINDYKDDHLFSKLIINSLKNIHYIPLD SNKSYYKEICTLYPFVDAKQVAMTFLSCKIRISKELSKQVSVKKTYISPFVIYNFWTD PTLWTIQSDENYNIDAAKYIQELRENVDILVKKLYQSECLSLAMSIYLKHPFLISDMK LVLNINIEFFRKVLKGRDPMDGQLCMALSSSLEKKDSWNVFVQSLNPLNILDNYYRAQ RMARIGWDLGILFNHYSMRKEMEDLHKQSKWCNSFIKLKIDFDQSLFFQKQDPQNTNQ EYKKSIICKLIHNSDFDLILCLQYAKDYNINDEYVLFLWSKLMILYYFDPKFELKMQN ILSFVTPELVKEIFENTFELISSFDYERLIFVLNWYNQNCIDAIARSNSKNIYCKTEA NKDYSSSTSSSYNERNNEFKKNQSSSIISTISNLEVLKILSTHKRICSADDDEKQFIK YEFERIDGSTESLVNKINKRIESQISYRIPFHYLIKYPINALKYEINDETIYKIKKMS QYLGIPMIFIDIQFVWNIVLCRRYKFLAKNDLVKDKLKNFQEYCSKLVESDKILLRYI ESIASFDLESGIAIVLLVIDELPLSNTKIKLIEWCESKSGKVTQVELKKGYPIVNMEY LVYSRHDEIVMNINSYLKSKKSLISSILILKKHGLDLIFSKLIEETNDINILISSLYY YLTPLISEGIYWKFKSELQETVSQSFCMHFESERDTCNSKIKLSSKRSADFFSNFNLI ENFNLFVEEISNIYSSDIKKIRMRIIKNLLSKPFETPYEATKNKFPVNLKEIFAEIEN SGIIAGLDHWYGRKQFSSKFFDCTYIDRVSFVCRGISLNDAILLLLSISFKDSASYTY LTKCNALRTLFQIASIKSIQKRYPKYDDLKVIWLHNYYMIYFNDLYIPQDFSKFYLSE KAGLARSLWREYNNRRNSYEFLKSSQKRFDRIENTEEIEFLIDDNRKKKVRCLSDKEN EYSNNLEISLNGQYKNLDTKLSNILYLIAKLCVDFEIFDPILFSNTIHNLYLQLLDEK DVKIFSDLIFATYNSGYIYKIKIDKSIIDVWNILIFDPILSLKNTVEYVSNLVRKYGQ NSKDMKECVYKTNLLYNLPNKRYMIPLLSKIYNICPITPFIEIIKLLNALIELINSIL NLKEEIIFNENLNTDIKKEDYQKLISHIISLFLTLVKDISENNKINSIEKRYKMLSLT RDNLSIYEISSIFNITVIELLFSYVNPVDLHYTLFSTNREKIIKVIIDQNNFKLLPYI IYSTSSNMLISALSLELIKTDNTRLFFEISILFKELFHYKKLSQIEELYLIESIKAKK VYEFIICKYGEVYNTESIQIIRRCIEFYKIETVIPLIIKFLSGEVRSESTIIDQINRL EKQRTNDHELSKLEIYSYIKDVIIKLNKKYKSTNIVQELIEQFKS cubi_01108 MRNINFFEIYKRLDINYTNKQVNSCSSINLKKDNICQEVITREN QSTESVGYKDRESDGIYLDINFNSNSIALQPCIAIKENSFRLPIFELTHDFQIPHVTY QVKQNSRLYEEIYSLVYSNYSNIIINNEIIPSRKIKISETKPVFEVSEKEGHSYSVFW DGEDIKSNSSMLLGEITGLLYNNEKKYHNKYSFELPNISNEELNIILDASHYCNEFVF LKHCRLPFPFDDLRIRPNTQLQWLFIDKWPHLVVLTIPGTEIKHGDELVVDFGNNYHM YYINDLKTIFKDIIRIKVENKQVFRICAVCRFELEKDIIECKKCIICCEYIHEKCGYY YFKSEVSICCLVCLKKSFNIIFGINKRFDNPLNGKNLGKDSIISILKMYDGNACEECL SLFCKKDSELERRKSTFFCKLIHEFYQIDKYSDNIDSFYAEYTSKCENELNSNVNINI KVLENFYNIFERLIKYLKVSWEENQLYESLKLESYFFQDYYISFSNHQSKFLFSDSSI YEKWEKSKWKDFIYWDKVKNCWIGILKPNGIFSRFNCCNKHPTIIESFYETEKWVEQR ISETTIQKNLISAILSNYDKNSIINNENNSSKNSEDSISHTYYHSPYLPTDLASHPDY KIFSSENETSKYPTNLATFSDIKNQFSINDDRLSLNKKPKDINAIPNTESQLFSYPNS PEFLLNDLRPRVSSAGYIQCCSPVNRKRRIRSTLPLTSNAARCPTEWRVRGITWHAER KAFIVPYRRDKDGGLTSTTFGAMKYGSPLTAFLKALEFRENYLKEQSTKLPEEIKQPG VICDQALLIRNLPNIIWDPSKLVWRALADEELLSNDNLAHKLNFNSQDIEINAIPYGA RIAYEIAEVCYIRRKALLLNSKGNIIQKSSAGGRLRNKTSSVESLGNEYQLILEDQSH SFLSKDSQMDTMNSQNPCFKQIRLKIVFPTGEETVRNDLIAWHFRSNSWIITNVLDGN TSNQTKNINFLNYTDSNVKQKSPIQSLMYAIECCTSIPPKCQVYIHAEEAESIIDSED LAELLKPFPTGISWYCKKRRFYTSNGLDSNGRGQHFSIALYGSLIAAFNAAIDYRNIF LKNRRKAILPKVEWILCFPVNRSSININLNERIKESDIGVDGNIVKNLDICHIYDLSN SYMGSCNTRNVDRINSQLPSLSACISGDSYHSGSDWLQHPTTVSLLDDQMIEPQNDLE SRILNIELIE cubi_01109 MNRNSIICQCNVDPTVFFYFSGKKSHRKLGLYLVDNFAHGNIIQ TNENTNKSKLFFTPATSEASDSIFLEESSVEDLFQFFPTFSWSRNSIVGAFTTGISQK IRILSLTRGQIEISEKIDIPNYFCSCLDFNNNENLHLLASSNIKYCNFQHFSQGFISI IDINTKQKIVKFHNKLNFDRSNNVFGYPSNVKWINSNFLVSGWKFCDFSSQLHFHDIR IKDSIFVTNVVKSCNEFLSVSQPGGNYLLASNLNNNISLFDIRLMKNEIRQNNIRTIN SIYFNDHEINDLQWFPNEKHDISIFTSDGIFITRLSHRTHSHISFEANKSDRYSENID IEWNDRLLENFKPLNLRENFSDTFCWVDSLSRSNIRHSLVYTDSESAYLISNLDVKIN SFVSFHSTQLSHSMNEKNKSKQSNYEVLNFSKLFGYSWVPYRLMKYYKDDNTKIEEYN CIELLRRLENLNSAEARNLVLNQNDQNTLTNIGIFPKAGDNVNNIIKMMKSASHAFNY LSTLPDHIVHWKDLLRQLNKQKSSFINEKIPIFKKLILPAIRDLIYTFKYLTENIDIS SQLKFINVKHEDSEAKSCKDSNKKENLNHIEVFSSSLREKLINLFGVSSFMIETPFFI DNNDPRQLESFFTAFFWNCITLQYHNFISHGDKLSNILDNFIENENKLLKTSFLKNIK LFFNSAGIFISNLYYSEGLSKISLSKEDIQFYYYSIISTSEHLLDEDYYLPIWNSNIH LESTLQLSIRFIVTFSKFISTNEQSQQFSSYLLEELLVPSMEINTYIYIPVNLLLLIG IYYLKLEEISHLIEIMIRKVAKNGFLDGITILGFNTFTDETYLMINLPENQNSEHMDV IFGNSNFDGNTDSTCLESDSNKNKNISYNSSSDVFLKQIFHNYLSFSFGDIQTVALIG SCIPNLTYGFNTNMELLEVCINEYKNLLKNNSLIYYLINNSNAMNLSINGSKPTKFNK NVSSILYELNILRSSYYKIIQIDQDELKSPIPTGNVLFCYYCEQPLHQSYFEHNYLLD KMILRPDCNSVISQSYKADFNEIQTEKKELNIKNIYNRDSQVGYPLRRASKNITSMNN LIQSEISTSSDLSSMILNCPNKYCNKPLPRCVICLAEMSINVINISVNDEATRKDYNQ PYNYNISKWYTWCLHCHHGGCFKHISEWFECFDECPVPECNCWCNSVDHWYKL cubi_01110 MIANYCISVVLYIFLYLVDKTEASVSDIPWSVGTPHILSSLEKA QQVIEDSRVTSLEEFVVENCGNFDQLRESDSSYKKEMWKWFKSWHSDYSVLAGIIFEN VIIADSNKTEIDIKPLLSSYLDTLVWREITSCLIFGVSIFTFIVVSLGFVLCRILNDR VVSFEETSNGLWKVKLSIYILTILLCVLCVIYVALSVVIIINFISFSNSFSTSVCWFA IGADAFSNGNININKTSGSFSITETSLKTNTTVPFIGSLPLYGLFQELGSVEVLINLL NDILSYINNAGFPTVLSKRLTEFVDVFTNASVINPIGTDWYIPAKDSLKDSVLNAANS FLPVNDTLYNSMYPVLEKIVFLSNSIDISTMTFSVDEYFEIFQKFISYILLFLNVSRT TSNIVFGLTTALCALSMIIGIISIIFSILHIKLFLSGKSHIGFFQSRSALVAAAFILM GLSTIASFVSYTLTVAGTVGKDYCGWIVDDLFSPTGMNWISTVSPQLGMIMSVCMYPL ASYIKIKDVEKRDLVDKDYILDKIRVLESQGIKNHIYLDLYKKLQSNKFQDRENVNNL IFNSSYKKFEILSDHINNFYTNRSLKNELKFENLNMKNRGMNEDGIISDLFLANNNKL NLKSSSNDILLAERFGNQEVVGLLDDAPIESSLAYLLVNLLPNNFVDALSKIVSDFLN IITTGQTIAEQCFNYINVTDYIQYSLMYFPDVEKSSADNQDVPVLVLITQSYREENWL TKSSIFGYNTYTALCSSPNADVSALMISQSLPFKIPGLEVLQSIIYPYKIEYLTDSLR KLLEEQDNYMNNPTSTFSFFIENIFEPTSPILLGSSNSGEDLIISENTDIDKLEIDKY HQYNKYFVDYPISSFKSTLEWVKKLMKLYNYDFFCHPFSWLDIDNIKANPNSGNNSLY IKHYNNACNYVEFQEYITSISYDYIINPTIIAHSEVNRLNLILNDRIRKMFGSAIILA SIKTEAHNCGQVSVDFTDGIVTFCGMVGKTKDTLIVILNIATFIGFAISIIIGIIWLI SLRYESRIADSIMEMEQSSNESVGNLGQDEGVDVKVNDANVNH cubi_01111 MDDFEVFENNEYYSSIEDSSFQDFFEYLKNNPKQNFIKYTENIC IAKFGKGKNEHILDIPRLKWMILLNIIPVDFFSLSIHDCYNKIYELLNTERNNYFRSF NKNKLDISKLTSMDPLKFHPLSQIDNNPWNEQHKNGELLDEIWKDVTRTYSERQLFSN LNTRQLLQRILFTWTRENPQFGYKQGMNEIAAILFLINYSQKIIDINCANSNDIEPKK NEYKGNNNLSLERIFSRDSIEADTYIMFNSIMNFFGLKYMFQSTFNECNTNDSNSLND DSNKPPIVHKCINIYGILEKVDYDLFNHLYKEHEIEPQLIFLRWIRLLFSREFSDLNN SIIIWEYIFCDALQNRTLTGHEDLIDKSMEKGKNWSKEVCDAVESSLPIVNYIAVSIL LARKKLIINSDFNHTLKSILSQSNLSISPLEILSNAKSLCYGVVEDECSKSPKLTSNL VSKDTAHKKVLLSNQRNNFFEHRDIHYSQIRYNPNCESELNLNAPKLNESLLEITKNL HISAKNINDIEQLKCNIVSSCKELLRICRILQEKNI cubi_01112 MLTDLSNRYLYKYPCAKGTAINVIREVGSSLEIDLEDGDIKEKM DSIDSTNRIISCSLILLLIAFENERNLKKKLLNFLRNFMQESKAIWPNISDYTQFVYK SFNNLVNFVVENMVKDIKKFFFSDELDLVSIQKNQLLIEKYTSAVQFVLNNLFEMLLS SAGVNNRLTMRSDYVLPILFEGICHLDSEFKRILIYILHIQTSDFNNQIKLLINETNM KRTINCCVEIDQQLLLCIISILNRISSIVICCSNSFSSLINYIRILFKTNILDELEPG KVPKKVIHTIFFRILCSNTLEKFNKDLITSIGMFSSLLYISEQITQEGSTTNDLYLNK MNKIVENVQEHVEKWEFSNVCQIAFYRGLTVYCSMINDIERLNNQICDYKIFKISFRV LAYLINNCKSEEDSAYGLQSLLIWISRFKSEISNSNKILIKTSIPCFNLITISDILFS FLDQPIIYCSKLASQILEEFVDYLLVLDSIFERETIEEINLDPLNWFMNFLFNNNSNN SKFQLLSLYILFNGILNHSKSGKFKEQSYKLNKVINLSLDRLEFSKLDGDFMEIQLIY YLAYSLSINNISSSSHNLILAWFNLRRVLLEEKNTNESKDNLFLDFIFFFSIQIIKRD IVNGHFDKKEVINSEGKSNMFSKLLQILKKADRKYYYEKLPLIFDSFFEGISNIDHSY CMGLNFIQIQILVDLKRNGFLFWEDELEVGDHLINSLSLLVIFNNSTKTPNCRIHGSY LIKSLTSNDSISLSHILELLSLSIKSSEIKKFSSVSKYLLHEMECLYIIICNVKPLNI CSGVLSRTISIFGQFFVLIKKILLRKDISLVFKDKYSAWISKIFNYLRTSIGLQVSSD KVGLYLPIFRIFLETFYCEDLNGNLFEHNLNLKKNIFKSVMLSLHSQLFSPSNECRNL VSDLLFFNPKFDLILDEFHSDIIETRNLHSFNPALMIIKKLFKKIRPREYFASNILFY YYLRKIYNNLEDQRESLIILFKSLFNLLNFEINEKLDNIYFLISEIIFWELIRRIQDF CSSDLDVNILIFGSNNIPLQSVINLLGISFITEFIYNSANNYKPLCDNSLKIVYLSTL LLFILSDSKMVKSYLNKFYSESNEKINFSDGQFDKESLNYNSSRIIDEVSNLMKTILS RIGVSSIFYTSHKQLSLIPGYFKTFNLYLNSFIEHLNIVFISKINYNFIYKLCIIYIN LIFKCEHPGDSENLLEIFSAILNTANLNLRESRLEKQSETRICNLPSTKIGISLNIET AQTFNDFILNKSHYNPNISKTSNSADSKSLPTKKLSDELPKNLLLHIIYSLLPLDGPK AEYNIMEFIDQGIGDFIVDYEQIDNIFFQFLEGNTKYRRDNSEFIASSSSDIDTLFSF GRNSTRNLGKLYIPSPKRKSNNLCKTINILVSIMIKDSKFHKSIKYVIKLLIIASLNI KIFHGVINKIDYDPDDIIFQYYTCIIHSNHILAALISKSKSGGGGNNLYEIFDLGLLI GSLFSALNNIKMYDFKVKDENGFNLCNSSLNLMSALLKRFSFKANGIESNIEYISKMN NQFKILNSEFTISTEHDQLSIFFNNVFKDVLSITLNKKFNSNYLYSLISDMNISTRFS LKSIKKISVIFEQCIIDILLNSTDSQFQGMILLLLTELCISWSDCSYELAICIIKSIY SDSYFVRMHSSKLLGEITLRTLSITDWNLNQQSKILNTNLDQSLFEILINISNSKYSK LFSLLNLIFQSIKFSIHNSDINKYNLIHGVLSLGIELLKKIKKKSSLASFEIESCSIY ESLLVLVGDGFKNSFKHSLLRITSLKLISLLLELEFPVNEKFKCLENLIYYWKNDKSI SNISYLKFHNLKEPFISIRQPKSFANENLSEPIYLIKTIVVNAFKYIDSEELNSLICL SPTSEKIIHPKILFEFYSSITDIIYNLPEVNEHNFVHAFFTSINNHLKTIRYLFLLNN ETQTINVKITNRKKTILIDLVKVLIGCLSFIGFNKNLSHAFLNNKQSCSILESVLELI TFNQELSEYRSYESLVTNIKNITDFNFINKINNFYIENQTSMLELSIFFVRFYKNMVK HYSIPHIIIFELEKIVFDNALKVVYKNVYDQPQTTLEKKYLICKIIQIIFESLEIELF SESKMEKNDRIKKLTYAKIDINDTNKSNILSLLCLNHDYWYNLMICLVYLMTDEAFQI ENTTTSIFKSFEHVIRYFCNNFLQTNGILCDCKLNYLGNYSNIIEEIILLNDQDSCLF SVLILDAIFSNIESIKSKNSSVLFPMEIDNICNEYLNISQIATNQIFMYLAKMNQITL HLDKISKIESYFKLWEEQVKNEFNLFERVYNCIRDCPKELLCIELFGSILIDEIKILF FILSIKKLSIIIRYKNANNGGKIFENSTFDISLFEEYIDFLLSNVHEKKIEHSLTII cubi_01113 MENKNFIQNIFNCPICPYFSRNSVSHSFISALDQCKIHPAILRL GMRMKDRKIIGTNMRSKCLLIAIGQMLEDYYCPPYKSIEKHLKMVLDTHISYITSQRQ HNIAMGNVIKWLKKQVCNINPSLPPSEIINQLISCIKTYIYQRIVNACERISYVVSNK YITDGDIIVTYSNSSSVVKSLFKAAEMNKNFQLIVVDSKNNLDSSSQDITKELSLKGI KITYTLLNCLAYHLRYATKVIIGSCAIFSNGYVMNRSGSSLVAMLAKIHHIPVMIVSE SYKLCEKNYFEHSTVFNEVVEQEISNNLTHFPEHSSEISPKPHTVAFIPCYDVIPPKF IDAIVTEDGIFSAESFSIKNRITN cubi_01114 MKITKNITVNVEDGNTYVVPEHYKFIKKVGSGAYGCVVSFYDKS KGSYIAVKKIFDAFQDLIDAKRILREIKLLRQLHHENILGIIDLLPPDSPNFEDIYIV TQLMETDLHRVIYSKQTLTNEHIQYFMYQILRGLSYLHKVNIIHRDLKPSNILVNLSC DLKICDFGLARGNVCDIDKSKDELTDYVVTRWYRAPEIILCVNRYDKAVDIWSAGCIF AELIKRSALFAGHDHLDQLKAIISCLGTPSKDDLDSWLPYNGSTENARKYLDTLPNYK GRHISTLFPGFDCPEAIELIEKMLSFNPRKRITADEALSHPYFNGINVNSEYNSPKSS NIGGVKFSSNSGTTNSIDWSFDNFEPTKRLLQNKVYEEIADFHPEILIRDFPYIHHLG INVPKKHLQMLRSKYATHTLRGKDRINTYTVDSLMSTSSSTSITPSNSFDILGTIDMV KKLSIVHKQNRMSNSQPAQLGSNVSFNEYKSRCEEFFFSPSQESCFTTPALQKRTYPF ISCSGNSESGSDTNLSLKNIVGVEQKNNKMTTEDLKRISYRNTENIR cubi_01115 MDGIWKRLVSGGKNHSSKEAGNNQKNATAVSNHAYDNGRNLDYN TNGKSHDSQNNDARHPTASNTSKHDADISDNNQKIKYNIDDFQLIRTLGTGSFGRVFL SKYKEDNSIYAIKRLKKSVVIRQKQVDHITNEKAILSRIKHPFLVRMYGTFKDDRYLY IMMEFVIGGEFFTYLRRCRHFDNETSRFYAAQVVLMFEYLHGKNIIYRDLKPENILID KDGYLKLTDFGFAKAIEYRTFTLCGTPEYIAPEVLLNKGHGKPVDWWTLGILIYEMVV GFPPFYDDEPMGIYQKILAGKIFFPKYFDKNCKSLVKRLLTPDLTKRYGNLKGGVSDI KLHKWFYNYDFNSLISKKVDPPYIPKVSSYDDSSNFEEYPDSHEQPTAVTGNADPFID W cubi_01116 MSIRREEEERRNYQNISSRGNRKEFLKKDQVELEGTDGDEFKDI NPVHGCLVPCLKCCIYPCRAFFLTGKCISQKRRMKKVKYSKRKPNNSYVYSYNSNGQK PQYNQFYSASSNIGTNQNLQGSINTDGPTKGNLRHPSLTGNCITPICSGSSASFCTIQ AEYPSNRRSVLPSSSSYGPRRYVEKTDEMPVFNESNKLTCYCLGNSNQETKFEERCDG IESNPFLETVNNTHPEEISDNYLIKYSQYQTNEFEDCLQENSSREYFNAGKYFEDNLK DNQAPLIGGNLITKGIFSSCTPCEYSDHVSLKYSQGNCFVDN cubi_01117 MDISVLLDLSQPYDLQKVEMLDELVGVMYGLRPGDRVIADKILS ELKQKTDSWRIVGNILQLSTDYNTKFFALSILEKCIQFQWKILPFDQKTGIKQYITEL CIELCQDEKILNENKHFLNKTNETLIMIVKQEWPENWENFITEICNAAKTNQYICDNT MKLLRLLSEEVFDFGEDQMVSKKVEKLMDILNQQFPQILSLILFVLASYLENPQNIKV NLVVSSLQCLCHYLKWIPLNYILECDLRPQLPQSVASTGNNSILYNLLQFLLDHFWGN PSFRLESIKCLTEISPLKFDENTKDSNGGINKQIEDQMVHIWLSIVNRIKEVPNEYAH YDSIPNLSTSMRLYYERYFNYIALLLSSFIKTHRLTICEKYPETIQGMDFALERMVNI SYIQNDEIFKVCVDFWLHFTQQLVYDVLDNSKKKPNDTSMTNSQAKSSPLFLLKNDSF GNIDNSESHRQENPFNNPEEYSSRLVHYQSLLCDVRKMVICRMAKPQEVYIAIDPETG EVTRENIPDTDEISLYKSLREILIYLSNLGQNYMEKIILQLLQEEFEIVCINCGVICT CNSSSVNQWNPIKLNRLCWAVGSISGSLSKNIERKLIIEVIKSLLMLCERKRGKANKA AVASCVMYVVGQYPRFLRDHWKFLQTVINKLFEFMHETFPGVKDMACEAFLKIATKCK KSMSSNNYIDGNNNRNSNNQMISGIIDGGSQDIKFLKYMIGYSQELKQHLDDKQILIL IQGISLTISSLKDLDEQYLYINELLHIFDVLYWNDLVSRLVELKSNRDNQGIVSELCS MECSQKLIIIVRIMETIASSCGVGFARVLIERSSFLVEFYKFYSSFITSEVQQKGAVI ISHAHIKQLRISKKEIIKLINSFISFIAPRKKLKLTENKNFSGYITDTQSILYHNITG SEMLQYIIRPIFIPVLEDYHACISEIKESQVLILSSTVIVRLNDIVRANNEFFNTIIY HLFECTLSMIKDNFHAYPDHRELFYSFLADCNEFCFLQLFNLPGNILTLYIESIIWAI RHEQPNMAEKGLIVLYNFLMNLISHNSKDIGIQNTCAQNNTLSQFCHAFYLTIIREIF GVLTDTLHTSGFQYQTMVLYELIKISEFSLFEGNQSNKLAQNNSNSSFRCDNCQGSTC KISKVGVMEYIADLLIKSFITVQKEQVEVFVLELFNSVHSKTISDFQRLVHDFLIQIK EFTNEESKHMFEIEKGIALKRAIEIEKNKQWMIPGLLNQEIGLNSIGCEGEEDDIEDD DE cubi_01118 MESIHIFSGNVPAIVCTYFNQIYSNQSNISNFNSKESEKNEKNS FEHGSIAIFDSNYNQNKFFGFCGESGGCLDSKHSFNQNGTILDHANDKNLTFSSNMRD LTKHSPWNGRIDVFEQSNNHIEYSDNFNLIFFLKRLLGDKNIHNKIPNKWNYSNPNSA NGLLNNSYSLNVKNSRFESAEILESIRHKLENSDQNRIIYLSTEVDSAYSNISSEILE FLDEETPHSYKPSLSLLINENENKSINNLNLNKILNFSNTKFLIDQSKFCDDIWLIDL NHIDSLAQRNSNTNFNPLVTLAFIIDQISATMKDLNNTYINPLSTIGRSVSHPFRNIA VFNSYKAGNDSNKTSCVVGAKFESLCSNYFLDICQSGYFGLDLLEKSEIISIINGNPY IFGNETEKMIDFLSINTRKIIHSNAKKILNLYI cubi_01119 MNHVNFSNKSVIKLILQFLLENGLLESYFTLSNESGVSLNWVES LNKIETIVSKGLWDELIEILKYIQIPAKLQAILFEHIALELLELKEPFVAQYLIENNK SLFLYDNQFNEKYNKLLEIIKKSKDIISSTENTSVESNYSSIFTFIKNNYIERGSKEK SRERLSKLILENLVEVKKSVLLEVIGNSLRYKNTLKLNSEEICESFGSEFNENSFICD QRQLQLNGSKELKFFMKSPIIIDSEKFGYICCINFSPSGEQIFATSKGYIFIGNTNFC NGTDQNVELKRIYSHCEEEVKILGLCVASINNGISCGNEIESLENSEHILIASTSERA DIKIWDCSNSNFIFFVNAYEKYITDLTFNKDATCILSSSIEGIVKIHGLKSERTIKYF PKNSEFSINKVSYNNSETMVISALSNGNIDIWDIKSSSCIATYDICCSQIFELKPINN INFLLSIQKSDSLKKNIEKFDFFFVGSRSGMYLVDIYNGEVVNLPIEENLMENLFSAT FNSKLNIIICLLKNSKILLYDIKDRKMNYKEIEYEDSYQYEQIFTGKFNGNIAVSGKN KFFVLSEYYQISNHVDTDSNIELISSDSKL cubi_01120 MQTKQSVDLLIYSLTIFHRQACVFHVNVVEAESSNYWRNFLSKS SHKDEVCESQCASKKHNSKKYYRHIRLLNGLLFSMKSFCKRLIPNVTNDQDGFISYTT ELYKLYCFETLSGHKFILMVHPSINYGNELLRQLYSTIYLENVIKSPEYNYGSLIDNQ LFESSLGSFFKQYIQEMS cubi_01121 MNGDISIYGDSISPNRRSFSITNNSVNLIPERSIQTLRVISDRC NRLTFVSGIVVPKKQYCSEILSNETFKDLGNHLKNMINEDLVQNEFCKLEMIKNSFNH IKDEWDEIRNKNIVHKKNLEQLESRKVDFIGNITCFQSICDNSISLLRNLESQARIIE ECIFPYQQYTIMYYKLQNDKFSNNLKEVSNCFEIIDSSIVFFQNHTELKRKDYYVDGY KKLRVKLCCIIKNTMKNILKDCQVKNDVNENDDITDINSRPNYNSNRENKGEHNIFLY FTQLRAKGKLFNEYIKLLMQRYKETFQNETYRSTIEEMESYYINFRISDEHCGVKSFI KFGSNKLYFGKDFKNCLALNIKNFTRLVLNYCKYEWMAYKSFFCCEETRNGKDEKKIY EIQRFSRELGNKMNISKRNSIEFNNYFSSLIEKFGNEYYNKLILHIKETQKDPELLRE SIQYLSQDILNISNDLYQSVSFPDIYLSSLLHYILKLQNSLVEQLMYSTEFFIKEKIQ DYELKFEELNYPEILQKFNADKILIKSFKSLQDSVSDTLSIQQNSSKILFSNNSMEEI PIENSVQSKCINKNTEHHYKSELLTNVSFPPNTIRLQSNSDVEDCPFDEDYFENAQDE YGLNIIGRNDVPSNGIDQKQVKSINISVGCYPVVKNSLLALSYIDHMVPNSTYLFLNK LIVQKCCDKLLSAKEFICNELYFSDKISRIYHGNLFIIRNLLYLKYELINLSKENSQK DGFEVLESDLCQLDCNKNKFSSNAEITDSNNLISNSNLFYSKATLDSIVNIVDVLINS NLEELINNICSNISLPLTKIVLQVHPEIQSSDISKLQCTNHKYIRESTDLFWKNLELH INCYILKYMNLYLSIAGKEEMETLFSLLISEKCPYEENSKKDIGNKPLNEPSSFGSIN ILNSNSSDLLGCEYGKANLNSIISFLPNPLSIFVSIKEILIGVISEFDHVLNKRLGVE KSIIENELGWKFQDIIDFLISVEKKLSD cubi_01122 MERVRVVLRVRPNADGSDDEDIVDIRSQRKVVISKPGKKNTYLL SQQTRYYEYTFDHIFPPESGQEAVYSISTCNFLKNAISNGINFTVFVYGATGTGKTYT IIGNEKNPGIVIRGINETFEQLFSNEKLIKDNEPDLNSNENNNSNELRPNSKFFVTAS YMEIYNETIRDLLNPSNNSNNGQYSCEILEDQSNIQISNLTQLLIENSKEGIQALNFG NKYRKIEQTAANNVSSRSHAIFQLSIFLEGKKLYMSTNNNPHKFVRSNNYKNEHFPSE KLYKPSINNSMNPQLWCKISFIDLAGSERASATQNRGIRLTEGAHINRSLLALANCIN SLALSSSSVDGQAILNQNSDVRHHVKYRDSKLTHILKNSLEGEKCFVVMIANISPSSK AFEESHNTLKYANRAKNIKVKISNQLFNENEEGDSSCTCNCRFGINTDQKVKSFKNSQ VDSSSQTMISGLVGTDEKKVPQEENNHGLNLIQHLNNNIYDVINSMSEFSNHISGKKV NETIIDDSNYILEKENNSQFQHNEINYLEKQLNRTSFVSTQSNDENHVVELNKMNESI CNENSFRELESKIFSIMTDSLKKLKEIKLEYSEIINNEALLLKKIQDLAEDFSIKRQK KYTESVQDQS cubi_01123 MDKQKKVEEKTIPFSGGPIINQKVYDKNSIKGLKHINSSSSTIS TSSGSSVELYEASELQRLHSIALRSIAIVCLAASLDGCDEQLLPASLRALEVDLKLSP KDLGHIILCQILCLALSCPIWGLLADRYSRKYILATGVTVWGFVTILLAFSSFYWEVL ITRAINGAFLGSVGPLAQSVLADTLNSKNRGLGFGMIQLSSCLGRVFGAVLTTSISQK LIVGFQGWRFAFLLVGVLSAILGGIIVFLMDEIPHLHLHRFRSIRSDSNSESEQELLE QQEQSEFSISVVARPDTGGVDSDIQSVSGSMSQEEGQRVTLLNTFDTNRIFVHDENEE ISDIVDENVSTFQFMRNVITQSLFVKSVILMILEGISGTIPWSSLTFMTMYLQYCDLT NFQAALVVATMLAGSMIGGPLGGLLGDYLNRISADHGRPLVGQISMGIRIPIMCILFL VIPKDSSSFYYFMVLSFLMGFFAIAGAAASRPILSDVVRASHRATVFSIAVLFEGISA ATFGAPVVGILSENVFGYKTTAENVSQMDAESRLTNANALSNALVFLTVFPWCISLLL YSLLHFTYGNDKRSLKIQLSELSGYTRSIRNRLESNGSDTLSSRS cubi_01124 MDFAEKLGDASQKNNLDEQEEIVYSWEQGLVKNWERLVETESGL VVIDDESNFDLNNATINSNIELLKNSSNTNIRRGLLRNIVIILDMTSNMLELDYKPDR LQCMIRCNEVFIKQLLEDNPLTQISVISIYDGIGEVIISYNSNFLEIITSILNYLKKG CKGSMSIQNGLEKAKYLLISIPPYGTKEIIFFLGSMRSVDNSFLFNEWVEGFSTNNIT INAVLFIPELYIIKTITKMTGGVCLCAMNNDHLLKLTLENFIKPPPSNTTNTQLNINL VTMGFPEYVSNQTHPFVCSCHQSLTHYGYSCPKCKSIICYLPTKCPVCSIYLISPNHL ARSFAYLFQHPNIIPTKNEECSKNEFDYIKEKCELCENSFFNNSYLISGSKQNVNKYL CTNCNTHFCNECCKFILNNLHQCPICCSLKIS cubi_01125 MISIFEIALNEEHENNTSEIEQLLSLIVFHFIEREQPMFCRVAI KSGLLKLLIRIFKKSNDKCITFRLKNALLRTIIRLIRISSTSASYTWVELKNEISEFI FSGDVSMRIWGIKYISTIIKMIEVDSEDGLTLNFIQPLELCPSIFVLMYDECLPIRLQ TIIIICRIIIARNSEKECKQLVYMGIIGLLLDVLKQHELKNCKNSVALPIIISLNKLI KLSEKWCMVFIYSKGVEITLKYLKYSLEKIITPVILLIYNVSSYSSFHTNKVLECKTL DKIHRIKELINSSDFIKIIDHVIYSLIMNCTEYEILYSFVMNSGDPYIIENKSIQDHL KLVQKNFNKLKQFIDSKRNN cubi_01126 MRESINSVHSELLPKTSLGAEFLPLNKKGNIPKKKLEPLQKENA VEQINNKKNEHDKKMPEIKKVSKKKIHDSSSSSSSNFSSPKIKKKPNEITSDDSASIF TESSKGSSVKINAKQFSRDKDEIKKEVHDIGKKVSNSKKLQPSENVPDDLRAQFELAV NKVRAYIEKEYIDRMCEIDSENAIKLQRELNKILQSERDALEREKFNFEKRLREEMER EMHATIEIAAVENKKKADVSLAEIRKDIESHYIARQKEIEQELSKKKELLEEEYSRKN AELEEVYNNKKNEYLEGISKRKKDLEENFEERRKSLESTYAERISALESQCEENLKIK LEAELAKNETKQQKIIIEKDKELIQGKVLMESLKEQLEKMTVQKTELENMSSSTISNM KNYMKELQDSSEIQKSQIGTLEKTVKALYVKEQETMELVNQANEKISNMMDLKEAKKI AYNSLLKGMAVQLLDETLRAHYKQNYLKKGFEILKKEISRPKIVSTNEDGKGLKGNDL TLFLLRKEQLSLFAENENLMSQVEDLRVKHLEELKKTQESFMMYKRDLERDSNTETNV ALYETSMLHFACIINTKIKLQMVDAFWKMRTRPNKKNFVETEDGKKDNLIKPVDINNR DKILINSLSSLYKISRIKLISYSWRKIVLNAKFSSFKTLPTMPFNEMNNNKGYNIDSF YLRDFINSMGKNGVTNFAHQPNYYNKLPSVINVQNKAVFVPLRRDNPITYGTYFQYNK EILSESCVTGKPVGSYTNINFS cubi_01127 MTSEEAQKEFLEYDWSKSTLWQQYYNNLYPTPSASQLTTFKRKW FKKNVNPNLEIQIKESYNSYQQESKCSSFNFQPESTRSKLLLSEGYKQWMIFALSISL LLSSIIPILNFLPIIRGSKLVRMLVQLCSLLYIFGLGTHLYDISTKPIKLLSIEFWQK ILIEESFYSLISIVAYFGLIYSFPMIFFIPAITSLFIISKYQPVLNNEQIINVFNLAN QNKNSLYQRRAFFEVFALGIYILFAVFTRRISIIYISLYWSLTRVKYPIDPYVQYAFR IAHSQIDGFFKSYSNYIPGFIPRSYNWLVLLGDASVGKSCLVVRFSKDEFHEYQEPTI GAAFMTQSVNVDDDYTVKFEIWDTAGQERYRSLAPMYYRGAAAAIVVYDITNAETFQG AKSWISELQNINNNEIVVALVGNKYDLESNRTVDSETARNYAKEKGILNFETSAKTGY NVQALFEEIARKLPNTKKLDCSNISAESNGNSGGFQLFRSDRNGRGNCFSSSCSSN cubi_01128 MEESQLPWVEKYRPSGLQDLLSHKDIISTIEKFISSGQLPHLLF HGPPGTGKTSTIHAISKCIYKDRKYQMVLELNASDDRGINVVRDAIKSFSESASTTLN HVSGTNLSSLDVEMSDSSVKNLDCNKNLCENIKLVILDEADMMTSTAQMALRRIMERY SEHVRFCIICNYVNKITPALQSRCTRFRFSPLPIEDIRSRISEIALSERIFITLEGQE SLIKSSRGDMRKVLNVLQSCSMSNHGNIQKYKNSEELQGIFCEGLVTYINEEMIHRIL GIPTKSEVDYIFGVLSRESFSNGYNALHNSQNENGYSTQDFVDSLYNKSMEANWPDEV VPLLMRRLADIEYRLSRGASESIQLAAIVSCFHEVRMEMEKLV cubi_01129 MHEELRIRNNSLNEQRVANINKKGNASFFPQECSCYNSENCFAL VSPHASFTSMASFALSSSSSTSIQPIGNEHLKKHCCIPSTSLSSASFSMSEGCKLAVS SGTGTGKFNTVNKQINGNLNMLVNSNFAGFSEKIMNKSLKAENGKHVSNTVSNPSMAF ANGVCYSNISALSQEETDKHAVESSKDTDNSNINTLNHPKVTTYECENTYTGCSSGAL IGTDVNSINSSIANSNPVTVSASTITKISKPSIAANKNPIVPRNYSLVHKRLYDRLGP VMFPIAPLKGIKHPRGELKLWPTSHDRVISQNSSKRKVHRSLWIPPKNCEQTFANDLN GSETISAYPMALKFVEDGNTRDGRSIKREIECHLYIYQRLSQLQQDQGYDNLEDAWPC AELIGYYLDKKNPGNSVLVTRKLSGPDFFDIIRSEHSSSANSVYSRCQALYEYNKLQW CTLALTRIAQYSALGIRHNDIKPDNIVLDFYYNSTSNERHLDVKIIDLGTASMHSAKD FTGGTSWYESPEQKMLEYYTKKSRDLKSAKMVEIGLSSDSWGAGISIAEVLMGRRVVD SMKSPYGPGPLEFKGKDGWLIDPHEWIEFAKMALGFDNSNCPYKYKICEEAAKYVFNM LVQVNSNKRANIKDVIQRMDYYTQKAYQAVKQKH cubi_01130 MSAEEANASIDREIRAIVFEEFSPFIVENINKNNLEEIYKDYSD PKLLKLCNNFDFSEFFKLSNEQVDANIETIKIFKVTLEEVFSTVLSIINIQQWLSVEA RERGPFPTIKKDERASVKSFVTNYLPDVNYENNYNSWKLFLKRDSPAGRKVCEMFKLS FLKSLLLTNIGIILNDIETSGIFTKFALKSNLSKALCMKFIIKLCTICGITSKESIDH LKRQAWDHLRYISDDETLQSSLLSETGLGFLHRDSGSAKEEALGIISFECITNDREPE HLVKLIALKNVFARQLPKMPREYIVRLIFDRNHYSFCLLKKGKVIGGVCFRPYFEQGF AEIAFLAVTSTEQVKGYGTRLMNHLKQHVKKSKIEYFLTYADNFATGYFRKQGFRKEV SMPKERWFGYIKDYDGGTLMECYINPEINYLRLSDLFHEQKSALLRAINAIRPLKIYP GINLWDNENENTANEDLNIEPTKDSDNVESTKSEVMNDGETGNNYQNNLRSEDFTPIM DSEHEKNLAVNTKKIKPSDIPGVLEVGWVEQKIDPVEQTKVDLSMNDQIWQLLDTLSR HENAWPFRKPVSVGEASDYYEIIKEPTDIQTMKRKAKNKEYKTLADFSSELKRMFDNC RFYNAKNTIYTKYANQLEAFIWPMLQTIQES cubi_01131 MGEDQKEFLRKVANVCELTLYSSEMNNKLLKNAIREASSDQLLA PVSISTYPQFIPSVKHSLPENSTTALSTVVAWPRGDGCSEAIDAEIYNAVEYYKVHEI EYVVDYRSFIYPMLYKYTHQLLLQQMERVINEIARVKSLIGPNILLKVVLEIGEINEA SRLSSLVRCAILGGADFIVTCTGKVKVGLNSEDLKQVLNEIKSSIEGESPCDKEIAAG DDDEVLERLQAHYDESFVKGTIIFPNRLRYLQKRTVGIKLQGGVSSLDTAREFINQVE KVLGKEYISSPNTFRIGSSTLHPLIIQELLGIDDELRIIHTLGIKPNNIEQVNQEEIE SSPKNELTGISHPSAPISSNQTHEIVGLTETIDNNKDIIKVEALNKDNATISEGDFEN NKSKIISVEYLIN cubi_01132 MWGRIVSSVSSVLDINQATLSGCIDIIVVPQADGTLHSTPFHVR FGKAKLLKSREKNVSINVNGDDIPLKMKLGAAGEAYFIHQDEPPEDTFGNISSPIDSR ESSPSDLEINTPEQSTNNSGLNNISHAKSFETTVEYRNKTDSTDPLNFQNIETEYENN QNLTNVFQRYNITLSLCGHLLYGGEEDSRHDEYVFRSNIISFEQFENDPDLWYHSCLV AIIDGKPPYYPIKAFYPILTSIIAFGRPPSTQALKKFLGITERSSKKIRSSSFCGIIN SNSDSNHVNCRANRCLSSTIPLPSKSYIQGCLTAPVSVDNCEASSFESIESISSSPNL IRMDSPTNATDCMYLCRSKPPAKYFRHSLRPTSDQLKSMNLKWGANRVTYTVESSLQG KKTVSGTIYLWPPDSRIVVSDVDGTITRSDVLGQLMPIVGKDWSHQGVAELMSNIEAN GYKIVYLTARAIGQADATRDFLFGLKQVGNSGNATLPDGPVFLSPDRLFPSFKREVID RKPYIFKIAALRDIRNLFPIYRNPLYAGFGNRDTDYRSYSHVGIPEGKIFIIDPKGVI HHVNKTYAKTYETLSEIVEYMFPPLIESSKNNLNKDACDFVENDDNQLDILDDQVRRK YKLLQHTAVDGDMLSKTNSNTIDINYIGKHQIIDVDCGDIEDNNSDCSMNINSYKTAT AMSNYFSSMDASEMVSNFQFSHTIESIIESEL cubi_01133 MQSKKIELQDTDSNAPLLPYLRRLFNTLNREICLFYLPLNLPCM HSVPFIEQEYLIKNLSNSNYNGISDSNKSLFNRDNKVDLVKSLYVYRHSKEFCYVESC IDSVRVSLKFYFKCEFERRLFNQLTIFMKKNSDYLQLVRKESIKGFDISFLITNQILN SYNNKICIKKNNSCNQMGKYDVIHFILKFVVNIDRQIQDKILQSTYFSRYYIRKLLQE FDLNALYTKQSNENVSKQAKQEMDETNKSEIKPIKSIKKEIIEQNNNLLENNKSLPSL KNANSANVASQLNDSIE cubi_01134 MSEDSMISLVEELEIISRDEHTIIHILDFGFISTESARLCGLQS FSNNYKSSGSLILFEDGQSNDEINDSSICFDLNSESNINTTRSNRDLLLTVLVGNICI NNTCFALDEHINNRIFNSRPISPENYLNSRNTLNSFSNLDSIDPSIENNPQKQFKGIE IEISINNQYPSTPPDICYLSHYNLNKDYKEKIKINVDKFLKNSQIEYRLTQVIGIIKN ILNCSNRLEESEQQTQIVCENELRNNKEGERNCLEGYDHKLISLDEKKHEEDTHYMLS SNRFEDNFEVIKILGYGGFGSVFMAREHFGGKCTYAIKQIPLSSRNLRENQMLINEAA MLACLNHEKIVRYYHAWVQESVDGNTRCINNELFDDNIYSSFAPELISQLSAMTAQYI TNDFSILDDHKKKRPEQSLLKGDKKKSIYLFIQMEYCSGYSLQNAIDKGLIFNNQKLI WHIFYQIVQGLSYLHGKGVIHRDLKPSNIFLQLDENNSNETQEENWYLVKLGDFGLTT FVNIFERFSYPNNSIDNLKANKELSSGVGTMFYMAPEQCKGNSYNQSADMFSLGVILF EMYHPPFKTGMERVQILTQLTKYSTIPKNCNIPNKVQILIKSLLNQNPEERPTSYQLL YNEWILKQTKFYLNLEDSVILASDLDLVGARGEYSSQKICDIISRNPYIHENIQILST LFSIEKRSMDKQFYRSNACFSYFPFHLSNSGYKICPFQTDNILLNHHHYYKKRSELIT YIKNIFKTHGATEVGIPILFPKINNKNLIFDEKTQSEINCNDRKVNFDLDYLILSTNE RFENDSQKNKKGRKHLSKKNQQSNKEYNELNESITISDNPLTVVLLDRSGNPLVLCNN ILKSMSAQLGDGQGLRMGAVIKRFYIDSIYTQDNLINLDASNSRGAITHGHPKELLFG TYEIVVKINDRVIEEFDSVINFFEFPIEVQNISGNLNISPMWYYNIIIYYDIEIIITA LDTLKPYEMFIGQPILVWGDSQFFIALLESWIGIKYDKGKYLQIWLQNMIPKGISRGK LLDFLQKITLISLAEFVNSYNFDREIEGSNIMKTELPEQIVKYYIGLKILSYPSILEK VVEYIFFIINDFKGSIQNLIELINKGTNEIFNLFEMEKKKFIITCKNGIFKELAKVTR YPLFINLLVNNKGFNGNFAVNFKTSDSFNEEKILNQNEVFKNPELVTPNLLKVIYRIF LFNNLLNSTNLGITKIFFDPILFSNNNFFESGFFFYIFSGETSFDQNNIQTDIQPFGY SQNITICKVGCIMDLIFENKYNINNSNSPYNSLKHSNVELLVKGGRLDNWYISANTDK VIFFKNYSSKKNNDKISAVGFEIVLERIIYKLLRNTKFKTITPPPSSSVPNNNELFSN TGIHVFILNNTKYQLKSFKLRQLLLLKGIKCQRNISSNNLDTIKQKSHIKSRFPSLHW IVHIIRGNFGDNRKHLSMNSLNKSSHSPYQANNSYSNLLNNSYLPENQNNLLFSEIKY KIEWCLFSDNNLSSYAKEFINNYSGSGNPNNMEMILDNENALVQFFIEFYCMND cubi_01135 MARFTQTTEKLNMVSKSKKVKLEMVTKILIDLNKKISEVKKIAM EPIPIDMLTMGNIEHLSLNLTQELTNNGNVNIDANGSLSLLKSLIHEFLGLSSIIMDN SSMNAENILLNIELSKCISSNRNKLRAIIVQIFMKWLKDDIMIRNYKNMKEKGNFVEN FNFPISLSTFIIRSILTSSIQKDEINRIILDSKNNMSNDTFIMENLLLNEYIMNFKDL RYFFLRNILNLLNKCSEGIVSNFDHGKNSNIISDINNEKVNLMTTILLRVYHILINLP VPNENQLCENDHSNINKADLLFNSDFDGDLDKIAKFEKNYKTLYQKLWLKYINLVITD YDYENKIIPLPILKDALEYVSEFVMPIISNPLELADIFKNCFDGVSSKINPMDKLAIS VISLNGLFYLIVNNRLNESSHLESDSEENISSGYYRRLYEILCPPIFSLKFRTKFLKL LSISLFSPLIPMAVLSCFIKKLIRISLFTSINNTVWIIALVNSLIKKHRNILFPILSL NEGDEIYEYVDRILKSTQGELWSYDKGLNVYKNDQFLDSYESSVNKSEEHKMNKADNM NINSEMGTYLSSNFGLWELYVHNKSVIPVIRHVSNTLTLNASNAKVNYHLHNLNYEDL IGLNIEEILVHEISSSNGIIYNYSTFFKKDKEKKANIPYNYIDCSSLSSKNLSQISNK FFTDSDVELFSLI cubi_01136 MLTKGRKPNILIIGTPGTGKTSLCKKLEKKLEGYKRIELSKAIK KYKLYSEWDDEMGASIFDEKLVRRYLKNQLDKYNSKNIGIILDFHSVNFLKKKWFDIV FCLNSETHILFDRLEKRGYSQEKIRENVECEIFKVIRYDATEVFDDEQIVDLKSNTIC EQRSNIKLILKRISNIEYN cubi_01137 MSVGNFVPEVIFMDSIESNIKLPTENENSTNQDDYNSKENFLIL NSQSKNLSNSLPFDMKIKKQTLNSIQEPSKVDNFYKEQPLQGIEQNIVENLQLSTDYK AQSYTGHSNFKLEWDNENKALFVVIPSVDSLDHWMMLFLQPLGITCDSLDIDNCCLKR NCDFRIRLDDERLLLYPDLLKDISDFISIQYLNWQYYNENVILRNSEVICSDQDGYGN DNFVGENHIAPTCYNYWKFPDINVFVNSNSRLKNDTNSPEAVQSNKFSGKENFSQKSN SFDKNIQKSIAKYNTDIYGRCILVPEPSPKGEDPLVQNNTLSCLTTNIPDSNILTTDC GMLQVLTSENSNHEDNYFALNSNNSMGTNDKEYFPIKA cubi_01138 METFIKTAVLILFFIAINLDSGHEQFLELVSNHEYSFIRSRQNA WDIANENNEIFNSPRKRVNEEMNFSDYKWNINYDQPFSSQISQIILVVLAIVILICVI LSLICCFYSVPKEEDFTKKNGIYYFENEKRPEEFVPIYQKNAQSRRYILTGEIPKNIE YED cubi_01139 MINDEENELLIEDENPNSRFSLELEFVQCLSNPDYLQWLSKEGY FENESFINYLKYLLYWCEFPYVKYISYPHCIKILRLLQIEDFRKNLSKEEAIQIIREQ QTYQWIYSNIKKEELKL cubi_01140 MRAAWGALKGAVQELNDALLEEDDEDHERSRRNKQKEYITYPKE RNDHVVSGNFNSSTLKEKPNSEIRNNSSSNGNHRNIISSVKKSNSFGLTNPLNGNTRV EFNDNLPLNNNQYSEQNIFMLQGSESSGESNSIIDEDFDWGLDTESISKEKFDSKTNQ ITKKNEYNEELGQEPCESNFNMHSRIRKLHEEFQQKDYSDDEDDGDGIKGELIEENNS PNNTESESIIVTEDDGESNITDPLEDGENRPEEDDEKTLSNGSDDEDLGTLNLPEKYL KELFEIFHMEINNIDYLLKVIQGYYSDWKGIRDSLISDKELLVKLAPPHYESYLKNTV LDKSKPFSLGFTVLIGQCIYSFLQEFKKGISLITEQENKINDLLQKSAEYSTIVQNIE TENTEFSRKISVLENEINFLKERNEELQNKTEEEAREKDQVDRKRIDDLELERNNLIE KINQMIAEKEESKIEMEKLHDHINNLTSIIEGYQAEEEQINSRYEIELERARSQEIKL QSKLNVLNSCQDEISSLKENILKLEGDRNLLEKHISELQENNKNLLNSNEQIMKQLKD TKEEQKEFMIDKRFIIQVIQKHNEDGSRIKYRNDLFNLLCDAIGLSEEERSNLFFGDN KNSGSNSRENAVHDLNQGMGFADLFYNFLNSEVEENTQGVK cubi_01141 MEIEPETILEKLESLNCSLSSVSLSEEFKCEHERIIGIIKSLES RDIIETQFVSDNVLSLTDEGKDYLTNGSPEFRLIRIILEQEGHKIKQNEAMKLLGDST FKIGLSKCLQKKLIKLNKELGLIEISVNSNKESLNVDELKKNLDIVGVKGIKESELSK QDMQILAELKKRKLVISNKMSYFMINRGEKFTVKLKPVITDLTQEMIMNSSWENNEFK PYNFNAKGKRLPRGNVHPLTRTLRKFKRILSQMGFEEMPTNRWVESSFWNFDALFQPQ KHPARDSHDTFFLETPSTFRNEMELSQDHIDQVKKVHEIGGYGSIGLSYNWSIEEASK NLLRTHTTAVSVRMLYQLAQMYLNSENGLSFDQFQRKAYFSIDRVFRNESIDATHLAE FHQVEGLIVDKNLTLADLIGTLKTFYEKIGISDLKFKPAFNPYTEPSMEIFGYHTTLK KWIEVGNSGLFRPEMLRPLGFPSDVVVIAWGLSLERPTMISYNIPNIRDLFSFKAKIF S cubi_01142 MNDAEEDWQDPLLDVSIPYNSLAPYALTCYQLDEDLQDLISFFS TLSKEDLAHNINETSFESSLRVLKNVLLAQPNKFLNSSGRPFTYESPENAESSRRDNR FANTDKLVKLQKNESIVIDTSIPDSLNGIVRTTNESSVKADLAGGDLTTNTSQVHEGN IQVSNSAYGTVSSGGENQFADQSKMLMAFFKELEAAKLFENLESFSGILAVHSIHLRI LQDVVSDVFRVEELRLRLCSQLAALLNPIILSRLESYSNSLFLTAFTVPSGSVSSLDM RESNYSLPNPIIYKWPSNSVSIEDYKLYMSRLPLLCFSETFKLGSCINQSQKFNENQK TIESNGEQTIDFSDIYPDECSVRIKSLDSIYNYENVSQMDHPLFQVDYNLNSNLNTNS NFVHHPLCTDIAQDSKDIERDIIYVNGKEYSGANGGYERIVFIVANLIENYWLKSLKE LDNFNTNVDIKESAAQSNCKTVELCNGSMFNFADGELNEGYEERNTESVIIATILLHI LSRTFSGGTCFDKVSLVYNKPDCIVISPNSKRARPLEMLILPNVALMLSTLRFRLYEV MENLTINTRPCFLIDTHVVTRLVPDSGLIESLPYYSFHMLSRTPVNKIPQSIYQHLIK SANLFFTKNVVFTRVKVPKNTEVDAKTESLEQAEDENSQLNVVNSFDDLEDQCEKLNT VQKECKEGDQNTSETFICNNKCLDTDKIIEVTGDDLTIITPGEAALFRMFTNIQDKEA INSSIENISLSNSENYTSKEAVDDRDRYYYDSNSNESIKEELKGNDSSLANSESKSQL GIGVQDIKSMIESDRSTQIQVSNNFHIEEQERSHCPPQDNCTIPHEKNLEGQILNNIK NSPYSGYISNEIRDDNKHNCISFGQESNDLQSSKNIPKLYFCNNIDFPDSCSLTSNIY IASSENSSNLANDLCNLPADSIGAIDQENQQLALFLQSKRVISQFGIFNIINT cubi_01143 MENLLDNNFELLASELFDVPRDSGVSYLQIGTSYNNNNNNNNNN SNNGRNGGNGSARGSQQLIQQPSQHVRNSRSDSLLSDVKSSNIGVSSVDGSLVDFNSI RNVENSSKIGISGHIIERSSGMGIEHTNNVVDELRGFNITSRHSGSRSFGRIEDTYLD LDGSMNRRSSDTFSDVCAYQQQNNLGNNKNNGNGVIHSRCLNGSFSQNSHLGTSGGTT KISNCNNNHGNNFLDDQIEFETEHHIQGLIGRYNSMCIDDQILKMGTYSNCINNNMNS GNVSTNCTAFEANSLNNKNSNNNGVNANVDVFLPQIEYCNVGTNSSTIGNGLSHEIGC IERDSTECYRAHQNYIQRRFANGDFGTCNMTNTTTVSSEPSSLSGVATPGSASLKSAN STDALTQRFRNSSNINLSSYDMADIQGSNGINFDKLSTGTIGINGYSKHLNTLSMDDT GIPLEDLVSEMNPNGKLRFRKSSGSNSNLSNPTPLMGSMNTSGRGIIGGSVCSSGSSS CSTGVGGSTCSVAGNTGSAYGMKRSSKSNSLIHSGFNSNRNNSLIIDEEDIYPSIGHF ASLGSSSSQLLGVMNSVNNQPEGLVNNFKSRSGSANTDYMCRNGIDTSPSIKGNYISY GDSINGLEGRHSSHPVLNSGDIGGSFEMDGNVSQFQNMFNRLMDENNGYRMESSIQGI DEVELRGEGKSYWQHTSPYKFSSSQGLVDWKTQHQLSNFEKNEFLDDFGCDIPYNSYL VNRGQQLRHKYFGQQKLYGFPSNGNNKQQKHLKQRSGVSPNMNSFDNNQKTASTYRNG NLPLIYNENNLLRCESNILRHTNRTGKKNSSGSLSGGMPNMSLNPNMNIQNLNNQGYV GVNQGQLQYCRKTCPPNCTIPTHINGNMFRRKSGSGIIPFNGNQLGLGNSVGVINNGA SRSLNDLSYSGISNMPISSLNNQNYSKKTLEDAQYNKNNRKNVPNHFKNTSTASHSCN SNMTGGMAGFGNMNIQNNYPSIGSSFTGGLSASTTDINSNDASQNGLTPSTIAALWAK AMSKTGGRTGFSNRNKHTGSSSVPNNGEASTNNVTNSSSSANLTDSKSGANDIITCAR FSSFLRNNSGRLHWLRVMYHYLDTVDAKKIRETNKGDNLCMVAVDDIALEAVSRSFDP SECAYWSEPENGAFVHKNIRLAVAHKVGHRTVSSPQNYVNQILTASLDHNVSILLNTL RAIDDRVRWMSTVVNSKNGGLNIDIKSNKGNPCVTSTEGDCNQRNSDSKSIVDSGYTI TVNGNATSISSSGNALSGIEESANDSNPNLSNSTKNFPGRVFTVGVREAMRCLRHKKL KALIVAPDIEGDGVEGSLRHHVIHILLQAQELNIPTIFALNRHRIGRAMGKHMRMSVL GLLSIRGVEKQFQAISHTAQLLRKLYHFCIENNLPPTEETFKKLSSSVNIN cubi_01144 MIETTISEQTLSPSSSEIMRKATRLVDQLLLKTSNDFSNGGAAL LKQNNVFGSRVAVAEENMNCESNSITDSETFSALNSARKSIPSIDIIKRLAGRLKNKD SDTISELNFPKRTTSNNQDVGDHCFKMSDNCRSKSASPTNGENKEENNSGLSHDFAQM NAKLSEINKRVESLSSNIEDIQDTDRLEDVGYSAKVENRRDFNGFDGEGNANPGVYEE DTDDNYLSQLNVAVLQQERLRMWARDNAKYASSISNTSESGNNRESEHIPFSDVYYTH QSFKYRSGELTSSSGILNSSVLSTTASGTTGQFAHSNDTAIYEAKSNVRNCVSEFSGT TKFCTNGENSENKNLEAGVTLATGSFGRIPGKFAEPKALRFIRVGNQLRRSITVTPLV EDMQRILSREVVNPNVSFNDSDFDSRIQFNSKLEKLINSNISPFNSSKSSGQFSNNMV IITVKDSGSGFKKPIRPRTIDDAASIDSAESDRNYFFSCRECSWNPANACQIQ cubi_01145 MNLNFELGKYSNFDFRAASEWIAKHSIGKKRLVIALQFSESDVK YAPRIQFLMEEQINCIVSNEGSSKDQCNIRFFVLCDVANPKCCLDVLNIKKSHSDLVI HFGYSCYHNIGYFKLPVYFIPSSSDFFEVSDPIIFREIAHFLHEHLKNKLNEQNNSSK TINVIFSFQGILKDKFQSILLDEIRKLGLNEYNDKKKAFLYLLSPINVTDCFQNKDLE FIFDLLLTKIGHDKDLKLDELEKENSQKSNNENSNASVMFFHFISKDNKYSNLPNSFL ERIILRYNQIFEIFLCQTNDSTSKIELNLNALDELNSIISKRFISIEKAKSIHTTNHV AFVITPGITPTEWKVIEFFKRHKYRRNTDNGNKVNIETHIISLTGVSEVKLRNFPDID IFCFFGCSEYFLSHIIKKLSLKTPIILTPFEYLVFLGVKEWSTCHLSVSDLQFPNINE VNHSTSTHLSDSDDSEIDQDLSKLSIECSETFSNNKLATKSVSYCLHNIDKKTRNLLI SLSSIKNKHENTYFGLNPLENTDHIPTITCGRDGIASMYNNETK cubi_01146 MNKLQKYFGVFLVGLFINTLLGSTSVSATTDAEIASIASLPRES CSLGFSCQATASCRGGSVIGLCSKKLADGYTLRLRGKIPESLNIFATKFNGFPVDQTK LVLRIYDDNKKPFIDMIITKETVGFSLVGALDTMNFVSSKRISKGINLDTTPSNFRVE GGDSFDIYLFYRNTYLTFLFKHKDGKYYEVGSLFIENKIISSIAPLTGPMDYNVHFEQ SIALSIPSTYVTPVIPGNPSPLIYSGPYTIRRGTYVVYPGGSVIEDGIKFVFNQGEVS SNKVKHKFIKYNESKTQVTISITYSGNYKYKFNIVNDFKKETQNVDFDASSLKNNHLG ANGADIVHLGYLFTDGNLVVCIGDTCFASTNISGSEIGKIETTVWFTGSVMLSIPDQT LVGSAVGKYKCKVGETSCTGSEYRFKNPLSPGQKLEIEGLYSQGDYFKAFASTAQKEL IGAIAMGDSDHMRAAVFLTKNSVSLMMFSDSGIATDSCSVYLSGNNVLDRTKEIKLII GLSNSNKLGVSGYFGNNVLLLCELNLGSAIINRVRPVKDNFHSSSSKFTLSNDYPAQG YEGISGGSSTSRTQQQAANCYLATNGQCNAAKIVHMDGLGFVKGTYMTVKSSSVTFPF TFYVKSSDNNSDQLAFTFISTGKFSMRNVNTQDIFYTKIPPSCLERILLQGLSILLSS DQSRLYASICGLVLGSVPTTSLNKKLTFIFHNNMKGNTVEVSTFPVYGGGSVALDYEA EKYSAYSSNIRKDDDLSFASPCDAKKAISTSLGDCSSLINMSTSKLTNGYSIFITGTV EKPSSHVEQAFNIGIPIENTIQVFNLKADNGRTIYQFFITQDQLGLSIHNPNGIGGYP PSHVGASVPPNVNLEEGAKYKVGIGFKDAAINLLIENPYGSGKFALLRRTLVSQISAW GETIYNCQFTGFVPINSIVPELLVKSSYSYSLSNNLNVWFPVSKEFYASKTGDDSCTL NVYGVCTTKKVYMPGNKPVNNDSKFFIRLYSFQKTAFSIYFKKEDGTVVAQFDFTSSS TLWNGNITVKFKTGFEESTDSYNLNLGSSDFIVHFTGNAMGYYLGGQWRVFLSLKDHS NFNQVSVSQLDGFVIEYVRTTNSNPSPWQYLKYGSTTAKASMVLAYDQCNSQTPTESW FSRTGVYYPGTSIENLCSTALHPDKFAITFKSHYPTYVKDELSKTFPNFPGFLNSFVL LYNGSVVYTLVVARKYISFFSSLYSGEAGSFSSCSSQVPDESEFQPGKQYYITFYVDG TDLHVYFHSYIVGKSALLCKLPYNSHFNAAKTFGQVYISSSNTVAGVIYNIKRTLYNP SLNTNYLYPMGTVTSKNAILSSGMPFSPNESLSIEMTCGKDLIFSGQTGKPQLKLSTN GSKFTLENIPGKINATGTLSGDCVCSTNKKIIVNLAYINGQFRLIACKTSAASIFTTG VSIYQVSSEVELRVTYRTDLRLAVANSLTSSQIIKVEEQPDTSKDDENAAIADKYIPE SFTIQATMHVIPKYTTS cubi_01147 MTGENESNELLSFSELYGSILDRRIIQSLTNNNYLVPTKVQIEV IKKIIEGKDLLINSYTGSGKTLAYAIPICHSLLNMPKKSASKVYSLVLVPSRELVIQT YEVFEQLLVFCENSISIGTVFNADDNLLHKGKDLRLSNFRNNILVSTPGDILSAKGMK SKESIFQNILHLVIDEADLLFAFGYDKDMSKVLDLLPNSQDKKYQCILLSATLNKEVD SLKKMVLHRPIFVDIKPELREDDFDGESNDSKHLTSGILSEYYTICNSMVDKWLMLYI LLKMNVIPRKCLIFVSEVDTAYSIKVFLERFGMSCGVLTPIIPAATRRMLIQCFNQGS YDILVTSDTINEKDESILSVLKDNSITYRGVDYKEVASVFNFDCPSSVRSYIHHIGRT ARGGASGVSITIINSNIPNEMEVLDELLNDKNRKMNKLKITSEEVACFRYRIEDCMRI LTKSNIQKHKLQEIQGLILNNTRLLKSGYFNKHPGDKSVLKSYHKHITNALNVSSSGR EHIKNIPGYLYDMVNSNISSNSDSVVDSSSSMPNILMDGVLSNTSSSNHGTNLTEKVQ EAIRRMGGKDFQFVKENNLNPMNINKTGNSSGNKKRIITRKSQLKKEPKSYEGTVPDE LPAISGRKLWKLKHKKHVKKYKDFIDDNKYKRKKGVRR cubi_01148 MQINASTDDYNIVEEEINNLVTNKAFQSSHPNTGFPVVYNRRKS EKKSELKLEPDLLNKSLGNEDCELETSLAFNLTQNDILKLRWTNPLGEDVNDWDSTSE SDMNLHNLRFNFEGSLCDNSNFEEKYKHDDLYFYKGLHHHSNQGEFEGYTIPELMHLS RSSSVSQRCIAIRTLGNIFLKIREYHIFNHQFGKAYHKYLLGYLFGIHRWYKYLTGDL SIHYLLLNMLFHESYASRTAEHSVIALNNLLSGGQFPMRPLNIELLETSKFRLLNCFM TPSEFIFELLDEKLLYYPSYFRYNIICQKLEFLNQLVQKKFHNVRYNDLQDEEHLIKF DLPDGRSLELIRDTMQEIFKYVPSRYDNGELSIQKNYLFIFLCKMAQNKVGTLDSRIS SINIIRLFVQRECIYDQSICLETLKEMLNSLGDEIFNFSSSELASQNYSNSNMAKLLF SFIYLISTYLQSLYNGDNDTDNTFIKAQKNFLGNFLITPVLQILRIVILAVLGLEKLH PSGINEFIQLSLVEGTKIIGIMSLKNIYLEDLSIYCKDLIRYFAFLDLDDSVQSFISY YLYALGCNILVFSKNHEIEHEHLQYIQVLNQFIVKINEKVKIFRKELSIVSYEYFNLV LLTISIIRFQVLLAERSNFDLVSDTFQTYLELIGFCESFLEAHSKQDFDLDLLTLESG FLLEIEMGITNIQSILNNNQIYGEFYFIILISTFLKELSRLFLLTIKGKEISSSYLHD LKPSFNLLSDIYHKLSRNYILSNYLRKDNSDFHSEANQLFSYELCYFETLNMNDSKAL VVNNNIVKKNSIYLQLLNSNMFHIYLLIYTNINHINTTNTSIPNSLALNSSIMAFRYQ QFISEIENSNYDPQILYEKLFILLFSSKNLEEYSLVLFWILKLEGQISESFYELYDKL LCEYSSCLNNTNLLTVNPFIYSVNIVYNQIMSANQPIPSSENPFLFYIMKKVLNKQLI YINNSEFVKDLIIFLSKYILECSINQIIHVSKIKEPEMNSINFKLIGDTILNYVKLSL ELQGYIHISKEKHLESNKNFQDSLLEMVCNWGQNREIANVTIYDLNNKKDNECLRKLI DSLISAFCDSNMYFSEELLDCFYFLFTFFLFEIISDSNIVLKIISDIKIMKLLLYNKK SDISCILRQINSVNTKVSEASGGEQVVNLISEIITLYKDAVSQSTLLVLLLIYFNYFS GSKLELIKEITKLYEETEIEYLNRILVGLNKD cubi_01149 MQSSIENARVNKGELHNFINKQVRFVGKVVSIEGEIAILEAPDG GTVKCKTVSPPPSTYVEVIAQVMPDLSLTQTDFMFDLGDSLNMDLVNESIKISFHPKL RQHWEPVSNIA cubi_01150 MDLDSFPKSLFRELVANKKFIHLVQTDASVITNNYLDFIWDIGT FGTRGSEDKLFSANKHLVCLLRRGLSLGIKLSQNDGKGSKPMRWFDYNVDQINIWILK RGMEKFCELFPDYRRRIEQITPIVGDDLQGLKLLALEKLDGENSQISYFDVTDQWVIG SKNVTILCSDISDLENIYYNKNRYQSAKSSAYSWFHTLEQFKMYSMEDYETEINNLKK FLKNNTLLCELIGNPNRQHIVNYSNTSPQLYFFGLVSKENEYENCFSPLSLMQYLNPF FFKYIVQFVNLPSQHNVSECLEKRSFENCCMSLSPVFSFKNQCFNFQSINELDDYLSV IQSILLTLRTNTEGIVLYFIRSTGESDQDVVLSILKLKTIRFSCLRLIREYLKNIVIK NFVINVPYKYNLASLNTHEESESFLKFVSDNFWFSVYKYLAILIQNTTDDFFNKINKD FKRTCRQISNMYNLFDQKATDQMESDFIFFKQLFLSSSIYLLTKIAYLSLETKKIDSV QLVRYFFDSYSSFLSDMEFQISNSSKITGINFNLCNQNQPCLYGQPNVQLQNLGNLPS GSTIELLIPPLNWSWVEIKNMLSQDFSLGVDTWILDSSEFYLNINSSDSEDGHSYIKP NYHIFVNIRHIFDNDITQGRDQLYNYYKITIFNELNSSSNSEKEVIFTFTENSIKMST FRKSSLGQFKNLVSNLINKTTKIIVDEVGITEYSKLNANQQLVRKLEILPKILPWVNT STKSIIPSGHVINIRDFEHLMYAVFGSNDLKYVIDAFYHRETKNDSKTPSKITDHFAE KAIFKDLRLESTFLLPFGIPGSGKSFILKSLIKTILSKHTNSYYFSALISGERVTEFN MEDESVYSLVVPSNEDSSGNERFDLILYVSRDGCAQSLMNGSKWNYNTLISDKASNKS KNSQLPNNSLYELSEEKFQKFSKKTKNCMDLIIESFIKLCSKIVEERRNEDSTKTHDS SEWIKRLKSVYDGYRNLKLLVLVDVNHTPEVFLQMSGDFKSKFKLSDITLYRAFVLFI SKNSKNTGDKSKAGWKYIFSKEHVILSILRMIRRTSHSTLKGFSKKNVSILLHFLVIY SQTIKVLQLNGKVVDSTLSKETLELLGFKGIIGLKDKDSEFFSELSSEAKPFFNSLIS DLPDVVNSVDISNPRNNEKLMDRFMSNLEELSKLIHVLPEPINSNMADNIYSNITSLC KNIPFKGSDVALGKLYYDLTDKFTIKPFDLEVTTRGELSDYLSQVRSKYGFTAIGFDN HKSSIETIIQVWNKHEESLIKVIREYNSKKGNHDEINIGDIPKKVSHITCCFFRYKTG SNTEKVSNVESLLDSQIISDMMILFSMPYIGRSYNFQVSYLIYIHDWKLAFLTVSSQK NLLEVPTNENNYDILINRKTTISLAKKDSNSKSDEGEINGNKIKKGRRNRSRKKSSGE DSKDDSKATNNQSALLLTSLLPFRKGGHPHITLFSGKFSPVISNVAISLLGNDFSELS SLRTDECEVRILEVQVNEQPLEGILNKGLSEDDSKLDPVKTLRILALGLWNEKIMLRG DLVYM cubi_01151 MRRNNPDQKITGSDKKEFRSSKDSVMVYEEDDDDDENESETERE NKKNTNSNTRAITKGCRKEKENCKDKENREPKQESFESDESVHLLIEEHMDFKASREN YRKETDIQDKTENELIQNLKKEAMNSFLEIKRDLLEQYLEFRNQIFKGQKSFRVVSQI ATANLLLMGSLNCIFGLVSLNLLAVLISLYIMSISSVILMLELSKKKRIKRIVVFVRT WFKFLDLSAGRGFLQILLCTISLYLTQSTYFSLMSLFVGFTGLLNIIFGILAAFKLRK IINILKYYGSRDLEDLGIHDQNEISQTDLENIKINKDQDPEYKLRKIHRLFNALDEDG NGKIDRDELYDGLKSLNFPFSISKSEVEVIFDHLDKDSNNIISIQEFEHWFISKKCPY FIL cubi_01152 MIFWLKILFLTFLSLSKLISSQIEEVDASNSSTGNTGILPSINE SSIVEELLSSERQENSKVKSSLRSLQEKNNAEKILTSGKKPEKGCVLYVYTQDSPNPT EIVDGASSCPEEGNRGYMLQSGVEDEAIAGPSNSIVEISPIVQEYFCIKRSNCIIAIS GVGLTQEDSIYLIKNEEYSPEKSQKQKSLLLGEILRKCPPSKVGLGPFRGIKSYETSE LVIGDNMQKAATRQATVIFNVNGIKEDAESFTICYSPARSRAFRETMNPRLHIYSAGI LTTKESVSFNCDFELQGYLGCGFSSLLDYQTKTRFELGVGEETVVGSRPESDTTTGVK GEGHYIFFRAPGYMPGASAVLIGNPRVYSFGSHCLTLRYFMAGADVNVLRIYMASGLL NSAQLDGRGNRLGSKIPKPTLHYGRPNWLVVGQQGPSWQFGGFEFYSDGITPLRIIIE GVGGRSEDSLIALDDFIVKSGHCSNEVMRSSEREDQRCVWGEVRLVSSNFTTEKSWEV EGALGCSGRTYSFSNINVNSEMVSTTDWIPCCLPGYGNYTVSLHDSYGDGWNNGSYLE FRFFDEVIKVGEGFKQGSSHKTELSIGSIQIEKVEADEKSIRATIRSNREGVDVWCGI TRAGSITPSVSLLKKHGQKTPKSLSIKENHTFVISSFSKGEIRPNTEYDLFCFSEEVS RIIKNKDRTDSSNADDLSVEYSRVRVTTDSKSPELEIKQFKTLEESVELTLKMDESGT IWCMADKNNNFSRIRGDLSIKDHHIDEQRLVKLIKSSGVERNVSSKEISGDIKISIRN LIPSTEYDVVCLAEDLALPRSNRISFSKVLTNLTKRMTTKDRVPKIEISRIVPELGRF NIVVKSDTPGTVTCVATLPEGMYPSPSEIEKYGQKIELSDPKEEKQLILNGIEGNQKY IIYCMAQSRTGLTMTISDIWATAKDISSFGKFCDIPSYPSEVENGIETPFDPLTYLEE MKVRSYFDSVKESVGSKGPYRITLFVNKTEAYEYFDSLDLHKRTPELYPKPDPPKRFA RVRFGSCNETENMNNPLGLNGLYHQWKVGPITLTKKETENIGLWNIADSNSTTKGIEG TDEATTMKHYPISEPRPVECDGWNPTGPFGRRLEQIIINEGGNTRFAVPMLKLGELLE QNTLDKIVFETCGNYLPRDQVVGKDYVKLGPIIYQPHNATSGDNIEVQETEDRDEEDD DEDMEPKLWIGLKTKEGNQCPIFFELKAPYTTVESIRNGHAKIEFDRMHQMLVNKIWY DGTSFKSLQDLVNSYNEAKNSIEKNKSLGNVFNNLPLNLVTPNILQERRIQREKQMSE LRLRKLQFLEMFSRGGLETRAKPEHCEPGGRRFQVFKSPNREAYTVNWIGWELTLTND RDSGLKIWNLTFLGRRVAFEVAMVDAMAHYTVFERQWFFLDSWYGGLGTAARKVHPGV ECANTAQTIFWDNSACIFELDSGRPIRSHWKSGGIKDAAPLTSLIIRQIITVSNYDYI VDLILYNQGSFEMGVSFTGELYAGLEVPYFSAKQKKYGTQITPSGQMAALHSHFAVWK VDFDLGPDYTDNSVAWIKVRRDPEKEGHHMLERIIAERETNAYSMLNETHHHPKAYFV IDEKLQTYGNVGGFMIKSIKSIADLMPEHELYSGPASWSKYDLASSVFKYSELDADLP RDNKFATKPAVRFDSFIMDDEVIRHKDVVTWVTSGIWHIPTLEDVPQTTALGNTLGWY ARPFNWWPMDPSITLHNAVRGDFVDPGTCALQRKGNLFQLIKVVE cubi_01153 MSMIVNSGFNGVLSSSSIAAGILKRSFPLVFSRYMSSKCEGKKS SNRRITGDIIGIDLGTTNSCTAILEGTQPKVLENSEGMRTTPSVVAFSEDGQRLVGEV AKRQAITNPENTVYATKRLIGRRYEEEAIKKEQGILPYKIVRADNGDAWVEARGERYS PSQIGAFILEKMKETAETYLGRSVKHAVITVPAYFNDSQRQATKDAGSIAGLNVTRII NEPTAAALAYGMEKADGKTIAVYDLGGGTFDISILEILGGVFEVKATNGNTSLGGEDF DQRILNYLIQEFKKTQGIDLSRDKLALQRLREASETAKKELSSKIQVEINLPFITADA RGPKHLQIKLTRAKYEELVDDLLKKTISPSEKCIRDSGIPKEKINEVILVGGMTRMPK VSETVKSIFGREPSKGVNPDEAVAMGAAIQAGVLKGEIKDLLLLDVTPLSLGIETLGG VFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVFQGEREFAADNKLLGQFEMMGIPP APRGVPQIEVTFDIDANGIMNVGAIDKSTGKKHEITIQSSGGLSSSEIERMVREAEEY RVHDQAKKELIDLKNDAESFIYSVQNQISSLADQMTPQEKESLESKISDLQSILQDSS QSGEIESTVQNIKTQLEELKKASWAITQKAYKPSSSENQPNENNTHQEDNTTEFEDSD S cubi_01154 MKGYYKNESSNLSNVLYIPRTNKDLEVETMTTKRESREFPSLPG SGIELKGDECCDVSGSSLDSICIKDLSKLESNLLIAKGNKLKYKTVWMGPGGGYSVME EYAEPRKCMEKEVPIDSEIEIKRYRQPGSCKNSKSMSNITSNSSVEVMKEADVLKEDS YIFKSSRSLRNVDWNKQEFVGPLPFQNKVAVGNRSCLGRNLIPL cubi_01155 MSVYYRFLHEKSDSYRAIELNVNSVRTSDLKMLVAEHAGLGKEY MKLFDLKVFDNDEKEAFPDDKLLPIYSRVVIQRIPWRELKEIHHEAQRSIAEGEETEV VEEAKLTLPSEYICKLCGFPLLNPVLIKCAGSCGASACRDCVKNYLEQNKAVAVKPCP SCGQRFRGSVPNKSLANVLATIDWDKFNYPVRNPETDVSKDSDNLIKQQDSSISGEAI THDSASLTRTDPELDDFKTNAEGNVLLQDLNPESNSIPVIENIQKDVFVAKTNHNETS EPNPVLPQASTVDPVSRSEGNVAPSNIQITIDEGTSINSSNTTTNLTAESKLPSSPTI TGLTEQSIETSIPILPKAKTIPPSYSSLPAPILPPHHASPLIATHQLPPHPGIHAPPY MDPWNIQGHPYPAYAPDGAPHHFHPGYPGAPFPQPGPTHPYGYIIQPPNMMNPGMFGT HFQPFVETILPICGPYLTEEQQIQLAMSFPMLSEENFELIKQAQQSVKDIWGLLPKSI RSSLLQETQDFGNPSEKKNKLFKKRKEYNYNAQNNGINNGLNSVNNNNSISNSSHSYS KHPSLKKRSV cubi_01156 MFEARLQNAMLFKKIAEALKELCHDINIDCDSDGLHLQAMDSSH VALVSLNIQPDAFEHYRCDRPVVLGLDMQQLSKFMKFCDKDTSMTLKKYDDNEDNRIT MCFEDNSGILSSKECTLRLMDIEQEHISIPEEEYECNVTMPSNLFQSIVRDMSGIGDE VSLEISGKYIKFCVDGDDGKIDLNFPLNDSPDETKAVQIQADDTISQKYALRYLSYFT KASPLSYNFL cubi_01157 MILNTIKSIQKFSSTSRKYKDLEVVASHCIDKLKFFIGYSQLQN EKELQDLIIEFDNSLDLKDYLSGTINNDIKNLIYYVDKKKIKDLSFSEYGKHIDLLYP YILGPIFKACKQYKNPKLTIISLEGINKLLSFEPLASTLIEEAKLYGCEDITENTEPV NGLEFLFTLLFDIYDSKIDDESIITQIIKTSIFLIGKNIECFSCQIFYSIFLALFNIY SNTIDNGIRTSSYSILIHFVSLFFDESFENRDKYFEKYFLLLLEILYTLSMTNSMIHI KKHETQKGQLNKIKLTSPLNLELYSKDFVEIENNFFKFSQVKELDPRILKIRNLSLEL LNSVFSNIKLKNYQNKSIKDTMDKLHKLHFLSIMKNIVLNDFQTRKNSIILWSSGLHV HDISQKIRLHGILISKCIRGLFENGVLEISEKNAIIESIFSIFTENVSFLTFLFIEFD LNINNENLVLDTINFLINQFIEMGSAKTQNKNINIDITTLMAKLLINVMKELKRIKNV DNYSGIEFNLNTVLIRKYLIYEYIKDFNEGNYEKGINLLSEIGVIDKENCLSIARFLA QNKAINKKAIGDYISLPNPRNREILNYYCNQFSFKNKSLDFSLYLFLSNFHLPGEAQK IDRIIEEFSLRYFLDNPDLFHNKDKIYIIAFSLIMLHTDAHSREIKDYYRMSKSDFIK NHNDLILSSPKLVDFLETFYDNITLNEWIFFNSKESCKACFPYIEYLVNFIKNLEFSN TTLSNDHHSPKSNTNSNSSSCYSSNLKIKIISHEIIHKIVNLINSKTDSGTINHEQDL LKFIYLTIKKKFDIIYFNGIYTEDLVYWKFIANTPIQSITSLNECLKGRKLPINNDTQ QESTYFQYDGLNFKRNSKVINSNNILQTSNVIGTNPNLSKWMTNNKTSDTKVRDINSV FQTSITDLIKEIIPNWSSRQYNEVSKSIDEKGLIMEESHIILLGKMICCYFTNMLSNV DFWMCFGKDTMVNWIPILKYTTELGIILGLESSFTITLTVLSYLTNTLTLPLNFNELF FSNSNITQETPTILNDHDFENERYSKVLKFPELEKCSLINQCSDLIIRSFQSGLDYLN TLDTRQEHKTKDENEKSISHSQINSPNQGSELLLNCLISNEPFSTHSSLNYLNIEAIK TIFEITQDFGNKLPYVLWVIIIGIISQVDRYFYVKTILKGNNTEIPDYFDHNKFIISK SKTFGNNLSKFLTLSKICINTTKSHTISNRHFNQFFNRKLIKSTKNIFNSTKSIINSG FSNNSRDNSRIVSRASSSRETSPDYTNKDDRTIPLTEENERSTLNTGLQNTLIYGQNS QCIQIYINLRNSISLNNLWFKEDEIIESNSIVGSNEEVLWIDEGKYSLILNQVSLINL RKIEMFNSKIITSELEFCQVMERIFTAITTDPIKNRKVITELFLALTFNAKNQIVLSG RKSVDLFLFRKVFEFLDLILDCNFKKFSELVLWDSYFIPIYYTEIIHTLGIKAYLEVL DLIKSFIIKYIRSQNKFLRSEYLKNEIEAKYQEDDKIIIISSNSDFISKKASNQFNTN FHYFLSKDLNLTQFSIFIIFKKLVKTSLDNQSYNEFINLVELSLEILKNIQNLYQDLK LFHFLELNDILEIYQYFIIGIQKCLEKSQERNNNSNNNNNNNNNNNNNNKKKKLISLL NSNSHSNKEELYRDKENEILARILFCLSSIPKVSFLFDDCVFTKLMDTLYILFKIFVQ KNGCKLICKISDYILYIFYLKIKYINTQDLEGIENLDLDNIYKLPPIIKIQKTNDNIR LNRFNQNQYKLVLEYFNNFSQQITKSLVLEKKYLDLYYYYLVNMSKLINYWLGICPSE LIFHEVFIIQNIISDIINLITLNNKNNTRSDSNIYLTQDYSKKKEVNFLEKLVNEENN ETLLLEEELKRKNNLEDDSGTEEYYEDYDFENEDDDDDEDNCFVNDEADLDIIRYISY NSTRNEKRTFEVTNKNSHLDNTQLTLSSLEDLRMKGNTKSNFSIFNRKIKEINKALTN KIEHKNQLISDEILENSNYDDIKNIKPDKEYVDSKIIKIIHNVYKIIDFYIFRTSIKH YRFLFRDWLILSNEILLCQAMNIFCKDDVKIQYRMIIIYINFLFNNKSLFGYKEWHQI FYYYSGFMVEFTKFIQIDPNKYIIEDVRSRYSIDDLGVAYGDRSFENQISEKKSKDDG IVDSHKSRQQTQFEIFFRSKFFNKRYFGLIENKKNHKNDHNGIDSNKNGKYKNKGRRI SLKQCLEKNWKCYSHKYIKNTNDQEMYDSFMRLRLNIEKLILEDLKYRLKFEEEKNNM NRPDCIHDIYTVLLVIYNLIFDWYIMDLRSSEKELDMGINKMIYDTIMNSLNKLYYEL INGLNLVKFDLFQYNIYLILWIQLHIRMEFSLQFKDNLQYYKNELLSQCEDILEKYVR IEKEKNEIMEKREKGFNVDDYHEKKRLNSIISPNIHFNHYFNSSYDRILKNKVDITYT YKVVLNGLLRDLKEMKESEYIMNSSRLYKVLLDITLLEDQNLRILSRDILIGFARQEK PCLKSSSSSLTLSLPSEEQILPIMDESSS cubi_01158 MEFNRDHLLGIALNGESELLVLRNKLMEVNENNVTSTESIDSLY LTYITLLSYGEEMELGLSYKLLSDKIVGISKMLNNTPYSIGKYLGFTLILSIWILKSQ DWYNLDDLSSTDVCNVIIKLMVDCCNTEHAISYEFSQDEEHENLVISGKSWMDEWGEP SNSYFGSSIVEDEEIDGVVEIKNETDMNILNISNNADFTINKKRRLDGIVSGEYEDDF KYIVFEITKINIDSLNLLFEKRFSDDLFTTFQNINFKDNTQKNIFFNKIFFLNSIICF YRCSGSFLMTALVFKLPRGRYLLENGVEMVADHLLKEILYSYKKSAPDKVVAMEDNAI LALDDISAILWFKTFEAKISTILENKIQELSNESLEKQEVDKEYQKMELLNNHSNLIN KVFAKFIDYNLNRSNQIEFLPKIFNKIRIKLVKTILGAIHTTFSIPFISRNHFMAHFH IHDTSNGQILNLFFNIGYLAQLSIQNCFSEVDIIIQILKTYNSTIFLISRFGESSTKQ LFIEELSNGLNHNLTPVDFIYQKLKSLLFSDKQIQLSNKSNALHYSLFKFAYSILLDI KSIILKFNYKQKSSNSKIINSSLIFNNVNTSSQNTIIFEKVIYYKIASLFYTLNFINI ILDRYSMDSESSKKPLSIFIENFFLNFLSEPEIEQIYHFVNTEINLYNTEKKFFDPNL RFEIDFIKGYLSKFSNKNFSLIY cubi_01159 MEKVEKVTTITDLISKHNRSKGIVEEINPNIERLLSECSDNHQL LIHKNQKKDISENNVKKEEKPKEFTKVKYDKNNDPRIENTLFVGNVTLHVSEKELLRK LEIKQNEVESMRFRSLPIHPKFASKKKVGAALECFSGNCSTKNAYIVLKEKERMKQII DKFSGAILAGNILRLTPASKGNQFSTFDRKRTVFIGGLPKFCTEDELRKFVNISLNED CVHSVRIIKSATTGKPKGFGFVLFNDRKFVLSSVKILNGAQFKDSNISVTRALSEEEA KSKNNSENSKRRIIKNKAKNKVKQEKDDTVEKKQISKKNAKNKVNKLKKKLKKVIKKK PKTKKAKSKL cubi_01160 MREFLLNQVREVLGFGRLCCSKCIFQGEKETLQCYDLDPPQIVN EITKISNRNGVIGLDCNQKEHEEDLARDAARKVFGATLEKPLVNSEREQVSSQDKIDI SGLDLKKDQAQRAIKFEDCN cubi_01161 MKLIFVLLVFIIYLSNKNYQTGGFSFQEEYESYSSCSADDHGSE LNILDQSHWISEISEQSTLIPYSKIFYTYIFQPIFENDQPNEKNSAFFSFFLNKVTFA ICLLIWSCILFIACGTVADTYISSLMIKLAECLSLSDTFAGSTLLAFSNAASDVILGI ISVSIGEKDAIDVFLGDVIGACLFIILVVFGCVMVFSKSSENVNYINIPIFNHLRDTI ALTIGLIQLLIINHLGFISSKISFIPLIAYGCYVLLLQWSEKSASLSMPVNLTIKEEY IGPVYEQVTDSDEAKGEGKLSLRNATLTGEFQNYRSSNTIQSVLPIPFTPPDFIRDVQ KIDRGNTPDLEIPPIKLSFSNLQFSYDEKNHLLEKGTVINSDIYWDRIGSKSRSIKKT LLLLTPTQSPSPSLTNDELSGRVLTPSSNHGICRDKDNEDFEKICGSNNAPSNRFPVP QRDSFRNPPNREKNYTNTLELPNPWNAQNHRSKSPVYSQPPLSQFKNDQANQISNIPP ILISDSHQNSNPSATSSSSCSNLGSSLLQNNGSNRSSSQSQLVLVSDDYAVRLSRNLS MSEVVYQTESLHNSLLPPPAHEENVLKLQKRGRQRIRDNRHIRDDLTHFPALKLEIPQ SNERSQGVFRNMSFNTDFEGLMDGFFEFCDFIRQLLMTPIMFILYLTVPSPSLRRSVQ IMQPLFIVLAVGFEKKIYTKHPLFYLIALLLASIISLVLFFVYTCLLNTENGDQADED DLKDNPQNCQFSRVRSFSNIKLPEVHLSVKARKLIKNFLMYCDMFFGIIMSIYWNGVL VNELVECIRIIGLTLWIKPAILGLTIVAMGNSIADLFANVAVSRAGHAHMGLAGCYGA CVFLLLFGFGSSVFVRAIQLGFSKDIHLHFESQIITATYQLLYFLPISALVVVLSKGR VHRIWGLIFILYFVVCMSFILKGAI cubi_01162 MRSIRISDIQFQHKKVNKKNNKNNISLDNDNEISSSSVGAKAPV LECGCYGKLHALYITCIQCGRISCELEKELVESKKECIYCKSKLTKDEDGDRIPIGCG KDEEIAHYFQALERRDKLLEYAKNSTNRTRVIDEETDWFSEFENPWNTREERLLALKM SKESAENAAKNIENRQITMDEGHKARSQLHYAKLLEEFEQRRENKADTNSGETDTNVE NLLSMLGQRLKFEDESKFEGIFGLPTKEVHNNEFLPLSLDDSVWSDQDD cubi_01163 MMWYLHSLLLFYLGVSMVFGDSGENFKMSNENETLEELAKKLLG KFESMEYPGMENITDPLTKIVYGPFFYEINNVSLPLNITSLTGGAFNPPSSHESAQYY YESFVTEPFIPDQSDAVASFEARKVIRGGVGAPNGTYVFFQEEIQLLPNSSSGGTNGG IGENNGGNNNGNTILNIKLPEGSFAVNGTRLPVGTTSFGPVTFPNGAILPLGALLPNG TLLQAGTKLGQMTTLPGGTLFPGGATFLEDSSYKPVLTLNMNCNFIGSQTYGYRCSNK AELKPEASCCITNTLCYSVSGFSNMTSVSIPAGGILPSGSNLPNNSLLLGPLTISTNT IFGGGAILPNGEIISPNTTVPYGTILPTGTFIAETIYLETGCVLMGGLIAFGSSPFMI NLPAGSKLGLGTSLGGGAILKGISYLTGGAIFPNGTILQPGTILQPPVHPDNVITETS LLFNYHLGIQDNLSSWVLPSGTLLPGGFSNRVALATLQGGFYQFNAPFGYKDAVYMSS ANDQLGKNGGVSSVSNIFNDFSTNSNNLHPYFDDNTDLYATTASYSNSNFGSQTGSSN LIGFRVLNYQIPSSSNYMELPQQILLIDSAYFPNGFSSNGPVLFSNGTVLSSPVIIKS SVTLPPGTFIFGALLTPNMQLKGPSLVLGTAVIPPSVRLSSSTSLPSKTILPGGAFLP LGGVLTGDIIYPSGKTIQSGTSLSSNSYIKPNALLTNGLILPGGGIFPGGILIPNGQF IQPMSVPPGTMFPPTSTLLGTINMIKGGALLPGGAVFSGNSTVPSGSQLLIGAILSQG TILPGGIIFQSETIISGGIQISPLNSLSDLDINQDDFNQDNYPPFFPPQDSNNPDSNP SNNTYITAQVNITNFYYKDQSQDSFSFFKNLFSSSLALSMGFETSSIVISSLSPAENP YNISNYDASMIGTSSFSSLSNPSSFSYLGNFYATDQNGISVTFEIHPKLENSSLEDII EVLLNNVIQNPSSSFNRIFSWVGFMILADKSPNFWTRHLRGGNRKIIKIPYLANTTDI STINNPFSGNQLQNVFGSNQSGYYNESVILIDHIDAEKNIENVSLWGNSFQTQDQDQN QDRNHN cubi_01164 MSYNSSEYLYGDQEYIQISPEYSKNYEEYDEMEEENEADIGIGD EEYGGILNSRFSRIRNKKREYEIVNMKELGIKNKLHSRNQSYKERENRNKYLGRKHFG SAEKIQTLGSSFLEGSESKRNQHWVEKYSQKQRKILVVCIKDGKISQKYMQTAELLRK VHMHNEKQVLEERAAGALTLRDLRQVVGLHGFERPSIEIRRNCILVNMPGVRCLILHD KIYYLPLCPIIFPPGYSENEAFEFDTEIESHLLSYGNKQKTDTSNSQMLGSHLKPQIK TQQHNKNSNHRDLSIIEKLIFITRKIGIQETINSEEIQNHTLNSESKNNKDISSLTDQ HQINVLTPEFPNEETIFSPKIVHKSINCSPVKLNLLEIPPSNFNEKNEHQYKVPLELN ALEICLIEVCKQLWESYYIIDDTAQDFLLHIENNPTSTQMVYEINDLRKRLDSLRDRI KGVYEAIKEILDDDDLLIRIEISKFWNKPENWDSKAILESTFFDSEILLECYEQEVEG LIRTVNRLNAQLDDAIEVMQIHLATIRNNFLKGEISLDIVGVCVGFVSAVASIFGMNI QSGLEKNIDIFWFMAYTMITLCVFAGIVVILMFRRLQL cubi_01165 MADSFGGKNKAGREFIYNVNNELRPPVENAYSNSHPLLRREIFE DQENELAKMYFRIQDPVQLSSSGQFETSQDVFVGELFGMCSREEMINKQQTSTANDFE RLSGVTLNDDLEARIVNEFLTVKSFQRSDASRVFHKELVRPVVWCRMVVRRLLVYFIE ADRLNLSYLYRKPLGQGYKYVDIYNFLRDRLRAVWQDLTVQHATRHRASIDSFEVSFR FLLLSEEYLCNFKEFNSVQNGSLMSTCLDKLMSGYMDVLYYKKKSSDLSDSEFSRILV YDSPFQPEFWSYRILTSMSIKIKDANDNRIMDIINSISPEIIHHPLIKLSLGIHCSFR LVNIVKYFRYFRKCYGIILSLIKDESNSSKLLPDKIQSYYLKKDCLDYKHYREFGHAL ILICILIKKYSNIIRLKYLNILLSSNMSKRQLMPLSCFIEIFGFYIESIESLKLFTKR FDIKIFNKDDPNTFLFQGNRVEHFQSIQQIASLNKKFVVSFKDCINLSMESLSILNSL SWPSELLTSLLEKIPRIDILDPILGKISKVEFKAKTQTDGLNYNKDKISGSGPNQFSF TPISSSNRDIINSNYKSNSLSNTIIQNLKDIDINKAAANLHYSANPIKKNKRKGFFME EELPKIPPGPNSNSDIFIDSITTLRTHNTNKRRSLTENEIIPSLSTTEILYNSQTQRE PTSFQTELSPSFNINYSQDSSASSFISKGRQTTEADIKTDYMQICAGTCLGVPENSHV VEEENEKHSEYDYEREVIHVSESSLENDFDLGLQKSGQILEIGEKLSVNVGIKDFENT EINISGSENPIIEDGLVGLSGWKRFRVLFNKYQNSKNGLEMGSENDLIENTTIDDKEN QKHINVLLSRINLPENESGINQWLNLSVSGILERIQTDIISRVIKSLKFSFVFFYNQL MNIEGISRFHISQLNMFYTKLELILSNLTNKDFLDKETTQTDQFNSGRNYDFIFPWNS VMVKSELLSDNEKERDQMIIDIYEVDFSHYISERFQSNNQSQIPVHVEMLSIWQNNTR TCLRNQLFSNEDISLLKEKERENIKEAEDLTLERLLYEYLINNGDVIVWTLPFLVKSN ESIASIVETGVFDYSEEPSSEYMKRSLDSFAFEITSDKGKKQLITEFESCCKVLNIKR YPVEEVKSKIPILKNITIVFSFVTYVPSTYFFLADGNQEFYQRFRDEIVDLENNIEDI ILKDYLQECQSLVISDDLILGAEDNESFLISNYSGVSCNLKFKCIGIAPLEVLQDSTK KQDRISIYVPGLNSLINTKLNGKSFPNNLVIYNNYNEDLEIDSTSFLSTMWLQNFHKG LNMRVRVHSNYKGFYDNIELALLKEAFKKGFIECFGLFTNGNIDWILVSGSCSYTEIK EIIVWIYDLYIHSMACFDNGLLASISLGRGSFPSSPWDLKNHYEIRELSRGILKQHEK MIKSISDSLKSKQKPASLPIIIPKVIWNVLFDHLQPVNTLTSILSDSTLFEQFSDQIL IRQSFQENIEKDQESIRSLKKEQILGSEDLLSKMDRELFNYKAFNYLNRFRLSGDV cubi_01166 MANGDLSESNHQESIISLLSPVSWLQMDEIKISSDIHLNNQNGN GKKKSDRIIKELTYDKKNKFKKSKNKGKSNQNYKNNSWSGSLWLEMSLLQKIAEIEAE MARTQKNKATNFHLGLLKAKLAKLRAQVISGGDGRGGGGGGNEGWDVSKTGEARVGLI GFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCVPGVFNYKGAKIQLLDLPGIIEGAKDG KGRGRQVIGVGRTCSLILIVLDALKPLTHKRIIERELEGFGIRLNKQPPNISFTRKDR GGITITSTVQLKNIDEETIKSICSEYRINNANFVIRCDATADDIIDIIEGNRIYLPCI YILNKIDQITIEELDVLSQCPHYVPVSAHHEWNLDGLIEKIWQYLDLIRIYTKPKGQI PDYAAPVILKRDKSTIADFCKRIHKQLLPQMKYALVWGQSVRHNPQRVGKDHVLIDED VVQIIKK cubi_01167 MSLTPWMTGEFRGKPPLPRAAHTCNIIEDKLFLFGGWNGFQALN DFYVLYTSSEVMFWQKLIPSEKRPKHRNNHASAVYGNSLYIHGGHNGEFWLNDMYEFT LKEIDDSNIDNLNAISHTEEINEELLGTWKRIKSTNKLKKPSARACHSLTRIFGRLYL FGGFDGIQCFNDLWVYDIAKMTWSEVEFDNYIPKCRNGHCAISSTKGIIFFGGNTGKE YIGDVSLYIPEKKEFQTPKTFGVCPSARKGHSLALLDDVSAIMFGGYDGKNRCNDLFI LDISELPSTVRWEKIIEKNSPSPRQRNSLTTIPGGKCLLFGGYDGNCWKSDTYLLDIR KFSSSMHSKNVSLPMLSNLESLVDNPDFSDIVFILENKETLYAHKCILVTQSQYFKSM FKTGMAESDSKEIHLEHIPKKEFKIIIRFLYTSYLDETDLQTLCNVLLIADSYNLTAL SDLCIKTVKQLVEVGNVCEILIIAHRCKIDELLKFCVDFASFHVDVLINSPKFAQLSN EFPKLALSISSSVISKLI cubi_01168 MTREFSDKFLEFLNNTGSPYHSVDETIKLLKKSGFKKLDENEEI TKGGKYYMTQNYSTVLAFKVGEDFDLENERCMVIITGSHTDSPCLRIRPSSITCNEGY TQLNVSTYGGGLWHTWLDRGLGIAGKVVDNACNEYLVKIKKPICAIPNLAIHLTTSEE RNSFVYDKEKHLQPIISKTDTNDKYGEQLLSLPRSLLEEICKEINIQPQNVSSFDLCL MDSVDSRYVGINEEFIDSPRLDNLGGLFSCFTALIDASESNRNDLLVSVAFDHEEVGS VSFTGAHSDFLKQSLKLILGSLSKSNLNGSLSNRDTANKNIDFEFCNIMKRSIFLSVD MAHSIHPNYPERHQSKHKPLPNNGIVIKTNFNQAYTTDCTTRTFLKTIANNFNIKTQD FLVKNSSPCGSTVGPIVASNLGIRTADIGACMLAMHSCREFMYYSDIYDMQNFIKVFY ITWSKIKFESKLLE cubi_01169 MNINMELVRELKLENIPIDLILDATCNSPPGIKNKLGSRRNDDN LDEDLVNPKLRILAEGEVSSSYNYKNQVEFSINNNNDQNHSTLENISEVSNLKENKGI FGSRVNSDPLINNHETNSSIYSEIKKTKTNYESRKKRNIPKFHLPIKSLNNMIDEEVI LKEDKSLDNIDFINNMNYSLKYDFPNNNKILNNNSENYQISEREVNISKNDFDFDHLP IHSNNLSNYLNNHYSNKDENQFNKDNIFQERLLKSSTPSETANNGNISQKNSNNQSDS AFEHYKSHYGTITSKDKYLKHESMSNSSISSRSDFELNQRITSVSETNSKFGTEHCQE SIYDSKNELYQDKKNSELVPETNLASVVNGPKVYQEEKEKEDFKKENNLSNHLNKLIP VSLLKQENNEIKLKEISNKERYSQIFKGEEFTDIFNKCWNDAGKELGKRYEDDLYQNK IEDLDKDSHLNHVPFQSDLIMINSKRKIKTTIPTKALNTNKVFNLNSDTDMINPMGLL RYVKEHNQKDEELFTNMRSIKQQIDDRGENILIEDMSTRASTMNNLASFEYNNNVTRN NTRSNIVISSELVSSSNNSNGSLEVYNGNMGSNLSYQFKERGRSNQEDLETYMDSDYT KSRISINKRSRSIKDDHSLQERRIFESKTDKITDLDQISNQDFDVNLDLESGNKYEHY IQEFKNSNVNNSDNNKNDNKSGFEDFFKIEKKSRDEHKDKKVVRLYKNDQQLSIQKLR DNLKSIETRRFGNISNNLLSEENIHKTIYEEFKDVRNKFPKKEFIVEMVDENKYDYGF SLEVNQTEKVTDVEMKIKEFHAPLISSLDFNGREENSSRNHLIDIPNSRFEEIENDIE VEDVQKDERNTQGKQESEQENEQESEQNEQENEQENEHEKKENESENDLNSEYERTSS ENRGHFSTSTRYYNSLGLTEDFMLVFKNFSLARILSSFFGFKVKGVMNTGLVEKMKVE EENKNRQRNWKETIMSYEKLGVIVEKRNLILIFVISANIILISLANIIIPIVYSIKNA LFPILTVNAIINFLIFYLINGTLIYVFTNKIKKFNNSILNDYFLLLQRINQIRKERRK LEYEEEQDEDEDEEDEYEKDEEEKRDKQEEEELLVVVEDEKELVEEEVEEDIMEHIYT QLMYDYIQIMEDLNIESTDKYRRSIWILFIVGIMQMGLSLFLDTFVINHYNFNGILVN IFNIVNLLISATLNLVYINKRNGRWDLMDIFNENLLLILDGAIQEKQLKFLTYSSSIM EQIIFWNFIFNTKDDGNININWRNFISNISTLEMEQQKLHNIKNIKNMNINVLCRIHL ELSTILKSTNSINNSTNELWPFFYLHYNHTQKKLIISSHNSILYRQENKLNRKENMNG NYLMSIPTNLISIESIQSTVFPWILVYYNNKNQNITNVINNLIKLNIIKSSQTSSQTS SPPDLNVNKVIKKGQDKNFNNITSEYNNMDFKNQIKEYIIILENLLFSSENDSFTLNS LIQPAEINKKNQKASFQISKEFENKLSHIFNNYNFISFCNNTVSNNNDNNNNIGGKVI SKESKFSRPSGNKILKLKDDPIYIMNCTIPAEYFLSMNVDIDCKDLVTRDKVVKLQIA CFSFADLLNIYTVISS cubi_01170 MVKKHFILNNTKIIMNLFSYLEISDLVKMRNLNSCSKLISDHFI KMNINRDKTKYINIESENNDSSSNNNNNNNNNNNNNNKQYGIGKVNNLNNNISQLRMK FLQLWNIYSNKNSMEKVNYYDFNLCFLIKILLYLISNVKQGLNFSSITTNHLNSIYDL DPSLSSNYSHKQNHNFDLEHDLDLDLEPDTDFSSNEGIEINNHENIPIYIQEFFRNEA IWKLIAKLSGYNLSNAELLSESDGIFEYYLWPEKIEDPKYSIIDQNIIENVLLRILKI RNLDPNIRKQISIIMNKNSKAELTFYSNSGNIINRSNIHIIKDQFIQNNNNNNNSDFF KDIYHNLNISHSSENISSSVLINSQLSSIILLWIVNFLKLQKQLPCLKQVPKSGKNNY NNNNNNNRLQSRNNQFLHNHNYNSNKIPLTSKLSTSKFSRLSNINNIHSQNQNQNYSQ SQSQIQNQSHNLNQFSNSNLGDIPETFGKLCNIINSQENLLIELKQILNTIK cubi_01171 MNGVNIYLKNLVLLVFSFLYIFIHESQVAAIHSGNHLLNELNDI YSPCDLKNSPFTDCVSLNPRKIGRKRGKEIRIHSSLLYMANYKMEKVILKKPVKFHAL KISQSLDEYENNYEYHQNEIEADIIEDKLMGSEDINDDEGKIMQYLYEKAKFSGMPKL IDSNWENTGWIVMGYYEGISLQEFYQTVYKDIDDIKVQNDHQFQDRMILKKGIIYKEL HEYIKNKLNTKEVHMQSEKGEEISYEKKKPTDTLDYLELNGDYKRNSWFFNLLISQYN AISQVYLELLNKGVIHCNPSPSSIMIKKGKLGIHPSEIIFVDYSQSIKWNIETSQIFY SDVKQSCIPDLKPILAFLLADFGVYIPMSSIDITFFGEISLAPINIISKMDDSTVSGQ CVDYNNLIKEYYNSNFNCKDLLADYTLKHYHSINCDTPLPFNPALPYHFKLFHFCQKT CQVCNKECEGLISLMNTELYEESFKDGKNNILKDSFVERLFRACKPNTDLLSIEKLSN YWLNNDYWGKMNLIDGSLNNDDLGADKIDNSVLGCKWCIVHQNILKSILIYYELPQII LYPNIDLFIGNINILIDSHQLIQNNFRNYLINMNIDIFHDILSGNISEKEYLGQKKSF IARFKDIQFRKTVNSYLLIGGDIGKIRMNNVNPNPNFGFPELSLRVEFRENIPSGLLY YKILEKDYLLINIKIIKQAFVRYMYKGFSSRYRQRILEMLNTYSLRLLPNSLRIFPIF ETNLQ cubi_01172 MEIQFSYLNDFLLGKKLIPGNWGIVLKGIEKDLDNLVKDNIDNN DQLAEEVRVYLNNSKNDDKNYKFLIKLIEFLISSKEGEMKTFFGNYKSTLINELLSIK KRYQDKNLHLPEAIKEANYMLKFGLNHLEKEQREIVSKIKNTKKKILELNATKDGNIE LFNSKLSSFGFENINEYRVGFDTGYFPRDKITDGIRNYYDLKLKKFSNELFEEINKLL ENNDLFNLYLELIKEANTNLVGNDKYILEHIIDFPTLNMLNGNQEKNDEKIDFTDRGK ENEYSIEIIDNSDINLVDDENYKDFEIVQEEEPPIQIQIFENRQLFGKLLMELYEIES FLKRYLIENFGTEWKIKLKVNLEANIDIKNKTIIDYYNLVSKIIGKLTESENYEIITF RRNKYVVIEKKIEIIFAVFNNIINQQNLIENMEGKIKQYYQDLSESQQKYTQSTQKLR ENIIFLQEELKNILNKEYIIKGVNK cubi_01173 MNVQETIYERIFKSLNPTLLEVVDVSNCNCGYMFNIIIVSDCFS GKRILERQRLVNEAIGEIYNEIHSVTMKCYTCEEYSKSQNST cubi_01174 MDNTSEPGDSMEYSFSGNELDYEEEVSWIEWFCSLKRSEFFIEV DDEYIMDDFNLTGLNEHVIYYDDALDMILDRIDDDFSEDEIGAIESSAQLLYGLIHAR YILTSKGMHLLFEKYQTQKYGLCPNVSCNNFPLLPIGLSDLPNVNSCKVYCATCNEVY NPKSTRLSSIDGAFFGTSFAPLFALQYGLVNSKNKSPQYYVPRIYGFAVYRNKRDMLA EEAEAEIETEANEFKKDFNGESRPLVHKKNSR cubi_01175 MSSFGISNGRDEDDLDLQRALAESLREYNNFNNNSRVVINTPEE NKKQRKYDDLEYINKSVTFNDLISYSKSVQNELVNILSFNNSKKKNDVDSLIQILTGE KAGIDNSSYVNYNEIYDCLRDTELKPLPIEKINDLVDVIFGTKGNILAQDEDIKRWIN HTIEFIFDSGPKIKDEKKNENHDKSNFLEGKKSIFTFKEDFTSMNYCLIQQGGGPCGI LASLNGFIVSQLIFNPLRLEEIKENQVENLVEYLNSVSEENCWQALIQSICIIIFQSC PESKYRIIQYRQIEGVELLQQNKDPNFTRNLLVTQDKNLYYYVEYDNIMDVYQFYFKR LKSRIFSNKGSLFSILLSVVGSRTTDKIRSDMDDFMTPLVGMFGHCSQELVNLFITGS AVSNVFDGIKILNDNGGENAGSLSLKGINKKSLLGYLTEHEALQYCKVGLNYKYPLYP IWIIVNKNHYKCSFSFNFTECILTVSQEFTQVIQKAFEKFDTENSGFIFDSQLEKFLN EINLGDYIFEVQKLSENGIILWNDLKKSILSFVGIENEVDNRLSGNKIGEDSSFSQYK LWTSVYIFDAQKQAGKKLIISAIFPLENYSRVKDLYHEFSSHKEEIIGFNQNDINKRK SIEELDLISILQTRWGGETIIETQILSL cubi_01176 MLKIPGLERLDRYESDHSVVGKKICSDEDGNGSRRNVEKESRNG FGGNIEEEKSRKRQRGVLQFEWSNSEDTFLLGSNDLYMNRLNLEKMFNERNMKKISRK EVDNEKNNKHELGDDEFLNEKPSLGYFEMAERDWKIFREDYSINVRGKDVPNPIRNWE DCYALQIHKELIRNIGYEKPTPIQMQCIPIGLKLRDMIGIAETGSGKTIAFLIPLICN VGNKPALDYKTSQEGPYGLILAPARELALQIDDEAQKLLSKANELKRIRTLAIVGGRN IDQQAFLLRKGVEIIVATPGRMQDCLEKALTVLVQCSYVILDEADRMIDLGFQDSLNF ILDQIPTEIQRTTHMFSATMQKELENIAKRYLNSPINVTIGDIGTGKKSIQQILNFIS ENKKKSTLINTLNNKELAVPPIMVFLNQKKMVDIVCREIVSHGFKATSLHGGKMQEVR ENSLNLFKNGVFDILVSTDVAGRGIDINNINLVINYDFPKSIDTYTHRIGRTGRAGKN GVAISFITPEDSGLFPELKKILLSSNNPIPNELKNY cubi_01177 MGVSHAYLSYITFSLILFGKLVFSIDFLDREDTYCNENNISDLI CVPKDNILQVIDKKIENLKEKLKIKEEYQDINTYIIFNQLEFVKNPLYSCSHPKSKLI ELDNIKKTFICIVIEELQKVLQCKSGYELTEVLIENPSKKLGYGESEIRPRTNREKKY AIKSDKLCIKTVKVPVEIICKDGKLKDGFCVREEVTNPLYECPKGLHVTPRKWCGYIK RELNESLMENVIKRTNPGCVIKTIHPWFKSTAKVECYNSNHNYIVGITEDDWVNVVPA AFKCPKDFEIIFPTYSKGKAIDDEFIFPPVCLARKYFPRSISCPGILLRNGLIVTDRY IVDNEVNPTPFKQYIDVSHYSCQVQDRVKPNIVCPIINMDYYSRLRYVFPSSEYYLDS HSNTEKAINYQSGIFDFTSYSDFLSDNPGILNELKEAQLSQINNTSVNNSSTPRDFKK DQNETGLPIVSFFNDSTIDGSSSDGSSSDKPSSDDSSSYGSSSDGSSSDKPSSDDSSS YGSSSDFSSSDFSSPDSSASSNYTSSSNGSSKSSPYYNYKAYKTELHEYSFYYNDTLN KHLKSMNDYNNHEYSYYPFRLNTTLDNPWNTSVSSSSSHLRREEHGKLHLGHSKGSQI EKVVDEKHFNREYNNFIQGLKKDLSKQGEYFNDYQWDFMSKFYRVYVSNQLKFVSNIP VSSVSHKALTIDGGYQLNINLDPTYQSFVNYTLENMKKMDSELNITNLRDDLNNTSNN NISQIIDKLSILNDTNITSSLNLTKDNEYNAWEKSARIYFMDLDLMKKNQIIYSSINN YKHKRKFPFLYYYGKQPSINNDYGHVRRPAISDHKYRYGSPSEDYHKYTGRHENSDLL YYINKHLNKTKPYRHSPGPQTYHNCISINETTPIIECPNSNIVIPKCFILDIIYSKFN NYKDMNGTFTEDNNELNTNNEISEKNGSIQEEEEKKEEEKMDMNKIINSRPISIQEGL NEIGDLEVLGSLEGSGDILNELGYFGNIGELQNLEMLGNLEGPGQLEV cubi_01178 MNTLQKSQSQVMGIRPIFPVKSLLSISSSDFEHIWKEVKWDTKR VNSTLDLFSRFCRDDLDILPSDYTLSSSNHSNNGNGTSQNQLMSNHRLMALLKSIAIV GIENDDFNMDFFSRNDLCVIMTGIPYIDKKSFLRLMCLLDRSTPHTTTSPAGISRLKL VFSYYDWGWKGYLDESDWKRLRSDILNLTYYGKLYDGNNNQDFKSGLNRTSKIGTPMP ETLEEGLKQLSREVSIRSLKSANNGSRILKNDSLRISINASDSSNMNNNAGHSEYSYF DNSNNSYVSSVPYSVQNKDLIIDFPLFIKLVEFRIIKGTSQILRVELPGKDQEEFVAR SIMFNGGSIFLENYKHENEQYSPDKSRNASKYYHVSPSAQNLKSKVNSPSKINPESGE IDNIEKNKQIINEQIQELDNELNNLKITVKNSHHPSNSMGIDISNLAEDKISSNAIES IFGPPPEDLHGIFCDKPNYQEDLAFSSIVVPSSSTPVRKSRSIRSDVLPITPSANNDP ISEQSHNSNSFSTEKVTSNSFPNNITNTETGGPPILL cubi_01179 MTDNIGELITIPIKYVNKHKERLLLLEFQGSLTISKINTETGEI ANSENIEDFNNTVIGQLINFDKISKSLDNSEANNNFESAIQYSKNNPIELKIGYHTLI GKLVKLAKPLAIIQNLSKTQENNEKQLNIYDIINFKLVFESRPQFSS cubi_01180 MNSLDLQVNGMTCMSCATTIKNLLLHYKFVKDVEINIILNSVRV ILNNKITLDERKKICDTINNAGFICLLKSSTIMNNVLSLSIDDVKLEERSLESEIVYG SSKDIAEQILNSKGIKFLKLHQIPIEDMKIIVKALENKTGIITIISYLKWGQLCILFD ENQITIMQIQQILEKSDIWMLSYMKFYCLFGKEKLSCVNKLRIKCIFRLNSDREGIGL IQKIYNCLRRRNANIEFIKDFTTSIGCIVSGIFGIYNVSIERMNESNLERNLVLMINI EYNPYFISGRNLLQYMNEVLKNYVNEPILEEQVVENKLKQYSHRLVNSMQIITKSNLD ESVNYSSLQKIKDKESRYFVICFTFSLILTILVLMYNFFGDKAFMSKFTRIISFNLIK SLQEQTVLLGISWKYMVTLILVTLVVYLCGYTFHKKGIKSMLHLNPNMYSLISLGTNT CYLYSLYIMVYISIISSKETGNIKIYSDKLPNFFDIACMLITISLFGRILDVHSNLLI LRIINNNTNGNEVTGENGDSMRYNIKDSFQIVSETKFESLEKTYYHFSNSENCQVLPL TFSKENNKIRGLYSKYQNTERGQKMNTTSIDSICLDDISPQSMEMKEESSIINKKVNV DLIDLGDIIIMKKDEIVPYDGIVVSDDIAVLDESMITGESRIIEKLYGNYISSGSRVL SDNVYIFVTEVGTESTLGKIQKLKIKARESQIKLPGIVDKFSRYFVPSIILLSIIAFI IWFMLAYFNKVNPERMFNTDRINFYTEFDNIFKDFPISSKVMFALHFSLSILAISCPC VIGITVPIAVLISTSLTSKKNILVQNTNIFNNIGFVDNIVFDKTGTLTNGRPSVKSII MDEENIRELLDSTFNNGTNYDYNGCNSKWTKPTDLDFSISSIETFYINKNPNKPKNNI LTEELIESYLKFWWIIGSCEYYNNHPAGNVLKSFSIRLFNNKEQYKFIQPSDCRYIPG VGMTCIINNMKVLITNQYKHLDEDFSLVSVNSKEYNHYLKSPSNTLEISLDNPENNIV EHSSLYLQNWLKYWSKKGSIIIYIFIGDLNNFKENEQGIINVRQMKLIGAVSMIDEPM FGVESTINYIKKNITEQIWLCSGDSFYTSNSIASIVGIDSDKIMSNSTPNDKLHLILD LQSNKDLNKSSNIDFNFDLNNDKVDKEFFKSFDLEYPKKKEENRKRIQNKSKKNLKRV MMIGDGINDSASIEAADIGILLGKGGINNFTHADVIILSNYQNNIKFLFKLGK cubi_01181 MSQDWNQVVWKKGSNRPKGVTKEQDINQARRKGEEIITEKKFLG GRNASTKQNIPQNAAKLDEDTGDYRIFRVSGEFSRALQQARVAKKLTQAQLAQMINEK ASVVNDYESGKAIPNPILVQKMSRCLGVNLPKPAPKKKSDNNLD cubi_01182 MSTKQSLRLNEAQLVAVRETFDLADDDSDGKINFDQACILFRVL GQTITDRDLKTALLESWPGLDIQSEGSRDKGFKKIDTNALAPIEFDAFIKIFRAKYKV PFDENTIIEAFQVFDPDNTGLMPANSFIQLMTTAGEPVPTNDVEDLLLLANVDKDGNF DYTQLAKRLVEGPKNVRVL cubi_01183 MVETFAFNADIQQLMSLIINTFYSNKEIFLRELISNASDALDKI RYESLTDPEQLKSNEEMHIRIIPDKVNNTLTIEDSGIGMTKNELINNLGTIARSGTKA FMEAIQAGGDVSMIGQFGVGFYSAYLVADKVTVITKHNGDEQYIWESSAGGSFTITND TSDNKLQRGTRIILHLKEDQLDYLEERTLRDLVKKHSEFISFPIELSVEKTTEKEITD SDVDEEEEKKEGEEGEDAPKIEEVKEKEPKKKKITEVTQSWDLLNKNKPIWMRKPEEV TFEEYSSFYKSISNDWEDPLAVKHFSVEGQLEFKAILFIPRRAPFDLFETRKKRNNIK LYVRRVFIMDDCEELIPEFLGFVRGVVDSEDLPLNISRESLQQNKILKVIKKNIVKKC LELITEITEKPDDYKKFYEQFSKNLKLGIHEDTTNRNKISELLRYQTSKSGEELISLR EYVDRMKENQKEIYYITGESIQAVQNSPFLEKLRKLDYEVIYMVDPIDEYCVQQMKEF DGKKLRCCTKEGLTLEETAEEKEAFEALQKEYEPLCQLIKEVLHDKVDKVVTSQRISD SPCVLVTSEFGWSANMERIMKAQALRDTSMTSYMMSKKTMEINPYNSIITELKTKISN DKSDKTAKDLIWLLYETSLLTSGFSLEDPTQFSSRINRMIKLGLSIDEEDIVDDLPPL EPVNDAELQASKMEEVD cubi_01184 MENYALPCEIETKEDLKDGISLITNELVNKLRDKLVDFKYDKIH LMELTFENLKNSKFLIPFKACVDTTHFKEDKVYIHSGLNSIKDFNKGYIKYIKYKKSE SYINNITSVDIVFSGPLFAQLSTQSNLFLLNYKNLLVEALRRQFYCYLKLISAEIHLQ DIFTNTILPFIINEKYYFFQVQYIKENICKKQENDSLSGGFEKINLNFISRLLVIPNI NELNSFPSIDISKTKNLEIESYLVKDIQNIKNILKYKSLDYKNKNNFTSTFYLDTTYM LNKYVMENNISIKILKNIKNNIIEKIRDILEEITKFNNLNSDKNIFIYLFLYNYITSF SKLSAQDFLITQLKECFSKFDCLKKLTFLEYKDSQNPISVSYLDRSNICTNTLLNTHY LKCLIMTRNNYNSFGGKILHENEKLVCYKQSGNEIKNEKKEGEISEDELIGRYLKLKK DLNVFVSILLLDKNLDAFLELMYYQSLNGNNLELLEEDSSENGSIPINIRMVNWIIRV CFGISDKQLVYKIVEILFENLVIGNILYNSYMENKEILLYNNKTVEISDGKVSILKNG DLSFKDESIKSIIQQVNDQLLIYKVFENEYSKINQIMNQQFTPRILIYNKGENTNKSK LSIVKQELINYIQKHYIDYKFVHKHILNLLSPYVGQSEENIRQLFNTNIPTILILEGI DVISSNFAISNKQTSNCPVKDNTNFRLKNDSDIDDTNCNYINYSPDLFNDISFRINLH KERIKDMFNPNWALNNLTQYNCHLKNDDDNQSPSENSNDSRTLLTTLLLCLDNIEKKN QNVILIAFSNKHILELDESITRAGRLDIHLSV cubi_01185 MPLILQKRLAKEVLKCGAHRVWLDPTEMDTISTANSRQSIRKLY KDGLILKKAVATHSRARISRVHEAKRKGRSMGLGKRRGTKDARRPEKVLWMRRQRVLR RLLRKYRESGKINKTMYKQFYMKSKGNQYKNKRVLIEAIHIAKAEHLKEKALQDQLDA RKARAANLKQKRKVLQ cubi_01186 MDEIKEHFSRILDIDCSSSISSVVLSKFYAFVGLIGEESNVNVY GYKDLEQDKCKSIAPKYILENNHGGISKLVLSPCKNLLMGLTCYGWIYIWNIQKLNNV NKDIEMSTEQVDNTYKVENNKNYSAGEITVIKPDIFFMNGHPGDSICVEFINSNLIVA AGINPGSSITFLSVKTGKRIGQYISRKNEISGLAWPMYYKMDEKEIKEQNFELAVFSF TSIAIERSSSGGGFWVAIGGSNGLITLIYLPHATITKIEKLSETNNIENEEINLTSTD FLWKCHQVSELSTEIKSLVWRPRNSNSTGLCLVCGTDDSLLRYCFIDTENKNILVKKI NLVKITDGTSTCSEINSIAFPIDGQNNSMVAVGFNRFSSAKRHPIFVTSENLTKKSQS FSSFKVYIQDVVKCSNSNINNSTGNGSNGTSTYTHFYCIGNHYDIITSVYISPCSRYI ASSSIDGHLHIYKKSKAE cubi_01187 MIHFFIIQNLNGNTIFSRWYNLENAENRNKIQEAIKGRLSCLEE KHLSYFTLEDTRIVFKKYKKIFMITGVDFDENILLILTTIQLINEAFGSHSRDISDVD VIYNNKKYLKILDEIILGGEVIGTSIKNIKSLIK cubi_01188 MTGKLRASLFDEEDCQSGIIETEDSIVDSKESFINDKISLDSIF GRELINSIKNEKKSKKMKVDSNVQQKLSFNLESKNTPSIKNRCTKLEKVPENEEKENF HLKTCSESLTKKIKSGNSGFFSPFSINVTIGNDDIQSPMFDPCKINKEKIIAKGKSNS ILFSVLADAFSRIEQLKGSGSGSKKGCIVILANLFRMIIQHNPSDLIYAVYICMNKVA PDYEGRESGIGDSILIKCISESSSRSEKKIKEDQISGKFEDLGEIAANSKKQIRLLFE PPRLTVHDVYIELYSLTEISGKQSQQLKKDKIKKLLVSGQQQEVKYIVRFLQGRLRIG IQQTTVYQALASAFVLTKNIPENEMNSFSDYRLIQNEKKYKNLQELDSEILDMENSLR SSLCQLPNIEKIIKVAIQGASSDEISDQCKLTTGIPCEPMLARPTKGINEVLSRFENI LFTAEYKYDGERAQIHVYKDKSNKRVIKIFTRNLESATDRYPDLIQYLNQSLSSDVED CILDSEVVAYSKNEEKILPFQVLSTRKRKNVAFDDIQIQICLMIFDCMEFNGESLLKK SLFERRQYMRKCINQGQSEYIKFTTSTETDKLELLDSFLSESIENNCEGLMVKTLHEN ASYEPSKRSLNWLKIKKDYVDGLTDSIDVVPIAACYGKGKRNGVFGTFLLAVYNTDEE VYETLCKAGTGFSDEILQSLFASLKNHIIEEGAPKSYYRFDSNGQLSSLKQDVWFEPK FVWEVKGADLSLSPVHTAAIGIVDENRGIGLRFPRFIRVRDDKSPEQATTSVQIVEMY NNQLITAKNEVNMNDDHFNEDD cubi_01189 MGSDCSKSKNDSVSKGNTNIVKKNSNDGVNSNKNRISQDSSNNG NHEQTKNKKDNHKILEVPQFTSKAVKERIPRPWRSLEDLTFQWKELDVKGSHFKVRYI TPNMFFNLWAQSKNSNPFGNYGITFVDSQSFYYDVKVLEANSEEEEAMARLASKGALM KKTVIGALQPFRSFSKQSTTIYETSNELAFKKINSTKYSDAILRYSRTINTWLLEQIN NKVVVLFDHLWEGNASIRGDRVSHESQTFLDIMSCCSCRPERIFILAGGFASLSPRYE GCIDENPICSEFSDNNLPSRFACESIPLFPVLTHFCVFTCDIGILAHNDWDKSKDRFN ITKIVNLTNYPDIFKFPKSSKIKYYSVPCYNTESPDYWGVVNIIRQAHHFRKSVLLVD SSGDYHSLNIVALFLIELGYKPSEVVKYITERRFGFSFDGFILDLFEQVYEKTQSTPE KLRPVRPPIKFPTDKISNHEVEKKIVDAVENIFSEETLNGKEGSYECLKNVVILLKNV ISFPNNPFYRTVSLENEIFKNSVGKFSQGIEILRISGFEIVEKDNDKKIKLPIEANIN LCKFSLSCLYQEIAKHSFKNKEHRIL cubi_01190 MATFSVVSERPNFPKLEEEILEYWKKINILELTLKKTKGRPNYS FYDGPPFATGLPHYGHILAGTVKDVVTRYATQKGYYCERRFGWDCHGLPVEHEIDKQL NITSKEDVLNKGIDFYNESCRSIVLRYTQEWRSTVERVGRMIDFDNGYRTMDLKYMQT VWWVFKQLFEKNLVYRAYRVMPYSTACATPLSNFECNLNYKDVNDPSIIITFPSVDDP NIQFLAWTTTPWTLPSNVAIAVHPEKKYIYFKTPNSQEITYVIAESRLEWVLSELKIK EYNIISECIGAKLHNTRVIPLFDYFKDHESSNKFWKILADDYVTDNAGTGIVHMAPAF GEDDYRVCVNNELIDHHGINLPCPMDPNGRFTEPVSNLKGIWFKDSDKIIKQELKKKG RLLTDNTCTHSYPFCWRSDTPLQYRAVPSWFINVETLKDKLLINNEKTSWIPNYVKEK RFHNWLQEARDWCVSRNRFWGTPIPLWVSEDYTIIKCIESVEELKSLASNLKDKEITD IHRHFIDYIEIPDPRGENYPPLKRIEEVFDCWFESGSMPYASINYPFENKELFKNIFP ADFVGEGLDQTRGWFYTLMVLSTALFDQPAFKNIIVNGLVLASDGKKMSKRLKNYPDP LDIVNKYGADSLRLFLINSPVVRAETLRFKEEGVKDVIKDVLLPWYHCYRFFIQEATR YENTNNTKFVSNNQVIKITNNVMDKWIYSEAQSLLKFVKTEMDGYRLYNVTPRLISFL DTLCNWYVRLNRDRMRGIFGLNETKNSLEILYQVLMLTVKLLAPFIPFTCELLYSNLK NALINEPEENRPETVHLLLIPQIEEDFIDKNIEEAINQLREIIVMGRNLREKKKVSVK TPLKSISIVHKNKSVLDYLIESKLINYIKDELNVLNVITDNNSEFSKITKLVATPNFK ILGSKLGKSMKDVTNYIKNEMNNEIIEKFVKDGTIKICGFELNLDEIMIQTVVDHSIT NSDSEIVYEGSSNLVIGMDFTSDIEFENMAYSRELANKIQKIRKEKNMDPDANVTIYL QLISNNDNDRLSKFYSAITSYNDYLQKIIRKPIMEFPIEESKDLRTRLLFECEIEVGM DDRINVIVIEN cubi_01191 MGKRKELEMGDAKTETVIKPESKSQPIDTSNWPLLLKNYSKLNV RTGHYTPLPYGNSPLNRNLVDYLSYGIINLDKPANPSSHEVVAWIKKILRCEKTGHSG TLDPKVTGCLLVCLNRATRLVKSQQSAGKEYVCIVRFHSSVGGRSAVNRCLETLTGAL FQRPPVISAVKRQLRVRSIYDSKLLDYDEKRNLAVFWAKCEAGTYIRTMCVHMGLLLG VGAHMQELRRVRSGCLSENDNMVTMHDILDAQYMLDNYRDESYLRRIISPLELLLTDL PRIVVKDSCVNAICYGAKLMIPGVLRFDNEIDVGTEVVMMTTKGEAIAIGIAQMTTAV IASVDHGVVAIIKRVIMERDTYDMRWGHGPRASEKKKLILGGKLDKHGKPNEQTPESW LKYEGYIPKLTGDHDGLKEEESKSKTESDSESESEPSSNIEASSSKKRKEKKEINDES YSSSSKSKKEKKKRKNTEPLSENSSSSSDSSDDEKSKFKGEKSSKDKSKKKKRHSHVS ED cubi_01192 MRLQSKQKYQFPDLEMGELMNELDMLGFEVGSNFWESINHEIAV ELYMNCLSIALEIDTEDIRPEELMGQIPSSAAGIISENGKSQIKPIGNLRFLRYCKIL WVMIGIDDFSMNDIHRPTPDRIYSFLCGFVNLMRFKEDRWMTYKNEFYEIEEILDSVD KSNEQIKQKKEDLNNIRVRYSEQSGEIVNRRRDNQEYQEKMRSLHGEFLQNQQELKRL TQLDHDLKEQLKDVEFRIASGNQDIQDLKDQVVQSPERLRNTVEELNKSLDNERKQID QISVRNNELKERQNLLQKTEKRLGKAKAFLEQTISGIKDANNIKQSIKEIEHHIEKDK WTIEQTTEEERLLLQTVEQISLRIQNNQQHYESLIEEAQTLLNQEKQKYEQGQEFLDV QSSEAFSLERQAELMEKEIQNSKVNHEKAINALSVQHNQLLNVLTRYKKQLISQLNDL NSRYNLKLLDEPSKENSTMNSVDSTIPMQYNQ cubi_01193 MFRCRICTEPPFSHFFNLRIFNGYFSDEGTKKTSYQLSEGDSVY DTQSYGSSLLATPSNLNSFEPRSSEEINSICLKWEKCVHERGREFWRTSECLMEVLSA IARGINNNDDPILGDPQRCIMWYGQVSAIDGCPVIRMKRPDEVVETHTYVNRALVFLY ASDESFEELQLKPRVAFNMACGNRRCVHLRHISLDD cubi_01194 MDLNDVYDEFGNYILKDEDDSEGSISSMGSDVSSELEVDHNNKG IEIQEFGQKETDDNFGSDIFSENELREYQGEVKIIKRQNFKDKDHEMSTETNDENKIV QYEDKEYYPDSSQVYGDDVEILVEEEDHQHIDEPLISPLKENKFDLVEKNLKDMETTF SYEFLRDLMDNLEFVRNICLIGDLHSGKTTFLDMLIKSTHSYNRDKKNLSFPERYSDC RKDEQDRGISIKASPMSLVLPNSVGKSFLFNILDTPGHVNFLDEVCISVRISEGVIIL LDCVIGLTKHLERLLYYCLSEGKKVVLVINQIDRLVLECRLPPYDAYFKLKYLISAVN NSIMEYASIYGLGTEEAKTLLFEPEKGNVGFASGRYNFFFTLDSFARKYLKHNGVINN CILNEKSNLLSHRFWGDYYFNKENGTFETDSSVSQDRSFVEFILNPIYKLLGYTVSEE DDQLASFLKTVGIYLTKKELKLNVKERLEVVCKRFFGNSGSFTDFISKNIPNPVESAN TNVERIYTGPLNDRVSSFMRKYERSNCPLVVFVIKQFHSEDIESFYSFGKIFCGTLSK GDRVKVLGESFSKEDPEDFTIRTVDRLWILQSRYKVEITSAPAGNWVLISGLGNSVTK SCTLIGCNSSIKDDEIYPLSNIRLLNKSVMKLAIEPHNPADLPKMLEGLKGISKAYTC SITKVEENGEHVLFGTGELQMDCMMHDLRFLYGNLDVKVSDPMVHFCETVSEKSVVKC FGDSTNGLNRLYITSEPLDKGISDELENGIMKVTISDNKDPRYYTNVLADKYGWDKLA IKSLWAFGPDPKVGSNVLLDDTSSITVDKKLLYDVKEDIIQGFNWAVKEGPLLEEPIR NVKFKILDVNLSSDKINRGTGQIVPASRRACYTSMFLASPKILEPICLVEIICPSGLD EFINNIISKRRGHAGKENPIPASPLVTILAFVPTIETFGFETDLRIHTSGQAFCTSCF DHWAIVPGNPLDRNIFIRPLEKAPIAHLARDFLLKTRRRKGMSDEVNIQNFITCPELI KAMNY cubi_01195 MVKKSSSKGKSEGMTSRLQLVMKSGKVCLGYRSTVKSIRNGTAR LVIISNNCPPLRRSEIEYYAMLSKIGVHHFQGGNNDLGTSCGKLYRVSCMTVTDPGDS DIIRSYE cubi_01196 MNLTNENISNQSQEISINENSNTIEEKFEDKNKEILLEKCRVKV SSNGVYESLPVERNSIDAKSSEKVKDEICGDTVFEVLPRSESNADASYDKASDFGNDI GVFESLPKSSSDADYKYNEKVDIVEDKTVFEVLPRSESIVHPNDDTNYGLSVDVSEII KRKESDINNNVKGQTNEITREYIENDPTVGLSIQNEDSVSPPSEASMTIFENLPIKRE GNNDIGRESRSNSISSNDYITEDHQSIFETANLSKPNSSSHITYLKDDEGAERRTSNE VSEHSEVGSDDIYDKSDEKKYPVKDSEPINELIPDCNQTINIENKTELPIQVQSETKA NVNVKSEKSIFESIPSKCSKADIGYNSKAPLILEEKTVFESNPVMSSRADVSYNTKPE VKTDVIEEKSVFESNPKSSSNADVSYNTKSVPVIEEKSIFESNPTFGSKADVSYNTKV GLGKQEKSIYESIPTVGVVADVSYKSKLQNNSGSEKSVFESVPVSNSKADARYNSKPQ SIIEEKVIFESFPSEKCKADVSYNSKLNNKGQEKTVFESNPVASSRADVSYNSKPEVK IDGKSIFESNPTVGSKADVSYNSKCEPRMDEKSIFESNPTTVSNADVSYNTKSKPVIE EKSIFESNPTTFSNADVSYNTKSVPVIEEKSVFESNPKSSSNADVSYNTKGISMYQEK SVYESVPVGKTKIDASYNTKAELRNDHDKVVYESNPSSESRADVGYNSKVVIRTEEKS VYESNYDKVSKADTGYNSNSYQIHLPEKMVYESTPLAGSTADARFADRINTNEEKQTV FVSLPRSELASDASYCLNNEYKQEKTIFESVPNTKGIRIDARSSDTNETMNFQSKTIF ESVPKQSYADAKSGTV cubi_01197 MSENNSSVNEETKNVIKGVAPPEPKLLGTYINMVPISESDTSKL VNHKLLDGQILGAGMMPENVQVGKTGNCATTTNIPTSQFPMSAMNMEQLQQFMMRMQI NTAKDQTAVAAANPSLGLGLSSGIPAQTLGAALNVNNMIPSSTTSSYNIPPVGALDPN ILASLGLNVQKPTLQPIQFPNMQAGVPNLCGIQGQDMNLATWMQKQKIALALSELQNN TQPTSGDNSVENKSSSPPNSLAAPQNPVQPTNTNSLGSFAGISEIPGVTGVPGSALIT GATPATQSQLTPQLAAFLGNPNLIPSKDAGLSQTTAQISTAGMNIPGMNLGVGTAPNM GNVVAPESIVPNMFQPTTDMSKIEAMIKQQQQQFISNQLNAAAQLLRQHGIQATVGTE PLNNNSEIDISKSKKPALLQKIVASKINPKIFIVPNALNQDECDEIISLIQNRLEDSK ISISRKENNKSDNEETEGEKEINNESPSNKTDTQEKVKDEEKTQNQDEDEDFCRSATA CIQPEETPLIREIENRLGLLVESSNLHMEPILVHRYSTNDYIKEHHDGDTRTYTISVF LSDVENGGELDFPYAGIKVKPKKGLAVVWPNIDSQGKLDYTTVHAVNKINQEVSEKEC LSSTTSSYLLYLHINKEPVRLGFEASQAMQQVVQSETPKTDVDPKVIAQVTYPMSEDK KTKE cubi_01198 MSEEEQKKSAVNTSENEKSSGYFSDVKFDSSNICDNLKKALKEM GMETMTEIQAKAIPRILSGKDVLGAAKTGSGKTLAFLIPAANLLYNVEFLPRNGTGVI VISPTRELSLQIYEVCRELCKYLPQTHGLVMGGANRRTEAEKLSKGINILVATPGRLL DHLQNTKQFLYKNLLNLVIDEADRILEIGFEEEMNQIIKLLPKERQTSLFSATQTTKV ADLVRLSLKNPVLVESKNTSASATVSGLEQGYVIAQANQRFLLLYTFLKKNRDKKVMV FFSSCMSTKFHEELFNYVDLTCSSIHGKKKQSSRMQTYYDFCSAEKGLLLCTDVAARG LDIPNVDWIVQYDPPDDPREYIHRVGRTARGAGGTGKALLFLLPEEIAFLQYLKKMNI PLNEYIFGKNKIANVQNQLERLIEKNYHLHCSARDAYRAYLHSYASHALKDAFNVYSL DLQQIAFSFGFSTPPKVDLNLKSGGKASRNAGSKSKNKSSNPYFKSGHKFSAANPYGV RDKNDNRQFVR cubi_01199 MTTRFKKNRKKRGHVSAGHGRVGKHRKHPGGRGACGGLTHKRNW FDKYHPGYFGKVGMRYFHKRLNKMYCPTVNVEKLWTLVSKNTLEHYKYNNKTDKAPII DCTRSGIFKVLGGGNLPAVPVVVRARHFSKKAEKKIKEAGGICVLSG cubi_01200 MHGVNVNVYNRQKSYGTTTTGHAFGMTSGLSMQTVQDMAGVSGS YMNADTFSKDYADPIVFDTKKSFFNSVKFKRFRKRYAGALGFVILICFFIGLYWIIVF TKHSNSKGSKTPSVFEDEHIQFTHEDFSAHNNILNTNEKDLHSNDWSDFEDDWLDEHE FLEEEIDDIEDSEHGNSHYAKVINDMDNHQESSHVETSFDASPKPLKSTGESDTHSSS QPIQTTDKLPNEVHGIIPPKPLTEIPSHEESIVYFPKTIHLLGRIGDDSAVNGKYNVM MHPYHEESPWIHGGRLIWYKTGKNSSNNYYIFYEKKLHNWVLTDKFDLDNPNPIAFLP DHGVMPVKGVGKHGCKAQHYWYFREKNSDNSQKLVMDRSVLVTDNGILLDSLPDREHR IGSSMDQEVIGLKLHKNQIHIDHKYYSDRYSGRHQIKNPY cubi_01201 MTNTEKPTNTTENCSDIRSYVDKSLLSSLIEMGFGQIESEKAIF FTRNKGLESAVTWLEENSNDVCLKDPIIEISGTESMAQAPKLTDEEALEKAKELQRRA RELRIQREKEEEIEKEKRRIASTKQLLEAQRKLEESERIRNIEKVAREKNAHEVERQR QLSLLKEEWEERFGCPYPEEKANEVPKGNKEKVAYYCNRMNKEYRSKDLQGIMTCFNL LKTYINNVHSHPYEEKYKRIRLKNPTFESKVLKYQGSLEILMACGFVKDDNEEFLVIP PEKIPDTFVCSQAVKFLTLLTQH cubi_01202 MGRLSLHPRKFESEYSAEINNFREENASSLNNNCRSGRKIQKSI LPNKRRSISIGQGKNDEFGATKLSRTSINLKGQIEQNKLLYSKSSSNQLPNEDLDQLC NQCLNLLRQNKISSKNAFDILLIDHLNDIVNVQDPQDHPQSFECRDKSITIKRGNKEN SESNYSVNEKKNSDKIKIDRVETLNELGPQASQDSNFQKFQRAAVTLEASARIYGYRV DSTFDNAYRILSNIKSGQILARNDSDCEEDQKEDDSGDILAEGELNRKKKNKKNLIFS GENNTVVSNPELITLKEFEKTKEISEKPFFVNFLNDKIKLDNIGFGVDCGNIGSISSM LMNNLELRDSVSNKDNSVSFSFNISGKNSFTNRNNSSNSKLHLDQLLKVNKKTLDLFL PNPDESFSQDCHNIVCPDLTKILDSIQDIIETHNDIKQVKDSVSLEEQLLVSEFEDNF LDFSKLSIENKVSELEDKLLEQDQSVCENENDDPSFLSMNNSLGEVNTNIDVELQSFQ IHVERVIEDLKRNEFFDEILDEDLNLNQETEQTKKSEEFKFNKIGDVLDFLANKSSRS GELFNGSRRRERNNYGHGQKLNSKSEEVIFEIPSTLLTNSVFNGIEWVNSLPKTVQTP ANSSKTKVKSLLSNKTFVGESNTSSIFNYDLGHLFCLANLTGVKINLRSIHNNVKSED LNQDFTTKELLIKANHSQLTDKNVLIDSMPIQTDLMASHCEIEDLMQAKDYLQPSSQK DFYTDSNKDIFIQTKLILPFDGNDISETDILLEKSSKSLHVKEEYSNSLKFSKSSNNV DIDLVKRVLKDSIQLLLSKNSNHLTLFNIINQSRKLLQQEGINSVSTGIFFICILHIC NENNYSLSFSEPNINSDTPIELNSENYNHIMLNLDSSVDLQK cubi_01203 MLKKFSTESISSQNQVKSSVQRSIRANILALYPLLEQVIDDILP KKENIVLSKCSGHFQFIVLDSVPLFFQQRDGPWFPTLRLLHKYPDMMPTMQVDKGAIK HVLKGSNIMCPGLTSPGGRMEQVEQKQVVKIVGEGCQNACAIGITTMSTDEIRQVNKG VCIENVHYLNDGLWHVNKF cubi_01204 MSGSEVLESLDKLQTERSLSYIIENDQDYGSKLSKLLAYNSSGV PMLGINNIRFHEKVDENMSEMESFIEEFISKSKIIDGSKVQFNAHKNIETIIECGEFG KYEYTDTNDFMKSDESQNSTQKTYELEKNRIINITENESKDLSEILNSNENKNEYRND DTIDEKDEELEECLQIIDTIQVPEKSTIEEQITSKSSNMSSNPLNLYFENIYEKSFNT LSKTLAFDQFDRDFDAGEYSDFDLNCSFELNNKSQEILNIQDMGSTVEVTSLELEPKP EPEPEFELEPEPKPEPKPEPEPEFEPEPKPEPEPEPEPEPEPEPDPEPEPEFEPEPEL DLESESVSGLELELDLELDLKPGPESGYKLEHKLIHEYSFEKNEELNGTRTESYLPEI NETLRLTDEIPIVNNEIFSNQEENNAFSSSSEKKWRMKIESIMKNNDKSSKNICYTAS MGGSIFSKHEENGENNNYNSNLYPRNNILRNDTTIITNNNAIVGENHTTKNNNFDNKQ SLLSNRDLKIGVIKEIERELRECKLSLNVIKENQNLMRGICNADLNSKGFDSNLNLNL NLDLEPDLNLQTDLDQDFGSECDFEVQQDSNNEFIQQTNPGNHYNMPLIPQKYSYTDL KTQPSIFSFETNRTSLEASLEMEVSHNNQNSKKHISSHETREFDSKLLNNFKMPNQEI SFSELNSYNDDYKKTQFEDLSGLTQTLNLSCGVEETTFQSDIVKTPENIITAIKLLAV VRDIKRQIKMIKSQHN cubi_01205 MYGNYGVLEFNEYADRVTAYLYVDRDLSTQLSSYMEAANKLNCK NQCLETSIIFNNGNLWLVVRWVTEKKKSNLDSEGFVEEVFIEENSESNLEKPLCSDLK IVLKLPNRLQVKDVLSVSYYHENIVGMISKELKSNIQEITSTLFSRVVLSLKSKLSLL TISTLVTDLNWDQSGELPVIEEDESICLDFETSLLNRLATKNHETHEKLTNKLNLYCK RCNNLISGYSENWITAPLPTEMLIHGSEMLTCDNCCPLLSTESGNSSHCDFGARPNWI CLGQYHISVNLSNACLDHLIIRHESGLEKTVETFFINDINNVYYYSQKAFHSIFCKYC GANLGWKNDKDNYLNFWKSKILLNVVSEERVVLSLFANYPIISLVIHYIEKYLKQYSK LYIIESNKREDGKNCKDNINKNKGLISLYIVKKNVIKLKTPLFIGKSYNEIESQTSFS SKRPVLYSSIKISYDLYTDGVIKNDEHQIHLEEEQFCYLVRIVQKNNMFNKNSYFGIP ILSSDLV cubi_01206 MKENSKISEREKINSWLEKQILIWNGTPISKLYGKSRVSQLSPN IKVEKNDIEHAEKNEGTNKSNLNEEENPKQEISGQILTKIDNQNNPDGNMKLRFKDWS DLHNYLLVSMRREQKNNI cubi_01207 MSNLSIIQGDLNELKALKTQCKRDGVKMILSNQIRLLEEKQRNM CISDAGRKNVECNNVNINNAPENIAKKSNENLPLEAYTSITKYSWDQSDKNVKIYIDL VGVQNKPECIDVKYGSDNVEMYIKNLDNKFYSFTVKLHDSISTEECSHKVKKDMIVIT LKKTNTSSRWPRLSYKDSPLKKSSASPDVGAGMGNFGDMGGAGMKDPMAGIQDLMKKM YEEGDDEMKRTIAKAWTEAQSKNLKMP cubi_01208 MAQVDQKIIDLLNSASRYDVSNLDIFENCVKDQIKKGQYSILNN LVVLLQYSIYPKKTNLDIVQDILLLSIIRGPLSSDFLSCSYQIPLSIQNDPNVKQIIQ LNDLLTSCRYANMWSLLKTNDHLRSKVERIKGFYDSIRDIIIYSVNCSHSCISISVLS ELLDFPKDSAELKNVIEKNKWILDSACEIIRIPLSESPIENVDSNANKNKSLGSNEVI FKNYLNLLSNN cubi_01209 MAFVRVEANTQDENLDLESQEFESFSKSIRHGFIRRVYMLVTLQ VLFDLALSIMVLHIPQLKAFMIRNITIIQFTAFAFAMISSILFYVLYNYIDSLQNHSS KMALFCLITVSEGVLLSLLTLIVNTKYLLMALSITSVVVISLTIFSFQTKYDFTSYQS FVFFGTIALLAFSFIYMFFPKVRIVELIISPIAVLFLSFCLVYATQSVIGGGKHMIYE DDYVMAALLIHSYIIDIFIYILRFIIAFNSDK cubi_01210 MADSDMNNDINTLGSTAAENAVLETENEVPLWAIITISILSCML VCTTIAFLIIYFRERERTKTRERIEKQFRMAETKKLTRYLQALRERMMFRNHNAQMNR QPNPNFQNGFPVNAGPPRAPVQFIHPPPVGPPPPQPPPPQPPPPQIFNQMGHPINYPP QIQQPVFTNAPPFAPPVIPPQNFAPNCAPQPMNIPPPNSPPVNPNVTQGTISLTVHDP SLIPEGPPPIPPPGYVTVPIVLQNENH cubi_01211 MVKVNKKKVINKNGTFKNGTLNNKLNKVDNKKLDLAYGFEAKSS TKVQEEKNDYNKKLIENGMNNICRVIVLDWLIGVHRKFQFRPATIHIGITLFDRFIRL NDKNGLINCDNLQLVGATCLHIASKYEDMNPAVLLDYCIMSNSEFNPKDMINMEVEIL NTLQFKIADKATLIDYLDSFFDSGVLKGVNKNRLPKYFYSSALYISELSLLHQAMVLY PPRVLSTSILLLLCLLFEKDESEKSNLSILKDLKYSLKRFSKINSITKEIRMCIKSLI GIIKEQFFLEIKIKEGLENSILKDNPEEGIPFIYLKDLTNKWRNAYTNLYKKITSLRT cubi_01212 MKVEKIKEIKAPGDGILSVSFQPHPIGEKWDKERVAICGEKGVH IWLFEYNGKHKKLAFIESSTSALIKMCRWSQDGKYLAIVDMEQIAIYYKGSGCCSGTP RNLNVGGFETEGEDKELNELFENWTVYVTFSSSDVNECADICWLKGSRVLVNGTISGH LIVYNMEERCQSSNLFIRDIVETGMRNRNMPILHTEIGHVSGLCSDARGFFLASQMSS RRLLIFNVEYNKENGKETLTKRNCITLSFLIEEERLIINSPCILTFIRRPVFDPLTCL IGCPYGEVGTSYFSCLFPFRDISDTKPFGWEELCKLLNYELEGGESIKSDIWNLHNEG KTSIKGKLELPEAYRLRGHQRRVRIFQFSSTVIKEKDEIFSLCAQSSQDGSLSFWKVI YDLKSKSKIKSIECFLVLTNFMDEQASVTDISFNNFNNSVLIGSDDNKLTLISFEFEE FSLAKTSSKDSSEFYYSNWNYWNFEFIPEKPSLQSMEIKSSGEPNLFSKHLSLPVITA NEIREHQERTQEAVIGSKGTKIRRIQPLNLTEMYVNKSDGKNEINDNAVSSNISITQE DQIIGKNINQNQGTSKKFKKNQEIKENNTNEIKVASNDQNRDIDGQFEKNSENQNIIH SSIKENNTQMNFHLPEHQNNQFIKEWRDSVIIPPRLAIETNIIMNQKNYKMAVFADNR QIIENINRNRYSSTEIICMRQETLQENEISNVSKEMLWYKPISNNNVVTHMIRIKDIL LVIYSDILERKDIIASSNLEFLNFNTGSTLIGVISLPFVLKVVLSESLDMILLVTING KIKIFQIHGSLNDYESSPSFLPNTSTCFSSGFSINWVLDADWSFLSSHKLSRVDFYSS HKVNQLKEKTDDPIIILYFDDGKTFSYSFNISSFVRIDDLEHCRSDFWSMVFPKTYMQ ILQKSHHHFQKAKLLELVSEAVSAEHPKSEIEISSVCLDPRGWFDTDSKFQVQECFFL REIQQNSRQILLNHQHSQKVNHLIQQKMQSIFENILSTSLFDDYNEEDEPENSYQDKM DDSSHFQKPQFEVETKATQDNSHIIKSSSKDHNFDEKSKAKVINEDLNGNVPHTKMHI EHQLVSSIIVKSRSEFKYWFKIYIKFLLDSFDQECIKEVTNRALSLVKESILTNKNQN QLQGQNHGQNQSNRGLVAPTWFNLTMLESIDVDPARILIDDIISGINDFISLLKNSKG TLQRVFSRGVKSQVSIEQFLCELENIKEKIASQVKSIQEEFETYKNIMLNNSQCLNSS QNSDINYSSRLGFLNSIFS cubi_01213 MANYSLKRCLIALSISLLFGINLSILNFTGPYVLLDYELCGTEG SLLERSSCENGSYAMYKMTLAFFTASLLSCFAVKYVVGRSRSFALKVALVPFAIGGIL SSFAPNFTVFCIGRFISGASLGLSAASVTYISEISPKHKRGLYTTLFGLFIPGGQTLS YIFGVFLPIIRYLDEYDLEFYILYCRLLFFIPTIISLIMIIAINFYCKEETPCFLLSK GRFAEAEELLHKIYEDPSVVSGEMESLLSSNKKSIEEDKMSQNVSYSMLFSRKYILPT FITLVITVGVSGSGIGIFAQKMNSLIESASGLPQEKVIYIVIFTSIFETISCFFGGHL IEKFGRRNVAIIGSATNSFSLLLLGLFTIFKNYISSIIIPVVSIIVFTTFLASFQLAL SPVLWAYVPEALPTEIRSTGMGLSSILNWLVSLIYIPLSYIISDLSVYALSSICCGLL SIICFFFMKETKGCSVSPYENISVTKNPDI cubi_01214 MVSNEEIENFINISGCTDFEIAKRYLEMYPGDMNSAINEYFSNL GNESTSNRNTSHPGNNLFHDEEDVRTPIPSFNDQLIPDDHNILNSSQFLQNQRMVGTE DIIPPVDDFSSQMFSPPEIIMFSEPFEIAKEKAKSQKKLILVNIQSPNEFSSMILNRD IWNDSLIIEFIQEHFIFWQRSCSTPEGSEWLNLYNVAKLPHVSVIDPRTGRQLKVWDV AKSFSDSISASSEIIEFLESENTLRAFNRISTTSNTIAHEVGSSTVTNISEQSSDSFS TNTNINTVQTTPGIVPTQNQVQPQPNTQVEVPKINSELAMLHMERMRRRNSEKK cubi_01215 MQVPVIHTNRSQVTNHDYVDFTKVPKLTVKESIMKQWFSINKAC YSSLQALRFRLLCLRAHLIKCGPELPKNIYNGSTFNLSLSYDEYVSLFIDDKENESQS ELLGDIMFLICELVDDRYSHTNTWNTFLAIELFSTAYSLDDEKIYWYVAICETNKDIE YNLPKSSTQKWVKQAKKLKFDSEINATGNFNSLNPTNETLDFVIISILELLMNPEFDD FTSIFKNNDLDSAFFSSLRLPKEMGTLNRCSQIWSGQHIAYRCITCGTSTSSCICVDC FQNGNHENHEYYIYKSDYGGCCDCGDEQAWSKEGFCRKHNIKDIQSSNSNANLNSDLL RSKLKGIFHGILCHVVCLSRLYCTAPNTKEIYLPFHSKLVSKIIEQNRNDEKTEDNFN VLDFYMQDEDLSDDSELNIFPSYFDSDSFRVENGLDDGNVNNDTNRNTIINNVEDNSF ENEIEQIYERVEMSDNQTNSEDPVIFDNLNAALPQENHFFEDIPILHFQTIRGQNLND TTIPILLLFKQEKKFQMDSYFFQWFISLCENYQSIFLPLMGELFGSPIHKLLMFGILN HPSLNDDLKSSNKYACKLLKPLIEEYINKRIHTLTTQKVKDFLREIHSDFKFVSVKES DESQNAIIQENTSENYMLDFRNGDESISDEFDIKIEILTYLDIFWMKWSRQLNEANDS LIHQALVSKIYKQNDLTDLLLVMMFDSKFKEEIFPDIFMRNYNELVKRQDKVLTRIAV QLFTIDRMLISMALDNNLIQNIFFTTFQILQSSVVYQKVCHKNKEDSKSKFPVPTLSS NSSAVVNRKYTYSMHDSKYLLQCIDLIYFIFLNKSTKMLQEELSCRHIEPEETKYLSK KLYMELWYNGWLSLLRLVQCMNPHKSKIVEPFEDLKWHSSLLLCCDIQSTVDILLQII FLLTRIPGDSSLMPLSLEYEGLDLTTFCIFRLVLNTVQSLIQWIHITSINESWNIKYE YVENRSFNIDKTFGRYFVKFEYASTINGNYTLHNQSIIENWASIHIPLNRTSLQLIYI YLDYTSRKKDCIDSKYIFELVENLGILNDVLKISIIEHPLRAYCFVHQAFYLNDGSWL RNGLSPINEAQFYKKSHWSTMYILLDIFSIRLVLNILDNQDSILFMISSILTHFNLIE DEINCENNNHHSKKDMDMVDHFQISSDTDNNSILKDVKESINPCFNVLGLRKAIDKKA NCAVLFIMDIFTKITSLDLPNSLSFNKSVYRYLLVQYLIRKNRSRSEIQDGLPISSSS SLYYGNFIQSIKSSNLNTIIDEILDSFCEKIPAFDNKPILYKIKQPIGWMLYDPLLPV KFLGSEDNSNGESICSYFNDWPNSLSKNSKLCRDVISIEDGSLKKRRIGENSMLEHNN RNQNGIFGKNEIEEAQDNSERKFINNKFTKFPIKLCHIPLNEHFSSAIHFNSSQNMYI KSILLSNILHLALPKLFGLCISQTLMDDVRIIHHSFILLFNLINIEIIEYIYKAFKAS NNEESQTKIEFNRVPLNTEEPGTKNQTNKEILEFNEDPTYEFFSIPLEANTNFKQIGG LNSPVCKNSHSSRLFNVSIYCLNQDGDNDDYQYFAVQPNLCSLIKEELSKKVGNNLIQ NTTPIVLKDLNMINICDLLRKNRIESVFELLITPLILSFENDIRHEKPFCLMDILVEV LYSLRINDNDIHLGKDKNKFKFVKKDVLKLIPIESSFFLEWGLSIANHTSPEIESYFR SYLEGLYMERVASLQEKAINLKETNFKEKIQEKQKNILQEYMKKQKNFMNNFKKSMLL SNEENKVDNQDGIKLEKICVGNQGVDNEENSDFCVFCHELISGNQGHDNNDEHIATFG YLDLQNTSLDSKRNIQSDTTLDGLQPNFGYTSFYLTICGHLIHYKCVSQYYKLFNNEI SSGSNDGSSRSGQETRQIQTEECSLEMKSKIQYVGFPLTYYKNKVALSVKQVGTRDKV ENFDKANVETSSSSIHVLSSISDNLGSKFNNKVRQYDINKQIYHLTNLTCPYCNTFSN IILPFKYFKREDLMMEKGNEEKNFLVCKDDYLMQVLDILMDFQRKDEIFNLFGDNYEG NSELNNIEIDIESIPILEQILSILTLFNHLLPFNIPGVNLFINGIPGINPIGFLIKII SDNIVIDTISNSDEAGLFFIKPFPSSRSLMYKIVFDSLRSIINHFPSLSISMIYNLFP VVRDESKIDIWDFISLQSPTARFQILVNLVILIDATRSLRRQNSQDNNHSGSFCHTKM NDLHASIGISCLHNDIRDNFERESESDETLDDDKLRITYIMLNMFILEVFSIVWTFWN PEWDQDEGISNIKNPIVQLILNLNKNKRSQVDEESDEQKDNQLNENEVLSFFQDLIYG NVFNLHKKLDSNSGEVEYKAQKINNLSDSRMNVNIFGALPIQITPVNSEILVSENKNK SERFYSFKLKDYMDKKVGNNIHELSLNKYPRYILNVNSSYLNTKKTSKKYNQNQENGL MEEFKLKDIKKLKDIINLGIIIWLKLVIGFQNIYMEGKSKKNDNSNHKDNGLNGCEDN NLSEQISILLESISSRFCANANILEMAWKTLTEFDVEERNTNPKNSSIVMMKSLLSNI LESNLFIKLISKKKPIIPLSSLEVFKIQDNLDNVKILLFNSNIDSFYKNLNFEEFEIF KNSFQELGKKFLHINEYGQNIVIYNGFNQSTILPPKMFHNLYNKLLSKPIKHWSRKYC NNINNLLICISCGSILCTEYNCCNETETSTNLSSSILSSYFYCHTKGTGRTEDENISS YSIPFGSGLNISLRSIPIRDHIAKCGKGLSFFLHVSSSIILSFRIDISSTKNEANGNL FNWIEADKRLLYGGRACEFSTLYVDEYGEEDKYLIRGKPMILCPYRWIKLQNIVQKIN ARRNTHLNWRSFQPFEFQSINA cubi_01216 MPQNEYIELHRKRHGYRFDHFEKKRKFESRKVHKESQHAQKLRG IKAKLYNKKRYAEKATLRKLVKANEEKDAVERDPENVQKGAVPSYLLDREGVNRTKIL SNMIKQKRKEKSAKWQVPIPKIKAMNEDEMFKILRTGKRQKKSWKRIVNKVCFVGNDF TRKPPKLERYIRPTGLRFKKAHVTHPELKTTFYLDIVSIKKNPQSQLYTTLGVITKGT IIEVNVSELGLVTQTGKVVWAKYAQVTNNPEIDGCINAVLLV cubi_01217 MKPNLECKLLLKTNTYLPEIPNYWLDSTHFPIFDKNTNELKTQN IHVLDKVIDSTEIDNGPFISACYSEDGEILAVSNLEGIYLLGSYTRTCFAAIPFALLA KQLGVEDSKSLICNDVYIFEKNCLLGALFNDFLAFWDISSSKLISYECYVPKFIGSIS TKDLLLHINEKQKCYNYLPAQYLNMVDPRDFENNKFVSVQGIKTLKSDNKEEISQADC KYTITIEFVVELHSSLPLIVQAKAKYNNQDIIANEFSNGSSKFHEFTIHSVSSIFPDH FLNNISTKYVDPGNNNKFVSLAVSEKEGRRIYSSFIIIGETNILLIFDEFKTILSLKA IPNYGKRHNKYLYSCKIHFNKDGDILIVQYSDRFSVYSLKEVDNSRENFEGNSDSNQV NNLSVAENYKDTEFDQEYLTEMGIESEKYIPKLKIKLLYSYSQVIQKEWITSISTYNE KVYDSFKTHNIQLYGPISQLYPCGVLAVTTISSNGQSFYYLMKICSNSDSRSYIPNAP NTKCDHFCNDNTGSNMIIWKVDLTKLNGVRKIIWQPGDSICLAIQFLEKLKNTKLMYD EYYEMNSTNMNVLNQLEKNNTFGKIFFIDSRCSNDDMLLWSRLMIDFTAIHRNKEYIE KEDEFDYKDKKENHSESSEIKKAYLDEYFKAASKPDTQQLNTYEKEMQKILEDLHEFN KNLDDNVYWFNKITEV cubi_01218 MVLEKSGNIQSDEAKIESIIFPKECVEYIMNRQGVKSSDPNLFS IASILGQKFIEECLKKSIETTEDGRFVIRDDNLRNMIKMENSTFAVKNDYNVFLMPNQ RYREFFKE cubi_01219 MPCVHYRKENVRSGLIHPNGKIIALLVSSSIYTIELYRIDDPNK PIKLLWSYQIKDKPSCLDWCNNSRSICIGDTKGSVTIIDINGQVNSYNNLHSQFNEIK EMSNFVLREELSNLRKEGIFLRKLRELIIIEPYSLNIRNNRASEGHGLDEFLNELILD QEGENALSDSPVDNFSNQVENSKSKSETLPEDTQNLSKNNHKEAPRLLPEYLTPEEDV CLILTIDSKNNMICSIGGHTPVWVYNLHEFGQGKDRVLKDINVCKNYIFLTYFPENGT NEKREDLLIEMIDMKQFFDSFGLIFNTFGFLQYMRQLLNYLKSVFQQILSVWITGIKP FRQFLGNDKTIKKSNFSIFLLSIISGAPVNMFQTSNSSPFELSITIDQLVMIGQNIND SMVYIENTISQTVEPAIQQIFIIWSIIQKTEIIEDQETSKVRTQIQLLKEFNKCLIYE TEQVKPIVHTFLHLLSIAKTYREDIKKISSDHLSPPKIISSVNSNIIASTFNISSDSY YILKDFIERKRLFIDENLKKMDDESQTNHFFNEIEFRKIDKLLNEDAEGCSISCIFNL SSNIEELYKLAVKKVSKNYICSFSSLKVKLDPEYFSTNNISNCTQVSMDDFKNIIIKI IHPIQTKGSKYVISKICIKDDEFSNPISSFVSKNILQDSIYSSNDGRIQIEYCSKAFS PSINKVSVSCAILCLPIHLQNFKISSVVWTQTNELLMLLCNGLKSSLLFGFNLDLIKF QHLSPEKSDAFEDFSKNNNLAQNSLRLSFSILNSKAGQDCSKLSVHSCPNITNLSNIF HYTQDISRIHRPQKFRNLSMNISGTKESSFSTEFNESQKESCLNTEILDFHSQFKQVS LLIVNKMTLYFLLNLIDTFQKYFIISDNNAGRIAVGYLE cubi_01220 MAGKISSLIKIYNSLSKMNFHIPARPVISSELGLVAFISVLLAI ILAYCWHLYKNANTTKQELYDGLQVGYKFSYIGYFIMILIMACLFLPFLFIILHTYDT AGCNRRELAPYWNNRALVFISLWLECFFICFFLRSTRSLFEQFFFVYCDLKDADLVSI WRKINKESDVTSKKQTKLKRVLSIFLDPFNKLSRILNDEISGYILSTVTVIIDYNCEN DTFSKPYFELFCVRYWYSENLKKFTCVEDQDYSNGEVSNLTACLEKDVYSISNVSNLG QLKPNLSDLNTLERLENSEVVQSEVNETETISPIMGLSKELAYEQRQSHGKNTINIET PPLADYLFYEIINPITVFQLLVLASYTFQGYLLFAVKWVPMMIISIVANIRIHFINIE NVKKLTKVASDPNVKAIRDGKLVNLDISELVPGDIIHVYENSAVPCDLLLLTGSAVVN ESMLTGESAEVLKMPISECESEKISPENGPYSAKRYFLYAGTYVTAVYNNVGKGMALG SSLGSVNIKTSSTRSTDSIVNFTSSTEFSELAGIQSLALDEPSVTTALVIRTGACTLR GKIIRSILYPSKYSFDLYDQLPITWLFLSFIVAFMVFKQGSSFNWGLFTFFFALGSIN STFPLYLGILNTLSQNISSRRLFSNLKVKALIPSRIILAGKLRIMCFDKTGTLTNDRL DFVGMSPIHITNGDLNYMSISESEQADLNKKNSPEIKIQFLAKQGINYVLSDKIYAID EIRDKFKLAFLSIRSCTSLSHSPKNFEIPKDIVTNPRNYYEKMNGNDTDKALFSVTNS FFEKSGSNEKVYIQSLYLGYEDGKQKLDIANSSLEVLRIFPFDYNKRLMSVIVHCRSS DEYYLFTKGAPESILKICIGSAGFEFKQRLDELSSQGYYIIMSCYKKIEKELINLYLT LNRNDIETELSPLGLLIFENLVRKEAPSVLKQLVDSDVLPIMVTGDSPLTGLNAALKL GIIDETYGKIAVSELLTDEIGSEFVQWRCKESKELIPDREIYTQDSKFGNLVLTGDCF NVLLREHDSSVPFFDPAGETGKTRDLDIEAASGLLQVRTKIDCIINRVGVYARMSPNN KVEVVSLFMKRGIITGMVGDGGNDCGALRISHVGLSFSKGDASLVAPFNSSTSNLNSV LEIIREGRSSLASAMSILLYLISYGIMTSMSDTLLTHFAYAAVPDISSSFVAFFAQTL ILIGFLFSSPSKRLAAARPSGSIASVRFVLALLTPFATYIIGYYIMFYRLNSKPWFQP SKDFNIHLPAQNWFMRMDNIESACSWIYTAVQIIATAICYTMGSQFRSPFFKNPFILI PIIINAVVFSVLIFTGPNSFSCMFRVNCDSFSIQNTVIRIFGVKIINPSSRPFSGPNG SSIMPFSWRIEFVIICILTMFSTISLFSVITKHKEERKTSIERRF cubi_01221 MSSKSVKIAKKPIETKVIDNSTDTSSDDSDTSSFVMVKKAEKKL ANLKSKGENKESIHKKIEPKNMKAQESSTDSSSGYSSESEVDNKKSSKKESKPNTLKT EVKKAASSSSSSSSDSDSSSEDEKPKKNAKAAAPMKKSISNSSSSSSSDSDSSDSSSE DEKPKKNVKAATPMKKNISSSSSSSSDSSDSSSEDEKPKKNAKAATTPMKKNISNGSS SSDSSDSSSEDEKPTSTKTAAPMKKNISNSSSSSSSSSSSFSDSSDSSSEDEKPKKNA KAATPMKKNVSSSSSSSSSSSDSSDSSSEDEKPKSSSKAATPMKKNISNSSSSSSSDS DSSDSSSEDEKPKSSAKAATPMKKNISSSSSSDSSSSSDSSEDEQSKKNAKPVATVKK SISKNSSSSSSDSSSSSDSSEDEQLKKNVKGPTPMKKNISSSSSSSSDSDSSDSSSED EKPKSSSKAATPMKKNISSSSSSSSDSDSSDSSSSEDEKPKKNAKVAASVKKNIPSSS SSDSSNSSGSDSDKDENKKISNPVQSSDSSSESEVEMNKNKRKAENELNNTKANKSTK NENQKRSHQANDDNNQPRKRQNTNNKDAREVYCGNLPYSCTEDEIRDLFEECGTIERV SVLSDKGCAFITFEQEEGAKSAIQWNQTEYKGRMLRINMSADKPQPGSLSSGGYGPSV IVRNIPFSSDDESIKSFFNGCGVVKRVSIPRYSDTGKMRGFAMVEFENDEQIQNALKL SGTSMNGREVTIEIALGKNNSGGGRGAGSGAGRGGANNRPGKSNSSTTFQGTSTTFDD SD cubi_01222 MSKVKTNIKERSEKNKEKHFKELEKDAEKNISGRLEKSMKSEKL KKNKTSKSKKLEDNSSKENQIHCIPENKENAEECKQTKDEALKVKKKSKLKKKTKKVR SECWTADMIKQSIENLEGKLNNESEKLSKSKKRRIIMRLSKLQKGLRGEVEIGGQIQK SIKTIKREMKQKSMNSSISLRKNSNVVCLCCRKKGHQMSDCKYYKPTIEEAKDKNDTN SIEESGALEKKIFKCFLCGELGHTLKDCKKPRSDNSVLPFASCFKCGKAGHIVAFCPN NETGSIYPRGGSCNFCGSVKHLARNCDQKVSKTNKNKKSIGTGKIKDKEKTIMEIDDP DMMWNEAMR cubi_01223 MFVNRNILFFFALVFLFFFNSKQCIIESCVEGFSFANLVNDHIP KNSQIKSIIDDEKFIKSKTDKAKYRYIKLKNGLKAFIVSKEDAVRSEVAISVDVGFNY DPPKIIGLSNLVQHSLLLASHKYPNIDEFHNFIKLLNGKIYLDLHERSTVYSFTIGTE YLSESIFRFSSYFHRPLLNNDSINKAMLTIFSHLNKIKRNEFWVRREIEREIFGKNKN VDTFYYGNKNTLLNNPNLAEGEIYEKVRHYFSKYYGPNNMKLALVGREPLEKLEKYVI QNFARIKSNGLNIVSVEDSYKYIVNPFIRISGNIITIRRLRKTEINTINLRFPIDLQV VNWRRVPTLYLKYLLDGNFKGILRKYLKSIGVFSPIKVGVVSYEGFSTLDISIDLYNN QLKHSWKVVKAIISTVKCLVEMPVSEKILLEAKKITDIIFNYRESEFTRDLAYNIVYK ASEYKVKPHEIIYADEIMEIVDASFVKSFINSIKIEQVSIFFFTPSLSTKKIPSEYNV FRTNFYSKSYNTPSIDITPNGVESPGNKAQSGTQVILWFYNTIKHYLIKFLTFIFRKE IQTDDDSIKKEISTQDFEQEPDEINEENSFVKCSMVFKSKNLLSDYCINQFPSNFFKD IHNLTIYEIYNIYNLDIYTPNPYTPNDLSLIPNLDDTKTIPQPLILSIKKFLNNNIEN LNNGELISLGRNFSKLELNSNHHISYRKKELNKDHFNHSELTKNTQKNLTDLEIENNN EISKKIDKDIEQGIKKVNIKRFDFYSNIIYFYYKNSAEQSFPETGVTIRIQTPKINSK IGSHPKVLKVIPKLVVAIEILCVLLKISLEDEIYNFRVAKNEFKISSFTDYTYNNLPN GFEIQLKGFYDVIPVFLRRFATHLSHPFNYFTTDMFREALNHMNSYLYQQVYFTPSIT KTLLILRSITENQPLTPYDRLNELKYITYQDVAELSEFFARQGQIEGLFMNSIDPLEA GLIVNDFLDQLGRNIVVSGTISLTVNKRGVEIIDHKLLKNVFRRESEEYSIENMLVPI SNAGKSYFNSYEVLDMTTLPLWLWKSYKFEYSSIDEEKSVSSLALLIGTKTPFTISLV TLLCIFLSDLLNEFLKRISNENDSVAAFTASHYSSLTFVVIQVESYSKDVASLSEFLI MFINEIFETPYIIEKNLFYKVRRDCINKFKNLPNKIELFSVLFLTFVEGSDFPFQWIE KVIHTMETLSYDRFIKSVKFLNMAPQIIIAVQSKISNRTHRIEKYVPKGFTMLNSTDD LLNQKNLYIYKLPINISRNVLSQEL cubi_01224 MRLLCLFWLFTQIVLAFSAKKLKAGYKFGIKPAFVPKLTKPLLS KLGRTVKNVESSSFVKSKVDSSTYKFLRLRNQMAVFLVSNNNFNHSVITLSVGVGAVM DPEDLPGLASLVQESLCLGTNRFFDSSDFCNFISSIDGKIEMKVYERNSVFTLEVGNQ YISTVLDRLSDMIRNPSFPEMLFFAKTEEYSGTFDSLLNDSEFLFQCVIRDISFEDHV FKRLNVLTDNSIKEAREISEINLLEHVKNFYNQQYSSNIMTLVVASGEALSKLSYEVI SNFSLVKNLNISRPLPFDLARIIRHPHLAVVGNAIHLKAHSINELILEFPIDYQEVLW DSSPSYYLEYLLKDNSKTSLSNFLTNKGWILKMEVRTNSHKYSFSNFELKFLLTPKGL DKIKSIIQATFIVLEHIKTSPIKQDILVEIQQILKYKFDYYFDVSPRQISEKIIDSFD IKGCSPEEVLIAGNLVRNSNPEEVSAFLDKISIDNLVIFVRHLNFDFKNDILNRDQGI IQELNKNIPRKNQSFALYKQDNALRTNEKQSSVDSEQTGKDLESETELEYSSEHKQEL EKEPEQQSSLKMSQSENKNIEFRTCPKFNNKYIVEELSSEFINQINEAVNLIHTKSIG FKIRRSNQLLRSTPLQYFSNTANYRLYLPTILKNAILDYLTVESSASKFKTFHKRIRE NINKPVYSSFLFFNNVNFQAPSATFFIRIMIPEAIKFDANIDTLSAPITELKYTKSVK ELILSLEVLVVCLKHSNEDIIRNMKSIEGIFSITSILRSELSGVPLGFEIKVKGFLGS IFLALKSFSRIMLNLRKNISQEKFEQIIQSLENRIKTENVNRSSKEVSELILKSIFES KKTSILSLESDYSSITLEQILELSSFLCRNGAYEGAIIGNVNPLQAYTVLNQFTTKLR HQNIQEHQVFSKLNSIGSSMQTWKILDPLSNQGNTNYYYYNELSLENTLNSNSLLYIP FSYFNADSIAFQVLFEYIISTFRENLSLSGVEIDFFPKINEFFLVGFTVALSGFEDIS VLSEKLLNTFYSIIRFIKDIQKDQLNQIKKNMIANRNTGSEFGQLESKLLYQVTSRKN VTAVMQGVKSSLIMIDHQILTKTCDLILSSSKFLIVSQKNTDDSELEELKNYIPSGFT KITDINQLTENDSYKFINVPIRFIESEQG cubi_01225 MYRLITLILVAGFFVLNNYCADNRILFDNRSVHGHSLLELEQID GENGSETYKYKDVGFNDDVILSKQSFNNGFTLLNIKQPFESKYSDFRVVINGGSSSDP SDLPGIRYFALLLFSKQVNRCMNKIFKDELIANFKIEINAAISNLKFTFLSNQTSKFF SCFLNAISNKMYLENKSYYFEILQASDEFDSVISDPNSIEVDKLAIRMIYSTESSLGC TSLQFLNLFSKTCYKNSINLDTELPKYSHKLISEMFKPHMLSAIFIGNLTTSRLTKLL SGLTRGKLRNQVQNDFSKINRANKAINNSGSNVIIRRGFSNDKLRIHIPFNTDDLKSL ESNSHIFVINILDSRHNSGIFNFIFMNKFASHSRIGYYIEYSTIVLYFELSLTRKGLE NIPVIIDSIVSYFNLLKNSVISDRAYSEVQQLFDLHLSTSTIFLAESMVHYLSSHFIM SKSSTNILSSNINTQFVRSDVEYFLSKMSLDSLKVSLYISSHFDIKKVFSNNFRNREM LSKAIVEPHTNILLLVFETDDILPNKLSSLSPSYALDTYGLQLPNDELISTINLPSFY SMPIFEKIVPLHKSLDIHYNYLKKTNRMTTISRTMSFKSITTSQIWFSNNEIYGKNVK LNLKFSLREWSPLMNKFYGKVNVYSILSAIHTFTTILNIKLYESLSYIYRLTGTIVEL IPSQSYNEAVSDPFEFYLIVNSPINYFSLIMSETSRLLLSFDTFLSDKGLLAKAVILA KQSLLEFYKSYSQIEKDTKVIAQIVSSQHCSFGSLRRYFEQSSNVEFIKAVYDSLLLS PTIYGFIEGNLTPFHANHILNVFIEGLNHKFFHMEINEENTQEFLKQVSYPRSRNYLD LMGKSPEVDIGTFVLDVSTIPNEYKKLFVQKLDVTASSSYSTAAILIGQLNVENYIKG SIINEALKYEALNKFSSKNTSTKEIDFHSSITLVANRFIAVLLSMGSVKLSAGTLTQA IHKSLTESISKIMKNCKSKSYHKKVLEKINLSDPLSNKKFFLSKSNLVKLVDESKEYF DSLNHTSISNLLNETGNLPNVIITTQKVSNIKASISSLDFIPEGYNDISDNYKSLLDN QIAEFRYD cubi_01226 MFLNVIFSKKNSSKVRLLINHEFPQFLVLQKGIIKKDANNNCKM NMDCSQNDGGYSQNQIKEFDHGQLAFN cubi_01227 MCCLKNIFVLIGVLLILNCLNYETRLNQATGISMIEVITDKQGN PIDQFNLDGRLFINTTDIDKIAENIIFNQTEINDIQNLKEKLHTEEEYAKLQESGKKC FYGLNCLYGLNSMDGLINKEKFKQSQSSSINQTIIDNDQFNRYLGDENMYRFFKLDNG LKVFLVSNNLLYTSSLSLGIEVGSANDPEGIDGVSYLLTQELFKKESNVTNETDFRKL LDDNNGYFNVESNKFSTIYSYDIKSKYFQLSVNAFKKRLEKVITWSSLDESISEVAKL TELFKNVYTLQEIQLKRSLSNSSHIFSRFPYGTNETLREIPSKNNLSLQEEIIKFKKN YYSPHLMVLSISTSLSLDTTEELVRNTFSDLINTGIYPEKPEELSGNVTHPYDTLIGK YIEVKSPTPEAYITMEFPIPNQSKLWRYKLGSYAKYFLTQRFQNGFLDKMTKQGWIRE IEVDVINNDTGFSNLVIKAILVDSDRNKLLRVVQAIFATLDAVKTAKYNKHLDEQIRR VEQGILKQYKSTGYYRYSKNIVKSFLESGCSPENILLVPYELEVIDHSYVRMILEYLN PFNMVLTFSSNSFSRNSKDFLLSSEIYGESGWGRFSRKFVSFLRTIRRTFLRAKPGEY PNFMIEKYLNANYLITDIPTKILDILEGSTQELAFEYLGFNITEIVINYPKQYKIYTY DIPPQVHPQLLVESLASYIKYTSNTTEDIDEALMNKVLSGFNEFVSPIAYSTFYFPIN VGVPKISLNSRIIIQPKSLVVYGFQSLPKTNAKLVTLSYLFMKSFKYATPPEYRDCIV ENSYKSISELQNSFLGIEFRWTNYTSSFDDFFSTVFNGLRKYNSYINKNHFDRAKSDF ESIINNISSLNSKTLAEYTSFETLNFYMMTPSMFIQAIKSVKFKDVVDFASYIIKMGT IESLVIGNCTPMQVNSYLLKIGRILNRDLVNTSDLTHLRLLNNSTRIITGWDTNHTEY SNFNLQDSPKSSITSNQRSELNNNTIVDSQNYLGNYSSTNITRKVTIVESSEKTFLTE GYYKGKWTNRINMDTLPKEYKNIFYLKKCSNSNEDLSVVYMEIQVGEYSEEMFAFLKL VVNLDIQSSFKQFTSSDYPNTVFEILPYSIGTSYLLLKIMISSAEYSIIKLTSLAVQF YNKYFALVTPLISRDEFKKGINRAVTSIEIPHINMEELLDQYSSSLLDRKSDPNWKYI QVAYLLNLNYNEFLNKWGVFVKPAKVLISILKTHVSAIEIAEANSFQLEGYNRINSTS IVKKAAIENNFISY cubi_01228 MNLKMLPFGFLILLSLSLQSCLASDIVLTVNNSSNSDVFAESGD KIIKNDSLALGGQYYIGGLNRISEYRAKKNINDAYNNFYDEDFVKSIKDSNKYSFIRL KNDIQVFLVSQRSSSFSSITLGVRVGSSMEPKKLPGLATLLSELLFYEWKRPVVGQTT PYDLFISSNSGVFKTKVAPFLTEYYLSVKQEYFSEALIKFGSYLKGFNPKRIYLEPAM ETLQSEFESLAGFSSIRLKQILKELSAEGHVNHGFHLGNMRELLEGTDFDEEALLFEL IRFYSSYYSSNLMTISIVSDKSIDELESLARTFFDEIPNLNKQLITPFDLSHEITHPY IDLRYKVIQVKSAEESPYFTIIFPIPHQSPLWKYKPANYISFFFTDYSEQSLYSYFKK IGVISGLETMVEINDNGFSNFVIRFNLNSKGEKAIVKILEITLSFLKLIKEVSISETI INQIRKKRQTILEASTDALYPELSRKIVNVFLRTNCSPTEVLYAGVSMNRIDFNHVKE VIDYLKYDNMILILEKKAFKKSASNILLSSQFFSDSKWKTAGKSISSFFRSINTAFRR TKLGVFPKFLREEHLGGEYLLEDIPDKVIGVLQSVNSTLANDILKIQMPGIDPKFPRN FIIYTEDVPKKEFPVSLYYAIKEFKQAKNFTEISLSEASDNSTDFSHLHLSNPINPRD LVIALSTTKMSSISRSFCYLPTSFNPDVMISVKLQVPKNPPKEFSYPEKLAILMFLFQ NTLYDVLPSNLNENFFFERNYHLWELQEFFYGLEFSWRGFSSIFPDSLNEIANILSTF GNSIKQASFQNAKKRFQDIIDSFKSENDNLKLLSLSYQLLDPGFMKIGRLENELYKIT MQNLIDFVNFFLNSFSISGSIFGNATPVQIKYYLSSFVNTVRKVDNLSELEDQSNAKI INVDEKVDLGGPKFFDVLESEEFGFEVRERMLRSKDKKTTVSKDSKNNNNQSNKPDEV VDTNQYKLINVENLGYTRSSFYDMNRLPYNYSNSYFFFTNSDKDSKNNIVFLQIHYGF YSEQSLAFLQIVSELNSYKYFIEFSENRCTDCSLKILPRIILGKYLVLEFKLQSTSKN IKELGELLNNFFQTYYSRPSKMVSKSEVQKAKEFLLLLARNARLAENNGNLMTPKSNK VYYEGSDSEDLFTLSSFYMGFSKHTHLLCSWKKDYIEFIDTLTFDQFFKYWQYFSNSS RLFISYQSHSTNYELFESLESYLPQGFTRLSFVESLYDINEQQTIYNI cubi_01229 MDSLLDFSLLTLIFILYLNFASCFLHGQKFDLNDTSIGISEFVS NDTFGHITSYSPFILDDFKDINSFSQMRSIYYDINDFEEVNNDDFVRMANKNGEYKFV TLENKMKVFLVSKPILYKSSISITIRYGAFNEPLNMKGLSVLLKNLIISKIKFKTNRR INPLYFKDLENTIDGRVYGHGTEINIEVFNEEFVDVLHTLSEVLSNPLEIDLNTLSFL RKVTREEIKAKCVSMDNLKCILINDDFCKKSGYEYLYALTDTKVNTKEEFKRGYRIGA NSKMADEFSDEYSNLDSMLNEHFNKYYCSNLMTLVIVSNENMHDLLLLVKKFFEKIRK SYLSVDELKKAYTFNENPYLKHVGNVVAIKQSSENLELKLIFPIPYQKNLWRYKLTEY ISFYLDDESEEGLIGVLRSKGWISSLSSGCETNENGYSNFVVLITLQKEGIRHIMQIL EALLSTIKLISKQDFSEETLSHIRDESSIELKNLYLDLDTDQASFVLRSYLETGCFPK YVLVAPFIMDRAEGKHIQKLLNFIRADNMVVSYPVKSLNNGASYYLMLSESFINSFIF NFEKVVFKAFYNLSKFFLNWFGVSSEQVTFRFYKKLHYSEEIIPHYLQKKLSNINEKI ALEKLKIQLFDPSLESYTQMYVNENLRILGHPITLREAMNDKLLNQGRFFGNIETEDL NLNSSQHIEDHFFKEKNILQNLNRKKLYANKFELSDNNDLVGHKQRNYSSNLFMNDLK NNNGEENAEYIEGYKRNRKDHIKNKEIDHSYPQFDMDSIFYMPLHNKLPTLSIIFDIT IPSNLHNSTDLLLLNMNKQKLVMLSFLFKHSMSLSLANVNPDGIIKTENYTDFLNMSV LPGFQFTWDGNTKYFEDFFVNLICNLVNYREILTNSYFEQSINALKKLLAKLSYTSNE EYSLIQLLEIMYLENTKLWSLENDVKHLTLEDVKTFGKILLKYGEFHGVVIGNCTPYQ IYSRIDKFIKLIRPSSKLLNTFERATSGKLSMIFGTQSGGWISDKDLTDEMIKRSYMG PIFMNTENKGNPLGNRFINMSLLPPIFHKNYFFKRRISSSDPFNVVLLYIYIGEANEK GRLLLDLLELVDLQKVLKSFIANKKSLRYSSIQIGSLFISPEIASFQIKVAFLSNKII SMVNIILEFFDTYFLNPEKVFSEKDFLTLKNMLLSNFQRDSLNPNKLAQIHYERIIRA NLTPDWQIKEARMLRSLSYSNFLEFWSLFKAAPTILIAIQSNRSQDDQFAKLSEFVPE GYTKIKSIYQFKDSFK cubi_01230 MNTKFKLLSIILLLIQRFSNKNLVKKQKYATELNLMEFGQQGIL DGGSEEFISEEKLNNLIGLLKKKRYNSKLNEITVGDIKIRIVSRKNINFTKIVLDVEF GNQLNPLYYNSISSSDRCLLMLSKHFQIHLRQVFENYLSRTSFLSSESHVYFGVSKYY LEPKKSSLKEIELILNYVSIFFSRNIINSSNILVNYLLDLQINGNKYFMPNNYMRNLF LTVLDDKFRGNSNKADSFRDIYGDLKMCANVKNVKDFTRKYGHYFKRRIRNVEILLNK EKINIHKLERIIHHTFRKIHVSKIGFDHLKILTKINPFSEITKGILQINSNRVDNKVT LMFPLLYQFNKRTSEITQILDSILAYNNTLIDSLTKQKLVLNYNYEFEHNSENMYTNL LLNFILDKSLNSREELLIFNLINLIEIWLEILNASYLNKTSLSSKNILNSVEPGLQFE NTLFKCLSIDNMVIIIYSNKPISINGVDILNLSVKINENKYWYFIRKLHSISYLIRKH FLPKLGIKYLMQKEDYYFSTKYVYNSIPSSLIRYFQQKYPKINELSC cubi_01231 MPVEEQSLKYLEVVDDSEFTKPEESNFKCRFEQLKNGLEIFLIS SEKLNGTCVNLLVKVGSAHEGSEIDGLAHFLEHSLFLGTKNFPGQNEFGKFVRTHGGA TNASTDVLMTHYSFSIPNQFLEPTLERFCEFFKSPLFSEEYLQNEINVVESEFLSKTN NYYTLLEHVFKQIADETHIYSKFFYGNSKTLKNLPEKNGISLRERTIRFFEEYYGSEN MVLFILSNFSIQELSRISFKYFSSIRSCTRLSPKPESLSLYPEFPYLGVSRKLVKIHL NTNKNELMLIFPLPKKEYGLSRIFTKYLSFFLCPKTGEGLLNDIIQKKLCYNISLSDT YSQLGFSYIAIILSLSEQGILNMKKVILSLFSAFQIVKKTTLIDEYIQRISNKDYLDF LKIEDILPSIPALELLKVYYMTKSTPQNLFSAYYFTPQFSQQVFEDFMSYLNVEKMVA IIIGSQIELDTLIKQKIIQKSNYKDYLNKKKHFKDYIANIIRSGYIGNVESKYLLTEK HFKTNYSIWDFSERMLSSISEIKVESGVDFSNVPLPMQTIALLDSTNINKMNIILKPP LLLDIAYQFEKLKLSGKKDFPISRNLKISSIWRGLFYIQIPRNTFSSSFFVFRLVIPP LFGKKSFDQFSESGFYIRNMYHSYALTQLFAELIKKKINLSFDKLSKEGTFLQIYPTY RSPYPNYAIGIEYYLYGKLDNLSKFMHELSHTLKNICKIKSEEFEVIKEETIGKLLLM NSQLLYRDLICGAENEVLNTGFINNKKFLNLVNKVKMSEIIALSIFFRKNCIFEGIFA GSTPIHIFKAVLDDFISNLRGLKFSEINKPLFYVKDNPDNDNYFKFYYKKIFFIQNED SHIYTKKKANYNGFDLIDLFSIPPNKNKIYYLTKNIKSKHNLIRLSVFFKKESPITFF KLQMINQVFFDILFEHVRQRKQIAYEFNSEVCEVSDKMLSYSFIIQTDNHTIMSTTLT LLECLKELSPKIINEELFKVVLLEKIEFLEQGDSVLMGINSFITNTFNRTFNYKLREE ILENLRSLSFIQFQSWFSLSLKNAPILITACISENANSSDRKISQKFIPPGFTKIKKP MELFKINKVKSYYIYQRIFN cubi_01232 MLPSLRLIVLFIPLFFIHYFLCFSNEEILPFNFTDVSSKGNEIF QTDKVNITDKEETKNENSDIIYETSVYFPSIKLINDQSFKIVKLSNNIEVILNSVPKM DECEISILNRVGSVHEPLDLHGLGFYLMNIMVSTSKNNPSSGLYDFSMDNSISLNYQP YSTYSIFDIITTTKLFENTLKHVSEMFKTPIFSEEVMEKAFNLLEKKNSENNHLVNSH LSNLVLSDPKSIFSRNKYGNRNTLKTVPQSKDINVKQSLIKFFNEQYSSNKLILSVKS ALSIETMQNLVKKYFIDIPNKKLPINDQHKPFQNFSINPFSYSVGKILFSIDDNSQTL ELIFPLKNYLLPYMKSDPLFFIKVYICENRDGSLMRYLNQKKYISNMVCIVKNSLFGF TNVHFVFYLNNSGAFNINNIIRAFFFAINKTKELKLDLNFYKKTKQEHIEGIQASAKY FYSLKSYILLDNYFKYKTSTFKSLLLGVNEFSDFDNNLHRQVLMDIKPENLIIVLNSD SGKASIEYSGGLNLFEQSITEQNCSKYEQFLKEQKSFTYIALTNILPKNFKSIVKTND KFRYILQEQNYCLKKYFSNFPESLSQELKIYYKESEKPVSRVSMDSYSKVKQFVVPRK LSQLLSPNSSNRAGNFSQFKDFYYYIPHESPTRKIYLAINFFFPFENEKLNVLRSARI AAIILFFNEILISFSEAITSKFAKYSVEFIPSVSLPKDSIINVFGVSLIIAGFPNVFS KLLSTLSTDLNAYIDLNQNLFKDFHEYFKKHLASIIVSRQHNTILSDFLNQINTNHKI LTDSILNEAKTLTIEEVRTVVNAIFKQSQVSGVIYGNLTPFDAEKYLSQLFSEFIGEA PKIKTSRFKTRKKIIMSSRTKYSRIFTKIGSRRSKNRRITLTKKLGNSPFTKLNLTKK LNKTSRMTEKNISSRSELYKVYSSKFSRSNSEMFKDLQILDMSSIKPNSKFFFQAYSD NIAKNVSILWIYIDKASPESFLFSEYLKYIIDNRLSADQKHHNGLVTHIKDYVISSTS YFISIEGRSSSEDFFLMNDLLSSYVDQFFSSNSLIFNEELFKSAKEFLSRKYKKEGVN IDLSLNNLFGEIKNQRFDFVRFRSIANLLDELTFEQFTLNLKKIHKSTFSIIFSIFNT KSQSIVPNGYTYLSNLSDIFKFPGIKTFKPAYSRVDKQLSKLPEQKDCFY cubi_01233 MKIATENMFMQILLLLVSFLFFQLNSSVLVNGSTINSRKPEKTI NIKETNENFYFEAFNDEKFTKPLLSDKKFRFIKLKNELEVFLISQNNTKNAFARMGVK VGDYMGPYSLPGLAHYLEHLLFINTKKYPELDGFMKFLALHKGYTNAYTAETETVYLF NTESSSFEEALSRFCEFFKSPLFDDTYTEKELMAVENEFNYRKNLQEIRSYQVLLELV DERSILFKRFAIGNLETLRTIPESQGINVRDEVIKFYEREYSSNRMVLVLASNHNLDE LSYFANKYFSDIENKNLPVNSVKIPIQENLNPFNTMINKLVLIESIDEFTNLKLIFPM KEYMIQHIYKDRLLYLDKLVTSDRIGSLGHFLKSKKLIFAVVSAINDDNLGFTNVEIT FSLSNSGEKNIPFILKSFFSVLKFATINKFSKEIYDEKKKMLNNFFKYGDLSSIDVES ETVLNNYLYYDCKPEHVLYCHMYLEKFDPTVYQEIFSQLIPENLIVVLEYSDIQDLIN NTDQSKLNHFCNSDFSIEIENVDYSDSNHGLETLINSSISLDDIRVERFIKNKYLTKP LNSCLLSLLSNSNHTFASEKCGITLPTLNPYLPNDFSSNFDGVNEEKVPIRLSEAIQK FQTNNKSFNITQKELQNYSGFFYFPTKNINSLKSIIQFRFIFPLDKVSSEFLEFSPDT VGIYLLFQLFSLLFKNEFSERFHDIESALYDASIDISIFVFNPNKANQLMFRLAGFTD RIEEIAFNLQVFMSNFLTYITDSGFQLKKEILRTTIKNTISNPELIDDISYINNKIFL NQDYSYETLLSRLEMINFSEFIYFSKFFLKNCKLEGFLLGNLNPMQSLKIINKFSSVF LLKNGNSKSNSENSFISSVIYGAKNSKKYLDSIYAFLSNVFAGKTETEDQGYFELPEH LRSSLPLFGSPNSIEKLQTLDPLSLKRGSKFYYYHVSKSKSNINNSIFMKVIIGYNYL KNHILTSILNSMISDEFFTEIRTKRQLGYVVNVKKKDILSQESEIDFLVISSNKNIQI LSENVLEFWDEWFSPESTGITETSFNTVRKSMIETLKTPLINMMAVFSEFSFTIETKA YDFNWRNKAIDYAEKLTYSEFFNWFKNIYNNSNIFLYAIQSSNYDNDILNNLSNYVPQ NFTKLTPSDSLFNHTNIRTYNQWKIFNAV cubi_01234 MIKRCWYLALYLFILIVNLYLEICFALIKQEAIFLQSEANENKK LDTPNSFAFKKRRKRRLNGRKCVLKNHFNCSNNCNDDLIRIMLPEKKFKHVEEMIDDE LFEKPSGFTRKYRYLRLSNSLKVFMVYDKTAETSFGNMHLDFGFASDPENIPGLSRYL LYTVLFGSLKKRLIKNFDSVVKKFEGIFGATIHRDCSRYSFNIPSNEFEVTLKFFASM FVNLYTDEYIHKDILNKLLGDLIQYINLDSFRLSDVLQEIAIPPNTEKSNHDWNILEY MQIQQLDKLKSKKLLLEFFNQYYRADRMTLTILSNKTLDEQTSIVRKYFNKIRRGDSN IVTRLRLLDLGMNHPLYGSTGKILVFHSLKSYPFIKLLFPLNNIFKPKPSSKPMFFFS MYISSKRKGSLYYYLYKNELITDMKIYLSNSLFGYYSLIVDVRLQNSGELAIIHIIRS IFSVFEMMRNNQPKLELFNQVRALKMKKFKHKSNSFIFNECSYIQNAFCLLKCTPEKV LSASSTYTEFNLELHHKILSYLKPENMLLIATSRSKKLKSLIEFMSKNSNETKINHKS DCNDSLDTSILKENTTSCQFCKEQLLTSNNLTFPCIDCFSQLGDLRGFDYSILFENCF NESEIIISNFTRTNYFIKKIHPFFISYWANSVKPYTAFKTFEIYDPDNKFLRIKEPKN FLLGFQEQDVPIRLVDAIQNLGSKRYNKIINKDFLKDYQQIFYLPLHSINTPKSSISI TIKLPPNLYSNELPFPYSPTKLEVIFSMISLMLLKSFEDIMYYYKKLSTNLDFSINTP VIYSFYTYGLTLELTGITDHLSHALSTIAYRIKDFPNTARSSDLNVAKNYYLGHIKNN NLDHLPAVQFQSILKALLFNQNLTSCSINNEIEKIRLHEVTSVVDFLVKNGTFEGLVY GNINPIKVRELLLLFFINIGRTSNKEENFNKKQNIFLKMFSLFKNSFFNFFNSIRSTI NQLTGNLTVQSELPEHLKSVPTQHMTQNTLRDLQVIDLLSFKEGSSFVYFGKPNFDFF QFQTIVLKICFGYFTVEVESLVDILVETILNKHQDKLKIERGRILITIKRNIFSDGIV SIDIRVTSKNMLSELILYIMKLYDDLIVNNSGITYEDFISTKKSLVKSLSNNSSYDRI INFKEEIRLKRYQFNRKKEKVEFISKLNYSNFLKWFNAQPPKVIKLLFVIHSPSTSEK EILKANSNIPLEFKRINSTDYFFKQNNTRSFNPSQIYNLK cubi_01235 MRDRSLANAQGALSEIRKIREGKIKASDAYTLKDNDIFEEVDEE VYQNIIKERRSGNFVVDDNGIGYEDDGRDLMDNYIPLPSEISEGKRNDRKRRKEHTKK GLNEGSSILKQFQFIPEEMHRGNELKTEQKHEAKSKSMDILSALKDFDDSLFEDIDME GEENEKYGPEDFEMNKKNMKAHDMFQLDTLNKLTNSDLCENTFAIDEEESMEQNPSYE QNEHFVSDNVIKDQDLEVNQNEYIEEQEIQGRDCKYMKFGEEPINKIEKKPSGIQTQV YTSEEGSLNFYLMEVTEDLGTGELYLFGKIMTVDNYLDDNKTINTESCCIVIKETWRS LYIYPRESAPNHPDPISVSQNVAKEILEIRKEYRIPKIQMKPVVRNFCFSQKDVKYGP NQPFLKLIYSSKNPSLPLNLSGETFSHIFGLNTSLTENFIIKREIKGPSWLIISKPYQ LVPVGGGRKSQCRLEIHVPNWKTIRPWAINSNCNKMDDSNKVQKAENSNFEKILTSSP LLTITCLNVCSRLPSNGSNNPEIYSISMITIKNVNIDDAQWDEINSSEILKKRRAPGN VDIHTWTGIRRHASSPFPIGSEEKMEKSGIKYFSTERSLLLAFVTSFSQLDPDIVVGH NIWTDHLDVLVSRMVNIGVPNFWKLGKIFTSVQNQKQSIKSLSSTKRIQSVFQGRIIC DTCLSSREFMKSRIDYQLNSIFSEVFPQDLELKKASIMRSASAEAYYLETYKSFETIS KSLTRDLLVSVGVFKLLFHLQVLPLTRELTNLAGFLWSKSLLFLRAERNEYLLLHEFH KGKFVTPDCIQRSQKYKIRAEDSLLSEIDKEDNHSKKEETYSGGLVLEPVTGLYDSFI LLLDFNSLYPSIIQEFKICFTTREPTKLGSDTTEDAEGSSLIDRGSSSNQFDNTILPG ILESLVKRRRHIKEILKNMPSSTRKIQLEIRQLALKLTANSLYGCLGYKNSRFYAKDL ASIITFYGRQILQKTKLKVEDETKLQVIYGDTDSIMVNTSIYDDGNGEGYSLVLKLAS QIKQIVNKDYTKLELDLDGVLQRLLLLKKKKYACIQIVDFHRKQFKLECKGLDLVRRD WSILTRNVSTQILNLLFSNEPIDIVITSILNTLESLNETLNSNSIPVESFIITKTLTK LPQFYSDPYLLPHVIVAKRMISSGLPVSSGTEIPYIICKEELNLHTSEEAVPKSSMLS KRAYSPEEVKSNGLNIDIEWYKTQQLLPPLSRLCAPIPGLEISRIGQCLGLEYKQYSE IIQKEDSEHLTDSFSTFEWKKNPETYISVPITANIDCFQCIKKGNSGLNSVFNLLKEN RWECQACNNQFPPEFLSNWLHNHLRTLTLRYYSAFHGICRECNTQTRRVPLKNGFNCP QPHCQTKKSLTSDLSPHKIWLYLDHWLFWLSANENNNSSNQKYSYEMDSVTQSLLKDI ILNFMNINKYNHIQINDLFTLLKGKDKRTKTCSNEYLLTNLWSKNTSSLG cubi_01236 MLFPELQFKFSRLDSLISRYEYLSKTRKFGDSAVSFCTQNINNT LKSIQIELKTLKEPTEKRKFEEFLNARNDRIKNINESWVQNTQIPSEQVSNITKRSYN EDIQSLNDSNLTDYKKGDTLIQLSDESILRMKKSLMDTEKIGDDSLNKMSIQKEQLSR IRNELDNVKDNIYKANISLKAIARNTATDFCVQMLCGIFSICLLIIIVLLIVLGIRKH NQSA cubi_01237 MQKESFKIYSKTEHLKIRSLITFNTGGIQLHENEISNIITENLR KNKLIQKKNKAPKKNIIISMENLHSLSYINESEIYFYYGETLENRRHGWGFLADRNKI IYEGMWYKDQAVGLYISQPNFLVEFGYKDYFCRESSSYKSQIICGKETEIILDNKAQQ NTLLHENLSINHFSQTSNSKEILNPCLITGSKKADFSGHMNQALLNKIKEFDYNRRNL FNLNFENTYEINRQAFYRSLSLYNLKNGYQVFNRKKLNEILRNDEKENFNSLENNILG NKKNLTKSLTNDSTCINCKTLIFKQFISSPIYACDCNKWSNEIISLILISNGLLEEAI IFHNHKLTGNDIPMFNEQFIKELGIFDNYSRRFISRLFQQFWGFLDYMDLSLIENFNI KNLSILPYIHRTQIELGSYVSSSSHCIIINGNYKAQKIICKVLKYNIENYEELDPIFR VEFRNNADLFTNSSDGNHDNDENENWKNEQHSPICYIYKHRRDPISKENLFKYIPTSV KMRDWEARILIYLSSHPNIVKCLGISQFNMGYEALILENCEGGSMDQYLITNDTTEFQ KLKSEFSASRLEMLSWLRDIATGMQHVHQLGILHRDLKLSNFFISKVNGKRIGKVGDF GIAIFIDSRLGYSPFTDFGNVYYAAPEVLRKEGFFKESDVWSFGMSMIEVITNSFVFD GFCPGLAMVVNAANIDTINKNLDVPFELNKLLKSILDPDRRMRPSFESISFELSRIIE DSQNSAFQKLIEFHAFK cubi_01238 MSDDNFDIEKLEFGKELNGIKCLNLSELRLLLEDRMRTYPSSSD EAHTLIKAAYDYSYKFGKIKNRASVMLIREALDETTKLHEFEIASLVNLLPRTPDEAK SLIPSLSRLPNDHIERIIINLESHRTYST cubi_01239 MDVEKYENEKNEKVDLIICCGDMQTIRDDVDLQDMAVKSHRSKK GDFWEYYEGLKKAPKLTIFIGGNHETPNVLIPLYYGGWVAPNIFYLGNSGVVRVGDIR IAGISGIYKSYDHYRGYYESKPFTEESKRSWYHIRRFEIQKLLLIENIKSNFFDSNES RKVDLMISHDWPNGIERFGNLNYLLKRKPYLKEDIELGRLGIPGCIELIEHLRPLFWF SGHHHCFFDASIEFENKLYSSEFRAIDKFKSSSSPVRYFDLNNNTKDVRIYLDLEWLS ILRSVESNFPKGDFIMEKNSVPNLNKPTKYDNDAIKKNLREVIGDLDESRYEWPLWDQ TNGNFKNFQDQYNFIKRIIE cubi_01240 MSLETIDSLNSTCLSTPRRSRTFEDETATLAPDARRAIRKQLNI EFINGGEWNDESNNSKNEKYFENVYEKNLSTPLIKAITRSSIYTKHFITPKREFVRDS ILSTGTPRGKMSQYYLDLTYECISVYGNDSDPESCNEKDQSPISHAEINGGMRNVCME NSQYYNTRLVSLCKRSRDLLELDAGSDSPSELVQIMSKSLRLS cubi_01241 MSDTCSRQITLKDVNQVKEVKSIGKSKQKNKGNVVNFSKNELVQ MGIGFITVFKAAIGTGILFSPRGVVNTGYILSALIMFYYWVLNVLSMLLLMQCADRVD GNYSSIAMYAMGKFGKFLLEFSLISTAISFGSIYVTFITNNIQDIIAGIHNCSQEYLN YGSALITFLQLIIYIPLVSARKIQSLAPIILISNFALISAITLVLIQSFRTLIRNHSN GFFYSIPSISDTSNIPGFIGTAAYLWVCAPVTLSYYSSINNQRERRFFTWVYLFAIFV VFAFALTFTYLCAFAYGESTLSAITLNLPFTPIAMGGKILYSLSVLLSLPLMIYPMKE IFAKYSFGLSQKIVSRSEIEMIEINQNKQEFLNNSNSTISIEKSNMSEHLILSCEEPA TDSSVEVRSFSSDIDYPNNSNLKEIHHFNQKALKTNFFEVQKENILPSFWMMISCIFI TFLGYILHDSLSNLINLIGGLFCVPLNILLPALFHFIIFRKEIGIQFIIIDFMLIISG IFTSILVIWYSILNWSNENPTICTMKDSEGFN cubi_01242 MRFLILPLTIIAQQLYSNHTVSYKGDSGFDLFIVEDQIIKAKET GFVRTGIKIAAYSDDNEPTSYTVVGRSSISKSPLRLAVPAGIVDAGFRGELRIPLDNI RDFDFELKVGQRYFSLAGYDGKPVTYTLVDQLDETERGEKGLGSTNELSATRKTSLNP IVPLSINKTRIFEPLKKVEPLVLRTNNSTGSQNPSNLLVFPQATSVEVINIIASEAQT LISNLIGSKTLRKATTTRTIAGKLEPTRESKDLRAEVDVGSKNSTKLEGK cubi_03765 MPGTSDSTEIPGEPGKPTMPGTSDSTEIPGESGKPAMPGTSDST EIPGESGKPAIPGTSDSTEIPGESGKPAIPGTSESTEIPGESGKPAITGTSDSTKIPA TPGTPEELETGAFPVPPKIGTEISPIPSPVPPKTYTNLNIDEKYIHPTAPETPEVTDD NTGNLKKDQTHEYTQQESDDDLSDVQSEDEQSHLKTTQKQTYRLTTTQIQNLMILQTQ IRINDILKENGLDNLNLINCDLNTVNRIYELIKKAEQIISEIQIRKSSIDQIDLNSFI TSHSVIKKNTETTNYQTLISSLNVLKDYLKQILDDCKSLYNIQPSTEIHSKYYSSIID ECENEKYIPLIDLYSFFLKYYKRLMVNSTFGARMLNHFLTILINLNEIKQCLDEQKIN SWKLLKEEYQNNLKEETKKATEIQLNGYSDHVAGSSINQSINNSEIHLINEKIKVYKI IIRLLNELILKCQELKNNPDLETNSKIHVFNENCIYKYNIKESMIRFKLFNGLNMSQR DEILERIQRLIQSLGGFISIYDSKDCNPNNIVKILESIARLKTIKDIEKNNTNLYENL KENKILNLNLRSIKFLIKLMIDMISFCWTYVNMNKIVKLKLIIKHWVNFKPY cubi_02961 MKKLILQFHQRIYLKFYQRTSHFKALPKKGDLLTSLKKDATVPI ITKSNLKISPKQDASVASLKEASTLIPTKNKLKIPLKKDELVTPLKSEATASTSTKDI PEIIPKKESLVNQLKKKAVASIPAKNNLKTSSKKSNLVVLFKEEASTQITTESDLKIP PEKDSAILPLNKEADTSISTKNILKIPSKKEISIVTLKKEVMAPIAAKSKPKAPPKKD TSVVLLKKAVIPPTPAKSKFKIPPKKNLMTLLKKEADTSI cubi_02962 MQDEFIDQKLESELFSILSPELLNEIMMEEFPQIRLGPSVSQVL TTLTNGFLQDIVKNSFIISKHKNNPEVQADDILVYLKIKYPDTRLEEFCDTISRRRES KNGISNLINEENTISSSNGLPYTSAVKKNERKKELNFTWHPSTPDPYLNTSKSCFSGR LKEWKLRLHLWGNLDDLSYNYIVENNLKFPPGGNLNCCNTINYIKSILNNNNNNKEDL SFEFIKFSDLRISPISFSERNSLKKTIKTSKQQLMCASNSQMNSISLSEKILLPKIID CKKSNDIMDYVTLFIPDNYKGILVWKSSNFVRHCDINSAANKYHSKLTEHGLSLFKFS ERYNIDILGMAQYPNDGYLENIRIEASNFKRGNNELGKLRGVPSECIYSLVNSQKTIS HRSSKVILTQNKLFGFRERDIFKPSFSYHPCTIIYCKILDKLSRENCNSTHFVFE cubi_02963 MYQSEEHFNIALGDAKTNPNNRKKVVIHPIVLLSIVDHYNRVAK GTSKRVVGTLLGELHNTDEIHVTNSYALPFEEDSRDPMVWYIDHNYHEQMYLMFKKIN TKEKIIGWYSTGPKTKVVDIDIHELFRRYCADPLYLIADVTANDFEYLSNPISAYFSM DDPNSILRKKFVHNPCTIGAFEAEEVGVEHLLRDLKNTSTSSLVSQISDTINSCKILI SKLQESKIYFNDIIEGKIPPNHGIVSIFQDIFNLLPDSSEPEIISAFSNRYADMILTI YGMSCLRSVLSMHDLVNNVSENRTAFELSMRNCNSDF cubi_02964 MPRETSKIRFLTLSRRSSLNDAVKNFIIQCNSHSNHEIVAKFGE IKGYYSRMNINLPVACDCILEQGSGDYKFEEKIGRKQQSYLIERIIRANRESWQVAEK VAIDLFFEEKYSNGIVTIDKNGDKSSFFELNLGEVVVYKPKSKIHYSITGISKSTIDE PKSDITSFRSKKSVISWKFQRKSSNAYPFWDLKIINVISLSEKKRKREENDDKYKKSI EVSLPESHLKEENEDEDDEEYWMVYLSGNKQLISKHVDLYNKGQINGLDRITNELIYN SSLIAKYLDEPISTNSYSLYSQMSYYYPNFFGDITTSIRAHYNMKKIQNADYSIIGGL RKYNNEIKRALIDQYFEKQLKYRQNRHLSILDLACGHGQDILKYKGKKINRLVGIDIS AEEISEARHRLKTYEDSLNFSVEYHVGNLLSRTTYSKILKNYTFEIISIQLSMHYMLI NEETSFEFLKNVSNYLKPGGFFIGSTISCDNIFYSMRHNSVKINLNESSEDNNETDVN LNNSTKYVSGNSIYKISFSCDDWRKYFSDDVDLERGIQLFRTEWGIKYDFWLIEHINQ YEYVVPWESFCNLASKVGLELIQYSNFPSFAEFTQRNFPNIRFSNWLNNPKNSGLITQ QETEVFNLYCVFAFKKTEISSNNLEEQVIKNDYSICKKLTSGFKIKKY cubi_02965 MQSSIGTIYYSNPPRDLEKGDWNGDTVNNTTDLLSAEECRNKFS NFIKNFNQSGDYIYREMLINNVSIGEYTLRLELHHLSIDEVSCSEIGEHTENASYDGS LINGRENDSENFHNGVRNNELSCLIKCFKNAPLKYIPICEGALKRVYLELVGKHKKEI TEEEINRLVPDIQLQIVSNQEPTLIRDLKSNVMEKLVTVPGIVIQSSKPQKKASKLKI LCRQCKGTRNIAIPIWRQGTILPRVCNTAPIGEAPKCPLDPYFTLSDESEYIDIQSMK FQELPEHVPTGDIPRHISLHMTRGLVDKVIPGNRLYVVGVLSSTDKESSKVHSNSRNG SLRTSYLYVIGVMNYGSNWSSKNTKTLMRSSSMSNQYNEIEEFRRISSLPNIHELIVN SIAPAIYGNETIKQAIACLLFSGSSKCLPDGNRIRGDLNILLLGDPSTAKSQLLKFVE QVAPICIYTSGKGSSAAGLTAAIVRDHANGVYALEGGAMVLADGGVVCIDEFDKMRDD DRVAIHEAMEQQTISIAKAGITTILKARCSVLAAANPTFGSYDDSKDLTQQHDFESTI LSRFDLIFLLKDEKNVDRDRLIASHIVELHSGSNGKTNVSNSESTGSLQFELLQKYIN YCREFVHPRLSLDAAAILENFYVQIREDNREKTNKANKERIPITVRQLEAITRIAESF AKMEMQVIANEKHVEMAIKLFKNATIEAIKSNILLLDNLSPAEQSAIIDAEVAIKNRI PIKARAGKTTIIKDLVLVGYDPYYLSKAMKILIQKGDLIERSDFSIFRVK cubi_02966 MSRLSNSTETMTGLSANESNNSFNNYRKNFPTSSSSETHKNSSD ERNNLTSGDICRLNYSFAESPELDIDRNQSAQQRDKIHDGASESLTNSPLDSTVRNDT ISQVDKIKINNSKNSKSTSNSAAGIINSFKSWTNSLVTHLSKQKGRNRRDRSSRNMDT PLNVEANTEVRGRISQIEDNTSNAFRANISDEEFARRMQIEELNNFGALHIMENNSSL PPYLLGNFQDANYNPNITVSSSNSMTVSPFQGAPYKFEFQSSDDER cubi_02966x5 MVVFSASIVSNRKILLSRQFVEMTRGDVETYLNRFIKQIEQFRL SNEYTYMEIDNIRFVYQAIDNIYLILMTPLNSNIIEDMDTLQLFCQVLYDCCNNPPPI TEDLIASNCFEVIFAFDEIISFGYKESINLSQIKTCLEMESQEEKLHKLIRQNKENEE KERRRHIANRLDKERTTNEAFSQSRYTSANTNNNIVKSSLSGIAAFAESVGVGKIAQS VGIGPIRNISKSDNTKVSDSDLASNNRTFGMFSNESTSIQSINAPGRGMLIGKKKPNT ESKQNVNSPLKMREKHFSDFEQQENMKSMPNPIYFEDDCSLIEEKIEFLLNIDGTVQS KIEIQGTFQVTLSSDTFPEYSITEDPRFQFKTHPNLNKEKFQNSGKLALKEGINCTLP VNTLMPLVKWRPSSNFQNHQFELPFSFSCWPLGTENGYTNVTIEIEPSQSITDFKLKI PLTSISAHSTNIGLLETNEDSYSWEVPRLFPSETATLEFSTSQSDFLPINLYASTSKP VCKIGIMKDKNHVNPKHCVAKYNIGIKQ cubi_02967 MDTKDSNNSRIFIDGVFDLMHAGHFNALRKAKQFGNELVVGINS DLDCFNLKGCYPIYNQDERGELMKGCKWADEIVIGTPYKVEGSLLDQLNCEFVAHGDD LVLCSDGTDPYNEPRILGRLKIFQRTEGVSTTSVITRIFRALGLKFEDISPLNSSDHS NMFGKKEVCSVSKIDRFFDGDYSPCQSLISASRVLSFAGGIACAKQIYSSNPNITYVD GSFDIFHIGHLRFLERVKKIFGGLLIIGIYDDITTQLIYGNGFPILKMMERALTLLSM RVVDDVIFGVPIKITNKLIETYKINNVVSCKMIENYVSFEHVKKNNFIDSENSSEYML KLEEFCYEIPKRMGIFCNTSILEKDEICTNNDIFSRIIDKKDSILYIIKKRWAGEINF YKDDVNSKLLTS cubi_02968 MSKKHTSFLSHLKDKYKVFSMRNTKNRIFSRKKVSPGNNSKNEK MKGVNISFEHTCSDSVKNSSSQQISSTSVSFSSDNSIISSSDAKYQKDRVLRSGRTLS NTVSNKNLENTKVINSDKNLDENGSCSDSSSLFNNSLPESSPTSLLSDSSTSSNPKYK NKEYSSDTQSSTCNQSNPMEEIDHNYYDSLRTSCKENNSEKQENPITKRKVNIIPRKP TAKLESYTRNKNTDNHRENVICINKSALDILNNKHSNKEEKRTTQQVVAIESLGRNEE NAEKNFPNLTSGLSHQKDNRCSFEESKTFLDHETSFIPIIIETDYCHQYCYLDYDILI DCVYINDYQCHLHNFTDILN cubi_02969 MQGNKNSTSLICLESNIVGNVELGEGCVVHPSALIDGGVGGIVM GKNNIIEDSVKIVNENINKMCIGSNNWFHVRCEINNTLSIGDNNSFEIGSKVNQNTKV GNNCVVSLNSNLPPNFEIYDNMCVAVIGDSLIHTVNKTTPNQHLHEQINFLNNILRGS SMEKKR cubi_02970 MEELINDIENISINSNNADDLNIRDSERNDHCYDHEDLSHSKTQ LEDYSILSLTPVKDKNQFRNCILCRDYLSPICKECSNHTLKIYRKNKLKNKIIPDGKV KALAKQFEDILPEAMNNGPNFPVVNDDFMLEKSESANYQIDLSSNPIIEYANTDIKDS SNSRRLEDVSNFSDKDFENLHTKSKTTKNQLTPIIDPNLCEQIDPIKAIITYTNSHNN PVETLDTENSKSNDSQIISIKAGFKNVLECNFQENNLIFDTFESLNPLEQRALLLKWI TDTLKPSEDSSRLSEDELQEIITILKGIVNELEHNEIYNEFKNSPSKNVTKPHNILNN QISNGKDKNELKILNIKNSKMSLFNSKLQINIGIPEDEEIEEEHINELVFRRYNHIGC NFESNSVIIDEDSLSPKSEIALDNEELVENSIKEIGYNFFGFFK cubi_02971 MLKNNNLNELNFYKSINQHHLLRRHNIIPKFIRFVVVVEAEKKS KKTYLKQSFISSILNKKICNLKYFKFEASIEMKNFLSGYSTINIIDLKLGTSWIGESK NDSIYNLRKIFTKTFQNEDLIQELNNIFFKIECIPEKSEFNHEMFYKFKSLRKSIKQC MIVNNSCQYEIGIRIQGLISENITISRQRGKELTSNETMKLLSEFLKLSPNLIRLTKE KIELLKHWLKKQKSYRFIATSIVLAFDRLNVERCDIRWLDFTHALKQGKNGEKDFLMN EGMQFIVVFCS cubi_02972 MRFLEHSGLNRLSVLLSNLDVGDRIFNGRLELFTCSEKVSEECD LSERVDREVCVSPQWISHSPIGPVQRQDVRELLVNLISTMNQCFPDYEFSLIKPDNLF KEKSFSTVYNNINYHLSSIVERIYPSFLLELWENIRDAVEIKYTEIYSYRLMGNDELS PFLDDGSLFSFDYFFYDTRSQRILFFACTTKSKLNISFTDNGIDSSEESIAEDDEVES E cubi_02973 MPSNNICSSITNTNDENSNALISTVCKSTDCHCEGNGVVTNHTT SPNITQKCYITNSGCHEAIITTTTQEVKRHKCPPKIQKIHEHISQPTNYSCTSQLGSI SGNIMMNPCVCNLPRSSCCRTHHCAHNNGNIQVTCCNKYASSGCGCSCCCCSTSTVQT TLYPVYMSFPYNQGRNINIPYTVYSNPFNTAYNPLTDNQYSYQY cubi_02974 MSGSEVFEIQSSNDHPELMNQQLPSREDTTMILSKEGNSKELDL TTGSFRVDENDSLKDYVIQQSSESNVQERSNLSKKERKMDIKRSKHAHKNSLFLTMFC CIGGDLNAARLKVSYLATTFFASSIIFVFMQELVISQLTNGYSIININDGYLFGPPPQ VVFDMGALDTNLVRNGQLARLFWSFWLHTGFIHLIINLSCQVILGIILETRWVIWRYT ILYFLGGISGNLASAVLDPCTISAGSSACFFALLGGIIVLLLENWRNSRWQFLYVILV IIASLAGISLSFMSNTDNWAHIGGFVAGLLWSFASMESFPRKFKALKKTNECNSEKNG NLPLNQDKAQPSCKVNSTDIEAANYKSSTEKNSSTIESILDVESKEQYLGKRFTPVNC ACSGGIQTVRVFSLLILLSLLTIGFLFLLYKPLYTKFNLTLGHLSFSGIQPCSCCDSP EGLFWCSSSPTWIKRCG cubi_02975 MDLTQVLLNCHNSVESVRKNAEQQLQVAQEQNVGQYLTLLAEEL FNESKPELSRQLAGLLLKNSVSGVEPRIDIEKRGMWISLPQNVTSKIKALVLESILSP VASVRGASCQVIAKLGRVELPCQRWPELLPYLIRLVQNNSDSEMSVIYKRSSLTALGY LCEDSKLLENEVSSLIITEDISNQILTAIVQGMSDPDSETALAATKSFYYALYFARSN FSNEMERNLIFQVLCTLCGTEGNKRELLQTAAYECLVSIASEYYDYLGSYLSILAPMT IKGIKGIYEPVSVCCIEFWNTIADLEIELSLEDEHNNIVSNTSCMHYIAQVQAMLIPV MLETLLRQNDDDDLESWTVAKAAGACLTLCSQLLGDNILEPTLGFIHSNFSHSNWHNR EAAVLAYGSILEGPSIQKMQPIVETSVTNLCQALNDNVVAVRDTCAWTIGRIVTFHPT IIFPLLGYPPQQSAEHSNGLLSLLLQRLLADDPRVCTNICWIIHQIAESSQHVEGGQK VVDIWFPYIVQSLLQAFKREISDENNMKQACFNALSMIVTNSGAENDMNLINLAHELI VGEEIGLQKIMEKNPIPRSETTVLNIQLVCGALYALTTKLGKRLTLQYSQILLRLYFE LLRQGGASEESILSLTSLIVAMSHDFSPYVGECISIIIPLIQSYDELDTCKHSIELIG DLVRSVGKGINPSLEVIVKTLCALLAKNDVDRKVKPLAIVALGDMSMNLGEDFIPYVI SVLQLFQQASITQYSDGPVNSEDWIEYLGELRESVLQGYTGIVYGMKDAKKLEILGPY VPGIIQFIDNIVKDYSGEFPNDSNLRNATALVGDLITAFNGQLIQYLLSKDKRSILEN ICSVGETSRNPDIIGNIKWVKKLCNI cubi_02976 MINPITLLNAKIKTPKIFSKHKKEEKENKDDDDVEVNAEFDEPQ FIDELANVPVMSMDDFISKYVDENEMIDLEYESIDEIDAIDFEESSVGSKDKSYMLQR RWKVVIWDLTIENLGDEEVSVFIEIDFGTSREEFRVQLESKEYILSNGDLKHRLRTPI VHNLLKDKPQKIKFHPSFEYRGSYYDIEKEKLKLSAWKYHNFRLNTLDAMFEIKLNTC ANSDLQHHCYLVRIGEGQTLEKVYKIQFNLYFQEVYDFQLSLDRFEIKGLLTYDNIMN KVSSMKKKVFEKIPINNNSLFEVDMTNDSSEIFSSECVVGNSEFENINITKYRNLLGS NINKMSNKTMGKLFNYISFNYSENEMDNIFTEKSQIINAGTSNLEISIREKVNQQKIE NNDIDYGRKGIWDTFLRFIGLLKEDKREYIQNLDSLLIEAELDQAKLHPANCNPRLKI NIIWPNPLKNYTIYSNIQKGTNWPIWENIGSIYLRGTIADLENCFIDVEVIDNNKDRA INSQARTHIPLKGLIETNTVVESNLYEPLWLTEKINKTEERRIIATWEFGMITGNISF KNYPSQRQKGNFIHFVKDRLYLMIKIIKIDNIITLENLEDTKVNISITHQGLTAKSNS NVCGNLKDKPIEDYIIFPLQLPPISELYFFHLESLGEIYIDLWVTSNNQSGSKMQHAG FTSFTIWDTIYSIKGTKRPISERYNSCYTSGSNEKLKVRVLEQEVPLKFLFPTDRVAN ISFEAFITPDILSIKPKSNRSICSKDTGIAEKIDIFLRSRTELSPSQAPKVYQNEKTS IETTLISKLGTGTIGRFFIFSSYNHRGDELMLSQFVRPIPSPNGINTPRAIFHFVRCT PFINTSFREPPKRTKDKFSNEISKNDSLKRGNQEYKNAYSFGKSHYWFTPDFTLLSNG GGVIDHCLLHVSLLLKQKIQAFVCIGTIAGGYFHSWVMSWHFSDIDNNTYIKFWELTT GQIYVLKNRFISQQRAREVTLRFRKRERLLSTGVEPQSGNLHLKTIKLPYTSIDTIFN EINIWYNIQQNISPGSIFFDLWNGSLFISCTNTPLIHKPGFRIQPYSSLPNKSSMKNM KDLLKNILHYEIQSRRSAQNLGTRWNMDPTLNLFLEKGLEIVELLEMSSEEEYPDLMA KFSDWKLAMESKVPSMHRVLGFPLSFNHANPKMITEAIFGKLEILFTRDKSATLSISV IINGYPNNILCCRIFILVTQKISEREKKKILEKREKKKMKEKIFKSEKSDSIKLDLIN SVIEENNVSRDAILDNTEIKKESNIQINQENTTKISIDGSGPLVTADFIGILDKENKM IRKRRVVLPEGSVSGNLWISKKIKDDGNFRYYIEGIENSVSESLNIPKNRVCATELNY EGQRVGFAILPGSNGDEISPLDLMYEMALKVDELLKSDKNFIEFTGGDAVLEWDYDQI YEDGENEEPIEYLENEDNAEVQSELSEIEESPDQDELDEGSEKGPDEDSDEGPNEDES EGNLDNSELENKDGISYSSSSHYSPRSSTISSLIEESEQHPTSKHLLKRETTEDLKSD ISNEFSDNSAENEVKTVKFENQENYYDIKKLKDRNFITKNDSNSSKKKKNLKRFTKTG KYIIYRRPEYEQSKINRSKELNNSSVNSSDLSVFPAATLAISLARGHSEIKETGLLNA RKEIYYNMEKGRYAKKLDNLGDKILMQSSSNDLLSTMFYKENYMFSKQALIKKNSTNN EIIGPAKVNKNSIFRGNSITIRRN cubi_02977 MNNELTSTIKNILRINEIKNDDLASILSRYIQKNFLNDTDTDIF AESDYFQEQIIKASMAIAANCNFTNNININREDDVSVNVEEIPIFKNYVEFENFRDLN NWITDLYDRVFFSSKSHFQDKNGIKEKIENLVNEYNDCEIIPFNLPLDFIISIHNTGM ISSEKVIDYLRSLHLFTRKDEGNISKHRSDIKDHFLFNEDINIYDDELAECPLECQAS QIINKCESERLSFSQIVENQLQKLDNPDKKHQIINFTLRISFSVSGFFENMETELKTL EDIISVFLRTMNSNLKNEINILDKNVIFLNKSNKSQFIHLLRQNVNSLNILNRFLLIK ILHRGNFGQIYVGLDLISFKLVCLKRLIGSFSDNQYLKNSIIEANYLKVLSKSAISKF VPYFVDVAVSNNNVFIISELQGKNLLSVIKNDSKKAHLTFGNIQNIIKQLLSCIKYLH ESLKLIHCDIKPENIVIDHISAHDIFGYLNNVQTEKSGRINIKLIDWGSCLSIYQASN SRNSYIQSRYYRSPEVCLGLPYNEKIDIWSIGCVMAELVLRRPLFDYNNSTQELLANI VATIGKLPIHMINNSSTIDHFITHDGHLFDKHLNKIRLFTTFHGVSKYKSRISELFDA DKDPLFVDLLNKLLCIDPDERLSASQALNHPWFDYNYHN cubi_02978 MHVSLLAVSKVVKQEENNYVFFGEKINIRVCNQNCEYITIFQEN KCGEKNYKLGLSNLGNSENFESTNNRSIFGEKFKFYPNLCSNSEFYLFNLNQLLINYC GEKKHVQYGDKVYISSLINNEVFIIGFDQNSGKFLVSKFEEVAKYLRIKGQLSDDSFL KKYEWSLEKIPITKEESLLGEIENSPIPNIQSVKYNDLFRIRFDSEQIVCTQTIKSNL KMQFNQLSIDSTCLSGRNGCWTIIPLKFEGTVYPNWFLMKKILKSKDNNSLKFLDSRI IEKLAYRAGCSKSKIEPIRIDTFNLVSDRPIDWDMPSTNLFKDESLNAKNKFSAKSLN LLPSIVGFVFDHAETGINNTTKQNIIPNKLSSFSIKVQEQLILEDILNCLMCNNANYI KVTEEVVEFFHECKHLDFTSEDLYIFEFNSTPNNDKHFDHDYFSGREGIPLFSHQIID DPSNLQYFCDDLIYNTISEEKNTENNLSGSFESNNILSTNNFKKNPSLYPLSYRTLEL SSLHRRIRKFLKVHESGNSQFGIVSGTLCDSFRELLKHFTIKIAKFESYLRKGQLTIQ NIWSHSQQALITLKALDLISTRVLYKRGSEIIDQVYKIANNEFKGEESSQKIANFVFN QIFFSWYKHFLAPWLKFGAVTDHFSEFINCQLNNLTKKRFMKNNLYSLTSCREQGNKL FPILPSFLKDLIEQVNYIGLVSYFVSHINHFYTENQLFDKGHENGVSNRKKLENVLGL MLKSLSNRSDSIRGEEIGIYINDIFLESQTLLFRVCNSIIDVRSIINAFYEIFFCAND SLIQEFIEYIHRLGSRGNKINYYSCNDITRKWDELMLKCYKELHNSNNSNRFSCIIEK KLITECVNEELFTPVKWEISSYILCEELKSIEKNKSSISSFDAFKFLTIKFCEVKAFD SIWPRKLLNKYELIFKLIFHLKYINHLLNNIWIAHQTSNIWDNKLTKTEHLSVNELIL RPYFLRQKMLFLTTGILEYIYQDVINPLWNSMIKDFKNISTLEELNSRQDQLLNEILA QCFCLESEQLHLLYKILSLCHLFAAHSNLLNIYGFSNQTITDAFHSEKTGKKYEESGQ ISISSTKPYKKDNFGRTRGRTRINNMHIEGLLADPTYENIVEKFSSKFELLMRNFFSK ISNCKNQKINMISKLVLKLNYNGYYVEKIPINIGTWGDPTLDEDDSYDNFVIESE cubi_02979 MAFILFGELCAVNLSCDARLRECESEVKSLLKKNSECSSDLDSL KMEISHLSEMILQCERNNITKESHQTISWRVVFNQLKNFSVFFKGLIAASYSNVPKEL DSQIKSFYSKIYAYLDPTIEKYYEVYPLISQHIKSYMNKYVNLLGPYIEYVNIYSEFI NEKLDKYVARIESYEPNVAGTIPKNLHDRIFYILCAVFALYVVLESIFIVLKLIFRCF GIRCNSSTNKKAVKSPSSKSTPSNRRK cubi_02980 MVSRLFENEQNPISDINKTENESFPTTSLVPYSRGLDFVKDKKL QNEIIQKSNPDNYAIVSQHFNLHCPMCGRIIPHKFYPNGFSQPNDEDNILKLKNLQKP KNYGYNNINISNEGQIKTSDISSNNFDSNEFENNSEASEKINNCLNERYVNYNVENQN YFFFLEELYRQINIRNNINRFQYSVMNNIVENNSDSKSFDNERGALEFNRLKSSKTID TEVNLINLNSDLLMTGYYKKFFVEIRKLGSGSFGQVYLCAHVLDGITLAKYAIKKVPV GDNKKWLSAVLREVKIRELLHHPNIVQYRHSWLEMYSSNEFCPKVPWLFQLMEYCNSG SLDTLVDSVSIFNHNIKSYEEHANRTKNLLSFSNDNEKYIINDELGPDFSHFSNKSFT EISGSNLFSEKYIDENHIWKIFFDVIFGLQHLHHRGILHRDLKPSNILLHITFDEFLE QPICQALLSDFGTAQVLKNVNLKLLSSEIIHELNYVTQGTQNVLSDYQEEYAISSNQR HGFTGTVEYTAPELLAKDKNGNFTGEYNVYSDIWSLGITVYKLSYNQVPFRVDTTNGL DPEDPEECIKAIFKGMKNLEFPSIPSRTNELKFLIRSMLDPNPINRPNTDDILRHPVI QDKLRSSEIINASIELANIIKYENCK cubi_02981 MEICDIIWLISNGFELKEKISSGCSEPETKTIIDELFKYVGMAG IEFYEKNSNPEKKIRNHSFTHINENFGCSFRSSPHDFKMLICYIIDTMLLNYNSLGNS TDYPNYSNNTNKLMRLDLFPGPYICGYKKFLYLYKLKIICTEKLNYCEWSSLLTKLNL IIQRCETNAKTSKNISFDSSFNGFLISKFEKILLGNEKPPKIFNILQNKLVFGSFSSF SIFLKGINLLKTPEMKKLGEQYLIKSISIQSLMNMNNKSFKIMNYKTNNLQINQLFQI YCIISMSIHSNDFRKSTKYTIYAEKLLKNLSKTVDIGTRILIKIYILISKLYLLMRNV NAKDGKFGLSNSKFNQFDCLPYLIISNLDLINSEILENAKFLTNEEKNNVLNHLSEYI NYLQSDYPALLLSMKLVESCSSEEPLNTRYLYLNADKYQKKSLNTLFPICNIFSYLNE EENNIKNDFLDVHSIICWTKLIPNFLLSYSEVNSIYYENIYNNIFLSQLADQLLHYVQ IICSSNSEYARVFPKVKVAELYLFISLYSYFAKDETSKVSEFVLIGSEILESCGESLN VCSIKCIFAYINLLIHLRSSNDVLNIESQLKTIIRNGKMAFKCTCSDQKGLQFKKKMV NLALIQAFTLLSLIKNDFNALGNEELKLHLQFEEIEVEKTDEYYMEGNIIISKYLSIT KLLNNCI cubi_02982 MESNESNIKVLRSSHSKNSKVNVKNATTNKNFNPNHIKKYNKQF QRDNDKSNFETRNGSITERITNSKVFNTSSSEFAVLILFLIGNEVELKLVDCKVYRGI FHSISKREGSDEQFVCIRFCRRILDLFSNELSKPIEDYCMFPLSSVYRLSTTNMNGVP TRFDSVSGESKESKIYKINLFRTDNEISSNDIHSHERILKPWVSEDHHSDVIEDVLGN EPFDCWDQFEENRNRFGIEGTYDENLYTTPLDYNEITEEEKRKAEILATEIEKEQKED SAIKFENEINDSVIENDEEMHFSSVYRNISDKSNSNKDVNKILKNESVNSLDQYETSD KHSKNSEEISNKDFLTKNRKSNFSFNPNAKEFLPRAISNYQKVCEDHLNNFSNTRTNE SINYSYEHQSDDYHYSYKNEHYTLSNGNQHNYHDNQSNHNYRDLALVNSVQSKGTQFR DSLRNEVNGEKLVNQISYEYYLLNGQCVDEIDESRKGGHQCPEISCDASSSRAIYYYS AESGDIHSSSYYVSDYNTGKWSNYSIHNQYYIPNNNSEVYSHYCNYQGQGTYY cubi_02983 MSVFLSIKFAIEILLFLGQVLAFDADGHSAIGMTTISGLQNNFS QKLKRLMNGKDIVDISGWGERVSKKHPSTLPFHFQSQNKSDYLKDGIEGGEYLKKKHI LRSDTNCKKTGHCLVPMIKHLYYRLIGDQSKFKINYPEGIQLTDSDSMKFLINLVGDL HQPMHFGFIEDDLGREINGMISINGTNERLSLFEIWESGITRKLKTEKPQFWFGGWTH ILAIRDTFDKELLLWKEKGIEMIDDWARENYSIMVNEIYFHPISKQPIIDNFNVDITL EFAWLEIFRSRILIAGARLSIILNEILKLREGKEKPFRATNSLLLSNDEDVSHHISDP MIGINVNKKQIFEINAFGKKVAVSVWIKNLVINLIIILICLSVLAYISIFRLRDNYSV LKNSQLPVSEIKTKNVQMKQTSIID cubi_02984 MNSIAENSSNEMVNVAEYLCIRLKELGCDHIFGVPGDYALSFLN VVMDSEIKYIGTCNELNAGYAADSYARVKGIGALSTTFVVGELSAINATAGSFAEDVP VVHICSAPITKHHKNGTLLHHTLFDYSKTLKMFEQVTALAVKVADKETAAETIDNALL KCITLSKPVYICLCADLVNEYIKRPENPLKKPRSRSNVSELDLFMKKAVQLIKNAKQP VFILGYELLRAHSTESMNELLKISKIPFSTMIMGKTAIDEQHPQYMGIYLGKKGNSHI TQYLEESDCLIMLGEKMMDFNTGFFSEKLPKHCMVYNHLGKSKVGENEFKDVYVEDIV QRMIDLYKTGELKQYNFPGSTPPYPQAMHLFTHRKNKGLGLEPARNLTINRMFDIVAS SLPDSVNVLTETGISLFSGLELMLTKDSQFFGQSFFGSIGYSVGATLGLCIASKKRVF SFIGDGSLQVTVQDLSTIFRNCLNPVIIIINNDGYTIERVICDDSYNNIASWKYSKLP KVFGFPNVPSFICKTEGEFDKSLKFAIENPENACIIEVLFERWDCNIILKEMGKEMAN NSQIIEHKKK cubi_02985 MIDNIGVDNTINNKLDIKLDITFPSLRCEEISVDSVDNVGENQV DAREDMVKIPIDLNGQEVRNIKYNQQNDVKIECMSCYGAETSEFSCCNDCDSLKTAYR SKGWSYLDIVNKAPQCIEKVGCRINGKIQVNKVSGNIHVALGSATIRNGKHVHEFNMN DISRGFNTSHIIHELTFGSDKIPFLFSPLENVQKFVHKGTKMFHYYVKLIPTQYFSGN GEVNIYGNQYAFTERERDVLVKNGELSGLPGVFIVYEFQPFLLQKIYKRIPISHLITS FCAIVGGIYSVMSLLDSIVAWLFKNNINRYLNYIYRLNFSK cubi_02986 MDKSDQEYVQEKIDKFLDDECLIHNIKRYLRVHIIKSLKKTNNR KLNLLENERIAIILRLIHEFLNYYEINNTCAMLEEEFNEIFQMNINESSGESNELGIL NDSLECNTKIESLLKYKLSSEKCEIDEVTNIALIEASNCIESLQNEIKTLHNIYFEQV NAAREIMERKVSDIEKLYLESISKK cubi_02987 MLIAALGILGSLTLSFFLWRYIDEIASDEISTFTIVPCYLGVLI SISPIIILPFDVASSLLGHVNNNYFIFVKFFWKSGYFILFILCWVIFPILLEYELSGD FDHQKKLKTSLERNKNYWITQITVIAISSILYLTVFNLHNSKFSISSMCIAIAHFWGM AQITFLWGYGLVAIPSKIKNSRKLINKSDMIRKLNKFYTILHNLEDWKAINHHEIKKL NDKLNYLYSISSEEHKKIIIGRMIKAINENRTALIRSNYFGETDPSKNKDIWNSSEKN SIKFEDLIAFNRELKLLIAEEKRIYYLWESTLSNSWKLENLIVQNEEYLRTKNEDNPL IFSSKITLPMHAINYNLGDDSDISLESNNLVRNDKIIEIMDRTIFQTNNFKTKNIEII KRLRHLVKNKLTIKNNHLNHFLYSLSLITSIGIIIAEATMYFPNLNISLYSHIVRICS QIKYMNYYIKFILVYLICQFLLIYVFLCTYWGLFNFKIPKKYGIYFNKHTDGPCIVFF SQFLCKLSTALCFHYLSILKIEKTEFGKFYGKQIQHLLDIFGKDFNIFFFPIIVVLVY VINIFDLQGKLIRNSGIYHIFFEYYIFDDDVIGSNAETESNDNEKISSGKKISEVQKI KKLMELNYH cubi_02988 MNEELKNIDSWRGSVTGATLRMNNPKINENTISFFRTGTFNLLI VQIIMISIMCIFIFIGVSLHVVKLKKIHADEYMSHFNLDINTSNILMENLKSIERSMG QMSFFKVLKSNLDLSIPNKNSKDGNIIFCGGIHKRGTNWSEEVMNATKKTIEIALGNG VLIEFKRGLVFAINAVDIETNNIGINQDHLTQPNNSSMLFKLFLESSGYVSPCYMFGK RLYSYILGKNSGFLGYLMVTLPWFFSILSPQPMLLVHFPRLIIYILIAMHRVVFLHMI INVAEKKLFSFDFSDHIVLYAMYILIISIEWCAAGYNIKNKLVVSCIRGYCLSLLAVI SYSSFFTALYFHFPLETLAGFIIAFFGLFGVFWILIFLDYIDLSKIGLNK cubi_02989 MSLNIGNMNSNSINNIIKKVLEEGENIIDEEIKTIDSIQNDENE LNRLREKRIKELKKEIEQKNKFIEFGHGKYDFIADEKEFFDTIKKSENVICHFSRPST LRCEIFDKHLEIISKKHLEAKFIKINAEKSQFVSSHLNINILPTIALIKNSKLIHKII GFEELSSRDDFTTTQLEELLARRDMIQKM cubi_02990 MNVAMDEYGNPFIILKEQEQKQRLKGIDAHKSNILAAKSVADIL RTSLGPKGMDKIVVSPDGEVVVTNDGATIMEKMNINNECGKLLVELSKSQDAEIGDGT TGVVILAGALLERSVELLEKGIHPIRIANGFEHACSIALKKLEEISLNNGKISDINND LLFNSAMTALGSKVVSSQQEHFAKMAIEAVLAVADLERRDVNFDLINIQGKPGGRLEE SCVVHGIVLDKEMSHPQMNKKIDNAKIALLTCPFEPPKPKTKHKIDIKNSADFDVLFE TEQKYFSDMIDKVVSSGANMVVCQWGFDDEANYMLSQRGLPSIRWVGGVEIELLAIAT GAHIVPRFEDLSEDKLGNAASVKEVTSGTEKDKLIFIEGCSKSKAVTVLIRGGNQMVV DEAKRCIYDALCVVRNLIRDSRVVPGGGASEIASSIAVQKAVESVSSIEQFAVEAFAD ALLSLPYALADNCGLSSISLVGEAKQKQISLENPSYGIDCDNLSVGNMEEVKVFESLA SKQHQLALATQAVKMILKIDDVIKPSEVF cubi_02991 MESLRDNFSTNIGSGCFASNASLFQRRNSSELVRRQLYKTKMCA FYNVGKCTRGNLCAFAHSVQELRPLPDLRFTRLCELTKRGDVCRDVNCTFAHSLNDLR TTEIPPAPLSEFNIMKSAENTTLIRKLGSTNESKAKFRTGESIKDPFLSKSEEEVFVS STDTTPHSIRQQFGNTEYEVSYQLRKIDNIISQNVGSIRGGIFSYLNEPEASQLDSWV YNEDFGSKFTPDISSSSEASCKFVNQSDFQEPPNYFSSKCLLARGIPDWNFISNDDKT SALQCDILNIIGGDGNLHSGDICSIEKGTLHIIN cubi_02992 MSKFGRCMDIIINSFECFAKFLGHFLIAFVFFAISFLYTSGTFF IFPFMIKNSIILIFTRLTLLFFTVNLVYNYILCLIICPGFANPSNKYEVTRSEITQLD IENGESLFLESENNCVTQFEYRLNILDERTPPNEQHFLPEHYTTSGKNDLFIEPNKSY MKNCSKCNAIKYPRTHHCSVCNKCILNMDHHCPWIGQCVGLYNRKYFVLFLAWSFLSC SLISIFSIPMIIILISSLSGIDYYSDKSLYDNITFQGLLFTSVLSISFSLGTGALFFF HIYLLVTNQSTIEYHQNLFTRKNFNEGEVRINQFDKGFSNNIKEIMGTNRFPLILFPC FY cubi_02993 MFQLRSGPPTNRGASEFGTPNAFSHRNNQVPQYSQRANPLMCCN PPQINSMPINQAPPSRMISAAGLNVQSVNAPSRLVTGASITPAAIVAAPERQVTEPLQ HKQTFIYGGSETMEPEDCGWLEPIRLKVHAMEVQQYINPSNPDITPPFIYNVDHLMEC VEIPENWAPESVTGVAALTFENLNTNPPIIQHPLRLESDGSRNGTNNPYIQPIKYHVE RIKDKPEKLEKIIESDSNDSSSEDKKVTETNNSNTSFFSAIFSKIKNGVKLASDKQTI IESKEENFENNDLEVDNVEVETLDEKKDSNLEQNQF cubi_02994 MNWRHTKGFNEIEDLLKRVRDIELLCVSAARIDKSSNIESEYTK HKIRLFELYNTASTILDGLESNTNQTKFLIKIKLEDLINQMKIEIQELRKIHNKYYSP NLHISGLAKKDDKFLEIEDYEREFIAITQSRFNKLFVNPCSKMAHSLTLNDFMLRSDS VNKFEHKVISNLDMAKINEWNLENQRIDEDIKEIGNTALRIADRAERLGCEAKTHSIK IEEIKGITEFATADIANLNRKVQEIIGTNSNTTFCCRITLVILVFISISIIIVLIFKK LI cubi_02995 MNGTENKTASITDNSGIHVNKGMIEPVTYICGECGVDVSLLSHG AATILHHFFSSMLSHRVYEISVLLLIGKKVGQKSFVSATEVHPHLPKLEFKENKIRDF NSSYKSIQCKSSLFTLDTKSLDIYNEFEPTQNKGFFSFSDLTSLLEKLPSTELIKYSS YRDDFVHHNPELKSSEEPLTSRMISRLKQIAIGKSLPEYKNYINKVPIEKRSPNDPKT PKCDTRLTKREFDNLYREWRVKLHQYEGEISSGASTRVNTPENNLGHQNGYCPEIKTL NI cubi_02996 MDSVEGFQLKHGSLIGGARKGFLCLSLRLAVFVQGLLNIILLLS ATSVLFSVPIGLLGSDQEEDTFPSGNSYLEVRHGFGVYAVNLMNKYLPRDLLLIITEI ISSLRSSPKEEAFVALTLYLSIFSSLLSIFGVLRKSMGLINFAIFSFLASQSFTIFGI FYAPKLGKLYGLKVLSNPVVLLSILVFAMLYTMWYSHLLYSYYKVLRAGGNGGEYLSY REIIDRRNFLRWKTWKERELERGSPYNPSFSRSSEKDRLLKSSR cubi_02997 MGNKNVDDDENNIEIDSNLTKDIQIPSQVFENHFSPIPIGCLNF EEKLEESRIVKKLKAREKTYTVKTIIDKHVGTEWVNSRIMSNLIPSQDCIESQIAIIL SSCNLNAITLRKLYALLSVCFNINLLNIDIQNIYQIIRENLEKLRRTDKQEIKKNKRV RDKKKIQIKNLTKFIDGEDTISLRSFALKIKQYCEKKSLIHPSNTKLFILDQNLRDIF PGRDSIGKNINDIQRLLKVSNIDIENEYLSFSEQTTS cubi_02998 MDTLCRLYEGFSSSDGSIRQESEKQFNNLKQSDPNTFLQLTLGI LQQQPNSQYRVQAAISLRNVFREFVLTPDNCMWNKVSAENQTICLATLLKCLETEQVN VVSLNLSDTVSLIAMELFPCGKWPDLLPFLFRLISNLQNYSGAANAPESVSIPAKHAF RIIGEIMPVLDDVITSHRDSIVSTINTALQFPDVEIRFEAIGLISSIVESNEKKNWSP LVPLIPSILETLQNLISAQHALVIDVLYRLTTISESEPAFYRQHFSIFFPQILNIAKN VQLSTDIRQAAMECLLCIVETRPMMCIKYPSFVNDMVSTLLSFMLEFDDDPSWSELSP EQEENDLESDIDDEDCLYPIGEEGLDRLARALDADFFIPVFYQFITIYMQETSAHPWK YKYAAIMAIAQTIEYLPEDDDNYHDRIGQIISRVLGFLQDQFPRVRYACCQTIGQISL DHSPFIQEAFHSSVIPQLIQAIDDPISKVSSHALSALINFTEEVVAEDLQPYVCPLME KLLGILHKSPQPPRIVREQCITMVAVIAGVIENDFTPYYSTVVPYLKKTMNEASPQLR TLKGKCIECITIIGFSIDYSIFKNDAQEIMLVFLQLLGSGLKGDDPLKEYLQEALQRM CRIMKQDFVPYLPHLLPGIFNILETREDSLATKLLSSNDSPSDDVEKSDGGSLGILSA HDFIGMRTSLVLDMESSLDILNTFIEVLGSSYHEYISGTIKVIHPLIRFSLSDEIKEK TYEVLSGLLKIMRELAERDSNLRTQFSQIMNELITLFLSVMDEECRTGTIDSQVIVIN GVQECLDSFGNNTLNNDQVAIIASRCFDMLQQSFVRRRKLDERSTGENGEIQVKLDVD DDDDKRCIEEEKEQEQELRLNILGILGVLMKYYPSIYWERVGNITIQLVSQHIVKVHN NVEDRVLGFHLSADVFQYLCPTAYQHCLPWIQHILEGINDPIASIQQNCAYSLAQAAK LEQFSGILNDALQVLLLRLQSKTKGKSFNLAKDNCISVLSNIIVHHQGSINNINEIVN VWISLLPIKYDTDEAQNSHTALMNLVDSKNPHILGQNLENFSRILAIFIDIYGTSMSN DSLNSRIKLLIAQTGPNNLQNFATNLSKKQIDKLQKLCQ cubi_02999 MKIEFNKVSYKKILSHSMKYPLSFIDGVLIGYLSGQNNLIIVDS FPLTHGPKLPLIITLGIQYAQGYCNILNQLNTSDKFEIIGFYSGNCEEKYLDSGASKA YFDLISERLLENNQNSIFVTLSGQKLLTGEGLNVYLLKNKQLLSHTLIENSVGPEIKK LTRDLEHYSINDFEDHLYNLKAKPINNPLLIEK cubi_03000 MQNSETSGIASVPAEESQSSWFSGILGTILRVIFFQIVMNYFMG GQKNGVINKTTGELIKPLRNYYMPGNIYDLYAHIVFGNEEYISSLRFEDLNESSILLE IANFTSNIKSIIEVWNVRNLIYDNSDINLSKNISLSLPPELFKYDIEDASNVYNDISA FLIVSLVGKEKNDFIPTNYVELTKTMSKLSDEDSLISLLDSNDQKDVINHQDKKVKYW KDNINIRVVYDNQNYNINQSNVPPLSFMKYNIAEGIYLPIIYPSDFWCIERNFNMLND TSINQVFNLTLTFNTFSLFKYTIQRQMMDTWELQSRYGLGQSQKDIMMIKRILVETNK YYLIFSLIFFILHSSFQMLAFKNDISFWSKNESMYGLSGLSILASFISELVIGLYLFD SNETSWILLIEIFIGIAISAWKLWKTKIFLFNKRFPFVSIKDDSGIYLDPSDEGTKAK LEQEKLSRQYDSIAIKYMSILLTPCIFGYGIYSLKYYKYKGWYSFIISTLAGTVYTFG FIMMTPQLYINYKLKSVDHLPWRFLVYKALNTFIDDIFSFIIDMPWMHRMACFRDDII FIIYIYQRWIYRSKKDKQSETQNIEKINTDEDFGENHDRSSVKSNNINYLSKKSKIE cubi_03001 MQEKPIFYNFAFLLDLLNFATAVSWFFAYLALFFKLKREKNVVG LSLQTLLILVVAECNHVIITVILSSHYHVKLELDFYLCDCLTALLSVTTFIYIYNNFY ETYENNRDTFGLNVTNFVICWISKVSGSANFNEKKINRFHPTSQNFFWLTIYIMNFFL GSMIFFLRKSSSPPIISFWESYMDSLLSLALLPQIYMFYNKKPRRVSSPLAHFVAFIL LARIFMLFYWILYPLFKFSIVPGRRLHIFSEFLNVIFLTHFMYYFLKSKLNGENDISL PL cubi_03002 MAIKIEFSGGLEVLTGDKQNVEIDLNDLESNSMKNLILYVKENI IQYRKDHFIETGSKIKPGIIVLVNNCDWEILGGENYVLSNGDLVTFIMTLHGG cubi_03003 MINNIIKKKSVNEHKENCEHVSDITDSSNRIFNVAPSTESYDIL ENDIMVKSKSRKVGISVNNGFESTSISNKILSEFTCPVCLDYYILPVTIPCGHTFCRY CITHNRLLGKNCPVCRQLIGYNFRINMAIHNVIVSLGIFKQSENSSWDERNDNEILFT NNEFKGQNRPKWWQLCFCKPIISVTLFARIISDEILGIGIIFAEDLTRCIIDHLSKLS SMLHNTKFKSMVWSNGIYMIGPLEVNLLTKWMGCPPLPFNLDDDETDHLKKNTDFTLN SNAVFKNQVKKWVEECIALKPTILNIGQSPFIKTNTYPIIRILSDRIHRVESKIYDLG TLRSPLPWDLGRHSKSTIHISHSSVSTNHLLIVNIKEHAQVAQELKYVDDFSYIFDET SSIGKDWGIGIIDLGSSIGTMLKIQSKHRLATDEIIHLADRVEIIVKIRTIEEIINKN NYLHFDNQILINEWKKLRWSNKLNLVVNIDEYGKNKDKTDETKNSNFEDSFVSCNKDD SISLKFAQESEILQIPLEELELEEIKECLEVYIPTGSKFSVPCEGAKKTEDGMYWSVI IHPSGMVFGRGKNGALGLRKVEVTESNGYISREHCIFYYSSVGNRECDSPDYLSRSKG CLSNWYVKDVSTSGTFLRLKPFSYPVRLLPGMVLKVGQCKMEILPYMIGALYSGQPNS TGRNNTINLVSQDFQQIHTSSTEDESLQTHISNLNQIPISLGNGTLFAEPSSNQYLSN INNNVIAQLNILAAYNYFNASSITNLVNSSNIINLNNQAVEERVSQTQNSSLGQMISI ERSPYDNIFLQNILSNSLINNSISTNNYLGNMQNDEYHSITESSMNSLEVNDILNYER RPREPRVTQEGDVSFQIDSYENSNDC cubi_03004 MANPDTDQEKNSTVFQDITTKNSFIPEVTINTHNIHVDGLYQDI IKEKPRRKKCRKTIYNKIQVSIINRLWKLSKERKLLYHIRNRCLISLNCTDPNHEQLF QDYWALAYPEYPEINRISSNWRLLGFQNDDPRFDFKCAGFVTLENLVYFAENYRHVFR EILKESQRFFLNRNNKYLHKHNTQRSIINSRNISDFMSPYETPNNLKSSLSNLSIIST PININDSKKEFNGNFEPLLPKITESRSTISEMSNHSQIDQINVSYPLSAALINVSIMV CLYLNLIPSVYKIPGIPTVSASRKALRNFIRLTNEFSLNTLSELFSVCAIRFHAEWLD IVKFLGHKGATSEFDKVLKNVQVVMAETIENLPKDIMEFRQICNLEKYC cubi_03005 MENKYENKTMENKVHEPLENASCRLSLSNCIKPLPKDIAKFALY IVCISDFSSIIQVLYNIVSSVTVSTIFVIFSIICSLFGILGFYSITKNNNTTMKYYMI YTFVKLIIESITSFGMSIIFYIYYKTTIYDENFPTWVVFLINAIFLTLSRITFSYVAF SFYKRLEIQNYENLEKVTNKV cubi_03006 MASSKDLLLGSTNKRRKVMKKTEMDYEPLNKWWERPPKEELGVQ WEYLEHQGVMFAPPYKVHNKPLIYNGERIQLPPEAEEIASYWSVMKDTEWAQKEKFSN NVRKAFMSSIPVGDKLHNNLEWEKCNFDVIKQYFEDEKEAKKNLSKEEKELQKKYRGD QESLFVYALVDWIKEKVGNFRIEPPGLFKGRGEHPKSGMLKRRIFPEDVTLNIAEDAP VPKVPNSMKGHAWKDIFHDNTVTWLAYYRDSINNQFKYIFLSASSGFKGMSDYNKYEK ARKLKDYIDAIRDDYRKKMISGDITQRQLGTATYLIDFLALRVGGEKDTDEEADTVGC CSLRVEHITFNKQEKSITLDFLGKDSIRYYNTVVIDPAAFNNLTIFCKNKDKMENVFD QINMSSLNQYLKSIMPELSAKVFRTFNASITLERELSKISAKPTMKSEKKTKMELEGC SKEEIKISNFNNEVDITNVNDILRFYNDANREVAILCNHQRSVPKQHESSMEKMVKQQ QELEEDLKICETFLKILKKNKSVTMNELPKLSIETSFNGKQRKYPINLNSSPDVVQKK IDSIKSKISNLYLKIKIKDDNKTVALNTSKINYMDPRISVAFCKKYDLPIDKIFNRSL RTKFPWAMYTRSDFSF cubi_03007 MYTQNRFSPKSKNDKSKFCEKNKLDEVDDVSINGKIHHKSECSI ENFWFDYRIWCILFKVNLEQISSINQFISNNILNAENDYVHIESIIYKLEKKNSNNKL IRFDFERFRPNIYQKNISYPKIPKGFYGIDNFLELIEKDFNYNISRREYNELLTVLTL DSFPSISSLKIIDKLVFVTITICEYLKCNYLQGMHEIVGCLAFLQKNPVPINYHIILS IEIINRWAKFLILPNLFKNYNTLLNGNKIHKLEKDKRDHAIIEAEDNENVIIGFLHDY FISDQFNETKESIYKDINENLQNSCLSDNSTNTNSEMKFSQCFENEIYEPIYQNPKIK INAIEQILNICNEFHSFGCFHIPNIFNEIEKCIESNIWCCNVFITCGSSLFIEIENVL LFWLNLIFSSSEVDYKPPFFPKIQSQLQLAAFLIVLLKSLEHQLRNDIYLICTDRFKL DLDLNLRIGIVSILNPFLIPNNNLENTDFSKTIQHSPFEEFIGEKKSLFLDLFQVILA MESLLMSTPISLQKRVINTLNNFDSTSSFEEAKLKNVFINFIEPKELLYYDKDFTIKN KKCSDGIVNIISGKDIVLIDILSSFYFDNAIDSDLDRLIRRLESAEEFIKFKEGNTEI NYELSKLFDEELLSILLLPMLRFSLKTARIVKIPLEKDKKCINSQAIFQFLEFASRRQ RALLIQQRPSIWIIYGPKNELRDEFAYKLIENGLIGVQLIDTNKVFNELLEKCYFPNK FDFFMNIIKDVKSNLCLANNRTNYKSRALLKISTIRKKNIIKEPLITINTTDYNQNRK VLNSESKLNHEKQEYLNVSNRIVKFKPNRRKMYYK cubi_03008 MLLYSIIRTIDGMTENIQHISHSMDLSLQSFLSSQFFSKDSDQV NNLLIIGADYHGSVSPCEFAFHPTLSNCNDMDKSLDSDNINYFKKIMNLSIDSLFDAE NNRVFEIKQNRAENIVGYDSAHIKRTDQDLVVKKRNEIKNENNCNILLEPFCYGTKMI STELTNHEGNSYTNDLILATKIFSPLQRYSININVNNPNISFRSEKTISTDEAFHNKL YELEQPEDNEFDLDSFRLNTHYTTHYLFQITSIFSMGISLHSQYRRKSKQRKLHFVK cubi_03009 MKIYVCLVIFLYQYFFKTKSHVFIEAVVNDIVCNAASGTIVNEV CSAVSHAACNTAGTVACQTAGETVCHAMSEAICQTTGNIASDAACHTACQLASSTAGE TMCGVISQISSDSACAAACQVASTIIAHSSGSVLAGVGAEITNSLIARTASNQIVAAS TVANSVTISVTISTVMSILQVMISLFGMGFNLYSHIRSLNARKPYYVR cubi_03010 MRILQLFSFYLFITSCSVICKSSKKGISIPNRHHLEDAHDNVCY ALIMSSGSNKGPWQAGVVRGIAQKYHDSGKKLRWDLVGGTSVGAINALASQFYPPGEE LAWTTELVILWKMARQKDITTCKTPLKKNYARWAVSFLKGWISARNPFRYLCDNSPAR KLLRELFLHRKHKQRLFFTNTMRYTDRTAHVFTEQLELEEIIEAVIGSGTIPGIFPIK YLRNLGYHVDGGFIAAGDLETAIKRCIAVGKAKTPRDVVIDFISAEEPRGLKRNTSFL EGEDYRSPHQLPLYYIVLQSLGILSDMVSSTSVVRQIISKYPDVTIRYLIQPNEEALM WIPGVMVDFSQWKKMHRVIEAGYKSGYNALPMTGEKLKTIMEPAETYFHPPDPADVSS ILEQYYQDCEARKISSGFRPMGLDDVTLYLLTSNVEKAIKTVFTEVSPYKNIFPYKDS ITFATFMGPFFITKRCIDLMHYFALARGVRSPTQSQFYSWVGKLCNIIASKVNEYNTG EIRNLSKQYFVEELPITLQDESPGEVTFNEFTDKSINDPSRNFTNNSLDDGKDIDSGN IATKIRNLIYALSNDNKHIVGMTFLEFTNAKRSIAGLSQCISILLNDLQEKLDQISPG AALRRALLIKERKLKNTYQTLNSFVVVLKSLNDDIQKLNKTIITIDSFLKSSNNISNK ATKLLKYIEDKQKKGIFSAKFEDIITLLSLYEDYVEKLQSIRIKEISSRRIIVDSKHS QLILARIIIALRDQSENIPKLVNGKSTEGISESINRCISIFDEQYKPALATKDAF cubi_03011 MRNVLILLLIIQVIQSVFAICKDKSEPSANISVLNDFSIDIKER VRYADKKQLLSARKYANTQLMIQAENVFQKLSLGYSGNWPTISKKLEKYKQRCIELGI FAFCRDLTEYLLSNLNPKPEIYIDKATKNIYNNKMHHLEETQPYEKNFIEIKTFRPFD NNSTASTKIPKKTNEFKHINIKLYMKERQEAYEGKNELPQRQTDACYNFNNCKLLERG ELSEDTILSMSSAMRSLIKASLEKKNYCYSSAPQNVFNTTMSNVFNEFKNSLIRVINQ DLNISPDSLVPQYIYSYYHFACRNVEAESSKRNNV cubi_03012 MTKKTPALSNNTMEFKYIAVCVILYLICVTVEANSIHHRIKLDS FVEYDIFDIIVNSRKADAKPRRNFPFIKINNRQSSNSLAPAFMKIHHGACKEGKNEYT VSTSSSPAFENCNHSLANQIEVNSTGSISVKCCSTITSPNIIPQINVTQTIDLIN cubi_03013 MGRKKIKNVLQIENHNCSNKLSTKNTQSSNIPEKKLIFEDQLLE NIQLENITIADIKRITSKTFDFLRTTGLRQTHISATTGINQSILSIILRDPGTKTISI NRKKDVVYKLCEYYNKINLGLISHDPLTAPKISNSKKNGEIVQKKRTLSRRNISENEF NNNSISSNTENFDRLNSDQYNHLELEKKNFNEGQEYLTESEGATHSLNESGTKLTGVS SNGKSLKKNIILLSSCIGCSKGPRKKRKTNKFLIEDFQSGPISSFINDNTLIECIELD SLWEFNGNQTGNKNRDFTLRILEPIFMPLSVNLRHFLSSSFNESFSIENSTVSSISKP NSSAILSTLKGKNFRSEKFIWSSTSDSNTLWGFIENLSRERHLSIFMSNHEKKRVFNW LMKEIENYKSLYLQFLYVILGSNVNPGNNLLLICEIRLNESYEDVVINDRFKWNISNP TDLLIKHVECLISDLNLPPELFSELLYSALKQVFDEIINFVKRFNHRKNSYIINEAVN SGIYINNQYEDDTSSNYSFGANYETRTNDDNVEEESAKFVSYGNFVEWGDRADIEYDS DDNAKLFPTIENAEEKRQIENRNRFRKRK cubi_03014 MPQNKFMSSYANNSVQSNIYNFNSHIESNYYQPLSSCTNNLDII QANQRPFILHKQFTPPQTPNNLRYASLSPFSRLDNLQFKRGPNNILIKKYSTPIIMPS LTSRRDGLNTPSVSFKNFNEFQYCNIQGSQSSPYNSHAVPGASGSRETHFAFKQSNVI LSDNKIEKNSTEKTSTVIPTNYNLSKKISKGGKSSISINTPNLRSNNGNVNHGVARGE NAPKSAQHSSFLKNVEQSDTKYNNNGTNSGCPSETFSQLTSNNEIIEVKKDLIERVPD ASNGVLQRLKPNEYIKMDDLVLEPATQRGSFGVVFKGRIRSGVWSNKEVAVKRWKFDN ESRITEEHFKSLEREVYAYRLLRHPNICSYIGVCLEPGFYAIITEYLANGNLFELLYE NKVLVSASDRLKISRQLCDAVNFIHRNNMIHRDIKTANIILDHSNNVKLCDFGQTRTM NILTKTSSFGVILDENGGSPRYMAPECFYIGKSIDEKSDVWAIACCLLEIFGGPIPFF ECSSNEEVINAVVVERKKPKIPSWFHPSISNLLSRCFERKPLNRPSSYEILMILNGLN SEDIRKYGMNVKRNINGDLEPGNNVNCTNGNIGNGRRIVGNCIGVAQQKRIVVRAPTS AD cubi_03015 MFNSASQCRADYLGLFSLSNLSIRISYFVKDCPLSVKVKFSSYI DKIVNSAINKVNDISFKRLKWLGKYTIFLKKEYNNKLLIILLVDELDINFDIDKIFRE LKLSLKTIILAKSQVVENINRSNGQEFKIENNLCESNFDLKITEIISNESQYIKIQIE AMLQKINLRKIEFANLMTEKVKISLNESLKTILLNCEDIRILEANSKILKKNSHQLFK NVLRDNSDIKRSVANLLFVSTIITATLLLLIK cubi_03016 MLSANDDPTCELNNKKCNLYQENKVVNNCYRYRRYEKHPHAIRF VFAWMLIAQVLRNYDTGALPVLLGLISDEFGLDEMKLGVLGAVPYVSAMATAFIMNHP LQKYSQKWIIVTSLFFLSFGLALLLSSTSSTLLYISRIIMGSMQAPLSIYIPVWVDEF TPPNKLTAWMGASQVTMIIGVAIGYLITGFTLNYHNGWRYSLLVPCLLLLLMSICLMF TKSEYFNVKYSSHESNDLEIEMGEGKMNSSKIIGLDRHIKDDAVIQYSIPSSCSTELV ESPCNSIAISTKFITVDKLQENTSNINNISSFSFDARVRKISNDFSAEMKPMNGKSNS NNLNDLQNDVPIKKPIIQPTLTTHYISISRSLSLSEFANGMPQNTQLTNSGNVMFKQE TFANSLCEDELGLENSKSSTFKKLIAYKKWKCLLANSTYMLSITILSVIYYVVTAVQF WTTRYLQQIYTTRDGIIFMSFSATAVIAPTTGIIFSGFMIDFIGGYKSNRGLFYTMLF CMISATFATLFGVLALIIDNFTVTIAGIWGLLFFGSFLVPPITGISVGVVEPKIRQFA TTVAMVTYHVFGFALGSLLPGAVLQLTGITRSGMTIVYGFSGLGVIANFFACIIAYKK LCASKESTYS cubi_03016x5 MTDREVKKFMLQIESHWGDPLYANTQVNSGTALTKETWEYVNSR SKSIGILLKMTSSDGLCESPFCLVVYSSGKSMNTLNSSQIVLDEFIKLFMSNPMVNKL NIFPLNYGKIIFLDELLYLVERGSLILFSWSQSQLKWIQTPILYLFNTLIKNRDLFKL NNYISYSRMKRSGYKFRTSSNRNNIKLHETMISGKSALSHIDIEEYGNCGTNVKRIKA LSSINSNSEIYLIKNLKGSSKEDEDYQLLFISRQPYECFLIRSSITKANNDFIVSVVS INSEIRLKASIYKFH cubi_03017 MSVNICASVIDNSLIKVLFVKTQVADSTLFDDLIKQIQNSITYY SSNINQLDLNPICEYINQNSSLCENRPFKINTSYRTICSHGNQINLPKSLICIDHIII FITYEKKFCSFNQIFDGQIKNLDQQFIYKVNIDIIDDHKEEIKFNCEDQNGNRFISID EFAKNVILDIFCRFNLIINKYIDKGYDKITKIESLDEKITDIIRYKAQIAGIYLILGF PTSSAIYYNSILELMEDQDSDLVYLAHCFEGQATLLYNFYSNSDYSLEWWEVVSNSLN SFINDLPAPIQVCLQSINYNLVNHNDSIYNIQSRKQILFDAILKKLTLSLNSLCRTNN FTNGILSDNHIKLHYDHEFSVPIYELIIKKSRFLIDNFVSNFYLDRKIIIDFLDPYVH LDMSSNFSKDNLYNYAMFLIGCAQTYLTVQSKRRVGIILIKLSKLFNQNKIYNLSYYI SCTAYQWYSSIYCRTNNINQMQIIQNLAAQILKKDDNYSSHIQCALCNVSNNSNILIG NNYTFDEETEKRLGIIFEKYYVPGKHYLDNNYLKYLSIYKHDLSLSYSTFISNENSNL YQDLECSNAHYELLACLKPFVKSFVCYGVHSMLQAYRNFYLNTIDLQKLKAYPSRYEM LALILMIESSILFGCASRSATVLLLLLDKIVYFPPIYDYDTLKIIQSKCIDSLSIISN TIQLPIVRAPYYSVTNSKNRGYISIESLDHLFNTLLDTTHLILILDVSFEQTPLNTGC HKCTNEISLSSIFNKKINDYCSFCESNVLKIDNSPWIFCKVSNINYFFDSKLIFQPEF KWVPLKEKNNIKLPIITNSKVNQSNLFLYNPFEKKFDQDLKETFNHSKSQAETQTNIL WQAEKQYTLYVSLFNPFLIPIILDCFSIIIEGEVKCDISPVSVVIPPSPRFSTPGEVK VAILVIPKNSGNFSIVGVTYKFSGINYVNFGSKLAFVNNKSYLKKYLNVFVIPNISNN DHIFWKEIDKQKQNTCVNSIDGKIFIKSTKKLTCEIKKKFKLLSTEVYIDQIENITND NFSLENMIMKDGDSTIIIECSNIYKVNRFKLLVIHWMEINEKIIISSQLFDIFSNIPP FPKIMSISLVPKLNFQPIYFGSNNSKWKVNELWIIFTLENNSRVYPIEFSFRSHLLEG KRVLLSPEKKSYRWVVETTIKDLFELKSNPLLLYWKAFFPNTLFDDNNFECISTGYLT SDLFAPEFLSKYEFFIEIKSNGVKIGNKQVIPVNSTVNIEIYAISGENSTNTANIKII PFGDTMKSKQLYLDYSGNDINNSEKPILYFSMIGLEKKTYEWIVGVEELEKSTQQRIF HWKNDLLSVSFV cubi_03018 MSEIESGFLILDPKWWTDVNISYGVRHALFKLLYSCIYHINRSL FIYYSVLPQNDKYCREIDGKSEKDSGTDIGTYESSFIKLTYKHINSIVKAYEIALDVI ETLNKIPTFDIRFIPVRHDCLNHIYETIGPKDQTLFWSNSYKFCEKHGNLVQCANIIN DVFSCISQENMYYINFNSENIDINSIVCKNYTKHLISHGFHTFEISDNLSIPLWKNKL NAVIENDDDHFFDSQINRTLFAGTFDRLHPGHKVNITVATWYAKELVIIGITDKPLNA NKSDKDIIQDFSFRSANVHSFIFSLSPNISVAILRISSIVGGADIFEFDALIATPESY NNAAKINDLRLACGSPKVKLVKVPFVYKPLIDHSGGLKTTNVIPVKFCSTELRYSLKR NLDNLFNLSLLKKAIMISLDKVLFSGFISKDISEMTNMIFSFIDDVIFEILYEWRALH GHEKGGIVFEKWLGELITELEKEGKMERLNLLENNSFIRISKYLTFILIYLISSLNLI KKRRVGISHCELNKVYFLFSRLLMDEDKEEARGCENSEFCIPYIYCHSDIEKNIFFGK ASNKKYSGFKYQLGDLTKKRITI cubi_03019 MNKFNLSNPVKAQKHLEDAVSALKELVNIKKCPEDLLFNKNSQF ITLIISLSNTPEFVKSKHVAIKIPNSLYKHPENEVCLFVKDPQRKWKELINSSNVELK VISKVISVSKLSKKYSTYKDRRELCSGYDLFLSDDRIIEKMPKLLGSFFIKANKMPIA LKIRESNFKNSLESALSSTFMSIKKGKCVGIRVARVDMDTKQIVQNIIETIKQVYDFF EGENKNKNWKNSIESLYIQSTNTMSLPIWFRKKP cubi_03020 MLNHSDDIKSPSNEVIHNLNVEGSDSDLFGEDDSNSDQISNGRR FFEIEKDNSVQTYANTNDNINNNFVDEFEVVNNIGLREPVNYFGIDNNDRVLLTLKLP ERLKVVNKTNINDNTIENDFSITGGINSEGGTYSNSKLVSWSDGTYSLMINNEVVYDC VIGYDKAFIFDDTFDQKYKICLGRVDKKLTIRPRTIEKNKLLKDSRSRAMIPTTLEEI NKQEVESRRRLDELNAISSIKYQQKKTIFASDSKRKQMTSYFLEESSEESE cubi_03021 MCSTNKFGFPNEPYDIQSEFCLSAWKLYDIDRGIGIFESPTGTG KTLSILCSSLSWINQKISSPVSSGQVSKSVPLWVVNAIKKKRENSFEQYINGKSNFLR HIKDDILNSTKKNIDELTLTENLNFSPSFYQIIISSRTHTQLTQFVEEFIKIKIANRN NFHISNIYSIVPLASRSHLCLHNQVKNYPSHLINDACKLYSGEGKKENKCIYKENYME LAKKCLIEPMNIEELCKEGYSLSACPYYATKEASKYSDIILVPYSIIFNKTTRESFGI LLDKKKTFCFIDEAHNLINALESNNRASFTLISCQILNYSFEKLISTNDCTTKNNFFA TFKQLVRLVKCIYSSTSNIINTNKRKHNESEMKSNQILLGPLEFINNYQINAFDLNKI INFIIENELCTKIKDIIIKFGRYFPIKFNSFEEIISYSNSLFLFKDFIAALVCSDKEF DKVIIETSFDHSDNKQVTITVVPINVQSSFTDIFANTKSTMLVGGTLEPLTEFQPLIS PMEPTNIVKFSANYHISSNNLMCLIIPKFIDNSIVDLRYEYRTDVKQLLNLCELFSVL SSEVPNGICVFFTSYTFLDVFFKFLISESSKHYYRSIIKNKKIFKEKRQGSNCGKVLL NLYKDHIMNNKDYGAMLFAVLNGTLSEGVNFSDELCRCLVVISLPFPQKTEIFSCKER YFNGSIGDCNKYENMYRKIICMKTVNQCIGRAIRHRNDYSTILLVDHRYNNTEIKQML PKWVAKNIPNDTLIEWNFQSNVILKLRDFYKNKS cubi_03022 MSSKSILGLNKFNNKEIKVKLCGGREVVGILRGFDAVTNLVLDE TVEYMFDKDELPSFTDQKRSLGLLVIRGASVLMICPSDGSEVIDNPYCNP cubi_03023 MDYLNLKPHDIFREITKDLLRGENVKFYLESRTSQEISCEENQF LEFVNQYYINKKRSLDNKQRCDYFKIPLLIDSNGKIIKIGWSLDWDKNLINFNSESAM FLSKEYKFIYLYAVYDNFKDENIKMRLTAGSKLQTEKRDPQSITLNCGFYETKGTRSY MEDRTFLSLDVLNQESNTQNKKPVISFFGIYDGHNGEFAVDYLKNHLHKNFSLSFNLL NYGEPIQNTVNALVDSFYLTENQIKKQYFNSNDKQILKELETIIDQKQGLNINSEASI KGQNIKHISSGSTAIVCCITSSTLCIANLGDSRAILCKCGRAYSLTKDHRIKSNFEER ERVKSEGGTFDDEGYLSGNLAVSRAFGNWDVSNGMKLQGLSSTPEIYVHNITHEDEFL LIACDGIFESFMDQEAISLIRRALIENSDPNVAAEKLISAALQRQALDNLSTIIVVLT PPNYMTIGNNNSCVDTNPESKKKTNYGHSSNRKIYNFSKLKNLLLT cubi_03024 MDTRNEEYAEYKKLRQNVKQLQDELQKRISAEAELESEVKRLHE ETIPLSAARALTHVALCKGRALEILSGMTSSNSVNTSNLRWAFRSLYKFAFGDIAYAK KIGEDRSAQYHYNETQLRLFRQEQLLLLAENERLSSQIKNLQLELAQNYKATKLEKIV SSKPSKRRGQTQPRSGSNNESIRENEADSISNSELETESDSESETSSCIALNVELVTR HLWSLIHCMYSAFQRRKLLAFVTWKLTTNRSSHQMSDGVPNTSSFVNDQGMHETSGSM STSRFFEFLQRRYRIMLLAKGFWRIWSSCYSPLFKRKINCGVVRGPGLSSFRPINHGG LMYNDSAYKAIGGLEDVAYVTVQGSGASISGCSTAFATQPHYLANLPSFSKITYAPRN TYFETKDLPPFPKPREGIKSQQNSLDQNKSIPPYFRPFDKPNNYINQRNYSSNSIDNG FNANSAGRSDINTARTELISLLEPGAKNSSFGSFHEGNINQFQSGPMDNISTKLKYLD ILTEAIDE cubi_03025 MSKARNNKNSIKLKLLSSADGRDDSSSIWVPVSNREFTDDEKKE IRKIIGNVYFHDELDNINIENKNLGIDVSIQKDEVNKGLDTEIFKDNYFPDDGYDYEQ HLCTINKNGNYINSTSKNRVDQDSRSLLLNSTSILSNSEIDVNKNDFVSELVEVANCL EEPDEFEELNDDFILDMTGLKNKCELDRNININDILWGGGKLQQDYKNDVQKLDNEFN LSELITQYSDNSSLFSKEDHNNESTDDLLQTVLDEYSDYSSTLEDNTSEFCLVRSNKL DISEYENILDRYISQAKRENLIRSKPEKSYVEINKPLDKVQVEKIISILENTEIYDNS SEDTLSEISDFETIENVGNCFPQTNFEIVNKPNKVDISNYYSSINIKNQTKSRTCTQQ KIYKNGELNYDQNYSYDLEDPVAASLPLRRKDETPEDRKNRKKAVKQAKREMRDLKRK NKQNTKDLKKSVVGSLINSNHNDIKSGVRYFKF cubi_03026 MKISFRWILLSLIVAMDIYCQSALIGCQIENDISNHNNSNHAVG VGENIKDCLPNHPYMRSFFQTNIIKNSLDLENFGRSLYLSFEPSSEVRHFLMVSAGMF AVAERILLILFVLQIIIKLFVTPIIKTLSIILIIIIILRVIFAIYSLSESYKLCDATI ILWNYLQNLFFLIDDKIYNYGDDLLRYFGINTNQTPVF cubi_03027 MQDFTDLHSYSSIQHSTEWGVLDSSIEEIIHIICIMSKLFKSQL YSYSWYCYNHLIITLDKFIENSEKNTKYGHYEDEGLTNMSEKRKNLAKQLNEFDNLSE KVQHLRFQDARQSHWGGNKFSKHLHWLGEMAETKNQNKDWIKANYIGCLSWSERLLNR MEKSIMVVPDVNQCVGHAFAFAFLQQVVVEPSVQYESKLQNLLERIKNTYTVETKFGQ AVGYKFQIFQKSSDNKEKLNSLKAIRRLLPTIGEYIIKLTRLQRMGTIWCNSILSISV GNTRKFLNGLLPLINNLINSLSNKLFALGQFTELKEGNEILSIFGEYVKGKIIHSNFL NIFGDLYELLNASWRIVTITSILVPSSKAELSNIVEHKYGTTLEALRRDILNRKNESH VFEWPSNLNQETSNYFNGEKNSLLISLFDTLDEYWNACATLHPLLHKLCMVAGRIRTI MILLNLPIASPITWKRFIPINGLSGTNSDTLSFTYATRAIIEGTLGYPSNSSIEWDFV NYQQSRLCGIDAASSLITGDLNKTVDKIYIESNESNNKHLIIHSNIPLCGVCALPVST ESTVNTHYFDLDEFRYASKSTLVRGRWYHQTCLELLNSESERECHSLFVLPQINIPQI I cubi_03028 MKIRLFSLLTAHLILGSLAAQSCEEVTTNSFVMAYCKNGSKCTI ENIGGNGINNQIYCECLIGYSLPDCSLQIPETEDTDDNTTKNTCWIQDLLQLNQEYNN FSKYKHIYSQN cubi_03029 MSKKKKSDVKLKKAEEKKEKQLSKSQNRYLKELKREGVEEILKT VENFDLNSRSDDLEIQCSIIQSERPTPRISSSYNIHPISGELIIFGGEYYDGKEAKCF HDLYKWNIDKNEWKQVVISNNKLSSNYSGGPKPRCSHQAVIFNECLYIHGGEYSTENQ FYHFRDLWRLNLKNYTWQEIKTTGLSPTPRSGHRMVVWRHFFVVFGGFHDTVRETRYF NDIHILDTKTLHWVRIDSSKYESCPSPRSGVQMVLCSNSDRIFIYGGYSKIRDNSKNS VGKTHSDCWFLDMKPFLSEGKLPTWERVSKKGTPPSARSGSTIIGYKNQCIIFGGVFD QDDELGLNLNSTFYNDLYIFEINNRRWYEIELSNSKTKLESHGDNQSKMNDSNLFEDV ESPKKDELCNINHLDNFDLSEKLSNTKNKLSKVITEQSSSNSIISNDNKLIDTKVNYF GKYSEIILIDSPLPRINCGMFLRGNFVYIYGGLFESGKKTITLDDCWCFNILKKDEWV CVLPLSMKSQEWADSDSNTESDLSSECPSSSTENYYLGEESDISEFEHELNETEQSEL SKKAVEEVIKKYHLDDSNKTPFLGESMRDFFMRTKAYWVNLVMSEETGVIMSEKDVSR NAFGLAQERVEKIKTYMNLVGKFEDNQTKCEN cubi_03030 MEEINVFLKFFEKGSVNLQKFRHESDNMKKILFSIFKAIIKDLD RRTVVDDLIKKFEIDNSSLWNSFELILKPELKKLSLKVENITKQNVDFELCNLNSNSC FNKTLNQAFPTESNEEEFIKKNSEKYINEIESCEKFLVNDHSSIQDNENVDFFDYDEM AKFVDQGVKNPNFDYNESDISDFSDFSAVDDASKLKYDDFFLKKSDKSVLETSPEDYE FDHFSLSETGTISDKNEVNENSIKNVQYSDPKLENISKLSQEKNKIDAIIDELEANII KEKEWYKLGESSITDREKNSLLNIHLEVPQFSSTNNSITRIDELNESNILSEKPSKEE INPYLENIIRQRILNNLFDDVEPKIELLRTLDVENKNEGGDIQVEKSKLSLAEIYSKK YEEQIIGNINEEYSDEKKQLSDLFTEIMCKLDNLSNQYYATNLPILKSIISNENAPAL KTEDAIPVIISDNTRLAPQELKSKGKLLVRSEMTRSEKKSDRNSIKRKLRKRNESVSG SKKEYTKLKDNIKDESLFKKEKLKNKSNKHESLNKRFKSHHFIS cubi_03031 MPIRIFPEIFNIGLNLSEDDLNNYDNSYFEPGFKKVSDSKKLKR ALNDLKDIGYDFVCVPVSQNSESKNNITNGFNLELKFLEHYEIGGSDWNAGIIGKFPT DGHLNLNEAKKLLNWCDYVGYHAVIISIEQLSIHFLSVISSFIGLISTRIQIWIEVII NNGNSIDKQWNEWQVANTIISSSANAKIGLVLKIDSSSYRNIKADDYSRFFGEPVKCL FINSDFFESSHSNILISELLMGSMRAKIPVSIYLNENENSNIELIIKKSFKNIINFIK SFPPLSDQQKYEYNYIDILQTPLQPLWNDLKSIEYEVFEKDSMKYEKYFHAVKLFLSE HPLALEEIKVLIVGSGRGGLIQSVLNAFNCLCNDSFKILCVEKNRNAVVTLRAKINYE ENANWKKVEVINSDIREIELSEKYDLIVSELMGSFGDNELSPECLIYAQKFMKPNGKM IPQRYTSYLEPISCRKVWNNAVSYSKSKNLEIPFVSRLRSHYKISTEGPKKVFSFNHP AEFIQEEKDNTIFASIDFTSKADSVLHGFLGYFECNLYNEIGFSTLPSDFTIGLISWF DFFIPISSPILLRKFDNITFNIWRKSNKEKVWYEWLVTKPTTSFIHNLNGRMYSIHL cubi_03032 MPFFTYNGFNTEEFESQLHLFAQKLQTINTQQDVICALSESIIC IKENTVILQLYMRVVEEYSSRAPPEHLIPLVYILNDFAQKCKSQAHYTSEALFNIFNQ INLYHTEYMAKARRCYNIWKIREIFDADTLRRLDCALLGLTPPVPSTLSHGYSKELQG FSSESNNRTNINNLAISFQTNKANNDTEKEAKYLQKQYNSGNKEDLKLKNGYLSLCAS VDNVLKDAASIREKRKIIQSICSTANKTSKLSNNEKLLKLIEMEWELRCNICLNLQET LNLLDHLHSEVIIRLSDTVEALQG cubi_03033 MKNNYDSTNKSYQSSLKNDGLNAKHSNMNSNSSFACEELNDLNY KLDEECGWYVGDNSVWLYSQDNDVYYSSSLSRLFRLDQEKFKLIEMSTNSELKEVSNK EISVANLDDKDEFTLFLEREIHAGTSVIPSPIKNLELCEDRYITKKQFFFNSKFQSPI TLFFSALFDGHAGINCVEYVHKRLLTNICAVFSQHMGRFSDKESSYRGKNCIYETDST QIFSSHVVESLCKGITKGFEITNNNFLSIARKNEVFDGTTALVCIIFGPDPIDDKLKL VIGNCGDSKLILGYRSENNSISAKRLTRIHRLSDINERTRIEKAGGKVEFCNGAWRVL VKKQQRYSFDISAKCIGLCTSRSIGDIYMKEPQLLCISDPEITVHEIDFDNDLFLILA TDGITDFFSDEELVGIIKKNLRSSPIEAAGELTKEAEKKGSLDDKTATVIYFQWSISK LLNN cubi_03034 MSDTLNIKLDGPDAYLLEEEENVKRSVVLNNKLRFAIQSRNKPD TSKMVETSEFINISSLKRKRNTNNEQFSRNKLNSNGEKIESRRFGFLGVNDNDVQDLN KIMKLPWEKIPRYLYKSSGVDLFFIHLNNEIVQLVKWLELTNSEHILRTRALARITLI SHSLWPGSKVQPFGSYYTGLSLPTGDLDVCILNVPGDPKRRLREFASILKEWRLCTGI ELILTAKVPIIKYVDSEACISVDISLNQESSIDTTKHISECLRKFSTLRPLLIVIKLF LRQRGLDETYLGGLGSYSQFCLVLSFLQQHSSSYSHTLYKSTTLGHLLFDFLELFGIV FNYNSTGIRLKGRGEYFNRQTTKSESTLFLESPLPPHNDMGRGAFRYNDVRESFRLAF LDLVESRGRFNKMCSSFEESKFKSPEYYSIISSIICTDDELFQCRSRVVDDSQLSISA YRKPPHISNEIIKKVKLEMEKMDVNVNAT cubi_03035 MNTQNKSKFIRIIGDKRSINESQIKSVAINALLSENSFLICGLN GNACENFLYGKKFTEIPPEDVETKKNDYEKCLFEELVNNLFTQTKLIKQYFEKYKSNE IYFNKIKCSFVLISDKTEDPNSGCIYDVFQGLSNPVDVISDNGVKSLNVLEVDANDPI QLLNMINVARKLQFENLVTRKELFHTLFIFDIESAIYDKNIVDFYMFDKLYKHNKIYV LDYQCNFIESNTFVPSKFTKLEDINDSAIYFFRNLISIIAKTSSYLLEILSKAIFGNN YSSVIYVQCNGLNLFIDKCNMEPTSLLNSNINPWNKYNNYSSYSSDSKLLPLIKKFHN YCLFFRNSFLSLKSEESYEKMSFQDNNVAQAFEDLKLVLFNLCGKIIKHEDLLFNIEH IGDITRLIDNNDSYIDRAPIHFSIINQCLNDWYEESERNKKLTILGFKNISQDEYLDE NDTFCTDFTPGYINKLHQFSYIDDNNSNTFNYNLDFGQELTSYRKKNQSYNLNKSVIG INNEHLRVNNKSNAYKLNSFGEKHTRSYLSIPNYFKFKHSETPISKILLAVNTARRRV REYRHKQQAHKDLTGEFNHDTIKSPESNFLKDKFWNKTLSKRPIFSYNKNIEIGTNRF NAYSVQDKIEIHDEPFDSKKDAEVQVNNINFEGQSEYFCVTNDKVYKSNMNKIDTTHN DSIEISKNCAEKKQTIGLKLSNEGVGINNNLFKNSIGYKWLFLS cubi_03036 MNGFFRCFRKEGIVASNSKDSNKIFTSDEASTNFPNKCDSKCDY SWYPPISQGKLIDLVRCTVPQLSSDLRKGHLGRIGIIGGSKEYTGAPYFAGISSLKVG ADLCHIFCTPEAATPIKTYSPELIVHPLLPSSEEISTEEASKKSIDLIRPWLEKMDVI VIGCGLGREKGVVFITAELIKICRCLFIPIIVDADGLFVVAQQPELILGYKHCILTPN LAEFYRLEKSVKNKESDGKNEHSSSKHCNKKLSSNTEPKKVPLSHIEIQNHVSKINLS NDCSINIPMVLPLGINEERFPESLSPCERIPKTEWPSTNEDTVLQLHDDGEEINLFHQ TDLLDKQNVKLNDTSKLSSNPLNMCFYEHILNENCIRANKSNKKLDSNTSIDSQLTIN EKEFLCITNRVFELSKSLGNICIVLKDKIDIITNGDIVAVCNIAGSFKRSAGQGDILS GVIAALFNWNIKYLTKNRESEHNFHYPEVSSAYGSCLIVRLSAYIAFKKKFRSMLASD LIENIPYVFESIFEVNKHDLKEEASLLLLFNEPQSPTNDE cubi_03037 MDYSGNLNGYINQVSTTDGLPTSTVGNADYTFEMLTTETDSVHS NQYNADIRNNSLPYVGMLGYSSAKGTLKQVAVRICTLLKVWRISTQTDIADNLIIECL GPIDSIRAANDPIYQKNRESSEKSIRRRVYDAINVLISAKILDKSNKNIIWKGISSIN HILCSDNAQPCDNLPLIQQNIREKLVEYERLQYLYLSLKTIIEHNASTKSMNNEQKSL LPCSLVIANSKDSNISCIYRNNKSEVAIQVNSIVDFLDQYEIINRVAACIRMTTVDTS QLV cubi_03038 MDSDYSFSLTTFSPSGKLVQIEYALNAVNSQGRPALGIKARNGV IIAAEKKVASPLVEEHSIRKVELITSEIGCCFAGMPADFRVILKKSRKIAQVYYNTYR EQIPVCELVREIATVMQEFTQSGGVRPFGVSLLVAGFDSMRGPQLFQIDPSGAYFGWK ASAVGKDMQNAKSFLEKRYNPDMEIEDALHTALLTLKECFEGAMNEDNIEVGIIGEDK NFTILTPREIKDYLGEVE cubi_03039 MSYLLHLLVLSCLIINLCFGSETTGIPSGATFDESQLGDLTNID LSSIGGDGASFGDSEPVVDKDTPAPLPELTSDDEVTIQKTSESYEFQTEVSRLLDIII NSLYSQKDVFLRELLSNSADALEKARFISVTDDSFLGEQQELEIRVSFNNDKRTITIS DTGIGMTRHDLVTNLGTVAKSGTANFLESLAKGGDLNLIGQFGVGFYASYLVSDRVTV ISKNNEDEQYVWESSADGSFRVSLDPRGNTIKRGTTIILSLKEDATEFMNFSKLKDLA LKYSQFINFPIYIYNPEGSNKSEKDESGEKSESKGRWEQVNVEKAIWLRPREEITKEE YNGFYKSITHDYSEPLRYLHFSAEGEIEFKSLLFIPSHPPFDMFDTYMGKSGNIKFYV RRVLITDKIEDLLPKYLNFIKGVVDSDDISLNVAREHVQQSRIIKVISKKIVRKVLEM IKQIQTEQLNAEKEEANKPDEEKNKDSALKAYDKFYDMFHKNLKLGCYEDDSNRSKIV KLLKFHTSKSGDNTVFLSKYIEGMKPEQKNIFYISGESPSALLKNPLVSLYLKHDIEV LFLTEGVDEPCISRIPELEGFKFTSIEKGDTRPFEETEEEKNMHKRLTKFYEPLLKFV KDEFPGEFLKVEVSKRLVSDPAVITSGPWGQSAYMQKIQKAQTFSNKADYKNKHMEIN PNHALIKKLNDLVVSKSNAEAKALALKIIQLSTIASGFDLENPSEFASGMFKVILQSS GIDEKDIISSVELPEEVNEEDTTGSDQQEEGFNDEEDEKSGKNHDEL cubi_03040 MEDELLSILNYLDKWQLYDNVRFWAEVIDKLLESKEARIQISRF LVKDLNLQEAFNYLRSEGDKTKSRLGSNEDLIDGEVNSYGDYLTIKCCYLMGRLDEAE RMILKICSKPLTELADNCLISPSVSPKSWKGTPVTNEKKKSLSSIFSTICMNPTMLIK YIHTGELKIPGDSAGLYLWGNILEELDAKVSALYCYAIALDWNPVLWKSFQRLASLSC QYPHELVKICCCLNNWGEKHLEYVGTNSDALVISTVYKNEAECWQIKNIQDGNLLISI SDKSDSEELYVLNVSDFFYNVGDALQKRHKLRYQAFTKWLENSGIDSYSGPKWPYHEL ENENTASYKYKSNFSNINNKNSIINIENADENVKLYDSRFHSLISMIQLLSKLTHQME WYLCHNFLKTIDNIPDNISEMGYVRELHVKALFESNQWQECINICKCIDYETNYNLWI KCLDIYSSCLWQLSRSIDLINLSNLILKIVEKNIPQLWIVVGNCFSLHKEYESSIKCF KRAIQYDNRYVYAYTLIGHELSIIEKYDEAIQMYQKALKIDPRCHRAHWGIGYVWFKR EEYYQAKNHFNMALKVVPNNSTLIHYLGLCYLTTHDFLSAYNTFQKGILKDQRNPWLK YHAGIVLLELERYEEALTMLTAAHRLASNEPNIHLYLGKTFSQLGRKDKALRHLNIAF DLTKDINEKQIILNLMKELENNSDTEGRYCKSNEIRSGRILNSGTGYSSGGKLLSSFR NHHYFPIRNDPPNPFIHHQIQQEHSLPQTVPSPVISGSHSLLLTLMPGNN cubi_03041 MKEIDQNIKNYVSTYHRTSGLSKFPNEQENNVKNKSFAPIQITV EQILKETYSGSANNIGGNNSLSRLYNSFDFRDIDELEDYRIRKRKEFEDSIRRKRWKI SLYLSYAKWESLQNNIPNSRSIFERGILVNYENARIWREYIKLEITNGNINNARNLFE RVTHLLPRIDEFWIKYIQMELILKNYINVRHIYKKWIIWKPAPSVYIQYSKFEEECGE IESARQIMKELVFSYPDERNFFLYIKFEQKYNNLLSAEQIINMLIDFLNEIKGTKITH LFFSYISDIFIKEKKIDEAIKLCNEGIKILKDEQCIQSVKDKLFQLFKIGIDERPENT EWINHKLQYYRNRLFLNPQDFDLLFDYTMFIIQYLELDSVLQEYENVIFNYNINDILN WEKYLYSCLLFTYFFEINQEINSSNINKMYNYFIHLIKNNQISEVNGNESGIKSSELI STTGIEQNSILSKIFIYFSNHQLRNGNLNTARKILGIGLGRTPCTKLFDHYIDIEFKL GNFDRCRVLFTKYIEYDPISTDAWIKYMRFEYNLHEIKRVISIAEAAISMPELDSPEI VWQYYIEIMINEKNIESADNIYKRLLEKTQHIQVIINYSTFIISKLHDNELNRKFILG ILNKYKDRQMDYQRSILLKYWLALEESLALKNLDCESKFWVEIVNKLLPRTVVDINLK KKTYVFKEENYDFTQLTSIDKNNELKTPSTNKDDQAPSSLIDAAKQWKKAKI cubi_03042 MVNINELNLLSVEFNAVSAINNLESVFLPKHTQSLDNISKAALL LPFTIQKELKLFDKCSISSENIDNTNLDKNEISAERQLNAIRNIEYKLNLKKKFSDKK KRLNYVSNILTDKFSIQNRFFFNTKKKEKNKFYDYLNGEEVSISNHLF cubi_03043 MQNFLRPQKHYLSATLMTQKIKHYGVISDNILPNVDFHNLPLKK GKLVTSDIRECSDDYICQLYMFLKNVGIRITESFLYGISGPNRKTLRIPFCNGVKASS FNYFFFNSPNLEIVDLSNCYQVNNRVIKCIISNCKKLKELNICGCKLVTDSAFNTELF SPIGSCMTNLRVLNFEGCSQIIDLQSIIKRTRDLEVLNISHCRNITISTLEDVIQCCT NLKELDISSCDGISDNYYQFNCITSTNIEKISMSRSNLSSSTLDKVISSMENLKFLNL NYSVNVNDEIFIKISSKLVKLVNLSLKSCANISDKSFYYLGENLKELEHLDISWCPTL TSRSLKYLALRYSKNNVKKLKTLKLSQNTNLGNFFETDDHFTEMLDVYKKKIPKLNLD NQFNSSSDELSLIPKSNNTQSQQNCFYESRTNILQDEILEECITKLIDHANIKTKLVG GGLTPLSMCLLIKYNSETLVDLELEGLKNVTTSDVLEHIGMLCANLKNLSISIFENDD FCISSFDKICKNCINLNSLSLDISNIESEYHHSSIIYCISNEDSLLKVKELSIIMNPK IGISDENLDSLFSSCKFQLSKLKIRNFRGFSKKYIIENNSKFQSFFSNIIEISLSEND YANDDDIIFLLKLVRAPSYVELINFNNTSIDLPKYIWENFQSIKRLNVFNNKTKVLLE RFN cubi_03044 MQNRFEMAPEQKNDEIQQEDSWALIGTYFRDRGLVNQQLESFND FIMYKLQEIVDEHPPIIITPQSQYRPDEQIDTKVRYVLKFGQLSLSRPSVEEREGVAR GLWPNEARLRNLTYSSPVFVDAEQKVYRVEDDGSETLVHETVYSRLPLAKIPIMLRSE YCWTHNISERELQSAGECVYDQGGYFIINGMEKVLVGQERMANNFVYLFQKNQPSKYT WIAEIRSNREGMQATSGFSVKLRGSDGNQGQIVATLPYIRTDIPIAILFRALGVLSDK DILSRCVYDFNDTQMLALLRPSLEETFEFFSQDVCLDFIGKRGPTVGAAREKRIQYAK ELFEKELLPHVGVTSGSESRKAYFIGYMVHKLCLGALGRVPEDDRDHFGKKRLDLAGP LVAASFGQCFRKMMKDVRRLLQRNIDNGKEFDVAGVIRSASYITQTIQYQFATGNWGK DKDGKIIRTGVSQVLSRLTFTSALSYLRRLNTPLGREGKFAKPRQLHNTHWGMICPAE TPEGHSVGLVKNLALMCEISVGYRPYAIRTFLDEWGMDSIDEIPPEGIKRKIKVFLNG NWVGCFDDSEDSISNLRMIRRSGEIPYETSIVLDVVNREVKLFTDSGRSMRPLYIVGE DGDLKIKKSHINSLLNENSGFDEKMEDEKHDYTWDDLVNTGIIEYIDCEEEETSMIAM FINDLRIDRGYCSTYTHCEIHPSLILGVCASIIPFPDHNQSPRNVYQSAMGKQAMGVY TSNYNVRMDTLGYVLYYPQKPLVTTRAMTYMRFRELPAGINCIVGIMCYSGYNQEDSL IMNQSSIDRGLFRSVFFRTYVSEEKQVGSNLIEAFELPNPEEVSGLRFGNYGNLDLDG LIEPGNRVLGDDIIIGKVGPINPEDRDTRIQKLTKRDCSVGIRTSEHGVVDEVLLSTN SKGVKFTKVKVRTIRIPHVGDKFASRHGQKGTIGITYRTEDMPFTQDGIIPDIIMNPH AIPSRMTIGHLIECLLGKACAIQGLEGDATPFTKITVEEISNRLHSSGFQKNGNEVMY NGHTGKRLESRIFIGPTYYQRLKHMVDDKIHARARGAVTMLTRQPREGRAREGGLRFG EMERDCMISHGSAKMLKERLFDQCDAYRVHICEMCGLICIADLGKQHFECNACNNKSQ ISQICLPYACKLLLQELMAMSIYPRLHLQTA cubi_03045 MMTKHSGGYIDPLDDNGIIFSKSLSEEFNIFGSQATNVNISISV VTFSDPMLIPSNQKGKFISGKEMIEHCLRESNAEFKVKNYYCFYPKLWQNYDLYSTIG LNSPMPPFKKYHLLQVVSSDNLLPHQILFIDDDIRNCKQALQDGFIVLHVGGDTGFSF KSISVDFYKSC cubi_03046 MNNLISYEEGGVDASILLNSNNFSNVKRGFNASTLEIQNSLIAC KKELFSMKNEVLINLENGLKCFSTKIESLPSTITEKKSTKLKLQNAIQIVNNIIFLKK CDKDFTLGMSRKDYEFCATCISKYLKIKSSCVSFEKKICEELDNGRQTLYLQLQELIE EKLSAGELDKAIYYAKLFVPIGMPVEGLERYIKYIRGNITDICISMYNEALANYKKTS EKINYADIFTGLFVSIINCIKEHQDKILKLFVTLDDNEDLDEQVSKQTLDKNYVIWYF YTELLKEINTQGSIIIDKFEKEYEIYLDDKWANIENIDIIEMSISHRELDGFLEEMSQ ICHLFYKFKVYMNDLVSSFISINSRTKEIKNLKAKMVNEQIPNKNNLFIRIDEMSIQY VSCEYGYIIYSINHALNNTDEVSWEDKDSLISTLVEDVFFLLHKTINRCVLIGNIKSL KLIIVHLNHILLNVIKQKIVQNLQNSRANYEVSLGNSNESISNFTLSHLLEIIKQKNE NCRNLSNSMHSWTHSLNNLQACIENLEILSETIIRDFESSYNDLTYFYICIDGNIPLD DSEFKMRSEELEDKNSTIEYINKTIEQIISEFKVIHDYYSKISLQILSKISISPILIQ LHEEISFDFSENESKDYSLNQSFVPALTSSLKVINEHLKNYYNNQSSEFILSLMIERI VQKIEHIVINYPEKKRFTIYGALVFENDVRNLLSYTNSLLSISIRHKFLRLLEICDIL NITSLDELSDLLLTDFPSRNWHLAKSDILKTLFLKQDIDHNKLREVIKNSSHFFN cubi_03047 MPNDKVLKNDLPNEKNINFPKFKVGERVGRYVKIKEVGSGSYGK AILVKDIYKGRDYVMKIINISKLSTNERKDAINEVKLLSSIRHPYIVCFRESFVDDGF LNIVMEYADGGDLFRKVNAQKQLKKNFLEKQIVRWLTQALLGLAHLHNRKILHRDIKS QNIFISYNGLKIGDFGIAKVLENTGAFAKTTIGTPYYLSPEICLSKPYSWSSDIWALG CVAYEMCSLKVPFDAPNLKMLVEKITNGIFLPISNSYSEGLRRVIMDMLIIDSSQRPT VMELLNYPIIESELRIMKKEAYVICKSNVNDSSLISNATSTIEIISAIQTYSANTISR FNNLKTTSKLNCDELNLIYNNYNPIGKNEKTTEEIGSKIRIKSSMEHTCEVGKVQEVS VNKNEIKGKHDSNCDYIINCNKKGTNGSKNSSNAQTSTTASYSYDDKDSTANTKLNEI YKSNPTNENSINLFPFQVETVI cubi_03048 MDTITSVNTENDSSQQTNTVHSNAEESTQSFKFVMQDVQEHFKK ASQTILSRIDEMGSRIDQLEFMLNDLISEVESSSPLKEKANDQGDLNNSENETNDVIP MKNIEQVHK cubi_03049 MIDEYAYNFLFPLSSIGSSGTSVSSRTNGQLDDFDSSYYSLSNL RKPEICAYKSILRNNDLNNCDYFQSSVSQPSNSQDTTNDIQNLRDSNICYSNADEINK EIKSTNTEPGFIVALSSFLTQIATSNSSNSSCNVGVLTPFHSVCIPPIPIRSYLIRLA QNFGCSNECFVLAIIYVGRIIKYNRNFTVTLLNVHRVIVTALILATKFFDDIYYSNAF YAKISGVGTRELNSLEIHFLRLIRFQLFVTEHEYEIHKCCIIKSAIPHSAIPSLPLSM FNKKAPDDLGNNGQSKDLNFIGERKRLPLQNSSPYNCNYPTIYNSFPHVKKPENRDTQ SMLIAEYSLNNQGHPTSSLYARQLNSIFNSNTELSMNCDFCSKRPSEISINSELAPFP QCSQNDYSVFSEKWISQQYALNNPGSLNRKIQEKFDNTNKKRDLTKDDMFSYEVDVIP STQSSKIGSDYLSVNLKHLPTHFNNRYANRQADNTECTYNNNLNSSSYSKTEIQPSNL SQHQIELSEIQVSNMHPEYKNVINPNNTLYSNDMGFYGSINTSDINVFSAANAITSST VRLVNPTVNHYGQHIFLNPYSIRCTTGIDRRLLV cubi_03050 MSAKDVKGLTRKASGKFVFDSKTAKGSIVWCESAPSVLNDPDSL FAKCIVQVGSTPEKLLLKEVDTNTTFEAKIDSCYIANEPFDPNAYQDIGLVPYTSIPC VLDFLKQRYLVNQIYTTADPLLVAINPFKDVGLVGNDTIINYRDTNDISKLPPHVFAI AKRAFDNIMTVSRCQSILVCGESGAGKTEATKHVMKFFASSKSGTMDLKIQNAIMAAN PVLEAFGNAKTIRNNNSSRFGRFMQLQLGKMGGIEYGVVRNFLLEKSRVITQESLERS YHIFYQLIKGAETVPGLKEKLKLKNLNEYKFINPECLDVDTIDDVQDFKDVMKSLKTM GLSDVQIEDILSLVSGILLLGNVEIDPEDVGGGVEGSKIADSSRETFNNAMDLCKLDK EAVEKELTVKISYAGKNVIEGRFRPDETRMLKDSLAKGLYDKLFDYIISNLNENIKPP SGFKIFCGMLDIFGFEVFKNNSLEQLFINVTNEYLQKNFTDIVFEKEQSLYNSEGISA ANLVFKSNKTVLEVLCDKKNSILSAMEDQCLVPNGTDEKFLSAAYNNLKSNPCLRPAK ISGDVNFIVSHTIGDIQYCASGFLFKNKDVLRAELVEVVQKSPNKLVADLFKDVFVER GKMAKGQLIGSQFMNSLGSLMSIVNETEPHFIRCVKPNESKKPLDWLSSKVLIQLHSL SILEALQLRNLGFSYRRTFSEFIFQFKYCDMAAANDKKTDPKVLAERMLSNTNIPRNA WAIGKTMVFLSKDASKRMAQLQREKLAAFEPLVLLLEAVYLRYKLRREFRKNQLKPLM RIQAQLRRVLDVEVSVIN cubi_03051 MNHTEIFKSKNIYFCLILITTFIGYIFCSGYYNDDVISFDKARL IFSRDAMNFGAYNNPEIWKLADREINSLKQESKLPIIYQNSGGRNLFVSVEILQACER LLNRIELDPLTPQIIDNDLSIFNSGTKISFQDLGARVAREIQYCFLQYGSDVLEIPAL ENYVEKLKIWGINQIFKDEAAKKSLEDVKQKVRTEGKKSSDKFTQLNLVTSDSGEKEK YLIARRSKPEKRKLVTIDRKKITKKNENPREVELEHKEQKRIIARPKKDIRQITHGET KANYGFLGNQALASTKKAGVDLIKLINQDEISEKLRILGPEARLILAWQSVVEAQNEF NEESDYLVKNLPKVVDSSVLYQSCPNFSLLNFKNECVEFIMFRNSQITKGFLDGEPTL FFLKDGDILITSNHLVRTAAQELCSIAHESYLPEMIKNSESYLERIHTIQVQGQLETQ DQETETSIKNPPSKSQNPKSRLFNLYKKIITKRGAVPYLNEKDLIWDSLLACNSGECI NEIIYRDLSYWLIPPEYIQYIIFRIASESYADSLTILDFTVPTNSKIGNVIDPSGGKV VKICETYVQSLASSGKADLVVGGTHSDFDHLLQELCRDVELEVSKNESILSNKKLLIG TLYELELAFCGIMGVSPKGRSTEAYNSKSELELLSSGPVDFAKDVWAIEECGIVSQSQ LNYLLCFGSFSQGFISHIFPNSIESVIPITLLCRTLLNMEKDAKNPYDPHDFGTYLSN ALFEENLHELFPFSKENTKKAKKTTLSFFIELTNQFIMNLEKNMYINPKNTIDSIESI YSIRICSAPLFAFLPFDINIFPINEKLVNTLVQYGCRANINAILSPGNLQPCIGLQQN IINYLHVLAAVIELFTNHFIDPKKLGTNDIDIPVNSLCLWWKHPVNHPLNTVLVNKLP FMKNSEVSEYVLRYSLMMESMNFPGPVKAEKRIEYIYSNPQIYSNRAKDLPKNIIYPD FNPPNNVFDALEYYLRMDVIIGYRQLQRELDDKPIEQITYEFVSKKCPTLESWQIYLA IAFQNSVKTVMKMILPKLNEPNQFKIEIDILCRWILQNFPKDFNTDKFVDVIDAGESL ETEAKQSIPWLQPSVGAYLLSTFNVWSQTEYVLKIGGISKAKKLDRNKIKLDEFKFLF EKKAIPVINSNQEPKILSLDSLSPITRLKLNPFVDETLSLPNPTLESECDNLWVANLM NAFRIYFMEFQRKFIDPIFHGFFDPIIMCEIVKEIVKKKVFQFGFGDVFYRVFKDKKW IKNKQLVRVLVKNAGDWILQTFELPSYPTYDIIQCNKNLIECHKLSYSMENRPISSSL RLKIDEIEEELLPRLEITTFPSLSLKSIIPFLRSTDPITYYGNVENCAKLLEFEVRML VSLSSYLETISKSIFNSEVPQESTKKGLLEELKFEFPLDAMCNWWTSTKVTKASPNYS DSGVSWASLLSKHIIHFHFEKFKSNPEMVMEWKPWFTAPVAEALLFGFFNSINNNTKN RYKIINMNDIQGGFINQSQIRRLEVLETIFNTNDNYKFASSFHRSINDLNSMPLSYFS YLHLPSEHYEKIMNFVREEYKRPIGHSDIMIGRVSHQIEINMCTFIAYSISKHSELAK GNNWKITANNVLEAFNQYKSSDLSLKSWLKNLQLSIPNVNLSIQSVFILFTKYLKEEA KLIRTNLSENNFRSEYWGQVDSFYSLPLHANMKFNPSPPFGYFPIFQIDQNLDFKTVK IPNLGQNKPVPLMKFSRFRGRYWRSKMHINGKQSFLDDVPETIPSIWPSIGKVAEFKK DTDEQYENPKLDYIAIISELEVKSKFNCDGLFVWQVNRAAYWAGMLQRYIIKGPRLLK DNKELLKKISSIWSIKIKSRKLPKVTNTDKRNRSFNIFDMFFFYKVLNFFYNDLKGIG NNQKITASWEDELEKLPFFTINLFNQLFIQEYRNLNYEHIVFFFYEMLLEEKRIIKLK TRSDGIFITEKDRLKAIYHAPLFPEPKEYIYPGMFFELEPPLPCSWDKVKVIDSTIIP VVSINSDDLVSHTNAIYLYGQPRSDIQLREVNEIKSKFSELTKNGVFLELFDIGYLES LTSMTKDIILKKLPLAGFNWLIQTKNKQNSGISSKSIYWDQISKTFTNGLDWFIEHSA LASESTFISSINIVETITQVLSRELKVIPNYTEYFQDPSILPNIFLSFPTLSILSRGN AEMSKNLYNLCLQYLSGVSPISIMNKELLSFYGNSDGKNYSEYICNQVTNIWEPNLPF LLKQIRKIFLMEFIRRIKQEDARSGNKLIWPSTSTSLITADWQLLSEKSDNGEPIKSN NFVGFYNFHYFWDPALLLNAFYSESEVSNIYFEEEFTNNVVLEMSQTPLIELGSWISN AHSPLYSKNKLITYNTINHLLISQISSKKAIDLKKVESIKINEDDISTLYKYCFNWVS QTLIFSKEEKITFCNELEKKYGKTMIYIYSQSHQFEMIFNSLQLLVERHPISDYTNHL NMERSIKTDIEKLFNDAIFNSEIPQTIRVRALNNNIDTYVYIDPNIANYSFLQFAPLE MHKDLQNKNLLIYSKRLFLNDYVINRAFEETMSFLQLKTTAFVKLNIDENTSLSDLES MCIHWTDLHTNEIIVLKPNVDKLFEYSIPVVEYPEGIIGIVTINTINGNRKDVIKTIC ETFIDLISVNHLLFSSEKKNNIYSKFINDISKNYKTNLNEKIIGWHTISGIVSSWAKE YSLIIGPRIFSHLITKISVKSLNQLEKYSLSDFYHDTGINQMMFFFNYESFIRMIIDS AYEIGYLLITIKTPDKDLVPSGKQRIKISEIVLYCAELIPVLISENYISLKKIGNRLD DKSQAIDIKKVSIDTCEHMAKNNKRMLRNFAESKSSRNRAIKDLYNRIRSINREVGST SFAFIYK cubi_03052 MVYKKKEREKNLIRCSLFSLILLVILEISVFGEERILFKKYLSG LNKADQGGHLDGSELIDATTDLKFKQILIERTCGDKGTDYSKEGLKFVKNNLEEFSLN EYYKLKSLNKLPKIYSGKNEKLMLRSFREGEILGSPLRLRLRLLKDEFSIQIIEDDFG IFSDELDSNINIIYPRVMNEIRYTVLLCGGGLITMLPVIRYIKSLNSYGEYISNDPII LQAIGIYEREMTESRRRSAKIEAKYLTTLPYSDEIYTGKSIKGGGLIIGISDSIEEEK NLVTDIQDKQNLNGEYQGAVLGLNIRAKEDFFKKNRENAMNYAQGHFVYNSVFDFDSP NALFTVLNEKLSTFEKINSEFISNGRSTIPHQITRADSIKNTTINRIKSSLETLDRDL NEYTSEGSIEPGSLEPNTKMGETILPGADPLNPESIIIGKRIRPNDQISSGSIEKATI IPESKLKYSELEPIKGFPVNEKVNKVIAEILKELDNESYLDDLINLKNSKVYGEAYRR FPAYISQKKIIPISDQAYVFWESLRLSNNVMGKKHGITVSNFPEKENIPGDKILPNKI PVTGKEITKSCYRLIRYFQKSGTPFIFRIASKIQGSKDYNVDFIDPVEPENNKKYKLY AKMFCNQILPEIFVYTFHKVFELLISKREQKYFNELDEKRLELEVQQKEAEALKKIRD SVMSRVHITPYIIEKPYERYFNAWRRSFGYNGFIFYPQIKISILEKMYNIVKFGPQVI EKKKKNASPDEVKSSISKALVRIIDEYFASINTMDLFLHRSQVEEFLNKIFSNLFNIS MIDLIKKMPITPEMDIKSHGSSLEIKELFVSCTDYIKAIINFNKNINKITDDLDLEGK LGKNNSKKSNSKASKRDFSVEIKFLENGYESACMFLTNLLKPNIIEYSLLMGLNEKIT KKVEKIKSSFIHQSLTDSSSTIPFELGLKMFENSYMDVYKRVCEQHGILHFIPENEYQ YFDSFPMFNEMSKLTRVELTSNTINFSEIEQIFLSVFESIIKSKKDGRRLELFNENIM ATFIFEILNEKNVNTPSEIKSLPPFSVSHKYPWSPFFNKGLNQWCTSMINSLVEQKLL KNAKDDKVFDKNDIEPIIKSTCKEEVLLRIRNNIEYEADEILRRNFAYHLAASFVYWH YYIPLPKWIFFENWESIYSSKSTIKLSEEPEISLVTFILFKCIADLEYTRNTPNGKEM LKIRRDLSNIGGNSAPRNKANMAKLNLWSNTPIKSIDGERLSLAYFFFPNVIGRDIIA KITPEDVLAFTGPHDIFWVGADEKEFLPMCLNSIEKLKEYLSSKKLDSNEEINIWVSD DVNSRELFCRDIAGRFFYPRSFTNSDSELPKEINSKQVFSIERLKLRRSQWLAIQEAI RRRTEKEENKTEYQGGGDQQLISLNVYSSFVVDFQESDEQINPGSFMKNCIAAFDTAM LFPEGSPYKLKILNKPNETIRDICDESMKLFYSSAVPMDWDEQQSQFNPISLDDIYSV SRIALAQHNVISEQINEQNELGDYSIRSSERFNNLFSSLIRNIGVSDSTSKFMEICSE YIWECIKRKLLFLGNGYDISNSNELIENVCKKSSYRYFVSNVPIKSEEISMEINSGRE ISEWQRTRYKTVQWKMLEKVFDSFANRYPGGYEKAISKTFKLPKYMQLANFNNSEDIF TVEEDCKKAMSYLIRLHSCASNFVIIFGKVTEFCNYVSQAFKQRNS cubi_03053 MKLDELFYILALILYFLIFLGIVESSSIKTPKDLADKEVAPGLP LLNDEEVYSWNTLRDYVEYYENSIETIRKNYPALEDHLIKSQWFSIIDVLQCEYEIDQ DKRLLPAKMKIKDLPEIINDVKFLWKEKNFLHVSLEKSEFIQRCTSAIRNLILHKSIT VESYDKSLLKSICNESSTMFFNPAMLYEELKTKTDYEKQRIPISRLTCIDKHRIRIGQ WSAIVHLHALDLEDGRDRIFNNESSSEEDFLPYSPPDSFLTEIEVENFELICKEVLSD YYSRIEDKNVILEIFCKDAKDIYYNNPLDVHAKYAIYGTSIKKLVLSEIKKKAGKVNP PSLMALNLMEYRSLRISEWGVIVEQLNIDKNSKPRYDRVVGNISIDPRPYGFITSLNS REFEEACISALINRVKRQEEFMKSILNIETNSEKMELDEEIEWERQHSIEVIEPDTFC KDAAGNYFGINRDSFAVLRELPLINPPTFIDPGRGLLKSDLPPKILDLNNERSAQGSE RINDINWRVEASSFLWEKLIKSRVHSRIENAITNIQWQMIKQQANGFVFLDIGIEGFY NGIEDPPKSIFSFSDDPFGMYSQCLHAFSESNRRKTHVNYFDRLPKLDYKWTISKQIS EELIDKGINSENFESFKEYSTLVKQSLCMEAVKEYFENANDCPVITDEIELIKYNVKN TFPNNPFPDAKDPMLADPDGELEAKEQWEFIYEYSLKSRNRKLSNSDYNENIIIVSQK MPFSYLEFRQLEWRTKHECYQDMAVNCIQSSWRMVESNWKNQDVQTDLASALAIFCDS VAILYFERKKQWRQLIKLMETQKYSPSFFWVRRGFYLPKSYDLLKVSVNNGKERRINK EELEIYKKSLVNSILELVSYRYLAPLTVDIEKLCNSLAIDMSVATTRGIWIGLVPVDS EVLIEMERLSNNNIHLEKKDEAVGYYPIRNQFSPRKRVVRGVSDSKSHRLKRDKNEQT IKMTKLKSPIKTTVMPNIRLLQYKKIVEKDEDDLPLEYPIKSNNRADWRKYINRGVDE AKQNLNQKTIQDFYKVDWARPFGSSDKYKYGYITYDSRVPIVNQRIPFNGKIQDLGYG DPQISRRENKVKFLTKVSDVYRRSPDLYRGVQKDDQSVLIKSPSLLVGQKIANIPLKL EEFVNVPDIPRTKPLSKEEILERAKHIQLDDSEFEESIDTNKIIDYLNNASNNDFSDI EALNYKTETSKSEDYSVKDYSLPSTEIIHSVIKSSEYYKIMAECIPHFGYTIGKTLSE KDLMKGARFVYRCLRMDGHFVTPQTAYRIWIRSQFLRRNTPKVPLTPYKEEMKDYIKK RKKNDNQIYCPGKLQDKIDEMADTIATAAYINGVFPADKMIQICNIARDFVYKRIGTI TQCVKAFKRHISRLNGEYTINHRLFPTICTHIEQESGKNLFSSN cubi_03054 MKLLYLFLALFLFALQFIADAINQDKSNLARSVAASLPLPEVSR ISKIATKYVSGANIPEVVNKPDRRAKISVVNNYKSAMFRRQIWSEVLKTLSEPVIGYN VTISSYYSKNPPPVQAFMKSESPIEINSQCLNTFNYFYVYPNLISCTPSPSKELLEII FRYICMKSVKRIFATWTGSIFILREIIEKTLFSIKNNKVPQSVLNGLMSEYYMNPFKD FVPIGNKLGKHVNMDIEGNHIWQFIVARSKLLYNIDSPTRAPRSGEWAFVVISGNQTP PEFLYMREIRFMNTDSVQLITAIIFNMLLFKVKNPLQFKSFEVVIEDHNKKIKNSVNS NLLLAFAARIATYYSHISSDWNDQIDSLMEIDLEEKENNRDQTFIWNSKPLVSLAFEP DIVIPDQLVSNCVRYCEDLVSRGIVSVVGVNNEVDSFSFLQKKLENICKKLQNKILPY VEIYVEPEKNLPKSILKSSIKPSDPNKRKLRFNTNVQVSIYNKGGMVGDRAPIGNFTL GASLKTSLIRHEGSSTAMPEIPTGTKKSQTSKLDEDSNSLIDSIDSKYFQREDLFDKT PYPFEDSDSATYPSNSENLKSINSSNMVNHEKKSAQ cubi_03055 MEFSSQDSQAQICRRAYIPNNSKDEVKELIGYTKIEESEDNIKR NLVFRSDLCSEKIYNILQANNWKNNDNSNKKYYTHEETPKLGQASLKRSLVYDSSLGC CVPLYTRKPGCSRMKLDIIGYGEAVNYGTQMEKASDNLVGLRLNSSNIHRNFNQHFSG CSTNIIGEIRDDLGRLSRKADYEMSKVNKSSVHIENNFYMPEKDSSYEKNTRIHHSAE LKCVGCHEISSISNSVYLSINESLEICFKNSDNKLIYPIESLGVIYQVAKKKENFSIK ILIYHPNRMADLSKVFSDYGNFKDCISKYYNIKLDQCISNKPGINYSTDFKFSIELPS KEELEEINIKNRSGEIFVIRKIFKFKITSSTENFSETQVLVAKDNSTIESTVTSATTT NPTTTSKELIAESNQNTSSNKLDKEVMNLNEIIYSNTLCKELSSDEVIEAIKFQNTFM LPDIIYLEVVVLLRRVAKRIDFFRSAEESLSIIMPGIGGLLVSDIHCEESLRVSLVAG ELTIPGVGPNVFPAICQKLQQCLGDQSFHNSVLISLSKSKKYVDFALKRNRSFMWETL NKMVGVLGNTSGLKSYQLWLEMEILIKNTIPDEDVLHSDLPKEMILIVDLIKNSKSQT VECTISVMKIGASYDIRLSQISEVARICSRIFSDSSSYLSVDEMRLISDTTILSRIAD WMIGTFILEKHIPPGVTKPAACMYLSAITSPMDYSSITNFLWPRVVFAISSSSSSALS FTTRSVDPENCRKSLIKILKKIGDKIQINIDETCGKMANCILNGRIGRISVDDTINNA LSQSQQTIKYDFGTNSASLRLARFIFESKAPKKSKRNGFSKLSAPLRGSVLFGHLQTI MPGGFSINDVVKFVHSFTKEAPPNGPAKKCFKVLIKYIPIFISSDNELKTICINAFGP WIMPDILVSDVTFSQSTISSEIIV cubi_03056 MSYKLQIIIIFILNLVILDHCICAEFADSLENIIKGGYNEDEFN PLFVEELNEIKNDPENKKKDNFYIRLRSGQWFALKQQVEYDSSEMGLKRVFGALPANP FSGNIFTPSLNEVELGDKYCEALLDMRNKGISAKIGLKIAKDGLIRQKFCSDAAELYF NGLRPRPATVAQKQNEIEKLGNIEYFDFATIESIHSKIDTLGISKGARPRQNSKYGSA LSSQEAELITKQTLLRNFLHIVKQLHLNTLRKDPLRLREYFPGTLLQLRDAIESDDPE VVAVKLLRLGPTSDLLEQFINNHRGRRDLLLLKTNKKFQKKKSGTFDEWMDLISNTDL SAVTLPALEYLAYKHVALTPSIITLIKRLYDLIVKERGAKKNYFIPQIIEALDSLPDV VKADIRVFFELNTKHGYYRINTLEKIRSMIMIIQPLLKTFNHFSEEEFKKVLSNTGLS QNEISYFSRNISKILLTILERRRLQIKENDDDDDLLNNGPIISVNSIIEMIQKMNNSS LGAIMDHIESVVPNFTNKNMYSEATEQQRKEIEALVKLPSSPIISKQLYKESVLRPLL TDAIPNEYGMLDKFIRGFYILMTETGVRLQFYLRNGFEDKALDVNEDKYKSNKVFLED NMRHNSELWFGSMKVLLEESLFPYELATRRLLQYIKECKIGFKFGYNEEELKKRSSEI TQEYLQKLLDNFESVKLTEKKLNPIFIGEKGNMLLLPNRRHHLINYIFQKDGGSLYKI LKNEKLDQILVGLVDLLEEIQIPKKEEVNIEGPYWDREKNEWVNADKMKKNDVEVIYE PQKVTDLPINREQEWERIALFSKWSEFVKGIPINSIPNGFKFSFGLYSNFIYFCQRGI RELAYRLIVRDKPTNTEPEGKYWEYLPRNKYSILINADNSKAREEKVLSFCSAVYISI YSEKGIPSEYKGSLPNVWSKETDKYEKEAFITPKDKSKKLRYHLDDVNEQWSFIATEA RKPGIFTAPTIPTDSSLLGDVWRKLYVDHHIATSSVNEVDAKISILADMCSRAIQSLR QKKNYYGEQLYKMVYDGENSIEIFCHDVSRRWFSQWPSDISNDMLLSSQPDNHENQAL KPFDRSYLKSKKPAERNIREGFSRTTKNISPIVMEEFNFNKILKKAHSMDEIPSELCD ESVRESCSHRMAGSSVTYSTSSVNLGSGSMLLNTDEIKGANRRKKYTEKKQKNNPSED FLSRNKIINIRDILDLPEPISKNRKATSNTISKIKVAENESAINRKKFSTKDTKTNKT FSELID cubi_03057 MNKKKLLNRRMPNSIGGSIATYYFLAFIIFWVMGVGDTKDENIS PNLHLRDNIFWGIIKNELITNSWINLNVIPKEITEGSDGITIGTINICSSNKVKVKKQ ISIKCINRLHILLDYTNKKDKGYSIKVRKGVNFREALARFCLDVTNKYLNKTSKICKD KGANGTPNKERKPDKGRKLIEKNKLINKIPITNKKSESNKDLNKANVLKIIQSVLFDY KDSLTPSKQLISQIRKPKQGEVSKMIVRRDLKSLAILLSNEKQEDALSFINVLINGNN SEEIENLTFEDIENKLNSKYNFSQNDDKSRPFGFMPRPEDEWNAVVSWIQENTNKIQR SGSWMIKKTYGKKALKFPENFVASKGVETVFIDNCFKSLRNMAYKVFVAPNGDKYYKY NKENDYGLIIEGVNQNERIFNIKNACKEIFERYYEYLGIQTRREGEEYKFKIKGKLNF LLPLKHDRIFLNKLLKSFYKSINDREREWYAIVNEARISPLVNSQDLSEEVIPYTFVD EKIRRESNLEKKESLLSDICFKTINTLRKERSQGSSDIPLYNIDVGSENEVSIAKFCK GVFERFILRHIEWLKIIIKSIDSDHIKLIDINDPYLEIPEVFLFPEDARLRENCITSL IVVFEKNMQAIENGYPVKVYPQMDYLGEPSDMIENITLFCESLSGKVIPKVHKSKVTE ETAQETVRIMNPESKLIELIRKNMESNLLLNFETKIENRIDKITQNDLNSNTFRLENW KSHFEEVSSLDSHIESMINILKAQFSEFIDYEAGCEKKIRRYIGHINSKSDEITDTTW LENYLMETDRLKGDWATELQSMENLHKSMLEAEGELERYVNTIRSAVYGDERQKEMPQ IRKILKEWPKELSNKERVYIKHKIDSFLSKQKHESNIETLRYEQLERRKAFRINLKKS LSEQTQDKPLFFDMENCSKDEDEDLVPIYPDRETKEEEQIRYDRLSSIESVLSDYRNR FSLINSSLNEQLGKLESFLDKARKNFQTAWAESFDTALALQLQIRTRALVEEYVRASE RYLLIKRVKADYEYRKSIVNEFQADPSFEVMLNRASEMIERADEEESELEKELENLHE QKNKLHLLVEEDMQMIYSQRLKAIRDSKELIRAKIDHEKKLLMLEEKVFEDQLKRDLE YYNIKEISEAAIYRAEQLKTLYEGTNWLLNFNEKLTISSESQFREDLEKLDYVIPLKK FTDPKDENDERFNLDILKANLRRHKYSYNEGFDPNDIEDQQELKKEAGAFYNDDQTQG QIPQAAQTLLRIFKEKLVIRLNDIDEINQQILQLNLNMVGSFQYYDYNREMAYQSFRE LKYSKGASNLFAIILSHFEWEHIQLRWRVLQLTKSILNKKESKLNEISELKSSLDNKM REFEAVPLSRKLVPIGTFSSIDTTTNEISGFRKSEEDSLELLPSNIPSYLLSDEDFNQ VRSYDLGAKKIILNRILFTLSANESRYAEFLKDTITEINKRKKVAELEIQTIRSDLDE LRSTFGYKMLSKEDRDLEEEKFKKKITKITESKNLVPLLAKKEILIRKSEKIDLFRNK VQNLFQDPSFTSEQARTLENAINEFFGTKSARFDEINPRIKLAEEINKLRCAEEEITE LEKSTNSNGQKQENYAKFHKLKIRIVEIKENISKLNEEINSINEYIQEFQDKHFSKVF ETN cubi_03058 MSQFRDTFTKEEKSDSLYDDTAFFFFFGTIVICILIFWTYNVLS KVLCPTRKFNKKEFPKSTPSGSQIQYCKCSGCVNHVENIRKKHRSFSNRFSFTMILQV ALLGIMWSLTYYMLSKYSENRQIAQFNPYEILEITPSSNTMSIKKAYRLMSLKYHPDK NPNDPTAAAKFMLIAKAYQALTDEVARSNYEKYGNPDGPTSMKVGIGLPSFLVSKKYQ LFILCLLSLIILFVIPLAFIIYYRKQKKYASNGVYLTTLYFYSAAISDSTRFKALPEI LALSTEFRSLKKNNPEDDKVISHLANILPEFKKRSFNNNSPSFFTAYYLILAHLYRKH SELTPSLKKVLENILNKSIPLTSSMLEISISRNFFHTSTSILAFRRSLIHALDGGPNA SFLQIPYITENEVQHIKKGKTAARNLIEFIKQNPADRKGLAEFNESQKLDIEAFCNLI CPISVDSKVIVDDEQDIVVGDLGTIEINIDRKNLKEKEACGPVHSPYFPTTKYEEWWI FAVTKGSNPQIIGYTRCSSNEKTVNGKIQFLIETPGSIDISLYLINDSYEGLDQQINV NFVAKTIKEGVRQIYVHPEDEALDNEPTLFQHIMNQLDDNQLSTDTEDEDDDAAERSS NNE cubi_03059 MKFVTLAKIFAYILLLNFTLTNSEGGDEGIASRFPILGALFSAA SKAFDKVTPDECYQLNKRGLTNGSIGLILAALPYKPNYPIMIFKDGWQQPDYLISAFL IEMWHRFRAAESLFDPTRYKEASKLLGAQAFWGSKDDKILEGPPQWDTWWSELGGFFT GVNELMEILKKGTIPLFVSTRGLQYNTRFLLSTLNLYSKEKLETIEYLRGGTSKDGKS FSCRACGTSTLMSSLPSHLGVFTDVEGSQSYSALNHLKLLYERLENNERREYEDEDYV ESPSVDEWGWDSEHSYVGAFIIYVRKLQQKYHHTYNSASRSGRVMCGVHVHDITECLK NTFKYGKKAFNIPTSPDSGTSERKVFTEFHPPWYGDDRAWKEDPRGQITRILQFLDDE DLNTTRWDTLDYVVAAAFQYLRIRRHLYQVWSGGWLRKQTSPNFIKRLFKSILRIFRL GGKIPVI cubi_03060 MGNNTSTIENVIQQSFGVCLNVRQYINNNNFNERYRIGGIIGTG QFAEVFYCHESSTNNPYAMKVIYSTDEVPINRIIEEVEIMKLLKDHPNIVSIIDSNVE HIDEDKYEVKMVLELCKGGDLYQYVLANGAMEEKIVQKIMINLLDALKFIHKNGIIHR DLKPENILIDEFFCCKIADFGLAKRNTSTDNPNKVARSKSLCGSDFYLAPELVRQQEY GPEIDIWSIGVLCYVCLCGGLPFHDNRAFDLYHKIVERGVNSYLFAQPAWKNVSSAAQ HFVQWLLESDPNKRPTAENALNHRWLRTSFSKQGIHTNTNLIHKMSHKSSFSNKVDSS PVTIQSNENKNYISNYVYDIYKY cubi_03061 MRKVNFFFNLKPFSESLKKESIECVKEIAERMMEYLDTFGEKIV DQKKFWQTIDYKLVRRVVGKINHFEFWLENNGIMEIPDVILKEYIYLMALVDDSKFID VDKTDNVLTSNESPIVEDGENKEANIDSLIADINSTIMQTRVILSEIEKLGKILEQKK SQLNNRIKGQQIVQVFSPIIDDLKIKYDNIRNEGTMKSFQKLINEFCSALEERNELRY RLVHGEDKIEISNSIGKKEIDQEVSIDQNADMDSNNSQNQVNDSVNSLQEKSKRYTSV VDKNAMKLFARWSLPNHPG cubi_03062 MGPKQRKSVSSVSSQSYSNSPWKALTRIELYQVLDQCDIPVSSE KLLKGELIELLEGNLGEEECRKWLEHYEKPLPNSDFGLNKNESTIPIKKQIAAKERKS VPAYIALANQNSHVLNSTQNSPLNEVNVSKTLRRRNSMYTNNSGDRAKEISKEYSELK NFEFISELSNNTQSDFHVPNDTEFHIKNDSLNKNKLNETHKLSNKNLEDRMYKSLGQS MIVKTKKALRYMNSKLIIAFLTLFIFFALYNHYYYFFHEPFFCNSIELSEKTINSPFC IKCPPNGHCKDGKLKCNTQYKKAVKYLNNRWQIVCVYDNEAFDLAEEMLTFITNKLRK LRGNRECSGNHLNDIFFPDKRKNSKILGFDRSIVLSEKEINEIIHLSFNYIEQGTVES ALSIMWNSIKTGNSLSRYGLRVVERKTPDSNQGLTTNNKKGQSNEEERFFEALDSETS IICEAKLFIQKWVVILVFLIAVFLPLYFKIKRRRRKAEILAKIKSIICRENRKDTTGL FVGPDSETILRLLRLELPKYKKILDEQLVLEYCDSLEQSDPNIHKTLMSESKHPFYWY SS cubi_03063 MDLSDSFDIAKQSGVRGGAEQFNWDSLRSIPRKEREHYLGHSLH YNRSREKSRFKRNDWYSKLYKSKPEQKSASEFQNERERIINKEQKIMNKLLGIPDNTE SSEYIKDESSKPDKSSGTNIQEFQEIHISDEKGNRERIHINKKEFCKNPKRIKRRSFS RSLSF cubi_03064 MLSEDEVAIYDRQIRLWGLSPIHFELIKNIVLAGIKVSIWDNVI TRSCDLTYNFLLEEEDIGKERIACIDKFKEMNPLTKIYTANQNETDVELLCEECLSKV NYNGILVSLDEEVNILKAKNISKKYRSKNTFVSFSVSIGTRIFLFFNNKSISFDEILE SNFSDLKQRLNSIKNLHPYILIILFILRERYRKAMMYKIENSELDEIFKEIKTTPSID SEKALEIHKTFNDTWGKTISPIASIGGGLLCQEVTKFCIHGFEEYFCCIFDMELCEAV TATVKV cubi_03065 MNHVENDVVVYSSSEECKVYNTFEEMGLKDNLLRGIYSYGFEFP SAIQRRAIVPIVQGRDTIIQSQSGTGKTCVFSVGALEICSKSKENVPTVLILSPTREL AEQTEKVCASIGDYLDIKAYSCIGGKKLKDDIKALSSGVSIISGTPGRVLQMIEQGHL ATKKIKLLIIDEADEMFDYGFKIQVYDIYKYLPPRIQTVLVSATLPDEILDMARKFMR NPLQILVPKEEVSLDKIRQYYVQVEEEKWKFETLCDLYDTLTVTQSIIFCNTRNKVEW LSKRMMENHFTVSFVHGELPQITREEILREFREGKTRVLITTDLWGRGIDVQQVNLVV NYDLPTNKELYIHRIGRSGRFGRSGIAINLITIEDESMLSLIERFYSIRISKLPGNVK DLL cubi_03066 MVLNKKDAIQIIKKYFETAELNSKIRWDEAARLLGPDAPHDAFK VLSTGEKRQLWSEYQSQSKRRKRERERQTKSESINTYCSSLNEWVLRNQGCNRILLFR NFAEDHYKSIWWNNIEDKEKDEIFQEIVEEHERNFINVLKPNYEKKVNDFFELLKLES DIFPSFEPNNAENLMAKNVEDIAKKNFTAFGIWEDIQKKYGKEQLFNEVYKNDIIDIY IRLLKEKITIYKQGCLKTEIQLCKYRRMFWDIIWSDILSGKISPITKNRKNYFFTNSK IMDEKIETLCRIISKRIRHQKSESYFEYFEDFLKFIGFHLSIRKDQEVILALLKQPMN NGMNLYCIDMFEYIVYLLQKLYDIILSESYIYFKSSEKIQISFQQFKEITYSNQNIMD FKTKYIFPFSFESVLDKILYKAYCDEFKHYSLSSLLKRS cubi_03067 MLSMAESFQEVVLNRLNFFSSEQGYIRSSFSKQDGNINKLMKAH YEYYKPRDINRVTKPVSNNALSVYKAERKSNYLNLYEHSQTPLYKKKLRDELNISNTS NYMERNNRIEWTPKFKLNKVISGHKGWVRSIDVDPSNNFFVSGSSDKLIKFWDLSSGI LKLTLTGHVAAVRKVLFSERHPFLFSCSEDKTMKCWDLEQNRIVRNYARHSSGIYCLD IHPRLNIVATGSRDGSVVLWDIRTRESIHLFKNHKAAVSSILMQSIEPQLITGSYDRT IRTWDIVGGKLRDTLTRHIKPIRALAKHPIHYSFLSAGADCIKVWEGEDSTYLKDLSS SQSIINTIAIKSQENNSIVLAGCDNGQLHFWDYETGTLYDTIQSNIQPGSVEAENAIL DCRFDKMESILITAECDKTIKIWNLKGDELI cubi_03068 MAIFKSGSPADLRKFIDKQLYITLNGNRHIIGNLRGYDNFMNLV LENTTEVSDTTKRSIGTTVIRGNSVIMWECIDKVKL cubi_03069 MNEFGISTKIIGWKTVDGKVVYRISVCGQNSKYEIQKRFSEFVL LQSLLIERGLGLLPSLPPKTLFTKNQDMNFINGRMKGLQSYLSSLILRHDVLLSPLFM NFLELPTSESKPLFMKKLVNIQALADIASIRQSVSGVFISDSDLISAPLLFVSHQENS SLSRLGKVWSIIDSEETGFIFVWCLQPYSSKSISYPSISIDHDTITNAFHNLSNSETI DSSKFGCLVYSGFPHKCKNIVSITKKDTICIFSELGTIDVFEGVLSQLRSRIVSGNNS PLVLNECIKPTKIQLHGSPIAFVYSPFLIDNNIKHKYTLSVGIDNSIRLFCFEQMKII SGGNLNKRINGSRITSCYLENEFGKLGFFGSSSGQLLVLDMTSQPPYLITNINPQIDC KYPITSIIASKKLLIVAYTNVIKIFGMEVNPKHVACIISDNQPIRSIDTNFFSDMDIG TISSLYIYEDEYLFVGGTDVLSLFKLNINEKLTPPVLLFSYAVHAGKINYISIIPDDI LKIGQFSNQNIKLLTASEDGRVIFWRICNLPENQTFYFKEDKEATSEQYFEEKKIESE KIHSLENLRSIELSDSSDDDLSSAFR cubi_03070 MSSLKEKVQFDKRAAEALSIRAKYPNRIPVICERSSRSDLPEIE KKKFLVPMNMLVGEFKYIIHKHITQSSNTQNSGIKIPVPYEKTIYLFVNNTIPKAGSL MQEVYEQYVSDDGFLYVEYSSENAFGGYSSVV cubi_03071 MYPLSPRNCFGTNQNSRVASSEIISGLSCAYPNSSNESFINIKD ENNSISSEFAPIKVKLNINRSNSNISEGPDNNRTAFKRTTTNESHELNSKNKDEIFNN KLTNNIFLDSFVEKNVPNCEFQIESYANLSRELSREQLYKIIYQKHDELQQMKLQLNE AKMKELNLVKNYELSIAKQNELKTINSSLENLVNQLRNKKNHQVNSITNYLKLENFNN IIFEKLDNLCLSIAKLKEFASSLTNEDTINVNEIKQLKTTIDHLNSHKEINSEIEYVK KERQQLIHLITALSSNTNFYIDNHFCDNELRNENVKQINVSLCDQIQILEFKLKRLNE IHRKKLSKIKNMIEILEKSEGLSSPMLQEIKDEINFIT cubi_03072 MKADTESTQTLYNKAFYVWHEEFLKNSDKTVNTSPQPLAFICNV NIVDLSSSETFAGFITDQGYLYCWPWPGTITGRNDIKINPIAITSVDEYVRSLSCGYN HISCTTENNNLYIWIFSPESIKYNELNPKSLANPKTLCNWEFPIEKIKSGTNHVLVLL SNNDLYCVKLPEYSEFKEIFDSEIEVTKVNIPEKIIEIQAGKNLSLCLCENNTVHYWF LNSEDGSHSAINQSFTQKIETDTICQSHTCINEKCNFKIVTASVMNDMSALGIIFDCE IEQSNDSSNLNYFSHIFIYNFNEKTISMAKYSDNYNFFKSFHWLFGHICAVFSDDTIW FRDKTQINEEKNDIIIRPKGDTKLFFASANGRCLIINILQNMFDNSPTSDAGEPEKAI IETGNVAEIRGDNKIECLAEALNDENNTFAENLIVCNKVGEDINLNTNKSTSMKTISS PEISNSIFPSNTTFEASDRDGDHIHNESREYAILEDLKANDAQPSYQKPTISSIITKK PQKHAFLRKGEGKMKALKTTNSEKSDSKLPSNFLSNKLESNSTNSMRSNSVNLAFNKK KLQEYETRIFNLESGNRRMIDLLQETKKRYLADVKALYNQLKEKSDEKFPLKSVVEQL QKELFIEREEKSKLKEDYESVKSRLQNEICNKNIKKDLLNTQLVIERDRLLKEKKDIE DLYQELNTKSVNLEILVAELSSKYNQLQKEHEKLTNKYSEIDQIHTSTVNQLSKSELE LYELKKEFELNKANKNTSVNELYEYIDELENSLKDIKAKNEALSKKTNNLELPNLTSQ NTKQESEIKNIKEYLMNRIKILKENKYNELKRHESTKFNSEICIMMDDIFCTFIEKIN DSQEKNNLLKKKVNILEKCIKMRKDDVNILVENKN cubi_03073 MTTTTTKKPDVGDVEITPIPIEKALDKFTKMDYDYNSGLLLGPD GEPIPGSQAGQIADTTNLFPVQTHKGTGLPIDPMVGLPFDPKSGNLVHPYTNQTMSGL SVSYLAAKNLTVETDDIYGLPIDTLTGYPLDPVSLIPFNPETGELFDPTTDKIINGTV AGIVSGISGNQSLSENSTNIDPATKMIIGEFGGLVNPTTKTMIPGSLGPSEQTPFSVE IEDGGIIPPEVAAANADRYKLSIPTSVPDSVPEKDQKLDSISELMYDIESGRLIGQIS KRPIPGSIAGDLNPVMKTPVQTDHSTGKPIDPTTGLPFNPPTGNLINPTNNNTMDSSF AGAYKYAMSNGIKTDNVYGLPIDELTGLPKDPVSNIPFNTTTGELVDPSTGNPIENST AGVISGKPGYPPIVSEDGTSYDPSTGLPIDKNNQLINPETNSTISSSTSGTTKPKPGV PVGSGGIISEEEAKDQADKGKDGLIVPPTSSINKDPVTNTQYSNSTGNIIDPETGTTI PGSLPGALNYPSFNTPQQTDKLTGKPVDTVTGLPYDPSSGEIINPGTKLPIPGSVAGD EVLTGVLNITTDEATGLPIDSQTGLPRDPVSGLPQLPNGTLVDPSNKKPIPGSHSGYI NGTSGEPSTEKDPSTGKPIDPNTGLPFDESSGNLINPETGDKIEGSHSGTLMPPNGVP QGENGGIMTPEQILDALNKLPSNGEVNISPKPGSDAIEDKPTNTWWNRNTGQIYKANG EKTIPGSAASIIHTVFGTPTQTDPTTGYPSDPSTGLPFIPGFNMLVDPQTGEQMKGSV SYASLYAKQKNIVTDSAYGLPVDPKTGFPIDPISGVPFARNGELVDRVSGKYYSGSVA GFISGKAGTQSKSSDDQGNPIDPSTNMPYDSKSGKLIDPETNVAIEGSTAGVFAKAPS TAAPNKGGIIPESVAAEAAKSHFKNNIEDGKEEEVPPPESSNNIAIQAAGGASAAVGL VAAGVGAWYASRNRRQDGEDDDDYADGFEAEYEEEEEEEGDEAANETVVTIERDSSFW NES cubi_01248 MIQDSERGSLKFELPKLQIEVGFWKAASNFKYNVLKSNEDSFEI LGFYNQETNIIRIRQESLNANNKDYSAYNNSVIGKLSLVNSLSTVLCSNDIEHDYDYQ LESTIENARKWSNSSTNNQENFPFTFKMCIYFDFKTQIVYYSALFPNLTIHFNIESDK QSEFGIKITSSLPEYSGLSVNLNNIYKNSNSNALIATLKERVTINVGNHTNNETNIEQ KKEFHCPWFFSTLLTILLFEEKYNRPLNTNEIITFLKESSMNGNIIFDLRNCFITNKH VKNIVTISEKNMKELQSEYILLFDLKSYLTPHELQQRISTMNIDLIKWRLIPNFEPIH FKNLKFLIVGSGTLGCSVARNLIGWGIRNFTFIDNSKVSLNNPIRQCLFTLEDAKNKK NKAQAAVERLRYICPDIHAEGIDFEIPILGDSTLSPEQFLNSVDKTKNNILDSDVVML LTDNKESRWLPTVLVALLNRYCDRKRPILCITVGLGFDSFIVVRNTFTEADYSASGCY FCGDFSINSKNNIFDIPVDQQCSVVRMGASYFASSIAVELVMNLSQHPLTWNAPHLSN EFNKSEKNNENKSLLGTTPQCIRGFLADFSFCTDPIQRNKSCIACSNELIDQIHKDEI NTLTEIFINPRKVESISGLSNFKSKVEESVIENFN cubi_01249 MIRSNNGSKTWLSLVKIVFLFNFLPKLINAVDPSDTPCGKGTYF DGNQCEPCPEDTVGLTSNIYTCLNCPINSSTYGNVGSDSLDSCICNSGYYKLEKTNNC ISCPTLTQQYFCPGKFDKDYCQTNEKLKNGGWWFNDYSTGIIMKCPHEEACLPECKGC KEGYTGPLCNVCTSDYFPLDYGIEKECVKCPNQYLLLLIFYGMISLLVFISYGIARVS ELSIKYTALSRRNSAVVGKFKNLVFFLSISTAYGIFVKYKDVDFKDMDDFFIFYLKIL KFLAPNLWLPIGVFPSKCLFKSFPIIMQSVGQDNLTDANADLFISNSIPILGIANIFI IFTIKHIIDVSKIYKENDTKMELSAMKVMDSSARLDKFNQILKKQTEKSTYRFISTSQ VFLTLIYSTICWSIIVSMTCTNIPGFGTVQSQCYKLPCKSLNKDALIGYIYYCTIFPL INIISSFLIRKKIIRQNQLYTISSSFLFSGYKISVLYWESIRLYIVSAMIHILNFNYV FARYLILFALSIFSIIVFIESIISPVDNSDVSEKNGMDKPWWLFSTSLMVNTIVSGFT LIIIPCLLCIYSYYPKFEFVIEITIVAFHLGTILFMFQQVFVDTLFLIHQYNKHKQLY GDKEQILDTEESSDGMSLYYKHKLGDNEQNNFILGLLKSPFPEEAAAQMLYLIEQGNI FDCEAKDIGKLLYSAGFYEPQGQTLRYLIPKIKGYRSNSDIPVDNEIELLGEVRKCIM NTVRILGIESAVKNSQFRAKGARVIFSSQQFGESTLQSKTFKLLFPDALPMVYPDDEE FRLRIIDARMVAKEIRKERNKKLKEFNNDGIYDKVFGFNIPVRPDVKELLEMKRLNEL ILPPRWDPLDGRLDHEFDHARYIKIRKQFEDILPIAGTSIISSEEVERNFMKWYNEGL SIESSKRYDHTSMVGSKYLIEGDSEVKDFTFQSRNGKNMSVQNNRQGTSKSITKKKK cubi_01250 MKLNLSLFLFWFVGNFAVIFFQISECVQINTLILSSNGTTLQDQ KLKEIKEHLITNITSNSTELNEEVLDNTIEELTDLSNEIETKLKELKKKELIMEVDKK NIGVFASITMIALVLLFYLGVAHMEDALKDANILYGDNVSPQNVIFDPRW cubi_01251 MGRRAVLQLRDRLIKQFNLESQVGDLLLGKRKKNDLKVTAAKFE RNETERGIIYRMEFENASNFFLNGLDMSNSEVIESETLNNVQLKAKVISSKKSDLIET NTENKSEKDISRSSEECNYCPNLSNLKSLPTTIPWLFELNMNRLFPTMHLLWIHSSII SIPSIIILRNAKQFIFNGADLMAGGIIFESTRNITNIRKDQIWTIKCIGDDYPIAIGV SLVDWDDISNQIIRKGKVLKVIHHCNDFLSLEGNMDFNEKKNFNEEKETKLNNDEQKL TSEVTKEFQNISLERNECLVLEKNQGSLEKYTNEFQVPENELISSSKQFEYVISQNNC EKQPEELSQDAYDFLLETSLLKVISEYSSNKNLLPVDTSAIWDKISRLCLHNFGIQVD IKKSSFIKVQKFFQYYSKKNILLIKQGRGGALNVVDINYEEIKKIENSLDNKISLKLP PIKKKSFSGGENTLSKISNSHIEVVLLYQPEQNFLPIVDYYNSKEMNHLGRVLKIKTS RGEKEQTFISVADAKTALEYYINSNNLKTSDPNSNSAGRLSNIKLDEVLLSLFNKYLG DKSINSQNKVIPISLAYREIQNFLKVFHYIRNEGDPDSENRPNIYKGPCKTVEIYTES RMGTRKHVTIISPFISHFNLDPQEVAESCQKKFACSATVSQIKKYPSNNNLGIIIQGN VVSQLSTFLNSRWGIPKSYIKQC cubi_01252 MIGIFEPELRVLELISPVGKWLKITRTTSKLNEMSPIKQRSTSI LRSYSNKSSETDYSVNTTNIGNIFKTTEENLYIGYLGSVSTKEPANIQGISEGSCFNA YALLGIWRYDKGITKCLFVTEAEFVTEIGQNERIYKVNDVLFVPLITKENDFSSNIGK ENNEANFEELIECEFEKKLESVKIAEDMILSIFRRGGFYFSTNSNVDITRNIRQSIKF GSKSGDLPEERFCWNYNLLMPLYEGGSITSKWATPLLSGYIGFTRMHFQQAITKEKED STIVDFLLISRRDCRRQGVRYLCRGANSDGNTSNSVETEQIILVRRPESIHIYSYLQY RGSIPIIWRQTPNLEKTPPVEIYKGERCQQVVLNRHFNELSRKYCNQSGDGDSNLESN IKENNGKILVINLIDYKRHELNLGLEFEKYLNKVDINDIYCDSDSILKKDQISGRPIS KDEECSKNSNIKFCWFDFHSECSKMRWSNLKYLIKKLVDIGMDDLGITSLEIQLRDKV GDLSPIIGIIQGHYSSSDNEFKVIIGNIQDGICRTNCIDCLDRTNVVQSVIGRRVVHN VLKQLLELKLINFNNNNQSEHGPAFEPIPGNNSSNENTFRKIWSDNADALSKLYSGTP AQKTDFTRYGRRTRKGALQDSIYSIVRFLLNSLIDGYTQDAYYVFTNQCSSPNSDLGI KKNIHCSLLKNKNKHLSPPVIIALGQYSILIIFLLLFPIFQLLFAYSQTLSGNLACML LDIIKIPSKLFFHYYDTQNSQHSYLNIIPTFTDYLLNPNECSIHYISGGIISVILIVF GFIQFLKFKGSKAATKPLLDETNSQIWKKQKSNTD cubi_01253 MNRSESKQRRGELVQFYFPFNGNSPVYLEIMGRINKQTDNTDKS ETFNINNEEKGLLLYNVPIFLTKDYIINIFEKFGSIKEITVNHYDSNGNGLEIKNSTI NTENSWVYIIFNEKDSIQKIKDDSIKKCASSIRKLYINDHDNGLLDRNDLRFKNILTR ITNKRANAVLLQKEVNSYMTNYDIEKEIEEENLKLESTIPDEDGFIKVVNKKQKAPDG TVIHSFEPERNLQGFKTKKQINKKEKKKVYEDFYRFQIRENKKKEIQKLNYS cubi_01254 MREDIELLCEIINTHFGGLPAIIIRKLVVNGPLPMDRLYYLVLK DQETSKHFSSNSKDNFSCFRNSMMYLVHHSCIIYYEVADPNIVLGNSDKEKNVVFEVN IDSIISRLRFPLYMAHIEKLLGETCKYIFMEVIKHGRISTKILISELSEALENIEENI NMLIKYDFLIVINDKNQTINLAESDKNNGKKSSGTAFTDLLFDYNDECFSNSESITSN FQGSDFNINQDNFSGIETKKNISKTNNGYLIDSIKNKILSINISGLNNSLYSQVIEEM VKTRYNNLLSSLIVRVMSDSKSLKRKSIDEGWTIEEISNEIFELLELNQALKSDLDIS DDSKLKSSVLRVIDVLTKHSDEFISYNLSSTGTIYRLNISKIKSIIKYKVLYEYIAHR VGHLGSRVWSMMCNPHLTSRCDNIQVIDFGKTKSSLSESESTRCSKIFVPFPKYDIKK RVYWDDVTLAEKCLLPNNIARNLLYSLGSEKFIRVHNSDTVTIDNSTVNSNNDSSNSS KLPMVDPISPNSSQTNKTSYSTISQASLSKHGIFYSACLESTNREVQLKFYRILLNIL TRCKIQNNQILNFEIRSKHLTQIEMEYLEKLSYGLNSLFNNITQIDKLLLILTV cubi_01255 MDSLNDHPKIQEHWLWKKNVPFIYDYLFITPLRWPTLTFSIPPV RFNSENMEIEKKNSDTEHESEISLYGQLLIYGTYSTSVSSSTEGRMADLSSQEKYITI SMLDIPNFDNDLLICDGLSDDSSKKKLEKPKYLPPDINSIFKIVVNDDPIKICSRIDE SKNRILVASKMLRGEIWFHNIGINEIYDETFKVRARASNNKEEEYEIVTLYSSDHGNS TILNHEYNSGKSGYGMEWGTTNSNWLLSGNEDSSMYIFDVNTCEAIFKDQSYNISCGI NDLCWINPTLTPNIFSTVNHQGFLSIYDYRCNLKNSNSNENNPVNKWSISDSPCVSIS AHPTVSNLISVGGYDGNIYIIDLRCFSNNKQINSLSSHNKGIINKFKYNFEPVHRLEW HSGGNGLLLSSSLDGKACIWDITRCSNMPIWDQIESNNNRVSRNNIISNSSPNNSKKS LESSNKSADNSMDQEVDIKRSLKLLINNNSSNWTKNEKSDGPNELIGVHSGHTGQITD SHWLFSPNDDSWTVVSTDTLNGLHVWSFNEAVFTSENDLIELSYAKQTNLDQFSIYST KDYIQNLVDSL cubi_01256 MEDEDISITGYLRKNLFKYLISFLIYILSGYYLGTSGIIIVTII GLFLNTSSTPRNENQLSAYGILNPGFRRILGDLRLYQLERQLFNRYIESDVEEEEKND ENSKEKYSDDEMNYPISKFSNKKCPKCNSGKKYKKCCALLLKN cubi_01257 MLKKQQSMSFSSLQDTFKPLEYDSFKQSEIFEQSWQSKGEDKIN TNENININNSRLYSSQLMGGDLGNINLNGLEMKFEKEMPILKESFIPNEQVYHDIPKF LMSYSDLYQNQASQYEGVDKFQSSKFSNTMLNTQPSSCWSNNLIEQNYMEANTNKMYR LKDENIFLEEDDGILDVLSHALSKTCLDDETLEQINSGDEPCKYNFTNSISSSPTSTS CQQTILQTSGTNCSSSLSNSNLALNQYVLGLRVFKLVNSNKKQISNIHLNTYDIMNLC SQFGNIIDININNECHCVTYENRESLERALNSLQNLQISSDGDFIHAYIHQTSNTMIG GLDRSMNPINNKWSNDFSSSNFPNIKEMCNTINYVDNIGIFGNTTTSASTSCRSNTAI SASGASTTTNTSTNSPWNSFDSSITTYERNLWTAWLQDNKSNQNSISSSILNENCDNS NIQIKDIIEIGDDQIGQENYTVKQNAQNEFQNIINSNCINNNINNNNNNKNNNSNSNN NNNNNNNNNNNNNNGHLNNTITINTSALTNNKINTITYSSIVGSNLNKNNLVKTINQN SNGQNNENLLINTNNSIGNKPNNQDINAFKLTKMIGKLDEVNSDPVEIRSTTGNLTSI ITSNQSPTSTASSSSSASSSSPVTVTSCQNSSVMLKKYTARYEIQIPPDNQFQIARRI IGTRGINMKRIFKLTQSKLRLRGKGSGYLEGYNKQEADEPLHLCISSTNSEQYINARK LVERLLLKIYQEYDDFLLSNNDDHKTLNLQLKFKETMRTKQLSSNNSTVSHGNNTTIL SGVESNTNEDDVLNEFNF cubi_01258 MADPDLGEMLNSKKPIVLLNDAYWEPKVKEWVPNLKIDELDEEQ KNIIEQKLFVVVLTPSNISRCATCGRTITKGTYRLGVPEYDRRGMYGIINRWNHINPC SQKYLKKAIQGYEFSLDEIEERISEVISGWSCLPIGIRDEFLDLCTKEVIADSNEFSI ENSDDLISRSIVEVKPTPINLTYELLQFQKEGLAWLCNQEKSIARGGILADEMGMGKT IQTISLILEHDIPPITNKIEKGEIIGKNLVIAPVAAVLQWKQEIERFTKPGSLKVHVY HGSKRNKNHGSKGTKQDYGGVDIDDADVVITTYPTLEAEYRKIVSAFKSKCSYCGRSF LKRNLKIHLKYFCGPNSMRTAKQALTERKNEGLKKAMRTLKIGLEEGVDKAKQLEDIA RSLPTISNVYREILAKADLLDDDSLSSALPWFTSRRSRNQKLVKEENSDNYLKKTDKK EKVQKSGTIKEEKPEENLVSLLEWGGLKLPLKSETVKSIKEFLSNIENSKEYLSTRFD ISVQILDDLIELYNNCKSIRKAELVEKLSNILRLSSPNSESIKVNNLDLNIKKGSNTT CKSNKRVNMKTSNSKTKKKKYNDDFDDYSIEEESIDEYTEDYSESEDEQGFNTKGKKN AKSKRKRQVDDDEYQVVEEVGEIDDEEDDNEEEEIIQKLRKHSGIFERSWNRIILDEA HRIKARTTSTAKAIFALKSRGTKWCLTGTPLQNRVGELYSLVRFIGFHPYAYNFCQKE DCDCKQLNYVTHSKYCPFCGHSRLSHYSYFNKLIINPIKRYGFSGEGSEALRRLKEEV LDKVLLRRTKVQRQEDVKLPPLEIKVIHKELSAPEKDFYTSLYQRSKVQFDTYVNQGT VLHNYAHVFDLISRLRQAVDHPYLIVYGKFNHKSDLEYKKEYKEMGNDEDKDNQSIND EEEKVDIISNKVMPSKSRADNNEDLCYICMDNVTIDQRVTSKCKHGFHIKCIKEYIEQ APQEDEIISDFETESNQLMRGVLGCPVCYVPLTIDLNKISNLGIRNKRTKSDFSEIND EENDTNDNMESEHMQEQIERELERIEMAKQLGINVNEENFKQEQKEKKEDILSCVRNK FITRQIKTQGFESSTKIDTLLEEVNKMIQEDSEAKGIVFSQFTNMLDLVSYRLKKANI GCVMLAGSMSILQRNSILYSFNKFPDLKIILISLKAGGEGLNLQVANYVFLLDPWWNP AVELQAFQRAHRIGQKKKVTALRFITKDTIEERMFQLQEKKQLVFDGTVGASNNALNK LNSDDLKFLFQN cubi_01259 MSSLQELFLKDLEDLDCAETSGLNNKDNISENTRYSDTELINKI SNLNEQIFGNIEKSSIIDPNLNNRLLDTDDKIYNVFQEIIDMIGMIDSKISEKYEHVK ALYKDYFSELSSIIINKTDYLEVVKRIISHEKFEDVKLNDILPNSTIMTITISSNTNK RNTPTLEKKKSIIECINFVNQINGSKSKILMFLQSQIEAIAPNLSALVGPEIAANLLC ISGGLKNLAEMPSQNIMILGSLKNNKKNGHFSTGPVRLELLQSIVSQSDIVRNVPDKY KKKAIRLVSLKCGLCARIDLTSAEKIPDHGINYRSYILDILEKAQEPPQKPMKKPLPI PKDFPKSRRGGKRIRKIKEKFKQTKIRKEMNRMKFGEEEEEYTVDGKTIGLGLLSAGS GRRIRGLQVGSLRNPNSNSKSGVLSGGDSKLGNSSSISFTPYQGMIF cubi_01260 MEKINYYFKALSQELELLNRIIYKFKNQHGRLGYFKSIFRITKE LKIFCIALQETFYNKNSTENAENYYKNLRDLRRIVRRIKWDLKDAGTSISRLLSHGFF LPMVFLLFSTLSRVFSVIINIKVTIDSTIPTIPFKPSNVNQNVHSSTNDNKSNYNNGK KSTNLNCRNSELSHFGYFNEEDDDLGEIVSYNSLIENSNTIHNHENDTLSVANNNDNL ESNSGVKDLLVDSTSEQTVNKEICTLNSLENNTEPHNNDMNESLSIEPIANEVNVFKK LIRAWNIHMILRSKRRKLVI cubi_01261 MNATQNIDIEEWQKEFFSTEKSVFSQEGNGINSTQNEKSFLEQK ISEDIQIFELMNPDAFNRIPMIYEKKDSKFIEENWREYRRHLTQDYLKKSKQANRKLK KQSI cubi_01262 MEFEITIANCISCDKLDNDDIEKISTLLTKKQISVEDLIVSLEA ELVSPIVSQKKRGIEIIEQVLWNYLPNEDFTAIHIKLICCFVLNYISDWACVDSVIGI FKCIFSSQDFNLLKNIKIDIRDDENIKDEYCFNPIIKLNNEFDAESSDLGPGKIINEG GYFKSYTEICSYHKFINHFNIEDVQNDDELYKMSIVFYLITQALYRISTRQLIYSSRI KIIDFFLFIFSEKKYYSELIRLGPGIVPIVIQHIENEKDPRVLIKAFPLIQYMIENFQ EIISLYDNQHIKNSLTDSQNKSKQEYDTYQLYTGRIPKLSDEEKKRLELIYSSNNLEE NEETCEESSGDLFYGSDNGILSNLISEVLFSYFPLQFQSSSNQLPRTGIISPKDLKEA YFSVITCSYLTQDSLIQAITEYIDTQGLLENIINYDNSHFEDEKELEKYLDSDKINSE ILNKPIHLRQADQDILIESLTLLGMIKYDLNPEIINKYISNVFLLINELLKNTVVSTE ATYKLLLNIFFILINIELKFKNGQKIVNTAINQFIIPKLLLKLNSEQQLDLFAFNILD MFILTNNQAVIENIKNNFMLIDIFKNNFLERIHINSKLLITLYILTDYYNNNENFQIS DINQSIDEEITDQKPDSKDSKETDNYDIDCFNLRVNVFIEKVESEEKFKENVNLENLE LPKLCLFLILKYILNDYKQLINKFFQALDFHWYNFNQRTEVEKNYITGISAFLLTNNS ESRAHWFSYLDSNYPVISEEDNFSIFQNSNSTIENLIYTIVKEEEVPLDMVTIFINKM INISIFDSISEKMSLDKWSLVNYKLSNVNKILNSKKWNNIYFVNNFSIKCDHWLGTLI DSISSITDYKLILENKNLFYEVSKGFGVLTYRLFKHVFESNNQNEEIMVYKDEMFEEI KSKLDKTVNCWLVFVFINEILIQILSNHVINDLLLKRLTRILSDWLNKKMDNYDACSF NSEETYRLINLEVEKLVINLLVLTKEGKNKIDSQSIENKENNKLFNYKGKNKIIYNKT LRYYLFNEIGNFENNYTELKRLCDKILQDYCDSNIIKNESKIESLYSDLFSMIYVTGS DLNLLKNPNSNDFSISIGKFKYFFSRSNESNNRAENEFQLNSSQNIYSKIISIIMNCN NNNTGKYLFELTKLKIDDFTCGGNIQNSFLQVNYTNKEIKSTDLSKNAPLDNIEELWP EVIPLTDNCYNQVNLNNPDNQEKVLRVNQIITESFADIYPLNPYIDRDSYNYPLILVP VISSMNNLNIKNIINSFSSNSIHLILMLSLIECTNFDKYEPVKLENPNLVKYLTEQTK KEESIIDLENHIKEIKKLLMCKKLSKESGIWNKSMHELQIHNLQSLSILIRILHYSRE NKLMIYEKRNQNKHSLDFVLDNICVYSILVSNILENHPNTLVRFVCNLIISQIFKFPT VFRTNIKDYIVKSLTNSSNKDNNKGLRKMSSILKLKILTLNDHIY cubi_01263 MVSKDSLDSFSISNSSDENSLFLEENYSNNITISDDNLEDLISE IEGEISSNISNLSSFEENSSIDNFSSDSNYLNEESENNNSEDNFDFIFKKCNNDLFFD SSGFSKNLSGNKIFNYSINDYLMLEPYLHYDEEFDQKDEILSNKCYLINNLKQELIEN EHNFAVNGNKRIFQDGLIELNNNNFGHPSSISHDNSGLIFVGTIKGFCLIYLETSSKK SIVIDPINGFFSKITSICISKSENKDFVFLSLATIDGNLCVWKLNTVKIKEFLIHDCV LAKHSENESLQKNLLTDSKKCDVKGCLGSTQIYHIKSSAEEKEYSKESEVKDFVSTEV SNKTKTKIAIEGVLDSDIANLLNNSPKHIKHGILNHEFIEIRNQEFVNKLALFISDLQ GNLYVTLLTKRSENNVEDETNEINEKITNDWIVQEKIFLYNSEEDIIHQFRNLPPPPL KKNGPQESIQINSGLISKREIHPMDHYQFYLVAGRKRFLLISMLPHPALCKIVNIIED LKKMNIEIPENETNVVYLSWLRPNIVSKNVENEQIKIRILASISRFVCIYDIKSFEEF ENSDEIKVELKLSAVWTMFDTINGASALTENIIALLIGFRGIYIYQIIEDYDGFNSTT KLCKNSNKLNVIKQALIEEEDQNLVQKQELDNMLNNSVFDNSKSFKDAYLIIKSKPIN ITNQVNEMLVCIHKYSDNNKKLFVVSQSVTNDLQESIDLYGQSFIQCRAGKSIKIVLL LNDKVISILMLFKSWIPFLENEFNINMEQIKCLVYDDVSWTRLSTSFISLYEKRLPSL QTWINIDKKMILNILKNIFNSLLDSVAGFHSKIEVNLFETYIKQVIKLIIDSSIRLKL WEYLFEELIPVIQSYNFIQLSNNNKDKSEVISLLDYYLMSVIERYIGKEISWELLEGD FLKLLINWYKNRILKFEKSKLENNLNDDNQLKTDDSVDVLFNEVQFIMIRTLKMDYSA TNLGGNGNPWLVFVPLFKKYGIWTSYILLYLFSRKDPIDLFKRIMVQMYDCFIERYAI GNQNCKTVCMNGNNLFQVIDIVKSQKQLEEDSQIQNYYYYIYSLIFRDTYPFNEEEIK DLKNKQLTKIPLNLDIEEFIKALCEEINIEKLNIIKSNCDLLIFISFKFFVYILTELK KIKNSLLPLTEEVIGESDEEKEKKIVKFEKLYLIMEDKLELFVYKVLKIDEIDNSEKL NHLINSDSPLKKNIDLRTDILKDIINKSNKFGVSVSYLLGNYLNWVIFNINDYNIKKE GDYKLVISCFFKIVEKLNSSFELTHCISNLVKSMEYNLIMAIIKSNRNNEGMNFLNIL LSCLEIDNYYTQEDELLSFEHTQPQNDYNKVEMNQRLSKILKKYSLYNLSLYLSIQVY DLESILDMYSKKHFINSNILRELYIMDEENNNILFSLNYILNTIEKGIKFKVFDYDQV FILIIKYTDLLIKIDSLNTIDLIIHILNRCLDDVFNSTSKNSVSYYVQIIMDNLNQKV KKLLLSSLLYYKNNSNNCFQEEFLLSDKSIYKNKIELKRWNELVNHLIPLYLESFLKE RRINDSSVLNILDYWYQSSVKYDELLNTPFEKCFCICRKYNNEYGMIYINQIFSVNPN LYNPNICESFDQIFKFCILRFSNYLSKIRGLLENKFSKEIFSINAKRVTKDKTILYSL DPEEVNSLKSRKELYTTWEEIIEFTNFIYLYCFTNYNINSNSYYHHIKSGSYIDFYKN ILKSLLIEIESISERYDNKILMEIAEELHTKKGNKISQNLDFKLLGMDLIVVLYYILV CFLLGNNHYQYLLEKYLIKFGFLSDFLFNSKLNRLFQNPISSYYIFIKPILQLIISES KPKNDEKISGNPNINYPCKFWRHLALEMMNTKIFIDKCNDEVGQLFQNDLLDIFHSLV NTIRKAITIQFNDQSNYFINSHEARYLDDRNRTHEKYLKYGLRSSCYYCKQSIFIFEE EDLKFKTKNNSHSYSFNIISNGINDFSLKDNYFSIINNKNGENTIRIEGSTQQKFIVN SNRDEDDTDEENEILNTNKLYVFHCGNVFHKKCFYNSINHKFGLSNNKKNDISGDIYI GDKESNSNCLHKYRVSFNY cubi_01264 MVISSSNSSYNKDGKVNRSKIVPYITREMSISQLQDEKYHSFIQ NTLADYQASAFNAQKIALEKDQELKELKDSQNSDKVSLKYYEEKCKQLENELKETSMG LLYGRKEKEQERQIAKMSSEIVSFGKKKEELETNLKYYKDREMYLEHELELKENELYK LSQEFASLTENYNSTYSELEKCKFDLTTEQNNNKSLENQLIIKNDEITKYKASLSSKE VEINNLKNEIQKLNSMIEINNSEITTIREQLKSKQKECLEYSNKIQQIELDMRNNLNE NEILIKDLNSQITLTRNDLAQKVELINELNSQISNLDLKLQESSKNLLEKEKTISKLK TEQQITSLESDGLKTKIQIIEQEKANIEQEIETILVEKKKVDEELKDTINKKNSKLDE ALTYRIESAVSAEREISQLKEKNKILEFEKNILQEKLEISNNNIKIKDDEIQQLKNTI QDLDHQNTKLENELHTLQESSRRESVENTFRSSRASPNVVSNQINTSSGSKIDSNNNL ISNESTTATVLANDKIVNNTIDTVVEDSSIAFASKSIYKPPAKSISKSAISSLPKPKS KSAVKSAPVADEIKVGEPILVSKSETEVKPN cubi_00885 MGYNFIQNNDTIFTLLFNETYLTIKDWKGQQEYYSPYTNEKLAY NNMNFTIGIGLSRLGLYIMNSKLQSLIQIQPQYDYSYNHVKQLYNNQVISNYLLEDGF FYPNTLLYEGYQKCSLYNNCLTEQLECYSQVLTGICQTLSPGLHLKFETEIKTTYLNN GTWGEIFQQSDLLNTYIISSNNQDQYIVYIYHNRIAIQDINNYISCSGPYPNGNLINI KSNLIWEIGFDSYYSIFLNVYDQNDNQYYSICQLKAANQKSLNLIANTNPELIITSTF GIESIDYIYTRGYNPSNTKFTQYINHFPRGGYKSSSSNSNLITSYYYPYYDQSINEYN PLHNYGENYPFFPPGIKPAPIIGNYHTTPPGYYYNKTTSQFEKNPDHPNPNELNPFQP NHIVDGISECNLYLFSTCNGTSAKIMPIDYNLISIEEWTLFVILTTGIPNYDQNNNYS GFNYKYEFIKYNDITKDEEIILSLSLTNETVTFKNHLIDIEKTVSAPQCGPYCSTYPK GFFAFWLTYDKNNDLYEISINSNSELLITLPGSKQNINKIITQSGINSNNPSYNIWYI TNVKKTPKLISTTTTTISPWDKIQQEVCNITEIWIPCKGFNATINPNFNLGDLISMEF ILEKECNCNNKINHLGNQYWLGFDLNDDYNKIFSIFINESSIIMYDWKSHQEYISPFT NESLSYDQMNLEILIGWSRLGIFLMDKNHNGLIHIQNLPEDQINKIKQYSDEQNTLVK FELISREFLYPNEILYQGYHTCSFYNDCNTEIMSCSSQALIDTCNKPRPGMSWIIDTE ISETNVNNGTWGSKLEFPTLINVFKINNLTDNIYSIYIFNNRISIQDEHNKISCDGYY PGLVDIKIGDHLKWSIGIDHSYLLYLNIHDIKNGNKNYTVCVLPMDISSGTLSSIYPK GYSPLKSTFIQQISGFPKGGYEKSNPIGFYDANYNSSLGIFEPDKLYKKINSFFPVGI NAAPTFGDYQNTPPGYFYNTTSSLFETEDLTLKDEINPYQPREFIKGLNECNIELLSV CNSSNINFRSNNSNLIFGKDWTLFVMISTGIPIGNNILSNLDFNYKYNFINDINGEEE VILSLTLRNESVIFKNEKTGDASISGSPQCGPYCSTYPKGFFTFWLTYNNESKKYLIS VNSNKEHLVEVSGYKSVFNKIRGELGSNNGNVYSLWQLTNIVEVPVSISTTTTTTTSP PWDSITFDNCDIEIGTPCKGYAGTIQNNLKMSNVIWISTIVHNGNIILPSSGEKYWFG ISLNSISSDNLMNLFFNETFIILIDYVQGKQYFSRYTDEILIYEGLELTFGLAWSKFG VFLLNENGNSLISFQTNQEYAISKIIPLRGSRRQVYHFLLSGGFLFPGNTLYEGYSTC SLYKDCDSTSTGCSAQTLTNPCGKPQPGVSWIIETEILDTKVNNGTWGSDLELSNLLN IYFVNNGVEDVLAIYLFDNRLALQDLKKNVVCNGPYPQDLSQNFGSSINWTLSIDETE MLYLNIKQKDEIFSVCAISFGNHLIPIVYIYPRGHAPATSNFTQILNSIPIGGFEPTS KNSKSGFYRPILNTTSGTYNNELYNSTTPFLPVGINPVPYYGTAENTPIGYHYNRTTN QFVRNPNNTNVDEFNPFRPPYIMNGVLECDLFIFDTCNGTSARIMPENTIFKQGWTLF TLISTGIPTRDPNTNSQDPNLRFDFINSELGGNTEETVLSLNLFNETVILRNERTGDS SIAGSPQCGPFCSTYPDGFFAFWLTYDPEINVYTVSVDYNKQKLVSINSANMKFNLIR PSCGNSQTNCGIVYNIFQLTNKQIIPRSISTTTTTTTAIPWSSQVLDECKLIVDVPCR GINGVSVTPFSQGNILWFNTTITSSKDKIHGLDFSSLHWFGYQFLLDSNPIFSLLFNE TSITLTDQIAKIEYSSRYTNESLAYPEMNIIFAIGWSRLGLFVINSKSEGLIQINSLT DISFNKVSLYGSEATPSNFLLTDQFVFPKQILYKGYESCSFFEDCPTEASCSSQVLTG ICNKKNPGSYYQVDTVITETFLTNSTWGSRLELSSLLAEFVINEGNSQEDLLSIYIFE NRLAIQDLKHNVVCSGPYPSDSIIDYGDSLSWSIGFDDSNQMYLNVQNFKGMGNFTIC TLSTGIQNSDFLYLYPQGYAPGPSVFKEFKMGFPKGGFESSVSNSSLNGYYKPLYNTS SQEYDPEATYGINHPHFPPGIQAAPLNGSLINTPSGYYYNTATGLFEKYPNNTNIEIN PFQPKELLEGLPECSLHMFDICNSTSVFLKPKDTFFKQGWTLFVMLNNGIPSNVSEKY DFNYKYEFLNSKQGNKVVLSLYYNNETVVFRNEVSGEFSVAGSPQCGPYCSTYPKGFF TFWLSYDHSSNNYIISVSSNQKYLLHLDAHGAIFDHIKPCCESETANSFSLWQLSNTV IYPLRMSSTTTTLPPWFETVHDECSLSSIDSSQPCKGHSATLMDGNFSDSYLLSLNFT LSKVLVNGANNVLTPIIPTSTSKRMNLDNSTNATEDLKYIWNGFEFKDKNDQLVLSLK FNETTITLYDHREDLEYISPYTNESTVYSGKLESLSLGWSRLGLFLMNSDFNSLIKIP ARNNFEFSKVSKSLQDNIPLNFTLQTNFMYPNEILYQGYHSCSLFNDCHSKPISCESQ VLSETCNRNPSRPQWIVETEISDTQVNNGTWGPNFELPGLLHAFNINNGIEDIVSVYI FDQRIAIQSHLGHSFCDGLYPESRSLIIGDSVVWSVAVDDNEILYLNVMNENKTKFYS VCTLKYHEDFIHFKYIYPRGYSPSRSIFTQIIGDFPNGGFESSNPRDNIGFYRPELNN ETGLYEPEKKYNFSFPFFPVGIIPAPISGNYHNTPPGYYYNQTSGLFVKYPNNTSDQV NPYQFQELSPGIKECDLYILSICNSTIVNLKPTGTLFQSGWTLFASINTGIPESDQSS SVLPNSTLPFVYNNGVTSFSSQNSATYNYGFQFINTFTSKIVLSINLYDEFTEIKNEI TGERAVSVSPQCGPLCSTYKKGYFTFWMNYDAFSEEMTISIDKNKQILLRLKNSQAVS SFDRIQPCCSNSVMSSFSLWQLSNIAKVPQIETTTTTTTTIPPWQDNIQDECLLEKDI PCKGFNATLIDPIFNHGNVLWLSFKLPIQNCNAPFNLNEIFWYGYLFSNKDRPVLSIL FNETLITLYDWKNDQEFYSPYKDGSLVFQGKNLNLGLGWSRLGFFLLNENSESLINIK SMTDFSFDKISNHGKTPDPSVFLLQTGFLYPQQVLLQGYKDCSFYNDCFSKSLSCSGQ VLSQICSNPIPGMSWEIETEITNTQVNNGTWGRRFQSPDIINVFVLSPTEDLIFSINS NSNENNYQDYGYIYVLKDRVALQSHYGSVCSGPLPGARKLNIGDKLKLSLGIDSLSML YLNIFNDDDSEYNTVCSIGEIENGWRFRYIFPMGNSPPISNFTQFKLGFPDGGFKPTY TGPDSLYDPSFDNTTGTYHPELKYLKSYPYFPSSIIPAPTDGNETNTPPGYFYNRTSS LFEKEGGIQNSDINPYRPEYIKNGVDVCDLSLFSVCNSTNVYLKPENTLFNSDWTLMV MMSTGNPETQESPSNNLKQNYKYEFFNSNTKSLILSITYSDLFVSLTNEQNGITVYSS SPQCGPFCSTYTNGFFTFWVTYSKEKNSYTITVNRNTERLLFLNGIDNSFNQIIGKSF GSKKSYTLWYLVNISIDPIGLSTTTTTSTSTTTTSTPNTNITSTSTTTLPPDLTEGCN LKIGTACTGVFGYFEKTDDSVWKEGVYVTISFKFPKIVKKRFTYYVDSSLRTLSSQTV FSLILINRDLNVGSILFEPNKLTAVLNETSISTQYSNGRIFNEGDDMEITLLKINGLF YIMDSNGFALIEYPNLLLSEDEINSKLNYTFTEIYPSTPVRLIFSVQNYQGYPFVLLN GYLHSSLTNYCGVDQTSIVNQAVNGLCNYPQKGMEWKIYTKATDNKLNSGYYGDLYDN DDLISAYNINNGTHNTLGFLFYRKFALLINLITNEQCSGVHPNSEELGFGKDHDWSIG FNGNSIFLNEHQFSDYSKKFTICTLPLNNKILPFKYLIPVGENPYSKMKVSQLGKGFP ENGYSTTEKDNEIPINGVLMVQALITNFDYFVQKQIADERFKEFKDLFISSIKTSFGP YKRNVGIISLTPRNVQVSKVGNSVLQTATGDILVTFYIYSNVGQKMADFSLEISSKII FNPTSIFGQIFEWKQLNFLTKDASPPIELIVNNTSCMGINKGKTALESIVYKYGFYSD LVTEYPPESLIGYFSFNHIVPNVPTFNDHKEFVLSFKKALGELLGIDYKLIGVIAVGD TPVENGVLGYGVIKFYISSNSMEFSSIILEQLSLRLSNPKNPFSKKMSWKQIEIGYCN KPKFYMYYHNRMNNRRFKHYLESNGNLNVEDNPFYTYLKDDDNFSDPYEYDYNDNSET DSDGLDEFINSFGRHNK cubi_00886 MDFFGQNKSGLSKCNAQCVVSRIIIIILSIILLLGLLVWIFQEK LLFFPNINGKRDLASNFPGFRHPNEKKINSEDVTLITDDNVKLHCWFMVHKEFDRYVS NKFGTLETDSNLKENFEGEIEDDNEGEYMNITRKAPKYMRHYFAEFAKRYEQQEKSPT IIFFHGNAGNIGHRLPRFWELYNLIGVNVLAVSYRGYGDSEGVPSEEGFYLDAKAAFE YVLSRTDVVDKNMIFVYGHSIGGAVTIDLASKYNITGIILENTFTDIGSIALRVYPIF KYFGFLFKVIQRLKFDSISKISRVKSPILFVVGSEDEIIPPTHSIELYRKAGTNGFLN KVYTVSGGLHNDTWLKGGMEFYLMLIEFIYNVIDFNTAEMVASSNKILRQNEEESSDS KEEILRHRERSSVIKLRQKTN cubi_00887 MIANNDVLGILGTKPLNNLIKENLLLENEQFNESLADYWKSFLW EFQFSRLYFKLSRGDCRIIFSNSWLPHINHSKVLMFRLSCVIFFCTVILYDIFTEIKI WKIENLARYYTTNWCSIATIGYFLVLSIVSMQAQNVVNSNIDGNVYGKNIPEIEDIIG NVGYLNWTCWYAWMIQSFLLPTGLIVNIGYWMVSHPNTSISLILAIAKHAIASILVFW DCYSTYQPFFLLHGLYLYIYIIGILLFIGIIKLFPDSYLSLLPISINDLYTFFNFNDE SHIKIAPSLLIFIFTLFYPLLLIFLWFLFREKNLLVDPLPNSYFISSSESKCEVIKEN TLEKLALISNDDQLEKV cubi_00888 MNKRKERPEGIEVPCHEQLVGNNFDIYGFGDKRRNLNDYYNGRQ LLGFIGDICEKENSTLSSGRGEIPRTETETETGAEPGLVTGVVSETVERGGLAVLDTA EEQQNYGQLVQKYENLQNSHATLQKVVKSLLDKLSQLNELCSQKDRELSEYKVQLKKL VDANETLNMYIQSSHSSLMSNSMINKFGSDIY cubi_00889 MENIRINGDLSKRISLSLQITHIIILNYYVDIENFIKLSYKQQL ELVTEQEKQRLNLYGDYIINCIPLNIRKLKIREILEDQGKNIILSEDEKTEILNKLSE IVSLKSFKSESMLESSSPELKNVGLYKDHLKKSRVKLLPISNTCYTIIREKTEDFCQS ECDEKMENNNYNEQIQRDKNKDTLKNQILDSNVVRDIISSL cubi_00890 MKEDKSELTHIEDEVEMEGEEAITNKSAIADPIFGGKLLERCLK LVKKVQEYEKEERKEKGSKKTRYIRRGVHEVTKSIRKGQKGLVLIACDIHPVDIIAHI PILCEEKNIYYGYLGSKKTLGTICKSKRPASVLMISFNNESSVQDKPFYSIYSKVISN IKKVHPYI cubi_00891 MSVISTNSYIQGQKSINFNGICLGLDKAIKQLKDNGYLDDLILD FFMEFSKESCVGIRENCSGGKYCVFSSLFYTILSMCGDNDEEYLRLRKWVKRVSTPLL LNDAIVIPMYCSQTSHWWLIVICHPYKIFNLMKSSLYRNSSHANIEGSNKGWIICMDS LGGKNIGQYEKRKAIMKILKFLDIERRNNDSYSDLLETGNEPAILNANITVTNTTTTN NNVFTTKTTVNTSDINNTNDSMSVTFRSLSNWEIIYNPKNLPFQENNFDCGIYIIEYA HWLFHYGTAIFNSMINRDSSLEISNSICLDQNKLSRKWFQNRRAVYTKVLEFMSTNMG WNEDKFLRNQLTEIFDDNMNTFNYNEKVTQEKISSLQARKKFVMSSLNKSYFNR cubi_00892 MTSKLFFLEKKYPLILKNQGKVSLNFDDIFWLINPIVGQIAGVE CKRPYWPYDKIILSFKGCYIPKKGDVVVGTVINKLGEFYKVSLNYSHDGILSDMAFEG ATKRNKPNLIPGNYLCSRISYVDLESGEIELTCITPEEKKAWSNNENYLGVLKNSNYK NTNVIEEYSKSVRPGSSGENHSCIKDGMAVTVPQSVAQILLADQSYVLKVLSKYFAYE ICVGQNGVVWFSASTTKEMLLIMSALKIIPNCTKAQAVYRVNMHSYVLVLL cubi_00893 MSEVVKRKVLGGRGAMGIVNSLRRQLTHLVNWELSTSVDESSTL NLLRLLLNSVGSEHFRSMSAVEILQCLDNVMKVVLKRRTRSSWILLLNNIGKNNVIFR DYILYCTLELLKYEDKSEILQSLDAKCIYWKLGNNFLYTLYSLILRDDTENDDIKKCM MSCLAEGISPPRELLDLYLSRNSCKDLSLLTGFKVTSNLLGYLTYNLSEIERLDEMEV QDYLEVFSGHQKSLERKISLEAIRNPFSDVLSRALMNVIKKGDSSEIAEGFFHHLSLV YLIESIECSPWNLRLWRELLEYLNRILTFLSLTCVLDNFFKTEGRVLRNLTISSISQK DSLQELVSFFRLNSNSESDGNFDLVQLHKVEPLIRSIVIIRKKLINRDSYWRYFNFEH ISNIRSEMHIIRFQIYSILVLINHSSLYLKNHLNKTDDSFNLFDSEKNSEAHLDLDFL LKVSEYNDFESSTSVNQENIKLFLFILPVSLLNLFENKEDAKSAFEAVQNSSLPESTR NRSFSSLFDLWTKLENKHTRRID cubi_00894 MEKDNFESDRGHSIYSIFTDQEKVPCFGNDNLNSKTVYGSLEDT GLDLKVMRDVENYLGYSSGMDELKQLGYGTSNPKLTGITTSVIRMDKVKEEKPAQNEQ GLKKLNHKVFKNEGFFDIAKEIKLKKLSYSHFIPLHQLWKQYIKGLTESSSTYGGSSG ATGVRGGIRFSQLSQSISQADLHGSIMNVISSRNKSCVNIQGIVVKETKETFVIISTD NRVRTILKNQSIFGIVIFNDYIITIYGSQLCYHPCERIKHKFRHRDSLNIIP cubi_00895 MFKFNNNNINSQNVLKNIFNMRYSFNSGQLNSYGGIGNTDQGIS RNDKGGVVSYNGIGFVNQQNYLEQLIKYQKAQLQQQQQQLLLTQQQYEYNLQLQYQYQ LQQQLNQSHLNVHQNPHIHNHQVNLTGVAGTVDSQVNEKVENMYSGVYYSNNQEEFQS LEPYEIIIIPKCDNTVTVRDVSHVDLSKNRNSRSREMEIEVEIKKGDKDIELENIRDE ILSEKKISMNSDKNQEIEVNVYETKIYKGDLCSKNDVTQILDDLSSDSLNNRNIEVDL NECYEIQDEQILGSESEPEERCSYDNQGEIEIEEDSILVIKYKNDHVGTDILDLMERN IDNCVIDGNSYYYENFVRSFSSGNHSSYEDQAGQNLIGFGVNHCYLVNHDSLLQACHN SELVEILEDGEEENDEEQQQQRNKDEDQRKEIEEEKQVEETQKKVEGGTDANSEAESS SNSKKSLEELNEKIQRFNLLLEKINKMEQMNISFKEAFLSEKWNASSNMASGFKDLDD NENKLDENQSEDDKSISEYSVLDTVKDIYDSNSHIIYKDVRNSKCESSSERLDDVENE LEQGEEQVQRYIQAINDYFANEGLLGVCESRLNTHRWERNYENAMSSLNNQFKDSSYN SDAISKSDSSSVADSEVDSEANIDIDEIKDDEVIAGIENKISGEEQENSLVPFGEPGK VFTNKLVHNKHNPEEKCSNQDLSQINVEDTKALVKSPTENPQSTANASQEAHLFTVNP GHTETEMAAPNLTSGTVLISSNSTKCHQRVKIPMINIPSSDDIPKKISKPDILKKSQN DFNKKGKTFLHANSTQKNVSLAGNHSNKEAKKDNEILTRLSQCRVINSKTIKLVTNSN KNLNDSDNINTNGNEQNTTNLRPRMNTCSVAFPSSNYSPYIYNNFNQHTNKLTCEKIT RGPVYNNSFGGKCVNSQNKPQVPVLARKEPNLGFRSLISNGIKVNVTELMKPRVIRK cubi_00896 MKLGFALSLLLLFIGYFRADSQDIHQSLRSNALDLINSKNEHSC GENCEQKKNNRSLQDNTSSNDQSGSVGDIISDNMNNLYKDLATHGQEEAKNKWDSLST GAKAGIIIAVVVVAIIIIAGIIHCLCICKPCCCC cubi_00897 MNKNSGLQIKQLISLSEEELTVKIDELKKELASLRVIQSTGTAP NKLSRINVVRKAIARILTILSQRNIKNLREKYAGSKFMPLDLRKKLTRAKRRALTPQQ AKKMTVKASKKALNFPKRKFAIIA cubi_00898 MVRLPECIKEFSREQYDQYESLLEYERLLDESILQQRYSLSDVW LTLMDDKSIRCYRKKLRVHIFNTYESQKPADVELESFNLDNDWTRRVPPSWTLKILGS IIQGDSYQPKFTSIFSRIIIQLSDTETIVWDKKTSPTPECDGLEIHRIGDEEKDIDIL FFLDYRTPHYSLSPQLEEFMGTSLANLPSIVKRIWQYVELKGLQNSKVSHDSIMIDEY LGKLFSVDSKHVLLKDVPDLLKKHLLPPRPIKIRHRLTLKGDWIDNESTYDFTIDLTE NVPGDITLWLPNMSTRIQESGELSSINKALEELYHKNQSILSKIYSSCNKMNFYQGFA NDPVEFIHTLLTTKHFQLYGNNSINNILSDPNAIYEYQIADKYAEYYRQPWVPRAIEK YLSSKNKNFEERVNKTVVSVSACEKIRRRNGFCQDQKIQSHPSHHNPS cubi_00899 MFDFINRYVGEVTNASASENTEATLSETGSTPPPEESSDEESDV VSHTMDWGFLDYVEHLLMLSRSDEGEDIEIRKTKVIQNSWSSIDNRAISLHKALLIKA DKLYKQDLAALNLIKRQDSRRELEKNYHQSLLKILLLNNNKFTAKNVEKIDIQAILKM TPEECKLHQESVSKETPITDYERLIMEFSLDN cubi_00900 MGLALTKIWRNLFGKKDMRILMVGLDAAGKTTILYKLKLGEVVT TIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYYSNTDGLIFVIDSNDRERIND ARDELARMLGEDELRDAVLLVFANKQDLPNAMSATDITEKLQLSGIRNRNWFIQSTCA TSGDGLYEGLDWLSRTLASRSNN cubi_00901 MKERRNILIGATGSVAAIKVGEFVERLKEINIDNIEIEIKIVAT ESAKNFFNDSVTDLMLKCEDEFSNWRAIGDDILHISLRQWADLYIILPLTANTLAKLS NGLCDNILTNIARAWDFNKPIIVCPAMNNFMWEHPITLRQIDTLKSFGYKVIFPIEKK LACGEYGMGGMQEIEKIIEQVILELNLPSKA cubi_00902 MVNVNSPRKDLSILAQCMNPVEEEIPEEQVFHPDSVMLPPVSAV PFDYYPYSPRYKYPEVTCSYPFVSSPPYVVHYMSNLGIPSFFQQLDISVEQRRNVFQR NYGKNRIMQLEKGEENFGKYWTETQPSRPLGCMG cubi_00903 MGKLSRDRRDIYYRRAKQEGFRARSAYKLIQIDEEYDIFNDVTR AVDLCAAPGSWSQVLSTKLFNNSEKSQIQAKEADNSDSNNKETPLIVAVDLQEMAPID GVNIIKGDITSQKTVNQILEYFQGKKADLVLCDGAPDVTGLHDIDEYIQNQLLISSLS ITSKLMRKGGTFIAKIFRGENVSRIYQQMFYYFELVDCCKPESSRNSSLEAFIVCRFF KFDDNQDQSESSLSIPDPLTVPFISCGDLSEYDPDKTYEAEYSNSLEPIQPPINAPYH cubi_00904 MIEILFGRTVRAITSQRLVVTCINVGIIVNERNMEDNNEDDDLF GEDVAFSKESVEKRLGKVQRRTKLTKKVGMNKPIQQKESNEKVNKKDNNHMEEDSYDE EFILKVSKKKARTKDSDFTKEKSQRMEIGMNNEQVSEIITIEDEEKEKTVIKLNIKVY KRRESEIVVIKTLIVALYRTDSIKKLVTYISKNLNPKPKNHLEDIKVYFDGDYVNMEM QIKEIGIENEEQLEVKVPFECNWN cubi_00905 MTDSELKTPVSGCQWLGYNRVHIRESRTYMTSPKKRVEFMRYEY NSTSGCELENYSRQIIGYGDWDINSYKRGNNTLVDVRINWRKVIIRSKINNVNILDDM NKINWLYKSLSPVYFYDLNLLNMCIEHLSVVPDYDISVIDSKTIDIDGDSVKISNEKL NIDHIPDSRVEEALLRMVTGYSGNVISDMVKKEFSIGKEWEEYFKHKSPRMRKICQWK DIKEFCLMPPSEIVHKNSCKLPSVDHLISNDLETLKVALYPYNSTLPDTDMIEFSKYK AC cubi_00906 MGNSQIGHTGTKLKVPTEVVLNTNNKKTPITEKTADVQVSKKNM DDKKGGTITGERGSVTTGMFVQNGSGTFAEKYNIVCMLGKGSFGEVLKCKDRITQHEY AVKVISKASAKNKDISIILREVDLLKRLDHPNIMKLFEILEDSTSFYIVGELYTGGEL FDEIIKRKRFSEYDAARIIKQVFSGITYMHKHNIVHRDLKPENILLESKEKDCDIKII DFGLSTCFQQNTKMKDRIGTAYYIAPEVLRGTYDEKCDIWSAGVILYILLSGTPPFYG KNEYDILKRVETGKYAFDLPQWRNISDYAKDLIRKMLTFHPSLRITATQCLEHPWIQK YSSETPTISDLPSLESAMTNIRQFQAEKKLAQAALLYMASKLTTLDETKQLTEIFRKL DTNNDGMLDRDELIRGYHEFMRLKGVDSNSLIQNEGTTIEDQIDSLMPLLDMDGSGSI EYSEFIASAIDRTILLSKERMERAFKMFDEDGSGKISTKELFKLFSQADSSIKMEELE SIIEQVDNNNDGEVDFNEFVEMLQNFVKN cubi_00907 MTSNRKNALNPYSLLGVKVDASERDIRLAFLRLVRKFHPDKNIS ELSQDKESEKANPGEKGSGASNVIVKYHALYEAYCILKDPERRKEYDLSVFNELVGSG NNMVWHKSVNFEDLEYIIEDGKEIFGYFCRCGELILIEKVHIEEGYNLFCCDSCSSKI IITF cubi_00908 MHENDENDLSMFKLNKLPQFPRLTCLNLNLSIEKSEEECEKSEH KESHPKCRKKKSRDALSQNVNSENTIIFQLGSSISKKKCIDAIKKKEEFSEKEMCFSR ANKTEDITNTQKSHEELLIVRKNSNIGDIQGIYQRMLLVSMEINESRQTLSEEISSMI AEINHIKENLVDFWIELTLTQAKSMNNHSESNSDAETLLNQALTKQESF cubi_00909 MMENIPLLKSIENKDPSLNWNIDVSAELEKYLSAIELLDEDMNY SQSSEVNVYRHNSENISGSNGSYMQLFNFVEAALIIQNSTSLYSKKIEHLHSLVFDTF HLLSTGKSQLTDVKSGSDEHANLSSQLNNKTNIAEKSTAFLMSNIVSVPINLLQPGKN INLSYEQFENSWSDETKTKYSSYSSYIGVSSLGSNISLPQYRIDTASNTLFLDEADLG YFSSSFSVTEDEYLGNLFEEQTMEHENFQEDGKFYQIQESDEVYNFEIEGKDENEHID VEIDGMEIEIQDYIEVSENPENEVFNSVNDKGKQATNNIAGGRREKNKEDYWVFMDEH MKIGKDKPLKLGKTYKIPSRNYTISLEGLSQKEDILDFIDVYTLSSYFMGLTEIGGEK YKVGTQKLPYFSDLKPPKLSVEKLLILDSPDNDFLRSLEPEFNESISSWKKYHSKIFF SNMEKKRKELLNHDSGNIDNDQEVSEFNEPVIGEASEYDIDQTQDVLSDSELALDSIE DPIQDDENLMDDGQNQEEQIHFDSNIKDITDFEQDLSELHERVNTWTVHVEPLLMAQN SRPEFNIHEEGKKIIEMMKKVSAKDQQPIKFEEVTSGFSKWQVCRSFLATLMLSNNRE IDILHEEQNSSDRDLDFFSIRLLDEKEKNEMLNTNLPNIEKVSKAKLDFPRKKKTKKD TINLEEDNSELENKLDKSQKIYRKRGNKA cubi_00910 MGWNLRIVHCKNRYVRFLLTFLIFITVIFCKQVLCGSKTQLEDP SDYNIDFFRKTGKYPSNGYLESNGIYGTPLILKSQDSTLWIILLGVCFAALIFGIGIA MIANFGGEVKVNEDGLDKMESNEYFYDPLESPEEEIKRLNKLQGKLVDSFNYPNPNNY NYHHHPQFSQFGNYYNSNQHYYSSKNFR cubi_00911 MSSTYSTFISSIGAIETGILGTLAGGPSYFLPFAVFGYFKTRGD VNAATNRMMEIADSSRNPRKNFARSVKLRSAQDFSGQQNSYFWILTILAVAFGIIAIS LLSCLVGVTPDEDYKESKKRRRKLLEDPKITPEEFAITMANCTPNEYKYREWNGMQRL R cubi_00912 MSNIHRLSDLPPQREVRSQSGRSFNNEPGLSLRFIDLFFPGITW KHSIIWISLVQFIVYVATCIVGSYALSPYVTTLIKFQASVPSLVKEGQVWRILISLFL HASIWHIVFNIIFQLRLSLSCEAKYGRILNFMIYFVSGMLGNIFSAAIRSSCVVAVGA STSGFGLIGAQLAELILFWHTLQNKEQVVINILLFGILMILITWGNPSSAIDHWGHIG GFVSGTCLGIICNYRSDLKPKWYQAAFGVSITLISCTLIGPIIRIWAFELTPCVVFPE KLMNP cubi_00913 MFLNILKLTPLGGGPAPLLKNQIVRQCYSLMFLNIFIAIWSISS ILYSKFTNANFGSEISTYFFIVFLIGSVNSIFFSKLGSLRYCSDLEWSNRLILILIIN LVQYGAILWGCWISYKVFMSRYLAGTKMYYYFIFSRWMYNTLFGMTAFFSFSDLIRKI RDNGKENLNQNTQKSVSTIESSSKEYIKLGTIKPNIYGEIRPISSQFPTIYCIQNWIT LEQEKILLENISRSSFLNVKLNGRQTQVWGGTVSESGIVNQKDLPEWLKSISQSFVDF NIFSKETTPNHVLINQYEQYKGILPHKDGPLYFPKVAIISLESDTLFDFWNPSLDIQE NKLPIFSLIVPRLSLLVFQDTCYTQLLHGISSRHQDHLKNYNIMNLNDFPQLGPDSII KRGFRTSLTFRFVKTCS cubi_00914 MDDEVEKLRKQAEIRKRRLLERSSARLKLIYPNYEESTNNESFE SIPDDESGEFSKAKLIKNDNDLDYNKDNDSISDGFNTSRVSTSSTFPAFSQGKIYEET EEKDFCSDFRLVSYIEYWNQGESDRLRKIGSCILGVLLFLTHNFVPDSFSFQFLPVKI NSISGIISFFIYQILISQIYFYNYFRLLIVPTGSNKVEKKTLCKIILGCNSTQKVDYF VAMNNIIQYALAIKNFISEWLLLVTFYSFVSFIHSSFLTN cubi_00915 MENHFIQANEQNNEFEKYCRFIRDNIIGELSPIKTPFGVRNIVY SDWTASGRSLKFIEDSLKKDVAPYYGNTHSIASNNARQSTWFIHEARESVRQFVGGNP DDAIIFAGNGSTGAVAKFLKMVDASYWISIIKKFRSGYMNQNSFKDISVNVIQDRWKS FKCVSCGTQYDTEAAFRRHYNKIHREKDYSGSEKTKSDFTEDEENIEDYRIIFMVDPS SHHSLFLPFIEYTKRYTEGVKASHSGLKYRLFFSLVYLKLNKRGEIDIPNLEDRLIQL KNEKVLNIPLLLISAGSNVSGRLNDYSKISTISHQYGGITMVDFAATSPHVRPNMSPP LNPQGYLDVGVFSSHKLLGGPSTPGVLIIKRDLLLTEKPSDPGGNSVFFVSMDDHEYI LNKEEREESGTLDILGISRLGMVMKLDMKIPQYLKQSKEKLLFEKVISEWNKFNSINE SKIEILGFDELIIENQELNKEHYLLTLPIISFLIKPFNFENDRVKLGFLSQNNPKIIN TLDNEGKDHYLHYNFVCSLLNDLFGIQSRGGCSCAGPYSQRLLGYDQEKTKQLYTDLV IRGIESVRPGFSRISLHWSDSIEIVDYIIKSVIWIANHGWKFLPLYIFEESLGTWRHR SEWKSLDKIHRRWLGDSVGKFCFDKFKDLDSQNSVDFEPYLPSMKEYNFERNFEMANE ILRNLEEIILNSKNYANSAYEKDSKLDPILKEIIYQNNQHFSNSINSSDLSNINDFEL LSESNLRWFITPKYIQNIIHILNNTNNHIANDNNNFSMPALSTSNYMHANSDSIKCAA VDEKLNITRQEKNKEEKVEPKMQNCNKSKILKNIGLFPNPTEFGVIRKQVGRAIKKFD MIQEGDKILIAVSGGKDSLTMLHVLLFLQKVAPVRFEISVATVDPQTSEMDASKLIPY MESIGVKYHFISYPIVDIAANVKQKQKKLSYCAFCSRMKRGLLYSCMKENGYNVLSLG QHVDDICESFLLSIMNNGKLNTMKANYFVPEYNIRVIRPMIYCREKDLANFAMKTQLP IITENCPACFSQPKERRHVKQLLSQEESHNPTIYSSIMNALYPLISINHTNSSVKEED FIVNNDDFKVISKLDEDISGELLLTSCSR cubi_00916 MRISEEMQSNIHDTAHERIDEKKDEQVGVTFSEREAYMNQISEV EECGEEEEHYEEDEDELNANLDPEFLLDEGRKLRKEKKFDEAIVKLYWAMTNKMNLLN ITEESGIDPRLSEYYLIYADVLLEKEENSTNFFFSKKSEQQDLMNPLSSSSSSSSSSS SSSSSSSSSSSSSSSSSSSSSSSSSQTLKSSEQMLIESTVMGMANSSMAEATDEEVAW ENFEAAKLAYIKLIYLKKKGDYDRYCQGIVPEYMKSLFTSSELNDGKFEELLKEVCTC DSEERSRIPDKDITDLSFVFIRLGDILQLAEKYYEASIEYKNSLFILETFKLPEDTIE PSLICLAQSTLFSGKIKDSKVLFERALNLVKNHLEGKNGMSAPTDPKQIEAYKLTLED LQLTLDDINRKLAVNQEDQKPITAIPKLEEIKKENTFNKAQLPETEPVKVINTVEING SFCENTVDNKKRRIDLSKI cubi_00917 MKRIYEPEYIKILRKSQFRGKDQLINFDRNKSFYSKGITDVAFE KIDKAFIVINEFIDKIYNKLEMISIDNKKKVNLNVGEIHTIQIYPKEPINNYSDIKKE NYSNSTQINFQNFDLLNDKLASLLFNKVNSSNKHYQYSFQKKNYGLTHNETIQFKKSI TNLINQINRDFSY cubi_00918 MRRFDVVQITSEFSENPNVIITSLCYVPVIGYIYAGTNFGDILL YKYEDYNGIHKNSGNDVLGFNLNKERMTGKERSIRIKNISQKCKRIDKIFPIISYDTV VNDDMESRVHIGGVILCICDGNLLYLDFRLRGKVKLLCKGVSSVSVWKDSFNINTFQT KFCIATKKKIIFYNTCLLGDLLDIQDILEDDEEMTANSNISNRRRSSLERRSSLNRDA KDSTKKDVDIGKSYTSGVNSWFGFSTNSSQSNNGGHKNDNNADHLLEAPAIGERGYKD DYLASIEFIKVDEIQIPSRLASFTESISNIEWCNNWVCFVIAGTYFIMNIDDQSISDI LNLDQLGNNFQPQIIILPEQEFMLTCQDNLGAFFSFETLEPSPKSMIQWPSEGLTGVV LSSPYLLGGTKSGIIQIYSLANYEANSLVKENKAGTNVSNFQSRNEATSNLGSNRGFL NNNHNNVQTLQLDDEITCISTGGTCEGIFFGSSSVMKAPLGPMVVVSTKTRIYALTPI PIEESIFELVNRSQGKQAFNLLKTYCNSNDLLFKSLLYKTQCLVGWYEFKNLQFEKAF QSFKQAHIDPRVLIILFWKDLIPLEWDHKYSKDSLVDSYEYAKLPWTNSKRNLSEDLT PDVKFHESLLNSLLIKKTHNKLPPSIDLFIQNLLLNKPDFNSNLQIDIEGDLEKIDEY IYLANRSLKMFLLHERERYMPNTEDSKDIFCKFGEQDEKQMGYSTGKIMDIAIIKLII NEFNSKYKRSHDISLSNRIILNLLDIESETKDTEAISDYACFQKTDQMEIKEIEEEEL IIKRVIGNVLTEFNVDEYEEFLLNHGRYDLLAILLAYNSSYLRSLEILENVVNSSDRS TLETYTGKNSNYINIYLIIYQVLLNLCKSEITPIHIQANLLKRYSRFILDKFEICPID HLFTLKPVDKFPLTIDEILGLFNLLPAIASNHLTRSYLEKIIATQGENIELKHKIHLI EIYINDISTKNETGIKPSDEANSSYTNLFNENFQPSKLAIMLEDIENFDTEILVKHVY FDDTFKYKVHDHIIIEYIIILFRSNRHTQALNYIISVLENIELAEIYTLAWIFHNIYA LQSENISMKGIIMEKPGFQVSFPDDQESTLNKNNKQKYRKIKLFTEFKLWRNFIEEYS NISSPLEQHRSGDLEMNSWLEILGISQNELSLISDEHTKSKDFNEQSNYEKLVNKLIS LGVEKTSQKIYTHNIVDSNGELIALVRILIDSWRSSREDSPCKAEKFLNSTINILNKY SFHPDISIGNILQIIPGEWPLLKMINFLKNSLASSIHNQTSKAISTNLSAISFLRLYE KWSNARSNHVTITQDMICHVCSLKLGNKPCAIYPNGSCIHTHCLSNEYYSFNSY cubi_00919 MSGVNSLGRKVWDKEFYSKSKEERDQIRNLENVSHEKKVDIKKN LFEESAKRREMYLDISKNVGVLKSHTNGEDVRKSISGYWCEVCKFGFNDSHSWIRHLN SQGHNQKMGTSLYVEKKSLESVKRRLSQLIYDYDHGLGIFSKKGREESSGGVYDQSKE RGQNLKKVEGITRGDKANDKTVINKGEEEENVSDEETRKDMLQYDFPTTFS cubi_00920 MLGLKAFPTQNKNLIFTGIGSINNGIIIFSWFDRISSLIKDKKT ILIIKINEIFIEELKNKKDVKTLSPRIEKVVKVEEIEWKFYIWIPPLEKGNIAYSVCC KNEKYPQRLIYSYLRELNKSTLRIERINENIPNKTNELNGIKNFKQDIKNLLSKYDDY ENFNEIAQLEGSSKEIVNTIQDNIQILLNNRGILQALDGQAEILEDETESFLQESVKV KKKFWWKNVKYTFILGCIISLIFIVVFANLFNTIFGNGISLLNLLKNCSTTSKAHSNT NNNIILAL cubi_00921 MKRKFQQTKKIGGKKKNDNEEILSSSDDESFQMNRKSVDYQDHD NPRFSGRTLDSNIESDSEDNNNNGKNEYYEDDEDDVFFENEDEKKVSLAKKFLKKLDA LEDSEIKASLNNLENKVSQREIADNFNITGDSVFYKGHKLSPTCVTLDNDGKTAYTGG KDCAIIKWDLETGKKMIFPGSRKDFECGGHFEQIKTICYHKETNLICSGGEDKVIRIW DHRVPKCIERFHGHTNTITGIVSEPNSEIDQIISVSFDKSLKVWSLKSRSHMNTYYGH TNKITSCDMILKDRPFTGSEDNTSRLWKLSADSHLIFYPNNNSNVDGSKLIESPIDSV SCLNNVNYITGQQDGTLHIWSQFKKKPLFTSNNLHKGGIYSIKSIPFTDLFFTGSDDE EIKAWKWSNQNNNISLINSIKVSGFVNDISVSDKLIVAAIGQEHRLGRWNSIKNSKNG LLVVPISYYN cubi_00922 MKNSKYQGFELLPDSLMLEKKKQALRKVRKKNSSVPFLEETENH KKSHKDYDSDTFDDLPFVVPSKRIVIEWPVDLLKSLTAVSFENVHSKYDLEEIQIFKI ASSTAKEEQKQEQEHDHEHDYEHEHEHKHNHKHDHEQERDHEQEHDHDQDRDQDQDQD HEQEHEHEHEHNHQQQQQSRSQKQALTLGVEKTISLEEPATMSIRLMSMQIYMMGGYA KKYFYNQKERSYSIRVEIKKNQESQPIKVINILEKDDMHYYPYSNNILSSFQNNHQYH RFILSQINANKRMFRLNTQQYKVQIFKFLNFKILIERDDKIHLNLKSFLPINFGDHNS KQEYDHQSKKATLLITKQNLSKLTKDGGFQILLELRDCIHHSQKNVTTPIPESTRFCS SQNYNNLDTCTGYILLSIQKV cubi_00923 MTKSFGKSIIMATEDENINGINKKPVWISRKIILNLSGEVKDAN NYPSNNYSGCKYSLFQYIFLSLWSRCKRFPIIISFLSFIISFFVYSRNSDFKWIILGF TIIFSILPSLIMDIFVYAKIRIHDYKVNSRYCIVFDSDKMEFVVSRWRNLKVGNIVCV LDGEQFPADVVVLHSSGKKAYISSEMMDGSRLVRTCEPTGIQTDLERAIKDFILSPAR ITCDVPSDDINHFEGSLKWEGKPRAKALTIDNFAQMFSRLRFANWVLAIVVYAGTDTR IAIRQHRAIENKNKYNPTTKLENTVSKVCIGLFLVAVCLGIYILINKDSDFQVFMKEI VSSSEVGWKSQRTQWHIIAEFAVIFNFFVPVTLTAITDIIRIIGVFRFSRNKALVFDY STESLNELSSNNTKLNNLLTKEPSIFRALNKARANNNTDSKSSTYNDLKTKSHENKIP GSSSKPLDVIFNKCDSLDSFGEVDISLIHQNSIMEAQPTRLKIISLNNGQEYIFKKIQ KIKASPKFSIISGLLWKHHSISWRRNEISRFSKGSIKVVRPGRGTSITNFGLISPNKL ELFHYFSVLLAISKLNVIGGENKNFKSDKNISLTKGKTLNLDGQNNKKKIRRYYTKLL FQIKGLKNALADLPPDPVNLEPRDAWPPLPKVRLNNQQRNRQISVKSIHELYSMGSGS TENDLCLDPRPNSETRKSYSSHLHPALPIHLFPKRIIQLLNHIILSNQRKRVVLKDIG SFNDHLTPQQEPQCPEIDNQVQHHSSKDYQVFDTKKIQISKEDNEEILYLLFNNIVKL TRNFYGWNTRRKYKIPNEYSLLVKNLLMESIDYSLATCFKGEREDKNGILRRLNSSND GANSSVAVTNEESEGFNNKDSVLVDMLYAMAICNSTVPHLRIVKQNGKTPDKTSNSHE SSEIHLRVGGIKEYKRDKITSGKKINYYHEIPREIINKSLFPFNGSNNSNSSNASSKS ELKFGKSPTNMLKSDLKIPFFTKNKTNIMRKSKDLSRSGTINNARMLYIASKSMSMGE SMSSDFLRRPSSRVLSSNESCYFGKSFRNDRGTGNTPKRSSGTRNNRITHRYNKNYEK LHKQRRVGCLNSHSKEIILTKYYLSYYFNASQLGKDFQENQDQNEVYRYRGKETKNKF MSNCWKDLGKKFKNLNFSYRIKSREEKICKYNENFPRSYVQYSGIDENDLTIVHTAAS CGMRLVLVNSQYVVVESLGRTVFSSLIYKSEDKSKQEISMIVKFFQKKNATLYVRGVA EHILPLLNPSLIRPQGCNSEFCQCSNQKIHNNSNLVSSMASQNYEELTYKIRKLQYQG YKVFIFAKKTISEEELAKMSLRHNLTEQNNGFRFLLDSSAEDYFQKLKTKLEYLGHVG IEYRIQNKVPKTIRSMLDFGIKPWFLFNISSVNSIRLMHKIFPNCDKIPLIRLDRLPL KNKSKAMSFLSSVYNELKIVVSNNYRVIERLDLIAEKMVNMNDLSKFKNSFSNGDMHN EFEEDSNDFFNTKKKISNVEFLKALITISSLNGEIQLPQLGAAVADYSMTDYSETVGC KNTVYNINEDWLGWGGCVSGHGILNSLPGIVFSALQLNEIFESRDLINYFFSVALISP FVVISNASFSDITTITNYLKNNVLPRPVILGISGNTGNVPILSSCDISISVSLSNDTS NKVTKINKDIYENKSDKNKEFKNRVRLHKLGVIFNLWKLVKKGNKKSVETTNSIKYAL NNYQEETNSLTVASSGYFEIGTEKNSYLTSESFLSEKTENKLREQSSISMQNYTIHDI FKDSENNSFVVTPVTDVMVRKFHQIVPLLTRAGRLTTFRISLALFNNIFKLFFLIIPN LIFQFYCEWSGTILQRLDILGVYLIFWTVILPIFFGYDGIDVPKYFLDLPYLYNCSRS GYHSHWINYIGSFLESLLMGILSFYFSLILSSYSPYKNGISADFSILSSSLAMYCILG TLFSFLIKIKSFSISLVMMILLKLCLIILTILVLTLKKNGYYYMFYLYELYMQQSYIF FLTIPLFISYVVLFNLIWKMIIYHSLPDPSTCIRDWWLIHINQSSRALQKPVILAMKN SFKKMKPGQFLNNLLLFIRISLWHEWIMIKNHILLGKDGQDEYVNPFLFEFLKIPTLT DFIASQYRKYFISKFKNKLQYRSKDEKSNSTNNKTSEEKKDMNENHHHIVQVTLQPQI ITSSQSKNTYQNQIICKDLFKERSLESEITVKNQTQNISVSNISSKKPIKLFKPIEIN YFGDNRVFMEEGSFEEWKRRVILHANIIWNPKQIYMRSVNPVIKEQRMKGIRSLQFAQ SLRKFSSRELEDYNNFILYYASFLSDNRVGVVTAPFNDTNYPQALGNLISINFDAGSN ENTIQNFKNGKNCEEFTNTMTSMTPQNSSVYPRTQEASTVDLNINLINSSSSYGRIST FSEDVKALLNPFKLTFKVNQLELEYQIERQNEAYEHRNSLRAVLCVISAIFLTLGPFS VNVSNTDFKWVGVLSVIFCSSVFLNFALSYTYGKNKISVKSQLPLLIIISVSSILTSS HGFALAAIYPILSFIIFRLGFISGVYVTIIGFLSVILGQFLWEMPNSYWIRYLPILLG INTFCGFLGYRSELLYRAQFLLETHTNDLRQRQRQILDTMLPSFIVEGLLIYQRNNLS FSSESVEDRGTVSILFCDIYDFHSIVAVLQPKKLISLLDHFFLTLDCLIDNYNCTKIE TVFETYLVASCLDPYDKTSTTSENLKTSKNKNELNRSKSKKLFINRALRDIINLLRFA LQMHKIGSSFFYEVPLSKNLDLVQLETDNLINGVTNLTNTPYSKDRQSNNNASFIYTG QEMTLKQLKLKIGIHSGRVISGVVGTNKPQYALFGDTVNTASRMKSSCETGKIQVTSM THDLVKDISILKWKEKRSFVKGKGMMDTFTLERVSGSAYPNYTRIIKQEIRGKGVGAV QIGNYHIKSSSDFDIMAHDDVLIKYLNMDHEDDVSKHLSNSASCLRSTSKNKTFAQVG ESVSSMLPKNSVSHENERYEGRFPQENLSSSLKQIEEPYTHTNQEYREEVEYDKEENT GDPSHVKFNQEPEDQDFTLLNENSSKSRWNLFNNQTSSSLGFFKRRGKEFKEVASPDN PSKNYFQMTKPKKKRMRVRIRKTMRPSSKSISHNSSSINNSKFERSNSNYINSNDQNR KEPYNQQNGVDRWKKENISDLMSFTLGFKNNEIEQKYLLTYYSDLSTLKTIEESLVIV VVTLVLQTLIYTVLPCGENKEGISGFRILWTVRIIYISSVFLSWLVLFSTYTNYQIQS STGAGTGLATVPKIGRSTRISKEATQLNFENNKHVGGPILFLNAVLAGGASMLMLTIL WQFTMVDSSISLWCDEEVLELLLLATVHHNAGLLFRYIVLFDLLILFLLLTIFLVGIN INLEGIVVYCVALSINVIAAYSREKTGRAIFYGTLVAGNCEQKAEELLVAMLPRKVLL DFQEDKLKLAYIHKNVTFLFSDICGFTQWAMSVEAESVVCMLSSLYAQFDDSLSKFGL FKLFTIGDAYVAMSEPEIDPYEDKNGIFSSQKMKKKIKDLQASTNLGTTGLGSGGGGL GPGPGLGLGPGPGPGLGVGPLAGPGGTLGVGMGGSLGFGLGINRNYSASNYLSHSNYL SHNSSCRSSSPTVLSNPTSSKPPSSSSSGASKDNQIYNLNIFQMEGYSPAEGARRSIA MAHDMLQKIAFVREKLSLPELNMRIGLHYGGCIGGIVGSSRLRYEVWGHDVIIGNKME SCGAPGNITISGQLHEVLSKNFSHLFKFNYIGKVSIRKQQIDMYRTRIRRNVQLKISK VSNSENMSNLIKRNILYNNEKRMEI cubi_00924 MDKLYVKVLDLIKKIDERELDYIDILMKNEIYLWQKDLVMNYEE IAKKLEKQKDFRRRYKLMTKFVDIEGNFPLSNSDAIRKNHLRRNLPNFRKKYEWNNNI WLPNEIKRLEKLVKVHLFKSVLEVKVKLGIHDFRFNHQDSQDVYDEDVSSKMMELNKL LLNLSQKDTNFNVDEYWTEFWNLVSLDLGNRCERTGRDCQITWYHFIDPNINRKPWEK AEDLRLLALVSENEGFNWIKVAQELNTGRTPYQCILRYQRSLNKNLIKSSWTKEEDEK LLSAVKSLGGFDLLELNKRITSKWNLVANLLPGRTNQQCRTRYVRSLKKDLKHGSWSL YEDVRLQFAYFVYGSNSWTKISRHIKGRSDSKCRERYMNMLLPDIKKGKWTEEENKML ILAVNRFGPGNWSLIKNFVIGRTDADCSKQWEKLDPASSYQYDIIRATQKHMLPLSYK WIGLSRSNHKLLNTIQKQSQGFKKDEFIQPKLSGSDFFVKPAQNILSIAQYVLSIYND KFNSQNGLSNFSIDQLIHQLEQNRTNDQLVDQILLKIQRSLINLIKRRY cubi_00925 MIFYFFVECLYILVIFKVNNVISLRNNKISSIENPVSILSELGE YSVNLKITELQKKINFCSSLLLQANNELVSARLKLAKKKSNSCDDNNTQEELVDELSK RVQEIGFACNDFLDLLLLKRIYCFNKMTLEDEIRTEIKVKEKIDNIVSNMIIKEDNAP FIRSLIYKAKCHVFQANEHCKLLTSKLLFYMAVTPKETVENFENFKLVDREVSTK cubi_00926 MFIPENVIYQVSFSEIKSKSSGGLSKFSTFDLYTQLENLDPDQL FKNFEIYGGSWLMDLSTNQLELILDQLRYILSLQLNRNLELLNQSKMESLESKETFDE LQESNSFTNKLLGYILILLTRILEKKQEKFDLDYRGILDSNRFTQFELVRREIVFYQE NKSLVMNWFYLFKCKEPLTKSSQLCNYLTKVYSDTEKKFLSKTKFFSEIYDIYENDWE DIEEESSVPKPKIINRRRRSNKYIFKNKAKMINIGKKRTKLKSKSKLKPTRKLNRKFK SRRR cubi_00927 MKVIFNIILILLLKIFWNQLNSFKSDHGKFRIFENRSKLLYGLK YSSNYYKEAKLLGISFNQISENSKSSSFSSLSSTFSLESYKTCCSELELESVHISLPE GKCEFPPEELIRMIEEDSESITKASTSTIGSALDFMLEIIKEILEDYETSKSKLEEKL SKYIQKKNIRKIRATDKKLEELIQATRNVLLYYDRHALRLFRHTYKGKCIQFKLVSSQ IYKDKNAEKIKYLQAKIALLSELVSFLSSSLTYYLCDHAIFGQLFLCEFINKQLTKVK IYQIEVMKEYKEAIGYDFGTDESFGEDNQVRERGRSKGRCTSRRRGKSKGKGKDKGKG KGKGKDKGKDGDIERDSDTERDSDIERDSDIERDSDTERDIGRSSDKKSRRSLLSRAS SKVTRLFSTKKQS cubi_00928 MKISIPKGDNYILAVSSLPQSQRELILKLSSNDLVNYDSYLDSF QRWIWDKGDLQCWASVLNRFDDYINSYIIAEGLGNTFLLQHLTLTDENTEINYSEEDT LKQIDNYIHDVSEEIMKKILKSTFLILENCTSKSLYASTIGLIALLDSFNPDIVCWSL RTLTTTCSNWKKPKRYIDSETVYHLQMRLSTLCYLPIHTRSYNKTGSEIKENEALSFV SKFYKEEAWENPDDEDLIEVYIPPFICNHFIKKDSDHEEKECKMCFDYHKSHVLMIRF KDLFSVNSKLEIDDLMRKYKVPICLKPIINHYVRILKSYSDKWLRRKWVDVKYTTLLS FPGFSTNNLVNICQVNTTLIPDSIEILEFCHLSIFEGNSKVKLTNNNEQYRFITSMRS IVELLTLMLQDRIFPKVIVQALNFTNSYGLISRIIWDVLYYINDHKDKPLPKFVRKPP ESLNLFKYNEKDLSNCLLDFEGDNSQFNILEYINPDIFNNVNYPLPDQVTQRLNEIQI NYEKIEENSIEDDDDDYYKGNKLNNQTSVELHKKYNTSLSSYMDLEGARDEILLISNY YENLDLEFQKKTINDSSKIVPNLIMAEFEEEMINFVMELIGIYILVMQLYPPPNSIVT NSSSSSTSYIYIPHHITILIAFIDFISTIRHPYYIILVLPICRALETLLEKKTYLSFL LQQNKPIYKIMLSRLQYEIGLLQSEKYYFDSPKEEYHKKIIKDEGIILIRQWIIKTSL KFMEIFIHPNNNSLVSNSDSNPIISQSKIQYELLMSEDSIYRSCLMLILMYPETYSLL NYSLVIQLLIPLVSNDPTIVPDLINNHLIPFILYSINFGILQNDDILNILAVNLPIFF LHNDGIKLMKSINFKPLILLSQFLTSSHAIHIDRMGEIATLVGNAFEEIVRYHSQLAK TISLISVSTILQIRFIQKDVPNWEPISEINKESLESPEEYYTPIKVPRKSKDLLISDR MAIVGRFLCGYLSNHDTINQFILQGGPYHVLKSIVDDSLPPAFAAILTNHPLISLFTF LGTSRNISIADLQYQVLPTLQEACIDFNNIEINKNTISRLSRVVTCIFAINCMFRNAA NYNYSRIVQSYLISKDIVKLQDSASPCDYCPYGASIMSLYNQTVNMIAPIFPKLLEFL YFNSSEKYLQDSLLNDNNMSASLLNPSFQSHTHPLLIKKSNSSNNQINNIQSNSSANN TQDLSSNSNSYPVLSVWPQLSVNDFLWSTQIKYAYSNVVYYDKNSESQFKSSENLDLM PFIMELCRISCCNIRSCLIITSRLTNTFKKKNNASLLFRTDNGNSNNFSELSPLQYFT AFQIVAIFNKLFQSIPKLSTPFENIDNAGIVHADHIMHESPAYACNEYKKHEMDDKQN NTFYILLIDLSYKTGIFEKIMSLFHFSMSTYWMVLGKMIGKYSSENVNKMLPPLNPSS KLKYSSEFLSQLGEYILPKLSSVHNLNGLLYLATKSMHNNILLLEHFSSWKRYLNSTL TTHLHKSKFHLNDCKPIIISNSSTEINVSDSVLNSFFRMGFNSVELTESILYMIITNV QLWWKEVLTNYYLDMAHNNWLIFYPIKCLSSTFKIMLHIFDIQSDSFNSYITKNFELS TEKTTKSSIHTNADARISSSTGNDNLQSSSNQNMDNSEQNMDGSSTIQAENTVNSILE RLSMMGFDSRVSEHAVFCFGNNIEVIVEYLTGVTERNENKTMILEEAGNYTYTFKRIS KVLSEEFDLDSLVTYKTLSREDKKSEILRIYSTLPSELYYLSKNVYYSSPIVCDIMLK FSPLLKKINMLEKVLFHIYTSMKMLLERNQAIFSTESSNQRYQFIKEELAFLQDDKLN NDRFPIIFSSFYTSLNNSTPLIDSNSNFNKLNYQEIYSNLDTYSKKCAPTKKIHLSLI PDLSLLSILLYKKSDLKSFWISIDSNYIKLTSAQNKNSSKSKNKNDSAANSNADQSPE PSFHPLNSLLSFVNIFTQGHYIFDSRHEGFTFKNMEKYIRENSGESNVQTNGQGSLNN NNASTNNACGSSKNNSKSSNLVWIPINKEIESKENSDGGIKLISRCPIWFEYFCLILW RLLPLFEQSLPVSESSNTPFLVSQKNQKDIIFTMLDVLTHFPGINGSTSMAILGVVTI LTRKFNNSLLLLSYTPFYMMDAFKNKDKAQNIACMCMGNTLGGGLGVLYRLPKTAYFD GILQVLSLITIQVLEDYTILTQLIENKLDELFSKQLATQIQSGEIYGEKLNLLKNTEN NQENQNSDNLNNSKYFSFYPRNFVLNNKKDLEILEKKEIEYPFIVLSLNSVIGELFSY IQRCPDIFYQVLNYFCVLHSIQSGSELESNKKHNSKEQEVDSNSKAISESCNSEEISM KDENAKKDDKTHITTKFTTFNDIMKSQEIYSKYNIPLNENLLLQWKPLKYRKSEDSEE AGKDEYSELKDKLKFVQGDAALRPSSTCIFILMSIFDHMVLFGEISSRSKYVKKRGIN TFLRPRKEDGLFSLNLDSLTYIMIRIALTYPVNINRISKIPVLSICNEQNLSLWQEKK QEFYAYRFKNSSNLKLVVSCFCLNLQKSLSGIDVENKKELKSAFEQVFSGISNIIPNI NNLTNNIIKFKPYSFEFLLNQLYTTQTLKINFLPFIFRNIASYIVMNSINCMTSVPTC TCKKCKHAGNTNSGIEDFNHSLKLAGWQLNIDWQTYNLKCMPNLSTIRKSNIKDVHFM EMDHFIYFLHILIGWNKFVLRKFTVYTVNSLKNLLANLDKFKGNSSRHNWRSEYVNSY FLLNILQYLIVLFQVYPSISDPGLLRKTNIYNILSKLYIKLPYSDLSNIILFCMKNLI YSRSVMIPNSEGLAAIKEGSLDSKAKKGRASIGISNGNDQFGEDQLDVDLDQFGFQFH SSDEDFEGDSFEDNFSEEFDLTSLDGLDDDEVDDDEDNDEDSDDNEMDDDGEVDEDDD DEDDDENDGLFLDETMINDGVIDIEGEEDDLSNDDIVGDDDLTSDVDDVDDDDDEDDD DDDDDDDDDDDEGDDEEDGMNYDESDMGSGLSSEDSDVDELRRTPRIRDEYEFNYIYQ MNNQTNINGLEDVPMNTSSEEVEEDGGENINEILMRDAERNGQNRIILNNLRNSAFRR NTNSGGGSSGSRRRSGRGYNRSIRDDLDNELNLDDDEENDLDIDDLDDDIFVDEYNSE DENEDINILQGINNIVTNTSITPTLLISDGVNNTIVQSTENNITGNTNDNGNNNDNNS NNNNNNNNNDNDSSSNIINTSSLGQNNELEQALSESRSEREITGENEAGVDNSNNRSI YLNMRTPPHPLRRAELTLNTTMRNSSQVNEVTDDILGNEMSPAPLRRRIVSQGNSYLD DMMMVLLREDDDFEIDNLQFLEDLSSLGGVTGSAGLSLGGSGRDDLQVQFIGSQGVGT NLLNNFISSSNNTVYNNDYLNSNFYLDSTEAHWPPIPLNQRNIFINSRNIPLPFLILN SLTFVPNTSNLNPPSNNDLILQWMSYGGYLTNPILSHYNIGNISNLNTSGNSSGDVYN LNSFSINNTSNSNSQPAFGWHFHNDISLPTEHPFLTRNIIIDNNLGINSHVNSNSHGS GGNNNNNSNNLSWYSNGPLYESQRSLIGEIHSSSLRNNSELSILLDAIRNAENFTSND EVISCMQNNTSNDSSVANACTENGANNPNTNLGGGSSLTSSSLRGNNLNVSTLIRNIG DINRNNNLDRLLTDENNSTVSLTPFVLVSQATSFLRDAVSNKIPIETNNVDLFTTIIE EENEKQVFDLEERGDIVDETQLRNGLENLDLVQDGEEHEQQDQEQDDDGEDDDEDDDD DDDEEEDGDDEESEDSEENDENMEDERLIDEGANSSSLEQNSQTGNREAQVSQVSLSQ SIQQDQPEGTNNIGLEVDDHQGTAQGNLDNTITDASDTNHQNVEGSESFNHEDVPICV LLRVLEERIGLSRNRILEIVGVDTGVFYELPEDIQTDVLVLQLTDGETTRRLLDNMTL SDSGSRARDGDSSSLLNIPSSIMDMLPSSLRDVIRLRSSANGTSVENSGEDANESAAE GGDRSNNNSSLSTSNVNENNQGEDLENIQFITSLDPVLRQEVLLSATEEFLRTLPTEI AEEARALQQRAFPSYSSGTTRERGYQSNAGNSSHSGGTTNTNGSSGGNNSRRSNRISS LTLDGDESYISSSGGGGGTSGGSGSSGNNHANGSAQLLNLSNNLYDLLPLISNSRNGG ITTIRFNVNSSGNSLGIRSLLSAASALSDSRRGANTTSSGNSSTNQSGDHDMIATEDN GTNTLVSRIGQTSGGLNNTGGGSNNNTINLSTASGITSGGAVNGNNNNRRGAYQIRSI IGNQNGNINFDGGLNISASIPLISSLGGNIGSRSSGGTGNIGGAGSSYDILYELLNEI SQTSTPTSNWNITNEADGPALENSMYPLMNLTSTSSSSSAFNRRHNNQMSLVQQLQGN SASGSAGRSSSSSLSIQNILPILRSEYNQIVNTGGGNASSSGLHNISSIITFGTGSSS NALNNLSASSSNINISSSSNSTRNSAYNNSNYVRIFPILSNRNINSITARSSVNTIST GHTRGRSASTRRTGNITGSIFNVTRRRRGDGGNDDGGSGDDDRFIDSNSGSGNNNHQR EEQTQDFSSSADTGNNNNQILEENLSNMISFSDPFYGFPDKQFIFLYLQEVLTNIKNN NIMDQNLLQLSIIPEILCSSTFTRQNISKPLLYSYIFNMLGSFGPNQWMALDTLFAII SIGGTHLEVTPMRLLTRYLGNNNNGSNATNHQIQQFKSGNNYLVTYKDSSISGHFSNL VPYELLFTSLITHCDINPINMMYYSLLQILEILGDFITHLPVIVMYLLDEWDPILKLN KFIKKNNLSITGTDYGSQRRSISSAIMENGVFMDIKDEEIQITGIKKEDNTNENFVLE DNKIKFKSISSISSPPQSNKSKFEERRRVGSSIPLSSSVELSRKRKRRFTKEGFDLNG NGNINNNNNSNNNNNNNNDNNNNSSINDGGIQSVDISTPNCVIRAQDNMELTPYNPRS SIMSNGFPDNDDSAFEENLVLDANENLSEEDENMAMLYGSSSNCILYSCNEIIPGRNN SNGSLEGAEGVSINEDFNQIQSQNQNQTHTLSQSKMLDQNLSHQINHKNHYQNQSHSK YQSNGNHSLNGTLVSRIGNKQRSMMIQFNRLKRKLSNTKFPTELLGHSKFTPLLLLFE MLNSPIIQNSPQHTQKLLIILKKILNLKFDEIPKVQLELFAISNDLIDSKSESTYPEE RNNQDKEENEKLEIEMYNDNKDKKQENDDSKMIIDNLDDKKGAADEIIESLKKLTCEK IWKIITPKYIGEILKPLFNPISFIYNCNSVTLAQKENNSNSSNSNNNSNTVSKQSTKQ YIEILNSIYSNSQCRLEFHHQLRYNTKLIIESLVKNLRLLQSTIQKFMTIQQESLSKM ILDDGISEITPYVTSQTSWKNTIKFFNNMKSNQYGTEEKLSGVDDAILLLRIVNQYLD ILGDSVNNDNKYEWFKIYPRLSSLDLDSGKLNFGSLKYHIYSEFFKDCGFEQLWTALD QTLSEWNLLEQYLNGNSNVNDSKLEVNQSILTSTSTTDITILLLIPVLESCFTIQQIN IISLLGLSSISSFDSDSTTKLLNESANLLELKTMDEDLKSTTPSLIRTLSKNLNNQLL GRNGSDISVGSNNNCNEGSNISHSSSLNLKNLSQECIEKHHEIIKFSYRHKKSLNILL QSFPQLILPPNGSLVPLLRLAPMVVNFENKRCYFRYRLKQLRKESGSYRSGDNHQLRL VVRRNRVFMDSFQQISTKSPDQLKQKLHITFHNEEGLDAGGVTREWYNILAREMFNPD YALFRREGSKSEFNHPNPLSYINADHLHFFKFIGRIIGKCIYDGQHLDAWFTRSFYKN MLGQPITPSDAESIDPELYKNLNVMLEHPIEDLGLELNFTTTIDEFGRSKLVELKPNG ANIPVNDENKYEYVCLLCEYKTVKLIEKQLSFFLSGFHELIPARLIAIFDDKELELLI SGSPTIDLEDLMENTEYHNYDRKSQQIIWFWECLKEFDQNRLATFVQFVTGTSRVPIG GFKNLMGMRGPQKFSIHKSFGENRLPSAHTCFNQLDLPDYSSKEQLKAKLLQAITEVE ISLLQANTRGGNRESNLRYVYDRLGSAGPPTHPYENRLMLFEHEYHPTLVVALSQKYN DVFLKTLSKNSLEKALDFLMKFIRHNFHQCEYLRNKLKNELSVFLVDMDKYQERIQIL TEKYENLIKLEMNDIRKYSGTLKRILVVLLNRMLAHHREVSRTEYQLKGDKIKAIQRG LLFYQSLISYLTNIYRLIFCEKSEMSVTSSCVFIVKSITEYKSRCFSLSESLPGTREY EMYVDIDTESSVDTNKKKSKSKSKSKRKGKSTKKTTSKKKTPKSKKIIIKRNKTIVKK SGKKLTINEKRINKNFNSLFVKRLYEE cubi_00929 MASTSNEHELITKEFLETQKKFEQINERRRILIAQESENQMVNN ELELLETDAVIYKLIGNVMVKQSLDDAKITVSKRLEYITGEIESVNKTFESLQSKLVE KSNQHSYFSYST cubi_00930 MKEVVELPLPALIVITLLLSFGSALFSGLTLGMMTQDLLHLKIS SSSKTDKNAAYYAKKLLPLRKNGNFLLVTLLFGNVTVNTGLSILISELTSGWLAFTVS TILIMIFGEIIPQAICSRYGLYIGGFFSPFIKLIQVILFPLLKPISVILDKAVGKSNE KVYTRDELYTLLEHHSNKGIISVYELELIKRIVFSNSSVSDIMTQIDEFQIYYVDSQL EPNQIDEFIRNGISRLYIIDNHSTYSEFFIDNTQPCLSYSKKNDIIFLDIPTTDNKPG SNEYPDSPITSETYDSDCSIYNYPHSKSGTILGYIDLIQLSEQNKTFQSNSNNINQIS TIINTKSFKRLTNIVISNKMMEILRNKDTMSILSNISSIKDKIICIQGTTPISALFDM LYQYNFSQKDVIVIYSSVGDGYKIRYDGVITLAVLYEYMLSSEKYVVNELKEDICNNP LLRHQHPNYQATFN cubi_00931 MRTVYTVNTCNKFAALSLSDDEEDQQVVNKQEPVKSKAVIKENS SSLIYPKTEVPSTAPASTFDTTSKMSRGTRKGNTVHRGGRGGYTSTHGRVFDRRDASG KGHRVSKQNDSVEIEDEGVSKEKRYNNTQPSERSSNDQSDENVADSEDVQAEKADEDR EKETHMISYEEYMRKMAPKRLEVPKTETIKGNTTAKDFEKEGLQRYIRDDGSNTNAPK SNKNRTNKAVSKNDKGVFNYFELVDKYTNRSSFNRRGDDRRRSRGQQKQNNNLQIRRE APDVSDTRAFPVLGQ cubi_00932 MNASSGGGSSAGEVVTPEVQSKITSKLQEIFGDDDVSVLTDYIC HMVNHNQPKEHIINELKEFLSDEASQFGTWVFHLVETSKNDHSNNNGPNTNNDNNSGR STSITGDSHKNSSNSSQNKDSLDFAISNAIKNKSGSGRSKPYQPTSSHKNNHSVINNE SSSGPIMGNNRLPRVNYRNAPYTRSNNFNQSGTPYTSSNQTNNASTGTSSTHGKIKIK CKNWPNCDKGDHCFYIHPSEACKSWPLCPYGPGCFFIHPTVPCRYGLACYNSLCNYSH PNGWDPNHVEVPVFKYGGYKNSSLIINNNKPSQTIGNANSNSAESNNNDKTNSISINL NQENAEIPSYDSNHNGNAGNFNLEPEKNNSFSHN cubi_00933 MKKNSTCGLLLNVPFTSPICTINSIDNKVLVGTWDGYFYQVCLS GEHDVVVVQIEPSYPIRYISALKNADNNRELNTKAQISYELILGGVYKTKVRVKNRTN SPIIPLDELEVSPDAHYQIIETRSSLECFDFSNRVSGLKNKYLRSPLFLFSSTINSYF GFNGEKGEIEISLGNFYIPDREFVILDYDFLNNHLLIVERSPERLDYYALCSLQIIEL PRSQNNLVKEIDPNEITNLTEIPPKYILNFPIKNPLLITSNIKSELTLSSSAEYNNFK DFNHIYKYLYSTMFKMSDFRKDRFNIFKSMYNLCDAKFWGCNSFVFLVSNHTIIGVDI LFSKDNELITYTSIKNKFCIKSPDRNITSISTTNYNYMVALTEKNRVFLSNRNGEIVY SINNITPNKSNFKWVWPVHVFVMHTGIVLFSTTKGLYYFYCDLLDKEKVSCVNDQSFT IDLPN cubi_00934 MTLFTRFVEPGRLCRIQYGPDTGKMCFIIDVINMNRILIDGPTT DVARQSIPLKRLTLTDFKAKIPRGARTGTVKKILEKDSSIESFNKTTYGQKCAAKIFK ANMTDFERHALLVARKKRQYLVKQILKTKKN cubi_00935 MGNLLGRENNETRYPVREQRTRGLSSSEYIYSEFSNRNDPRIPL YSQRDRKLISIKKSKAVQNQCHINGLSIHYVPSLRRIKFEYDCIQDSILNIYNSDSLD ESLINTEKPASSHHIPKSLNKEIQIDLKSDLKKNFVLEIKPKNHSSNSAGTTQLTFCE QSSNKKNDVLENKIEIKRQCVLYNGKAFEIQNIFGLSNNSITASKNNEDSESCVICLT NNRETILLPCRHACLCTVCSETLFKNTQDCPVCRNSVLGVVNIENNR cubi_00936 MKNIMRSRPSSSSSLGVNGMSGLGVGGGSNLGGNGVGSTYMGSI AKRTELTEQQKMEIKEAFELFDGDSIGYIDVKEVRVAMRALGFDPKKEELRKILSNVE MNNGMVSYSEFYDLVETKILQRDPKEEIIKAFKLFDDDGTGKITFKNLKRVAKELGEN ITDEEIQEMIEEADRDGDGEINQEEFIRIMRKTNLF cubi_00937 MEFNSEKNVSIAGIRKKTRKAVRPGVGESNIGIGSTSISRPSLV GIEKQRHEESNLSSIFSKRTTNLKVERKSEPGDENRVVSLGLNISSMGLNNRNKDIDG KSSILKKSFKEDMIEQTIVVAKEDVEKQAELNSGLNCPFLVGSGSEASYERSNDIKTV LRKNKYDSRVDYGYNYGEDMVSLKDLISGTNDESMGMFSTTHDVEELSKWFSKMVSIF ETFGLNIQGNLEKLEQDLEADTKDLRNFSVDFEETGIGNNELVNENNTQYDPIKISER YSELISLKNKLLEELSNVENEIMLEEMRIKKEESERRIRIEEYKNTREIYKDELSSLT IIICWIEDCLQNFVYPIQKKQSEYKMEISRESEILKLIQEEIYKIEKQEKVVLEKLRE IQEIKRYKGEEKEILEEQIRLSQASKSDKIKNANFKEASMISQTIQVLQKEVLELEDS YSNVLHQEKEFMEKIERIRKSHQDETNRMDACKHEILLNRKQRRQQLKLKVEQLKECK FSLNSEAISENMSIILNSLSEKFYSQIHSVLSFEISLMNKQDLEDEREHGIREIQTQN GGNETTETTETTETAETISLLENQKLQATSDSESESKSKPTLNKESPPTDKNVSAPED YHQPKIQPNEQIPQFKDSDCSFSDSYNDDKSGLDGKDHDSQVVSCDLVDTYNTHNAEQ IDSNLDQTTKLESNNSKKEFGDDDVN cubi_00938 MSDSVNARESNVYMAKLAEQAERYDEMAKYMKDVVEARQESEEL TVEERNLLSVAYKNAVGSRRSSWRIISSVEQKEHSRNAEDASKMCGKYRSKVEAELTD ICNDILTMLDKHLIPTATSPDSKVFYFKMKGDYHRYISEFSTGDSKQSSAEDALKAYK DATVVAKDLEPTHPIRLGLALNFSVFHYEILNEPRAAIDMAKEAFEMAIEQLDKLSED CYKDSTLIMQLLRDNLTLWTADLGASGEAEGAPEAS cubi_00939 MPPAGKADPSEVKYLYIRQLGGEVGATSVLAPKLGPLGMSPKKV GDDIAKGTAAWKGMKVCVKLTVQNRQAKIDVVPTATCLLIKELKEPIRDRKKVKNIKH SGNLSMNQVLSVARAMKFKSRARAFSGTVKEILGTCNAIGCTVDGQKPVDLQKMIDAG EIEVPTA cubi_00940 MEEISEGQTELLQVFCEITTCDVATGRTILESSNWDLENAVSLY FEQQNMNTYEDNNFNATREANASNVTGGLSGNFNEQGILVNQANESISLSNFKLNKFF RMFFSVCRTSLSTIFGFFKTLFCVPKLNNGIQDNISMRNSNRSVITQIEQAQIEEARR IREEQDLEYMRSLNLDSMRQEKALEKKKYKDLIKERREKFYSEFQKEREIDKESCSRV CVKNSAGKKFQRNFHKDDSVYEIFKWIDSLGLDESNLISDKFSLRLPHSKSVEIDESY IDNKITIYEIGFYPNTLLLINNFDEDSDN cubi_00941 MEDGFDEISPSSSQISNEDVFNIEEEMEFSGLTKDYSINTSNSR VIENEESGILNNEMVDLVLDYVSYKVGEGSNATGIEKVDSTIQNCRNSGFKKSTILNY LVNSGYLDLNEGGENGESVEDSLSYIQSMLHQKSKYIPENVEEITLAVSSATKLFILE LMGKINKQLNESSSNKKLITTKHIYDAYISQKKLIPDL cubi_00942 MRNVHILGLLLVLLLAVTDQGQSLKVKSFFFGLGGGDKKEEKHD EKSEGKQEQGPKPTQDTNGVNPAAGVSKSEGHSPENPSKPPEAKQNEGHSEGGHSGGG HPEGGHPEGGHPEGGHSGGGHPEGGHSEGGHSEGGGHSGGGHPEGGNSEGGHSEREHS EGGHSERGHSEESPPGNHPPSEENGSKHDGLPFIPPPPPPPPEHEPEHPPFSSPPPPS NPPSGCNCGDEKPLSDCCHETVYGQRKPGEKRNATITGTITGTITDSVLSAKTGDLIT VKHDSDRPNPECDHSHKRSPTTVTHEAHTNLHSIQNGKLPSLQASPNIGKGKFPNGAN FIPLVLDANTGQYGVELKTMDLLVTGQEEKIKPFDMLIPFVNENGQIKFVTRRRLRQL VKSGLSKGFSEGVPPFTQEALAEGIAEPKEKRDEEKRDEERRDEESRDEEKRDEERKD DEEKKDREEKKDREEKKDRESSTAENGREAANSAKSLLQEAEAVLTGGSLFEPSEKKD EEKKGKGKKDDDNDNDKDKDKDKDKDKDKDKDKDKDKDKDKDRDKDDDHKENGRKNHG KGEERESSSERKDSSESEDASESSSSETTKSSKSDDVESAIGVRDSVSVHASEEEEEE E cubi_00943 MFDIGGCERLGNMEISQMNINASTNSQEEVEGMYFKMERPRCMN CCVCGIMTEINPSRMCINCIRSEVDITEGISRQAIISFCRQCERFQKPPWVSCQLESR ELLALCLKKIKGLNTVRLVDASFIWTEPHSRRLKVKCTIQKEVMNGAIIQQNIVVEFF MQAQQCDDCRKSFTPHTWNTVVQVRQRVEHKRTFLYLEQLILKHGAHEKVSNIVEKSD GLDFQFQQKSHAQKLVDFITHYFASKVKSSRQLISQDLSCNTHNNKFTFSVELCPICK DDVVFIPKNLCTSLLGGISSLMLCKKVTNRISLIDPFTGREADITKEKYWQYSGSNSS SFIPLLSRSSLVDFYVINVDRIRGRHSVGTDQSQGISSKICIAEVEICRESDLGIPDS SVIVTTHLGAYLNPGDWVSGYDFRTLNNFGVVEDISEFIQWRERNHDVILVKKVFRKN HNNQDSSSIQRPWVLQRLPKELAFDSTNSKSNFNQDLEQFKAELEEDSEMRRDVNLYW DPRIQESSREVYQKARKPRHLVKKDKVSKNHSSSHFESDDMVMDDGYQQDHDEEFSQQ VDISELLDGLSINDLQ cubi_00944 MNREDNPSSGSSEEVPQENPDNHEIPGEEYISEDWNPVEGSSGT TDSIKPYQDRENNSDVGIQASNSVSSDNYVVVGSSESEVNGEKKLKIVVRPLNGRDLT VEASQTSTIRQLKVLIEVQSGIEAINQRLIFRGRCMLDDDTVGAYIKEDGVIIHLVPY ARNQRNESSAQSTSESRPRASGVGGATGNVHNLFAAASGMPGLNTSIGGFPGAMMFGA IRLDGETGLPMEAEDLMQRVLRTFGDAVNGNGLDPNSVGASSGTGDNRNISSVTFNSF GIGQPSTISGLSGLVSSTERRNTDTFPSNNETRRNSTSTSNGVSTNNAANSSSTNTGT ENRGSSNIGGSNIDHTGSNTERRSSSNSAQNHGSFRGSNGEGARGDPMNSNPIGRIGT IVSRIFQAFNQPVGEVIQEGSTRSEGRDSQAGAGRGTGTRTNAGSNTNLGSGNTNTGT SERTSRNTSQDTRGRVIATPASGLSWTQIASSGALNTARTGVLPIGRPGLAVATIPIS NFSIPITIRATSSAGIPSINLSQNSNVIASGATTTSQGEPDSGRVPHDTGNATESSSN VSEAYRTSSDNFFKNISNVLEDSANQLRTVAAGIREMSGLECTNGREPNQESFSALPP IPSNVSSRSVSGENLVLGQASDYIQHHSHRLYISNEVVHRFLPWDSLNELMDVLERDC GWRRPRITIPPPIDSMDSGPLAIFISVYLQAMSIVQSNLMQIQAWQERFARLDIPRLC YTVHLLALISHISAHLGSLLAWLFQNMAQYVEQDRLIEGLQWNRSSKDGENSGALEND GAPEVTKRINIDEEPSTELIGDSLNNANLEQNSLDTQNNTIGGVEMLERSDSSLGINN ANANSSSNLGPDLSNEIVINQLPKEIREKWERWTGNPQNFSRNVFASFSTRPFSDTYQ QGDVFSSSSSFGFQQPSNESANVSSGRINSHPTTQELLRMIIHQTEANLNISGSLNSR NYTNIQNEYRSMLIRDLINIARNDSDFLNDRSRFPYLQRIISLLYE cubi_00945 MADYGTTEYWEERYKKDSNPYDWYQRWENMREIIKDYLKFDDKI LVIGNGTSRLPEEIYDEGYHGVEAMDISTVAVEIMHERFASRNIPCQVSNVLDMYQYS DDDYNVVIDKGTFDSILCGENSHINIDTMMRELVRILNYEKGRYICISYGQPNYRLNY LKSIKEWEVTTIPIKKPANDQIYKLKNYNDEDSNSQENTNITTSTRPDLYHYIYVCTV INKNVPEIGNSLDLNDDTGNVETDNYENKDNLELNTDNSQENNSFSQDTEINQENRSD EFQICDENKDLDNSM cubi_00946 MLVNNENVRSEEENGRKQIRNGTIVYKIVNYMSSLIGGINDNMG RNNPCIGILSPMSPIMITDHNRTVGNTMVLPSPEPIIHMIPNQNMRNNMFFNVYNDRN LVNQFGPRVTPYTNLNNGLLAISQKGDQIVTGNISLMSSPTGMCFNSSRNRLANPRSY FSRLRNPIGECEALVKSPINSCFSGHISKANDSSVTEILVSPELAQTLQQMISIISHQ IEIDDSENSLTPNKVKGCIKINRYQRINKKSTIGGLNYALMSYSASIIQKHYRNFDNN RIVRYVNPITRSKFSKNQIMDAIHVIQRYWRIYIYRNQLMREFLVKSVMTARNVASCK IASLWKGYKTRKFMDSIIKDVYIKWIWSNDGTISKNHSFETNSTQSYEYTKYDVKLRG SFTCKPWQEYLKLNWDSQENCFLVNTCLTKGIHYLQFIINDEIKACGSMEIVVLPIIG VSNKINIVGEAYKSSRKFILLFNILINFFFPFQ cubi_00947 MFSRLYNRNLDNNHQNSGKSNRIRITLKDRINSKESKNLPVTES MSFINHGERDDYSPIRRNNTIGRIIQNGSQHIFYPVNPNNNFTRQPIRGCYNSNIVHN SHSKIQYKGSIESVTNNRKSDEFNPIQSLRSSPDLVSKVPSNIEVTEDQELRKVKTIT SSIPLELIERDSLERLKSSKSQINEIEDSENERLNSNRNRHGIPFDLYSLSEDSMGKI NSEFPVEKEGPIIETNEKNKKDDVLVRSISLNKEKLPNLEILPLKSFTASEETMSNQK KTSNSGENCELRALINDKSKEISGLIPKLNKSAFYFVRFNLLLHLAAIVIQCYFRRYL CKKYLYFRKFGPIKLLNSSAMQIQACWRSFLTRFGKSLCGETLSNNRGNGCMNCYNWS FLHEKNFVEIVKKRNQNAKFIQNYWKNTYINNINIEREILTNSIFSARALARETIERF LLGYSVRRNVDSKYKLVPIKWGWSTQEISEIFILLKKRNKWSEPKRMLFNQEENLYKY HLFLSNGTHQIVFKVHYKNKELKEGQDFKILCDSSLETTPNEEFQFVNNISIYNNNYT TSAFKYLRNRISSINFLTSSNYVGEPNSDIVQDLEQDLSYIALSPSYKESLDREYSET EYAGSPYKNSGRLKNDAISTPSTNLDYSPGIQHFVH cubi_00948 MVDNTRGEKDLTGLNTNNNEGLDASSNLNFDIQSSLLATKRALD EDMKNLSIVNVDAGNIQKLSQLFDISLLQAENILKTNNNSIEKSVDDLLLNFSDFQNS RLKYQF cubi_00949 MEMESSVLNHPLKKKLNRVLELKLGENEELINGLISLDTVDDVS EGIPKQLVMELLDTKGQNKCRSMHFSIYKYHLMITENCLDSLRPFVEDLRAMNNEIKA FEKEFNESITTQLTNWHKFTFPLLEEFKKIQDQIQIVENKRSMCNTMLSHLSTNFVGD IKNLKSSMDESKGNLLLSLVAIYNKCMVSETNSKRLIEIFSSDSQKEESTSESVLSKL KNDPEYSNMIPKILINMKALILDLELKRNQLASEITSFLIDQVISKSPDEFNDQFELS QNTEDEVDGMEKGAEGKVCIFGSQSFQEAVEILRLYHEEQCCSLLSCVIETRSKYLEY RFNHIIEHGISIGDANYSTNLLDYLESIFEWIRVCGVSIESEFLVKAFGYEVCQDCEF EEKHLDFNQNKTSGPNSVDEMANNILNSITHTLCIPFSGAIRDILRQFHPPRTSRPDS AHHFGNLTFLKTGITIGIKIAHLIDNYIETLIPLFKVLPKRSSSSPKQVRLAFPLLIQ RFKDLREEAIAQYYVYAGIVKENVSSRISEILTVDFSISLLVMEWSSLLRDILSTIQS GILVISNDNTPNREDINSILTSMLETFINPMFNAICSVATSDETPLASIIRINNISYC LSVLTAYPKMNFLLKNQIEIADQIINDEVSKLTNLLKEEFCDKYRFEELLKELEVKKK SLSNSQIVDESSDNLNSKSNSNYINNESEPGQDPLLILSQVIIDQFFESILRFGAIPF ANADRIQDKQIRNSLLKNLFEHISRQYELIFDQINLIWPQESSFLKHDPHQIKLIFSN LTN cubi_00950 MSNYKQTELKRNKGDSKNISLLSASSLHEGHSNMQMWRRRWEPC LPHILRSPLLVKEVSVFEGMGRMERSDVSAYFPLTSGSSLVKFEAISDGSSSWRKFPA RRIGVVLSGGQASGGHNVIAGLMSYIKLCNQSSQLFGFLGGPEGVYTEKYRELTEEDI NGILNQGGFNVICSGRHKIETEEQMKASLEICEKLKLHGLVIIGGDDSNTNAAILAEY FKCNSSSTVVVGCPKTIDGDLKNEVIETSFGYDTAIKTYSEQIGSIMDAVRTEKDRYY FVRLMGRSASHITLECGLQTRANMIIIGEEIKENNRSLMSIVDDIVDMIIKRDSLGKR YGVVLLPEGLVEFIPEFEALIKELNLILLKTSERRQIIDSLSQEMKTLLLKLPSDVQN QLLLERDPHGNVQVAKIATEELLVHMARERLEQIGKAHILDSVKTHYFGYEGRCALPS NFDASYCFALGHTAAALIDNQRSGYMAVVRKLNLSPEQWEPAGCPLTYMMNIELRKGK SVPVIKKYLVDLKGQSYLAYCQVRSEWKLNDYYRNPGPIQFDGPNSGITNYMISPPKV EDLLRIEDKHEIKTKNKSSSSDNFQTSQKDEIKIPKILLSAASRFNDDLIISSSCRDL EASIITKCLPHQTRKHNNRILQLREEENFSGHTEIRENSQCKSSMSNSFACDSLGLIL SCLSTPGAQNVICGLVSGLPSLKQLIVFKSLSEFLQGKALKVDLSSEGSLKFFEDSLN SGGCTFPNGVEVTMNSFSEKKSSLTALKPSEAQEFANNSCIFSCRGLTSNDFLSQLLS FFNIKAFAIVGNSEAATFGASLSEQLICMSLNNIKNEIPVVFIPVCLENSISHQMIET CVGFDSVSKSISTLVGNLLTDSASATKYWYFMKIIGEKTSNVALEVGIQTHPNLVVIP ERYTDGKLSVYGSEVIGATLDDIITEICDIICLRSNQGNNFGGLLVSEGLFDQVYPTR EYRRLFSRFGTQNLNNVLKSEVSNILSSENPSKYEKKIIEDFKLIFSDIDAKLIENLV NTKKICDVQTEVILASLVQKELKFRRSKNKIKNGMNPVCFSFTDQVRACFPSDFDSSL GFMYGMLASKIINSNLVGGYVTGIKGVLNQTNNWNMYALPISSLMTLDIERIKEVQGL RNDLNVSLESKKLLTEGTKYETNHQQTFICKLNSINLSNNASFRLLMNHIEKWEVDNN YANPGPIQYYNVFKNLFNRTLFENEYIYARSLKEIDQILLEIKTSCQLGVEKDVLNST IHHLRAVQNSITIMNNCNHRKFKPEQIECIQKKNSISDYISNLRCRNNINETNLEMIV DNVVNQETCN cubi_00951 MKNLLAEIGSKTLDQMNYNTLKIDELKELLKERGLSVTGRKQQL VQALIDYDTQNSQANSTVATTLTTTSVTTEKEEPEGPTAVLNSEIQIDQVEKNSNITD SLRQTICINDVNQLSEKERIELRRQKFGTCEPSTEAEKRLARSKRFGATSEYDKRKLR QERFGMISEADKIKNRRERFGTMSRVNDPDHEKKIQARKLRFGLK cubi_00952 MRGRKEVSNKHYCQICKIWIENHPNNLRSHQEGGRHKYNLRKLL KSENYRDSQKKKNEEEIRKEFMKLQGVVEKPSQEKKTIKQIDKVKSTNSSVFADNVFK NSHSHENLHNCFESEDYNENHTDKKNQMGIIGQWEEVKESESAFLGDTNLKSQPHNPF KFTEGCVQIKKNNLSQKVYSCISELEGNFEEHQFDQKLTSNIQKLPDEKIKIVFKTRK TPNQIRKD cubi_00953 MSTQIWIEKYRPKVLDEMVGNEEVLTRLKVLAKHGNMPNLLLSG PPGTGKTTSIHCLASEMLGNKYGRAVLELNASDDRGIDVVRDKIKTFAREKIDLPEGR HKIVILDEVDSMTDSAQQALRRLMEVYSESTRFALACNQSTKIIEPIQSRCAIIRYSK LTDAQIRKRLFEIIKMENIPYVDSGIDTLVFTADGDMRIIINNLQATYHGFSMVSRDN VLKVSDIPSPEKIKSILDSCVKCNWRLAHSIVEELFIGGYSPLDIVITMRNVLKRYQL SERATLEYLKEVGRCHFVMLDGCATPLQLDKLLGQLCMISARCGLNSNQTN cubi_00954 MIDIPELKETLSWDDIYNLLESSLRISSNLDPKLLVNTILCECR KVINCKDCNLFIYDSINDVFYHGFDTSKYIISDSLLKKVLLSNEYEVLTNLGDSDNHQ LENNHDIKTKRFSNNAIYLPIYNTEKESPIAVLEILDKFNLDKDGIEIEESFSQIDIV KLRCLSKIFSISVLNCEKYKEVRNTKEKADNLLNLVQSLRPDLGLQSNLFTLCIHAQE IIESEHCIALIGIPDRQQIISLISDTGNELLFNYEIGDIIHKIIETRHSLIIQNTGDD NEPRFVNRLVECSCSSIRIVIGSSSVSTNNDDQFIRLLQKVYGGEKPIYNALVFPIYA ERDNWSCIPTPSQRISSISSLSSAFSSFEIGHDYEDLASVSPRNNSILNSSIISSSVV APSSPKSDRVGRSSLINQPHTNTRSSSLSPSTQFVQGNNTQAISLIVLINRLGVFKEK SKFTENDVRLLEPFGRIVAPNIGTLFQTSLFSYLQTIYNTDRNISFNKYQDKMPQDPP PFAFPHSNWKNRHSDIIFEEDEDGAKDLEK cubi_00955 MEALKRLIEKRTERIAVVEKIGSSSEVEFILPERVNFYFATLRI LHQLRKKTPEPVKGMQIQEIISLICALDQATSVYGALVTSPKSDIENYEEKVENNMRI IINSINTLGFRDVFQKEVQYSNKENLDLHKAQRIIPGVFLGGSAVASDLKKLKNLGIT HIVCCLQGACKFKNEFLYLNIPIYDTPFEDISKYFSSSYDFIHNALCNSTVEKPNNVY IHCAAGISRAPTICTAFLMRELGISSIQALNLIKLSRPYVAPNPGFLNQLYNYQLFLT SAKIFRKKQQISSSNQTQIYAQQGIPCQTHLKRNVGRIK cubi_00956 MKSFLKNEKCISGYATAFLAGGLSAWLYLDSRKKPESYLFGKLG KSYKVGCASQNNTSKKLHHNLINQNAKFKFEKSNYVELIGDQGPVKNGDLGPKKGLAK VSISAIQVNANNPIEDRLLIQRMKLNFPDGESKDFVISAVIDGHGGWQVAEYVQNNFL RVFQKELNQYMSSLKIEENSDKSKKTSHIDETDIIAGLLYSLKKTYYIIDEELKNKLE VAYNLGFSKLASVGACTTVSIITEDAILTANSGDCLSVYCNENGIWLPLNEQLSAMNP QEQRRLEEIHKHEKDSLIQCKQILYEKLLMGLYTIPKYKGCYIKGILQPSRAIGDFRL KSMDFNYNWEKDLSTEKLMPTFSYVLKDIGGEKSENERYGEKEDLNEDEFPYSYDLIN GNKSINMRRDSSRYFVKNPLSFPYVRSEPMLHLFFYNSLNKHLSSTNNTNVEKISPNE YSLTPVQTNSSLKVECITPEYKHLPISEYSNSSEFFKDFTLSKMRNAYSYIQTPIDSS KRSYLILGTDGVWDFLTPKDVTNIILNSKSPDDGIRKILKKVLNNAGVDSVEKLKSLP KKRKVFDDTSVILAEITPNKQDNN cubi_00957 MTPKMFICEVPEKVVEDGDCFWIQGSVVDVEMGESGELEMITLD DGTSSIKISIKDKLKDVNYEGFNEKAVVIYRGLVEELVQKGKYISTVCQLNEGLFKVL HISDSINKSRDSENEWINSIIRSRNFREDEL cubi_00958 MNTMLRECEQLKNSILELANGILNISENKNLPILLNILMDGIGA YNSMISRQSSSSDSLLEMSFWSIELMDTIYATNGGSTTLLDYTLEKIQDQFPSILNTF DEINYLEKISTKCNINEIYRGIVRINFGLDRRVKSLEEGINKHIKSVNGSKTQNKYNG EEVLLKIDHLREVSDFLKNEFISLIKEILFFSKYLGIDDGYNKVVDITPEADADSTKG TYDDLISEIETVCEMFQNGQIHKTREIMDKIISNLEIEEFEEILGAFNLLNWLKGRVS ICLGQNLENNIERISAICSNKRQESNSDLEKEEEKLLNHQETRYYDED cubi_00959 MEIHSSTVGCQTECTSTFEDMQGLEKSYSMVRVIGEGTYGIVWE GLRKDTGEVVALKKIRFDSDEILDEVGLPSTAIREIVLLRELKHPNIVALLEVSCTGM QIWLIFEYCETDLRRYLRINRKKGLSISQVKSLLRQLLSGLAYCHGRRILHRDLKPQN LLLSDSGNTLKIADFGLARTFTPPLKPNTHEVVTLWYRAPELLLGQRCYNCSVDLWSV GCIMVEMISGKPVFPGDSEIDTLFYIFRLLGTANESNWPGVTQLPCYKSVFPQWKVNP KLNLHALLPNLDQAGVDLLSRLLQYCPKKRITALEALQHPWLNTNTQNINVEMEI cubi_00960 MKMQTCNSAMLHSEYDEHALTNSKKIFLGPEICQHYDFERVSHY YNNQCLNPYDHSSTIYYNGILYSRNENIVFNLKMNKIITASSSLKELLGLVNSHIYYL NEKNMASILYKIATLCQNNNSKGRIKRDERFKFLLDVMVFRSNFPSRFSPKELSSIVW SLVKLGMNNHILFEIVGNESIIQLERFVSINLSIILWSFAKAEKFNKNLFVYAIPKIL SELENLEPQQISNIAWSYSKVGLISPHLFENLKRRSIKTIDKFLPIHISMLCYAFSLA DIVPKDLYELISKMEISSFSPKALVHIFWSFSLAELKFPVNWVFWILDNERISFLSLH ELGLLIYSFSLNFIKGSVLIRHSKLSDDNFISNNHLQKTSITKALSIKDRDPENCIIE WNNSFEFLNIIQVKPGSNLNDYDYFIWDTLDKISTKLYEKFRKVQEISDIIWILAFIG KDITKFIEKANKKLPNELNQIINYCDFSTKPSENCCTGIEDTSSVSISSHTSPFSTCC NSESPVGQSSPATSENTNKNLDRVSTYTINKLCDSEVHISLIDYNQSSINSESNHSIS GNSNSILSTLALFTYNLLLVFIVLFY cubi_00961 MKLNFDFQGVFKSINLKENFNFHNCISAYKNIKSYAANAFKSCE DDISLFLEGKPFVLSNINYNEIGNKLIKVRKNIENGLKGGKGGFGATIKSQKRKVNVK ELTVGLCRDLKTGKRIKDLNNAKDNSGSKTKDNTVVKNCSSVTNSNTVSSKTSESSCS IINYENDLPTQYQSHILNLEDKWKNISSSVDFGMKNMNKKVDV cubi_00962 MFLSEMLYLKLLLNLALLAGQILSEPLPFSSDEVTVVPFPPFIP VAGGYVPEPKSNETIEYVQKSKNDYFGNELSQIVDSPDFHLVTFHNGELNKQVWAFVL GTEKKVFAYRTESTNIIDPLHLGSNWNVISNTESKVWPVQMGFVYPSCHNMVKDSGEE GVDCGGPCRPCSTFASCRIGLDDLRMHKTLRYSTCIGELRHGEFCSLTCPVGYRMKDS TKMKSEIYSQCYDGRLNQLIFGNMQAPMTGENYYSREYRGFRSMLESEVSHLGQEFNE LCESPFDYMCKYISLRLKRSNLDEDGEGINYRMPCEGIFTAVPRYHGKKNYWVSVNSR GERVTLFWKENSWVCINTISNTVLGYVQDSNPALLLSEEHKSTLIWIPWSENGPLKQN RAYITLRCSNKKPREIPGRCNSLTMQGWNYGTNYNGIWVFVGESTDIFNGDVRGIYIK PYSNLVMLYNMYKLQWEIYERLGDVSYEKLKQVMRQDGKIKDRVLNEESSYLMENNKG WVLRAMNPHPNPSPPSGKWITTPKNNFEEENLLRVKYYHIDIYCDSKVTTLSGGELNL NPLKIVRATDEEPVVCSLEKPVTSFLLNLDFSNLSFKPKNNYIDRNEGEFAKDSCKYM EVKGTTIEATECSGIFRKVDLNQGETVWAKIASNSRIVLFYWTGIKWICTRNDSSNTI LGYVENERLEEGYWLNKIDSSKGAYIKTRTTLRCFSRHSQESGNKHACNRALLKGWPV GMEALNGNWELNKYEIIGKNKNENELGKIKEIKYYEHRKSDGCKLYLYFDDEVGYTVI TNHHPKSRTDLENKKDKKTKYIAIYKDELQGTPILKGQDSHHSQWLHYPIDGNLGNSN SPKALVSTYIYTKCRDNEEHSSMQKSQSIAWDGEESSTLKNNLEGEEKKKFQENNTKK FHRNSISNRRLAKIKAKNSSSCNEYKITGFNKPLEAFNGIWRKEENYKKERQSSSESI LELYKCTNSNDKDVYIYYSETSLNKTGWFLDYDLDPVNGFIALGTNSTSDGITSFWRL WNLTEKKWNNFELNLDCNDHINYSNLDSKKTVFTTTDTKILVDRSELLENESPKELSI IPFEDVEDHIKTAPVREMPIPFFTASLPLIGELAGRTFPMVKDLSNLVPKRPKPTNED LLGSSVTASAILPNLNIFSTAEQLRQNITKSRQNERPSVYYDPSLLPQTNMNKNGIPS VMPYDQFGPPINVYNPRNDIPGYNYLSGQQNMFYPPVVPGSINNNNIFDPNTRPNNNF FYNPYNNNNINGNQDQQNFGNEMRDISYLNYQQQQQQQLLQQQQQLQNEQLQQQQQLQ SQQQQQQQQLQQKQQKQQQHQQQQQLEQFYHPNQQNLSSQNMDEIPTLAGNPGNNNGE LVYFANGNFSKGSDNLEMSVSTTTSTTTTTTTTTTITTTTTTTTTTTTTTTTTTTTTT TVSTATAAISPASTEISTANPNESNLILGVPEERKDCLEDKEWVVEHGKLLSESGGIT NKYKVIIVSILDQEDSKLNYLQGVYSFNGIFNGRPSYRHFVNGIKNESNNLPELKAST VEVSLFYDKRLRSWIFGDLENIGYNTEESIYLRSSQSNCLVPWDSTITWRNATNTASL PLIISVRAGESTNI cubi_00963 MHKNKSLNNHQEWDNKFAEEYKYAPLIITEKISEYTMPSEILLY DPTEDDIFTKFHVGSSNEFKIRQIFLHVELLKHEEEMLIEFDNFLTEKNIKLPEFINP LILRVLMFNKRRHPNTYIQRSMNHLISMFKWRVSMYPLSDMESDLRKDLESGIIYWHG RDYCLRPILTIRLSKVNKLFPLERFIRLIVFCMEWGMRYLMCPGKVETCLALIDIKGV SLTSFPISTMSEISSLLTNQYSFRLYRMFILHDSLFIQTVWSLMKQFLTDLQQHKIIL SRNEIKSQLFKTVHPNQVEEHFGGLQKNIVAPFYPFVFPPGPFSDPKLHPKNGVTRII NQFNNIQMDSFNKVTNCHLLFNQFNVLGKLLYNNSSQNKIIWDEKGLEELKNSISSYL NINLENDKNPNSLNSTANSTNNRTHNNHYNLHNNNYLENNFSKKNIQNSNQKYNYGDI EQIEMTESSSYLEEDTNNMKLSTLSLPVKKTLSNHKEERNLSAEINIGIINTININTD ITNIGDMSQHFIREYSVLSESPNLAENIAMIIEDSQSFFSASSSLYINDDNDNDNDQH IEDLHHSLLIDNPCYDHGIFQSFGVLPITMENFKHSGKKAKSSLKSLDSRKNNVIHQS SSDSLNLSNTCSSQVSSLIISDNSNEINCNTQIVENTKSNEEILNQTQDLKNLNDIPY NKCTLPQEDDSQIIVERSYLVLSPPIIDNNRHPVSSLNTSSCLSSMGNTKLSDSFSYV SKSNENPRISRKSRIKSKISKVINRIKTKSSIIEHF cubi_00964 MTSEAGLTTVYYFIPLDGDREDNPNTFKVQGDYSSLTIKQVKDS FPLPGIYYFRFKVRIGNTYAWMDPLSDDDIVPLYDDAIIAKVLRINWDYNCRLTHRSK NKQDAHSATTRVVSPPSSLSGVFYQQKNQIQHQPPTKTSQPHPPPPPPPPPILRHSMT SDTIPSTSNNVDLIDLNCDYPKWNSSSGYI cubi_00965 MSEWDDMVKEWLIDTGNVCAGGLCSSDGAFYAASADQGDAWQTL VRDDHEENVIQPDGVSVAAEVINDQSTIFQAISEGKAPNGVWLGGNKYKIIRVEKDFQ QNDATLDVIFCNKSQGGCFLVNTKNGNVVVAVYDECKDQSSGNCKKVALDLAEYLASQ GY cubi_00966 MRSKKNYGLYKFAMALSKAARSFMGVVLNYAKRKKQRNEETSPF AIRYRAFRDLINNINSGSQDGEFFSIWCVDWDSIIIGEDNIKHGKPSTLSFMLLNTYK SDLPPLKVDIKLYSIGMVRFIVNETDQFIKLDRFQLPYNDIIKDKFLDAHYLIKSKDK LKINTAKDENSISIEFEIVNLSTNNDLDAEPEKIISGNMDRVQQKTSKKVFKLVLTCN HFKVETFVDDCLIHSINPNGNFNFERVRPFVSKSHPNIKRKDLMKIANAKKASQIDEE VSKIYDSIEKKIGITNYFEEISSLLKERRYHKKGWGAFESKLKESYGNEYVNGGNIME IIDSYDVYSKNSWHDIYDRYPDYKIYGPTCIGTDIQIHNTSNIYGASEHSTEINLPEY PSSYRFYNLDVFTYKNDRPDALYGSIPLVLSVHNNEDNSFISGILWLNPSDTFMDIKR KLNKIDTWWVSETGIMDFVLMVSDNFDSFYYNYHILTGFPTLTPRFALGKHQSRWFNC KDSDVLDLSSSFEKSKIPLDSIWLDIEHLNKRKCFTWNPDHFKDVSEMLGELDIKGRN LIVIADPHISIDESYHVYNKLQNQSSLPNTIIEDGNKILINQSETLSNSWIRIRNRQE WEDFVGVCWPGKVKYPDFLNPSIRDIYSTFYTNKHYPIMSYSNIGFWIDMNEPSVFSS SELTLPKHSFHYNDIEHRQVHNLYGYYHLKSTFNGLLTSATQRILSLDNISNTNEIVS SFHGSHSAIDKALATENKRLNERLRHDRSILELISSGRKIVNPDNLLDLGNTIKNIQR PFILTRSFYIGSHCYGFTWTGDNKADYDSFSSVISMNVSNSVCGLSYTGSDVGGFYGH PCKCLFLNWHKLSIWMPFYRVHSHIDSPKREPWEFGPEILKYIRKLIIIRYELLSFWY TISSIYSFQGKPMLQPLNWLLFEFKNHDLIGKNESILKICECQSFVLGGTFLIYNTLQ NQCICKNNINGTNYNDYNNGDYKVKNKDHNRMDGSNAFMSLNILLPYKSKRRNYKYSC SCNDDKYRICKYNDKTLWYEYNSYNYFVLSNSNYIYEYLLNKETPFPCFVKEASIIPY QSGDVLSSAQQLQITTRLKVYLELINTCGDYNLDEQQKMPIKCTNKETNSGNEKIILA KGNLFLDDGVSYNYLTGEYLMNTFYFVKNEKTRNNSLILSDSDSSRPDLDLDSGFDAS SVSLSSSSTLMANIMLKSPPMTPSSVFSSISSSSFYSSMTSHSENATVITSVTESSII SNSKHIYEIYCVHKSIQVYSAIANQIIKIPTNYEAIKNNWIYRNDIYLETISVFGIIH KPKIILLSINSDITSESNINSTNRVIKKLDFTLVNLENVNKSESNNLELYNIEINIAK LVNLINNNWKISIYV cubi_00967 MKNRKQVVKNKGNEETNYHGFGSLKPGISKGSLDFIQNHLKFTF MSPVQEVTIPELLTHKDVAVEACTGSGKTMSYLVPVIEILLKSNIQSRGISNFNMGSL ILTPTRELSIQVFEILERYLETISLYREKEGAGNILKSLICIGGGNVNKTFEFIKQEA EEKPLKDCNQYYILVGTPGRVFHLFENLKDGIDWNVKSSLEILILDEADRLLDMGFEN HINMILHSMPKQRRTGLFSATLNAQVQNLIKTGLRNPKFIKVSIACNDFDKQSITEKQ DESSSKDTKCDISVPIGLTCYYIELGPLLKIEFLIRFLLNLRKQLNSGKQIKCIIFFL TCNSVEFYFKYLSKLFHIHSKDNKKNPPKNDYYLDSSLGKLCKLHGQMYQRSREKSYE VFKSCQSGVLISTDLTARGIDIPDIEWIIQFDAPQDPSYYIHRIGRTARAGKLGKSII MLQPHEGAFIDYIEKKTMRKVFNYNHLEGEKNSESTYCGNYNSIEDPFYKESNQIQLC YCIKLNKEIMSKDEFLINHSNIDRIGLCTIRKLMFSDFEFYEKAKKAFVSYIRAYKEY QLPFLFPFKSLSIGEIAASFALLRIPRVKEILGKSNISGKFLTGSKNIHPDDFNPNPK THISNNTDSENSKTIVNPKKRKENENPSINSEKNSNSKRSRSEKRATKRKIELDEWET LQFENNLAKKLKTGKITYKEYQNRLNKFYQDDETLNEETLKNNNTLHKAPLDEESSDS LDPNSDDSTDNDSKNYSNLKTLNVNNKQKIPKWVIQGKKNKKKR cubi_00968 MSSIKGKNNNMSNQNLNDSKITIIPNTAPYKFMRGFMCFIIVSL SMLYLIFSCSFAIKYRPMVFDNILTETIKGTIKGSNGEYIQWIATIPTGLVTRTVAII CVVMFLVFGVYTMLFSFYTACKKYKFIQPWHFMLLSAMSCIGGLISIFLSNKFKAVEE FTHREDCLLQSVVSNDCSVAIGNDEIYAYDLCKAVESFCLDENASMSGYYTKAIIVAV VSFLVAVLSFVYGIILLKLKMKYTKKLTLINEKVEIAEKTKCNLEMSDALSTCQLENK GESGIDSYQKNQLDTASSTAENTNVMNSTKTNVYCQNMNNDSCKTIQPYQNGIKTVPM GIVVTTTTSKQCHIAAHQGAVSQANSSNMGSIGSSAIGCINSLQHSCHNGNLNHNRNV HSGITFQHQHHHHHHHHHGPNHGNRQYHGHFR cubi_00969 MGSSDHLIVAETHSGAEFETEYSIQSITLPSEFDDDQKSRYTVK TDQIQSLNNELSSSLDENNDKMDSELVASMEKLFKKKRFRYKITAKLRALLSSKKSRK KFNLSHRKSSQDFEYNLAFQSKPTSSSLSSKYFSFLRKLSKNNRFNDKTNSKKLRTIE RSIEEFEKSDSRTSRKLNFRSTLLNNICISENVDIQIMESFKTTYNFNEVISAGQNFK RILEILPTKPKLTENSCTKSENFDLLSIEKPINTPNISSDDNSNINANNHININSINA LLKKRRSILAKPGKFDEYLKLKFEELEKTRKILNNFLKNSEENHGSLQNYQLKFANTN QRLRMYIQLANKLSEVSFECLISTPSELMCQLVYNKDGVRVWKKEHKSGRVLLRTEFI VPVAPLDYVEYSTDSNNRRVYDNNTVDLKVVENVSPGLDVMYVATKRIATVYPRDIVN IRFLHGFNIKNCFKFMTWDELSNSSTRINQEDVICCSCSCSTEHPDAPERPGYVRMDL SIGSYMAIPIKTPFGIWSSISMFNEASPKGWIPSSVTKMIAAKMVPGSVESIISSMLT FYKFPFKNKVNRPFSGFCYRALSTIYFDQKKFEFSNTQKDPATNSKGINNGTSNLIND SKNNKYNLRLSCLLNKPLMMSIQDFIATYKSNSFQEFIEHFNSVQIINRYISSASSNI LSSSNSDSLSSNTDIPNNLKIEVEHFKPIDYNNTDVVRQVYEQCPKFPVYNFSLDKLP KIADISSSNSIDLNKIVLSADESQNLDCNSSIERPSSFEFSETTHIINHKQSQSRSSF FSEGDNNLTFCSQNITIQKRFYNLFINSCKLYQDFGASYLTDVSDITVIARLLSLD cubi_00970 MSFELCVNWPGDLNKAKELIHKAYSLGYLGIAFNNNLNLVEKCN KLRSNSKKNSITGNILSILAKEGHVCPIQYIQLEKEELCRLRKTSSIIKSNSGPLVPK NAYLPLSLSCHNIEINNRFGKEEDTSLEFIQLRRLSIVFDDPDCVPYINALSRGPCNE SSDSWSKAIFSSMPNQPYDLVSVRPTTQNALNSAISSVECDIISIDISSAPRLPFIIK RSQINLAISRGIFFELDISQCLLNKGNSRRNFFSNLLTLTRHVPHKNIVITCNPSFPL DIKTPIDLSNICYVLLSLDFDRKVKINSFDFINNNTIKALAKGTNRRSFASVILINKK EENSDQEMRIS cubi_00971 MTVFVLYVQADFEGIEELIFPENYTWCFDIEQSAGSLTKERITV DPNENIEMENSRGVVNFAMKWESDKRQSTITCVKLNNISRMKVTSEDEGKLVPVAAFD CRGINLTKWNPSFGYNVISNTGKKFENINLEEFEWCDFDENSNESVGIYNLKSEFRTH KV cubi_00972 MENGEKYIQKVGMNEGRVSRTQYLFFQVYNNTKRCCWSISIPRE CIGLSRILLEYPYSYFLPLFSCFQCILTIIICHLFSAALSHLPYYKLFLPFTYFLTIH SPEKYIYMFGLLSSWILFVLSVPMLYHLLFYHLPQPLEVWVTPQYSRIGDFMANREDG NNIFDSEKGNHNYYFEGNQKNTNKINRRNERCLAEEYCTESETMLDIDEYETNNLSSP NLIRVKQGVFHRAIATWTLRITFFSLSFAVFFAFLALIFPFGLNLRRIIFSEVGASSG ILYYLFNALLSISPSNLFIFFSFIHALLISIYVFVYRRPVISRLSRLLKIGCSAFMVI IILIRSISTFIISHCYMNKFRSGRLFNDNYPNLNSFLADFIIKMKANHSFYIRMAFSS SSQYLFLFVIMVFISSYSLDIHQLHLSSINAFKSTEDSGSYSLIKRGEDFVSNNDEKE SILY cubi_00973 MSSDHLSKQDADNLDLTKLTPITPEVISRQATINLGTIGHVAHG KSTVVRAVSGVQTVRFKDEKERNITIKLGYANAKIYKCTNPNCPSPQCYRSYGSNKED EPMCEVPSCNHKMQLLRHVSFVDCPGHDILMSTMLNGAAVMDAALLLVAGNETCPQPQ TSEHLAAVEIMKLKHIIILQNKVELIKEAQAQEQYKQIKDFVAGTSAQDAPIIPISAV LKFNIDVLCEYICTQIPIPIRDFTSSPRMIIIRSFDVNKPGEDAQNMRGGVAGGSIIR GVLKIGDEIEVRPGIYNKDADGEFTCRPIRSKIVSLFAEHNDLKYAVSGGLIGVGTKI DPTLTRANRLSGQVLGHPGFLPEIYDSINITLYRMRRLLGVRAHDGSKAQAKVSKLRE GELLMVNIGSTTTGGRVAKIRDDLATFQLSSPVCCSVGDKLAISRRVDKHWRLIGFGD IISGETVRIINDY cubi_00974 MRCKYVIFVLILLFVIVNAFMLQKREGVDLGLKKSLIQNAKASK FECNVAMECINIFRYYYFFVAMVYEIVSTSNCMSRNSDNEFRMLLSQKLSELNYYRNY FAEYAMNKGENAALFVVIHEFPKRKESFLDVCSQIKYIDAKELYSIELRVISNYLAAL LELKNYIHTDTNILSDSFLLLNQKREVIRIINKAIEIISFTQKEIQNIKESSFQPKSI YELSSIPQKLQIIKIYRHYLKVRISHVVKLRQYIKSDKSKLFIMLEELENSNNMPPRY cubi_00975 MVEYNHLKISLENISLIKLKAVYRGNFHDKIEVLESDIDDEQYL DLIDTENVQKTDLVSRGLTSSLINNYLIPNCGISVLQPAINSFLLRLYNFQDKKQKLK HLESKFNERNCHKLGKFAYLFKKCACIKREIKKLEKLLQELRNQVRRLERFILKCFIV SIEKSWQDLRKEDFAVIEEDAKECTYEQLYSIWKSLNLFKKFHIMFNLLVFSNLRKDN SKSNFGCFGCFGCRNTNKKCNKSIFDEFTKAKEKLQLQIESLEREFGSCISYLISNNM FNVEEELISEHKFTSLVDLIELME cubi_00976 MNLISFFLIFSVILVNDSYIYSKRHGQDFKAISFLKVKEEGGGF GVSKLGSDSKIIVDKGIGKNDKSVIGIFGDTFSTQFNGIGLSTKLEKEMNEIFSVECN ADVFSFLINLLGKLFVHIKEIECELKILENAYKRCKGYGNIMRRIRKCVQIEEAYKGK RKVGRDSLNRLKIFGEKVSECVAGITKGLWIVGKHVEVSDEINENECNEQKLFEEAYT LTNYKVYHQVTVTAHSLFSVSLSKCKEKRCRKFNKKCRCILSTADQIEDLISYFEKVI IEKSSFISGCTKYLKNVHGSISKNLMQVPTSPSKKGLEEITQVSPLLTELY cubi_00977 MTCNLIFFLFILICNIFIFKESNFYLEVGFIKIKAVGGDDSSQY DSSVSTNNGEDLSDDENNNEYDENSATSSNRKSSVSLEPGNNSVYISKGNAYFEKCIG NVCIFSSFNDSVKFLLFEAKIRELDLSLLECQKIVHFYLKSKFTPKTAVKNELVINIK DLINLMIKYVRTEGRCLLRVYQKESSKKSRDSSRSTNKVHDKSDSDTDSSLESDPKTN FGAAPSIAIGPVGSKIYYLLRNFSEGSSKASNKSSKGHVSEGPSASIPLGKDVISSTQ RDDISSRDSSQASVPVSNLDQELEFEESPEMEIEEDQEHITPQQGEDYNIEYEDEGME SQVNQEMIVKNLDLNTRSAIPLKEIEMEIDLEDPWRNLITMLLYEPVRRSSLVYREEH CKKIVKTTGRIIAFLVKASCKLRLSLSDYNRKKCREKNKVSGLLTGCHKLRTNIKKYL NLYSKFRYDLVDSVVAITQCSIAKNNYLSQTDQIILNDSEDSLTIQCTLKEYYFSIDF HIFLSFIINAYNSAKEKLEQFISSGCESTCLTRCGKKPRLCSCLEHSFNNIFADKINM ESYNFYLNKRISMCKDYLVRNYLYSNNIPESAEYISTSGNRYKLEQFDYIQLVQFPRV YPFNLKKLSIIKSNIYELLLNHINTREQPTQLPVAKENSTSGTSKQKQKSKSKFNLKL MRKNGKKGTNENNNVHPSVPQANSQDSWT cubi_00978 MKLLISIGINLLVFFSNSANDVQSFQEFSFLNLKESSNGALRRK DARIAVNECSMETLNIILMKDQVLKLELSSYYCYLKNLTKAVESCKRRCRISRSIGRC RGIEERLATVRQKYERLKGERAKWTNYLIFCIRCRALTEYKLIQSRGGIAKRGRIGGN ESEDDPAYTLVHLFSSFVTKDISNYELSYLLTKMNVYNSVKEKMCKQKSRALCKCARN SLKELLNNESSLKTIIHNQDAYQRRWFTFNTNGENTIPSSGEPINSIQSECSSVLEMD AQVQNEAEGVTNAGFSREESSQVSTL cubi_00979 MCIYLFILTAIFYFCKNRSTAVLLTEQVSLFQVSRLQNQPFLES KPLFDGKGNKEYKIFISEFGISDDLTTGKECSSNELNSLFEELKQMFIKYYSLKGSIL DLKKKSISDQVQEQIEMGSKMYQEADIELKKLISKIFACIIIRTAPDYINHSTSQNHI GCSIHSYVYYLSMKRLSKKIIKLLEETLSKFSKSKSNCQLVNANFKQTSCHYLLCSLK AIKASIITETYYYYQYKRTQKNCKPFVQRNIYKLFLFK cubi_00980 MFKYLILLNTLVIIYTFYFNSNKLEENFILDISLIKTANTETNT GAPLVSNAQVREFGVFTGKGTNTISAYVTSFGIGFDLSVNKKCSTKKIKILIQELENL MLKLFKNYGRNKELEKSQVNEAVQSPQLLNELNNLTEEIQNQNRVLENLLTELYACIL SKTAIKYSNKSMRKNKKSCNLVYLVYHSSLKSMSKILISILKEVLEELSKSYNKCLKS INISPEMCYQLGVSISSVESSILSQNQVLTESKSEKKKCKRYLRNKLMTRILGRNQGR VSGKVISETEDSITTYL cubi_00981 MKFFLQIYSISFLLYYFYIKIHAPQSVESHYQIEFSLIKSRARS SRSRSTNNGRNSRQSSEAAAVQFLEDQMKSPPSPLSPSKPSHLRITEFLSSYGVDDQM YVGGVCDDQLREYYYSKLKKIVGFFFLVKGEYKHNYGTNGPVGRDRTLHSKFRSQYAT LITRFDDIFTALKNYMELLFNCLLYIFSHSYREIEVEPNDYNCTRISIYFFLMIMEIT NYMLKIFGYLEKQFAKLIAQLLEKKHDDVEARIQAIYFALEMIRETKKLEEEKFSRSK DQFDTCKSYIKTGSLQSESGHKRSIFDDSVSESSSSTKSSTFMKLKLGKMFKKKGKDK KGKKGRGDQTEAEQRRDDNEDNDESPFWKLSLLTFNPDDVLDDDVFL cubi_00982 MIKPFFLFPIIFFVFFFKDVNDDQEILNSKIREVSLLRAEGGKS LKSSLSLLSLEDSILMDLEKAQSFLPASWFTKVLGTEPSGFLLSVGIKSDLTSPLSCN NSMLEKTLKELKKSLQELILVHAQIQHYEEHSASGKYLTSSFNDYLAEKRETFSKLKN KIESILEKAIQCIMLINLEKYSKKKFAQNTINCNSSTLLLFSSLQKLSEDVLKVLIKL VQKFKGKYKSSTKIKTASFDHSTLSAAAEKAKLLATVQKVKTKECRHHKKKCKMYLVH SFADINIQI cubi_00983 MKNFRNLFLIITVITIILNSFNDLSQNIKIQSIEYSFLNAQAPE YVYETYRSNFFIKLDSALFAGKSQDESVQSYLSKFGYNGRKDDLKPVCTKSGLESILR TLKPVLSDYYSLVGKNNYFKSIDPISEQNLVAQIDISNYKSSTYPLLVETEQKLMGIL ANLFRCILSVKAKKFHEKSRSLDSSSFLAENIYRKSMSSISKELILLLKQVISYLQRI YPKCLKKSVGAELVECNATGEALKFCKKHLLTQKEIKNNGGYQPSPPLKGILKNPNGQ RSAPTSRSSKKVQFNNDVSLAYID cubi_00984 MKLKFLSLIHVPLLYLIFPGSNYEKNNYIQLTLQYSFVKLKLKS SYNLQGKGSGKKDDKGRPELLSLVRRGSPPPSPRNIRPRSEQAGSRRPRNQPLYRMPS WPPTEGPGRPITGTSSRTTGLVSEGYSWPPVEKRRPSLNLKSSTPSVKSPYKQMEDKE DLFTAIRVEVREDEEDLSDIQKPSDSESESDPSTPGTPVDKKAALEEESELSPEMVEK IQKMKEKSTKIVKAKLSKNRVSELIRDPNLDRKIMLIPIPLLVSLLEEIYKYLTSGSV TIVTIQDIKKIDLEVSSSSSSKSSVFLTKMKEGNNQIESLKTKLKDEFNSKYNVQCDF KLLKSLASSYREKYLECSGVDLQIAEMSNPRKGMVENRAQKLMDLYSKLKTCLPNLKK LEAKFMDCYLTYLEKINSTQSEVKKKEICTWGELLLLEFFLTAFKGYAMLTTEALTLY ENNISKYERLKEKSETELTDSEKQELLSLSPNMEKLLKLRINNELNKMLSLALQSIIQ KCINYLKTET cubi_00985 MRLKTATLINIIFLIDLLFGFYYDRNIKQIRKSGDCNLDTIYSL LEIWKRKPKKKSEYASPIEESDKNSDSEIESNDQNSKMNNKKKKKSNKLKKRLKRIPR FFRRIFRRKKGKNKIKRRVKHKKKHLDDHLVSIEKPQIKVETPDKPKTDSKPEVEIES SSRFKSVSIGGNDIDSSQNKLLDDNSPEPLDAWKISRPIRQVDYGNEHFISELKNKLL LQQDKYGESNSLITGALQNRKGRIKEMANEQLYSYLDNHISTNTGGINLIINLNELIS STSIDRKFLEQEPINNNIEEAIKKAFKKEDDSYRVKIDTLYNLYNQNVGHECTFSFMD DLIRMYYNAFMEFKSVEEGYYYLLDPRKNTQSDRAGSINKTFYGMKDAKSKMREILMK YVNCHMLTFFFIRIDDINIGNHEEKCTTKDLIILIYYQNVFLALKKLNYFTLKEKENE ISELNQMFISGIDSLSEEKRIKYNMLYNLSNKLLSLENNATLYGELIQVIDQKLSLCL TYIVRNYESNQEYTKL cubi_00986 MEEIVNLLSLSTSINPVDVKMAENSLNIKEGMPGFVETLFMIVT KTDIELHIRQVGCIYMKNLVKRKWDIDWEHGGMNKHDRDIIKSNIVNVYMSTPKIIQS QIGEMLLYISIRDFPVYWNDLLVNIVKFLPGEQTDLLSNGGMFLQGDLNTMISKLQQY EHTLSMIKLILDKYRYAESSNKVLLELKDILGVVCEPMYKMFVYSSQCLLRHLPAIQD TTSRQLIMNICLLSCQLFYILHCCDIPEFFEDRIGPFMESFQAILELETIPGLVDSEN QLVTCLNIKSQIFENLRIYSDRYQEPFDVYARKSLSTVAILLTKMVSQNKNGLDANPE LSSSISSLIDEGLRLIGSLAATQWSDNAFLDSGVLDHLVEKILIPCTFLDINDLNIIE ETPKDFVYKYLWDINDVCDSTSKRSAALECIKNLGKFYYTKLSELLSNLIISLLNSIS NDAHGNSSIFEWEEFNEKSGNYYKYQITNSEVTREASVFLFICLSVRSFSKIGGVTHL EHGIDIVGFYDNYIKSFTNSPLMRCCALKYLIVFKSHFNNKSSLDILQQSYNWLSTQT SSIEEMMILLAFERILTQKAISSSVNSSTQDSGNGTGTAPSLRTSNVQNSGGALLNAQ SGLGSQECVFKLPPTETYNLCFQMVANILHPLLKKACQGGEKRTYLTESEFIPRCMMR LLTYLGKLGSEMINTLTPTVVDCTKLAVENPKNPSFNHYLFELLGVCIRNSSDCEKLD SFVLPILIGILEKNLTDFIPYSLQLLALRLDNLTSQNELYNKLFIHLIDPKIWHGPVS VVPGIVRLCSSFFRRHSLFEATISSHVKQVFERFQFCLSHRRFQSTLSFEFLRDIVRF LPFKWYSQYLTALANLLLTKSQEWNRIGDHQTIIQVVGAFSVIVIKKPFGEMPEASLL NVLDTLQSGLSLIFFSKVVFPNLLKATLSPPLRYTIFIALLKLVSELTFDQNKRSEFI LEAFKSVYLLFNAIKLSDDPNFQRRNSVSDPSGTSDGSALNNDCLSIIPDPSIDTQNP IQDEFEINYHKLLTASCHSSNNPYPNQKEILQILTISGPGTGVGANNMHACNFVECIR NSEHLKLMKITFQPYLSDISNHFIGDQNIQNFIHSLK cubi_00987 MDNTSLLRAEGGFTQPRGNSKLCLMAMVLISSWANSCITMIWPL LIVGDYLRLPFQLTMFLVEGLFCFLLGMTSDLKSRKTSIKYSLKIMLGSILAVLLIVT INLFFEIKFLKLDILRYSKKNESLYGFNKDALYDNILIFDETANIMNNIHREEVEVVQ ENSEISFKNKNGLNDHNSNLPSKLDDVTVLIFTLILFITCCILQSSSISMYYNLNILI VDSSIENSDFSFSSSYNNFAGWNEICFYNIGSYISLLISRVMFISILGFITRKDTPNK SLTMEVDYIHIYCFNLLALLIMIPFGYYLVENKLVFNSTNNSGYQITHTNIKHYLASI LDFIKDKNNMFWFLVIPYWIVYFIQSGNNFHKWIVIDQSTFNIELWELHLLIYESVIS LIISILFAFISNKFSPVILQVYCFVILSMVSFGLTLCTYMMHEIPVKTFIDFISAFTL CHGIFHISSVVPSINAIYFAIKFTPSEIKSMVLAIIHFIVLTAPIADQIINNYSFKLI SISEKFLFITIMTLIGIIYTGIFMVKHSIDEGNFQTSLELLPVYNNHEEKIFKQTSY cubi_00988 MEVRVGGKYRLGRKIGSGSFGDIYLGTNVTNSEEVAIKLESVKS RHPQLLYESKLYKILAGGIGVPTVHWYGIEGDYNVMILDLLGPSLEDLFTICNRKFSL KTVLMLADQMLNRIEFVHSKNFIHRDIKPDNFLIGRGKKLNVVYIIDFGLAKKYRDPK SQAHIPYREGKNLTGTARYASINTHLGIEQSRRDDLEALGYVLMYFNRGTLPWQGLKA TSKKDKYDKIMERKIATPIETLCKHHPFEFITFLNYCRALRFEDRPDYAYLRRLFKDL FFREGYQYDFIFDWSFLQPEKDRRRTGNSVGVGVGVPAAAAMANTGVTGAIPANGMGA GIGVTQGNVPVTQSTNVVCAGTGAGTSAVVAPAAGGLPSQAGPSHCIIPGGASSPAGI PTVGGATQATYTQTNANCVVGNGEGGQNVYHESRQDEQWGGVVK cubi_00989 MDYQEQENQTGVRFNWNIWPSTKLEATRVEIPLGCLFTPLKESS KLQLVEYEPIRCRASGCILNPYCPVDFRSKVWTCPFSLQRNPFPPHYAEHISETVLPA ELMYPSVEYILPGIPANNVSPPVFIFVIDTCLIEAELTELRDSIQQAVSLMPSDALVG LITYGTVCCVHELGFTECPKSYVFRGTKDVTSQQLQLQLGLTSRGDPRNQMSEGSSRR FLLPVSECEYSLMNILDDLQPDSWPIPADTRPQRCTGVALAVATGLMEACCVQQSGRI MLFVGGVCTVGPGTIVSLPLAESIRHHLDLQKNSNSARHVQKALKFYSSLAQRAVQNG HAVDILACSLDQVGLHEMRVLCDRSGGHMVMSDSFSMNIFRDSFKKMFEPDASGYIKQ AFNGRVEILCSKDVKVSGAIGACTGTGKKGTQVGDTMIGESNTCEWSFGAMDKNTTVA FYFEIVAQGVNQIPPGKQSFIQFQTLYNHPSGRKRLRVTTVSYRYSEPNILDLAPGFD QESAAVLMARLAVSKTENEDGLDVLRWLDRKLIRLVSRFADYQKDDPNSFHLSSEFSI YPQFMYHLRRSHFLQTFNASPDETAYYRTVLLRENVMNSLVMIQPALLQYSFEEGPPQ PVLLDVQSLKPNVILLLDSFFHIVVWYGEMIHQWKEQGYHENPEYENFKNLLLAPAED AKSILQDRFPVPKFVLCHAGGSQARFLLAKVNPSATHNTLSGATFDSVSDGSIVITDD VSLKVFMEHLIKLAVQS cubi_00990 MEVLSISKKRPNQLFFVFCSGLLLLNNVFCKNTTRIACNACIYR PVVSNLNTHFYSSKSNIVDFEFQIPCTFYNPIISVSVPKSYKINKLTLLPSNKIGKFD DYDTSCMGEESSYLEYKVEKGVIEKSSSFDSKDNSLSFGEIQNKQLPSCDLGNDAENE MLKLFTSLGFQTWQVVSQNSSKCLEFPNYETKGTETIKMMGNLVNGSYVIRFDLQNPI KEEFVGNWNLSITSTKNNMPTVPFCLTYINQTSVTRTIGPMLGPINIRNTTFNSYYSS SQKGEFSFIIDSLKWDGSTKTIQQKFETEDSEPMRIRLTFPTCENQNDCYSINPKNSE TFCQIYGHKYECYLERTRPELVLTIGLSEAIDNNDLSSIFPLKVGIKDLITPVKSETN YKLKVEVLIPSAPSSKPEFLSLNATTIEAITKKNQCIGQWIKYLIGNQSVSNLINSNN SSLYYSTISIGTYKLPKMKDIGIQIRYRISNSQTYPILLRLGKMNEKIQGNYNIRVKP LVEGIQFVNKGLDQRIAYSSILLNALIYDKSRLQEDFSIEFEPKSDSVLLRNVNSNNP LECILLVESYDSKRNSKSKGNVFEPRKEEWEVEIFTTNSEIVETKEENIQVITKKIIL GEILDPRETEISEIGGPFVFVDSEEKYSMVIYFFLMGHQYNKMMTISMFIPKSITEYV NTDLNVKIIPPELQDITKTTLPRGIKVTKHANGRILALTLESNEGFRKGWWGISVHIT RPIVSNSFELTEYVEFNVSSSFSTMEKPYLTSLLFLSVHENYKGPYLNPVANKLQYIK EENHIFQVISVQQSHSSFHPFPLLKDQILGLETNSPNYLVTKLMYMEKFRFLYIISEP SLDGKEIDIVTREIGNLGSSFCQIILILGNGYYKNSKCDKAVKYSRLDKEGDENKDSV SKNTNFALVKRLLSKFMNSKKNFTMNLITLVVEEDEVEIYDNGIYSTKDISPNERVNY NFIVKKKSQVNTRKLRLDPSPIYYESYYQFKKALISSHYYNLYTVPSSIQALKVCPPE VPILSHEKTSSQPLILKYKFFSEIISSYNYSVTPNIPGTCIKQRLITSNSIKIKKSGV LTMFLVLLLILI cubi_00991 MRLSSGEMRSRSNGSSTLSNILRQYSDSVSEGEGVGYIGIEWKG PFPLHNTIIQHIKRVQLLIGKSPSLVYGVIPNIKYGYIGLENVLYVFPLEETVQKISK RDPSVGSSIDRRSISRMKSTSSNFDPEFDDKAICDGSVLTIVFPFSIKNVTGAFPRVG IFHTDVEYLLCVITENSVHILALKFDNFNLNNDHSQVYNDDGVVSRGAGLIKVPIMKI GGKDVGMLQCSLPGSQTSKFHSLHGTLDGRFFFLEENSSSIYELVYQSSEGWITPYCY IHSHQIYSSFAKELLLKYTFLRFMPRSFSIKKISLAPCGFMAILDTSQNIYLAAYVND INNKAALSKILPWGRTVNSLGYWASNLDISTFFLPTDWDDYISPELQNIEKLPVKNEN LNLENFGLGFLNKYDSKKFSSPSSLRVVAMLRKKDLFDIFSKVPGNPLSKKNEESSSM QSVRTEYQKLFEINDLQLFFTSEYNLSLSLFTNNGTRLQFQCSKKPNEEMPSIQSVIN GFRFEPETFFESPKKKIQTEKLQNQPKSSSSQPDDLIFGFWLTYVLPISTSCNDNSSI SNSYYRNGLTIITRNITSYTFQNLNTNTDFSRAPNKSISQIELRLFSSLPAEIGITSN SNFGNSILNNQNSPAFNIRYNGNFSYSTSQSSRGEPIIIELDEQVKAIHEFNSFSEDI DSNNNNNNLEDFPISTGKKNIASPNVFLEYGGNPLGNNKRQHDLLGWVKDRCIVFLGE TKYFFLVLKWNGLQQIIGASGLFMQLLQSPLSSNTNSSQFRRLVHPEDSFLTNEHLYL TSPWIEALSGAIAFDLKSVLEFPPFYKYENNMYLQLTPQILETCFSKLQSLLNILSRS QNIIGNEIFDPFVLPTVPSSIYRPFDKILSEELDKDYSKHLKDSTTFLLKSITYITNF LLQIVRFLTVFAASPHELKNYTFKTFEKHDQNLLTEMPLLYLIVSNQGVSQIKKLVES FSINILEGISQQDNPIIDLNFVTQIKLLYKKIGWLLNAKSNQIMKRLALIADLSMEVG DQQYNNYFKKATMIERISSRNLPPIGGFSLPPYKYWEYFQADPSQNEYFKEIFSSLLL KPLHKVEETTLLLLDCISHFEHLLTEQLKIKQNLIGSDSQDEFNSQNFNIYFLHIEQM IKAWSNSLCDTFLELIDVRNQSSSEMNTNLIRPLILLTINQPLKTLFSSCFNPKILET CVGHLLKDLIPVMINKLIVTFGEDKKRKSCCCQILLKDLVEICKYDSTQRTKYSDKSL LGLIAISLIKPFKSLNTNEQLKDYNWNSFSSFLSGLVIDSNYSPYYFILSAIYLLEDP HESSSGYIRCASILSELSLNNNVLCGSHCTNFRARIETLKLLKEICISGMGKGLISAD MNVSQLLPRLLTEPNLDRNQFQLDHSLEYAISNTEGIISVCSSLQLPLLEYIEKLAFS QSQALNQLIEILSRQVFTCSELVSLINSNQYIEPFLIISTLLESNAFTKKEDNNIEDI CSQISDYLLQTLIPPKDHIIYSHFQDRDTVFKLPILNTFFDFNGYDNFSESIQMLLEF IQAPLINITGSSLLGSLSIAPKTYLSVGLSNQEIFEKYDLFQQIYSITAILEFVNYYI LRTSQNIDPYDLSQLPKCISIQLWKKYWSVPYDMLFDVYLSLLDSSLSSNSSSDPFML IFNRIKILEPPEFKNELVSSYHDSFILHLRKCIIGILKSWLENRKLFPVSQNHIFMAN NFLISTLNYLQKTYPRDQSQELINTVENIQLELQS cubi_00992 MISLINEGDTSLTQWNNMMGINSDSDESENGWVHWYCGLREHYF FIVIPNEYTRDAFNLYGLRQYFPKNYDSLIELILSSNIPDEDDLADPALQDLHREAGE LYGLIHARYITTPRGLQIMKHKYDKGCFGKCPRVLCNGYKVLPVGITNELRSRRVRVY CPNCQEAYDPRSVNLIDIDGAFFGTSFPHIFLQVYPEYISTSCPEPYYPKIFGFRVSE KLSIIERKGINGEYGKRAQAEMFKERPSSVKHIEQPQQLSIGTGKFQNSIADGSHANA SSNHDNVNSRNSEYNSTASSKTIKSSNTSNVNGSAYHPEDITMNQMKYSLNSAGGSCS YSRQ cubi_00993 MVLFISYIISISIWLLLFEKTYGSFLMGNNAAMGGAAKPAGKST TSSILGNTNSMDTLLMTAKILSTAETIQKSIQNENRDQRVRMSGAEVGPDNYTTLRGQ NSTSDNLTAPGIQYCDIDKHGLCCLMPNYCSKEATCKSDIVGQQTYIDYLNALPRCQC LPGYIGDGRTKGTGCQNVNECLTGEAKCEQLCTDYSPGYACSCNMGYRLNTKDMKSCI DIDECKEGIHNCSHICVNTRGSFVCECPTGYILGENHLDCKDIDECQENSGLGPCEFG CKNLPGGFECQCPAGYRLDKQTQKCIDIDECKENKNLCKGFGEVCLNTEGGFECKCGS GYQYYENEKACKDIDECLLNTHDCKNDSICVNQDGGFSCKCLEKGFEFNKEKRICEDI DECSNGDSKCDQLCFNTIGSYRCGCYKGFRLNLTGPEENTVETQSRVCVDIDECLEFP ELTGCSHGCINKRGGFQCTCPKGFQLGEDGKKCKDIDECRMPENPCENNKQFPCCLNT NGGFKCVEKVVTGDLFKKHECPRDYGSNIQREGSRTGEGNNNGIFKWLRTNTDTGKRL KVI cubi_00994 MERDIRFCPECNNILCPKEDIERRKLLYACRNCEYFSYADPTNA EENVVNCITYQYEGKEDILVARGLHQDPTLGRTLEWNCRGCGHNVAVFFQLPERVCSE AMTLVFVCVSCGEWVKEGKESDDEMEYNDDHSNIFNRPYFDIDNISFEPKKEETEDNN YQPIKED cubi_00995 MKNLIKNIDLNPTIAENHLRFIELSIKSETDSKKVEQLYEGALS VCGLHPTEGPIIWSEYRRFLNQQNEESLSLRVSKVRDLFYRQMSLPLSGLPDLLDEYR IWEEELPEENRESFNIAKEHYSKGQQEWSLKKPFEMRVQTDNVSNLQELFDAWMLYIN FEKDRMLSIDYSSDAEPLRESSSGCDGCDSSIKYKFDTKDSVIMAYRRALDDLGSIRI DLWLEFANFISTTTNCPHLLSAVYASSLQHFSGSPKLWMFYLSATAEAIKDMTSHFYQ FNHFYGPGCKTRSSATWYPQNCQILKITSLKEMLETLLENYISELSQSQEIFSKSKQD ALELYFVVTNSLIDINKSLKFHSTAKKCSHLETDDNNACSVVCELTRGGADSILELEK LVFGELEKLYFKGEELLLENNVRLVDKDVGGMKEEFHVLEESAVLFYSRWLDFELSRS ANTIEVAISMLEKFLDKETKNAESLLPLIISVIKGRLTMQDQLELRSNLMAKFSRYSQ KVQEKVLSEWENAENDLRYTNSNKRQRLDITERDPNVLYSPNIGKIPLGISSSSSEVM PTQEVSQCILPSPILQQGPITNTSLRSSGLGFATKTNDNEGDFVCLSEIRLRRDKRRS RRNFTESDHETSSECSSESNSFSALLSGSGTNGGRQFLRNFTPPGTPSYAMQRISASI ALQNHNIRSPSSPTVTIGVQQTASHLDQLNSSSFTMKGNHLVKSPGLAYQDLEARTQC TWDGREAIEPSIAPPIPPIPPLSSISSVSSTSSHSSLGTRQFEKSVSQIASVGEQQVL STERTVEGQNMPPPPYTPKKSKLDRSNGKDGTQKAPSIVSVSMVASASEDSDLNSNSN EDLNIAKDLLSNSQEEGTLFIRFPQNQEMDEEKLRGVFLEHLHIQINQVRIVRDPKKN PRGFAYIDVDTERVFDIMNSSEELKKLEEIGIQVSVSNPPKPKASKKNFSSRGHSRNK RHTKKKQIQNQQDSSNTILIKNIDKSLDEKQIISHFQDGLGLKVKNISISRDQNGASR GFAFMEFFDSGDALAAHMLNESRLGSNNITVSSSTRPLTFPRSANSEASSPDFLNARF APRVKSKQKLPPGCIGIRDQGVQEDANSSSIKEDLELGNIRETTCKSSSLTNDDFRKL FL cubi_00996 MRSGVDLLCLLHQNKVDDHGTSSKVGTFLNRVYRAISSPVVHWL VIFLCILHMSIFLTIFMDKTQNTSIYKFCREIYLPIGMVISVYCVYDSLVILIYICKL LRAKYEVSGNLKDESIILRNSVELLLNLVIGMSSITGLNTDIVGFGKILGYVANWKRL SIFLRKQVGQNKRFYTNGEYCLDLVYITDRVIAMGLPAINIEAIYRNPIEEVSTFFMT KYPRNHMIINLCNEREHYSLSYFHSIVSCPFPDHQVPTLGSLFIICFLVLNYLVSDVK NVVAIHCKGGKGRTGIVVVSWLLYSRLCSSLEEALEYYSNRRTDFSLPGRIKTIKNPS QIRFVQYFFFLLKNAFPESVIKRGSNFFWEDLQRGFRSGYFWNVCNSELRRVNQKCEK RHWKISELLRDHCIYLPQCLTCPTYVFPVSIVVRNKERKMNDIFEWRICLHSEYNRDA EYLFSGLTTNFDWNPRQTGTHLNKSLYSKGEGYQIFLLDSDLNNQAMNYFGQDQSQLM HSAKLQIEQLVSSLKTKPFSPDIISRTNQDRPKPWVEREFVIKIFGYESPQRHLQKNS LSPNFRNKRNEIDSEPNEMSSLIYEPIESFRSSEEEGENTHILRSISLIDFSNPERKK ELSNHVIKAMNSPYKDIVDFPIKKINEWGSSRHSFSNSSFALAHFWLYPALIHTSNHS NLWTFFLEWDVSAKNWLIRDCFLSISLKYKKEIDVRSSYFENICIDVTFQYK cubi_00997 MTGSNPYGGYDLVIREFEPSDSDGVRRVCFKHFRSLTFPSVFFY LSQHLADMIALLVIGKVFMDFRQLISMLVLFCVYLTGRSIWEVETYIRNCCTDLRNVH ELYMISPGYHFWVAELVKRKNSIRKRRSMALGSAGSNSRAEGFEQNDSFSKSFECEDI PSSKMEGNESILVGCVGLAPYRDDPKIGRLVRLVVGVESRRMRIGTRLLAQMENFAKD YGYKEIHLYTNNLSTSPIKFISQHGYQLVQVISRGLMRGDLLLWRKSFEKSSSDNSYL NNERNSVGERVILD cubi_00998 MSSFSSYYKLNHGFIKEKPSDMSSIDRKLRNMLEKLRAERRQEF LKFQRAEDDQNFKIKGKNKNKGKNSKFIKNWKLSRTWSRELIEYAWLSKLSEGYKSMQ ENPQNWLVLPIYRGRRSMLIQGNGYCELRNQDGWRMFTVKNAPFIHTGLTIIDGIYNH DQNTFQCNDLIVWNNLNICTSTTECRLHFLSCRIEESGVTNKTVESIDVSMMSGSDPK FIPNIRIQLGQYLPLSKNNLLRLFRIGDQFSSPQSDFNYLVFVRKDSMYDTEQEFDKL YSQCQEYRTLSQQNWLIWSGQVIKAFKDYNKKEEEPNGLTFRLNINEKNELYTKDKVL IGEVNHPEILNKSLSLDNDQKILMNEYGLVFVKVKFEKENILNLLDLIASRYPFDIFS NNHNEFKSIMFELSNVSSQDKYSSSLDNFDYIFFKCIEFVTETKYKNCTLLDELNLNL NMESLLKSIS cubi_00999 MRDEQNSHHKLFTVSSILRDRVFSSIYWKGECFALDSETILDKA VFLDYIGTTYGGDRKATPFLCLLVKLLQIRPSTEIVLEYINNPRFKYLTALGIVYLRL TESSIVIHRSIEHLYQDYRRIRIRNLDGSFDIIHLDELVEICLRDKKLLDLDFPYIHK REVLIKQGHLKFPRESKLKYIPSDSSYPSPISQTEESSCRKRRRSLSRSPVSFEENND AQTQNKQGASKMSAYCSKSEISLSVEQWNVYRKKLGLKPLK cubi_01000 MMASRKQEEVLVIYIKSVIQFICSLCVIITIFKKDWISGQNTIS ESSVIVLLDEFCIRNLILPLSRQSWQESDVGKGFNLSELNNSLSQARAGSSNIFENNS GEALDYETVNSSMLRHKKLYNQLNLNSDTENDNKVFQQILPNEHKSSIEGKKKRLKNV LKKSGFHLSSFDQIQYCISHKSNKLVKDWYIHPNYLSFLILLIVLVSVIFSLNLIKMK NKWSPYELLIPFLDFLVFSISLVSLAQILHILTPLLTYLQKQNFMAFFSTNFFICSAS IGGFFIIFALSIGIIHYRYESHMNLKRFEDHIENLQSFSSFIHLISNSN cubi_01001 MKVYKCIFTGDEVMSDSYRQSSPFGKAEFDEIAFEVQSKRVQKS AEDFGIAHNTEEGEAEVVDADVETVNDIIDAFKLESTPFTKKEYMVYIKAYLARIKES MEKSNPERVETFMKNAQAFVKYLLERFDDLEFYLGPSLDCEGIVVYGYYEDGDLAPRF IYFKDALNEERY cubi_01002 MIRGNTEWTTLAAGIILFKNDIVKNESGEDIIEPNFLLLKCSKN FHWSPPKGISENDEENDLLRTAFSSQEIHYEAWNKKKTVFYYLGECSSNSKVEISHEH SEYRWANINQVKQLVEFESLIRVFNDAFERIMKEKL cubi_01003 MEDEELGTTNTSNLTCPMNLEEVKKHDIDNPEKVLVKGQSVGEE NTTITMEKDNAEKLKSQQAIGEEPNRDAGESPEEAVCLENSNISNSNIGSTLKSEKLG ENKESTKMEDTPCSGSENKNKHLSKIDFSVFEEGLYRRYKSFFHDKQANEIDLSQDKI TVSKKINEHFSGFEINPVDVIRTFLVLRKNSEHSHGHFVMSPAQSPEPNIHPSSEGNT RGRRCANKDIGSVGNDANNTEANANGANSYKGEYKSENSSIADNHAEIGVSTRNSRKG RVSNRGRR cubi_01004 MSPITIEDLSEIDDDNIRQLATTIIKGNLNEPSESRYINEILNS TVEQLNQKAQHLSQSIKEKNKKLQEMTDELQFMNISLQQLDSPKALKKMTLKELKQHC SSLRSIMSSYLDSFNQ cubi_01005 MSCLEEVRASDPGTKASITTHGNPTKNEISQTQVISIDQAKSSI LELDPEIVGNSANQTENGSGSVVITPTGVSKTSSIDDITTCTTSSDISTPMELSLTAK RPRTEISFSDKLDSDTLEPTVKAQNLDLSIEIASKNETQMQSSFIQGPDMTNTNTSSP VPNNSIVFKCIDASGNGVDINNRTESNSTEVIPTSEASKSTTASASPLNQNQGCKDEL SSGVCMGAKQPALLSSKQLFDELVQLAAVSPTTGTGNIALMNPQQLASLDNGVLQQSL QNFGLLMGAGLGVPSLGATGNTTPIKVQSPSVTKSQLIGGAVGSASMGDFHQQTSVGT AASASTVPSSVASPLTSPINLSSGSTGHGTTSPISGMVSQNQNLAQNQFISQLMANPL FQTQAIASQSSQNLNRMVSAAFGVGSPQNTSTSTPFGWPSTGTTATQGFCPTGTQSGI ANFATLLAAANSQLHAHTPFPLVFPTPVNRSIGSGSNPILMPMQSAAPSDGSAFEGAQ TSTTASTAPSETGAYPSTIGQQNDPLLRLSTGLPHNSSGAPCIPPFWHNPALLASAAS LIGSGDLSQTMALLNAGPLNLQTFVQAQAAEPSNAQNSNSEEESVTRTQQNCIPLLTS LQQPSFDFSSLSTSSPAALAALASAATNAQLSSNAQTQETETTASKSVTKVSNNARIS HGSGSRALNASSSVTAAAAALDHLDVDWDSVGLREAELAAMEICKTANTLFDTTDGTT PVPSSPAAVGEAVLTAAQLTISARAMLKFVKGLTPTQLGINWHACTQRFCVTYTTRDE QNPRSWTLGAYSNGKLHYKYFGPRSWTVMGLKEALRKAFKARTSLLLGQGIIEEPEDT GLSKEELTYHARQLLQQLRDSLGVKKGNVHKGLYISWHPKTRRFVVALKSCESGKTIY KYFSPKERSIKGIKTALLDAHQMVVAACQ cubi_01006 MTPTNLKVSNDTELHKTSFDQEHKSEKNHEIPKQETSQEDDTSV AEEDDEDFSEYESLIDSFRFERGLSLFLEIPKETIKYIYNDRLDKHIQLPSIISFRDL CMEAFGEENVLEKRQSGSGRRKWDNIIKEKSLTQEPQERDDFKEEENRDNEEKENEES ERTYEDITSFLDTIKSNSKQDSQNVSENVLRKRVHEMSTRLSKQGLLCDPRDYYFNPM TMTGSSGANRSREDYYDVFDDFIDDSELVDDLGLSLDELYNRNTERGNQVADQGDENV TTTSVQTSELIYADYSNPTVFSCDNDPQNDYIDLYYESESGSEDSEINDETRYSDGGQ DELDVRIGGNDGSPRKKSQSLRDILNNPLIKLLIQVRTDCWVYYSPKNIQSNKDQNLE QNSQCSLNGQASSTPMIDFPIGIPSSQATVDLTTPTAKTNKEVISGTYSFPQRTPRVV SDWFRTLNQKIKEVIEAYNRCKSYYNEKGNIKCEKGHQDPDLMDSLGILISNIEKDPI LLRIPSLFSDIYSNPADVAPFDSKLIRIIWEGLNICVPINNKKKQFNLSHSSSDSSTI IQLLTRFECFRTKWARLILNHNQEALYQFDLNAFESITNYPPIKNKSPEYVQKILNSI HEYNLQISSSSNKEKEEKSDSKTDELADNSNTPILITSNSYFDEINMEDIKLENLETS QTQNDGSSEHFEPSDSLTNIDLIDNVENSEKQDSLRGEVLDKFKSAKSSDSSSQGIKA VSCDLVFDFGISLLEYIHGINRLRIVYKDMISSNVMTKSVQKEQDNIPVNGSRGLELM IKGHILKRFSNVSFEGQKIKDIPHRFFLNQIKLLQIKYSYKSLTSISVRKPKVDPESK VTKKRQKKDTNKASGEQYPNNNTNGNNIESAIKPKKPKKSNNNSSSEKQMDYLNTNSN SLSHEESSMLSNDEFFASSLPVTIEKPKQKPRKSKRAAISEDDSSVAPPEELKEYSQA CLLETPKLDSHDPKISAGTLGVPGIPSYNTTLHEGKIVVRESCDPEKMVSHTVEEDLP MQSL cubi_01007 MQRNKVVNKYGITEVYHGLGIPISMVYSRNFLGGAGLCVGDSDG YISLYSTENKIAKLIDSGSKIKNEKIFSRKLHNGSVTDTKFQNIGFYSNKVERYVYSS STDSFLAKFDLEHEKESCKLRAHREWVKRFALSAESSSLIATVGNDGSLRIYDDRSFH LKCLYLGQGSSNKNKAEIAGRPRVSRISTTLNTHIFERSDDFNENYYDSIEKIRLAPM FELNRIHEQNGRKSIGREKMISDKSISVSGVTFLSEGNYLATSGCTDGHIKVWDIRKA SCGSTEKACMLKLAPQKDEDTKRGIIWMEADELYNKLALQTRKGMIYIYSVSGILSCS EDLNAITIDSNKLFYEKENVELLDASQRPSISSDGEWLITASNSGSKFCIFKTSTDLE NSILYYGQSEQEESLIPIFQCFAWKRSKINVENNSGFPPKEGNMNFWEANCVGREYRL NFSVGTKLKKLSFYNNKEFQLDIRRPVMPNFSGASAGGDATNSRTTVGQSDESVIKSR WVSGETLSYSNSFDGDMDAVNQLSLSSSLSVPFPKPSKANKTNDEDFTLKDMNERNGE NNEEFQESRAGKGERKTGFLTVGGIGFSEKDSQALNDGGAFLRPAPTPDSVEKTPFRR SIPFMGSSQDSVGYPAPQRGQSTYITQSQESLLTPISPQKSSMDTKETLEMENDDSGN TSSSYSCDFNPRRIIHIPLSQSNEEVLASPSIDRAGSFEVDCTIYSESIQQVQVSTPG SLDRNFNTILRDDDKFEEGNKGFKQRRLDSWVRVKKNKEGGSTANCSTAELSPIN cubi_01008 MSLFFRKKSLLLSLLAVLLLLFLFGWTEIEAKDVAISLRREANY EGDIEDNILQKKSESIDNYHNETDRIKSRYSSTAVYFILSGILVIITILSTYLIRECI VDRIRRKNDSFLQTVMDTVFRQAACIFVSLAISYCMLTSRLIDHLRNFCESLMAEYSR QSIINNLATRLDGAIVSNSKVWTTENLGREFNNILAIVILSFAWYCLFVLYFTGCVKF LEKWIRYADETDIGVLIKNIFNLQNLFSLKLNKNSEPNFAREEIQNNRLNHSESKDKL FGESGTVLQNSVPITVLNENESISSYKRSSVGRIDNQKNKTCEGNEKSSNEGKRLSVT CESDNIAEENLCDSMDSSNSGGCSGEGSENEEIRSDTDVEDELALSQLNESIELDIGK SLSLKGKQQRESSIIKRKRNDTGTEKFTWKENLKLKLESLWTKLWLWPNQLFLTYTKA HFISLRYDFMDEVAAYDNYGSSFSTNISGDSGFSDDGLSTSWLISLTDPTTPLVAGVY FTEYLRAKLLIMAVTLIRIPITTLTFILGLNVLGWFLIYDYYQNLILFNNVKHYISEP MICTYISLLLLCFTLTIWLRAWLIKRQLQPKNILEYLKMKYSLDIGMPLQEIEYDKIM PRYKISNNRVNSNGEYSQISSQSSPSINQEEQEFMQNRSCFGRLSKYLKIKLCGTNFP SLHDDLFFFKRNGPAILIRWFQASYFLQLILISIVIHLSYLQKRLWYEEFPLATFTIW VIFGFQHFCLPFIIYPILLCTSVGQMADKIVLEEVLNIQKAQNIQRLSQISEALRFYS FLHLFNSGSQENKELQRRKFSIVAKTAPWELQIRCRNTMNFLINNAPIRTRNIVGKWG IDEYTIKYFLVSEGLYYSSEHAKDILATFDYDKDNKLNESEFAICYHAIQQHFMGELD VNLLLNYLEVNFGVNTASSTGIDLNTFTALVKKLDLGWSSGQIRHAMIFLSGERNLSS IYVSSLNNSKKSEYKQRNKYLLSVKVNDFVNKLVTIEGGIPNNIAASRFKNSVSSTSY DRSSIISNANKHSRSDQNSSVISNSIYVQDDHTRNVDDIPIEEYVYSNSELEEEIIER I cubi_01009 MGMFLTKPSTNKHSDQGGDFDKYGVRFGVSGMQGWRVSMEDAHL ALPRLDRHPELSLFGVFDGHGGSVISEWVSRHIDSIFQQELDAVLREMNSNEINLGPE KSKLPNKVVAISEALQRTYIKLDEQMASPSSRPEQKAIYEKKKSSSDTNPPKTFLQEL LGGIDGQRRVLRMVEQNGNRCLQIVSFNGDEESGSSENEKVEEGKVEEVDESKESSDR VEDSSHNCHPTEGISSAGSDENGNYSDGIKPFESDIKRDDGTFEESNTGFIDDDGLAD DDGYLEDISDSGTCGPEHCGTTAVVAVILPDENDGSPYLIVANAGDSRAVLSRSGQAI ALSHDHKPELPLENERILKAHGTVENGRVDGNLNMSRTLGDLQYKSDTSLSPEEQKIT AFPDVRIIPLTSEDEFIVLACDGIWDVVDNQLCVDIVRRKILKQIEALESEYASKSEE TNVDGHKDREFGSIESKEQLFISSKLDGSLPIPALTAIQLSKICEEICDECLAPNPVE SEGIGCDNMTLMIVQLGPNIRKKSSNCMVSTQIGSAVARRLNAAASAIETQISQMQQA NPTDSNSGNSSDDDGTLRNEQPPRTETQNRKAPDAVLNAPLPSVSWIPKKEFNVTLYG YGVDDGRFDNVPEGRL cubi_01010 MPFPNKKIQRGDKLSESIRSFSTITDTEIIIGICRKNIPGWKDI NETCIEVKQIFSGLTNQLFVVSIVNECLSFSLKHPRILFRIYGKHVGKFYDSKVELDV FRYLSDINIAPNIIADFPEGRIEEFIDGEPLTTSQLQLTHICVEVAKNMGSLHIINSK RADFPSRFDKEPILFKRIYLWREEAKIQMSGNNFQIDKELYSKILEEIDQLEELIMGG ERFSMERALELESYSPAFSLVFAHNDLQENNLLQTQNNIRMIDYEYSAINFAGADIAN YFCEYIYDYCSDKAPYFKFNYEDYPCEELRKLFVSVYLSQTLQKQILPSHNIVHIMTK TVEIFTLISHITWGLWSIARTPGYQPNSVEFDFTEYASTRFIHYLRKKKELIDQGILP LSSWILNS cubi_01011 MSNLSKRKLYITSGDYEDFVSEKKIKKNIDYNKIYIELNEAEDE LFKLLIDYTKFNNLKTTVRVAGGWVRDKILRFINLNNIKNNTNKDDYDDQQIAIFKKD VDIALDDISGKEFALGFNMWLQTYHNYPKHSVGIINRDPEKSKHLETATLSWNDISID FVGLRSEIYTLESRIPIVSLGTAEEDAFRRDFTINSIFYNLNERKIEDLTCKGIEDLY NKVIRTPLDPMKTFLDDPLRALRAFRFTSRLHFKLEKELLNACRDKSLHDALQTKISR ERVGSEVHEMISNKHTGNPAIGLRLIVNTNLVDSVFKIPENELIYSFINDSKYNSFSD FGDWSFQGPYLVELTHRIIEALNSDNLSNCFNNMFDSKKLEVIRKLLNIEHESNWGVS TYFSFLLPLFHHYYRDEKSKEVPLAKYILSSSLKLSNKITNSVMKLFDSLLRIVHRVV RIDSLNELANFTLVENQNRNKLKVDIYSKEFLNSIGDSLLPEIWSFYSKYFKSYLEAQ SITNKHTNNKHAQDQKRYEFLQRRVELGIFVSYTGNLWLEMLIVLFSLDIIHIRRLKT HNLGCWSDAELLDLLNSHPYMDLIRQILEIKMANFYNFKNPIDGHLIYKHFPQLQKGP KYKFIINLSFLWFMAFSQDPENHTPTEVSECMEFIHENFKETLELNSL cubi_01012 MGNYSKYLSIVKEKNTVDEKKVLADFVYEKDPSIDTKKITRQKV PGFPYAEIWDGVFSEDECNAMISSLEKSGEFSFWNPGNSAKKSYRNVDTIEGNMYSLS KFIWGRIKNVFNFHEFEITENDSYSEEDNVGKWESIGIYEKMFFGRYQDGGHFGPHTD CSVCIDTNTRTLWTLLIYLNTVPEEAGGATSFVDESQKTQKRFIDESGRERTNPSNVI TKVQPKAGRAVIFFIADMHEGEPLCSGYTKYILRTDILFRRVNPILTSEADQKAYVLW LKGKDFIYRGELEKANEIFREVSKISPELATKFKCLS cubi_01013 MLFCSFSCIGQVTTFSKSLLKIQGSSIYCQISLTSGHVSVSNSI SNRYFCHACQNNVRINESQSNDTDLTCPQCGSNGFVELLVSDTQQGQGFSLFTITDPS NFQDSSAVLPRSSWVNISSFPQSSTELGADNLLSRLISEVSNSLRNSHNTPTSFDSVL PSDSTRIHQEQHNSHHAQAISFSGEVPTVNPGTTTFMVGLNGEFREFPLGDVLAGSTL SNLVESMENALAVALSAEDPNNRFGSPPASVQVVEQLPRETVSEENITRIKMCGPCVI CQDEYNIGDEVIGLSKDEEVCHHIFHANCLLPWLNQHNSCPVCRFELPTDDVSYENRR RSSTQNVSSTLESTDQAGTQLGTQNEVQHDSNSVTAPNNNIQSTNSESQEPHIETELV NTQINSQEQEHSQNRETSNNNLSSASFRTINFSTPIGEVTTFTSQLPVITSTISTTET FHTTTHQDPISSDDTINDFHNSAHRRIHQLHHSGIDLTSYDLDPESISDFTPIDTIRN SNSCRMI cubi_01014 MNFEDQIDTKREENVKVPAGNELKNYGGTENGFETIHPKSESFS EIEVKNEERGIKRRFQPNQFLKFSSLVLVPGKPRKWKRVQRRFGRSEGAWLYRWEYIP ESIDNLFMENLELMARGVVGPRRTGRTTRAVSFHMRENPAGPNQINMFELEGNYEQS cubi_01015 MDDSLEFTLEYYFEDSERGQAAIERISKDHLEKFSLLVPIRNLD INLDATFWGVISKYSRVYEENQTENKIYKYLDPLLSIKIVIQPSMLGVIDIEKIEISS KSKLGYFRKELENVFLDTQLLNFVKEVESNLGDEQGNYSEKFDFKDFKVPLRRTVVYD SELIPNISQGKKETLIIVRASANLKYCSNKTGSWHSEWKFEFPENDSQETLKWIGSIY MHSYNSECGNSHFVYKNDNIIVNIVSNKHSSKELIKDPSLFAKLSSTMISEKERYIQS KINDSVSLFKNKYIKKLRRILPINPIKFDWGRCYNSLQDPLNESILNNTNF cubi_01016 MVSPLSWILTFLLVSYGLLLLVCHWLLFNSIECIVTLFIGVGIF ICAILFVTICRILFFPVSTFRRIRSQIHVFRRKLARKKAFKFKNFQDFEVQRITIDDA EDRRELPERKENSRKFDSNRFFLDETISSTENFFELREIGVSKETLSGKSNCSGFTKK NKHNGGLIYAEPSVNILANATSFISSDANLHEESTEDNLYVGGFVPKSCKNKKIKSSE FSGHGSMYFSFIGDYISVGESRLNFDEEGSIFSKKLNTIRSRVIHMYDRYFLRGLTAF FSKDFVQVSLFLINIIWALLWGLDSSYLTRESFEDPWKVRKGVETLLLFEEAFLWIAD ISLLYKILEEISVLSRGINGIITLKYLITSTTFIPLLEMLTTSPILLLMKYSIGSGSV TTFFMMGFLRYFRILNPVPILSILLSWSSEVMRICIVIIWTIACVVLGFSGAMMIIES PKPKFTTLFDYFYYTIITISTVGYGDYTPSNFVSRLICIILIIFTIIYVPNQISKLVQ LAQTPPETMGICNIGVYDESIFERDHIHTTLVLVIGSPSVKHLSYLLMELNQLNVNID YIKQFNGKSGKIIRYQPIVMLLTNSDPRDYSVLVKKSQQALHTQLFVKKIKSIESLKE DIDSIKGFIYAIYFWSDAINAKVCLSENIAHEDNNSQGTKSTRFPNSNIGQGVDTKLF SADQVLDLERNTMMIWYAVRKFLDLKEYELLYRSKETGLLYNSSSSILGKKNRRNSEN KVDGYPDPLYINSVIIFNGENSDFKLDNVLRDDHFYDLHGIQDINTARRNVITNNPNL QAPKNPEEISSYLFYLSKVSGSDHQSNMKRITNMVRDRLSIEFNNKQNSFSINPKSAI DEQRSLYTPVYIAEIRSRLLAKTALCPGFSTLLTNLFNTIKIEDLELGESLYNSINGI ISDCGGCRLNQEYPISVPENPRKQSKAISEDTNQLEFDKISVFGIELSELEKGALNKM ATIDETLLYNSFDTASQSGILTRDYIRGTHCELMEIPLPSHLEGMQFLQIASYIFENY SMICIGIAVEVREETKINKTDISNFEDDSANGELNSEIDESIIGNCNEDDEHYNFIIT SEDDDYHPNTNHFNSEKNNPEKEDSPESSLNKDSTENENIDSERSNNKKLKLFPNKLK QPKIDEKIGGKYDFESPTCPKYDKKEESRVFGICKKKRILNPSDFISGRGWKYGDMTI EESTEISLLIISNSRRKVFSLIHEKDDLDKRTEKYKEPIIPRAKQTLNYIRLCLQGKT KLINNKLIIPSWRVETPITPRIRKKDTFGVNSNQLRGQPPSPIHREVKYSKISKIEKI DILCPSKIQGYIHGTTFILLVCEWPECLEEFLNGIVLNRSIPVGWESYRYSNRSFKTS VPTSAQSLILSTIIVFLSNDHNEKYLQKSIVPPGCIGVYIRGSSSNDEDLIRSGLFYA HSIVVCSSNSINSDSKVVTTCWRIHSLINMKIQAEFVLLKSKNSKYSNKACKIFKYQK KELSKPGRDGFESKYRDLKLFDAKWNMWQWKVTANLAQITKKWLFEGGHLPPCFFPAI IADIRFEESLPLLDNTSWISLNEWDFSTCPTVISGRVLTTDMIIPMVYRNVRINPLLA TSDSMKPLLGYNEKYNFFNSPLTYDDQARNFDYPSSGIKRFYTAVSKIMPENDTFPDY TEWGSLELISVPQQFHGSNFSTLFKEMLRISGIITVGIVRIIGNNTDVPETVINQIKL DENNHMQANYSKLTNSYRPNLNRASPKFNINNRVLLLSPHPKHTISKNDLIYVVTPIT KIF cubi_01017 MPPAETRKLDDIAKMIVCKTHIGTKNVEDKMLSYVYKRTHEGIF LINLAKTWEKIQIAARIIATIDNLADVVVVSQRPYGSRPALKFAQHTGAHAMVGRFTP GTLTNQITQKFMEPRLLIVTDPRVDSQAVIESSYANIPVIALCDTDSPLQYVDVAIPC NNKGKESIALMYYLLAREVNFLKGKTDKWDVMVDVFFWRDPEEYENSAIGADMELNDG MGVDVEGAAVDSAAAANEWGGVSGSWGGAAADEWRNAP cubi_01018 MPYLFSKRSIFLFGTIGTYTFFEKLFMNKASLSKDSEYFSDYKK IFFYRSLFGRSRSRFLGKLFNINLPVSFRRSIYGFLISNYLYTDSSLGGCSKDEKIKK FEEKHASSLDSYRSIGELFTRSIKPSEIVFQDFEDPNSISSPCEGRIIEFGEINSDKC VQVKSSTFRVSELLQENFASLVESSNLYYAIIYLSPKDYHRFHSPSNIEIRNVRHVSG ECFPVFKGIASRLNNLFSINERVVIKSEWEHGKMYIVAVAAHGVSDIKLFCVPNLKTN QRGMGPNYLQKGNSGQLIEYSDFKDCRNQGKYLKGDELGLFNLGSTIIVLFQAPGNFK FNVDKGEKLKLGQIIGKVFND cubi_01019 MLVLLETPAGYGLFRVTNSKLLSMDTDEIAEYFQNPDKVQKSIS LESFLRFKDTKSALVEATALVESKLSKGLSKFIKKNIPNPASESLAVADKVLGGLIKQ KYEIDVVYNPKMQEVMRGIRNQLTDLLTGLTEKDMKTMALSLSHSLGRFKLKFSPEKI DTMIIQAVALLDDLDRELNNYAMRLKEWYGWHFPELGKIISDRDVYANCIKVIGFRHC TRDADLQSPPCNIPSEMEAEIKQAAEISMGTEITEEDLKNIIELCDRVLELSEYRESL STYLKTRMSTIAPNLTYMVGELIGARLISHAGSLMNLAKHPSSTVQILGAEKALFRAL KTKKSTPKYGLIYHAAVVGQSAPKLKGKISRILAAKLSLCIRVDALNDQNEPTVAIEN KQYVERRLEELSNQLTSGRLSSAGGNKRPSTPSYSPVKSKALGSYDSSMDVVGSKRKS SGGTHEEGSPDKRAKH cubi_01020 MYSQESNELEIEKNKLINARTNKKFKEKNSPVDEKDSIIPFGVP VWVYNKDDGSEDVKRGFLRDPNLGENVMVEFSSITTKNILAIKSYPKHKIGLIIDNPI KSPDLSLLDVCTPHGISHMLGERCAAGHYYTFSGNLLLFVLPRVEKIPRLMEAYSSLE IQRFWTEMKTKFEPHIFSLARRALVSWAENHQDQTIVIMGTPNTGKCFNVHMLIRFLT KNLSLYKYIKSSYAISEKIASIVHITRSFIQTPGEGNCGDNKFYHYGQSYCGQVWRIF VDRDGCLMGSHLHLWSFNTSPVSYWSRNGPFFSIFYQLAHGLILDSSNPLIKSLELSN HEIMIYLTQVSPEMNTNYIDQLRGFKMTVGSLDSLGLDDVQKIDFFKVLFAIVAIDSY ISLLCNPGTKDNNNSKIIFFPMLARLLGIEIEDLNAKVTSCYEFVQLQVTLYCRLLDW FVFISNENLRPTTTDLLEMEVFTIIHGPGFVKEGFSFSNAMQNYCEEKLKKNYIYELF DSEKKTLESEGLNMIKLNYDDIDSIANMFEEPGKGLMSLLKITSKRQRRVEEFISEIQ KDPMESLSILHKPTPCDEFGRIDLEPSKSIYSKFVNSFAKNELIRTNDEYTIKGESKF QKEPYNELLERKKQICKEYHDNNSEKIKKYRKMRSKRVNRTDSLGKDLFASIVHDFQL YDDNNILHSSVLVEDEQPGELPDYLPPEVTINHSFGKCNYSIMAMAEEDNLNQVPEKV DELFKKSSNKIICACWTTILETDERYTQEKNVHKSSAQKKKARITIDEDPEIIKFETF WKKTEDFMDLTWIRLSAIPGSPSMSQIAVKACKMLDSILRRGKLFFAICASSYQLDKK TEEEVSETQITNDLEMYNISSQVLLQQYGFTVKIPLRIFLLKFSEIYIPRIHKKGVLE KLKSQKNLRDSIRTLLHGLEVPEVEYLIGTQHLFLRNKMVLVLERRREEYLKKALPAS IILQNAWRTLKPRLFISKLRLMSVRIQSLIRMRFVCILWRKILPYRQFICGLALLIHL AIPWVKTSTIFDKLEELAQQREDERKFFRNSAATSIQAWWRGEMVRRRLTLYRYNEFR EFSIQVIQSAWRTLKAQAILVEKLAFTKTPNFKAVKIQAVFRGWLVRKKFCKLFMLKR CILTLKRKSLIRLGIAKHIEYRGTLRRSHVFREQIRAHREVVNKAIVIQRVFRMAFCR RQYMKLRFSAQVIQCRAFTCLEVYRYYKAIKSITLLQQWWRVTLTLRKHIESGDTLIK TLSKFKIQKKIPKDPVKKSIEMNNLISRELKQFNMMRYPLLSMQGLFQPLAKIVESNT VFLNPVELNIVKDIGDYYPSTWGRGFVTLCRRLCGAIFETAPTKQFPPLKILQFSVGQ RHTLVLIAERILAGQEEDSIELDSFDEDLSDNNFSKSGNSGALLGQTSGKLLNFEYRN HVYSWGVNDQGQLGVPVMDLVSSNIREPVRFLDSEYRYTNNEKTGKTVRYLIRQIDYT RRIRWVGCGSDHSMAITADGKLFTWGENIFGQCGHGHNYSYIPNPKIIEPLKEEQVYM ASAGARHCGVVTQNGAVFMWGAGTHVCLEDNRFRTLYGHLAEYDTVSNTANFYEPFRV ELPNLDSSLNTNNTENNKNVKTEANGYSKNKNGGVRQILCGNGFNIICTHNLFIWGRN DKGQLGMNKKGHLLTPTLLPLPTTDLLKLEYSEELKKEVRRRSLLTLISGNKIIISSI SAGPDFVCATIEDGFNVMYLWGNFTVHEQSGGGNSPHSMMKSFGVSKINLKSQVSSTI SNKAIQCPTIVRHSLWENKILTQVACTVNSIAVITDDSELYGFELVSLKRTEAGKSEK ISFSVDELTTGHKLDNWGGLISAKKKQKNVMDKKKTLLPEFCKDLDVLCIPDKYKSNL NDLFYFEPSLYQYKCVPNTKKAGLLTEEVISSISSTMSILWVKHRRKTYISQYKAKSE TNNSNIDTDNLDENEKFELDRENVSPDSQMKMDEGNILQKVKERRNIVMNRNMILHRI QPKSYIPSYLFHDPHRVLGSGCGNDSVFVELLRRIKNKNKKSLDDNNENVLELDEAEN SK cubi_01021 MSIRLSYWLYTTILTFIYFVHACQSHELYDTRSPNGGVTLNGYL QKPGIFYFLNEELRWRDRDFPFYNMLMSIIATTFINLIDHIEKSEEGLMLYYSKEVAR LAKKGEVINSIYKSFLTVKGSKDELKIYEEQFKPLCKNYRIEIAKSPIISIVSHSASR LNAYNYLIPFIPNEVLDPVMKKFSIEVTKFLWPQSFAEMSNGFITMIEKLEKLCKKIN FNLLESCNEYMQQARRYLIDLINNHELFLKYSKMKNANYLDFSNIFRKLRGEILSFKP YQIVLRKLDPVKADALGIPMFSGFNHSVLDETMKEYYELLKDRKTKGKL cubi_01022 MHKQTEDLFFPASINVDLTISRCKKKLAGLRNYIKSTSYPRNQI NTRFLREPNDYNIKNNKHQVYLAQNEKYRDMFSHLNERKLHHKLNTQNVKNYNPETNV CSEIDSESSLSYNNSFVNKLIERYYSTSKSRLPDLNNQFEANNLFQSSNNLFTPKLRK NDERKEDTLIYQDFENKSIKLNSVNTDIGSYCDPKFGKDCKSSNKKKNIETQKSPNVT LLKQCDLETREIVDNLDKKIKNLERKIKTNKKNDFQKQNTSEYLERSNCMESKLLSIS VIPPYGISCFESSFLPYYCTLQEIQILKDLISIKGEIFNPSKTKIEVISIGKMFCCHA RTRFIDSIKHLYQGSLNVLKLKLLIYIEEPEILLVNTFGPQPIENKGDIYFYELEAIY EMKKISRFMICLLITEKPVECFTKRRYTISNAKQVLPIYIIDVNSTSK cubi_01023 MRRFKPKSLYLITYGIISTLLWATTLYFTINEILGIKGVSDQLG KHMIALRISQSLAVFDIINSSFGIVRSQFLPTIIQVSSRLHIVWIVFYLSPGNSRQIS TMFSNIMIVTWSLSELIRYPYYVVLQFSFIFPSIRMPLFLKWLRYSAFAVLYPIGILS EVIICSNFISDIYNNSSSNDPVYQRLLHFPSKMPNALNFEVNLACLYIVILCIYIPGS IFMYSYMIKQRKKSLINLWKLESDNTKVE cubi_01024 MTLCAGKCTYETGGRCVVSLSEPLMKYRSLKELRETILHELIHA YLFVTSNNRDRNSHGKEFRFHMNRINRLSGLNITIYHNFHDELNYYRKHVWRCNGICR NRPPYYGYIRRSINRKPSPADSWWSLHERTCGGCFVKENDISPEINNLALPDASLNWR VPSPNLDQNDVMEIIEISD cubi_01025 MSRSSQSLHDRHITIFSPEGKLYQIEYTFRAVKNSNLTAVAIKG KDTVCIVCEKKVPNQQGQQDKLLDPSYVTSLYKVRKHIGAVMLGLAPDCRSLISKCRE IAGKFSFEKGTEIPVSFLSHKIADVNQLYTQHASMRLLGASGMLISIDDEDGPSLYKI DPAGYFASYRACAVGTKEREGNNALEKIIKNEPLNNCKEVISASIDCLKTLLGVDFKA EDIEVGVVTKDMPEFRLLNIEEIDNYLNSIAERD cubi_01026 MLITEEEGPCINNTLSKSLNKMNLDNNSSNFQDQVSSEDLFSLY GKNPFFYSLKENARTNGFRDCYSWLGSNGDVKKKFTFRELFEKVVELSWYLNKKLGIK AGDKVILCYTPGYDFVIAFITCLVSGIIAIPVYPPDPMRGQNEIQRFCDIKQVSETDI SLTCTSYMKSIEIAKTMSTDKRFKSIRFEATDNLKIGKEQYRTEVQKVIEDIIQNDSD YSDFVGVGTDINTVAFLQFTSGSTSQPKGVVVTHGNLLYNIHICISSYSFPFVLEEYY QNELESIPAGVTVLKKTDLSENELSFIINEFGEVKGLMSLNDIKYDKSYLYMSTINKA LYKKTMSSASVFSWLPVYHDMGLIGFICTPLFFGCNIFQMSPIDFIKKPYLWMQCMDK YKCGVSGAPNFAFEVVVRKTPKDILNQLNLKHVFAILSGAEPIRKATIERFTETFKSV GIKSNVIKPAYGLAEHTLIVSGSNSFQQEVKHITVNTKKLREKNIVEIKETREKNSMD TTSFVSSGMVYKGIDLRIVNPESLKEMNPGNVGEIWISSDSVTLGYYNNKVETEKVFN AKFTMLDGKTSKSTYMRTGDSGFVLNNMLYISGRIKDMIIIRGRNFYPQDIEEVIDGV SGVRQGSVAVFPVTQTDGEEAIGAAVEIRMETSILGRVRRFFEKPAYENIVRAISKAV FVGHGLPVHYIWLLSPRTILKTSSGKIRRSQTRDAIFSRKLVPLFEWVCEQSPTSDTL IERSSQFISPTSMIISKSGVQNKSKFYEKTQSEQQPVAEKVNNEKSEFNIDEVKIKII DFAAQVLGIAQKDLDLNAPLHEYGIDSLGAIRLSEMMNDEFGVELDSTLLFNYPTVIE IVDFVAAQISGKELHKKNLLNRSGNNSYSDIVITGMSCSFPGGSSTPGEFWSMLQSGV DAIGEIPKSRWNIDEFYSTDLDFEGKMYTKEGGFIENIDNFGASFFKISHAEAKSMDP QQRIFLEKSYEALKDAGFTIDTLKKRNISVFAGCCSNDWAHICKSETGSHIGTYAATS HAASIIANRVSYTLGLTGSSVTVDSACSASIVALHVSLQEISSGQCEAAVVGGINLML SPQITVALCKARMLSVDCRCKSFDAAANGYVRGEGVGVLILKKIENSKKNGEKIYSVI KGSSVNHNGRSASLTAPNGISQQNVINSALEYAGIRPNDIGYIEAHGTGTSLGDPIEI SALKSVFSRKRDSGKPLIVGAVKTNIGHLEGAAGMAGIFKVILSLLNDIVPPNLHFKN INPHINLKGFPVIIPTSNIPLQTYDGSKTCAGVSAFGFGGTNAHAIFEKPSTNIDLLT KTENLETKKAVNSPVVFVFGFQGFQNVNMGKYYFEKEIVYKECFLKCCKIVDPFLKIS LKSLVYPETEDPEELRKLNKMLEQTEYAQCALFAVQYSLAKLLESKGIYPGAVIGLSD GELVASVYCGSINLEDGLKICVLRASLLSEFSTAEGRMALFNVSKEDAEMALADIGPQ NSNKVLIFSSVGPNQVLLSGDEEKLETVAFILKEYYPRINTHSIDAKFLRSSYSFGTG ELNNLVEPISALMENITLDTPVIPMISGTSGNFVYEELTSPKYWGWQLNNQLSISKVV NTVTSKGKSIIIDLMPISRSDFGISEIFNFDKDIAYYSAFEDTNLQSYEPNKFNNMIK TVSEIVLKYRVNSIEDASISCMDSNGLSYHRESFPWTSFKHSILGEYKSVEGSVKIIS SLNEKSIKLFSDHKVYENIVIPGSGLIDLAAASILTVSIDDQSILKNPNILFGESMIV KLNNVIFERPITLNRNSLIALEYPEAINQLKSYSKLGRKNNQNTNLICSIESDGNISI QYGSMEDREDNDYDEIDNSMVDCFSCKAKIFEEFILTEDLAQIKNNTNIKEDPKIMYD EYEEIGLKYGKKFRVVRELYRNETCSVALGKIHLPDTCKLGTFESGFYFHPAILDGAF HVAGSLLKYKDSLKNNEAMVPVSVEEIEIKYMNVNQIIWAVAYLNETAQNFASFNLVL FGSEGNCIGKLEKVTLRHFNNKSVSKPKIADRELLWRLDWEKSDKLKFSNNILSQSEV LKIIIIHSGSGIVKEKCAIQLSNVNKSFVNLDTIKDSDIEQLEKLIDIQSIDSIVLLS PIEDLMPARKDIEKISIDILEATMKIFKLYLKVLKQNKPIYHNKNKNIPNFWIVTANS QNLANYNVNENICIPNHSGLWGLAKSANLEIGSLITNFNQPIKSVDLEIPDLSDHPNV ANIIEKFIVWVLNYEYSSLLEKEDKILKLEREFSISINSLRIFEN cubi_01326 MENYNEIGQENKVWIYSRANPYTIELSNKLAEKKAKAFFKDSNK FEFEIENEENNLDAFILGNVISYNSQQGIYECEYIGEFRYEIENNKNHFYVDKEFIYK ADNGFGYKDNSQLKNLNIGNVIKNIQVCYDMLKGSNDIIENNQKESMKLNGIRSTNDL PKYPMYTFAGGILIAVNPYKEYNIYNDEIAQEFIGKNIINMEPHPFAIAEWTYRRMLK DNRSQSIIISGESGAGKTETSKHVLKYLSYVSNRQRMRNGTNMNNKFGGLFLSTIENC LLSSNPLLEVFGNSRTIRNCNSSRFGKYMKLGFDDDGRIVNASINTYLLAKSRVVHLP NNERNYHIFYHILNEINEKQKSKWGLKSETSPLEFNYLKTVDLKGARQKIDTDSIRSE NLKEIVKSVPYNMKIINDCFQSIGVSEESRDLIYDMVYSILLLGNIDFNPVENRDEEE CELTQESVSIIDQIVQIWNYNYNADSDFTMISNQELIELLTTKSIVKIKKRLSYSEAI YTRDSISRYLYEWIFNLIVELINIALKQNIFDNNENSAKYSDHNNSIGILDIFGFEDL EPNYVNSFEQLLINYCNERLHSFFLEQLLYRDTVLYKTEGINNSISTTPSANVIDLLF HQSFVCTVMSGVNPKYLSNTEIDENDPRNNGNTNNCASHAFYEKFQYNNSILSLLPYN IISILDETGKIPMKGNRDHAFCNKVHLLNKLQNNSNVRMSVNRGSICNSKDEMNTSGI MNQLNYLSESIGKVIQIQKLNLEKTFTINHFAGPVKYTSNEFISKNTDFLSTNIEKII HTRINTIQEINKFKYNLLSKVDNDEKNKQLKEEDGSISQDNTLINDESPQSETSLVRK SILSLNGVSNFVEKNSLQLNTLTNLINSNANQVPTPMNTNKNKSVSSMFVRQVQNMLV NELYPTQSHFIRCIKPNNQQISLKFNSLKVYKQLQIGGILQILNIMIYGYPCRIPYSQ IYNYFKQIIVINTEVDDSCSSMTDPNLINNDILSKAKLLLRDERLFVSLLLEYMGYRD KIDYQLGLTRVFFKFNVLDKVEQFIQK cubi_01327 MSSTSLGHRFKDITIIPNSKDLIDIVLSKTQRKTPTQVHPQFQI SRIRSFYMRKVKFCQQIIHDRLTMILTQFPRLDEIHPFYSDLCNVLYDRDHYKLALGH ISGSKNIVDSLAKDYVRLLKYADSPYKCKMLKRAALGRMCTCLKKLQAPLEYLEEVRQ HIGRLPSINPTTRTLIVCGYPNVGKSSFINCVSHANVEVEPYAFTTKSLYVGHFDYNY ARWQVIDTPGILDRPLDERNTIEMTAITALAHIHSCILYFVDISEECGYSIEKQTQLF HSIKTLFRNKQVFIILNKIDSRSVDDLSPEEKKMIEELKTGLEGESNENGGKVEVVDI LTMSTMKKIGVEEAKNRACNELLQKRIEIKVKTKRVDAISRRLHIAETPLNKDRPPCI PDSVIQERSQEIKSRTKSGPLEKELEEEMGGAGVYQMDWNRKYVLKDDNWKYDLVPEI MDGKNIIDFIDPEIEEKLRELEKEEEILLMNDPSQEFDEKLWEQTQTALKNIHDKINL KRRENMDNKARNAPTLPRGRARQASAGELTKLTNQLDELGYDISKIYERGRSLARKEE NKRERGRSLTRKAVNDGSDQISLLRAKRSRSLAGNEDIEMSVNNENSSKELFKRKRLA RGHSPAPNRIDASLKPGKQQEKAEKLRRKSQAKLGKLARRGEADRSVPTKMPMHLFSG KRGMGKTDRR cubi_01328 MTNNNKFYQFIIPKKDSNLLKFLNNINIIYKDDEAVLCIKNEDF SLDLNDDGITFNSIINYLQDDLLGVFKFDLNVEKANDYSEIEIPLGLYYMLSLSYLWS KIIHIHEKKIFLSLILRYIEILKQSLINNTEKSQINNLFNMIIFCITNIVLQEFDILE DLINQNIKLFENKFHTNNKKNSKSKRRKNPKYNYEIDNSDSSNETETELDNSDSFHDQ LQNTDFEVNLNDESIQSNKFIQDSSVLEISFLLVKYLINFSKHYLNVNFSTVGLSEWS LILSLRIINLVKKLVTNFEIETFNKLCKINIIKWENLSQNLIINILRSINFIEKYEEK EEYHDLKSCLFEIISNCFIHGSIDLISNINHINNPNNRNNVIFKDFPSFISASTMLIS EEFSNSLFVYILENLGFYWIKMDKIEDIGEDNNIIVYISSYVESISINNPNIILKNIS LIRRILKVMISYKLRSCFISSTANSLIQVKNKNQIDPYCLSSSSQNIDNNYNKSTDFT LEKRSQIIESIDLLLERIYDKHYNCRTRSIQSIQRLFINDIIPYSFFITIFKEINMRT LDESSYVRSSSLNLLRTMVRKVTENYYHLPLNYEHISNLLNNINLELNHLNKNSIDDL NNTIINSNINDVETKPQELIDPNFIKKKESEYELMKVLLVDAKEVSIIVEDILEKVCI NGIHSKINSDASSSILFICETVSLNIKKGQSLLSNVLKCIWRVNNVNILNSVVHGFFI IMFNNLSNFSNSDNQENYFQNELDNDDDQNLKLQFNEKIVINQSTIKNLLKIIELFND DDMMNFSKLLEHLTSKNTQISKKYTQNFDLTLLKSYTLNEISLVGSNLTNLNDEAENN LVSLLELLLVIIKVESLNNNCIFEIENKKNSNFEILYQLFMNSASNKNYIIFEYSVKC LNLIKINNQVYTNEILSTYITILSNFDYKLINNSLLINIINSVFNLIANPSNIKFLNT KENNSKVLYIDFFINKLFAHFHKRLRKSKEVSIIELSQIINLLSHIVLKYGNFVENLY NKWKYLYSIVQKSSSEKAKLFQEKNSECGIINLEEEYFEYIQIILENQLLSNDSIFYF IVPIINLLSRDPSLIVDYNNITDSASDLQWQLDYSRNCAIVSLCKLTSLTTKLLNINE KMMNENSNQNLNINSKLSNLFEMPNIQLIFSFLYNPSSFNFINKIQMDNIMLNIILCT NDLLTRYPNIIDPWMEKQYSLLNLDDNSNETEINSLKYNIMLIINYLLNIGFIKPKEI LLLSYLKCISNKSEFNSELSSLANSFFQEFFKDLNNQLAINIIPLLINQLALEYSYNY TDKGKTQTIIHQTQYLLHYINNKDNICSSLIPKFFFRISLLNDSKAIELYVIAFESLK LGSKSRCISKIIENLNLITFHIQEFDFLKQYFAKILQNHINSNQIDTNSEIFSLFKDE SSRNVKSNPNLANDKTNHLIFGAENTPNN cubi_01329 MNNELTFQVNVPFINKANCNYVSNIGKLSNESEIIVDNYEDSEF IPDSDGSDLSLPINNIGRMMKLSIPGSAKISRESKMLMQQISKDFIGCISSQAGEICT SNKRRVLNGEDIINALSSFGFGDYTGTLINYLNIWRGLKQSRNIKSFGNIYKSNTSSL SFSENYQSSENDQSLEYNYSNTSQIVNQKPENNECSKYFIQSNSSINNQQITYTNSPK YTNNAIYDFTNSNIDGNINIHSPKYSEITQENTSASYINSKNQINTLLLSPTKSINFI NESSPITPKSMFPLNQPVKRKRISQEEFGIVNIVDNIEQNINFNVKCNMNYNIKNLTE AESIENTTFQENIKSMNNFSKYNNNLDFSSNQYKQDDIFLNKNESSFDSYFSSDLYIQ DESENDTEYYTYPTRLFIS cubi_01330 MKNKQKHKNSLKNSEDNESIKIEPNIKIPVKWPYLLNIVFSKDI SRSNILELRVSETTLNQMTIANGTLCVLRYFEKSLCVTIRSLEPNVKFGDNQGLIGEL VINNLNIIDENIGQLEIIPFRSFAKDMNINTEMNKHCILKFFGILDINSSTNNSMKNL LKSPPEYINDNKSIQQMIISRSRGTCVFQGNIIPIQIASMVYYFNVFEFDKNQEFLEN YSKIVKIGNQTKIELVFENKLVDIKQMNKNEENIIKNDINSSIKGQRKYGMDKIGGMK QLKDEINKCIINPLKFSKIYSSFGIKPSKGILLYGPPGTGKTLIARSIAEEIELIETF EQEESGLELSVDFIVIDGSNISNSTGDEDNHFFKCIQKVKDNSKKEKIIYSILFIDEI DMICGNRDSFSGINDQNKKYLTAILSLLDGFDDNNRVILIATTNKPNEIDPALRRAGR IDREIAVEVPNSLERREIIELILSEIPNSLNDSEIDSLVNETQAFVGADLKMLINESI NTFLERTTKLELIDNGKSLLLSFEDIHNSVKNIKPSALRELAIEIPKTHWSDIGGYEE VKEQLKECVEWPLIHSDLFEYMKIKPPSGVLLYGPPGCSKTLMAKAVATESKMNFISV KGPELFSKWVGESEKSIREIFRKARQNSPCIIFFDEIDAIGVNRESTSNTSDVSTRVL SQMLNEMDGITTNKQVIVIGATNRPDLLDSALLRPGRLDRIIYIGLPNSKARKKILNI YLKSTNYIQNNNKNNNKPAFERNLISENLDNSKIINNKTKDSDITELCSNINNMEIFD NYDEMIDLLVNLTNGYSGAELALLCRETMMQVIRRTITNNFDSIKDSISNHIMFTWED ILFALDKVKPRIPNSLIEFYENYNKKNNII cubi_01331 MQFFNGEINKICGINIIQKIFLPENKRKTIVKDENVTSLDFKNN TGLVKILENKRNSNSLYQYKYKFNRSILNNDKDTNRATFYKIKTLDNFHFFEKCIDFQ NIELAKYIWKAIGMNPKGKINTQEFLNILVQENERRNYLEKMEYIEKALQIKNRFKFI LNKIFGNFIKNSNFNINIQEDKILDYFDLIIIECPTIRYFENILFNDIKSSSFEDLTK FLFVKMTEILNFHDNELSNVFCKVVKVALISENMFKLWSKEFIRLKSSNFIENFQFKN GKKVESLQKNLLYLILIYGYLLANKLENNEFKDQEISTLLNQFTELLENAKNTVFFNQ IKFLIELIILHLSDESIQSHKLKLHILKLYNKIIEYNPNNLIKTLIISNIIKRIDDYI IQNKENILYEEFISAINKYFFQENQINGNKLLKNDLERLTNKLDITNLELLLKKYLIK SNMINNTIELILDKIQNQILSNIDTWKENHFDFNHININLLNQVIQLQKDKNLSINYL QKYIMLHFTKTLRILEEKKVINDEKKLFRSNFEPSKVNTLKFISMINSKFDQYCEYER EESNFIKIVNSYSLPKITQSILMDNLSKNSCDWVLINEGIQFLNKYLLSAFNSNLLEN INEISEFSKLIMNEIKKRFINDESNQIELKFSIPKSNIYFEILRLIHNASYISNPKVK ENTNIHSIQLLNQGIIPFSLNFKEENPDFLLNKISDYFNNKNNVKTLVNSSHRKNINL IFIHGFLGSAYKSWNIDISKESKTPTILDNNFQSNNIPEYKEYNLNFKLNSDRNSNII SKLEKHNYLIWPRILLTENKNIKMFAIDYSHQIFNQNQSVTLKSISEEIYKKLLKANI LPKDIKNHSEKNNIIICHSMGGILLKLIIANHPETVKSIKGIIFFGTPHFGTNLHSNI IKFFKKKVPSYLIELSSKFNIEKLRKLNLKFQKLIYSIPKQERPLIYSFSEYLPCKIP FLFNISKIIVPHFNSNPFIGNFFILKTDHSFINKLTIYKNDIRYLLIQNLIDL cubi_01332 MSKKLKNSTQKLIVKSEILNNEDSEKSNLAANNHIENKVSTYKE ILMNENSSGNDKSGNDVFKLINHQENCLKHLEEENNSVSNFSSSNEEHIDDLKDYDQN GIKMDNNIISSLEFIWSEIRSENALEKKDIDYFEIDEHNLNDRIPKNILNEVYQVPKK LECLLNFSMLLCFDTILYDLTFLPINSIIALLKFVLLLLFNIINIFIFLITGNSNYFQ NTSIIQRTWNYNNSEENIGSNYSIDSNSWNLIQKSGNREHNKTGDFNHELIENSSVEY IFDDKQVKSKSLINIPDYENKLRFRLNFKSKDDGFLGKNNNFLPQIKNHIHSFRMNDQ RSSLEESELQSITASDIFYDEINNGLIYNKKKEDFKPFLSIDIGNYFKFTAIELTDLS RFLVLILSICIFSRVDISFFYHYIRGQGLLKLYFIFNMLEIFEKLFRSFGRDLIDTYL ESNIKFFTYIGFVNGNYQQEKNQLGFLNLLINSFSKYFMVIIYLLIHCTIHMIRGLAL NISLNSSEYTMFLIVINNNFAEIKSTVFKTYHSISLFTVSCSDTIERFQLLYDGCILF IRMYSNARLYTDSIFSSVITWVVSVYLVEIIVDWFKHSFLVKFNKINSNCYCSYLDTL IGDILLSRGSDQAFQYLMIDYNKKNLEENNSFEKLINKNTLNSSKFNNQFNNTSSIGN LTRQSTYSFLRGNTIGLEPDVSLLQNKDEAKIKKIKNENSHRIESKISNKDPVFISKK LRGIYAFPYIITFSSMY cubi_01333 MENLEQEILDTSSSNNTNNKEEIEGNTENIITVIPRSIVDGSKL ILRLKKDEKLSYESPTRILFSNKQLKLERSIWWLTDVILTEATLIVLDSCSSGMKFFP VPMKKFGTLYSREGVGHVITGDIIRSRVCSRSIPYRNCNKFEYAPRNIGNLRSVNFSD GEENEDIDIVYLRGDDSIIRYSLQPNEQIELGAGTVIAWTSGVSFNFKSFCCMKFVTS IVGDPSHVSTVWIANSGSKMLYQHG cubi_01334 MISFLESPIQYEDINVNFNDLNEVRNENTNIEENEFNLENIADL GADLNDLMNDNDENTFGISLYEDLIDINSIEVVKNNSNIQKTVESEVTLKSKIETSLV IYKVNFERTNTTVICEEKNVNFGFSNDLIGTGTLILEKIEDPDVFTLTWEGKLLEFFV NNQQKDQETSKYSFLLRYIIDINLKVIQDEEFFVFIKSDFQLENETIFLQFSFNTEEK ANFWYENLSKYKQLTIKKSNIKENDHYNVQQNQLKISKEYPADYLMNDQKHTILLSSN SQAPKISIPFFRDNKRSKKNSNISNSLSYHHSENLFPLIKHEDKVFIENQIKNLIYST VNNDHQHFNFNNISSFDIESNKSVIQQKCSFIKFVVNSIQTNNMENKFLSLSNSTLSK PFKSSSSCENTHCSQISKENNVNNLQSQLFTCPICYESYDYNDIITLQPCGHQLCFNC EYKLVDSKCPWDRYKYTIKH cubi_01335 MENVSKKHDDKVIKKKMSCEILSTRFRAFLSYFLPMVYGISLFS VAWLKVSSPIFIAVCLAFGSIDVITSIVGMIGVLCPSGLAIRSTIPLLAMENLVTFLI SIGLLVESIIYKENTKDYLILDFSVGLTISFVLMISTILSVICIWKISDYLNEIEATS IFEELSGKKVRSSKYMNSINKISSNLDNKPLLSKLNSKNSEVKNQDISNNNKSANDPN NNLAIVIKE cubi_01336 MLSELLKNQIDFDNVVVNTFFLNEEINQGLFQAFMLEYNKNILI LRFNDIASDTDKSDWLLKYCENLSEKINSLQTVNMNKLQEQSTNSDFIRAKSILLILD RDFHKSLGKDSGKLSTYKFLNNILIKYSDRLSLSVGSTGGEISLFEIILRIHELYKYG EIKYLIINQFEKLMDIDEHLSNETKSIIYSYIFSLFTNINIGNKNSQELKILIID cubi_01337 MVVEEIEPILIQQPDGSSKIGLPHKLDKLLSFKIQHNPFGEMWD VHSITIEHLLFEMAVIPAMVKIIYSIFKYKMIFNYSTLLAGIVINTIFTIILLTLTIK NLFTRSSKNWKNFTFITLIWVAWQLITPTIQGRYVLIVVSTRVISAIVAKFLSNILEK ASLPT cubi_01338 MNFENEEYYERIMNKDQESSSYSETSNSCFSSNKQENYEEISIK SCHTTPKRLLKHNKNDSDNESLQQKIRSKKIARNELSELKKIEIELVKEMDSARSTSR NSSENRKKNENCLLKKIDRISLLELEILTETINIDSKNNDQNATIQDILLFIDRLKGI FAILNNKKILFPLDYYKKWILEYNKITNYLYNSNKELIINYEYNLKLNIESIKLIRSF FYYFHNLSELFLKEKKNNKKITNNNFILKQDNIKLSHLIKSLKFNSSNKDSKKLGNLS IEKILEMTINSDNTIEKKFIDVIVGSDEQINLVNGSIDNNNSVNDHILTNLISNENNQ TLSKIDSINEISMLRTGRFQDAQNYREGIMKITQEYNGLYDRTRNEYIERLLERQKNH DMEIKLMITSHKEDIDKMNDYFIEEKKKISEQHDRQLNELLQENKRKIDIMKSDHSLE LRLVQQKYKQIINDNNKEKDNSIQAFKIKFEHILIDMESNKDRELLNMQIEYEDKINE LKENYKNIIDNLELELQRKELQFQEEKKMIINEFVAAHKKEVEILKSQSIKSFDNFDR DIENKSAIDTNTSNNDSINNENIENNKKDTIILSDDKKYNEIIKRLDNITSRSSLKVE GGKIGFCDDKYTITTNSTASSSAIPSNNVC cubi_01339 MSSSGNNPSSSVIKSAEVPTDGTAEHDFDPNSLSNGQMSISSFS SIKDGVQDCIITSINSVLDQSTEYNASEVTTWVDSITSQCLENLRKLSEKFKYIVSVI VLQRSPAGFHLFTTCYWDQANDGSVTHRWDNKNLHCVVVVYGVAY cubi_01340 MNESLLYFPDEELEEEEVNEQSKSESIYNSKFSDIKGLNKKLIS QLSALGYEKMTKVQEIVIPKILNGGDILFRAPTGTGKTLSFLVPTIQRSLLNEIEKTT ISRSDGTVILILTPTRELCIQTVETARLIVQKMPWCVTGCICGGEKRKSEKARLRKGI TILGGTPGRILDHIDSTNCFKVTNLRTLIVDEADRLLEEGFGASYKKIYQFIMNKDAN SNVCGLYNEDDDEELSMLLNVKVNKEKRFDKINKQIILVSATLSKPVEDLARYSLKNN PEWLILDQYKEIGHKKSDGELEIVQDALEGSQNIPSKGLFSVPINLRQEYVVVQDKFR IPALISLLLSRTGNGKRTVLFVSSTQVVEFYFALLQSMRWPSKLLIRGGPDVKNSIKL LENFKKEIDNKDLRNETENGKIHNKFKMNKKKRFNDYDNESDSDLDSEIMISDSDSEF ESRRKDKKRSDNNNFLKGHKKWFTNNSQLIEKFESMFDNYIFKNSIFDDEVEDKESSN IIGENKHFHISDEMVSNNENITQPPIFMLHGHMNKDDRLGQLSSFEKSKKGGVIITSD VASRGLNFPKIDTVIQFDPPQSIEEYVHRMGRTARMGDKGTGIIFLRPSEEGYLETLK SYDITGKNGIIKLSDTTIWEGLISNNSNSGKIDDISGFFYSIINKIITLDPNDSHNEL LNKARRAYIAYVRSYMSYDREFSKIFSIKKLHLGHVASSFGINEQPNKIIGHIKYLDG IISHKDKMNINSKMNSNKKNKIGIIENSKRTVRVINKPINKVNKGTSIRKKNENKSNF KDFNLKSDSSKSEIIDKALQLMKSKSEIHVER cubi_01341 MKIKLNDTNNGVIIFTKCDSENLVIIKCLIRLNEEIEDFFSQIA KNEVKNGNCFKKISITMNRAPTENVTIFIKRLSLNLKKHIENFIKLRFNENFKIEINV KIYDKNLNDIQNTTLEDIINNENLDIVQVNIVANNEKCKEFYYLLKKNVPLVQSVVLK NEIRLGFPIVPNITFIKGDLSHFSYRWYLQYNASETKTNHDILSNLPENLLEIDQNYI CDLNLIFANKEEIDRVIENIENYSIIFRIILNSEISQLFDTIYRFNHINKPLEPSWRE ERINSFKNDLKLSPELNVNRLKIVTFNILSEICAQTDMALNEMYTKCPKYALNSYYRR SLLARELIDLEADVIGLQEVQSCLYESFIHILMKFKGYSGVFHTEYANISTFYKQELF NILESETLLFKKILVQDYPEINKEINIKWPNFTECLLDKITTIFQITVLEHKITKVIY LFANTHFYYHPLGGHIRILQAKLLMDLIEKYLKRLRTDFPSRDIFSFILGDFNTLAIS DARTLFTEGIITSNSSEWGHSALFKYKKKRGLDNNIEYESNGNNDKEGLINNSELNNL GFDFQTNHKCIDLLDIYLDRKYKNIKIRVKEKERIENSDSKGFNNKLYYPFTNKVEGF SGQLDYIYLVEEAGFSDKYVIFLNNYLPYVNESMLIPINTLPSPQYPSDHISIGVDIS ITKNAD cubi_01342 MNSNEPNRPVVLEKIQLESRKVFSVNNRRAAIEERKNDRKLLNN GLINGNSFFVKRQKLLDELPPHPRKVLLPIIENQFVFLGGVVLSLIHKAMMSNFRKSM VDFIILRSLFGNGLLIFLLFEIHYLKGRINVLATKRSTRDVSSLDSSINNVSNLFINL SRKSKVSLIIWMFISSILTISQPLVDKFFPIQIISLSQPFAPLISLLIFFIFTYKINK QYYFTKACKDKMIYTKLNVCDNNQPDVSINISNSSAFESNKITENINLETEKLFQRSR MIADNKISYQNLLIIFLSFISLFSVTPIKGMTIYFKKTTLSFLFCGLIPTLILPCLHD VIFHLICNRILRELMADKIESNPKYNINFTRISPIDDLKRKLCNVYIHLFMTLGQILI VLPVCIGIKAIFYMKLSKLTLTSGNVSNLSLFGSIFESIFDIGIKEIAIILFSVVSML GIYQRCKTNNVETFGLTGLMSVQGFQTLLSTSLKSSWSNWLNNNLTGLILSILSIVIL KFQDIIGTLKIFYLYSKWILYFNTEVMNIKDERIYEYENTKLYKSKIRIIGGSFDVKS NTSDSHLDNKSHNANQTLIDDEVSLKSDSESENIKSSIYDNDVNKKTSIENIKTTFSG NMKKNNKITHNNKADLKTSTNNFVETPIMSAKVVVLQN cubi_01343 MNQLSKEIKLCGVYECNYTNTGGEIIHISPTNNYYSDLNINEEV TKVLLPYYIDVNFDGINQFESQYHIVLRFNNTSDNIMVRLNRMYIVHEGIPVQVHNLN CYLLGKTTKISNDMKLSLLGSSSIKNKSQYFKSIRVVIKIDNLGNEEINTGFSNKNSI RILLDYFVDFHGLIASINVIQSDIKNINFIRNSPIEESEETFSETCSEDHSFAPILMP TMGHR cubi_01344 MIIEQKSGDEIKKLTGRSIIWSPNELGIPYLGLYEEHGKIGDED YLRYGVRYIGNKAINGNNERIIIGEIYGELLSLDSLAFKSKEYEYTELNSSLNWIWKY NGVVIDTRNITGILGFIQKTWKESDESNCHLEVIDGRLYVTSNPNVCIYPGDELVLSV NNNMDLLIPTIGDEVMKLRSRSRRLEQKLERFNCKESPKSCEATAVSVSSVSTAVTKN KSGMNDEYDNSNNSNHIISVPYSLNCTNEYYCNLCNRSHNNTSVTPGSFYYGEFRFST RIFKNALVFVLGEKENTLNLTENQIHYFDRWKSTSCDRELYNLNSVHKISFKPKKGVS IESIQNENENDQNCNLPIEILLVKNYISKNINEMIISEFQLVRDDISHNFGDLTRKCY ISQSVLLYYFFEKWMNEKFIGEYKYLRIPIPMLIEQHRQLLLPYPEGIQWNLETLSWR YNIQKNNRIYKQEMGTNFPLEASINDHLAQEQEYIGVRVTNENDSFELFKNYITICSI KYKGVNNSKHKELNPSACNYNSNRTDTNIDYQSNLNGNKKKRQKEIEDLKNKEYENEL FEIEARDISRKNANNFSDSPKNNDLVNIHEIIDRCSEIKSLDHINNTNKQILTAVSDD IGVISQQVEILLVPPYSSFIKFCVKRLGFSITYNKRRAWFSVRSRGVLEAFNLAIKWI KKQKSMTQKNHSNNFVEHINKYNMENISSPNNLSINSKSGINGKRSSQKISNYINNNN AHLTFVKIENNNHFCQDSDADSVLSLNEQANRLKPLPKRIIWVPSRRVFIVSYKRLGA INQMNTKSFNPTIYGGVKKALKHACIFQSQTEQCKYSGFGESSGITEKNSSYTSIQGK MDNFEEKSRMDSSLKPINYWVKSIDSKVSPEKVTNAYREAVSTYLTQSTSFSQSNAAL VGLENYCEANLEQNNVKFLSETSNLKSSEEECANTSYVVENSCNNNENIDVFENQVQM KIDESYINNFNTDYVYSGESNRLKTLNENSSLNKGFEAHEHEYSQNIEFKPFKMYHDQ VFLNKDSMLNLMNIDEVLNLHSSNVNNLQNDSTDGQTVILDEETCSTENLVCQESLCT SNVTDYMNFFEDYKITEPLISISDPYTNIVQLDDPPTLHDQYIKMVSPYDYIDSFTLI EP cubi_01345 MNEEMTKNNINVCSMQTATYKKSEMEQTLTEDPINSRESKEAVC PLDEFLLFKSSSDSKIEKINDSDSIEHDSVDLDDNSIDYISNPDKTQIEDLNFTESTS SRYSNADYNLKKSPSNSTLDEFNRWILNDELETEYVKLIPNSEIVFAKSYDKEMNIAI GLIDEVLKMRDEWNYELPMIEHDHLIIHNYGHLQDGNPVLDIESILETLPGKIDVKYE MINGIYNIKWYSNKNLIYNPITFKKIKKTETMDLNLSYELDGFNVSSGKCLNIKKSFP LYETESKSVHEFIRCLRRIMSLVHSPIVKSFTYYRLKFLLQSYQLYSLFNGKLENELS KKNIRTGFYNVYKVDTHVHHSACMSQQHLLKFIRKCYNSDKDRVVFYNRENVPSTLGQ VFSNVFGCDYRNNSIDHLNMDAIRNCFQRFDRFNEKYNPFGSNLMRDIFLKYNNSIKG KYLADITKEVIQDLKNTHYQYVEWRISVYGKDKNEWKTLSEWFYYNGLYCKHVRWIIQ IPRLYNVFYKDGYVKSFSELLENIFSPLIEALINPKENPFIFILLSNIVGWDTVDDES QLSKYSMNSPNFCYPEYWKSDDNPPYSYWGFYLYSNIRVLNQLLYSRGLNPLKFRPHC GEAGKISHLATMYLLADSINHGILLKKTPVLQYLYYLKQIGIAVSPVSNNALFLELMK NPFPKFFNVGLNVSLSTDDPLIFHFTDESLLEEYSIASHIWKLNNIDLCEIARNSVLQ SGFSPKYKASWLGIKNYNHLNKSLYNFLNDFEPCEINDISRSNVPNIRIQFRKDMLKG EMDLINKYTVSIDRIENAINIVKNLRLKNVSDNFNKEFMNSDFNSSMKKFTGSSDRFN cubi_01346 MYGKDPYYKAFSELENISENLIQKCKEYKEKTDNISNYNKNTEF NCLYENINMEIKNFDEMYKVLDNIVNNVKKNPERFLEINKDEINNRERKLRAKYEIMI NCKEQARLIYNRAKEKEIQNQRKINRDFLLNSHTSISNSNMENEFYNIRISNKDENLE YINQNTKKLHNAALIISQELNEQNNLIEGMEYELENENIKLNFVFDKMMKSIGISSKL SNYLITI cubi_01347 MPSLKEKKIENLPENKYKSNLGTNKSFLENSNERMSLEITFLVS SIIICIVVSLISIVTQGKNQIRVNCSDPTHIVVMTHGWAGTPANMDVLAERILNKYSI LINNEWSKNQQIKKSECILIYKVHSNWGYFRSIFITSDGIENGALRMSKEIQEVIIKT PSLEKISFIGHSLGGLYNRAVLPLLSDSSLGKQKNQSGNNKGLIGGLKPMNFISIGTP HKGVLSDNCTFFGFEVLKMLFPWRWISRLPTISQLLMMDKNGPLIADMMNNMNMINPL SWFKHRHTVGSIKGDLLVPPTSASLLPFCIDNEGFSLLSFNNYEFSRRYQTDNIYKFN NFKQNYQFSSKIIKNYLNFVPNNKVKDGEENLIEWITVIDSGNEKTYENKNELYYRNM KNRRKLINLVKEKYQNKFLNQKTLDDVINKNTNGNLDKLVWMKTSVLFKNKIHRFFSH QLMMFCFENWGYFLLGNNFQLLDHIIENMRF cubi_01348 MNKIVTNIIDSNDGFEIKSNRARSEERTSEGIGMANQHINDVLD EKNSRSSHKKNKESTSDIKGCGDLEGRPLEKEFIINKNSGNCSGNKNGVELYRCDLVY VSSRKKVPITPVESCELQPIVILKDKMGKLWDDDDENPDNPVINGSANIYYRWSRGPS RAVCTFHPSQIAMLQCATTLRCFCGVNCYKQGFAQLRRFYEVRGMSPISPHPNSHTYG VPCRPFQFNDPDNSLRDRDDSHISLLLKTGLVHKSKDEEDWIPVGDQRNYLPVSEDVG HQLKLEVFLVFNSGLDSNSEVFEKFLSEARKSSETYSQITTACCVPNLPQAPPRCILT VPNNQINGIGVNHSTGTVIGHSRFKVFSWNILAEIYASQEAFPHCDAYMLSWSYRKTR IIVEILSHQPDIVCLQEVQTEHFDDFFKPVLQQYGYEGVYKQKTTEIFTSGSGRRKDG KYTMDGCATFYKTNKFIAKENYSLEFSALIKEATHRTLPAEVKNNPAAIKRLLKDNVA VVILLEYHQNNVISSDSNHSIHNQLSGNTSGVNNRGIVGMNGSTNLVVNGVQNQSGVL ISSSNNQIQSESSKKSMNSSAPLQVIIANTHIVANPEANDVKIWQAQTLVSVLEEYLH DCYRRQPVLPGLIICGDFNSTPDSALYRLLATGTCEKTHKDLAMDRYGLLSDLQLGHS MRLRSAYSMAKAIVEGHNPNMLASSTESLEPVFTNYTPNYLGCLDYVFYTDERLRLGG VLELLDEEALIREAAALQLPDWSLPNPQRPSDHLPLLTEFEWNI cubi_01349 MRRGFSDYLIQNNLISTLNEELPEVDLQLLKKRNVIVEKKLDKI RKAKRSNWDSTHEKMLTWSLSGFSVLLYGFGSKINFLDEFVKKKINGNYVALTIRGYF KNVKFKSCLFELLKVMENTGDLINDGIKSYINNSNSSECSIDSIITKIQLLYNNSSAC FENIFLIIHNIDSLSIRPYLPAISQLSQLPFISVIVSVDNIRWPLLWNNSMRCKMNFL YLKVSTFEEYNIELDHLYESQLPPWLGILSDDSNGQCKLEQLNSILNCLTPSHIQVTN AIANLQLKYGYAAEDQLFKSLKSSMIVTTKSSLSQLLIELFTHDVLTKQSLNSKENKD GDIVYRLKLSNELIQEYLNNLL cubi_01350 MQVEQTYLMIKPDGIQRKVVGEIISRFEKRGYRIAAMKLTTATP AILEEHYAEHKGKPFLPGLIEKMTGPVLCMVFEGVDVIAQARKMMGSTRPGEAAPGTI RADFCQQAGRNLIHGSDSAESAKREISLWFKPEEIQSYNVVLSDYIFE cubi_01351 MVIRYDGRSNLECGAILANVGIFNSLNGSAEFSIGLSKVIATVW RPEEASSNKCKSYLEVILRPRIGQAQESYKLVEYHILKLFEKVIDFKSFARCVISIAL QIVSEDGPILPVCINAAVLALIDSGIPMEFFPLAVSIAESCHFYGEKGTSHLMLDPTQ SEFEQCISCSTIVINTTEKNIFSCITNKGTGISQNELIDEIHPIILSIATSNSLIKHL SETLLENIKSKVVKPYNNNLF cubi_01352 MTKNNTNENIDDERYSRLTALENMGVVDDYSLIMKKTILVIGIG GVGSIVVEMLIRSGIEKLIIIDFDIVELSNMNRMFYNMSHIGMFKTDACADTIKLINP KINIQKYNINIVQDYSIFYNIFRTKNIDLLVSCVDNYSARSTISQVCNEFDIAWFESG ISENAISGHIQFVIPGITACYCCAPPLINFESDFGEDKITSFMINSVDDKNSNRSSSR TCAASLSTTTSVIAGILVNNILKYFLKFGENSNFLGYHMIDDYFPRYSIVPNKECVDK WCQLRQKEKEYIKDKQIKNKNPNEERIPESNFSKSEMNENYSNFEVIESNIHLESSEK LFENLNNLSISELVDKLEEVSTKQKQA cubi_01353 MMKKIFAMLVYLLIKDAAGLETRSIGNSRLESFSVTYSGNLQKL NLHSSLFSNLQFESCNTMCDDFDPTCDCNTASTTETEITIDQVENWIEIINRNSQAAA NPILKSESSTSDTSDTSVTNNMYVWIGIGVGAVVLIGLIIFFISKSRSNRQGQDPNAN YNMQGGAPGMMPGGMPGMPGMPGMPGMPGMPGMPGMPGMPGMPGGMPGMMPGGMPGGM PGGMPGMMPGMPGGMPMR cubi_01354 MPKEDLEKSFQDEECTEETLIFDSEKRFVSCVKEHQEMVDDVDK NTAKFLCENKTIDTEDIQEVQCKNSDKSTSSLTFDYRRKLIEIEEWRFLCYDFEKFDL EKDHLKILSKLRKGIPPQFRGFFWMKLAEVESIKNENSENLYYQLTEIKNAPCCGDIY RDISRTFPRHCLFRDRNNHGQNSLFSVLRAYSLYNPDVGYCQGMGFIVGVLLMYMSEE DSFYMLISILDKYKFSGLYLPGLPLLNTHLEKLKKIFKKRIPNLYNHFRNENVDETMY ASQWFMTIFAYSFNLDAVARIWDLFFLEGINLIFKISIAILKILKNSLFNQSFENILH TLKTAPYTININELIQCALSIKLNYKE cubi_01355 MKGNSKNENELLMRLSKTLTSVSPASRKKGLDIITRYISKHNNS MTRLQMLKIWKGLYYSMWLSDKVLIQREIAVNISQLQRMFEVKECFFLFIEEFYLMMR FRWDGMDHYRMDKFTFLQRTMLAESLDILSKKNFDPEFTKGLFNIYRSCLFDDNIGNE KLIGKKRKFFMIDDKVNDANEVNSTNESYTGRSTGIGISLIFCKQFPQELVYLLYEQY KLINKNSSNSNLFNKSISSFLNEYAEFITNIIKSCTVNSTLSENIYSQLILKLFDFDL LFDQVICDIENLNIDQEERNLISCFLSDNMVNLMSILQSNLSVLSKSNDSSITQSKRN NIYSTLEKIGTFLKNNTISSNKLPKVSKNKKKILSKKLENVNYEGNYEEDKEKRVRFD MSKNVRMLLPDSISTSRALVKIFDKKNDVKGNNELNCILFRSSPDSDLQNTDQTTPSE TDSYNLGSLKNIEIISAEKALSKPSESSSSPSKSILKRRGVST cubi_01356 MLEIISEFFSSCCTLKKNQKNDEYIFILCPAPSDLEEEYIDEEG NLKKKKLEKIRGTARNIVDREIVREWSGREIGSCICCHLIYEDEMIVYRADKYGKKID GNWEGYDESILQHQNSAKSVGSLSSYGSKKHFSDEPYAANSNFTFSSSDENINTVETK GKKVRGKTKSNISRSHSLVEKEVDEKEKAKNKNKKPIETKDINKDCSTIHSDSNINSN PIDDKATNNVNNNNSINKSDEKCEKQNKSLDSKIINKSSITKHQNPSKLGKIPPLKSE LLNTNDQKNKPLKKKIANGPKYTKEEISLKKSEINKISLEKEKGNENDDKNTHTQRNN NNQTNNNIDHHSGSDKETIENTKAIKYEEEEIKFNKDISSKIIRHKASTGIQAEIILK DGSAINCKVSFSDNEDDLSFICDDKVKAVPWSNIKEIFTTKNELKMVNTRAPIFKDQT LIIALHLRDTGNCIPLKFNSKQEKEDFLNFAIKMIRR cubi_01357 MMSEDDQLQAFVDPLILLKDAVTRGKIDEIIELDEEFCFKFYNC ALNVNTPTRCRNRRGESLNLNDLYLFITASKNKKYTMKLAQENGLKFINILEKKNILE FFDEFITKTKDSGIPSTYSIEDLSKFECINTEFSLQPCRIIDVKKKIINLDYPWVEYK LKTDIESISEKAGEDTINLEVLNSIQLKNNDEIVFGKRKVNLISQIYPINIDYICQGI DMYTRINTLLTFMNEPTKNESKKRSTNASAYIQDNADHAKLKDTRKLDSMNFGKRSLD SSTNASNISKTRNVPKPISSQHRSTLLAYLNSNNLNPIILVPPSSRSPITLNNIIQFL RDKEFVDPNIAKRNFVGNEQTVTLSFGSGTTVQKVTFRILESTLNFRKRDWYSLIAVF LTGAEWQLQSFPFKTIQDIFTMVKGYHVTYDSEPIPENIRKWNVDVVRINRTNRHNDL SVWFQFMESIESVLASSRDHSKLDRSKL cubi_01358 MSSLIKRIFTGFSMNSGNSPQISKSYHGSLYKCIGKTYQLVLQS CSMNIEDDIIMSGVNPDDNQKEKYIFNFKNIHYFHSDKRGEFIIIMKSGQDLIKFVFE FENMDLHVLSFLSYNITISLRSLCSIKTQIPIELYEHNDMVTRKKRDSILGFNAEEWK PLSLNAVCELLSSKDFKDTIFSIKDLSGKGEVLFASIIGDNILFLPKLSERVIEFYGS NQDEVRKRYRIHFKKQLRENKSGNDSKDFYSSEEEIDEFIGKLLEYIDQINPEEKRPR KSISEIIKNEVSDYGVSYKMDLDDSYDPIKDEIMWEYGDDEGENTDLSDEADNESYST PRRTKKNDLYLNHKYMLIGHENTFIGRANRRNGKSEIAVFKNTESEGSNYNLSGTPAR NVSVIKDVEFENQNVLPVGGQLHNCETQMLFLSETDPNYVYQMDLTNEKILRRWDADG LPISCLGLSNKDSQSTPVPTFLGLSNNAIFLMDSRVKECSNRFTSKLYRSNVLFNSMA TDKDGHILIGNDLGELRLYDGTMNKDGEFKKAKTLLNSFGSPIISVDVTRNGNWILAT TKNCIHLYPVTEQEESSEYEGRNGFVSSLKNKPPSRKLRLKPEDLFHYKITEVNFTPA RFDQYQKSEGIVGETKIVTSVENFVIVWDFEAVKRGNLYSYSIKEVESRVEDCSTFYN NSDSVVLAYKDDLTIHKLNRKNKRNVYSR cubi_01359 MARSKTSSKRIAKYPSKTPILHQSPNNRAVSNSPLSPRKYRRRP GTVALREIRKYQASTDLLIAKLPFARVVREVTLKFVPHGEMWRWNAEALHAIQCAAEA FLQGLFEDAYLCTLHSKRVTLLPRDIRLARQLRGRYGDFI cubi_01360 MLKILENKLHGLTHKIIHSGLILISIAIDFKEGSGCYLLSLTDA IQDYYTSIDSEFLNLIENTCSWFEYGGSIINSQYPIGLLFDKYCKNNGGILFSIKLVF QNKNSNSNNQKVAIFSEKNSVFNNDLIKIITNNIKLSQTVMFGDCRKFQMLNKKDYDE LFNSIFSLSRTTCEYETTINRLFGSTNEIYINTKNIPVKIHINNESFLRSFKKDINNR LIDIKDILNYFVSETNVALDSHDVIFHGTVLPLNTPLIFLTIFCSYVDGIVQLVLRD cubi_01361 MIDDTKSLPFVKTLRSGGMITGFSAAIFQVKPLLLLSAILIFSS IITSNNSDIQRTITLMGFLTMGFMSIYLMPNNVLFDKRDAPKNNSTNTDI cubi_01362 MDGFSPEKLVRIRYAEVLRNLRGPAGSGKMIQNLTLLAEDQRQY AHIIASVILEEIPRSDIPRKYVLLCLVDSIVRKCRSGSIFFPYFLPYIAPYFAEAYTT KTSPQILRSLEKLLKVWSDSFPRDIVQKLISTTNNVRSKLNEPPIEIEINNTSSTASK LNNSNLKGGNNSNSSSNASINGANTNSIETSRTGMETARVNEMYLNISKAIIQKCNIS DGGNALLNKLISDPIVHKIAHLNTYGKIQESQKLMDTLVMSIEPNNFEHKHINSIGDQ LPTNKRQKQEVSINKTNRSSEINSINQNHFNHSSSQSVKLMGIPSSVQQHMSPPPPQI SSSQSVKLSTTSNPTVSPSVSAAAAAAAAAAAAAVVQSKAQIQHQKHASSILSTQPII SQSQSKMNIPQSSTAPQQLSPAVSSSIPSTSVLSTSGTGSNIKKTFSPRFLENSTSSI LFSVWNQLFRGNTRIESDNLKKINSSVIDGKGDQNIFLEGLQIKEISDLLLLFKKLQE NVDASIQFKRSVWLKSHFIKKRMIDNINDFNLQANVSMYYSERPNQCHTCGYRFIDCK KKESHIQIHLTKNLIFRQKKQTSNFQLLWPSLQDWIYNSDKGALGETSTEVSGESKDL IADNDKVGNLIGEKGNDFTNEGSQSSDKNVSFNDLFNSLFKTKSGRSLVSGSGREHLG FNEKSIETNCINSNSGSEDQVSSSIGTCKNILLTQYINYLKNVPNFDFETILNKLVTI TNDTNSDIYGNLVRDVDDICNSLSSLWNEMTQSVISTYTPVDHLHMVCDICHESLNVK WCSINKSWISTDAIALTQGCINDTFGNQDRRSSCQNLILAVIDTIHETNVSKLNTIKE KINNKMLRFIQWKFPTRVSNNSSQVIKFRPFVGNCSSIGSGNSSIQNLVGDKFVTAHK SCFIHYFIYNDINNRISNVFKAKTRKYTYSIPNFHDINLGSIDIKTIRKKNENNKRFS FI cubi_01363 MIKGISALELLHRETASTNRIKTLCRALDKMLCGGIIIGKGIVE LCGVPGSGKTLLCKLLALNIQIPKSIGGPGLNAIYIDTEGGFSKNRLREISKNTLSYI HEKNKFGNITNKNLIENVKYIRIFDLEELLNVLFIMPSQKIAKILENMSKEYSLSIIV TNHMTKKYIDNDQKKKKEKQPTNSIEEKYLEPSLGLSWNSLICERLILKRERDLTLGS EILNKISVTNSFGEIAYFKVIHF cubi_01364 MTILFKKLKENDVFKNFFRQISKYLESNSDESKENECNITKCDV KKNNKLVKITGKENNYIGINNTVFSSQIFEFKNNLEKSEYQESNSDSKPKPNIEQIVT NRILSKQNEYNMKKVYAYFRECYKKQIESGKTLIDFRREIIGEISEIIWKCHLNEEVL FLAVHFMDNIISKDRIKGSKTLEKLRYLGFTCFYIATKIERVVNFNFKEIIRKLSINP IKILNMEKYVLNQLTFKLNPISSLFLLQFLMGIIMESYLKDIIGIENINYLEKDLENK DYNNVYLDKYNSESFKGFNLQKVENISKLENSSSLIINVLNMKSSKGINKVLEYFISC YLLEICLYDIEILKYSPLCQAISALIIAKECLGARRESIEKDYINEHLNREVLIEDYI YRNINAIKRTLKYPYIKKNCTTKKYLTNEYMNAANYVLRFICPK cubi_01365 MNENALDKSKTPCCILEEDLENEIIRKNGFQKPFVALQILIWIL FGTNILVYFAFIIPSLPLLFAIVIGIICAILCSVVFALGWKVTAIDPGYSEEDSIFNS SNFNCSECKICHSFFEENSKHCKLCNKCIPRYDHHCKWLNTCIGEKNYRYFFLLLFFV TLLLIMIITVTISSILMETVNNNTYIYWNLRLYFWSPITFYTIGVLILAIDIPLLILN AHLFVLHCYLVFRGVTTYEYLTKIVIDEDENSNNKSRICCYNTDNFCRQIVSSVDWIV ADRKKIAAKKKKIKEEKEKMNKKKSNDNGDVANSMDLELSNIRQLDYEEQSISPAKGS FLTN cubi_01366 MSSYYESNTGTKLRPVVDFGDDRWVTLSTAEYKKTLIRKGEQNI ESDYEEEDGYEYHEEDLEDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEVEEEEGTDEE ESFHQEFNDGSEGYYRGTKSNNDVSIYPEMIRYHFKEAFSINEGVEAREFGFNEDDAE LEELVVEEEGEITTDGHNIDEAEVVPDELTQPWDLESIRENVEVKYTESKGRCLYARK CFNPGDIIFAESPLLVVTPELAPELSEFLEDMNSKETFTLPPLWHVAALCTLTMLEDE DKAICLDKWVPDPAAEPSYDVKKIIENTGIDVDPHLYERTLNAWRFNSFNHTSNNGIV LYNVISMMAHNCGASCCWHYGVDNTFVLRAKTRLEVGDEITISYISDDDLFKCSKTRR ELLSNWLFYCRCERCSNPTDLSRGLKCASCGVGSMFFKEDENGVTKSSKCSVCRSQPD REIIDSYTDLESQYIARLEETHKSDVEDVEAVYQEAQKVFTQHWIMYELDTMLFEAYK ESQQFSFALSCLYNRLNFVRNVLPDCTYTLAWITEELGDILSILQDERHEGKSKTNQE VSAIQRYYYDTWCYLSILTGPNHHFTIAAKNKYEAITD cubi_01367 MAKKNNKNRKKRYIEWLKETEKNNGKKIELKTIKRQNIKCKTDD AINEPKNVCMSIKKVKKNIKLDKKGKRRLNKLSGIKMNNTPMNL cubi_01368 MNSNKTSYSEDNDLPNICMVSDFFYPGLGGVEMHIYELSQCLMM RGYKVIVVTHSRNDRYGVRYMGIGLKVYYLPHKSIYDNVVYPSLFTLFPLFRQILIRE KIDIVHGHQSVSMLALECLFHATTMGYRVVFTDHSLFGLSNYDSIHVNNFFRVNLSCI DNVICVSHTNKKNLIYRSLISPKNVTVIPNAIDSNDFLPSPNYKSPINNEVIVISICR MTYRKGVDLLVEIIPRICNNDPNVKFIVGGDGPKKHLLHEMCIKYNLSNRVELLGSVP YTQVCRVLQRGHIFLNTSLTEAFGISIIEAASCGLLVVSSNVGGIPEILPQEFLRLSN PSISNMVNELKIAVNIIREGKFDPYSSHKKISAMYSWHDIAKRTVSVYQNSNRVDIIG IKERILRIYNTGNIIGKVFALVAAFDYFIWFFLEFFYPKESIEHCIDFPGIS cubi_01369 MSISPQINESIREIVLLTQEITNIYEHLSDEKSFLSHIKNEISE LESYIKSTYDKLQILINDIKSIEGSYLKELETGENICRNNSTEIYNFSEDNKHNALIS LKCEEIERELSNIHNRYALLLDKKRNLLKSMRDSEDVLHAKKKMYQSISMISWSLISE SFIQGHFIPVNSPTKTETFQLQINENSKL cubi_01370 MIIINKRLNSYKTLQIIGKSIILFFYVTFVKSDLPIHVTTSDVI GRWKFQYSKPADNWIYGCGSSVPNKNTQNLQPSLADYNKWLTEKTKGELEELDLILTD LLFSENDDNDLDSSLFPGRDDWAFLAVKDPENGKIVGRWTMVYDEGFEITTKHMTIFG IMKYNLLNNGNCNARDGDNETSKGETLCYETDSSQIQLGWYYIKGSKKRGCVSGKKNE IYDENSLGTLRNRVEIPIKKSFESSIRNLSNLLQEFAHKHNSKDSENWRARNSFSLTD VAFSHHSYYMNAVNFRKIHPFRITNSQHSSFIEMSKGGIRNIGDYDNIVEPIFACNVI RRKTDDEIKLPSSFSTGDPFSDDEFSDLPFNQGNCGSCYAVSSVYILAKRAELQLKKL TNGASKDEKISLSSQSVLSCSPFNQGCEGGYPFLVGRQAEEIGISSEKCMGYYADSNQ ECNFSPFITPETEDRLYCEQDERMYAKEYGYVGGCYGCCDEDKMKKEIFKNGPIAVAM HIDTSLLVYDNGIYDSIPDDHTKYCDLPNRQLNGWEYTNHAISVVGWGEENGIPYWII RNSWGANWGKKGYAKIRRGKNIGGIENQAVFIDPDFSRGMGLSLLNKYLNNTSYSKDL PEIKNSSQGSDELHVEIENGEIDE cubi_01371 MSPDSIKTENTENVGDQDQINNFIISDWGMEPKRYNYQVNVTDP ENKSIGLGKYTVYLVSGITPDGHNFSTRKRYSDFEWLRSTLVIQFPGVFIPPIPKKKK VGRFEKDFIEFRRRYLEEFLRRVFNRGYLISSSLVRTWLNRSESGMETLKKEEANRPL FDIVTQYFSSFDNVLSTDNPNSRPGKPSNRFSVPTVDISPISEFSNRLENHFIQLEQL SEHLNTITSSYNRAHISFKEIPSLFHNISLNNTNNESRLDLSSIFQNLYNINSNSTQK HYDMLYSIIAREMNDTECMLEAVQTLEKMQCLLNTNNSFTQYSDEVNVNDLKSNSFSN SNVSNSSITSVVSSAAKGLVSGFSLFSSKTREGSFTSDTNLSKLDRYREDQSALKTLL SAGRVVLIIHEMPHFFSEKVNIFNNTIQEFILRQSQSSNIENEFWGKVLNKLQSLKQY NDFQGQNTYHDEFYVNDGRNSANNQYNNGNNTSDWGYESYDY cubi_01372 MIGSIEFWNKEAKAPLLKRNAGMFSNKLKEMAPHLKRAESWLDI ALNPNNEIKQMNESNNEINCDNEYLIKLDQNIKINLVDHTDNPEIDRIFKLDAERTFS DEENRTKMISVLSTIYQEIKDYHQGLGFVVAFFLLQLTPEDTVRLALSLNRYYLPGYF KTAPFNYVRDAKVFEKLLAKRYPDAAKKIEDSACAEAFCSKWFVGLNVHVLPFPSLCK FFEILFEKGNLFLFQFGLSIVDVCREDILNAKDASQVLAILRLDEKVYHDLLEHKNFL GKEEEKPGSFFLYLVDNALDIQITQDEINDLRGVVLEEMRQQEEKRKQIESQMDFDDD EIVFSDED cubi_01373 MDSNKTEKKEEIKLGETNKTGESPIGDNIKGIQQSISTIVNSNV NTDVNTSRLTPSQIRKFQENSKAPLPNNSPIEERWPHLDVITDIGTTITDLKSGLLDY YGTLFIPSEQDLSSKIDSLRTQDTIHGNYESNRRFINNRDIQVSKDNNGFGVFHKIKN APLLDDIPDPWSPVPNSIFSYRDVNGNPISEKCKMEDSEMMDFHGLAEIPGKGIIRAA NCKSNKTSRGPTSKSDKSNKAAFDQINIEGDLPENLLGFDLKDLAARGALSTRARIPY NDIGEMMECISRNCKDVQNKLSFFEDTLSSYQSNPNMAQFIPPVNESRRFLKNFHSKD PIATYIYTLYRDLYNKSEINSKRIEVIKAKLKVLQSHSKPKMVRIAVHSSLGI cubi_01374 MARKSKRLQSLLPENNELLQTRLDIGYGIRSNVLINNLSNLEEN IKKVDGVRTRSMTRSNINKKNTDFQVGNADLNEVFKTTEVDIASPTKKSKTKQKNSRN LKFNKTKQKNQDVKYNEHNDIKNNDDSSSLDCKKKIILINDTDDAFESGNCGTERIQF NDISRLDSLLPPTAPESQDNSPIRGNSNNEFIVGEESIFTDVNELQSENRLENLLSQD QNTNLSIYEHLFKPENKANLDRLKKIKLSEKYEYLIDIFQGLEIVLRLLERRQKPFFY HTFIREQVENITKKTFSLENLLRIVWISPQLISIKWCKTNKLNINVLDSPNNKLNEYY GQYELEIILNNGINNPLNRLSHSNINERVDIFRLILIDFTIFQQEIYLKQIITKDIQA NSDILELKGWSSFFNIENCVEIPKAKLPQKKNSNSNLHNSIKKSGQRINNLSLTPNTR SLYNLKVISSLSNLEEQIEHEKNNRRSLSADNIKKDESNGVLVTPLRSKKSIFETNLI DGNKDKDKLITDPHPSNNINISSTPNRSFRSSYMLSRSISPKITSSNARIPLCNTKFS KSVTILSKNKSLLTPSQKDLLESVKRREKIKEISNMINVEDEGFNEKLDQVKNEIWTI QQLSFVFLGTKKLIPTTQLPLLAKRLTTSARNSPEVSKVQDSIIRLSTKWPEYIKLGN STVDKGVKLVKLHINDDNLSDIIKELNKEKESIFKQREEFRLETISKYK cubi_01375 MEHTFEENILGFEHKEVILGIDEAGRGPVLGPMVYACLFYPKEN EDLLKKINVDDSKRLSSQNRDKIYDKIKNLKDKFGWRIHIMSPEYLSCEMHRKKKNNL NEISHLAAISKFIQINYYIFMLLDLIKYVINNKVNVKEIYIDTVGPPDSYRNKLINIF PGINITVKPKADSLFPSVSGASILAKVKRDDILSNWWGQTSIECCDFNGNKISNYEQG SGYPGDPKTREFLRRIFDPIFGFPNIVRFSWSTASEIIEKSGYSVTWGENELNNSQQK IEFNRPQKNCKLFIF cubi_01376 MQFPELLQSYLIKKKKLDDLSPIYIEILDWLDSEKENYKIINKL TRLCYHMLSYFRLNEQINPHLDYVSVDILSIIYDRSSKYINFPPSCYCNIDNPFENMN GYELEYEFWKLLCDLSMEVALKCSPKEGYVTFIEVILGSISLPILSDKAEVSSQKNKE SISMNEKINPESCTEVKRHLPRFYRYLGLYYSLICISRMKRNKAQFTTTVCSLALRKF VYDIECNSLYSCNCSSKKTEIYRYCTIAKMYFLQYLVNKIIKLLFDCLSESKCDAEYK NQEIGIFNSELSEVNINVTQHTIYSFLMKVLENLIIIDLHDVEILNNSGVCSKKKNFD QNIKLFSSVLVTSSPNSILNVILSDLFSSEIQNFNNCDNNNNNNNIWVEIIYRLCYSI SYVSPYAIIDTLNNIPICVHDVETQSGDLDVTPLTLSCYSYALFVILETRYPIAVNYI YPKNMTSLSTKLNIIYRTIITFLNYSDNKKLGYEIDCTILGNQDILLSYFNAFLPKNI SKSIELIGMYYTLIFDRLQEKAYFLLDNNINNFRKCREIVPGSFSTIYGLNWHQNILI KHIMFSFNRNRSEATPVNNCLNMSSNLRTSVNLYSSLFEKFTSSLNECYPYSVLFSLY FNSMEFQQKLFSKNVIHSAKVIIGIFNILREILWEEVCNQDSNFSTHMKDLNKLIIFS STFLTKFKNIDLESSQSDKMVLIVLNLIKLVLLKYNNGAKYLRDIVKYLVEEPNIIKK YITHIKLIISEISNQNTCQFDTVLFVIQDIEEIIR cubi_01377 MPYCQFNDDANSKNHIISKDDLIIDSENNSSNLDNRIIFNKTTR MVFFSSIIFSSFLILRHRKILLRSYEEQKMSMFSETAFYFSFYEDIVNSSDNIYNIIK KMIFDDRTEYPDVINSLSRFNIYQEVILGFLYKFLVAFNYKLKFLINVIYSFINGENF LKNVLYNNDSSNKCIFLSTPYNFYFFCVNLILGFGMGILCGTSTYLTGGSYISGLTCM GFLFGNFRLRLLSRISSLPLRENFALPFIWINNMILISIIKYTNNKDSKKKWALLYFT SVILLEFWQFSVFVISTQLLSVYILFLLGYEFSRLKLVLKKLIFVNLIATLTSYLLHF FNYYILLTPLPQIGISILLSIQICKVFSAKIKEPKFSLFYSFSLGIISLIVFFILKFI LNPISDHDTHVFDMLKTAVFGEKYANFDTMIYKMGSSEFSFITKEQLEMIKKSGVLFI FLPGTFSILFSILVDTINIKIFKYRPNYTNVNNSSFSYQLEDHSQISDNVSDSPSNFS ISSTSTSYSPKSTLTSNQDWSSCFEHSLQDEYLMYTRKNQTSSYEKKNISIFQKLGNP NNENQDTAISFFAIQTIFYCLLAIIISRLRVLALPYIVVISSLSASRYYLQFILNYYK NLLLNIFQIKYYKDKATNSIKKHNNRAVSFVLLAISISILFFSILKFPFSEIKSGFIP ENNASSSKSRLIGWINSNLPDYTPILSDMVVGATLRLTTKAKIITHPQYEHIKIRKRT QFMYSISACISIKELYETMEREYKTEYLLLSIYRCAFPKGDKNAITMVHVTNFLDNIN HRCNNQEVIFQRTCWRIQLDNQSRYFDLVYRNAHYSLYKRKSLQDLKLFQANIFVEDK SNDFSKFSFKRKLLDWNESWKPWIMNHCMINDVFCPQNIVDYARIIIDIYNIIDVSKL LYEKAISIFPRNSYVMFNYAEFLDYDIGDDPKRIFERYNMSIDLYKQETSKDYNSGII KGNLSNLINANQSFSLKMILGFILFSEQINGRTEFVINKSLELIFENELIKLISSNFE FMSLFEIIEHLNSNKIVNVDFDMCFVSLAYNTCMISSYLKTIEIESRKLEIMQKIYPS WLIKTVYNKLWIFSKLLDPNNACIIKYWSLFHNNEKNNIDFIYSFFLE cubi_01378 MNLSIFLIITFIVVKGNIITLPLYGNVYKYGYYFIKISVGLPLR QQQTLIVDTGSSLTGFACVDCINCGTHENEPFNINLSTTSNIIECKKDSILINEKDIK NKDNYVRTNKNYQNYIQNFLENKCIYDIKYSEGSHIFGYFFEDFVEFENGLSSKLGIK RKFDEKFVFGCNIIEDSLFKHQKASGILGLANYSNIGGMNQIINFIFQSNEVRGIYPE KIISIFFEANGGKLTFGSTYFDQINIWDKQFEIYNVTRCVDDERYCAYISKIEVDSVI RKEYTATKGNTFKAIFDTGTTISIFPAKLFKNITRSLFNTVSRYYPKISGYDEKDGLI CWKILNEISIDIFPDIKVMFKNNHNQFTEQFVINWSPKSYLYLNKILESNSKVYCLGI ASNNLLNLKTRDLNNGGENPSNINEIVLGATFFIYKEITFFLSENKIMIRDNYSNINN KNNIVPSMNFFRNKNIGLNSNEGRNYDERKIINIHKRYKNSNIFTRRVIKRYKALRYK NEFWGVLISLSLITIVLLSTFTIYQKLLRKFKLFEENSFNLRQYVSLQY cubi_01379 MYIEEIILDGFKSYQKRTVIGKFNPRFNAITGLNGSGKSNILDS ICFVLGITNLSQIRINKLEELVYKSGQAGISKASVSIIFNNDDKSNSSPLYKDLDKIT ITRQIATGGRNRYLLNGSIVKPIEITNFFHSVQLNVNNSHFLIMQGRITKVINMKPKE LLSMVEEAAGTRMYETKKQQSLKLIEKKDSKLEEINRMLEEDIIPKLERLKKERSDYL KLNSINEEIELIERLCILHNYNNLSLEVSEVEERLKSSEFIFNEIERTISTSKEEIIV LKKLVEDEENKLSSEWSIPLKNCKERISNVESKVRLTQVQLNDLKIDLNDEENALTDQ INQKKIIEDKTNPHAINFSPEVSIEKSEEFFKVEKQINDLKEKLEINKKSLQGIRAGC DINLNNSEQKSLRQSLYDTEKELAKISVQEKKVKMKIGEIEKKVKTLDGQLKKSSYAS NKRKSMTPKEEYTHLSDQIKKLEGDIIHLREDAELCEKYNLEKRKIKIDNDSLEIQLQ PIEMFVRTRQCIYNFGDLNELAIQAHINEVDTLLDYSKAQKTKVKGSVFELIDYLDYK YSTALEMTAGGRLYNLVVENHEVGKKLLNSGLIKKRITIIPLNKISDPSIPEKKLDYA RSSAQCNGEDDFRVVNAMNILKFDKELEPAIKFCFGHTLICEDENIAKMITFDPKIST RTVTLNGDIYDPNGTLSGGSVANSQRSILSAYKQYNELRLKILQNNRRIEEINKILAD LGEAADSYRHSQIQLDINKHQLKLLEERIIRLEEDSVESKIEKYLNEIKALKSEHEDL LNKEKYLKENKLRLENEIKVFEDTKESREKHLEAEINNLKKEIRDLSATYKNLDKITS YTRIEQKALKTELDQILNSIIGKTNNISEIKRKIEEQNTILKNLQFELNESKSSLIKL QQEIESSNDIIKENKSEIKKIEKLISKKQIENSKIKHEIKTLKTDLSQKNKLKDSMAR RFDWLLDKNFTNKIDLEAHPYKYCVEKLEELQNEQNSLSKNVNRRILNLYERVNAECN ELINKRDIVIKDKDKIEDVIGDLDQKKKQALENTWKTVNSTFKSIFSTLLPNSSAELV PYINPETNVESFHEGLEFKVGFGGVWKKSLSELSGGQRSLLALSLILSMLRFKPAPVY ILDEIDSALDLAHTQNIGKMIKNHFPNSQFIIVSLKEGMFNKANVLFKTELIHGVSTV SKIENYSSENEHDEESENCNEIVNYNKIRKKIK cubi_01380 MQYVDSFSSRQLFDLVKSIGECRSKHEEDNIIINELSILKIKLI QNSLSSNKLREYMIRAIYIEMLGHDASFAYIHAIKMTNDKNAFVKRIGYLACSIFLNR KHELLVLLVNTLQRDLTSRNQLDVASALSCLPYLLNYEIFSSIENSILMLLSHQIAGI RRKAYLTLLCVLEIKPTIFEENTDILMRGLSDSDISVKNSVLYLVDKISSFNPKLCIP LIPHLTLIMKQILENNISKEYDYYFVSAPWTQINILQTLSKIASFEKKTNQIYEILYS TIKKVEYSISMPSYNGKSMIYPININNRNTNNTANISYAILDSCVGAISSIHPNNELL GQVEEIISRFLNSDLNYLKYIGIKCLSKIAIIDPSYAIPHQIIVVDCLEDKDETIRRC TLELLCNMSNPQNIQVVISKLINNLKIATDCHFCEELVKNILLLSEKFAPSYNWYLNT MVKILELSGEFVGKDKVNNIAQIIAEGPTGNDDSDQEFRVHTSNLFLELLKEKADKLP EILYNLGIWILGEYGSCTANDGSEIITLKTLYEVTILLYDIFKKLKINMNVCQSNNAQ SKRSFADIATFMRINTKPETISMIISALLKCYSYTIMSSKKFNNSENYLSIENERNKE YIFYLEKMNEIYNQIFKDSVSIPTNIINQRIKEFTSIIQLSNRINCELTNYLGNEYFE LLSYILPFDASCEEIYVDRKLSFLDKLVTEYKLSEKYTNKKNSINPYLPVEDINLNRA LKNVEIEAIKEIDLIEVFPDIETNLRYEITQNNNIGIISDCKTQNSIDSFTETNIKKS HDLNVTKTVRNLNLGLQVDQINTELFNKGYNAKKWGPEGFGKHEKIQTKGKELVSKLA NDPKNIKIQSEKNCENFLSKQREAVALFNGISNSQKKK cubi_01381 MNIKDPNEFNFRQKGNNNANEMDSKELNQKVESQKRIVEEQRRG ALRAILENNAIERLNRIALVKPEKVVQIEDYILRTARNQGFSPYRKMQEKELVDMISI MNEITEKNNSKIKIYRKGVFDDEDEEFYS cubi_01382 MGNTLTFDNEEQLVLLTNNSNSISPLKRSHSNLDLKSGLSSRTN SLQCITNYKIENSISNIICKISERILKTNGIIYFFSKVEKKEIDLNQGDQDILYEPNY IFETLDLMENRAQREVNIIETSVQSLKIEKSKEAQGNFNREISFEFEKKESIESSEIS KSDIDSNFTQNSASIFGNNTSNVPKSICVTSKKFIIFGNKNNHKPFLSPGIIDLFLPY ILDETLLSCFLVCPHWLLTISEFINEKYCISIDDQFKETYGKYLDLEHSTITIQPVLT VGGSVRIDRVLYAKVLKSCINKTTCLSYSFKYKSNSQIKDVLKKEGLLPQKNLVGPII QPLINNPNSCSQIADTPFISCYKFVTNKIGTKRIQWAHKDLSRCHCEEFIVAQTTTKS NVNVGDRIEIAINFSNSFGIVDIESIRFLPIQFETITPEKCEIEDIYTSGTDWRFYTS EDGEITECFDIPNLLPQFKVISLEYAGTDIITCKITYKAISSGDLANSKEHFGVDISV VPREYSIISALKRKGLQHDRYSPLQMRVDDQLVLYISKGGAIPT cubi_01383 MSSNQELDQICCISTWHKETNNLDLYNEDLNESKIVFPWNTGTR VPISSVNCSSQIFDCNETINSGPIGRRKLKIFLEASIQSNQMYNLNMSSISRILSDWR RENEIKDNFVMELVDFTKLCGIKKNKTYKDLFELLSKIFISKLKNKKILDDSTYTKFD NLALKCVKMINIPHLVESVITLLTKFNRLSDKVIKEITTPDLYLFPRFLSFYKLAPIK LRQQMWLRRPRWFVYEIIPFIMLFTKIFSSVDCYSILNTSNSNGLNDQKFSFDAIKDL LRSIQIDSKNSCDSDFKTEIDSFIFECIEKNKCCDLHDRKFSQQSNSIDSIYTFVSRI QSLYISCVELEHENIERLGESCKCLAFNFMDSRDFYKSFIQLQKNELLLPKNSLSASI RTNICSTGCNLSTISKIENASNHSLLNTSTPSFNGRSINTNLGNNDAAINSSCCNMTI CGNILRLGRIVAYISYCIGNYKELYVYFINTLRQLYIDSLSHHFGFQVTQIEPITNIN QTPVTSNNINHSDGKTFSLNSNIGIPLQPNVIFQNNKISKNSENLFSNICVSEKDSSN IDEEFYQKNTHLNFESKHENHIFTSGDKKQKNCNLSEQKLNHFSGESYLSSLRIFVAL RIFHFNSKNNRSEEEEKKSIENEKSGVELNLEKFNQAELSNFEVLNPLAISECDAVAW PCIHIVGNILRDGFSSNKSQESLVNISSTLIIKSKNDLSDISFIFSEPHFLFICSEFI LETSILTMFHSSMDAMLVLNPQRRKIPIALISLGLNSPYLSFKNKDSLLRNSGFLTNS INTGYITGPTSMSNINNQLTGSSVSQTVSPFKKATSNELGSQNNSLSTISRKRFRKNN DLVSSQNNINNNHINFAIRFDTLLNLLITFNFNNAQNKKGSKTIDSNDDSYSSNYTKT MGLKNKTKMDTPFHPTTHCHTSSAILSNILKLHSTVFSTFLPLIDRYLELSISSQKSN FTLQNGECEKKKSTRNELLKSIRNELIDYINSISALRYQSYSDILTLKFVRIISTSLF FTGIKPIIKQEGFPLNPLLHYSINGLSYYHSHHAQAKKDITICSLNNQIIAPSLPSCI NLSIRLLETSFSSDWINQIFLKSIFGLLYTYPRIYNRFDNQNIKCGNEPTEMVSYINN KSSLFASIPNDIIHGLLLRVVLPSIKDPSVSSTEINTIHEIINDIEVCSIVDTKTKKI FIERFWFCSPFHFSLNILQDGEDYNRPTNTQKVQIKDLFQLIQNKISTKEHNFYLNLE VPYKTMFWEYHFIHSNDDYLLFQRWSLYFINICRSHDRDNQYYNKMLSISRSIQKIMK AK cubi_01384 MRTDKLQLNDLSLTEFISREIKIYNRNIVIITSGGTYVPLERNT VRYIENFSTGTRGAYSAEYFLKSGFSVVFFYRKGSHLPFTVDCPSKYDILVSIKNHFI SSNKDNSDFLGNEQFKKVIEASKNLINYSDRIFFMEFGSVHEYFNGIDYIISHCTEFP DSFIFFLAAAVSDFYIPENLLPKNKISVSSDASLDFENEPKTPSITLELYSTPKYAQH IRDKLPYCFLVLFKLETEFETLFKKSDILLKKCDANAICANLLQDRRDNVIIFTPNSR TEIKKTSDPIEEAIVSNIISLYKKYLVNKKREC cubi_01385 MNRNYTIPIELLFEIVTFTDSFEDILNIATSNKQWFEKILEFMK TKSFIDFRESMTIDININKDNNDDFEMRNEFYKNILGIEFPKVKIITIKSKEIRNEEL DYLLKNCQSIEALEIFSLSNILTNSITKLCSKIIGLKLISLYRGLCKCDYTEKEKEEE FNCPCISYKRKLIVRRPKLNIVDSELTQNGSILRYLDFYTQELK cubi_01386 MPSPEKAAKKKQYFERLSEYATSYPRILVANADHVGSKQMADIR LALRGKAAVLMGKNTMIRTALKQMLGSHPELEKLIELVRLNVGLIFCIDEPSEVRKII EEYRVPAPARQGVIAPCNVVVPAGATGLDPSQTSFFQALGIATKIVKGQVEIQSDVNL IDEGKKVTASQAVLLQKLNIKPFSYGLKVNNIYDHGSVYSSSVLDITSEDLISRVSEA TKYVAAFSKETAIPTQPSARDGVISAFRNCVALGLDVEFDFPEMQAIKNALANPSAFV SVSTAIENTSTVGASAPVEEEEEEEGDLGFSLFD cubi_01387 MDKPKGILMYVLLSSVLSEPAFISMSTFTIGIIAPLVLYHSELI EINNPTLIARGIITIIYLSCLLAICRFISFGKCLPGGMLKNKSLMKGKTVVITGCTRG IGLETAKQLASWGVSELIMCCRDIVAMESAKSQLLSNGLPLNRVHSIECELSSLQSIK LCSRKIFSIVDKIDILINNAGVMAPPFQLINQVERQFMTNYLGHYYLTMNLMPLLQKS KSRIINVSSIAHLAAPFGFDISELENVNRKNYDRTRFYGISKLCNIYFTRELQKRFGS FGLFAVALHPGCVNTDLGRYIKEGSTIFVLFYPLMKLFSKTPFSGAQTTLYCCAIPDE KLIPGGYYSQCALDISSPVSLDMDVSEKLWDYSRILCEKIINSEIK cubi_01388 MFIFRNLFEWCKFDYDNCLLVAGSSVSRNPTNKESTIKDLFGNQ VYLEYEDHLDNYLLKQEAKKLTDSSSVMKFIDEGTEERKKEKVSKFIELSRTFANEMI NGVNIELVLGDGKAHVLHLSLNKDLNALYLRRSGKKLILPLNIVSLIEIPSEKLISEA PELQNVDDCELERIVAISTVSDDWYIFIMKDTEMRDRFYQQMRLLVASIKISLVGSDR YWGKTYNGPLMDSVHIESDDEAVFLFQEEGHEFVNT cubi_01389 MSEQISILDAVSIRTNKGEILNGQVCCIFPNNEFIVLKKDIRNG FSTFDIINSESICEVKPYLEGKCSEIDVDLPENYIDIIKKREEALVNNAKSNIKFWGN NVTPMGQATFDFIHKTHPNCSWDDENIQVMGITVSPPYLPDNCSGDDKRALERIRCVI RKFRERISEESLK cubi_01390 MSTNRRDEIDTNLYSRQIGTLGLEAMGKLIKLRVLIVGLRGLGV EIAKNIILAGPKSVTLVDDEICSFSDMGANFYITEDDVKKGTRRSDACLNKLASLNEY VQVIVFHGQITNQLIFNHDLIVCADVPLSQQIKYNEVCRGHTPNIGFISANCLGLCGS IFVDFGDSFNVFDGNGEEPKSAIISKISRGKETTSITCLAEKLLPFQEGDYVMFREVQ GMTELNGTGPHKIISAGKHQFTVKLDSSMFKEYEREGIVTQVKVPMNYSFRSLKDALE YPICDEQGILIVPDLNKFGRSEQLFFSINSVLKYSDIKGSRPEHTDLQAINECHTIAE NMNENSKRKLDSNDEKKEFVVSVDSIDKDVLEKVCKYSRCCLSPMAAFLGGIAAQEIV KFVGKYTPLRQFFFFDAFEQLDLVSNEVHTKEEFMPIGSRYDDQIIIFGRNFQNKLSE KNVFIVGAGALGCEFLKSMALLGVGCGPNGTVTITDMDNIEVSNLNRQFLFRQEHVGS PKSAIAAQVIRTINKDINIVSLQTRVGTETEDIFDDLFWNKTDFVINALDNVPSRMYI NDRCLWYEKPLLESGTLGTKANSETYLPHKTQSYSDNRDPAEESIPLCTLKHFPHAIE HTIEWARDAFQGVFTSDPQEVVTFLNNPSEYIQNLRQRGNPHVILEKSQKIFELINWI SEKTPTHEDCIQRAIYLFHDYFYCQIKQLLANFPPDHVNSDGFPFWSGPKRCPKPIKL NIEDKLHFDFIFSASNLYSSMVRLPEISDSSLVFRIANETILPEFNAKTALIKIDEDE SASNNENGSSNPVLLDTSIAEEYTHKLLSVTENQIKRCLDFVQPIEFEKDDDSNFHVD FINSCANLRARNYSIKECDRHKCKMIAGRIIPAMATTTAMVTGLVSFEALKVSSASDY KIELFKNSFINLSLPLFVITEPLPAPKTTSKDFDPIVEGPLKVRPEGFTAWDKLVIEQ RNGTIQDVIDFLTNQMNLETQIISFGNICLYNAYMPNHQERKCIPVVSLIEQITKKKL HITKNSIALEVSCCDIDDGVDTIIPSIKFIFK cubi_01391 MPILEEFNQLKLDLEALFSSKEMIEKQIYEKATQIYKLNSECIS LSNDEKHGGKNFEELCLEISNYVSDILQVRLNNDGLIELKQGKLNSSNIAETQENKVV QYRVSNTEDNLCENKKSLIHANSYAFKNIYKLDDFMNTTNWLWNKELKSKIVEFASNK NNVFPSIVKSPQIGGDFSSTTPSHNKMQCNGLPRLVCRTVRIEENNLKQIKYINPLKS YIEKAFKLES cubi_01392 MLYSLSKELKGHEGCARCVCVLKDNRIVTGGLDNQIIIWNYIND AWMQEHQLLHHKKYVLALEPSKTQQNDESNQIYFYSGGLDEIIYRLSAIDGKICATYR GHKSAICNIKELNELNILISGSWDGTARIWDLNSSECKHVLSNHQHAVTISIISQPNS TEFFLLTGSQNKSLVLWKIPQVKLIKTIPNSHDDIIRSIGISNNIFEKESLVVITVSN DCAIKIWQLFLELGNENLVLKNTKRHHKSFIFDVKFSNYYSERFFTASDDCHVAIWQL KNNFEISLLQNIVLSSTVWNLTEMNGIDSILTVSEDGICRIWITCTTDLKLKNLLSSK KPDSIQSPKYVDSKDLQNNQEESTIQLNEIPEINKLSSITAEKIGTIRIFKDANDLKA YEWANNCWNFLGIITGINNQSRKVNYLGDKYFDSGLYDLVIKLETEFDCNHNILPFNF GDSVIESAEKFCLREGINRKYCKIIIESIINSIPIVNNSIITTFNELFEPCFEFKLFK RFNINSLISSFTKEQKIYSDQFNSSYQICVNDTNYLFNMEIEHLNDLFLRLKSETCSE THFFKSCKIKSIEMDVIYKRLSNFIGNNSLSIPIIDLWRILALHPQSSDVHKKTDQGW WLIALVLKVVDLISSDYLTNPLINENNEFRGSLFLICIRFFCNMFQNSINREVMLYKM QEIISKIDESTIKLAGRNFQLSLRENTNKNVILACLAFMFNYIVALNNKNCSSINSRN LIILYVCKLIPLTKHDDFVKYIDEILHYQLLIFTNNYYHLIKFENHTDVYILEDRDIG IISDLILKCNKSSSNLKTLYCHLLTSINIIKNGKNL cubi_01393 MNKTVLKLLRALLILCSLILGLLASLAFVWISENNSEYSHYYLD GVKFNNEEKKLAFTDAVNKACSFSEAYKINKQDAMENQSFSKFFSGRDPMIDPIAYCE SITSLKTPSIITESILIAIQILIFLISALSNTKVIQGAPDAVTLIKRLNYIAIFYGVC SLINLVSIFYYSSVGGALISDTAISWKFSIGFYAASFVALLPIGISLTYWYEGVLWHN LFEQDRLQWETDRSNVQNLTNNQQYNYIQVPTDFNSVMGGLKSPSEMARTIEKNVEKR QLSEQI cubi_01394 MSHNASSRNQGGETGLGIGWKLLAAVGFTAIVLQIVSIILNGWR VTPAITYQYYQKNILKSWQLSSSEYGLYIYKFNNGASTRPWSTVEQFVCSWIDSYVSI SQVEQSLWGSTCSTDCQTALRTRCTSYVKFASGGYLCLGALVISIIVGIVGVVWLFVF GKSRNFLLFAWSTSSILSISGIAYWCYTTSQAVEGIIGTQQYPYPSLGYGAYLGILSS VCFIVCAFGVLVVHYLEAKAATDARQAELMKEAAANNEWMGQSGMGPSGMSPPGMSPP GMSPPGMGPPGMGPPSMGPPGMGPPSMGPPGMGPPGMSPPGMGPPGMGPPGMGPPGMG PPGIGNTGIRMPGMMPGAGRI cubi_01395 MVSTDEISIIFKVSGGTQFNISVPRNLTIKDLKDRISEPSNIPS SQQRLIYKGRILKDNDSLDDMRVESGHTMHLVKSGVQAESQKSQGTLDQAVNYNSSSA NNNAINNNANQNFDLTSNSQNSTNNGVNHNPNDPISAMMNMLNGSDLGFQTQNLRNIS QNSYNGFGGVPNNANFGNIPDLNSLMNSPIFQQSINELANNPQLVRSILQSNPMFAQL SANNPMLDQMLNNPEMMRMMLNPQMIQSVLNSNNTNNNTTNSNPFSSLNGVPNNLQLN GLLNDPNIASMLSGMVNGMNGGVNSNTSAPTTQMYATQLSQLRDMGFIDTDASLSALQ ESGGDINAAINKLLERGIGQ cubi_01396 MSIEIMNQSQAGDTLDGLHYTDNRYKMMENIKNTGRPFYPHKFT VSMSLPAYALKYSSFENGYVDKSTTLSLSGRVTSIRSSSSKLIFYDIFCEEQKVQVIA NIMEHDISTGEFSVAHSEIRRGDVVGFTGFPGKSKRGELSLFSKSVVLLSPCYHMLPT AISGLKDQEVRYRQRYLDLMLNEESRKVFKLRSRTIKYIRNFFDELGFLEVETPILNM IYGGASARPFITYHNELDTQLYMRIAPELYLKQLIVGGLDKVYEIGKNFRNEGIDLTH NPEFTAMEFYMAYADYFDLMDLTEKLISGLVLEIHGSLKIPYHPDGPEGECVEIDFTT PWKRFSFVEEIELGLGEKLKRPLDSQENIEFMIEMCEKHKIELPHPKTAAKLLDKLAG HFVETKCINPSFIMDHPQTMSPLAKWHREKPEMTERFELFVLGKELCNAYTELNEPLQ QRKFFEQQAEAKASGDVEACPIDETFCLALEHGLPPTGGWGLGIDRLVMFLADKNNIK EVILFPAMRNVKQQNNQQSGK cubi_01397 MIDVRCRKHVDLKAILDFYKLELESHNKSYINEALRRYSIVSKV VGKEYTELYLLPLILKVLEIGSYEVNHIVSEELVNIIDLNTHYTEESKKTIKDICNNL LFNEEMSIRMESIKSLEIIFSRLQDERFIFSFIEEILLPIIKTKFNFSGNYYTSSSSS LTDKLSLCNIIPSLILPYCNKFEKNNLLLLYLSLCDDEVPSLRIGASQKLVKILKNIF PLKCGMTRIEYQKLKLSDQNIITEKLLNLVLALYKDQTCDLLKSASIGIAIQLYTNPI FYIEFISCDDRDSLLYFICSIFENRTYLQRQAVIEELIPLCLSLKGYYELSQEDNESI IFNGYILNGNSNILSNIRCNNYSVDIIQFIFDNLTKEVDIEIRLLTFKFIEKLLRMGI EIFESNRDSILGQSIEYERKTAIDDVVISVIMYINKNISDLLMIGSVPFKCIFCIILV QMIIYSQQLFEYDISNRKIKNSNNNLEHLKIKDMFIQIFISHFNDPNINVVSTAIENL YKIINLVSEEQLSDYILPKIKSILFVEIDLAAYNNERAEKSTALHKWRIIRCIIRQIP LWIRYNQPCSRICPFYNSIIVRSILDTTFSVSISALQTIMRIISKLNDFNECTIWINE FIIPSILMPFIEDETHSIKYTFDIAGSIDYNNIDDFSLNKNVEIYEYKFRSCDYMNRI FIMNLIFAIYRSLFYKWVYINFQEFKDHLNSVTSNIPNSFKTNETYIEGQKTPPFRVL SSILDGEELFIKLSELVVPLIINSIDDSIKNVSIATLQLVVQVIKIFSLDLYFSCDKE MFACSSFGERDGFGANDDSDELLKEHLLNQFELDSVWHLRNIMSNSKIDHKSVNIFSN CIYQEFIPVFEKIGELEIDGNDTELTFPIISIKEWYNIFKKELSKP cubi_01398 MCVNNGNKFRKLLIFTSAGKPIYSYGFIEQDLFSLFSSTLTAVF SKLSFVMSTKIYSGEGTEPIQILDYLKWMSSKSHKIVVLERKGILLCCISPFSNDTIR YLKGLLEHVYYQIIMMLTGSIHKTLDSRPNFDIQQMLSNSDIKIINQCANSAQTNFDS VYCFYNFNKNSKVVKLIGHVKNSDKISPIIPKILYIESQPLEYRYRNEINKIIGRIKI EEILGGLLFESKKLVAWFGSKYIKNLPSTDFSLLKNITSIFLNKKTSSNEFWIPICLP TISTVSYVYCYIQYWPFINEFSNTVSDTCFILLSSSGDTKVFEKCSLHSKNCHKILVE TGYYEILESNKISILNLSKLLNENCDLEAEIFHFVFVSVMKNSYIASEVHPEVHDLNI IFQMYYNLIEIAHSQIRKQNDSSITIILNTQKFKHMIITTHEFHMFLTLSPESRIDKI NLDSIINNLRENTKHFFV cubi_01399 MDRIYKVENYGQNTLLAEASNVVKEQAYYMKRAIDQDGLRDALR HASNMLCELRTSSLSPKHYYELYMQIFQEMRDLSNFFDDKSRHGRKMSDLYDSVQHAG NIVPRLFLLITAGACYIRSLEAPAKDILKDMSELCKGVQHPMRGLFLRYFLIQTCKDV LPDTGSIYEENGGGTVMDTWDFLYSNFFESTRLWIRLQNHGTPKDKLKRERERHDLRI LVGANLVRISHLEGLTQQLYIQEILPKLLNIVLSCEDVLAQQYLLDCIIQVFSDENHL KTLELLLSACMKTLPGVDLKPILTNLMNRLSNFLSQSNDKSLINDVDIFELFRKNLAE LHERPAPNVQKQIASNLERDLSSLLELHAAFLAFTLTLYPDNTNYVDLILGSTVTLLT NALGVRVDGTCGSLLENRCIDTIVEILSLPFQSMPLSIMVEMNHFPNLLYFLNKQAGK KVALSMINTVVESNTPFDDADALQRFCSFILPMLDEKNTQAEEETNEFKNDLGEFIDQ QMKISKLVHQIKHEDANQIFNMYGILFDLFNRVDSSRFKYTFPTLGYCAIKLIETALI KEKINNDPSGLSIKKILQFIHKIATILASCAPELALDLFLQGSIMADKANDSDGYEAI CYEFLTQSLVCFEEELAESKRQFQGLMSIIGTLVGQIRCLSRDNYELLAAKLAQYSAK LLRKPDQCRAILMCSHLFWNNEINRDPTRVLECMQKCLKIADSAVQVTPGNSVLFIDI LEKYMYYLEQGNPSITTDFISKLVALCREQIQFSSTEIPQGPKILLNNLTTHIKNNLN VYKGIQLSGID cubi_01400 MSQGNKGSMLPCTRDYTINLSKMVHKTSFKKRAPKAIKGIREFA GKVMKTEDVRIDAKLNKFIFSKGIRNLPTRVRVRISRKRSESEDSKDSLYTLVQYIPV ATFAGLQTEKVQD cubi_01401 MEKLYLISNNGKKRPIYNISKNGSNINVLKHDKIRKTEINHFIE NGMACCEDFQVNNTKAIPEKNCQTNRNFIDISHSYIKKTYKIFNEKKDQCILNQYYVK FEENNSESQKLFFPDCKASTKVSDLMDCYSKHLPLNETQLIKHVSCSSKNQFNAFQLY KEVSQLFNQNSLLPIYNEFVLLMSNLLEKQTNYCLIDYIRKESNIVRFFPRYLIYTPQ FASQTLNFPFPLDTKLSTSFDDSKYLSPSIHNLAPSCNKCLLPGFHPITAWLLPPSYF EKGGQLKKSSRFLNGMPRNLFNTCFSIKNILTVESTYLLVSNLNKEEFEYCKKENFFL MEEKCKESIYYFDDYKPKIGTEIDEQLTLNNIKFYGHDAFTKNIDHAIQNSIFCGFVS MESANEYRNININNEMKNEYFENNKNSRDLKINSSPFINTTKIIKNGKTFPNILSDCD IFLKNNYNLFNYWSKNMQLSPLEEKIPLLIPRIKCLIKEKRTPDSNLTIIKPCYLLLW NYNIRNCNNTTKDINWINIQCRFARLKYFGEAYETSVPINLNPHKEIILDQLSRIRNV DEARVFAWMLAGCMQYTINELLVDSHTKSLFFNNKYPKITEINYFGNCIKNGPFTGFP DSPLDCHGKIKWNLLKELVES cubi_01402 MIFNFKFKEIVMVYKHNLLIVFLIFFLFHKTIWASNSTLHFYNN EGNFDLNIDHFQSSEFLNNDFDQQVTLNYDCKSLFESIYFDRNAGKLISLIYYLGILD KYQELSETNDYLLEYIKEEGLNYSNRENLTLNKKLIVFFEGRRITGNKINLGECKVNK DTGISLFNIGESLLHSAVISGRFNVVILLLLTGIDVNIKLRKNEESDLPILGSIGGYI GDLEGMTALHYASLVQDLESIKISKLLLKFGANPNEKDKYRRTPLHTVGLSRNLHPKR MASLLLGAGAKINTKDFSKFTPLHVAASRNNIPIVNLLISFDSNNINHNSIEHSVDYD GNTPLHIAAIYNSGDIIPLLIRNKEDLLKGNYRGLSPLELSAFPIGFRALDTSEVPPM SFSAIQSRINSEMLQGEYMDKLNESYYNTIKGGYLLILQKIIFTMSSNLNNTSRRALD INKGIILAEKKGNSKISKYLKSFGFTIVCPNPPGVANSSYKLSDTVIGNNIRVGDTVT YECYDDFELVGFSTLTCNLSDDNAFYIPEVPTCVAVTKKNKVVDENKSYPLYIFILVG IAIIILLISSIVLANSWNKRRKNRIYEHLYKEI cubi_01403 MFRFFKYILFANIFFISLNANCCHVETIGSNTKNSYLWETADEY FRKYEKKIISANKFLIQQKINKLKSNNDTSLISDINFAISLIDILAESLSNTSDKFLE NNSYNINITSYFENQYFDFLREIDNNIEVNNLIFNNSKNQHKERILQFFQSNVNDTKF QAQQKFPPNSNYSSENNIKSYSNQNNYNGNNRNGLINTQQNTIKHSNLFGILSVTNPA FKMIVSTVLMCLGSTPYGAIAVLVVVTVYTLIEIVIKYFYNRTKNKNILNSIKRSSNM DINPNISRNIYNPMNNSVLFTDQIPNNKSFRNLMKKNNKIPKGLEGLIYNSIQNNHEN NHLEKKLEFFVKNLFDYIVINKELKIKKIGFSAENITKNISGRFMNSLLDFFIYNNKT KIENNQNNVTSSNLIDEELFHHNHQQNLNDDLIPDWYKNVCTIYISFKEKNRILIERE SDNWVPINNLLKPNNEYLFDLNDGIVEIDNILNIETGYKTSSFTNSSNYNENFDSKNM SMVKKNEYNILSDHNNFLGHHNNTENKWYDRMIKYSIQSYRQNGIRGVITMILDIFSA IFSATPIGYLLITLIRLIAFMTDKLLLLSRSRKNQHQLTRLLLEIPEIFNEDKLSITL KEIGSIETECNKQEKMLINLYISFIRFLRNFNPGINLPTLNKEITKFIEIVKNKNNFI KEYLNNYKNPKSEYLSELRSLLYSKKFSDTNYSEDWTLNEKSEEDYETTFKKTNEIKK DVKNIEITSKVIKETKYIGKSKDNTNTAKNSFQNMIGGLKSFLLNLFKSIFGGAGELW EYIKGLLSRIIDFIKTLWDLVRGEKKKRMLIELLEELPDESVVHSNLFMDIINILREE cubi_01404 MLLLNFKIIFVVFINFASSSYGEQQPLRNEFLEYFSIAAGINSL VFSLSNTIYSIKRFNQLKKKKKCFNEGISNSINRTKELKSRNQIINNNVNGLINIEIP CLSNEELNKDENNITQLIIQSDKLADIEMVKDENIFVGSNITDFEQKKIENIIEYLNC EFLCSNHSNTENINTNFQNVPFNDSTKRKFRGLVVE cubi_01405 MAIEYIKYVNNQEINYTGDEISREFKVDNVCNSKLKFLSCLNQL EISNTEDSTIYFGPVSTSVSVKNCKNCTIVLTCRQIRIHNSNGLKIRLSCCTPPLIEN CSNIIFDIRIKNSLNFYKMFENHLREIGLHESEFLIKSNFKVSDFSWLKIQDSPNWKF GNVDLEQLK cubi_01406 MPALSRSITPINVHDYNQEDVNIVCISLIKGAEFKGNQHVGSIV DLSSNAHGLSLILPKLEISSGLYGLSLEPIIISSCKSAKILLTSPPNTKLLLLQPFII FSCLEVAKQQVSTSQTIIEESKSLLKIDYSSLGSGIPFSKRPYECRFDSPTFAKDGSS INYKKKQVYWTCFSKVEELLVINLFSEMRTLEKILLSIVDDMHSPSNKISVKSPCNGT MHENKQGILARNQIFLRIECDEENLPKLLNSRDLVLRQRQLRKK cubi_01407 MRVVNLFFLIFIFKTSLVFSKHRKHDFTGLRGNQAPGKQEINKC KLHCEGNNTLAEKIHCSLNDLLENQTNISGMIYFTSGNESSYSILENSSEPLLFETRE DSLELVRVTENAINNSILGLLANGSLAELNSKGNDTIGNYSISSLFLDTEDNFLVEFD KFSSLYNFESTGQKELASKNLTDSLISGNETIDNSDKIDANQELIDELIKEEFNTTVG VLEEISERFPDLKDFTNIVKGEKNVSIRELLYVTSRLMRSLNTIDENISDIDDSSFER LKERLSDDEIEKLKNQQVVDNSTVVKQPWYTPLKSLGINIISGKNTIFSAIISIIESV IGLSPVGSIVTIVVRVIAAIVTMIHDIIQRRKSNSNLIRVLRSLDDFDTSELSKASLA SKLIERISVNADNILESQFVLRRLYKDLSELAFFAEKNLREFDENVVRDQLNKAISGK LASMVSGNFTNNFQSINQNGSFNKYGSRLLLTSSSMIGYNFNKGFSVIADEIEEYLND SYNLSWESNEFTRTLNISSEVLSNSTFINKSNSTEIVTTTTSTTQPTKVKWYDGLIQF SKKVTELSGVVSMLDMLLELFTVIFGNSPQAESILSVLRLIVYLIKSVFNIFSNISSN RKLISITRVIPEKIANDPKLLQFTTRKMKENLDATQLERDIIDSQIKEIIKISEPDDF ELIGQEFDKYLTYNATESLLNETRLRKNTSVEAKMQAAGYHLMHERILSASESTVMAT ELNFKSWMEKVGSFFDNSYEKIKQVIKGIFASIFKGNKIVSFIDKLIDLIISVIRSIY HAFKNKSTQRLLIQAIEECEEQELHEVLQKQLERTLKEENISIMRLLH cubi_01408 MIFSTFFFSIFILINTTYCSNFRSFSEKSQFPELNFESSNETNS LITLSAEQPNITDSFPESPSTRQMDDILMQEKPVLLNTIPHTVLSHNLFVNSSGLFTN ITVVLTSTVPIAVVSSENDSIHRILSGSEPSESLGNFKTDLVKISDKISKSMEGLPTE ILNIIENTADYFKKLSSLLAGVVNLEDNSLSNSTVLVSHNDK cubi_01409 MYFVWLRFSLFLFIFSCINGIYSDLQNPEIFLIDVYRLDKNIVV SSIYNTSNPNEYITNMADPEGKYPIITNTPIDVPKLIDEDTVQILGKDYKIKEFQPLG LQKCLTPLYNLSSCQQICITGYSATFLSVFNSFALVYNTPNKHFQLNITNASELKVDG DNVQYAVINCSVSTLNTVMWGFLTFAVIFSFLVFALFTFEIVEYLRFKADMRDPLIIG GEIRTITSRDFSLESFSTK cubi_01410 MSILVFFIILAAADAFSPIGNIIMSSIGFFNPLLRVFKSFYSTE KNSNSGMKYSYIKHLGYEHLQSASNEEIESNIKEAKPKKIRKKLLFFPEEVDASQNKT YERILNNTSRGKSFYFVQNLINETNFSENSTSSNNYSNILILNKTN cubi_01411 MIFRLLLLLSLFLILLTTHFIQCEDLEHSKNELFRTEFVNNNES KKYNLVNTSSLSNTWKTTKEAIANNVSKMFSFQILNLDQVISARELMDYVTTGISCVP NLSLQRLTYETVFNSGIQKLERSLNLNSHHLSIGERLIMQSSKQSFSLSKIKNKNDKG NLSRIFVTQHQTNNDLKCALKESITNVEKKIEKARVNEPRKSPMEGELKDIEIIKSKR KIMSGDDVLEKVINDARRLKLTEMRKSNLNSVDLIVPVPPNLDENTSFNSINNLDIPN DHENNSADSQNLFRHLSIPELFEGSNGSVESKKRNRRLPPGAEKELEEFFARQSKNIS DDIHSKAIIERRTKLSKVSECNESRSGNPYRPLE cubi_01412 MNIRIIIFRVLLILNVVSYTYSERKKQLMSDSEIIISIDENISL LLKFARLISIKKSEQARKKCTIALKNLKTLNSATENGNVKINPLTNIALNGLIWHSDP CNDPNHIVKHIELYNLIKFSNLFSQISNLKQDLNNNKQINVSVLTTKSSFPQYEKRFK NTVFRSHIISKPFIKHKTSTKAISQEIQSRMDSLAVNKNDSHNAVNSTPNATSILDAC ETTTSHSQNNTNNVVQSGKESIARELQELARMKSVSSKSASGFSESLSRILSERGAS cubi_01413 MFKVENEENPIFPFIEILIKKNQNQYSLNSTRTNSIARMFIGVS PGILLSLLKNIGENIMELNSKLESNSYTANIQNMLYFVSKDIVTVKYPGLPEIFLARY FFQFDKSYMVLCNCCYKDLYKSKLNTFDLVFYIQNQNFWYTKGNEDCSKITLISRSSG NIVTSKCINTLSSILTNYGVFFIIYSLFTRLANMIVAIKRYLRIAVTEELEIEELFIN NFLSSLDVKICKEVI cubi_01414 MACSLMESQKIVFDNVDCEKCLYGTLKELEEELEFLNIQEDYIK DEQKGLKREFQRAKEEIKRIQSVPLVIGQFLEMIDSKYGIVSSTAGSNYYVRVLSTIN REDLKPPASVALHRHSHAIVDILPPEADSSIQMLQMQEKPDVTYSDIGGMDIQKQEVR EAVELPLVCPELYQQIGIDPPTGVLLYGPPGTGKTMLAKAVANHTTATFIRVVGSEFV QKYLGEGPRMVRDVFRLARENSPAIVFIDEVDSIATKRFDAQTGADREVQRILLELLN QMDGFDQTTNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQRRLIFQTITAKM NLSEEVDLEEYVSRPEKISAADIAAISQEAGMQAVRKNRYVILPKDFEKGWKIHVKKS DRDFDFYSV cubi_01415 MPNFQTPIRNVELKERKRISYSLSNNRKQNLQKLINERSNLEKN EAINKLGGVLKATSIESQIVCLERDQLVDLILVACADYPEFCKKIHCHLLKIFISSIF QHITKVIHKLFIIYI cubi_01416 MASETQFNFRKHKSDLRRLSLVIFITIDLLYAGVLAVSFGKVCD TPLKSWLVGAILLKNILYERSFAIIGESIMFLASFLWFTMGTVWVNTSLVCQSTAPAL WWTTFVTISSIWFFTAGLVLSLIGITVYHMIVTGGSNPEFNSISDKPTI cubi_01417 MFRPLLDCNGEISINRLLGSQDLSEYVISVRTFEDVVNKLNIIV SDRLMQLLHQVDVDIALDTCKNGSYLYKYPRSYIGGKHFSRYNFEIDLDSGCIKWYQK KRALICSRKVAFIQTLYLNDVNHILPGSDSDFWRSKKYTRQEDINSSLGIELVVVGKR NKTLRLLCTNHEEWKFWMVGLLVSHALAQREKLVSKSKGTKKIVDAKHFYTHDYIRRQ WELSDLDNSGSISFCEFMRLTRRLQMPVSKDYTHALFCEYDSDSNGALDYKEFRNLLT QLLILPELRDLFDEYKDSETNVMSANKFRNFLIDVQGMDPSNSLESLVNAVLNMKEPF IERGGITEIGFNILMSSEFNSAFDPLKRDVYQSMDEPISHYWIASSHNTYLTGDQLTS KSEIGQYITVLLQGCRCVELDVWNGPDGSPIIYHGYTLTSKVYFEDVIRACKDYAFQI SPYPIILSLEMHASDKQREKVAEIILNVLGDSLYIPPNDGNDRLPTPNELKYKFLVKA KVPKEDSAIFNFRTQVIDEEDENDDLNPDILETEIEISNSIGVENEKQISSPSQIPIK KRLYSSLISLPGNAIQLSNFENRRRMSIGSLVETKFLRFAKDSSMSLAKFHQDHLCRV YPSGKRISSSNYNPLIPWSYGAQIVALNYQAVGTALLLNEGRFRQNGGSKSGYVLKPK MCLKKCSDGRVFDPMNPLETLELFDIPPVRICIQILSAHQLPDNLSQFSRGIASLISG NKLSPYINISVFGGPSEEFKSYRTPVVNNNGFNPKWENLSPFTFNVLCPEISIINFEV KSSDSIQSEFIAAASIPVSCLRPGLRWIQLFDANFIDIQCCGILANISITTELPKTYM LSSILTGSNGSTSTSLQETLQQFRGIKF cubi_01418 MEKITLVSNCNGVYSLDSNTGIASQAYKDCNASKFSVSYIGNST RYFAGFQANKPILHIWNNKSEPIYRVSLPEIIKCCEFQDDGGVVYAGGLSGTIYIWVV TTGQLLNCWLPHYKPINKMRLIQSNSVLVSCSDDCYIQAFLVSELLESNNSSVFPKPI IKWNVHSASINDFFTLNLSSNFLNQSIISVGGDFSLNFLTFKSEKPQASLNFSTQLHS CTSSECGKLLFVGGGNGTIYKIYHDEISKVNINNVFKLLGHSGSVKTCIYSKGRLFSS ATDGVRIWDVITGSCTAQLPQFGDGIISILNTRVSTSYLSLSVPFFKPFQKNISKYCN IIGINIPTKDRRSEIKSEIYQLSNRTDSIRYLNISLLTFNHISKKRKNGMNKKMENKT FVNEKNNMIKLVVEKCIFLELSKLKSSCGMQRNGNNFEFLRNCVIPYSKFKEAKNEKI EEKKKEQPINQNFINKPKIRYKLIKNCGISKFSRKLYLRMLLRKKYFKLSRH cubi_01419 MLVNEKAKIINDILENSSYDLEMMNNVPEFLSIQSSISEEFGKT NNSTSRRKNQPCSTINLSRIINSKTSIQENKNIVSQASKITNKEYLVEHEQPIIEGWL HKWTNVVNTWKPRYFRLYPGVLCYIKGSKIRKINIPNKSCYIDIYSSKCNRISLRYPN DSNNDLHLKTCSLESKLVWINSLIYSMNTKLIEKKLFFNQINSSNSSVSYKDSLNNAN IPFLTNLLYELIKTRNLVLDELSEKKVKSSKNKISKYFDKLIDTVFEKTCNINLVKFH ESDIYSSLFSLINAEENFGKINNKKNNDFLNFESTEEIFHDAFSDFENDVESNQILNT SHLIPARNDCSCCFWRKNPYKYRVALPFMQQKPRFNLWASIKESITKDISRITIPIQF NEPTSLLQRLAEDFRYSSILENASLYSSSIDRLREITIFSITPYVSSIGRIFKPFNPL LGETFELSHRGFRFIAEQVGHHPPTTAFYVEHHPMNVNNEVPKIGHNINPLYSAWGQV GNKSRFTGQSLELTVLGNVNIVLNEKGDYYTFNRPKLLIHNVIFGKLWIEIVGISAII NRKTSEFSLIEYQKGGWFSNDLFNIKGFVFDKYGNPIYKIGGKWDSKIWYESCKFDYK ENFSIKSNLDLEKINKQKENYKFCGESAETCSYTIPTSSLYLLSIWDKIESIPSSRKI AWIAETKPFQSEKYFGFAEMTFELNEISPQYDINTPGVTMPCTDSRYRPDQRAYENGH IEWAVKEKRRLEEKQRASAKKRLNGEADYCPKWFFKEFDDSTGRFNWKFNHKYWIHRD QKIEFTDLPNIF cubi_01420 MRVKPNVSLHLVAHRGASYFIPEESLAAYSLAARHGVNYIECDI VSTKDGVLILRHSPNLSESTNIDDFIEFSHLRKTEILYDSNSNDAITGIFSWDLTYEE VKKIKCTHEKKYKNRSPDLNGIYDILTLDEFLKFSISGFDGHRPGLYIEIKYPTMHEK KGITNISDKLLDTLDRFGLNKKAKPVYIQSFEPSNLEYIRSKSELNLVQLLTRDDKNS FVYAVNDAEDDEKMKYVTCDMEYISKFANVVSPYKEDLIEYTNTTDNSLPTSSHFVSK AHELGLIVVPYTFRPESILPYFKNIYEEYDYFIRILKTDGVFTDDSQSIINYINHTDT RLYE cubi_01421 MNKSEIKILCTGGTGYIGSHTVISLVEEGYTVHILDNLSNSDPE VINRIEKITQVKVPFFEIDIRDKEKMLNLFSKERYNAVIHFAGLKAVGVSVSKPLEYY ENNVVGTIRLLEVMREVNCKILVFSSSATVYLPKSTPLLETDPLGASNPYGQTKYMIE LMMKDVYNASEGTKFSILRYFNPVGCHQSSLIGEDPEEPNNLLPYIQLVSIGRKEKLF VFGNDWPTRDGTGIRDYIHVTDLANGHVKALEKLLNLSDADKTLDIYNLGCGTGVSVL EMVKNFENASGKQIPYEIINRRPGDLASVVADPSKAEKELGWKAEKSIFDACKSAYDW QFNNPNGYSKN cubi_01422 MIDKDKKICQERIFLDSFDYSDLEKADPILSGGFSVVFRRTCPV EIRLIDSDDAEEIGTTENINFRVMIKGARSHPDIIRFEITCDNDLFLFYTRDFSPSDF NELKIVQNLVCDYNDFTETFCRIVNNVIKDQLGCFVKFCLRVDGSGKLTFLQIMEYKF LELLSIDFQQASEEVIRNSISFRYSFMKSKVALMEGRFLEISNLLSIRNPGLLHYLQK NSNCIRNKY cubi_01423 MSVSLKNNDESIFQDNQRRNLNVMIKIIKNKILNKSNQIIARRN NANNYTSSIKINEISLYENSAIKDDKYSHLSVVPVKNRFKSFSMKNEFENNEISLKGT SNHTKQKKNFFKRKKSEEISNIHEKKLTFKDLLEQIESARNMGEERINRANKYYNKLM SYVKYHAIDEVVEFILNFLSREEISLLINYNFEHVFFSNSQETCLFEVYLQTRSKISN KIIDQEDNLFKFEEILDKKYSENEESEENFSGYFIDAFSNLKVQDEDLDGFLNSIGTS NLFIEFSIEYLVSRTCNVSLEINKTVVDCIKKEIIDINTGMYKGVNRELFN cubi_01424 MVQTKKKFKKIALGDDIDVCERELIGKNIDDTLSSGKLFTFDNE IPLDLCNNLNHQGKNFNHTIQKVNNKEPLNKKNAKSSLQKRSFRKICSVNKDELDIWG DSIPDLDIIKSSMNYPSKLLKSKGPSIQLPHSGQSINPLESDRQDVLFKSFSVLNAST LSKNSNNLSTSLVNSFISNYYEPSEVLNLTETQKYYLVNSLLKGKILKLGSIDNLELI KDTQDEDNISYTQRRNNGIRKKRSEINKEIRRKKELVLKEEKTIIKKLNKDIQNIDII IQDIDRFDCHLESRKIYLSILREQIDSAKRLGILSKIKIGRNTYKEIPIRTLSKVDIQ SSNGSLRKLHIENKSLSKDIVSNIYRRGLTEIPPVNDAQYGRRLQKLLRRNRRSKKIT KKVRFF cubi_01425 MVKGAKTRNRTTSSSVFSSNGYLVSVINVLNVPKNENINLNLKS SQSEYLKIIAQLTNSNRLYKISSVTKTLSDNHNQIIYFDISLYNEWSTACSFVNIGDT IEIFGGYVSKNKNSITYEFSVSKRNSSMVVWRSGFEHIPAVLTHAAVLCSKGNIYLPA WARIYNDRAKLEAVESVIYNQNSSSIDCVNFNGSLDQFNCITDSLDLEKNSQVYNGIF KKRKTSNNYKNTSQATQYSYIDRLSDIIPKVNTNLYGVVLEVGNNPVKRCSSHTSQIF LNVTLIDPSVIELVPMDSYSISNLDELLSGVYNYKNRPRLNPNFPTISLEIATDGDAN TLPIINFGDIIRVHRVEPRISKQKYIDLPCNLKNTSIRIWSVHDLNIESIYSKYSSDE EIFNSQDCYFTVENAIKVGGSQQRTTFTKDDSLRLLKLQRWCYELFHDFPFFSISPYT KSLKYLLNSAENHNRQFGDIIVFIHDVCILSEFFRKTNLETNNMFPITKKMSKENLCL IVTEHKQCERINLSDLTKHSYDQVFVVYVSENCNFGLKDYFINNKKPLSYGDWIRIKN VSISGTDYFTHINGELIGPFTVIDTNRSRITRLPFWSGDVKLNSNRVSPISKK cubi_01426 MIILGYIDREIKNKSNFKKYVEIDSKLGGSPVSFCSVCIRKSNF MKDFLTECNFQVNCTKCNFPLKFTLQLNTPYSTTKRRVIYLFYCDNNYCSTTWRVLRS SIKSNSAEITETLPYEEENILSDDWLQSAGIEVNEFVNDQNIQNGLKSIEKSNINCKF TEKSYLINCISEKNIDSIDTKTKVLLNSYISNDDNDPIEIKNSLDSDNSSEKSDIEYL DPSSVDESFYVSDKDKYLHNFSLEISKFPKQIIRYCFGGTPLYSESPKKIIIPSCKEC GSNKVFEFQIISTVIYEWENLFGEKDVFVKCNTDWSTIIVYTCSKDCNVEFSEESIIV QHFK cubi_01427 MDNNELIDIIDTFISVEEINRNLDEYIDSHSECIGTLRKYLLDS IREFIFGESNTNKRLKTIKGINSSLKGLCCNFGHLVFPSNGLIQFFYRLLSKIFTKDF FFLPYSNITTPNSNTNEQVESICQKSSDNEMIYCCVSSLLTILKLLSNGNRSLFMIFT NETIININKLNSFLKYRKFSDIDTQNSQNIDIYSSFLQAIKLNSGCLNVIESPIFISL PKESDWFLYLMGHITIQLLETQNPFHEDSSIRDRFISVWLKILNSSINTKKLEFIGMA IHILKTITFGFEKKMSNPNILYLIKNKTVIFLYKEDVNKFTNVLPCYISKFANNSSTL CLFGDFTIWYLNGFLRTCFLKDLNYNIQNPNTYVFQIELILRFWFNQFKLASNDSFDE YPSKFIFEILKKLPYISENAAKIIEIGVANALNYNNEHTNKISYINIKILSLLIKLKL LNFFPINEKNFDELTNNILQASIYGISEVYSKKCNDPLLITSHIQMVLSNHFNSNLLK NIKNPLPLCYSISITIELIRVMLENDIGCTFEFGKYIMNHLCRIMSTSENKISDWGFD QCILLSELSIRILSKSNERLEVILESLIDLMDGESMFFLLISNCIINLILINCMYSFE INESLVTKILLKLNNLNSSCIFSHNIQIKLIKILAIVILKNKEFENFDLISELQILFN NIQLNKENCFPFGSSFNSISYFDLFCENNDHKDMWLSFLPTLPICPFCKKKNGFIPSK ELFICKNCWKIIPTSIDIDNSVFVPEIKNYFSTIDILLYFNKKQTYRMDTIDMLFHSI RNIQGYSLDMHEHSFFAVLSVVFSFSQNKSFYEVNMAMFLQNGVFSSNYLNYSQLKLN LLILSRKFLWNNLLSCSNFNNNLLSSELFFSTPLVISNIVGELIDPEAYFFTNVEDIF RLSIFFGPGIKDTQSTSDKLEPIRHGFFGDVFKLNELINYISKKKIKSTEFYLTLGIG NRLNKLHNYKTTDFQSTENSQILLSIASMDIKVHIIMLISTVFTDESFSHFFSSTLTA LKLAVIGLDHNWKLMWCRSSLSEFSRGIIPEKKIIDESIYTFNNNSKVIYKSLSAISS VLMRQNTTLSSISVSPGVNKVTSIKLSSFVDSKNKHPDWLISLLGPTFTYLLPNLFYK SFFGCTNSSNIIFSYLKKNIPSSISFDKLIIQIPFFLIYSINIEDLDIETCFSFLKNN IYNSLLNNTISSDFQLPKALVNTSIASLFWIVTSSENIIKFLVTKEKDTIFGKYLDNL TLESLTYNYHEDYIFAKQCDWLSNRINILICESGFDSISQPSRKKQRVLNGVEQNIEI SQKIGSYIGRNLMWLLEFYNKSFLIGESTGTWNNTQLQYIFFPISFIISEPPPSNKAS MYWYRVFRSLSLLLYFSRKFIKEYATRLLELLIKVTNKSNMHPSCIQCWNIYTLQLIE DFKENTDINQNVFLQLLSPIIEQLILIIEPFILNKSFFLIHLEKFFNSIVSSVLNINK SYFSLIPVLTSIEGNSIRKIILNCYYGDHFSHLCSSQVEYEYYKLVFHLFNERIDFSI KFFSTHVPYITYEKLLGSIKDIILFSPIESYWALFGNIEDKYSINKRINLLYNKCLKI ISNTSNDSLIPFQKSNLETDSKGQENGKLKSRINIVDSILKYNHLLPKACSQKKFNNL EKSTANLKNSISVIIGTIGAIDYNINGYLEFNSINYCNYADDLNHNNKKMELIEFQSK NISMFVKNLDILTVDLIQNHLLAYSHWNVAAFAIQEALKFIGCHDHLPDIEKNEKVWD MFHSEVKDILQPYKSTEYRIIQGTNEHLLDQLKCLSDERTENVYEFYFWCLNLINHEI NKVKEIEETKKLPKSIKNINILFEGCRVVSLGIPSVFNFILPLSIEFILLFCDHSIIR TLKEKLCSLIISGLEYGVSNRLNNFSMMESRKNNKIKSSSFIIHHVTYESIFIVITHL MEIFEDIIQCKVPKILPWFNESLLEPFLQELYLNETSKPGHPVTGKNSIDKIVEGKTA SPSSVTLSLLAEATKMESSKTTINLFSDKDGQTDSQYYEIEIMNYIFKLQDENSKNEE IKTLESKKKLANGTKFLSTLSNYPIIKIIANLQFITDLPISILIQAALSCGSYTRALL LFERFLLVKHTNEDFSKRKRKFNKLRASLMTQFGLITKYSGNAIDYFGTNGFNNLDFD PLNPLKFYRTCFEIYSELPNIFDEDKKKTINHILYLPFQCYLGIKDTDNLLGIMTIYE QMSTFVQGTIDKQLVEFLLKEDYYNAAIIYERIISNNPEINHLIFRNYLRCLLKAGLP HVVLSSLLNTNKSNNLNAEFENLSQNFRKKSFSNQLNYVNSNLFISEALEACYQLGNW EFLDTIISDNKNEEQKIQSNSINNIYMDLFIPSNIDTIIQKFNVYRGKLLLHLHCAKS NITSENRKFNESFNNTLEKARNLLLTPLSISWNDSNSKSRTILEKLHILMDIEFVYYF FDNKNKKILNNQNILVENQTYKPEWIKISELFVFRVDNAKISFNKKHSLLSQAKITLE VAGFELSSFLLLLVLERSKISILNVNTSYSYINFLNSFEMIEIENCSFDQDFQLFNRS SSAFGIADLGLMDLHSLNSNEIEKVPGLIQIDNLISISYFEKILDRNINFNKINWSSI EKELLKEWELNFNNKYIISLNQNLLVTYLFKLFRRNQIKVAVHFYEMILNTKSSSLLD DITISEINLVYLDWAIRSSMVSPENIINTFQETLELRSNCEKTYFQFANYLDNYFHLI ISNSETENNFSTNSSSSKNSYNLGSMFQCDETIFLCINMYLSCLKFGNSFIYPSLSRV LFLIFNYSNIILKHGIAQPSSKHENVKIVPDTFNRLKKEIMGLPPSLWYVVLPQLLSR CQHPGLGSIIIQPLIAKIIRKLPHQAAWNFVSMLKSNSSERKNTAISILKISKAITND NNETFINEMEFSLIIDEYIRLFDNLTVLAMDSSTGNNIQMQSKDQKSSNRVSNKCMSL RSDFQSLYHSMKNLNKSKGLIIPTQMQLGLNRSSINHTKCILFSNYLPLCKRNTSSDK QFIPYYFKSNQRSNIPTNFITISGMEDTIFVLPSKQKPKKIGLIGSDGETYYYLVKNE KRGDLRKDMRLMELAQLLNQRMSIHGKELSLRTFSVIPLSEVAGIIEWVPNVTTLGNI VMSEWKELIGASKFHRQLLETQDILRQHSMQPDKLYKLYSEEILPKYPPVLHNWFFKK FSTKSSYIWLKSKEKYTKSTSLWSMFGYIVGLGDRHAENILIDTQFGDIIHVDFDCLF GKGFLLEIPEIVPFRLTPNVVIAMGSCGVEGTFTGTSISAMSIFRSPFNKSLIMTFLE AFIHDPLIEWMRPGKATQVSSLGGSVDPISFLAVAKGHSHLRTIYRKLNGMVDCFSQN KKVVPTLHGPNNCSQRRPFHERGLGLSVESQVFELISSAKCKRNLSQMYAGWMPQL cubi_01428 MTIEQREVIIKDLEIESLPADSMLICDFETKIKNGFVKRVYSLL SISVLITFGIVLFFSLYDDASKWLIRNYWVSVVFSLCSFILIIIFSCCPSIAKNHYIG VTLLLLLSLFFGISISGIAVCVNKFSVLLACGITVLVFLVLTIFSIQVKFDFTGWGPY LLIGVLIILIYSIILIFIPRNNIAYIILGALGVIIFSFYIIYDTQLIIGGKHRKHQFS IDEYIFATISLYLDIVNVFTYILMIINSIDR cubi_01429 MRNIEILENNWISYSTDGYVNFKNDSILFRCIKNEIESIINTLI HNNHHFSQIKYSKEIVYSFELPIVYQFQLLLENIFISKKFIIEECSLEPFFEVIQSPE YGGHATASVLNAINVFILNGAIVIEGSKKPFVINKVIENILNCKFAASHLETDEIALQ KLSDLLVNLIDSHFGEYISSENLVKSLLKCFQISRQPRSSLLLRSLGEQAIRRIVTIV FSRSKELQKSENCNYDISLIRIIHFLSTLTFFGLSSTNKNDIKVLNSPIDELDDYISK MIKKTIQMGGVDSSTYQEVRKMGIELLNAAIESGGRLLNSYPELVNKISNHLCVEMLI NTIKEPSMLRIILKCMLSIFTNFRNHAKTQLEFCLTAIQLRLANSGEDCMDLLPINIP AMHISLEQRESALNSLTEICKDPQLVVEIFQNYDCNIYCGNVLKTIIKTFVNQFKIEC KNSSKKSFNSKTFTLFQRLGLNGILCIVGGIIKSTQLHVDIEKNKGSNNAIKLEMDLL RQKKLKNEIFDCSEKFNSNPSSFLDVLKSSFLFDSNPNPKSLAKFFRYSQKIDMVTLG EYLSKNKEWNNQVRIAYLSTFKFNKKSIVSALREVLATFKLPGESQQIERIMESFSHE YFIQQDLFDELSQQESLEINQDNLPRIIYDFEPETNKQRTILLDSSDTIFILSYSIIM LNTDLHNSQVKNKMSIDDFIKNNKGINNGKDLPKEFLTNIFETIKNNEIKLSGLSNIT KHNRFHNQIDSSVWTNWISKFFIERYPICLDSVLDQFELAGSIHEELLETILEAGSIN CIFTAFENSNDIQTLFRCVYGILQVAYLCHFFSKRRYINEILKRLSKYINLDLSAKCQ LVLPVFIQISELTLNTWKIDSPWETILEVLFSLNSIKIVPHKSFECEELTDNQGRPIS NYSNVQYPKLCFFPRAKTFGISHGFEPLFEIVNKINDSPENLKGIELNSTLILDKNLH ILPLKLTKPNSSNNNHWLSDITNILFRSIEEDDEESSKFSHEPPNIVDSIMQIIIEED IKNTKENLNTPSEIILSWVLNGSSPYLSLSIYAIIQNLFDWESCISNFKNTIQINNGS SSLINSKLPTVSGNSWEMIFHLISDFLNLCDILIANLKNMSYTDFSPLINILKSCVES FPFSDKQCSINIEVTSDSSINIDSQTQFNVRNNKITEKETYSDWSPLIVVDKNKIVNF RRISDPLCSISILMSLLSQQINKKTEIKIISNSEKTIDQYNKGSLVALEVFNFFLENL RRYSIFGSANRELIDNMQADRKNIETSNYNHDTPRSLSRSEFMFAERIITNSLLILVN FCESKDSELVAQIILILEALLQLHPIIFSLHSERIIAVFQIIMRPEKTNESESIEPNS QNSSLSSTFPTERCVLLMLGILQRMVYIPSVSVLENRSKISPEFYPGKLSDPQILILS SLECLGMWLYNPKYSSLLYNNTSLIIQTLVTFAIFTPTSLNGNVIFTPIDCDATAEYN YDANLQAISLIFSLYSLFANFESKILISQVVHTLCIAASFGPNIVRNHTINRIQQTLG SQHLSSSWFVSDPWIWLDLIEKSFLPLITFDFEFPYCLEAKRTNDNTLILLAQQFKNK TAKYVLGEDTVYKRQVQSITIVSKIILARIDLLLVPISIPCDHRAGNRFSSFCDCETS ENKFLLLIPVLVNLINVLVKNSAGSSSVFFSETIKNFLLVVLSTHINATYDELLLNPI LYYRKINEDEMESKLCKILKESLTTDISGIISSVIDNYIKKPMPEVASELYSILESLK RSENYTSQTKNPIEK cubi_01430 MAKKSDIETKSSIVLQRAFRRWRSNWNETLNVLVWGIMQTREKA AIILQRWWRRYLSINSLYNSQKSI cubi_01431 MLFFLKNKLLNCLSIFNISVGLFLVLKRLAKQNANYIEDNVPIN FSIFYSTETGNSRKISELLKSLLDKLGIDSHVLEINSIFDFDVSSYKKNSVLIFVIST CGNGSFPASSRKYVRYLSKMIRSGNEIFLGTKYTIIGLGSSIYEYSFNSAANKLDKFI SLLGGEKYCEIALLDEVNGNELDFKIWWKKTFLAKLGVSDFKEQSELYFSNIETRKKD NFICKLRNANEASSQINSLSGNCHISENYFKLLEFWPINRKLLCESKAIDDVDRQIFN LQLKLPDGTNYKTFDIIDILPPNDDETVIFFSNKVLGMQSIEDLKNTIVDFAPVNDIL KSIKVPFPNNCSLMHVLKYYFDLMSLPTHTVILQFTPYLNKNEGEFISNKDSFNEIKD LYKFSFPLFIDKYMKSLVPIPIESFIKFNGIRQNLRSYSISSSSLCSPSTIDLTISTC IKGYVPVSLHEVNRNRGNKSNVKKYIKGLCSSFLFEFDLNLPVLGTIRSSSLNINDIT SPILMFSHGSGIAPIRALLHERKYILMKDKKPKNPAYLFYGCRTENEIIYKDELEELK SMGALTEVFFALSKSQEKHVNDIISHYKNLLLEIVDRNDSIIYICGKKNFVLGIKNEI ASIISDHRPKNVIRKIFAKNRIFLESWN cubi_01432 MVLPLSVIKAAHHKPILVELKNGETYSGILTGVDGFMNLALHNV ICSSRDGTSFFKMIECYIRGNNIKFIRISDENVSVAKDDMTHREAARLGNRGRPRNET RISRGRSSKWL cubi_01433 MIESNDSFEPNKQNRTIQSSIVTKSSPNTFHSMFNKDEKLLEMS SQSPIKDVNSYSPNVTTYNCVGNNSSPSSRIVSPISSASVFVDMTPNGRHLLHAEVAD EEPNPDTCSPNPQKFLQNRLNLLASRNLLNHYVNSNENNYFGKQLSSDVISSIPDLQY NETAVQDMVINKLTMSTQMIPDTIEEARKRDPDFVSKALEQTLEDGEIQKPIHYWSQI EFDMLINELSTNFIDGIDEEKAIELMEKKYGPNKLQKEKHEPIWKIFLSQFTSLVVSL LLVAGIVSLAFQEWVEGVGILFIVLINASLATYMENNASNALAKLADLSSPKCTVIRS GIINIIPAYNLIPGDIVLLRTGDSVPADVRLIEATEIKCNEALLTGESEDVSKHLINS DLNNPFPTNICFASTAITNGTGKAIVVLTGMNTQIGRIAQQLKLASGGSNSGTPLQIG LNRLGGFIGFMSLIVLVIIVIVALLTNYKDPSHPNSNPVLGIILVAVGFAVSSIPEGL PMVVTICLSIGCSEMCRRKANIRKLPAVETLGCCSVICSDKTGTLTEGKMTAVKLATF SRNSTKLLNGSPTGNEISNSYGELQENNYCVFSFYPTRGSDPNGGVFLSSSLTQNIKE DIMNLISFNKNKTIEMTENSNFETKNEIFPNDKIPFKFINYNSVCKDFGDPINGHLPQ TKYVRSALLAGYLNSYGTALVYDNDSNSWKTRGNMSEGAIVVAAAKASYGIKNKIFDS RKQENEPKQTNTEKSSNIIHSKLNAQSFSSISNGNNAGIEVVTTGSSPVQCILNSTST DSNKLKNYTADAKNTENRTIFAIQDEMEYLRVPSAEVPFTSSRKMMMTVHSLATPNKF GDIVFSNDSKSNKQANNQNKIPNFCSQRHSKTVDNLDSGIYTHVAILKGAPDQIVRHV GFMLNTSWKNEESIVQIDYSEKISAKEIFEIENTNKILSGEALRVLGIAILPLTSEDF FDLVTEECSDKRLKYVLDKNELTLLGLIGFLDPPRPGVEKAITKCCEAGVRVIMITGD QQPTACAIARSIGLLSDENEFNSNRENNVGSQNTISGSIICNQLHENGDASRPHLPNE MFDQIVSSVSVFCRAQPEDKIAIVRSLQRQGEVVAMTGDGVNDAPALKAADIGVAMGI SGTEVAKGASEMVLLDDNFVTIVNAIEEGRKIYSNVQKFVAFLLGTNIGEIIYLSIAI AAQLPIPIAALQILFLNLMSDGGPAVALSVDPPDPNMMKVPPRPKKSPIMTRDWWIFG NIPHAIFEAICVLSVLIISMYTSLGVFQRNTIESLCLHESNNRYFCQTNEWRLNLIDL EKTGWVTNIDFYNPLTNSMEQILGVAKGKINSTLSPSEIPYVKNLYEKAETLGISCVA GKHGWCIPQQKTINDEYIDLVAFGTIRGRTASFIAAVFCEMLRAYTVRTWDWFTKPLF NNPWLHFACSLSASSTLIVTFTPGLQQIFGTYTIYWWLYILAIGAGFLNMAFDELVPK PLYRRIVERRRIRAKLLAERDVNRITRSEYFENI cubi_01434 MYKSAHEEFFDYILKSHISDPKLNLSHEIKSEVPNDKKKLSETP NRNLKSEFDENVHTNSKSRKKNRKLNNENDSIFLNQIPKTTNELLKELAQTVKEGQSL QKDVEVLKKVVFNQNSRSNPFCFIPNGFGIVDYTSTEFDKSKNNLISNNYLNEHNIDV PGQFEYPINSIGSINCNQKSKTQSSSVPILPMNTVTYQSNILNTHSIMQQNFKFNLIR ILKRMKQTEQVHISIRNLYQQLKSDTVHHIEEEKVIITKLIDEIKSLNHINLRYKNNL REKDLLIVQINDSINILNIENKDLNGKYNNVLQEKELLESEMNIRLKNLEKENNNLKT FAEEMESKYEKTKSELKKAKDQSNEFDKFMSQLKNMGIIEKIDDSKYLVNNENRSLND ELIFLKDLLKDNRDREELLHRNISQLSDENNRLNTNYKENKMCLDKKIRENCILQSIL ENYKEKIGDLEEKNVSLLSEINNWKIKMESGVEEEKNAKTKISQLELELQSYKNQLNT KSLEFNNSLSRLGQAYVELNETKQLLKDSKNELDNFNSKVKSMNRENKELNDALSSQF HLNRKKDIEIDSLKKECGKIKSDLEKERLFNGDLRRKITELEVNYSKIENERFEIHKE LNNKCIIEIEKKESLEKVLKEYNELKNELVQKDLQINKLKNELKETIDRFECESSNKI NLLKNQLYEEFKRDIYAIEESKKNISIENENLLEEVIHQKKMIQELIYEKISLNNEIN SLKEVAETNKKHFENSVQLLSKELSALQELGSGKIVDYHETDVRKFIKRINKLDSEIN LSDAESNTNQIELHQIQTTTAPPSNYSVLKTENDDFNFDKSVFSGKILDTPVVECGDF CRIEASLSAQCEMAYIDIISQTERFKNLSNKLKGLEEILRGNGEKSISEIVVTLSSEL DNIGISSKELLKIINSRIKSKFEIDNELSNKVTEISRAWVLEAEASKKILDYAHKIRN TAAKERMMWIEKKLK cubi_01435 MLRRNARQRKEYLSRKGLEQIEKNSLERSIRFKEALDEGKLIPT EYKSISDKIVANIDLIDTSIDPKSIIDDEYSLSGLYEPKILITTSRSPSNRLLQFVKE LNLIVPNSFRINRGGYVLKDFGDLCRSNGATDLIVVHEHRGEPDGLIISHFPHGPTAY FTLNNVVLRHDLPLKPSTVSQSNPHLIFQNFNSILGGRVSNILKYLFPRSKNTSQRVI SFININDNIIFRHFNWVKDMDNKSEDLQLNEIGPRFILKLYKIELGTLEMKNLSTEWV HRPFFNKKKTSL cubi_01436 MDCNAVSSLSWVPRGYAKEIPVKNSHYCMNDEDVEQYFVNHNIE HEINSQTKTNIDTKLNSLGNDDNNKKDFSFYGENFFHTVEKGLSKFGKDPNMKRDQII DYDEDDALQIKESDSLLSTTAIEDDVATLQVYLYSIEDGSFYVHHDIIIGDYPLCSEW ISLGAYNKNNIIAVGSFNGEINLWNLDFIDSIDPILMLQSETGHSDAVMSLAIHSKNS KLLASGSADETVKLWDLNEGSCISTYSNCSGKVQCLEWHHSENNILISADYSRSIQII DIRTVNHQALLKYDKEYGDPESIVLPNADIYDNGNTLIISTENGFISGYDIRMLSENC AKSKFSILANFNSKPITSVCCTSISNMLVSCDLDGVSKVWDLSNMKECIIEKSLKGGK LFTCKSCPDEEALVAFGGESVILWNIFQEDIVSKKFNL cubi_01437 MKQERSPNRSIFENEYLKKGSLIQNVVVLWASISPSAVLLLPSC MKETGIILGLLIMFFSCFVLFMTQFVLMKSAALLSADNYGNTLLKAILYKDSTDIKGQ VNNDKEKERLSVNTKVIALFVNLPIFFAMAITLPCFLIIWCSCIEQLIPPISTKFTAS GISYNQIILMAFCAAVMFPFSLKKELQTTRHISWLSLIAGLLFAITVVQYYFYFGASL DRGKVVWWNADIKLLSCIKMLTVSCFAFSNHENSPATAYELLNPTTNRILALSSTVSI SSFILFSVITVFSYLTFGESTLQSVALNYDNEGTFLILSKVFLSISNLVACTLSLHAA ISSLSNIIIILKGNYYYDFDNKLFNQPVNEIGIAGYNQFSPIETLCSSTNTYSRSSIS NTLDNIERHRYSLDFAEKNASISPDIPNMIDTSILSSLEDGKNKSNILVSDKIKDLIN LDEEKKFSEIQTSVDTKARAVIVFLFLIFSIFLATNLQDLLLLVEVATGLFETIICLI FPVVVYLKLFKHVFVCEKYLSRPIFILFTLICSFGCFTASISAIFSVHRAH cubi_01438 MKGTLILLLYLLNFLNGTFGKSYYDILGVKRDASDTEIKKAYRQ KSLKYHPDRNSSPDASEKFKEIATAYEALSDSEKRDIYDKYGEDGLKQHLEGSQAHDP FDLFSMGFGNLFGMNSGREGERYRVPDTTFKLFMTLEQLYFGDIITISYIRPVLCINA NDCLKNRNDCAGAGTRLFTQQMGPGFMVQHQVNDSTCVARKKGWEKNCKQCPNGPTEL ETAKLTAYIDAGMYSGDTIRFEGSGEQKLNQEPGDFIVVIFEVENEYFKRVGNDLHTT MEISLADALLGFNLPLKYIDGKNINIEKSGITSYGDVLKVRKKGMPIRNTNEYGDLYV TLKFKMPPELNETQKQLIREAILDA cubi_01439 MTNSSRENRTHVGVFGSFISEEGLRNIEEYSYKSGGSTFLDSLM NPFWELFERQIPEYISPNLLTVLGFICSLIAILLTMMYCPTLDNAMPFGTSIIISLLL FLYQTLDAVDGKHSRRLKISSPLGQLLDHGLDSYTTIFFSTIFCACCRMGWGYKFCVF LSIAQFKMFSFIWLECHCKIFRCSSSDYLGVTESQCIVIFFAVYSSTSGLNILFKHLL LNISTIDIIILSIIIIGIITLINDIVSGLNECKSPRSKKIASLEICGILCHLMFQFLF ISSNTYTEFPMTTMFILTTSSSIIALRMNVSSFTLEELPYVHWPALPFYISSVFLLFG RILFSQSFEFQIVLLIIVALWNTMYTIDFASITIKSICNHLNISLFSTRILDENKMEK SVVHKDKASNHFSYGNRTKEMNSKIKLSMSRSFKKNNRN cubi_01440 MVAQGKLIHFEVEPVKHSEEIEENSNVKFEDIPVECTCPFCKED IVTQVELESSWFTYFASVVLFLVIGWISCPILPLIWTLIQDSVHTCPRCLNKIFRNRR IKCPSIKSEIMTLKCGSCAVVLTRRYVITILLIFSTIIILTTLRTLLKVYGLPDIEHG PPIDNTWMMFIESCGVKSYLGNPIRAVREFEDNYQYKTVSWTGRVIKVQEGFWKKHFI YIGMNPPQIVTNSGNPIPDLGLTFNEELLSQISKIKPGDLLDFNATLVEFGKRGHPHF GQMWNFTKLDDELNMMDVLLPKQKSLLLMIPLIEMMNQLSEQLSKPINSDEQDRQSNT HDSVLNSNIQDVREFDVGKRFHDETYRLPESSYSSMDETEKSIDN cubi_01441 MSDQKITIINGELCRVANTKRNEVSDESSLLPYSINTRVAREEN NFFNMTCLSVSILFWIALLTWISLGALLLFFKSSIIGYCFHLLTFLISLLMSESTKTL IAYMLLKNNYDESSRLRNYLSLNPGILISAFDPTSFIVMASTFFLLGIPMLNIKQLIN YEALTPNWKKSFVAISGPLFHFIFGLILSSIHIIGFFLIDDMFLKGLTQFLILGMRLQ AFLTVINIIPLPPVTDGYKAISPYLPEGITRDISNAKNTFIFFVLSTILFIFISQSSL VINTVDFIVKKVYLMDHTI cubi_01442 MFRIKKLIFLALIIYLNVIASYCKRSKKAECSFEKNLEDNANIR YFEVSVTSNTELNTIKNIREHVSLLEKYNDQSYELAGNSTNLKNSSSSLIDDDILFLE SFISSFSTQSNISAPFSTNGVTIDSVPIHETNCNSDLIFETNNEDINISINNHLISKL IPDFDQINRTISVIPWKVIDNIVLNLVPEIQKISYEFALICTSISNNIELLKSFSNGS DIKHVQWEALQMASFARGYSRYILETVSLAMSLVLIAPDSKAEPSVLSDINFYSIIKH PKELLNKLSEQKVEEKKNYECIDFFVDKDIKNSCIFCFSIFLTLYSRIQCQFVEVGIS RESILGIRWLEEIHSHINQLDKFLLSVWNHFVIEKYPNSSHYIPPKPTISWKAFKLKL YEEFRIGLNFQQKIKLNSELDLSDHIFLNRRSKKDSEINNYIELKYMDSISNILELNQ IEIDVIEETIKVYNNIIELMNFSQNGVLLGSITKLSNSLMSIAIILGTYGDKHLINIA LQSLKENHRDIPSEECKRLHREVIIDEYFDQSTLTNIFVKYIYCKNDLSSQINRQISL HFKYELTKKFLKRILISTRNSLFDRIRERVSASKVNAEINFKRSFTRYLSQFQVILGL VKIIRIKMDETNAKLNELLQLTDYYILNLEKFKIKLTSFIFNNRYFFLEKGVIGELND TSYPLTPITISLGPYLFDIAGKNLRATINKLRSKISLLNKLISFSRNILIKRRYNINE GLNYQEKNKILYSTALRIYNNRDELIVINTFTMVLYKTLKNLGNSSLKPVKNEFISEN YRFDFGKDDRCNLKSDILSLKETELIGCKLFNKMVEIIQIDELFSLLQKQFIIIDNTE RKIYYEISVLFDKIWPHRNFINNILFKNLSSKLYTLKSLLENMLIFNGIARNSLVPYI NGMNNFECLYDFSLGSISSLENAIKEFPFNISSKGPKAFFKNITSAIKGIFIKSERTK NREKKAIVKEVTRLSKILFSLEPYVINIDISFKLNVEKLRFIKIESQERIRKLFYLYI HCIYSTLKSTIFVGNLEKRFPIEVREEWNEFIKQLNIVQASLFGQLYLILVS cubi_01443 MDSNLVKEKKNVDNNLANSHLSKCGSSINGSVEHQESNKEDEFH TKNMIISDTESNFSCKSNFSENVDNKCIVSVEKTKICIPLSIETKNTNAFLLTKNENS KAFKNQTELKLKNDSEVLLKGGKKKLNKNKNYNGKKKIKNVRFEKKKKRNRKFSICCC FKTEAVDKKVTENKTSKSRDIMQGKPKNTILGGPPKQKTSEEKLKDAEEKHKNEEGHR NSEEKLKNTENNKVCKIRSKTGFIEKSLDETKPNINIKNPKIKNPKIKSPKSKSLENI YKIFEYNVNIEIPKFNNSMDLWFLSKRFEEKEMSQKNPMPTKLPNFNEKEITDIISDT LSWYEIHKLNKIRKLENMLSD cubi_01444 MDLLTKRNKVRKQPSTSSKFTSFRTSIILIDASLFVNKKGGKKE LLTEEKILEEDVKLIANNEVRPDIIHNSLLMLLDSPLCKSGSLTDILILNSDGKLIRV NPKFRVPRSFKIFSKIFSEFLSSPNGELRLPDGENTVLITLLNDSIEDYFSNSEIVIG LSREAKKVSFRKFIKDEIARKIGNGAESISFVIGASAMNNSCGQFISKFTHYISLSDI SIPSYICCTKICGEMEELLGIY cubi_01445 MNSNVIYVPKDLSEWKNEERVFILLDRACLELYEKKDKSLELLN GIDHPKKKIEEYCSSHFSEIFSKEEMVLNIRPDILHQCLLSLLDSPLNKSGRLLVYIR TMSNVLIEVNPQLSVPRSFKEFSSLMVNLLVKRKVLAVNGNTILMKIIKNDIDKILPI GGKKYGLSVNGTQKSIRALANEIYSGQDSNARKSAVTFVVGAVAYGDPIQSCDFIEEV ISISSYPLSAALCCSKICNEFEYLWGIC cubi_01446 MNKESHLNSNRYSSSVQILSPHFRNIKTFNSLQNQEKKLYHIIS RFLSNEEVIHPLSQLNEFFENYNTEEFSWKTCFMILCLFLDHQTIRRFTQQSEIKQQY TSYCLNFSCEKNEEISKFTSDEKKKNQLNKKRLYNIMEDQENNGPKKKKNENFEELFL ENHHELFYFYLLNKLENVKNLYNQTFKNISNETIISDDAIIMEKSFYDLIGLKYTYYT TSFFNSSSLSVKRLLLSNKNLCDNIEIFDHVNLWCIQRINKRESISNTINSNIDKNDL RSVLNLSSDASDTETINRCDILLKWLFLPIEDISDSEKIFKSYNRLKEARMKWDSQYG VKDNDGINMETRTEHFYSAKSNFQKLVIEFVLDRHSEQQNKYYNPFWTLGIDPKKFKI NEMPTVKKKLLLFTHPDKVLEKKMKAKANEAYIIIRESLELINKLYKTNNSIIETLPK GPELSYDFNSYLENYLYGKNKPPEILEISASIIEVNGKEVLKLPPGIRIYTKFSELKT NAKLKIYLTLPFIGSNTLIEKNQLLEYVFTTYFVEPNNESNKKDLQIKLSGDCIYFEI FDLLFLGYPGVTEISYYIGLQVTCNDLFSKITWKRVKTKLPSECEIIKGFSKNGIKKY LELYCRTWGNERKCVQNMFSAAKLWNIIDNTSRKTELVKVLIALMENSYKISLLHVHN F cubi_01447 MSKILIKYMLIIYIFFSIILKKTYVLNTHISRNLNASQISIVER ILIGQGIKNPVTSSWQLLLILLSSDYFGLSITHKINEFFESKNKIEENLAKSSKFYLH PAMNISSSVLIHPKSEFLDFIFTFIDVIQFTGNSNQTNISMFVNGKRNLVACNSMIKK MVKGGFVLESTEYETMPRNQPSALENSMIVTKNYLEYSIDHFCKAYLENLAELLGKLA KIKKSSINNLYSKIRLYNKICKLLDSK cubi_01448 MREVISIHVGQAGIQIGNACWELFCLEHGINPDGTMPMSEQNMG ISDDAFNTFFSETGAGKHVPRAVFVDLEPTVVDEIRSGTYRQLFHPEQLINGKEDAAN NFARGHYTVGKEILEVCLDRIRKLADNCTGLQGFLMFNAVGGGTGAGLGTLLLERLSV DYGKKSKLNFCTWPSPQLSTAVVEPYNAVLSTHSLLEHADVAVMLDNEAIYDICRRNL NIEQPAYTNLNRLIAQVISSLTASLRFDGALNVDITEFQTNLVPYPRIHFMLSSYAPI ISAEKAFHEQLSVAEITNAVFEPQNQMAKCDPRHGKYMACCLMYRGDVVPKDTNAAVA TIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVMRACCMISNSTAIAEVFNRM DHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGIEIADGEDEEVHY EGDF cubi_01449 MEFSLFSYSQKRILAAGVLSLLSGYVLGVANIPRVVLTKYFSSS WMDQCLHIRGSQITGGCTDFRSFSMVFVNSSILFGASIGSITSIFVERYFGKVKGILS AYFLFGIGSIFCLSKEIVIFSIGRAICGVGVGFSCNLVPSYIFELTNKQNQTLFSTLH NVLFCYGILLSYLFSITTAYITGFIINLRNSVNNTNKTDKIVNTIIDSDFINVVIRDN LIKPEKTDFVFQIKNTSYSTLYIRILFIIPMIFSLILFIIWIIKLNGDTPSRYIKLND IRSAEETTRQIYGVFDTTRIISQLREESERESISIFKLFKVISKSSMRNVFIFIPIII CTEVFTGYIPLIMNSKLIMSHLGIIDQVSSKYIVLAAKGSLF cubi_01450 MVFQKENSDTNGWVAGCDPHTISFGSALFEITEKAARVRTLLEK KRRNEEICNLISASSESSLINNIKKEIIKENHVSFSKVADLIEAKLLDLGEKNEKCMS MNIKKSIEASNEAIKKNSTEIIEKVSSSITNNNHINDFSDSLVNLLLEHRNNIKDSLA ELISIINQKESRISQEVCKFIVERDEKEKSIYLSQLNIIQKQYELIIRDLRKRNMFLE RDIESKQFCEKKLHEQIKSMDNEAKEAVLLLEEKDFKINFLNSQINQLKDENQELRDK NINLLNKNQEITANYSNKYCLLRNKYLFLGIRFLHATLENLISSRRKEAFNILKNNSE FNSFNSKMTENLSKTFRNSAKYDSSTQIPIKVNESNLFNLLDVEKRIKEQEKEQSLVN ALLSMISGQNYTQLALFTKIINQKRVEILTKVFSILKI cubi_01451 MKLYTCKINCKDTTENRHTSSTFSNQLKCLALLSTIKPLILWCT TSSILSLIGVSFLFFKLENGIITTIIVSVFSNIICQLVFLSLLFSRVMSVTIENYLTA SFTLFNDLIITIPRYVLWELIFTSRWIWLSLHFFCATYSIFRFWNICTLFLLELPIFL STFFFVSGGLSFVRFFGKSQNIFLLSSRISLKPIIIKLNLKESNVLLKFYELTDYFTF NGGSGNIKNSLIFTILTLLITIPIYYFGINTPGISNFFNLINKFYSFRISFKLRNEIN PLIVKFYIYLTSFFVVSFYWYCIELQSANFRNLSLINVNGVFLKHDKILNNNYLNENI IPSDNFIRFITNLEKKFIFIGRNIKLGQKVWIKVFYSFYSIFAIFTILPVLFLFNLHK IESLINILIKTIPINSNTAFEEWLGYEYRLFFVLEKLQRQLDNIFSTVLLLDSSIHTK VATINNSDFSLVVHSTIGLDKRISFSQIDIDDWFLNICSLDNQLGKKSHIPKSFNEWW YSNVLFSDSLNNPKIKNKKKNTLSGWNIRDSIMHHRSEIFCILLEKFKNYCKKTERVL MNFTVENELLNLKESEIIPQLIFLMQEMTLYSIDLCYLYIYCYHRFIAKNLIINGLKG EWNAQTLNQYINLSAEVKNRIYFACEVGLLNSPYIHPVFEQEIIRLYRGIDDSLELLL PLL cubi_01452 MNSNQPEYDAIINQIELFSNTIPEKNAFVWLNENGNEESVITYK NLWRSIRIISHFLLNELHLKKGDRIILCYTPGIDFIYSFYGCLASGIVAIPVYPADPN NPSQVDRLRKIVDTSQANACLTNSSYNRVISLFKFKFFNEPLWNAISWYSTDKILREY NEFEIITDNDSYISKNDESTPFQKITKNEIINFQKPKCLPSESAFIQFTSGSTGNPKG VIVTHGSLYYNSLLCMKCFNFSVEPKCESAETKLTENEIETNDEARIILKEIVEIKNK FINSFGHNIRGFSWLPMYHDMGLVGFIVSTVIIGSETFLISPFSFIKKPHLWLKIISK YRIAATAAPNFAFDYTCRKTTDHELKEISDLGGLGCLKHGGILSGSEPIRVSTLVRFV KKFSSVGFCSSSILPAYGMAENTLIISGFKRKSKLFPKVLTLKSDTITPGNKVEIVNN GFISCYCNSCKHANIIEFDDKDCKKRNLVCCGEKNSVNDKIIIVCPEKNKILPENHVG EIWVSSKSKGAGYWLLNDLTNEIFFAEVKKCDANLLDVKFLRTGDLGFINDNQIYIVG RQKDVIIIRGKNYYPQDIEEIIDTSHHVIRPGCCVAFSVDVNGEEKLAIATEVRSEFV ESSSTSGIKSYIKGLINKKEKVQTKDIIDKISTNILKYSGIEVYRIILIREKKMPKTS SGKVQRSKTKEMIINGDFGSNIIIDFINSYIENTQLYEKQNQDETNIDSDYFSVDSES EVHETIYERVKNVFETIIGNNYFPELDDPLLSYGIESIKAIEICNALSREFNIDFPAT LIFDFPTLRLLIEHIDLLTNGNQKGSPNEITTLPGISTGDYVISGINAHLPGFNSNNP FLKLWEVMLNNRNVFSSVPHERWNTYCFNEKKSISVISKTKNYYTDVGAYMIGSEYFD IEKFKILPIEIEHMDPQQRLVLRGTEKILNNIETSTKNLIGVYIGCCSNDWGQIISNS TSPSVYSGTGASPSILANRVSFYNNFTGPSVTIDTACSSSLVAIDVALHHLQNNVINT ALVAGVNSIINPNIYVTLCKAKMLSDTGKCSPFDESANGFVRGEGCIIICIQHTSIAK KKLATILGTCVNQDGKTSTLTAPKGSSQVSVIKNCLGIARIHPSEINYIECHGTGTPL GDPIEAGALLSIFKTYNEYQNMKAQVNSNIALGAVKSNIGHLEGAAGLAGILKILLVM SKRFFPGIGNLININTHIKKLLNDNLNNKVIIFPNMNEGKYFSEIGIEETQVLRCGVS SFGFGGTNSHIIIEEGANLDLIWNIESEEHSDLQEGNYFPWTVRFHPFISTINNKDEI YSYSISKLKCKKYVMEHIINGLNIFPASGFIELAMTICRFAALDISSSEQIVDIIQKT NSRDEIINEFKKSNFEILSRESNIHLIKTSPIVIKNLEITSAFKIDNLENEGLDASIN IDDGKVSIYSGNRTYCYCTVNIILDFEPDSKMEILDSSFSLVEFKKSCSVRTIFHNEL YDLLDNSGYNYKGRYRSLEYVSIGDLVGFGKIKINKNDSSPFNIAPWILDSAFQVLGG FLIVKGNFNGQFIPVRIQEMLVNSDISYSELYSLVKITNIQEKLMVCNICLTNEKGLN LVEIVNISFIKNEGPSQKIIEDLVPKLNIDQSELKINQGNNFIGLKLDWRPVAASELF GNNDNAFKYDIITSDKEFAKISSILEGTGNRIMKFDDILNNLEGNVVFYDCELEEIIP ITKRNIESNFYIITKNTVPIDKKIRTDSFSFDNLYWDSLNKCILENKILISIIDIESF NELNSQIKFGINYLGKNNIKNKMPNITFNHLVIRNVQVQTEKNSTLIGYCAVPIKIGN CIGIDHFKYELPSRGELKNFKLNYLEKCDLKELRENEVKVQVRAVGLNFRDVLNIMNL YPGEPGEPGSDFSGIVLGVGNNVKSFNIGDHVWGFAKGCFKSQLVTSSTLICKKPRYL AFEEAAALPVIFCTIEASFNDLYKVKKGDFVLIHAATGGVGIAGVQYCLNKGAIVFAT CSSKSKKKWLGGLGVHYVSSSRNPEIFKKEISFFLNAASKRYRNGNNAGLDVVLNCLS GEFIHESFKKLRQGGTFIELGKRGTLDYSQVKLDYPEINYLKLAIDELVVDNPKYIQS LLSRYTTNHLNDINPTLPVKVFKMESCTLEALRFMQKASHIGKIVLILPPPQTLMFEV FNYKVINIDHLNKFSHDLFENYKCNELVDLLDKQSKSFRFDKENSESKFINEMIIHGN IIGPFEIISKYKKILKPLFLVGQSIPLNIIKYTIDCENIEELRILIISPIFPEIITDE ILSLKSGKLVYLTIFDEKNQEKCYRVGNYILNYVNNIIDLNAEQFDLTIIYSTFFGKL AFISLMENIKTILKKGGSLFVINPFGEGLTSNIIRQQYCSYISKVIVPIKFEVQNNIE NVFEEFKLINCDESKTVKFYCFETNYWDSEFVKQNKIDDDGFKNDYHIILSHKEDEIS LFAATKLLNEAGAKNFVYISMNKITVNYPFKYKYEIGKENFVRSVKKILITNGIYNIS GITCLPLNHLKCKEISDILWELHSISFISNSSIQYFLLCSCDTKNNIILETFCRYRRN IGLPGQVAFWNYNSEEISSVNHGSFVQAAIKLAIINNSSCIYQPMNKQIKNYKALEIT NKISIDKENITNIVINTINDIAGIEKIDLNTPLIELGLDSLSAVEVRNSISSKLNIIL PITTLFDYPTVNSLSDYILNISNTSTNLFLPKINTDNIGLVVSENIPSLKQTIFSLNN TYKNQKFRLSITGIGCRLPGNTYSPAEFWEKTLLSMQTWSSEIPLSRFDIDEFYLDIE DYRNNNYLSKNLTYSRHGTFIENVDFFDNDYFNITNYESSTMDPQQRVILETTSDALH SSGYNPKNISNYNIGVFVGCCGTDWNYLSTKLNMSATAFTATGGAASIISNRISFIYG IKGPSITIDTACSSSLVALDIAKKDINFGNIETAIVAGVQLLLSPLYYIVFSKANMLS PTGKCRAFDDLSDGFLRGEGCVSLIIENELKSVGKKVYGKICGTAVNQDGKSASLTAP NGPSQKRVIMTAIEDSCISNKDVSYIESHGTGTPLGDPIEFGAISDVFGSKINKLYIG TLKPNIGHLEGASGVAGILKAILVVNNRVIPPNILINKLNSGLNFNNSNKNLEFPINE VEINSKRLIAGVSSFGFGGTNSHVILQASDDVHNWNLPRLDFKKKKFPWISPAHPYIG PRISGPNSLCQPSELGLIIDQINDVTKIKIPNSNTTRYVRNIGETIFLSISGHIIQGK IVFPGSAFIEMSIGGIINYLIRNGIKKINSLNISNTQITKPLIFPSDFEWNGNGKLIC DIYPLENNTNTLNISISTSGIEGNIGEIICHSTSSVEINKINGDLSYSGNDSMENFDK CSSFDLERFYSELNKIGLNYFDKYKSIKKVYKSENEIFGIIEIDSSNREIDSYFLHPS LLDGCFQLFALLIDNCQNKTIKPFVPISIQEIIFNPLFKNNKYIVPYSSSTNLRFIRS ISKLFVCVKNKQILSNKEVKVDINIYVKHEEKNELIKFLQIKGLHFRELTPLKTLNYL PIKDHYSWLSVYRELEYKFDNMKELNSIKILFTSSEVDKFLFGHFDYFDINKIGTMIE ENVFSSSNIPVYITNTNEKNSYKELITIQKILQNIYKNANSNTILTMIFVTIGANLLC GEYTQSSHFQSSILGYLRSASNELSQLGKIKLIIIDWNSVSKLIIDQSFSKILKLIYT NIEKKRWFEKEYQLLECGDEIKIKTIKIKEPVNKFNNCLALKLTNRGAISNISTNLQK FRVVPEEGEVEIRVRSIGLNFRDVLNVMGLYPGDPGKPGSDFSGTVVSVGPGVKNVQV GDDVFGLSQGCIETYHTTNAMLVAKKPKSLTFEEAASIPTIFSTVKISLNQYSKLMKG NCVLIHAASGGVGLAAIQMCSRIGANVIATVGNVKKIEYLKSIGIKYVSSSRDHVKFS QDMKYFMREMNIEGVDIVINSLVGKFIPLSMKFLKSNGTFIELGKREILDGNQVKEIR SDINYHPIEFDKKVENDPFWFQNLLQEIITDIIEEKIQPIPTKIFSIQNESGIIHGFR YLQHANHIGKVILSNPSTAICSNDKDAYIITGGMGSLGLVVANWLVEEGTRNIVLVGR SSIDFKNELIVKLLTMNEQKQINLEIITCDICNLISVFNIINQIKTKYNIKGIIHAAG VLNDGSILSQTNSTLLSTFLPKSIGAWNIHYTTISLLDNIPLLIMFSSISALLGNNGQ TNYSAANSNIDYLSELRSSLGMKSISIQWGPWAEQGMARKNLNFNVLKTIGLTPIKNS LGLISLGGIISKCMLSEINRFIVQPLDPLVVKNNTFTYMFSEIKSEVKEIGNRDTFST MNSEQLHTFVLSSIKEAISDAIGTDINHFDSESPFRELGIDSLSAIELRNTLTSKFDI NLPPTIMIDYPTITELSKYIEMIIIGNNNIKEFDNTNLKIYPNSEKNDIIVSGIGVRV PGDASSVLKFWKNMTSLKNCISEIPYERWDVDKLFGDYNDKNSTYYTRKGGFISTVDI FDYSKYQISKEEATQMDPNQRVALDTCFSALSDAKIDIKDLLNTNVGVFAGMCNDEWA ILSSKRNFCNAFSGTGNAKSIISNRISYVFGLKGPSITIDAACASSLIAIDLAIKSLK MNECNMAIAFGIQLNLSPNNFIVFSKAKMLSKNSECKSFDKDADGFVRGEGCGAIVLS NNSINIKQNWGRILGSSTNHSGRSISLTAPNGVAQQKVLSTALNIARQEKNLNVRPGY IECHGTGTLLGDAVEFGAIKEVYKNMYKIIDNNIDSPLILSTIKSQIGHLEGAAGIIG FIRLLVVLSFGAVPSLFNFRNINPHIEIEDLNIIFPKNSTWKLNEKNLVGGVSSFGFG GANCHILVEGVRQKNPLIIKNEKLIFMFTGQGSHYDNMGIEYYNSNEIFRKSIDKCSD SLDRFLNISLKKFMFEEDAKKKVNIDIEIYNQLSIVSIEYAEMMVLRSIGILPDIIFG HSLGEYLVAVVAGVMTIELALEIIYLRGIVISKLGKNGIMVAVRRSEDQIREAINQFE NSLPQYQKLEVGIGLINAPKNCVISGEENQVMSILKLLDSTQSYKKLPVSHAFHSVMF SEISEYVYEEIKNKSLNIMDKKIIVFSSILGLKVNDEISNLEYWSSQLFKTVNFRSMI ENLEEFIVKNGFKVENIIEIGPTPLLTPLLKASSKGILNNAQFYSLMKKDSNVNPKEV LEDISYRFNLKIPNKFSNQDNLLKVSNNLRWNEMSHPFLLKRIFSPIIDSKNFSKDTK FIYATPLTENISKFFHGHKRNGVFAMPEMGLIEIISAAINDINSQIRVIDNEYGTIES VLPTFQLEEINFGIPWEFSTYKDEKDRPTLIFTLDFYRNISITSTKGGHISKNLQIDS IKHLTAKLNLDKVINKKELARFPIHKLENSKSLSFEKIYGTIIEESFPVASSSKCIKK IVFEEKESFSLLKLNFNMEVDLEPSGINMGLFYSIDRFMSIHPMILESSLHTIILTAM KNNQNKNFDSYFPTKINLLKISTIDFSGNIYVHSILKEHDEDHIMGDILIYHENQFDF PIVEIRKVRLEKVNFGNNLISFNNERFALLKEEWESQNNNKKNDYDVIIVFGCSKIFD LIKENSSENVYLVENTEDLLILLNSISSSKILIINTFFPIENKFETTKSEIELIEEII ISNDHFQKKQSNFITWWIITMEAVIFKSNKINNNSMIIWKMFKDIKEKMKNCCKVFMT DIDNVLFINDIINDQKTDECCYRNGTLYFLSLNCLKLIDCKVFDLRKNRNELMEIIMV ENIIDKSSKLFGKIIYSNNKDILGQYIIVKNSKSIENKIQIGDLVISGTDNIKIGIYE NNYFGLKNIEIPKIKRIFDKIPFNLFKNIVIEIGSKKELAKIYEICFTVIYNIIKLNS GNISKKITVIGNYDYKFSEVILSLGCKLNFDSSYRPDCLIKIGDDLELFDSFNRDKVC LYKIGLNYEVFDECNKAIPCSNYEESDEIKLELLAPSANKVQKMIFNKNFGLILVSGE MRNITSIINSLFILGYKKIALLKTDNKILPKEISEFGESVKIFNCLPYKVSLESCFNK IIEEYQNLELTGYIHINFNKMTEEINQKQIDNYSLIPWNIHNLVSKRGYDLTFFVIIS GILGKFDAKLKFGFPEILFENLTYHRSELLKIPSTYILDNNFVNYMNSDRSQLFSVGN YINNISILTNIFDEIINKRINGTIVPVNIDENVINQLSKNNIELSKKLLYFKFIEKVT KKKFDNYIEYNNFSINLSHRKKWIEEMVIKSVNSLIPDKKEYNLDDSLFSIGISSLSA VELVNKLSLKLNYDITPSLLYDYPSINSIVSYLLSLSYQFEGNMEIYLDDEIKNEIKE KHTLLNLNEYFKNPRILTSIIDNYSDKNSRMCIVGMASKLPIANTSIGLFWHLLKENK DFVIEAPINRIDWGDSLNVDESIVGKSYCNHGTFIENAHLFDNELFKINEYEVMEMDP QQRLILEVCFDALHISGYRNLNELINLNLGVFVGSCTNDWMEKLSDLDSINAYSGTGS SSSLISNRVSYSFGLSGPSLTIDTACSSSLVSLNIAIQNIEKKQCIGAIVAGVQMTLN KTTFIVFSKSMMLSKDGRCKSFDYSADGFGIGEGVAAIVILPQNECEKKNKKIFGFIS GTSINQDGKSATLTSPSKNSQIKCINLALSSMENIHPSMVTIVEAHGTGTLIGDSIEV SALYEAYCSIERKSKLMVSSGKSNFAHTFAASGIIGLIKVVLSLHDSIIIGNLHLKKL NPYINFGSDKLLIPKTNKSIPFEGEIVFGSVSSFGFGGTNSHVIVGVEKSDFGIVSHI QKSKFNKYKRKSFNWKNFPLDESNKLINVYTKNALGIFESCNSLLLNDFNPSIENIFR EFIYLSNKLQNNEFGVKITGFKHYNFDEKDIYLLTYENCENKFFVSLWSIEYGLTKET EIFLDVFSQRMSLVSECVMENDYKFDCDLFEQNIDELEKFKNNLVIPLNSISIDEIKL EKFSIKSSSNMIHQIINYISEFVDIDYYRINQITSFINNLGFSNNKIIELLCQSNLKS NNYKVPIRIFSEYLKEEFNNDTYTVKKSWRCILEINLMQYFSFFNSSFESLNTVSKKI PGSKDIEIMVKAFSITECYGEKIFGISGTVVSHGNEVFDFKIGDNIIGIVKNKLNQFI ITSKLIFKKPSFLSHEESAVLLLHNPIIEKIIEVISKLRRKNFILVFSKLNGICLSLI NYLLHFKANFTVFVNDKNEYNFLLSIGVRKMIMKFNIDIKKSSGDLNGDLIITDDVLS SELINMINTDGKIISINRKNIVSRDQVEKKNITYENISIVDTIFNEKFMHEDFILNRL NKEMIFSFPILIQEADLGQLLISNSTKNNYLGEIVYSIRSHLSESIFSFNKQLFNLLK DNKLKSLYNIFHDSIINRFLSDDFNTLIIDGDYFSVKNAWEIYYLINLLQRNFKEIFF IFSQNNKKKEYKHLAKKIIENGSKVIMELKNIDYNENFYSINISIFRNNILKNFNRFL NNDLVNSNNENGCINNSRTAERIKKIIFNIIKLDSKKYIDYKQLFETPIRELGINSLE VTELRNILSKEFDIKLPISVLFDYPTVNELIGYIENFSSKEIKINKNDLKINSDKIQK FQIAIIGASCTLPTGIDNLYSFWKSLILGKCGVNIIPYNRWNCEIENGSVTKNMSTIL GIELFDNNLFGISNIEARTMDPQQRHLLNSTMKALISSGNSIETIKNTNTGVFVGFCN IDWSLHLLNRGECNSAYIGTGTASSIASNRLSYFYGIKGPSITIDTACSSSLVAIDAA YKNISLGICEMAIVSGSQLITTPNLFSVFSRANMLSKDGLCKSFDESADGFGRGEGVA TIVLKKYVESQSSGKVLGILRGTAVNQDGRTASLTAPSSKSQSDVISNSLLSSAVQPC EVSMIESHGTGTQLGDPIEIDGIKTVYQLSPNKLVIGALKTNIGHLEASAGIAGLIKL LLSLRNGISPPNLHLKNLNKYINVVDTNIVFPSSQTKLSISNNNSLIGGVSSFGFGGT NAHAILEVKNENKIPMVNNTVVAILNEEFENNSKINIGYITQLRELITNNLVFEYYFR YCTNIILEISNLDFCEIINGNREHLFKIEEMSLLLFAFYYSVYYTLINYEIIVDALIT NKFGSILFDTIKNKITFKSGIKLLKEYFSSNNTLYPTNSNLNIYFINETENYHFDFEE IKFFDAHVIIMNPFKFNNKQKNQLRNRSKTIINNENNYLFFIGNLINNFGKINKVSKI ERFQLNNNIHPLIDSNLDSGYNIEISKLFIDKYCDLSYGSGIIHQQSYIIISLLFESL LSIVNEKYNYILELKIESIEGIYETANRLRKFEKYSKLRLINNNDQFMLCAFNGLPLS SLSIKKNIVSKIQVYIPEIELAKDNEFKRTKISRNILELINSIEVYESSIHIRFKLPI SELGVESALCIHPVIFILMMYSSMEILSKKIDASKLRIEKLSNITFSSIFDIEKIYCN SRYTLELVCRLNEGNNANINLWLIDDYCIKLTELFLKLDIIFTENKTNIISSSTGLVW NTIWRELEYNLISEQKSLDILCIDFKENSTILKTELITNGRIVNYLQFLNDKMDLNDK RNIMIDMCIPILDNCQIKELDENCFSKSENWEMIFIQILRKIVNLSNKNNPINVFILV NNTILEGENDIINMNMAWVWGFLRSLRIELSSRLRILLIDIDINQFYSKNNDLNIERL SQIIDILSSVNNLYENGEFEFLIRKNKILVPRLAQYEFKNSISKGLETEQETCHIITG GLGGIGLVLAEWLIKVIGMKNILLLSRNPEVSINKSKKWKQLSEKIKKTIMIEKCDIS NKREIETIIEKYSKIYKKIGIMHIAGSLIDSNIHNLTDNIVVNVNLPKIKGMINLHNT TLKKNCQYFIAFSSITSLIGNIGQSAYAGANSVLDSIIEFRRKIGLPGNSLQWGAWAE QGMAAENELLHKNLINVGLSLIYNDLAFEVIKNIIFDSKNFPPILAIQPANWDKFIVE TGINYGILKEIASNSTIEDKNTILNSIPIENRIDFISNKIISICSEILGFEIDLNFEQ SLMEFGVDSIMAIEIRNSLCHNFGVPLPHTLLFDYPTISSLATYINQKSISNCKIQKN QEEMNMNKICMDCNIAIIGAACRLPKNITNLSELWDLLTNSKCSVGKIPYNRWDSGLF FSEQANTGKMYTDSASFIDGIEFFDNSEFKISSSEVNYIDPQQRIIMETTLSAIKSSK IELKTLLNSYTGVFIGCSSNDWTILTNTASIPPGPYTGTGISPSIISNRISYIFGFQG PSFTIDSACSSSLVALDSACDKIKSNNIKFAIVGGVNLLLAPQPFLACCAANMLSSDG ICRTFDEKANGYGRGEGSISLLLTYLSEARKNNEKIFGVIKSTFVNQDGKSATITAPN GPSQQFVIKHAIEKANLYPSQITYIETHGTGTKLGDPIEYNAIKSVFNLEDDIFDSNI CLLRYSPLYLGAIKANIGHLEGAAGIAGLIKLLLVLNYRVAVPIPNLKKLNPLIELSD FNVIIPKDKEPVNIMSDSNIIYGGISSFGFGGTNAHVIIECNSDNIIEENKLFHSKNL FLRRKNYSWSDTKHPLISEKIMEMVDSKDSELDGIKLFRNINHKMFSTVKFVLNINYE IKKMFSDHIIGNKVVWPAAAYIEMILAVAKHIYICNNEQISFSKRTLKINLNNILFEQ PLILEENSENRLFCDFDLQMNRIRMFSDTIDESNSELIHVSSDVGDTIRAEMINEGFS IKNLESSIEKELDISLIYDKLKDLGIEYGRKFKTIKKAWKLKEGNESLTKIKLSNDEN IKISDNLNSQEPILSLAELGFGIHPALLDGALQSCFVCICDLKNNLDKTIWVPFSIYE IEYYTNQEPILEAWVHCKIMPTNNKNEVISDIKIYSVNNDLLFDIKNIRYRSTVDMNK KTIKNSRRDNLEYIENCWGLTWKNINNALDQIENKKWMVYLNDEIQKESKINNLIRYY RNKDSILEELIDYSGEVNIAFVISSEIKNKLYNYGIEVITWLEKLFRTNPKISHSSVV LFITEGTIPHNNDNYKFIGSDIWGIVRSARYEINKCSLNLLDLDCRAKDINEENLSKI FSYILNSNESEYIFRNGKLSFPRLSKISIPMNGPFNIKLTERGSLTNLLTEVQSNVPY ISSEKKLDLIEFFDHLDDFSLMKNEPTLKENEVLLRICSVGLNFRDVLNVMGLYPGDP GPPCSDCSGIVVSVGKGIKHLKPGDEVFAMVPGCLRTFIVAIGDTCCLKPKNCDFSLA SSIPTIYSTVDICFREVYNIKKGDRVLIHAASGGVGLVAVNYCNSVGAIVFATVGNNK KEKYLRHLGVRNISTSRNPELFKMDMKSLLGGEKLDVVINCLSGKYIDYSLELLSDNG VFIELGKINIMSRDDMFLLKPGIRYEIIALDDMIMNDPTWFGTVLNNIKIRIEECKES VIPLIEFPMENSKEGSGNLIEQSGCISGFRFMQKANHIGKVIIKNQTLNDSSGIQSGI VIIIGGSGSLGKFTTKWLYFNGLKNIIVLSRNSIKGVSNLPGVKYINCDISNIYSTTS TLNAIFNNPENRPIIGIIHTAGVLDDRKLFEHSKESFEYVYSPKVQGLWNIHYTTQYL CIGLEFLISYSSIASLLGNVGQANYSAANSFIDSFMGYRSSLGMNSFSIQWGPWMGEG MASNSLGLKFNSTGITGVDKLTAVKVLNTVIKSKRKSVPSVICVVDADWGKLISNIPS KASSMLSDITNKEIIKEKYNKSKIMEILEKKTTIEMEDYIINLLKEIIKTVVTDDTID IDDPKVLDKPLHEFGVDSLSAVEFRNILSKNTGILLPTTLMFDYPTIINVKDYIISEL EGVRVKGEPYDATVNNIKKNKILDIAIIGISCKFPGSSEDIDSFWEVLTNGINCVSNI PVVRWDHKKYYSLNPENFGPYYYANYGSFIDDIDKFDAHYFGISPSEAATIDPQQRLS LMLGVKSIEDAKLNLLKLRGTRTGVYVGCGNSDWALMQGNKISESEFISPFTGTGVAL SLISNRISYNLGLNGPSMTVDTACSSSLVALDIAIQNLRWGKCDISIVIGVNLLLSPQ AYIVFSKSKMLSITGSCKAFDKDADGYVRGEGCCSLVLCRVDERKNGDFDKKTLNNES NINIYGFIKGSAVNQDGRSASLTAPNGPAQQKVIKSAIEDSSLKINEISIIEAHGTGT QLGDPIEFGAIKNVFGSRNRNPIYITALKTNIGHLEGASGVAGVIKMILMLNNSIIPK NLHFKTLNPLIDSSNFNVTIPNTNLTVSASLGGVSSFGFGGTNAHVIIQKNKSEYLLG NQKYLAIDKVDKQVSKGIVFMFTGQGSQFENMGQELFDNEPVFRKSMLYCAKYADEYL PESLIDILYPGVSTPKTKAGSKDAGFCDINDIQYSQVAIFSLEYSLAKLLESRGISPD LVIGHSLGEYSAAVIVGAIELDQALKMIIHRSKLLVNSNISDGGMLALRRSASSIMEV LKEFDKNTVSLAAINGPNSVVISGRKFVLQSILQKIGGSGKFLNIENAFHSSLMQNIA NNYKDFIEEKIVFRNKNRTEIKFVSTLKGKIISHSELMNSNYWSDHIVHPVLFYDAIN HALKELDCNIILEIGPQPILTKLSIQCIPKELRTKIKIFCTMLGKPSTELEHLNLVSD QINHTINPFLNNTVANSKINKNYIFSKLRRFPWININLNPLIKFETNRKIVFQNSIEI FDNSEGLLVEFVNGGVREIFKDEIFNLMKTGRYNHCEIIIENLNFYKVQDYQFCWLEV EKKDINGFKINISLQDDLIYTSNAKISFRENESIEFQDINFENSFNSIEKLFSFDIKE NKLFQKIMSYMEELAIKLKSEISYIENTQRNSVCLILSTDDYYKSLSKSFTGYSIHPL LMQLLFEFIKLYNIEKIMNLNIDINFYETVIGELFNSSISQKYLILEINNDSAIIKDK LGKLFFTTKYTINDLNSKDNGSIEYEMEQFSIFNNINNLIWNTEIQKLFEIEMVSSEV EVNYNKDGGTLFVGSISLENNLESEQGLYSMIKSEYELIKNIKLKSWEKIIFIIENFN LSEIELMNYAVILCKECASDTKVWFVKINKFNDASLESYNKGGGILGLCKTARIELRK MINYMVIEKFEDFCINNLFIFNLVNILSKNKSLPEDIFINIFREKIQVCSKIIKPQYS IQMKNEFLNKDNQLSNDLVLISGGTGALGLIITEWLIKCRNISNIILLSRSGLPSKQC ESIWKRISMLNSSIKVMKCDVTDYDSVLSILKDIISQTIRSNSKIVGLIHASGVLSDS LIKNHSIQNLENVFGPKVFGAINLHNAIKNMLPYKLKFFIGFSSISSLFGSFGQYSYS SANSYLDSLINFRRKFEESSILDLSIQWGPWGEQGMAAELSQHHSLSGIKPIYNSEGL KCLSAIFDIIEDGNRDHILPSEIAIANINWNEFLTIFENKVPFIFSEINSKLLKSPAK LESDSKIIKDKFEGIIDIRKHIEDVLLIVAYEIFGVEEKNYDLAEIDSLMAVEFRNSV FKNLGIKLPISLMLDNPTLDEVVLYLEGEVRRELFGYNTEEIISSKSRRWQEINEDIN LDEAITVNSNSSDIIRGVRLPSGEKNKLSGVFLTGGTGFMGTCMIENLVNLNREIKIW CLVRCSDKNNGKEKLLDALYKYSIQDAELIIEKNIEIVVGDTSIPSFGIIKTEYKEIV NSVDSIIHIGAVVNFTSSYSNIKNVNVLSIVELLKLSFAPEYCGKNCTKKGKCNSCII PIHHISTLGVFYDHIENPPVGPYLSGLENTEFMKRNYFSNDGRRIFYEDDPVITNDNE GIHHKSLLLGYSQSKWAAERILRSARKRGFPIAIYRPGRIGGNSITGASNISDLPNAF VKGCIKMGTLPITEMALHLVSVDFCCQVCCFGINNPNLSINNDFNLDSEGWANMNDIK KALDLLGINLRMVEFEDWVEQLLDETSKDKNHPLTPLQHMFVKERPADSIMPYFDMKN TNNLISNSGKINHTSCDSNYLHTCFKYLLEKDWIYSIDFINKKVKETKISTKEYIFEF TNNDLTINNIELTNFMIFICDKLFILNIQEFCNMIQSKYNCKCILVTLPDSKVENVLS SLTHITLETIKENISVLNHTATSKVIIGSWSISSSLALQIFKRLKNEKSLEYDLKLLL IDPLVPIKISDSNNLKIDEISFQILKKFVSCSSLLSNSITETMIDILNNSDSILSAYN KINSYTKNESVFEFEELKNLIDRLRATFLMSIKISTFEFEVDEKVYFILSRSSIIGDV FEKNGSYAIVNAQNIYKINDIELLYLSNTNHFEIFNSLNVESLVNGLSKIFN cubi_01453 MEALQLMQIAVKMVSNISKQEKLEKLRNVMSQHDVDAYIVSSSD PHMSEYTPDKYKRREFMTDFFGSQGICVVTKSSAHLIVDGRYIVEAKKTATPEYQVHL FKRGFYLDIVDILKEENFCGELGIDIEVTSWMSFKALANYIESSGLNKDRNFTIKFLN LNLVDIIRPQEEIKPNKSEIFIHEVKYAGETSKSKVKKVLFEMKRQNAKLLFLSSLTQ ISWLLNLRGSDVHCTPVFLGYVILEILDGTDPIDGGEILFNLKVFADINCIKNCEEIL KNEFQNHISIIQIENVMDELYRLFSELNSDPNSKLSKIWLPENYCNLAIMDTLFKVLN PQENHNSSSYYKCLINYFNSSKILITSESPIIMLRAVKNEIELRGMRDCHIYDGLALT KFLYYLYKAGRDGTLFSGKVTEWDLSQKLLEFRKQQPKFVYPSFDTISSIGENGAIIH YRPEENNSSIIKPDLYLCDSGGQYYTGTTDVTRTLFLFGNGEEKPTIEQVETFTRVLI GFIRLHKSIFPVGTNAIAIDVLARASLWEAGLDYLHGTGHGVGSFLSVHEEPWSICYK VGRDGICNQNLAVGAVVSIEPGYYEEGKYGIRIENLAEIVEAEIENGYKKMNKFLKFS PLTFAPIQKEMIDVSMLSDDELDWLNWYHSRTLESLEPLVDDDPEFLRWLVQECSPIN REISKNPFPKTLYQ cubi_01454 MNDPEEFDGEYDDYIEGKLTEHSNESYEEKSDMEYCSPRFGEFN FSEEDSSTETDKEDLVTNDEDNLQFYSDTEGSNEDFDKDVKEHSKIITGQINENQINH NIQKTNTLISEYSKINLLQKETTNNEHGHNEKHLKIDSEYMQPAVFENNLNDQKKNKD QKNSNRADETQISYKELELQVKSLLEASNKHQEKVHAELDGLLMENENYKEEISRLNI ILKNNELLLSQYKLKSMALSAQLPFLKSNSFMEQDKEELYFLRNKVKILESKLREHQK ELENSDTCKEKIIFLENELERITKEFNELEIFQTEQLRIADGEIISLTEANNTLFKEM HLVMKENEGLKLTKSVIKRIETHYKNISDDIVLPNIEEELNEKIKDFIQNKDSIDDNG VSSLVFETCQTIIPRLAYEIAEEIVINLENNERPNEQLKRSFTKKNASIQVGFPLING STIDHSEIGIISSRSELISLRSENSKLKKENQKLKQDLFLLKRINHRTKDQAKINCFN RTKPKQIELINDNQEELVLNGIKWLTSVVNEIDDLEQKYSSIKACKNKPESRRSSAYN STVASFEDINLDVNERSSKDEVNLDKELIMLREKLVNEGILVGE cubi_01455 MNYGNTLLELSSDWFLSSYNDSKDDLKCAKVTCDSDPLTFLAKH NGKGKYYQLFNNTVMTFENEIVEFDNNNSLFNYSINLLYDLFLLLESKMSIYSIKVEA IKEKFMLLQNSLRRMKFLHEKIHNFIEKTVIGPDLIDKINIGELDKNYCISLKYLLDK INSIQNTDIKRTNVGRELNLLYRSLFNIAINRIRYKLTSIFSNNESVFIILQTDYSKP STYSELIKMSKIIKFLISNTTELGFFSEKYISFAAKLFSTVIKETKKLCENKDEIGVL ITSKDYDKYQSTFFEREQILNNFYLLINEPMNESFFSTTKKILRIEEIFFESQKMIYK AFISIYEHSRQLFDNSLKDVLQKIFSNHLIEVMELFQTTILKTCDTTGLSILYNIILR FKCLSKSKLSVFENAIADSNVLFEYYKMQNKAVYSSLESSAINHIYSLSSLSNRDFIK SCVSDKLSRLNPITRRISELLMVITKLLDDPNNEKIRKLVSRIQSSIINWLVSSNEFL QNEYSIGLEQGCIFIINNVDAIISVLQGKKEILLDDFQHVFCEYTQKYIEHRFIKAYS NIQKIIDSKFRLNEINVQYINEVLEHFNKSWKKNIDIEFQTILTSFSNFHTSEEILRL LGTTTAMKYSQLMNIIKNEYKMNELLEKNEVDIEVILDYIQSCLYPTGARNG cubi_01456 MGEKADEISHDMNCRDTFGSQPNEDLTPSAKEKGNEEYNNKEYE KAIENWLRAYRSCCYILSKNVYSEMPEKDREIRVMKMKLDSNLSLAYLKLQDYSNTLH YANKALEYEPNNLKALHHKSQALFELSEYKQCIECADIALKVEPNNIVFKKIRHNALL KQKRYISKSKKIVSCILFILKKMTSEKSEALFNNSKNNREFDGRPKKYLIRTLIEYFN SFFNTRIGRYFINTISKICPTRAVNYLKIGNF cubi_01457 MRFQYSLCKPLNEEVSSIKSMYNSEWNSCIDNFEDLDGYEDIYS HGVNSVFTTNQFHELTLPARTIGDEYIWVAPGVEEDAVHSSQRLFENFNTAQIFNESE YFEVPNYSSNNNREYLANSQVSNQNKYSNINQATLNVDETLPINSSCARTSSENSSVS HTSTLHGVWVVPNRNNDTNLLISRSKLENSSSDDISSSVETSNSEIRRRFHFSRQRSD IMLDGTRIKTNNNYKYKNNEISMLIASGGAPLGRLDEIGGVEWYPERQSWKVVGHTGI SWCVRRKTWRVWFITSGGTRATRSFNPKEHGTVAAALKVAIEFLENKRAEKSPNQKSL RGRARRSSLYSNNFGGSFSKSNNLSLNNTYKNSSDLIN cubi_01458 MQLLPFQKSIQNDIDNHKSCLIILSKGLGVFNVVYNYLSKIEIN AGNSIFILNLSISEIDCFRLFVQSMDQRSYDGDIDQFKSNTSLLSNKIVNINSEMNLN KRKVFYNKGGIYIITSRILLTDLLSERLNFSNIDGIILINAESLNVRNWNDAFILQLY KSKNPNGFIKGISQRPEILNQGYFGPGIAMRYLGTTDLFLYPRNNVIVEDSFKLSQKI KVIEKSVKASEYFYTIQKCIKVLLEKGLDQILKLDPNIEVTLCDLLYSSSKKLKNKIE LLTHDLWCKMTPKLRQITKDILYIRNLLELLYLLDASEFFVCLEYIQSSKLIDKSWML TKEFETLYKVSRSRIFKINERNINYSSGTESPFSLSLEVNPVHIQLIDIILNIGKELT ENDISALTQESNLCDKLLIKENEVDLDCDLNSSEKNIDLKIDGEIIDEEIDNAENDLD KIEYLQTSEKNIEVCSKILENSNLVYHLPEYRVLLIVPDEFCLTITEIEFLLLNGPQN FSAMKLIEIFQNIELNLTPNFPNIISKIIPSFGVTINSNEKFHCFNQLRLVLSKLIQN SSGTQYPFSSKDTTIKSIPNLNIYRNKGLDEIITNNRINPKIIITHPSVNVQGKFWNI LSLNSPHLIIMLDPDISLLREIELFTAISEKKTELPLQVIMITIQNSIRHEKLLNTIK NEELSWISLERHKKTLVVPLSDITEGEILTKLNFSSICQDRPENENIVQRVIVDIREF RSSLPYQLFCKGIKIIPMSLEIGDYVISRDVCIERKSLPDLINSLNNGRLFTQLQWIS KHYSVPVILIELNNLTELLNHQGIQQSFSPIKSNSKDIYLRLILLMRHFPNIKFIWSS NSSFSSLIILHIKNNREQPNLKDASALNTGIINVNYNDAPSWDKRQKY cubi_01459 MLVSCFLPPTVDELDKAMRYTVYFSNEFVKTEFVIIQCLTRTNI LNDGSSNFLQQFYSLLPTEYKKNLKKVIMFHYGVSNRALLAITSSYMSPRFMRKLEYA DSIKELHRFLPDISEEELLNRLPFIVKHDDAELLGLEAPTLLNMNLMEECICNGYNVR GYGKIPAIIVDIIQKLSKPDIAVIPNLFSLQTSADKLNSIIGEVNSGVPFRSGDSDPS ALVSVFKLLLNSMDEPLLGSEAFYSIVKQCKSSGTNELSHNFYMNFLKETISKLPDNS KIVIKFIVDFLYFVSTKSSINNMTAHRIAEILSPAFFRPINMKNITMYQYIPPCIECI TVLISDSNGIFNNILPEINLYDDHLREIKENLRKKQLEEAEEEEKEKEEDKEERAEEL EEEEEEEEEEEEEEEEDGDGNEEVEDDNEGEEND cubi_01460 MFFDFVKTWKEERKNTIGFFHPQCCNFGGGEKVLWSIIYEVLND NLKNKVVIYSSCGLNKVEIVKKVEEIFMIPLNSPEFIDRITIAELKLGFLLKLDFLKL WSVNIAAVIVSLEGLLFSWPFPKVFVETAGFPFSLISARILPTTKHISTYIHYPQVRK EIIENEKRKNILRYFYLKLFSFVYKFSIGLANKIVVNSNWTFGMLSELWGRNSVEMSV CYPPINMNYYSLNKPVDPKLRRNIIVSLSQFRAEKNHFVQIKIFSGILKRIREIKDMA GKEEKVKFEKIYKELKFKMCGTSQDTNPKYIEYLKSLRQMAIDEKLEDKLELIINSSS SELQNIMNTSRFAIHTMEDEHFGICVAEFVCSGLLTFAHKSGGPEKDILAKFKGSEVG FLESNVQEFVEDLTNAILHYEDPNIQNILNNAHESVLERYQDNNSFGKTCWKALNI cubi_01461 MSEKEIGTRDQQQNVERRKDGAYIPPHRRQQGLREDPNTNLTNL SGNQGNFYNGQRNNSGRNNIDGKRNDQGGNLGFRKHNNSFNDSSMNVDRFNALGERQS NTSNSKYQYNGALGGNSDRFGNLNEKPQERGYNKYYNRNRIGVSGTGWDVRDGRSLYR DDEDKIFSKSKEHRAGINFDAYDNIPVEMTGSDTNKIRPMQSFMELEGIHEILLDNIR RVKYERPTPVQKFSIPTVLHGRDLMACAQTGSGKTAAFLFPIVMKMLNDGPPPTPQQS SLRIKRMAYPVALVLSPTRELAIQTYEESRKFCFGTGIRTNVLYGGSEVRSQIMDLDR GSDIIVATPGRLRDLIDRGKVNLKLIKFLILDEADRMLDMGFAPQIREIVEDSDMPHS LDGRQTVMFSATFPREIQQLAKDFLHNYIFLTVGRVGATSGSIVQRVVYAEEDHKPRL LVKLLLEQGEGLTVVFVEMKRRADQIEDFLIDQNFPAVSIHGDRSQQEREHALRLFRS GQRPILVATDVAARGLDIPNITHVINLDMPCNIDDYVHRIGRTGRAGNTGLATSFVNE SNKPILRDLLAALEESGQDAPEWFQDMVKSCTASFGRYGSRFNKGGVSNSQRGSRGLH SFGSVDIRSNQGGSNYNSVNSGYSYSGNNSSSGNQGSSNEQWRNNRKGLGNHSYNGIS GNGNNASHSKVSNRDFDHDDAW cubi_01462 MDLNDITATVKTAFCNTQIAEAFAAAVVASSKGKENIIQESYDL EIQKLSNELKALKSCINDMQQSFLNKFGADSNPIETYEINDNVSEKNGGMSKEFQNRE WETMQKYIYEHFKNQTEIQTKVKEIEDYIIRGKEDFSLKKSEINSLEKNLEVSTEHIT TLAYLIENQKDRIDVLEEKIGILEGKINCLDEKFITNFKILENVSQLTDLITNTLQAQ SKSWEDFCKTSKKLSKDADYLIKKNAGTFEENSTVDELALLFKKFIDEIQHIRPVNFV PKF cubi_01463 MLSRFLKLAIIAIFTSHFPRNSCFDLNSCQNNAQRVVPVEENES RAFLLRERVGNPNPVLPNHFPNEIFYSTTDYVLKIGVKLAFYGDYISSDFNYISVKEK YGPRLINICGHFVHKNVTPKYTILVSLGGLSSHMCSQESVKFFRKFVNYVSSIYGLEM VNESQFIFLNWFNLVYNDSSTLVFTNKMIKYFFRNPNKKDVIVPAVSSAAFINKLLRI GVIPSLSNTGAYGLCIGALNIAIASLLIKEDIKVVVLNAPSFFKKDYLEGVVSNTLKR ILTTKYFLLCGKRDRLFHYKRHGKGLSKFLLNYSDYVRYIKLDADHEELINNYIYTGI RIIATALLNKEYTISYITTKEYSKIPKSFSETQWFRYVMYSPKKDERLM cubi_01464 MGIVVLLLIIFEQLFMRTYGGLNLPDETVQIINSDFNYLRNIGY LQPLRLGSFGHLSRSKTPKYTVIFMHGFVVDSSSLYQLTSKFFVRMVRHLDHYDQGYS NNVQLIAPNWHKEFIPFTERMPDVTLTTFKAGRPSADQYILQVVSLIAFINSLVRQGI ISSLENTGIHGNCLGGIIGIAASLGMKSSIGAVATCNSALLHPDLIRRKILRKSALKT SFLLIQGDEDKIIHHKFASVTESVLKEWGVKSVLLHRTRGGHFPVMAKHLYTGFRFIA SVLLKRPEVFRLEDSYDTELIAKTKKRVDNTTEIVPIIPNIIPNDSIKSFNNTI cubi_01465 MIVQGYYRQLIPGVMRSVAKVAFFSSMTSITKKRPVYFDYQATT PVDPRVLDKMMPFFTEKFGNSHSRTHGYGWEAEDAVESARTNIANLIKCQPKEIIFTS GATESNNTIIRGVCDVYGDIKSKKNHIITTQIEHKCVLSTLRELELKGFRVTYLKVNN KGLISLEELEKSIIPGETILVSIMHVNNEIGVIQPMNLIGELCKKHNVLFHSDVAQGL GKINIDVDKWNADFLSLSAHKVYGPKGIGAFYIRSKPRRRIKPLIFGGGQERGMRSGT IPVPLAVGFGEACKIASSEMNLDSIHVKSLYDKLYKGITTQLPDVELNGCSINRMFGN LNLSFAGVEGESLMMKLYSMALSSGSACTSSSLEPSYVLRAIGVGEDVAHTSIRFGLG RFTRHEDVDKAVKEIVESVTLLRKMSPLWDIDTGTRVKNEESLKWT cubi_01466 MKIFIFNSSGIKIFNILFLLLLINYIVPEVNGKSAYTDIIEDDY SYLVRSKINKVERPIDILLHLSRSKTPKYTVVLYNVYYTIKVIKKLSTSMYVHLVRHL DHYDREFSDNVQFIIPNFYPNVGSIISSLGRKARASLISGTLPEEKYIQTAVASISFI NNAIRRGVIPSHQTTAFYGNCVGGLIASATSVALKESIAAVVLNGSSLFMPDLVRRRL ARKTALKYVKYLLIHSYNDNDIPYIHAENTNNALTSWGADSTIYSVENISHLNTMIKH KYTGLRFIASVILKRPEIYRPLDTENQELIQFTKRRMNPEDIEPIVPPRNSTISSDDN DVFKNNTIKFSHSNFIIKDKGHALIVVDQSAK cubi_01467 MKEVNDMLLKYVIGILLVVFFGLWILSICFRGETKKQTHKKNIR KQPTRSASLTKENLASSNSKDAVVTRRATRSSTKQKTPSRKVTSEVTPDKEKKSRKTS HNTEPTRRTTRNSSKVANAKPTEASTGTASKKRVTRSSSKRS cubi_01468 MQIFFRYGLGNTGSLEVAPTMSVEELRHMISELSGIPIDSQCIS YGFGTLDLFETLEQAGISDYSTLYVSEAVLGGAKKKKKNFTKPKKIKHKKKKVKLAVL KYYKVDGDKVVKLRRECPAETCGAGVFMAQHFNRTSCGRCGLTYFPTKGDECKN cubi_01469 MKYLGSFLFAFGTLVSVSKVNAQHGQHLHGHGHHVIPTNVNYYE SNTDVLAPIIECPQGYSISGKQCTRTLFVPSSVDCPKKYELREGQCVRKEYSSAVLVC EPGFELINGRCITRQSVQAERICPHGFALAGEECISMKEFAADYRCPPGFQRKHQECV RDISTEPLESCPPGFEPVDRHGRMVQCTRTETVDVIFSCKQGYELRGDICVIVDIVRP NLKCEKGFQLKDGVCNRLLQVDSLSQCPPGSIPRGHKCIVVTNVPIIPTCPSDFELDS TGTRCIRMEERPIIQECPQGFKLESGQCVSISNVEPEVSCPPGSTPHGHHNKCFNIIT EQPMMQCPHGYIESGNGECISEKMKPASVDCPIGFSRQGSQCVKMISESPSKSCPSGI LKNHRCVSFETAPMIGFCPDGSEVPPSGLCTATTGKPFSLKCPHGYNLENGQCIQEIV AKTSYMCPSGTSDGHGKCVSLAATPALPVCPHGMVLTPDGSQCAKTEHTKISLSCPSG YHFNHGRCESKVHEKHHGKNTRTANNHY cubi_01470 MGQVPLIAAKSTLFMYSGIRSIVVGNKEKVVPQRPPDLDIIASG ERDRISDDWRWLALKSEKRLNSMRKMNSSSEQLKQKLGSTFDINQFSCFVPRTVLEAI ADKRISYADEFDVIIESFKGAVMFCDASGFTALTAALDKQLNGAERLGECINNFFTPL IQIIHYWGGDIIKFSGDAICVVWPVDDESINLNNGGVGVISESSSSSPQVNAPQSNTQ LNKLTRDLNKFVNKSKINDDNSPSTAEKKWNREEQLEPEEPPSQSNTNTAETYSVDMF HACRLACECCMDIHRTLHNFPTPIPGRHLTLHIGVGYGRTTILQVGGVMDRWEYVVGG PPFEEIAIAEPLAKSGETVISPSVYSILAKHVSVESCEGNPDFKKLNSILLPRPPPPP PLASIHIHDEDVDLLRRYIPPPVYRCLSSGYNVFLNEVRRLTCIFVSVKGLDISTHYG SKTAHQLMQLVQKAAYTMEGSVNKFLVDDKGVLLLIIFGLPPVYHLDDPLRAVFAGLR ILDSIRFMGLSSSIGIGTGRVWIGTVGCEIRKEYTALGDTVNLSARLMGRAGENEILC DLNTYEACNHAMQFVQKESFYPKGKDVSISTFSPTGILTKNRLHGNAFGKAETSDLTD SNLPDNKDHLNAKDGVSVDNLLLTENSNTQNTDINPNIPNLQSIRTWRSWRPLKKLKK FFKPRYSYGLPDMNGPNFRVIPDYTMENMPENRFLGPLMPWEYYEPWDPILRPTLDLG GVMTIYGKENCGIDEIIQNIVYLGERLERKVFLCSNMPDTPFINIGNVPLLPWKTLCT DIVESWRLTKSREKKGFSNIDRDNSIYGLAKELTHPSFHWSLHKLKPVLQGLVLPSEL SENKAFFKMGKYKNKESKRMKNIFRNPLLFVQNLNGQSESLDKELNDRGFENDENLNK ETLQNELDDTTSSEEELSDEDDEKGLGPIITSLVNGFSMYENSIICLHVKSGTCFYAG MEQESWKIAKMIARIAMIRRKRKVEHDFKELKRWRRKHSRICLFCKGFKRPIQHISKD TLYLYKTTKCTPPTFKSSPPLLFILICSSNTDSIEEQKEIIQWAKECNAFIEVPKLTL NETSKFIGHQLGVNTQVPFQLVEYVHRASGGIPEHIKRTLLQLLSHRAISISTQDDQQ VDDPERKDSLILDSDKSINNPDYLSNNIISRNASICSNTVSGIDSSIGNQFDFGAISS IVSGYSLKNIQGELGFNSSVYSSSDESSSSGSSSSDDSKGWSDSSIKRKIKVPRFRTP GPRELLSSDGVPIVGNRQANWSPNNDLSGSRHRLSFTKLDQSSYLCKNSEDNKRYIKV TGQLRKIPFAPEIVADCMSKLELLEPDEQMAAKIASAFTFAFTASELHEVYPQKKSPL EFLKMIKAMVNKDVFEVCDTINFGVDRSRRGSINSDHTSKHNTLYENDQDKNLNVYED EVYKDISTSTPVMKDISDYLLNMNLPDFSKSNKDSTDAADPYPIETSEIKNERNETNN DQPVNINIIITEDNQSVADEHEFPKLQNCDILPYYIEYYRFQSVAFQRVVSESLLSDE RKRLARISRKTLSRRLSKLSPSVRSASPQLPSSPTDSSSNKFADNNEANKVSTRYLST IPNNISVNNSIPTNLDALLEDEK cubi_01471 MIVSDSKINNSLGEKSPKVEIELESSSSEIKGGLNRDLEQGILS NKCEHSNDITKKCSKSDSPKKNKIFSKIKLSNNSNIFLTLYAIVGYFFTSIAIIYYNH WLFDKVAPYPVFATWVQQIVGVFCFGILSLSRRTPNMSKVNKNSSGEASRDNTNARTE NETTQANVVSTNCTSNENISAKELEAGSNGSIPIEISFQENSLIEETSLPENSATNAP QNIEVEENVGDEKKKQKESCPQFSAEMKKLLKILPMSICFVGLVAFGNICLKYVQVST YQLARSGSLIFTVIVSYFMLGQKQTWQSILACIVVCAGFLIGSLDRSTLSAMGIITGL ASSFCQVFYNVFMKKCMNYFNGDAVQLMKYNQCISTILLIPCIFLAQEMNLILNSAAF DIDKPEFFRLWVLLILCGLLSMLLNYFTFLVVGYTSPVTFNVLGMFKSCAQTAGGFII FHDHASVHTITGICLTLAGSIWYGFAKSLNCNFGSKSKVQSEVSSENGMVIFKSEFEQ KVSILAKELSEVEELCYSSDVNHENKKCNYEGFGDLEHINSYSGTEMMIISSKIRKCE CQTDKEGLEIKCDCQNRQKEVDSDTNKENGSDGKITGSVSLNSCISNGNKESQYTGNT LADKTLEQKDLERLFEKFANISREDSTDIRSSMSKISVSMPASIYASPLAESRQISAD RISNNRGVETSNDNISLDVSIMVESEREATVQNDDQRSSGQNNEESDEEKSTITTGTN KNIRAEV cubi_01472 MLKVILAVLIGILLRMLIGFSEYSGQLDPPKFGDFEAQRHWIEI TTNLPVNMWYVDNQHNNLSYWPLDYPPLTAYHSMLCGKIAGFLGFSEFFELDKSKGIE NETLKWFMRGTVLVSDILIFFSAFVFYWKILNPISNKSYSSKYLLVTLISAPYIFVDH SHFQYNCVAIGLVVWSINFLYCGYHVLSIFSIICAVFFKQTMLYFIPAFAFAYISIIL NTGGLLMKIKKVIYFGLSGILVSISILYPFILDNIKNPDHYKNVLYNTRLDSLLMKYK VDFLAPIIKRVIPIWRGAWENHVASFWFANIFIFNLKKWAMINEDNLNIALKICTTST FLGFIPACLTLLRNPTRKRFEVALLASSLSFFLFSWQVHEKSIILPLTPALLLMDVFP WISLNFIIISSFSLVTLSILDKTVSYLIIFGLFSIIISFYVLYDEIERPNGTTGLIYN RTPLILSILFSCMLICQYFIPPPIRYPWLHEFLNALVCAWTLFSILIICTIKGISFGK ENNRKGSQNKDINLIYKDKN cubi_01473 MALRVAYESSSEIGVFANLTNRYCLLAHGSSAAFTSVFEAELMD HIPIINTLIGGTRLVGRCTVGNRNGLLVSNMATDQELQHLRNSLPDNVKVQRIEERLS ALGNCIACNDYVALIHTDMDKESEEIIQDVLGVEVFRTTIAGHVLVGSYAKFTNQGGI VHTLASEDEMNELSALLQIPITSGTVNRGSDVVSAGSVVNDWAGFVGMETTAAEMAVI ERIFKLGTYSSVNNPDEVRERDIKLKSSIIDTLA cubi_01474 MELNNADLTNSSRVYELTGINGECLGVCFTNDGNSILVCGNDPR ILLFQNYESSKNAITIQGHNKAVLEVSLNKQHGLQFSSCSADKTVRYWDIETMECISK FKGHTKIVNSCKCNNTLVISGSDDGQVCIWDTRINPNRACIYKYENKYPITAVSCEGE WGRIFAGGIDNNIYMIDMRISIANTFSTLNDTITGLDISPDNSSLVSNSMDSQVVIWD IRPHSDLQDRKVGTLIGAKHNFEMNLHRARWSFDGDLLAAASSDTMIYIWSVKHKKLI NKLVGHKGATIDISFHPSLPIIASAGSDGRVIVGEFI cubi_01475 MSASFCSKYADSDNNIGLEEGWTQIKKEAIEPLEIFLLNRTQIN EKAKNLFTAQEYSRIYTLIYNMCTQSPRNWSRQLFTKYSETIENFLRENVMKTLKASK GPKLLFEFRLAWSNHLIYTHWMERFFGYLNKYHVKIAGEGSLMLKGITIFYETVYLEL KESISFSFINSIQEYRLGTEDIDSELLKGVVNICLEMGEKSKIPEIYENNIEKVVINH LSSHYGSLASKWVRHDNLLEYLSRVDGIINFENKLCEMCLIGSTWKKIKKSLTQILLA DEMEVILSNSNSIKNMFVNNEFEQLKLLFRLFSTTHHGIQALNMQFKSYLTECGQLIV NRFSEPIHWAEDSENENEENSELSQSMQNLTTCWPWIFGEPITVPFVNITCGILFVQT IISLYDHSRYLLEDCFNNDVEVQKTIRESFEAIVNLEVGCQNQAKLVCYYCDFLLKDS YFEGDKGTNDGHLNDKFAVLSSKLVDIFSYIHFQDYFLQIYKFLLAKRLLQYHLSLEK NEQHIISLLKNKCGAGFTSKLEGMILDIQMSQDLNIKFKEYLRYIKNGEMDDYEFEIK DNQVYDLNLQIVKTQTQAKIDFTVNVLTCSNWPTLDSSDINLPVNLKNCINNFEKFYS LETSHRKLSWIYWYGQCVLDYRLPTPDGAIKCFEIYCNTYQACILLQFNNLISLSLIE LQNLLNTEKSIILKHIKPLYSDVKILKIVNEVQTSSDDLLFELNLEFVSNDNISPIMV KLPHQTEKTQKNRTEYDKSHAIEAAIVRIMKVKGEMTRNDIISHVSSQFCGYKPSEGL IIDKIKYLIEREYLENHQDDPEKLLYLA cubi_01476 MAIGKNKRISKGRKGGRKKIIDPLSRKEFYDLRAPSTFKVRNFG TTIASKNQGTKLAVDALRHRVYEVSLADLNNDEDQAFRKIKLQCEDIQGRTCLTEFHG MDMTRDKLCSLIRKYQTLIEAHCNVKTSDGYVLRLFCIAFTRRMADQVKSTCYAQTSQ IRQIRKKMVEIITAEAEGTTLRDLVKKFIPESIGKEIEKACRHIFPLQHVFIRKVKVL SKPSFDVSRLMESHIGADGVEEKGTKVVSESNQATNLLTAEMKA cubi_01477 MGEPAEDKPHNLPNNLLLNDNLKLDKFDFEATRFKRKQDLYLNS YDRLWGEKVTYSVGLSYGTGFFLGSTYGLVNAMKKPALTPKLKINSILNECASNSAKV ANPLSIITLFYCGFYRATKAIRKKDDSLNSLISGALSGALYKSASSTRVMGRYTLISA GKLGLKKKTFRQFVNLKKI cubi_01478 MKNKTTELSESDATKAAEKTDENLESVSFASLGVCKELCIACDS LGWKNPTEIQKKVIPIALEGRDIIGLAETGSGKTGAFIIPILQRLLDDQVPMYAVVLA PTRELCVQISEQFSAFGSLISLKIATLVGGLDMVTQSLSLAKKPHIIVASPGRLVDHL ENTKGFNIGGIKFLVMDEADRLLSMDFEIALNKIVESSPRNRTTYLFSATMTTKVAKL QKISLSNPVKICVNTKYDTAVNLMQYYMFIPFKYKWSYFIGLLQNLGQYTGIIFCNTC INCRRGDMLAKELGFNSISLHGRMSQSQRLSALNLFKGKQKRLLFTTEVGSRGLDIPH VDFVINFDVPTSSKDYVHRVGRTARAGRSGRAVSIVTQYDVETFQRIEFALNKKLDEY TSLQKEEVMAIHAKVVDSLRTVDAELNESNEKTALVGHRKLKNRNKKK cubi_01479 MTEESNIDSQTIKKDNPSEGPLSLLTECVKTNTQILVNCRNNRK ILGRVKAFDRHCNLLLTDAREIWTESVKSGKKGSAKYINKDRFISKLFVRGDSVILIL KSPN cubi_01480 MGTEKDVLEEPAEFLDKTQNLLINILNDLRETVNIISKVDPKRQ SELESHIISYYKNVNDLSNVLNAKINTMYDGFEFQVNSTIERLMVENLQSFESETEID SNNKEDQGYSNLTLK cubi_01481 MEDVNMKDEIEHGNITNNLASKNEMLSNLEGPNLTFQIHEEDHT LGNALRHLAMLNPNTTFAGYAIPHPSISTMNLRIETLSNVEVDNKNCFLDLKTVPNSS LDRKNNTKKKNDKVGATQVLLKACDDLNSICNKIEDKFDKAVQIYLSSN cubi_01482 MDRLLNQITIASIPETIECDLLKKKDGKFFILESKNDISSAKEL FKGLRNGLKSNCSIQNHSNLENKLKDLVLRISSDPDFTLNDNVDDDVTCLSVQTKEDI MQILEKSRQLNKENIQIIDGMEILLSGQGGRPFAKLDKDFNVKKEIREFEPERRIQIL HELDKLLISTLKCTAEVDRLNQINANSNINHCPNSSVSKSIKEMKDSITNLYINSFNG THDPYE cubi_01483 MARTKQTARKSTGGKAPRKQLASKGARKSAPVTGGVKKPRRYRP GTVALREIRRYQRSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLV GLFEDTNLCAIHAHRVTIMPKDVQLARRIRGER cubi_01484 MNLKLVHFLLLFYSFVLGSDLNETFQEEIKKSLKRQQMVRRQVA FERSKLIHEIDLAICKSEQFNFIKCKYDDSGVLKSFGGMFGVGVVATLYPMNSNFRIY KNCTLNPDLTYQCYEKDLIKDSEAISIFIKRENSKLSTQYKYAYLDKLPIILQNRSSS EFNIGFLMEKFMTTYISGKKVSNNFISPNVYTVTSKWGAPIHEFDRYIFMQYLEGVTL SEVLRRLRQSEIFHMKNSFRKVLRAYSNLVAARNSRYKNSEAIKRREMLLRISINNSA GEILGRFRYRLSLLDHTLNFLQRVWEQNIYHCDLCHPNIMIMEEYLSDPLEITKIIKD GQGFLIDDDEYINMMEVNSLPLEITKRLNEKMEQTIQNNNGNAPSSKYVNSDNQQHLS IEYNPNKPTSFDDSFCDPKSCKHNVNFDTSINTSNDGINNDSSKNSGSGAEQPTPKSK QGLRVIRFKLENFRIIDFSFTLDMTKEINSRKGVDKYLIETPCRIYRPDRREDIIELR RFVDETAYYASKSETNVLADTWSTVIEELINENSSISDHVDNFLTFDKSVATQAKQFL TAIQVLQRFFKELRNNIYGPEWSGCWDNLNEWKRLKLVHKYNQMEREHLKLQSSCTIK DMTIAIHKAREQLHHHVARFKQGLFGSTLLDATSTMAQYYSVSVAPQYIESKLKEMID LGLYKLS cubi_01485 MVAFKYRMKRLRTIANEEAQGELPLKSKVNERYITDREEDSKLG EKSSDSPDEDYSQNSQSTIESKNIEENMLEMSLLRRFDDFIEIMTHLKLDIKPGSFRD DENLLNYCFLISKPYKNNSIGFTFHVAMENIVSGRPRVLSQSMSMAIEYICCTKFPLK LLHGEVSAINSPFKVNDMQDEKSLFKISHLPLLKTVKEQEYSSGLFIVLDMDETLVHC TNEMLKGIKPDLLVNIAAYSSPWFVYYRPFLKFFLKNASKLGKICVFTASTREYAEQV INSIDPTHDLIKYKLFREHCTVYNKGYMKDLRIIQGVDLKRTVLVDNSLISNTLQLDN AIPVDSWYGCTKDNELLRLLVLLNRIQLLNDVRPYLANRYGIREWINLNRTKDNLMPI cubi_01486 MVGMNEPCISSEPYLNKKFSEFICEGKVKIHKMNDDVFYNPAQV FNRDLSLIAIKSFLALRRKNLNEKYFKRLKFAIKNDGDKSNEQNNNTCSSNINGNADL ISGKNGSSRFPITVLEPLGASGLRSIRYIKELATEIDHVVCGDIDPVAVERMQQNFEL NSIPPEKYSCICADARKLLSLSTPSLIRQIKSPNQLSVENIINSLLGGGKKISSSSDP VNSGFTIVDIDPYGTCAPFIDGTVHACEEEGLACFTATDMPVLCGNVPEVTFYKYGGN ALKKSYGHEMSLRLLLNTIITTAAKYQKSIIPLLSVSVDFYVRVFVKVKTSAIRCKDI SSTTGFVLQCTNCPSFHVINFGDKVTSNKKRLRETKKSQKRNRSEQLTGDFGHEDEKP HLNTSENEESRENNFQDKKAQNYNKFKYKAGQLNINLYKDSLEDLKCKECSSGKYCIG GPIYTGNLCDDDFLVEMLNECKKIEEFSINNCNHSDNNTEDISADHDILDGVTMNKKI KGLLTAIRDELKDCVLHYHIPSLCNFLGIEMIRPLLFHSALRHLGYKSSHFHRDPLSL KTNAPNKVVMDILRSWALLNPSKNKKNNSFLDVQVTTEGIKFDIHPDVLLESKASYGP RWLPNPEANWGPISRPKNAGQN cubi_01487 MIIPVRCFTCGKVIGNLWQPWLVLLQNNVPEGEALDKLGLRRYC CRRMILTHADLIEKLMAYNIHERKTMMN cubi_01488 MSVIGLDIGTINAVVATINRGAVTIVRNELSERTTPILVGYTDT ERLIGEPALTKMKSNYKNTCRYMKPLLGMLPNNVTEIEKMYSLAEITTCENGNIGFKV RYKGNQQVVSLTSVYASFLKRLKENTEKSTGQSVRDLVISIPGYYDNVARQNVLDALH IAGINCLRLMNEESAVALDYGIFRSNNFAENENVIVAFISCGAGYFFVSIVRFTKGKF DILSTIYENRISGRLMDYAIMEFAARDFNQKYKTDLLKDPKARLKLEDSATKCKKILS ANQEAAFVTECVDGENDISMMIERSTFEELCSNMSSYIPSMVDCAIKQAGIKVEDISS IEIIGGCSRIPWVQRAIGAAFNNKELCKTLNADETVARGCALQAAMLSPVIKVREFNM TERFAYEVLLFWQNSPGSSDFKSATLFSLGSDLNVLKNSTFSKTEPFEIALRYAPNPH SNNLDLGRYFVDLPSQQDSKVKLYIRLDRNGIVRLEKVEQIKEEVVMENVPATTPAAV NPEDLDSNVTQSADSKPEEQPAAPQPTMKTRIKRTNVQFKQIGELPGYLNEDSKTKFK DGEARMSTEDLLVHLTREKLNELESYVFDMRSKISPGGNLNQYAEKQSIEAFLGLLVQ AENWIYDNYESTKEIFEKKLEELKVHGNPIEMRYQESVQLEEVRSYMNSMFEHFRNIC TNAEMEFTSESKQQVMEGLAKRYQEFSEAIRKNFEERAKFENLIVSIDSLKEMCDETK KYCNDNLIPVPKVTEPTNSQDNNMEDSQQCPESGNNGDVQMEGDNNCTQGATTASEVS QESDMKLD cubi_01489 MSYSANLISKDTIPETSNIKISDLAKDPMLVYSASGKLVSQNIP DSPPTMFISANSSPGCNIVNERDDSYSNLSNFYSIFSKISEEMKNKEMISNNNLPNGG SISNNIGLGVGFPIYNPTPGAQLLPPSLVNNSQGNNSNSFNSFSVDEGSLKSKLDHSN SPYPYIGSQIEWNNTMNAYYQQLALYNQMAMAMYSPILSGGYGIPGTTAGCFNPLFRQ MYPGWQNNNSTITGPGTGSGSGSGSGSGSGSGSGSGTGVIVTPFNLFELQTGASQVPN MVSLASLSQFSCNGGTSNTNSTPTTNVIGSSGNHLGMQPVNLTGGVANNNISVNSSPV HSSQSIPSSILSPINRSQSSNSRNSLNNYDAGFEISKSLGDWVSKIGIRQENRKVDKA RKSIKSNVGGRRKIDKSSAICIVCGTTQTSQWRFLNLGELYNNSNKDENSNLMKQESN DALNIHSDQLSSTPETNSLSTVPPVTYIEKQICCNACYMKYDRNRPRYRNGKKVPPPL PPYLYSQNQYITTAKADGVDNHSKYKQEVSYTHSE cubi_01490 MSNERSDQTSGREHHARFAEEEVHEQRYVVPSLQIPEDSSTSGA AEAEIPLVKLVETVVPDVEVKEVVRTVPRIVPEFREKVVEVPVIKTVEKIIEDPVVRY NVKYVPKEEIVEKVVTKPVVEYKTVEKIVEKVTYVEEPEKPFINAPESLPIFPPNPVE IDENTVVNITRVAVGDAVPSLIELPVPYMVPKPFVVPVHVPVLEFRDHFVPIPVRKRV VPKFKMTEEVYEVECVREVPYFVYEDIIKPVPVDVEFGKREREMDVHLMNPAELSQAD FHAMWMRVNADLLEERRILVGEMEIDRMITPYREAMDGIDSNQEPSLERMQSFERQMN LQRQLWDPLPMSPGNPLMMTYLQNQWILTPTIQTQEMYTQEFFMLQQQAVYNLVTGAP QQVNLAPHQISLCGCEAAAGVVDIESLPSGAQNLIRGLESKEVVNVDTLGPMDQTPIV TYPVQQCIPMAVLGAAPLSMPKDIVPIKPEAIEASHQDGFSITSMCCNASENPKEETN SENLDQ cubi_01491 MSGKNNNGGNTDRDSDLGSNEYQSTKKVESNIVEVPELHFHEKY SAIDIPVVQEKIRYETKEVIQEREVHVVKPVVKEKIVEVPKYKVVEKVVEVPQVIVQE KLIEVERVEVTTKSRNPSRPISPVEKKQLDIVTQYRQVPKPVEVPIAFYSAIPVPILI DRAVPVPMELQIIQDILCPKIEAIYKDIPIPVPVKRTIEKPVPIGLYDQPELLQKYLH DPNEPLPNPVATLEHMAQQASMAAALNQQNMMQMMQQQNSVQEPQE cubi_01492 MSEFEERVTRILTEKYGKLQSLGPLIYGTAGFRMDYYENPCRAE QVAMICSLIACLRSILEQNWVGVMITASHNPIQDNGVKIVDVTGSMLNKEFEQICFNV VNHEDGSSSVKVLTHYFKEKLGLNSDELNNIELSKAKLIVGYDTRPSSKCILESIERV ASEFKISKFLNFGFTTTPQLHFMVAFANGLIMDKVPTELRKDLVLENLIEKLENCNKL NKSDIVSSLFELYFAYHEYYFKQLVDLIQSDDHSFIFVQNSHRFFRAKPDNVENYKIN DKGALVIDVANGVGKYHVNKISEILSYAGVSLKVINRDEPEKLNDGCGAEHIQKNILP PVGFYSHQDYDSESVDYVAAFDGDADRLVYFAPDHFHDTDKKSGIFLIDGDRISACYA LVIVTLLNQSIRGFKDETYITPTLSIGVVQTAYANGASTKYLNSLLSTLNPKYFRFSM NCVPTGVKHLHRKAEEYDIAIYFEANGHGTVIHKQEKLKSWVEKISVIGINNNSYKLL IYFLNLFNPVIGDAISDMLAFEIAREFVQARFGCKFSMCLYDDLKVIQDKVYLKRCDL ETLICDKETEKFLVEPKAIQKGIDNYISNLNDNYSRAFIRPSGTEEVARIYVESPTLK KAQDIMKYIKDLIKEYFSKK cubi_01493 MEDESAQQAIIEEPIEETSEIKCTEEINNLELTENYAESVDRFQ KGQGTGELVNSKTLSQENKILNAMENNTINGEIYVNVKNEDGVYREKVDPRNFYEMIS YGLYRSNGIEKDYPIDLCLDIEEDIDNILPFLQKSIINKRIR cubi_01494 MEIEEKYEKARRITQFAKWSDNADNLTGLPVLDNKRKGWHIPAN VIKKKARQDLEGENTDKNGENKQIFSKTELRRLKEIKKKESMKLKKNRQSNKVNYEDN NYNGEIKISIKRPRDIARICDMVMSDPEKNIELLVLLLEYCERNWNSSKKEMKGNNLD NNAINFPIELSVISLVLVVKDLVPGYKISNQIIDLEGTGSESTSGKVILSKETQRIHS FERKILNIYKRTCLLLKKVLGFGGKISTIITKSKSAILRSTLDLLDATYHFNHHIILL RIIILFLMSGDDKDLQTSSCLSYCSEGLTNVLTNDTSLETGVEAVNIIHEVLFNRKSK KNSVSLFTLSKWVLLPFTKYTPWHRIEESKSEFRRIFGPNTNTISKELIDEIQKTSAT RVKVEILIEREEQILKHLFAFYSKVLLLDLDELEHVYLGISHYSNRINPKIRSELIEL IKKKLYKSDTLKWKSFVAVLKCCFSLLQRGNQLNEQQLEDNSWILRYLVLRLEKEHIK MKSILNSPKNINSSYLNEMGIAFQDKDLPTIILNTSIFSSSNSSYLYRLIFVITQISL LLSKSGQDDSSFFLIKLCGQLIIKYPKLKVLLDPEGISCNDFPIDANSILSAWQYQEI SLYYILNEIIECHKSSSKLKMACNMLLSESADNTIQKNAVISIKKHFGKNRFNCDNFE SSKKTIEELKPLYYILKLVNM cubi_01495 MNNEYTDDGYMENEYDKYVEYADTEQYESPNQSQYYDYGTDSQY QYQEQEQSNLEAIRTRLAMALSSKSAFLQSAKSSFVQFDEEKKGRLNYAETRRLLERL AINLELPPIDDKMLKTIFGKYDVAKKGYLNFEQFAKFFWHLLNSIREKYYPEKSILVT RDQFVRRTSLKRADDIESIFSFDKKIGEGSFGKVFLVKERCSGLTRVCKMINRSLSNM TLEQIEAEVAVLKSLDHPNIIKIFEVYEDNENMYIIMENCGGGELFERIHEAVSKGFR LSERYVAHVMRQIMGALAYFHSRNIVHKDLKPENILMQEKSHHSSIKIIDFGLAEIFK SVDDHSSHAAGTVLYMAPEVFLRDITTECDVWSAGVVMYFLLAGTLPFTGKSIKEVRN NVLNTEPKYDEDCVHITPEGINLLQLMLQKDPAQRPTAREVLSHPWFRYSKSNNEPIC INNRLLHNLKSYMKQNQLKHALVNMMAHQLNVTGPQIKQITKTFKLLDQDGNGVLTPE ELVSGLQSAGVPQWDINRIVQSMDVDDTGFISYTEFLAACYEWRDSELGAIKAAFNKM DIDGDGKLSVDEFEKVLCSGDQKLLAHKDWDGIIKAADTNGDGVVDWNEFLNYMIN cubi_01496 MGKLYHPSSLKADHIERSASMVQELIEHEYVSGYLKKWSPVIGR GWQSRYFALLEQDRTLVYWAKKPSFRDEQPRGSINLKIVENVFADGPLGITLSTPHRD YQLKASTPTEKDKWMDAIILWTLKSRKYRSKAEDSKNFRFSNMLIEATQSCLHYIVES FLKPFKKSATRAIEVGPETLSNSNKKKFLKDRGLYVVICKAWMVSRELKGDRAGSKRK GNLNYLRDGLETRAISTLSIDKEYQFNERLMQKEFENSHHHDSHQIQQLCEDTPFGNI SQSHPRFGAASPTLSMEGSENSQDSEVFCSLTEIEDVSMGCETDEILIPFDSALCIYD HDCPPTIEEDEEYVRNKQHKYSLQLSELMLNQLSSLSKEAFLDNCVFGLVYIKRSNPI IPSLQSNDIFWALMISSRSLSDSHQIYPSPLLSEKTILGDCLDLYREVRMSSKNSTSS CSKYSCTQNQSQSQSNRDSIPVLEVIKKSHRSKCSMDGIIDMNDSDIKNKVKSIDHKT QIDNTLFSPIGNNFLSNLTLNIGSGGVHIGINNQAIDPYGFLLDTLYLYYPRNESKNP VHMLDPGLILSVGPISESIHGFSFTVSYLGASVIEDYIVSDLEFNVDFPSDIPSSIGT DYIQSTEKRPITLEICTRSWRDAKAWRYSLLVARKSKMADKSHKKTATKNYHDNVITC GMSKCMHADEAFARVSNYHCFDSCPTLTTTASSSARTSHGYHLANYRRNISNFALHR cubi_01497 MATNNRQPIDTTIRAPISCDVLSQAFDTSILYEAVKAPEGISKD EWAAHKVMDLFKDAQMAWGFVSSVCHCPLMRAHFMVFKWQEDPKKAAMPLPATVYIKS LFLWVDSQISDTKIFPLKPGKFKFNLETLFLLTFLYQGVPFADDFQLIVKNLLRRLFR VYSHIYCHHWPHVESISAAAHVNYCLKHFVYTVLLNKLLECNELKPLEELASYIMEEG ESFGRNSVGLANKIQEKNSNGECQKSQVNPILVRTYISSPETHIPSTNSLTADSPISE DRIEISHTVPNSGEDPPITKSIKPNIPSYQQAITKAQAVGRVQSTCSDSMLITTSKSD ADKKDNSIHSRKIKTYPWTEFAKKLILCTKRKKKDGKLFSSGFLTPKATSENL cubi_01498 MATKSKVRKHVEVGEDELPSKFTPSKTKSEMYEKQEALINVEGE FAEDIDDEIESEEEVIRGETEDGSEIVDGIDEDVEIEEHYWLPGQGDENQELVYEPKA YKMYHKCLVEWSCLTLDILPDKLGDNRTQFPHTCYVVAGTQANMEDNNHILLMKWSRM HKTRRDRDDNDNSDFEFSDSDDSDDENFADEDPIVNVEAISHKGTINRIRVCPQLPNL VSTWSELGKVSMWDISESINSLNTDQGNSKALKPSNLAKKSTIKPRYTYDGHLDEGFS MDWNPNKTAQFASGDRKGNICFWQPVQGGTWSVNPIHGNFQSSVEAIQWKRDNNSSSI FAAGLVNSNICIVDIRGESDQLTIYNSHNGDVNCISWNPFCENLLLSGSDDATIKLWD IRSTKDPLETFTFHREPILSVDWHHQDQDVFLAASLDNSISFWDIAIDDEGIEEDTSD SKTNTTLSSIPNIPKKLLFLHMGQEHIAEAKWHKQIPSLTISTAQDSFNVFIPSNL cubi_01499 MVSDGSSYYSEDSERSLTSDEENYSEGSWEDEEDEEYIYNSREV FGLKLIADEKSGIKPLDNGEFQVDGSDGVTRWIRVMIDSSIQTEHRKVVEEVLNKVFK GSEFSSGNFNLEYPAKVKVTNKKTGIEEYLILDFQLPRGDEILLAKIPSESKPVVNSL MSHLYIYKHNEGGLQFKNYHKDQKVDDCFGFKTWHKYHHK cubi_01500 MNNKARDNVNNRPVKITVPDSSLRRTDVEVRELVISLKERIFLD HELEQLLFSICKDIPRNDNPTEDASHFRLQFMMEPSKYGGAFNDCCEWLGPINKFNYC PAIKVHLPNTYEPWITYASNVLAYLFGKIPGCDSPSSFLKMSAIKANEPFQMSCGNRK CIRISHIVYKEGMKGYSVSERVNPYPDYVDIDEAMKPSMEAIDMNGVNGSYIQNDQNT YQGSSPQDSVVAQLHY cubi_01501 MALKGVRQFSHLILEENEEYITDVSCICKSKSIHRLFGNAFKGR IRIGSKSIIIEPDDSTISMIKILFSQIIDIKLDSTHSKMIVFSKVVRLVDVQVIDGKS RSVTPNQVLSSKDSESCTSNKGGFEFEITIKNEIGQYIMNLSNELWMEHSKKHGSINK LEDLVGKWISFLYNKEILNVEYEFLLDHRDQFLISKPLIVNRIKPFTKHRGILHIVSS GICFKPLPNFSNKPYKRIPLKNIWFIFKRIYSMKTNSVEIIYGKELKPHIMSSKRRAH WRSFFLEFQSTQDREHIVAFLQSYLMNLNSKGCQWNSQVPQYASCQCSSVNSSSCLPM LFVDPLYASQSPCFKKHIQNLWIHGSISTYHYLDYLNSIGGRSKADLTQYPIYPWTIV SFNSVLNSKQDLSQPSNYRDLSKPLGSINRSNLEMLKSRMKDLPTSEQFLYGSHYSTP GYISYFLVRQFPEYQLKLHGGDFDVWNRMFHSMSDTWKTILEGKTTYMELIPQFYEQN PDFLLNNKLNIRTNSGSLLNVQIPNWQFGTDNNQLNTASLNTTHLSQAEMFLKTMRYA LEGQIVSQHIHEWIDLIFGFKQRGSEAYNSDNLFHPITYINSSIAIRTDQSPVYAAQE CTNELASNLNQEIYLRKISDENQDTALKAQVEEFGQVPIMLFNDPHPKRDLISLEEYT IMQHLNHECANMPWFVLFKTNPQLLEANYDFNQKAQQPKQEENYLEGSIETLNFMVDL QNHLKPVKTLNNEFSNTTNRVDMFKRDLISQENILRPNHKLGKDEFDNNKEKFNLNEK DGFMLIFAEDYCDSRGGFQKLDFSKISQLEANDRTGIQFSFLTSIYEKPREFVLLALL DQFEERLSFYISMYGFEELGFKCVYSSKQDLFGNKPICIDLLVCNEGSAYVTIGTLSG DLIYLRFKLSSKASLLNLTQPEHIDFHNMFVMAQKEKILKQFNMGNVNLVVSIEFHEF SCYIVAVSQGGIMLVSRHSIDNHQDFRKSVWFPIVDIPLRYEKVSCIIQMDLVNPDLT DLPKDQPKKQNSQKNINENISRFGKITKDIDSSGFCFSCILSDLDGKKQRLWSFGGQD KLLSLDLIGMLNSIFSVQGSNRKENGGSVNNHLGNDVITSEGNSNIAHSFGLKEIEES QKVDWVFLKEKSLLLYVGFHKVGNLSVMVFWDIHKKKDAKVHKVIFIPNLFIDSVCWD KYRKGLLILGQIIEEPELKPQGQSEIKTSSTLSPNKKEENISKNIYFFREKHWNSKNN IVMQILTLKSSFEVLLNTNIRRTSSLNHNSLDFKRHTILCTERLIILSSYLAFLVFRV SKKNQPTENFEQATQGTPK cubi_01502 MKILRLALLLFTFMMSINLIGSMKSKYYLGRWKNAIHSVAVEGT DDHPMMSAIYRHREHKAEIIANFEESVSNLIDVIKRGMVTSMDGYMYGRRQVKKAQDY DPISKLAESDDAKIAKKKKKKGIPLMEWFRYSSVLRLASEFGSGLLSLKDSVPFVDHS PDILKPVRVLSILSKNRIEWSLTEIACSTYGIVISPMYDALGPDGVAHSINLVGSSTV VVSMEALNTILSILSKLPKVRYVVLLRPEDGGDVNYPLDRVELTKDTLKAYGVQVSLS KYEIPNDVNFVTFEAVMRLGELNLKEPTPSGYDDISSIYFTSGTTGVPKGAIHTNGNW IAGASASLRSFLNRSDCTLEPKDRYLSFLPLAHIFEREVHHIMIYSGGTICFYGGDIL KLGEDMKAARPTIFVAVPRLFTRIYKGKVLQEVRKKSDGVQKFFFKILKKKVESKHPV KHWFYDALSFRKISKVLGGRIRFTLSGAAPLDEHTQRDMRALLRTHVVQGYGTTEALA AFCPEFTDLSVNNVGGPIPCIEFRFLSIPEMEYDAKSYPIQGELLIRGSTIFKGYLKQ PKETAETIDKDGWLHTGDIAELTENGAIRIIDRRKHLFKLSQGEYISPEALENIYIAH SPIVGQMFITAKTTESVIVAIIAPDSDFVKDWSRKNGILISSDWQSQFRQICNEANTK YLREEINTPLRDEIIASLKQVEDQQGIKGFKKVSDFYLECDGFTVENGILTPTNKLMR HKANKAYQEQVEQLYAIINKKFGNKP cubi_01503 MEHHKLSPDSESGVRPELENLTVKEINLEDEGEEGEEEEEEEEE EGEEEDDEEVSKHISEQRSEEPMCLNGLKVNVTRNFVSEKQNNIGEKNISGSKNNNKS IKSNKSRANNDKLEDHYQRHIVEEDKKSKKKEDFNDDYTYNNRLSDPAKEALEPSNTT NNSSKTINQNQNQFQCDQQNLRNCHVPESLERQDQNNTVFENPKNQDDGSSPLIKGGV DGPALVGHGSLSNSKMTYEESGRDIVQDDNSISLKGIVRTSESDRLNHRLCKAVNAAS HAGSSGNIDPIVFCTPHNFGTGYFTVPNAYPAPNVEIKLFVGRVPRNIEEDDLRDLFK LYGRVVNVSVIREKSTGIHRGAALVTMESVAQADFAIRELNSIKVLDELRGPLKVQYS TGEPERLGFESESCIPGVDQVKLFVGALPKNITEEEIKELFSPYGLINEIFIMREPHS GMGKGCAFVKYAFKEQGLFAIKSLHGALTLTDVNRPIEVRFASKNHQSSNSSLMAHGA HLSFGAAALNFNGHFNFAHHPMQKLHSPRIVGAGSNVNNVLCNASTLASSTSPMSQQA SSSSKPSVGIGGSAYQFHNHHSGQHFIGCNSKGLSQSSGHHPLAEQLHIGSFTGGANT VQTGHHHPSCGRAITLFDHNGVAVATTTSTAAMFSRSRAVGLSMPTSTTSATAAAAIT ANLMPRCIGMWKEYFTSDGKPYYHNELTQVTQWEIPPEFLSFRPSFSREVVGPPGANI FIFNVPYEWDKKSLVGLFCRFGNILSAHLMVDKTSGRNKGVAFVSYDNVHSAAEAVNH MNGFITEQGRKLKVSIKQGQEHFVQHLLKNNLPQGGYGQMGYMESSQNNIIQNDSITN TIPQNCNNDNNDNNNINNNNNINNNNNNNNDVNVNSNNSSNSNDSNHNSSSGPSS cubi_01504 MCEQLSETEDERRIFALFGNKLDNLEDPDFTLDDYINKEFPNEQ SLSSSPEKIKETASILEEIDSYIGKELRNLASSNLVVKFEEHLKVFESELVPKIREKE MQDKLFKRDVEYILGNIRELDEIHKNLTYSVNFLESLQIFNIIRADIERLMGSRTYSE LVPILILSYDLSTFLKDQQEYNKAVIEIKDQKKQDKVYQEIQNSLSEMEKLFGLLKQQ IVEDFLALIDPYILFLGGIDDQNVHSQITPPQNLEAPEEFRKQMRSCCYCVELIGDHF RTEIVDMFITKLLEPYCRLFSYSQAENFFGTQNKVIGQNKEKVMVSATGVEFIERRFG WYRRCLKEYEQQFGDIFLTKWELQTELTEKFFAYTRKDLLQTLGDIGHLLDHQVIFEY SLKCHQFEEYITSRFAQLYDQQLLFSELEKENLQDILNSNISNQLIREFFGKFKADAE SFPYLNLFKLTNSESHLNKLKKEMLESSFSSHDMRVKYPQIKGLLTECFVPYLTSFLE LEKESIIKKVTKELAPDDQMTENIQIKRETDVLGHYETIPLIWSSSKSLSQLINTVFQ QIEHLLDYDDIYIQFNALILHAVQAYIDQKVISCNIQRALDKITNNNIYSGGSSFENI VEAVVTTVSTQTSKVAASTGGILFTLASHDHINSELKYNARKLAATLGSIDYLEWINA RLEYMIKGNRVNDIFELDKVNMDASSRDLRPRGQSVMFSNYMWVSRRKILGCVIRELS RPVTLSMSEWYTKKTQKLNETMMAKGISESESPNLGHSFMGSTSSLVQVLGESLVRQY VQYSQHMPTIYKYSIISQVIMRSLRDNWKFLVSLKGPLHYGTLEVVANEQQKLSSLFL ELPSYFPAMYVPRAYVENVKIIMNKSIAFLRIAQKKAAQMDNSQFDQTSIQHFMTQLY RNLEIEPKKDELSAFHVNLALRLGNNTGQHQ cubi_01505 MKPIELVCLICTFLYLNFQVTLANDTQASLIEQEKLYKIGIEEN EYDKVADENWVNFTPEKRCQLIIDKLENDVKRSASNAKENLIVYTILRPVPVDDSGAC FKRIILWHFEKVELVKSNKSILNYEDIIPVRLLLLGMSPNQIEKEPRDLAEIPEFLEI ACKQLLSQKPRMRWPLSGARYHPYISNILGFQKVWIYRVSELLSACAQHTYQSSNGYM IMLLVSTEQMVDDSIFGDYQNAWKGRYGQKNKEEIILDMDNKGKNTVKPTPAVIGGEN TQGRKVIDFDIPEDAEKINTNANSPDSSQNVVDIEVIKPPNRNRVVYSPEKLPVYEIP NSVTSEICIEVGTKEIPGLSKYKQFPISNFNTDGFSSIIVPPNRILETPEYTVIQPDD RASINLLEYRNFWKRPNYVNKYRDVDSLHDYLIERTNLYWPETSNNYLEFENEKFVRP LIQNRIENDEIEPDSQLMINPRLGGYLENQYIGGEEPLIQPFQSSELADSYDYGSPEN DPQIQRLAYFDNNELSEDERSTIDESPDKFFSFPVQRKGGNLNIYKDPLLKVNFQGMT GRRVNKQPFLNFEADESFQDKDSSQDDDNSVSYSKFRDAARTAIVKWYIGDDNEGDNH LTGKDRRAIKKLFSYIEEINPDNYNELMSRGEDYMVRQIIRIGKSKDDNFQNILATRK NRSGIDDNYYGIYREKHIPKGILKSKNKGKRVKNRRRNRGRDNKSSKRNRKNGKRRRR GSSRNKEESTSISETESESSEVIANIPKDIFIQDILNEKYDFQNILKKERLKRFQKIL KDALAARVNKIEKKGKTLVPTKNVEILKYSVINEQKNRDQLENEYKKNLEEHAKILDL LKKNQEKLRQKMEEEHKKKQEELRRDMRDSVNQLEAALDTASGAIQEIEKEKKFLDER LKGLETDNKHIRTLINMKDNYIKKMEKNRIELETKQEIEMKEMRESYERQLSELKKNL SKDDTISGLSNMVSNLEYEAKKNLEKIEENTLSDEKIKQAIEQRLKDREKQLELAYQK QKQRDTDYLNYVLQILESKESEEAKKVIKELKERILELEEKQEELQHTREELEMINND LIQTVEEQNKSLRELMKKVEDLKIQKEKAQDELNIAREKYNDITQVEKRLKDQLEEIK RSGAPSDEIIKHLQKSLDVAEKERMLASEIVKNAELNYGNCENNVKIAMEKLDKIKAK AGTQKEFEKELKAEIEKRLNSNKNALVMFGQGEAEQEDYLLSSEIKPLNKKELEELNR LRNLGLDELSDDEKKKLLILGQRQLATMELSVVSTFGELNNQISKVMDNEEIEKSKVL WNQVSDSSVNRMDVENSLGEILLEKIEGQEQSLNNMKNLLEETQNTISELNKQLILQR ELSGEANQHGKDNKGKGDASTGISSDFHIYDNSNNINEAREEKSDRYKHQDDNEESSN KVFEEVSNDIIIPGAEKTEDIGKEMKTKNLKGYSSEISSPPLPGQGLDASDYKEKVDF YAQEEEDENEVGDEDLSALKFKKELENKIKEMSEKSNSSNKESTVEQSSEESVQEKIL DQEKNKIKEEEEQPNQDFSRKRSDSLSSNLGRKERLNTPISVQKITSDFPEVDLKNTL VGNTEYEKNVNQHNHPLEKTENGFSESLYMLHDVQNERPPSSGITCDNIINTIKRNLD IFSRSFDSGKLQSQNEVMTRTVLPGMQYDECYNSTMITFENFQEKMTENGVFIRVFVL LTDFMDTLMIGDTSRTGYIMSNIPPVIYRVLGNTISSGSNEKTQNGQTPETIETVINN FPSEWIHEHMRTCKEVLSGKSLDAITKSRSISSPNIFSPMRSSSSLNMSHNYEGLKNL PPIFLANIEVSPRPDKEPCIRIIKRIKQRISEVNGLLIRKRELQEKLKIKDAQEKLFI FTFEDTLPYNLSSPGTSQYCEKYLSELFIKMNNMEWRAKPRNNSLVRLITIPTSSDPL KTSIQDENQINLNEWKKEALRLAFDSSITNEWMGNSLVEYLTNKRITKNSGFLSNELA KTLIIKLLDTISLKDDSSKEILMQHGWGIGGTGPGTVNGGSASGSDEGVLNRIFNNIQ DTISAKANGNTVNDKRFKTIPGVILILRTSDQIPPNTIINPLLLSLKPDESPYMIETI KSKNAEE cubi_01506 MKSILVLILFNIILTIRRVELSNSHLQHENNKKLQEFNNLVNIQ RENNQIGSGSRKNSVEYVDMNSDDESEVSTIETDNNLKGRKSIPAALNDEKTKEGAKF VMNSDDKTNESQTQAAEEYKGDGFTYYEESPKELMSYEYNNYSKTPISVLVKEKNTVY DKDNYEQLNSPATKKEENLRYEYHKKMPKFDQDQKNMAADVMNYVPRSLVHFDSFDQI PAKERNTFLQIRERPAATISPNGLYLMMTGKAEEECPENKYDIFIRKNKNKSLNKLVK QKRAKLLKRFKKLDLENKKHGLKVDANDQSKLDRYQLLTMSEFFSKRQWKKYDHKLKD VVLHIDSMNKVLELCYMNYITYSKYASTSKYYERLPRRIKKETNAIQQKFNTIPFTAL GSYLPTEQDFEILTKSVSKSIKKVRRRLKLTRGKRVLFIAYQKSPVTSINLPLDDAAI EQMIKGQVSQKKQYKYKSFKKIFKQMKKTQYKKNPRFNLVYVETIHVYLTVLKNFWMC LVSGIFKILKHKQITSTCIVGNVPNYSVANILNRVAIAMLKSSPITNVSKFILFPVTI GNEKYGCDQKHSDQLFGHMIERWDQPIFTLNGYRILKSIWYGSRLYKYNLSIVNNYAR SFDALRYRFQKTFNNTSPVLILQQAFPFGFLTRVSDCNRLLRDLKKIKLIRITLVCLK KNGGTESINQNDLLLKESELLGFTTTKKQRKEGQKRLVTINNVNSPKDMDIVNEWLNG LRTKILNNNIQMMIIPMMVIEKKSFRKNYKRLKKVLRLQRKKKS cubi_01507 MKAVRRHIYSRLSLILILISLLLKITKCTNGPNKSSGNPIVTRP GITTRSSSEGLGRTYDKGVKDTLSLLEQYYDGTDDWRRYNVVNPSMKNSHENGPTSEN DDNTDLNPDSESIIPKESGKAPEVSAVAQTPADYKLPDAVIPLTKNKESLVQSKKPNL TDTVDQDPSLDKGPLSDGMSEDQTEVLSVSEGPSEGADYEYTGGSEGFGPPDMGYEAI SEQYDPNGESYSYRDAGDEPDGQYPYSYKLESELENVDPGMSYIMMDENADENAPNYI YKDGSENFQEHPGTEYDYVGEHENNDEGSIRYISEHGNDSDFEERHINEAGDEGFSGT ENVYIDDVDPNETEYEYIGEGDRSETSIGGEEAEGSEGKNGKRRKRKHSKRHQKKPKL PKKWDTFTENEKQEWLDRHPRTRQIFNEQFEENNLSGRRPRRSRNGDGFDPLEDNKLS GRRPRRSRNGDGFEPLEDNKLSGRRPRRSRNGNSFEPLDKRSNLANRGGRQRAGGYED EEPVLNRRRRRGRRSKGGDILDDDLGFSRSGRRRRRGRQELIDSPRMKNRRGRRRGGY DLIQDIKGDPYLTTVGERVLSKYPVNKRDNILKLRDSALRDIKKGRRPKGDFEEIIDP VDLMLIYRDANKMPLLVVKNEGNIPLEYMLKLPKGLYLWEEIDPEERARLKSKWKEEI EKGTFWDDDKLHGDWSDEVKLLGEWGEEETIRGIMGREISPGNQMGEEYSYYSFVPKF TDLRNKGVFSGEYPEDIEHLILVGDMLPEDKFQGEFKVPELQLLRFHPRFEHLDDLDD FVLENIQSRRNEGPIYLPTHRPSWMEYRTTGAFGKGIPVDKYSWLESLDRDDALRLIE EANYGDPDFNEKLLIKYPWIRDRLGEAIYSDDGLVLPIQVLNEFIPRSVYNLAKEDEK YPGSKWYFSEVPSDINLGKFITDIYERAGPNIGYRSHKRNPYLEAGLENLFEERINLD GWDRETINYLRELFRDAENREIELQEELDGYNSMNKNGSMFPGSGRRRRHRLRSSRPD MPIWYFGTEEQRDRERRLEFAIESDSAGGIIEEKPSSTRKIFLDPTGRRPEGILSLEL QDISDNPEYDVESLGISEADYFDDDDDLNLEMMGSYPSSRTSPTRIKNIYFNNIPGGK YSDIDSGIYPINYPGRMHKGLKGQHPGFNPIRRYVFNDDDIDTHSPLSISQSDFLQES SGFNERNPFLIGRAFKVNRDDWNRPWKPGKMKRNGKLGIKKSHPRSHKVNEFGQEDPM EIIRDYYDLNESSILDVLDGKGIYRPKKKKVGYGVSDLDYLRRSNDDDWNYMKKLRET NSSKPRSKKDNKPLWYYYDTIGRRWDDSLADEDESMDSYIDLVLKELQTKKRDNKKGG NAKNKKHKNGRFVDIKGRPKHQDEYEEDYSVIEIEDKKGRGKKKSKRRGSKKRRGKDK SMKGKSKKGKRVSFKLHPEDQESDTSDSLSETSTIETDFSQSRSKNLSEESELSDVSK SGSEEESEDFEELGPLAKRILMAAGYDDDLDPTVPLSIETEILELVDTGKKGRKIRRK QKKGNKSKKDEADDLLSLENMLEANKKIKVDMHDLSEIRRMRRDLNDDSGDLEALKTL IKKLHLDELIDLSDDSSSEVSQDSEPISSELERSETEGESETEASLSKDSEEKSEDDQ TIEDNVKKPNVKPLKILKIKRNKKGSKIDLGKDSSSEEASTSTSVDNLESMEPSQTDE EFTLSEWDSFIHEKLKEVESKPEKSKSDGKKNKSKKKGKNSRRKKGLKKSREEKKALL NLGIDPKTIQRINMLLKDKDDGIKNALEYLLDELENNLEDSQEPRSGGKRSRGKKRKN SKRRRRENATRRPYDLEPVTPPFSRYILKLKDVLGPKEALEELLDNLGVELSGEEPVP KELRRYITPNVVNEVRVLRDKKNGDERALNKLLKVLRIPNKRVSRPERSNHKRGQIGK EGRRLPALLNEEDIKAVNRLLKRNKAPQALEYFLKSTDLDKDLEDNSQGIYRPNRSYS GLNLSPERLSKVRYLIDQGPQGCRDALSEIFDDLGIPKQKNLFDGSRNGLELMDPQSR RPLKRNRQRRMAMPPYLRDPELDYSEDGYINGDDYSEFDDFSTFVPREYYRFNDGRKV YTEDPMKMLPFGKANVAPNTYEVLPTPKSPFPLEDQYYKTLIDHPIMRNDMSLSPRYY KAPEMSQYDRDLRDFVNKRRKGFGMPNIPDYQYWNYDPEITGRSVYEGLDTPTNRRRR QILERKRKLGNYLDEEHEVSVPLEDGENDMGRENSSKTIMVKSFVDDDLPENSEEVML VHKPEYSEEELYDMGQCLVNNEDSNKLKISNGAEISNNLNAEFEGLKNIEDGFEVERE ATREEILSTLVTDPKMEVSTKLILREISDDDDSVINKFRNILMENPMMERSNIPKPVE SAEKLKEMQKQTPESKEIQSFGYLDPSLSSYDKKLYSDSFVESLEKENLETTPISISS QMLEECKDIASQLKMKELGCEKISGDASESRKRPLDIRRLSKRVTKVKNLYVKSFLKQ ICFPGEVAKLYIERFSDLKGWNKAMDRHRWVALPLVSPQSYIPNLGGLNLFQRMILHQ TVKKQKLHSPRRVIILAFSTRSVAEKKLPNNLASLGEYSGKKNSVSSLEYSMNVEYNQ GMLIVPLIELALCVKGALQLNNIVSSNKSKKIKLGTCIIGGVRSHSVAQKIYRTALKY LEMSPDLSMAKFLIIPVKVGKENLSEQLKLSESGNTDKNFGLQIPEDAISPAVSDLEY SKLQFEMKLENSKQVKERYNNKLANLSEAIFKLYLMNSRELDIRSLKANGPRSIVIPM SFPQLYIKGYSRAQKLVKKIQNKYKPSLKNRSYRNKLKPGFILLINDQTVNSGSNSKS FLNEQIKRIQSFFTNPYSFKNFKSRKHEGPVLEIKGVNTLQIRKFLLDAISRASRQPS VVPMVILPIFVDNNLKTNKSDRKAMNKVSKKNIKHSNNI cubi_01508 MISEYVKFLVLVNLLMLVVLQSQFGQIGCNFAKKKISQEFHINE ENFENADTVTLPGANVKEGQVQVIDLKNLLEEIPGNEKKSTNEMVESEIDFTDGDYSL PTESDSDGRLTGMSRGRSRERRFKFRFGEKKLNNYVNSKPDFHKKSVDHIKEDEKEGS SGYEASIDSDSESEKSVNSAKSFQSKDSNDSDNETVFEESLSPMPIIPIEEMKSKNSL DQVIQLLHNQSNLEAMREVKKKKDLKKEKLENQEMVMDKLRSVIDSMQKKSNLKKDYV YGAVRDYNINDLIEQKNLQNYGYNDIMINEKEDEIKKSRIFGEKKTQNELKTASMARK FYSKPSSALASELLVYSPLSGSSQKKSGAGLKTNKNQISRQNKLTFQSAEEPIISPEG TRVRSPISFSAKSTSVFTSQKSPDGSDKFQSNQGDDFKLEESFKESQGSSKSDNIEND QSSEESLSTVPTQIQSTDHEENSENSPHPWDDQAQEEQESGEQNDELKKRSSGNPIAM LESELMKLQDMVSLNIKKPTNLNNFGRIKPKSFHSDGEYLVKTSSPENNFLTRGKVSV GRIRKTRESKQAETHSPENKSVSKPFVTVGRLKSPTRPTLIDKMRPVIEDDTSVYDYE TAAAVFNLKSSKLAMEDQNARLQMENQLRNPLIIPEFVPSDLSKPNMKETYFLGDKTP PRNRPNGFKRGMMASMSWNMARNPLSEGVAPPIVRVQKVRGQTEKRVISRKV cubi_01509 MKLHSYLILIIFYLSCFTLQRLNHVDGLQLQKGSIEKINQPNGD AKEDIKDVSEEDQSGQDSNGGPAGIKEKSELESSEKDDDSKNETFEPFEGKDEKNDTK DDQGGFLLEREKMEDDTTDTQSKDTVKEEDEKNELKKLEEFKKSETLENKPNYEEKEK SENIEKSEKENKYEVESEIISKTTINTSDVTMTDENEFTIDHPIVNQVVNKIIQPSTD EKQLLIEMKDDFEPESGMDDSKQTKSVILTFDLSSEDLVNKISLISDSKLTITRYVGM NPQKVKITIVDPTVQLGSSQTRLSELSKYESMQFELNKKVPGKADIISLSEISNQIPV ILQQHNTTTLRFLLEAVDSQTQFGILSNMEGVGPTVILELKPTVESNSTWMYTITGVA IIFVIIGVSIALYFQNKNKESKRIENMPLLNNKV cubi_01510 MVGNRSIASFFLALILLWSLDDGIKSVIAKRKPKYKIDNPELEP ESNLDRSKRLREGIFEPSEFGAIRNDHLYIIGQELSSLKQLPIRGHQSFDSSRNRAHS ILSNQNNGIELIKPYEGVYVDYNLTSFSKFISRIVEMELEMHLTFKEVNDRKSSIRRR ERDGMGYLSIKSDSNTFQEHNHEKLTPIEFQSISFLFVAGYFKNGNNRARNDYSLSDF VPVFKDNITLSRQNVNQYYAKYGITTFKDRLTAVIDKGSTLAAHNDFFSQGKINVDQH KLSFLYLPHNETQLYFSMDGNQRFLLPKIHIKAIQTHQEADDEPELPSKDGDSNSKKV VIITFLMFTMFTSFIILFYILITWYLRGIQKHS cubi_01511 MIINRYLIMVLVVFLGVFFSLTEKLTCSVVMKLFGIIKRQKEKF KPYEERTPKISKQSELSEFEKRQLYWYYKDFIGRREVNKIWKDEHKGKYESNDVTDEE IFSGKSFIPIRNGFSRLGKQIPNNLKNVTPAVFNTQLGGGMIEKSNVIFYRGDNMLNL DSFGNLKREDKNVNKTKIDTEVGIKSFKNRKTLQGGNYIFENKYVWASIVSPCDGELQ FDMELEESLNFRENRSLYIIYCINGSVRERYSRFKGRVIEFLNGRLNSENLSRNSKLD LNQKFSVRKGEIILRILENYYPPIFESDLIAIPIFMPCNGRVAWGKEHRKVFVKGEQI IEFICIENDKIIAKHLVSPSRGYTESLQQHKSGEKTQSLLDQSFNSSLIQTGDPLGVM WLELNTIALAGNRFSGLLKETLIRMPCNGRLEYPISESSFVLKNEILYIVICNDNKEV IMEQSPIQGVAQLLVLDNIDLIKKNVYFLRLDPLDISEMPPLNRNPKIAKHKFDLSLI KEADPMSPLYIERIEIPEEI cubi_01512 MLNTNSNNCLCLAWFHYFFLVYVFSIVIGIQAIDLNDEKQILLK ELGNPLRMKAFGEIRLPSLRNINYNRETKNNYSVEISNLDNNIFQISYYLKIHNKDAK ISSCGFKYRFNNTVFPILVKRGELLGTLVCEDKLISGKLSQELPILSYCYGQVQLVYP FLPLPIIFLREEDIFLAQLCARKFPDFNGIPYKFPIIPLNQSMSFNNTLFEVNHLRLE NKHSKMIYRVKKQSKIIECVLNRLFHGKSFQVVEFSIIGVIVCKMKSKDQKIQIVREV IRALCNGISSFQSSNPDSIYGSFKVSQKTPFNLIECLSIPENQLEKRLANPDQAIKDT LIEAYEDC cubi_01513 MMKLTFENLSLKLVLILFIVIKNVVGNNIKIGGNSVFPYSEQRN IFTERLMLFIVDNIECIEKARKDIVEKFEDDKKLASVGLYRRVRVELRKRDEVKFIKE VNTMRPLSGLFPVLVGEIIDNSLNYCIFRYVDINKWSGFSYGRKLPQDLVKELEKELL EKNTISILLFKGIWYIYEGNGYYSLIRIGENTQHMGIFSYSGIISSLHYIFVKIEYRE KSIIDNLGSHRKKKVEINENRMEMLRQEFIFRRSLMSLVDKEQDERMDMDARQIVILR DKIEKDLKMEAARNNRRSLGLQESCSNCNDIFKCPNCDLEIFPSENEIAFNSLAILQS RGFWYEDTINSDTKFQINTPFYEKMNLENYNIHCLGISYLNNEKEKNIKSKNISSTME DISQIFELENPSYSLMPIKNSENDQKGSYNSLDVKSQDPKVSFLGSTNSQSLTKAEGS RSFSKLNSQYSSILEPSESLNQKLEISDKSFKSGSKPLKRLPRSFKANLGGVEPSRDL SQSQSMSLFPAPVSAPVSAPATAQMESSKDPSLFQTLVPASAPATAPATAQMESSRDP SLSQTLAPASAPAPAPGQMEPSRDFSLSKTMAPALVPAAAQMESSRDPSLFQTLVPAS APATTQIESSRDLSLSQTVAPALVPATTQMESSRDPSLSQTLAPASAPAPAPAPAPAA VQAPSAVPAAVSAPPPAQMEPPKDPRLSQTISAVPYQPSAPYPAPHPGPTPYPTPYPA PFPAQFPVSHPASYPATYQTPYPYPYPYPLAPFSYPPPFSYPPPFSYPAITSYPTPTL SPTQIESSPNGTATKPPEPKSSKRISKKLKSHYQNHNSKSHSKRQDFSPSYRDSTIPI SKQEKKRSRSQNKREIQQIKAKKTRTNQYSSNSKPSSKKRDMKRKKYKSKYNQNSSND SSDQSLFEPSSSKMTVISDVETNSSSMFEPASRKKPRSKLRSDILENTDKNSHDLEIQ VPTIKVKYIKEEKIIQDLIETKQKESFSFVYPSFNIQQIKELIELESFWTNKKHSIIH LGNNTQLPFEFTYKLMDTEKKLK cubi_01514 MKAIFASRAKLAWLCVFLVLIFLGVTQTLGSSHGKTTTDKGIQT GSDASENSATEEADSSEPDVAESSPDVSINLMDPHGDFLPPKDINLKEQAIGTDDGHK VKIPKAPKFQGNIEEVSEGEGVISPFDKQTSTDDLDLVQFEKISEGPFVYTMPIDSDK AKLRDIKQLQYTVEPEVSLDEYEVGKDVAKDSEFYYALKEKVAGEKKVLSEVLKVVSD AEQYRKSIDSNIKSLILSVNQIKDAIHQHEEQLLNMQKSGSVPNVSIVAQEDEIARLK LRYQEAYGHFMSEKRKRDSAIDLSFKAKKQQLNIEERIKRTEEKIKLLESQLDIHEDP SVPIEPLEILKEPEVEVKQKYIQDELSLAPRRSDIDLSPASYDVSHGLEAEESTGAPD ESPPPPEVSVERPVKSPSMPPPISEISPISPKEISSIPITIDTNIISPKSKFQVFDIT KFLKSALSSFISDQNQLNQSASALASKINIINFAMSCIYEVDFLFKQINLRNASVYNS SDAIKLCRQVWRESFTEMVRFYKTFTPSTLRAIFKSAIQADQEAVSVLTNYYVEEQFV AIVKHVDVSSLASCKSVVTQLFKSADFQQSLINSICQKYLYYIQEYSNLISDGEQLKL FAAKLAVYGSAPYSYDSAPFSNTNDLDQMISEFDFSSLTSSSSFYAQCLKIFSSSYGP ESIYGLSNNAVQSVCTSAQNAFNKAMPHGVEVVIFSSKQFPLELIPLLSGSTTISFYG LALAGTSEVLLPKDVSVSSHGSLPPQSISDLSPALQISLQALDEQSKTQRFLQEQQQS ISRILENPLSSSDMHKIVNVIQQLNEKQKAVESGIIAASPIPQIQSVLITIPESKPTL EVSAGASIEVSVAGVFIEKISNQQNVLSSQIAQLESILRSPSLSQEDRSLTKEILKDT ESRRSELASQLEDAISSIPASVPASVPGISDSPSISISSISISPSASDKTVFDLSISE LKTLYMDISNSNKESQKLVKSISLFPISDSSLKNDYESLAKKTQKVSSKLSKVEKKLQ QPNVSFKSKDEIEEFKKLLQKLLHVSRQLNEKLKSVDRNISGNTQSMNATPSLSLSPI LTKPALSQTPLPSSTSKISSLLVKIQHIQSQIEKFLSILKERRLQKNERRTLKKFFAD VDPKLTLLYKLLVKIASSHNRAQLGDFASRYLEQIIDVYSTQKILLKNYLIFSKRPEN SADGVKINGMISDIADKQSHIDRMFSEGSPRKSSTPQKESSVSEFHSVSTPTSRIVEP ELLSKPAPGYQKPKKLVLPAIPYFRRPAVPKTRINYSNVRRDERGVPITSGPVVGSKV GEKALDYVEKALVRQKTLGLYPEGYVIPGSAAKKPVRPSRTQKTEVSSSIKPQNRDTF CNKLGYASMTTRGNQIAYRLYLKASKILPRSSVCTMDRRNACDISSSIQDTKTAKECG RILYPTLLRLGYSVSQSRVEKVCAAIGVDGKVEGCSSLNSYRTSSSYNFSQLEEDRAS KLYSLLHKLQISGTLPQPGISFHLVCHVFESMKASLLKTESFSSFFAFECSSAFEQQA SHDGNPFNSIQSAAILKACEESGFPSSS cubi_01515 MKVHFSLLFFAAFIILIQDVKSSDIILNENYLNVSETESILGNL EVIKKEYKEGRNLTNLIQQFQNSNEERFLSNNLVINKTLKSDPWELIEAAMKNTTVTT PAPPFNSSSTGACIKLASDVEIIFGSFRSQFQDAVAKCSRKSLGSHKNTFRCISKLSF NGQKLSDECNDCWAKTAHCGVKHCASQCLFSTCVTKCQRCSTRECSKVLNECAGTVWM PLPCGLSPHDPIPDNFKVSDPK cubi_01516 MGKIVSLNLILTILAGHFINWSTCAEKFRYDYTVGNITVNSSSP VYIFPPYSLRNTTISVPTETTPDPKIPPLGAFDRKRGPDCKTPFVTFDLNPICGTDGN TYSNVSIFRNAQCDDENLEFKHWGRCKGSSSTIMGREQIVYKRPYLPKGVIPPVITTT TTITTTTTTTTTTTTTTTTTTTTTTTKPTNDYQEPPLGPLNRKRGPDCKTPFVTADYR PICGSDGKTYSNVAVFRNAQCDNENLVFKYWGECVTQTKPQEPEERPPLGPFNRVRGP DCIAPYVDYELNLICGSDGKTYKNVSSFRNAQCDNKNLEFAHWGECTVDETQVNNSEK REPLGSLDRPRDSKCKQNCPKFYDPVCSSTGTIYANECYFRNAQCDDENLTFLHWGVC NSNDADKITGIIFTQESGPFELKPLGPFDRVRGPDCVTAYVDFNYYPICGSDGKTYNN ISQFRNAQCEDENLEFVYWGVCFENYEQYFGTMIPLGPFNRKRGPGCKTPCTREYNPV CGTDGNTYPNPCEFRNAQCDDENLGFAHYGICNEKPEERKTQSPVQEVTSEFYRYNYY LYRVRGDNCKKLCSDLDSPVYGSNGKEYKNVCHLLNAMCDDPSIKHYMARVFDNEESK ENPPKITKPDLGPFDRKRGSNCKQSCNKYYDPICSSTGAVYANECYFRNAQCDDENLT FLHWGVCNSNDADKIMAIIFTEESGPFETLPLGPFDRVRGPDCVTPFITMDLRYICGS DGVTYTNISHFRNAQCENEDLEFVHWGKCLTTEDNMESPHKVHGISSPGSLKRKRNED CNKVCNKRYAPICGSDGQIYGNSCEFMNGKCENEGLTESSWEVCADKNASKSTTSTST TTTTTTTTTTTTASTTTPQTVCSTKPTISETNRGNLRSLKTDENENNEKEFLPTSTTT KTISENSPDTEEGMDEGLFMSLMEVISVTSFNTPEPTITRQSTGNCLNPTDLDILFGE TSTLFQNVVIVCARSSLGNGGKTSRCIQSKTFGERNLEISEKCVSCYKESATCGSKKC KSACLTSTCNTKCQRCFEKNCNDDLRRCVGTSWLPRPCGLDPFSPIPDGWKTPDPKQS DINPPK cubi_01517 MNSEPLFDNTDLTASNMSEISCGGSLCLSTKEQYKVINRNQLTK LDFPLFRAGTTSIECGMSLMEEISEIEDEYENPFESTQKRKKIKQLFEKIKDKSKKGY SSLRKSISKIGMKIKMKMGSEKTKDSKSNDDVYHKSISRLTSNCSNVGKTKASLSLSK IRLFNKTQILSTSRKAKIQRKVSQVSNKASYKLNTKCSYISKRFVSASSSIKSKIVYN CYKLRNMIGDKFPRRSSKFVLSFKKSSEDVEKKMMNCNHYQSNELIYDSIEYISRNAD DIEEIHIVTNDIQSNLNIEESEGKDISVNNQNYNAEKEVSSEKSSINDSHSDNISNNS PNNDNHQIEIDLIKNEFSGLESNNEVDETTPTTTIDSFLRLSSMDSTCTTIHNDTNSN NSFPVIPSIGKAMERMESLYQEIIQLKNKNHTNPLKFEKEDMEIFDSMKEIVANLNTY KDEKETLKTNDSYEEINDESLNSSHTITKQKQPQYDLLLTLLRQTLQYYENIKNSYSE SELKVKSSDNEHIKHLIINAIQKLNSSGVLHATKV cubi_01518 MKLPQLVLLLLGIFGEYIGRNNGLKVKGGVTVNRGRMLSRGRRP TSPSLNTNRRSLPSRKAYQQHLLEDSMLGGDGSDFISDTTIGGVINDKGIMSKAPSKL ITKPIFARIQPEEAVSKVQVIQKTVQRRGGKVSSVVRSISPRRISVIDLNMGPQEMVD VLSREARQMEQDKTGINKGSAELACARYSFMFHCEVVEIPKEVLERRKDKYLYTVRDL KDKRYAWNTAQGTYGRVVFGYIEVPSVDQRFKTGNTKFSEFYKLPETLTGVKVLFHGG GKYPAKYLLPGMKVSVVIKSYFRGIYKIGRIVWNREREILYSLSKEFWTDGYGGPLTH TPTVFSVHYQDPQSGKQQVLFEDKENKRALVSELLIMERITGQTFFEVSVYLQDSKIF KWLEQTNAWELWNKAVYKLQWTFNHILQSFMATGYLLYMHCDLNRSNILLSIPPLTFD HKRNLLNIISMEPWDVRVIDLSFVWVPNTLKSRNTRSICSQVNKMAIFSDANYLHVCI MELFKVPQKDLPISRPTPLYKAVRAKALELWEKLDSVNEWWAVGINFIGRNSSTHKKY KIRKPELGGNTFNECLEGIMMMSDIFDAEARKLGIKLPFHSFSPEAYLRGYLHLSFRI TKLGLCLRTKITENWESIKNILTAVTYFDFSVMVLSLDASLSSNRDSNVCKINIPKSE KQPGSHYTTITLSNKICQEIKSCMASVEAMKSIPGDEVIKPAISVVTAENHESDRILN ELRFEKKFPESIQALGVRLFGRFQGINNNVDLNKNQILNFLTQLDLNAKIGTIRSTNP SESSLLSVCNDLESNGTLKSTFGAQIYSSIISYAKNYRLNTFCQLLFKQLRNTMLFSN HLDPDFRSGQSLTTPETAITEKQLPKFDELQINTPQLRKEIIQSQTKFDLGRLISKIQ EKGALDKKLDEKVYLFSSNKKECIKVENFKQLIGSSKIEVGDYLKKRFIKKINGLIDS VGEQILKYNSIQLLCSSFTQLFECNMRSKAMIDNSKDNYREESILEVNEPGNTQIILW DEIQDQNIQLQWKVLSGKYGSVTFLVALIPKVSSEYLIKSQVMANSCNMPLKIKNIRA WFHGSLYVKPGWVPEDIKLYLAVKSPFKGVHSIEKEIWERENFLSLLLSREIFSMVLK DGVIEQYQLSPSIVTFHHNVKDSNKCPSHFFITNSKKSLIKINDLYRPLFSNYMFMEY INGFPLETILYYLRSEDIFLWLNQENSQKRWVFWLEAILNLSKLVLLSIQSFSSTGFF QYFHCDLNFGNIIILKENISERLFGINKLFQDKNNHFDNLKFIANIGQESIRIIDYAF TYVLYHREKEDEISDFNDFLKQKGIYKATGERNFENICKKMIKAALFNDPNYISILIS ELLIGHSSLLNKSLLYQNQQDDNKISYSITEINDPSLKWKDQSNQFINLLYKFDSILN SEKEWEIIAQEFVEDESPIKRWISKKSKYRNQRNILSSFNQGSEAYIIACDKLNQIIQ VAKKTGLIIHQDLLNNCLSFEFYLRSYLFIPFNIAKIGSCLYSKAKKSQKFASYISSE KSNTIKSFFQMASITISYYIWITNRTNKNNNISSILFDFFHEIKNECNDEQLNLESSE ILSNLKNIDPMYEKTKNIYNLIYKDQMEFLNKNIVQNNLSIPFVLLLQRAWYRIQDST EILQNQIPLNVNENLLNSLIEFFSDIQLAFSNSIDPYQKGNNLHINDSTILNICMNNI NHLKYTIFKDSAIGDSDLCNLIIKPLIYTSPFKS cubi_01519 MSLRIRPNYRKLWFALFLTILALTDFTGKVLYGLKWPSGVELSF LKFFGKFRLRSVSSNLNNSKKKSLKKLEVPFISPECSISSNIKRDTELNNETALKANI STSEIFEAKIGFGNNYISLLPLLLGKERFDLVVKTIENELKLYNHLKAFPKRIEIVEK YIQLYTFCSYFSPMLSCEIKKISDNLENLSNGTSILSDVSNNITNPNLLYNRRPNSSV VNFPWVSSKYSYKWNVISGAYGDVICGKIVGGNKNILSHSQDSTSFFFSTYKNFKSKE YVVFLSSSEVSETNLKYKQLETGKDIHICIKSFRSATHPEYFTIWNDENFNLKWLERD TWLSGLENEMYIRFTPNQIFIAPRILKNTNRSSGNILVTQLNEKSSHVCIKNCENSIF YPSVYESHYPWNIPSGRFWSSYLIMERFIGPSFSDVSNFLVLDSVINWTKLSKDNFYL WSASLIHVVYLFFSALISFTFTGSLLYQHCDLHANNLILLIENWKIAENDLFSTAKFQ VSFKETISEILSSSIKNVKIIDLTYITYLNDKRRSSNLVCETFGEVSIRDIENWIIFL YNLKNDVDNIFSKHQDIPKNINPLSILIKLFERDINFNSTKPKYVGGSELWWKNWTFN QNKFDDLYDSVLGVGNFFKEAINQVESLIFENENIPFFPPLKSSYSKVLTNPIIFEVY FKQLFYVPYSILSFGTCIHQHEMIHNQTYFLTAAETLSHYFLILFQNEPDKLPSWAHK DQFGSKLLWNKCIKSNPLFSKSISENSLKEFYYEPCFTESCVSKKFFSKKIKTWIQED SQDRDFFFNSSEKLILFSTNKINFALSILVLNQIKFNVKDYLMNSPKKLIQISVDFSS SISNYLINVIHQEKSVQDIHLFLSFCLAEYYKHLLLFINLSNFNFGEKLCSKIHKAIF IKYTNS cubi_01520 MKSLRLMLTQCKWRTCFFTTFIAIFFCFCPGNLAESNIQNEQDL QGTYKTALSGYSNYPQQHQIKKYKFDSHAKDPVCGLPEDREEYLELLSITRQFESTLL HKVPKGKQLAQLIPVELFCAQMQPIFKCLLRKNSSSSSKDPLNLVFKTATGHTWLVFK GSHAKVVAGYMTTPSHGYHIEDDFQFISDKYNQDERKFLYVNKDESLHFDANSEFYKF FRPRSTPMSNLRVFFHGNGIYDPGWLKDGVTIQVAIKGQIIKNFQRCETFWNREKEYT LFLSSSEVWKTYQGYVNFVPGVFSLYSISVSMTETSNTNYLKGTHQHNKALNKQNLVS TKVDSSQIISDLKNKDSQFSEFLIMEYSHGMKFDGFKKRLKSLAAVYYYNLTDDWQGW FNNSLFLLYMGFSIISTFSTTGRYLYMHCDLHGDNIIIQNVPTMTLSRILFTNMQKLV KLENVKIIDHMFVWTPKNIGDRNRSIPCTDLQEISVTDTECLTALLIGPLFRWDYYPF EIAPESTENFKMINKKAMNFFEKIMNIPEWRRISSYYVGKAPEWYRQWKRFGSKSSDV SINTFNEQFEGIMMVCDYLQDFMAENGVLQSSPCVQFHSYLRGYAMFNYRAMRIGYCV KQHISEILSNLINTETKTISDLRISYWDLSRLSLLFYWNLLASNMETCLPSHNEKQGF VFEFGGVQAVLKNEKCSVIKQCILEENRINKAKLQKLDIVNDKINGYSIYNKGPSKSL KNSYDSFMKHIRSQEFKSQKINSSNRDLQNLTLETISVLNGDLGKGISNKATNIIETK NAFDYEEFLLDRWIFNLYYQSNLSLSLTLVGLRFSFRVESSFTGKRGQLNTILKFLKG SASILDITSNGTRDMSENEILLSCEMFYKTYYEIAIKKYIDDSKNRENCRKVVEGIES DRNYKRFKSSPKFNYYSEDIKNSLFESNGQQKLKIIHPNYICKYDFLNFNTKASPNNE IIRQGFCNILIKPLNYIDIKVY cubi_01521 MGLVLSTLEIYISKYQVDNFKKCVNSLSLDSNIFSKSRRINNVI VDKIIDISSRYGVLLDFILSFENWIKRFSREYHGNTFKNKEILTLITSISSEFDILKL RFNKFSNDVDLFIDSIFSNNSSKRPFGEKIAKAKYKKEIENLYKELETLNLEIKKLVN YQQKLITSSSLYIVKLDSGANQLKTARNDEVIDMSNENSLYDLWIFYEHHRLPNLKEK VVFLSRSISLLIYQNKILLEFLLKRYRVLTKQYSEFFNCSKCNYNLFDNLNRTRSENL RANSTKLIPSKKSEYSINPEVSIQLQQEFFTKCLDAEDLGLMTPLDSFGKTEGIINIE LFNVIHMVKFLIGELEIKCSEFQRNHGILSEFKTFIDSELRVNTTNINDFFFSGKPHI KKSVFSQLTYQVSQLNTISEFAHTLEQSIKTYHYFNIPCYFGIVNYYLKQSFDKFFNI FYNLKNNPKEKGFSTINMPKLDSFETKNPDHPYDLNRLNITITNEMIAFMFNSSEIAS SARSSEEFKKFPNFETKYNYTIFTNITDFPPNLNLGGISENLEVLMDRFLKSILVHEK KVNDEENNIFM cubi_01522 MGCSSSKPETKVADNKSAADANKQRELAEKKAQLAKAVKNPAPI SNQPQAKPEEPKKAEPAPVPAPAPVPAPAPAPVPTPAPVPEAPAAEAPSNQAPAPVPA EAPAAEAPVDQNGVPQEKPADA cubi_01523 MTLNNLIYLFLLICLFLFLYDFQYLERAPQHVTSLLNLKSEVYK DDGEDDSEDLESKDGGSGDESDNGSVLSFVSQASSTQRSRWSAASPLDPYFGELDLIV EDRPTPSDKERELESALLLISGSSSDLDSDKDQGGIYTEDKSSSKASENQGDHGAGAG AGAGASAGTGTLSDTDTSKSLSWWLGDDGSGRRKKPTLSSERHSSLKKSHSSGATGKG SGSSSRRHTHSLSKPAASKSSGSGSGGTLGHSKDKKGGHGSSGTSKLGTRGGMSSSKG ASSSGSSGVSRGTGKAGGSRGGSGGTSSGGTGGLSRSGTGKSGTGGRAGGSLGASSGG IGGSGGGGGRRGGWSGGMRGREDTIFEEDEEEEEEDNRKDGEDSDGSTGSSRSSGSRG SSGSSGSSGSSGSTGSRGSTSSGGSSSSSGSSSSSGSSSSSGSSSSSGSSSSSGSSSS SGSSSSSGSSGSSGSSGSSGSSGSSGSRRSEILSKLEKIKGLAKDAKENSEKLKESRK KSQSTGRSITHLELPVGDPRDYWPFSDLMTKFYKGLHFQPDSSGGQVGPQPGPQDSAL PGVSGAVEGTRRGTGTKGVGGKEVGKSGRGGGGEHEGERGKPDGKAAAETSSGSKMHS TSKSSSGSVSKPISGSRSRSRSRSRTRSSSRTRSRSRSRSRSRSRSRSRSRSRSRSRS RSRPRSRSRSGSRSRPASHVSEGSKSLHSSSRSSSEAVSLLPVQVTLTISPSGSSSNL SILKLDNTVVGMVDSSKGKRGEGGSGGGAGSGGGAGIGGSGGSGGTVKRGGRGGGGGG GGGGGGGGGGGGGGGGRGGGKKPPSYQSMSYESLAELKSGFTTVFSSFMELLESLINS SFSYECLEMMEELLSLCKKKSLRSSETCKGLLSLISKLKGIDDDYRKLRDEANRFATS LLKMDEGLSKLMEVSLKSNGLLLKSTYIESVNNLVDTIKELNQRIKLLKDSMEDENSK NCGDGGHLSLLKTQIMTFKSYPH cubi_01524 MRLYCLFFIYLLSFIQNDVFESSGDSRIILSLFSSSLLKLSTNI EDGGGPDVGVTSEFQRLSLMELDDIDTTESDKGNLVTVSFQELLSLRSRTLTTLREIK TSYLSLVDHPIDEKCVGSVTLIYEVCALELDANNSICKLLKKILRRVKKVERNYSAMI RKYSGYNREILSALFDLNSLMNTYSRRVELKKSYLVSTEKLLRDVSLFFEGNKGFTSF IISIYTQFCSVGGITYYTNKVDQEIQLKK cubi_01525 MRGIFAMFNSLTKTLLLFFGQLILFHYFFSTISLRCVYLNNVSI LHLKASNFNLNESPQLETDDPQLSGLLEEYQRLSTISIDQDEEYTEISIIMSRDSIVE LLYTIDMARSVLSDWTTKFERLHLGRSCLDFIRDMIRICQGKRYKNCPLCRNIMTNYS KVKKISRDYDKFMEKLDHLNIRLLGIRAKTQSYIKAEHEYVTVQKEDKDVALKLCEYV RRYFAFDIDGLYNLFERYNSLYCNTLTLYMVKDKLITYKPSVSFKGRTKHTSSSRRFS RKRSISVRNEAFPLKQRSNRRKSSKSRTSSSSPETKGYLKPTISYINKIKSKKSE cubi_01526 MGTSYLLKMICIKKFYLLTLLVICFDLIFNNDYANGSILLFNSN FPGMQNYFQGRILFSQCFLNLSLKSNVPGERSNDGSSRSSSNTGSRPSSGSSSRPSSR PSSRPSSGPSSRPSSRPSSGPSSGPSSRPSSRPSSRPSPRPSPRPSSIPRPRTVGQAH STGPAGPVGFKGTSSRSTTKGGPKTTIQKPSLSKDYEEEVKGLQNIDISDGKMLMVLV TCEELRELQDLLLLLFEMFIEIFDGFNDPDLLKKCLDKLLEIQKIKNLKKYQMNFGIS QLLKAINKVSTALKSRSSLEFKLQYTLLNTISQQDILSTLISQCNQGSAQSISIPASQ YSALIALPKELESKINAVTSFISYMKSVIKNYCDVTFTSNIEYLISNMQRTVTFKSDS SVSKTKRDSSKTSYRKTTEGRSYMDHTLSSNAKIKKKYQSKY cubi_01527 MGISYSLKISYIIKLYLLTLLVILLNNLAFDNNYKTNGNISPNS SSFLGLENYSQNNSILPQSFLNLSLSSNTPGKKSRKKGSTKSKSKSSNGDSSNQAVES LANMFEQMTISSGGLYIFEVVCLDLLTLAESYGPLLVSLAELHSSVGNFNPLTSCLEQ LDLRRVNAEVVPQALLDAIGQVSKFLESQKRLESKLAKMLAKYVSLEELIRSLIEKCI LSTSQTLTMGSLRYKSLFGLSEKVNKMDNKVKSFILQSGEAYSKFCNEQFFSEMEVAI SGSQYSHTEESMDTK cubi_01528 MKVLQVLFLLTLYALNSIILRFAPNYNSLYPFMEDVSDSISLLK VSLSNNINRKPRFKLKKRNTMINQSESSKAILCEFQRLNEIDSSCIVKNSEYAVVSCN SIRVLLETMKISIETFQNYYGDLQTSVINPKCLIFLESIGRECLKTENVKFKKCKMIN LHLRKVGSAVSNYYAYLEKLDEFLKGALQLFHSLQKYYANCNEYIVFRAKHFEDRVDF VSLHSERMSKLHGFINYVQRINKVYCDPQALIEMKKSLLLFEANTSHTSKSLNNFEEG EKTCGSVKSTTMTKRGKTFTKKNKTLSFMKQTLASKNKMIQKSENQSSMPKKH cubi_01529 MMIANNNNNNTEVCDFYNDPKRKERENEYINKETFPTLDLSIEG IQESISKVWKECQQGQELLEEIDRILAVLYSKISAFSSSNNLCFSIQSVSELDSLAKE YEIKRTLIYETLNKKVNLKNELELLYLDFINKQLHNPSEHIQEAKKPFLYPQFPDSFA SNISQKSPNSCSDWSMINEIEQNAHSSSSFDSFENYSEDDQDNESMILDMSAPGSYST NFEYIQQTQEDRLEQSEYELLYDLEFEQEGESDNNPPSMLQ cubi_01530 MDWTSVIERDLRRLSELSKGDITSILGFLHHDACDGKLYRLVRS SFPFNLSSGPPNWGLCRPSEIPSTISLSLSYVYRNAVEAANAKLPIKWTEEHVELYLE ATIVSCWSSLSENESENADPDLGELEIGTDLLNEISLQPVGQKDSRIWGLWAVLDFLN HSRKQNETFSNGSLIYNAAMEAMKRIEQGRSYNSEPEALLTAVTTQFTSIMQKSKSGG SIKSPRDANVEQIVTLTQNQNISHHHNNSGNSSSVMEITSNIEDSSSSSTSVKPVESH RAAIRYVPSKRLGGRAHVFSLSSTTSSTMAATPAVNKINDATQYGYSSSYIGNSHLNI TGSNDNIYGSMNCDPKTPIPIRRQKIDEPNIKLTDNTKAIRENMNIDVSNVQQQVNRH REYGRRIGFNIEENGKNVVNSNTGTNIHINHTNISGGNGSNASNSVMRMDTESEVDMM NGSGKNELSQNPFVCKYSLEPAVKYPGYKLEVNGKYYEIISSIQKGGSSQVYKVKESK TGEMYALKCVRVFTKNHDHSNSHKDPEEKSIQKEVCPTSGSRSRKSIRKSIANNSSNG VNEDEEDEDEDEDDDNEEQQLLLMFTEEVNLLKKLRGCPHVIQLIDSEIALSCGAIDI IMELGVKDLNGILQGSTPLPSINVLRSIWTEMVLALKNVHDLRIVHGDIKPANFVFVE KKGTVMGNGMDTLVNLNIENINNTNNCTSVPSELLDESSSSVSNSSIEKSKLQNKTVK IIDFGISRPIADDTTHIFRDKAVGSLPFMAPETVRPVAIPESKFAAAAVASKLRMPHQ VMSRTADIWSLGAILYRITYKRHLFQQPIVNANKSSNTGTAGGKKSGSSGKSNIAPHE ILMFLQNDQSKISFPREMGIMFSEQAENESLWFDALRHLLKWTLQWDPSNRPNVNQLL THPFIDTAIVSRYVMQQIPKSIPNKHPSISDDYLIYNVKHNSQTDEDRAALLELLFKS TIPLSDLYKSKPKNIYLSSMRWNIVTNGNFLFYSLFEALKANLNADQGQPQQGSCEQS MINNLAISQYISLVKEVSIPSPPSVNTLNTTTRNGNI cubi_01531 MQPNIEDTVCKLMSELGDGNLSAIQSTLKGIDPGIVKYSLSRFT DQGKIEFGHGKYLDAIHSFSQAISGYKLDLKDENKVELSKLLSNRSQCYLKLGKEENY NKAFQDAKECILLNPSWSKGWYRAGKALYHLNIFDKAVTVFKAALKRESESNNEKSIK EIESLIKICEKKADHDNAIKRVTVDYSRFEEALKELEIEELTENANNNSQGEGLPNSQ NIINLPSNFGNMLSGNGELASSIGNNENFQLELSPDLSQEEIENLKLSLGGSISMDNN SDNQNKKKKVKSKFSDKLIFNPSMKFIKSDPKDSESDNIKGISRFLQISSEIQWFKRI IENFVDQYYLYADNWINVLNKLNAKNIVFIGTGSLILPIHYYKKFGKTSYIITITQAK SNSSIIHRLYSNIALSNNVKFTNFNLFDSEFLKDFESAQENCSGELDSEYNENRSLKI IHGNIQKLKTEFWELFTPNSIVIDPSIFEPGILGYGLIQNLKNIPVNINSNMMENEKK IKISVTPSLIKVYVQFLNINIPSLKLESQSISEINMNKLNEGLWSPYWEKFKVSSAYP HINYISKPILLGHLPLEEMVNKNGMDYFTLNSISSKELTISKIENVNEKDTENIYKGT IDYLLEPNTQINSILLTFKAIKEFDDEEVLLLDSSREINKVGMESVIPPAISWIGSDI KNNDQVNPVKIRFDFQIEETRIVINPNTESFSMLKKEQQNKLKLPNKFTTSLPRSVIE NLWDTNSIEIWSNGLINNYKSSIIHNTGGKIFEGLISSTSPGAILPIILLFISSKMNK STKNNLYSNWKKNDFHFTCIENLPNVQDLYMKIIKENLHLLLPDVVENDIKKLYNHDM VLNDNLETNQSKYWKPMSEVSENGHVINHPNIEKEHQEKLKYYEWISNNSSAKHILNR KLSERLTFVNCDVRQILPKTANIGANPNNIKYYFVEEKVRLFTGMNFDHDGLSEGIIP LWGAAFNNGVVRKYNNNKVPNIPVPNKISFYGFVARIGPNMCDEHNIDISFWDTYRFE GNSQWIPINNNNKYFMSEMSQVFHILTLDLNSEEFSKLSEWKKDINSRIIKQGRANSV VIFYDLWIDDGNILTTNPLHNDNVERISSFSYLEENTPTTTSSSNSLNSTLNELTEAI PIESIQNDINKRINCHRTTFWKPVFHMIPQQMFEIQDSIEFEFRLKDNFTKLAFKINA INSNNGENTPSTITSDDAENSPSVLPPLGDIAYLQLRERYNSIVKEISPTIYSNNSMI SVQAFNASLSIALNPSYYQGQNAILEDDIPYDIDSLNWLCQSFLL cubi_01532 MAPPYDNAIFGSIIFGVLGFIAAVSSTIYFGIKGSKNLSRSDTA KTSLVVVVMMTFCLWIMWFCVYLSQMFPLINPIHKAEEH cubi_01533 MATINNAVFLSDDDEDESFHGSDASSIIEDESSEEEESNSIVKR SKKSSLKDLINDRKVDKIYNEILEETRKELKKRVPTIRKDHFMLEFQKKCFDSSRKKN SSLHELKHYVDLGVSKVFENPRNFDILKFKASSRNLSKESEEETRRMIEQALGSLEEK GVQYIDKKVKYAGETYTIKEKVDPETFLKKKARVSSTGMQALDEMVESLNKEISINSI QKSHSDWTEFRQMAGLESQLERQRKHGYISKAAFLQKADWKLHEKELEIKRRSGVNNN YGNSSSTNGVGGN cubi_01534 MINLSFTKKSDWESVYEPSDDSFLMEDALIVEKSEIMKLNPKFI CEIGCGSGYLTACLLKIMKELEAEFPLPIAYLVDVNLKALEMSEKVISNNEINCPIET IKMNLFTCFTKNRGLFEIIIFNPPYVPSTNKELNKSILNCGIDSAWSGGTNGLFFVSY FLFGDNRLILSGANYQPQEEEIIRIEDQFYDFPCLIDVLAPKGACYLLLEKNNRPEFT LEQILKDSRYSGWNAKFIIDRNVQLEHLYILKISSN cubi_01535 MRLESLFIFSIFILLIVNSACFATNVGFNSFSPVSYVQQNQQRL SALTSYKRRIEEYNRKIFRLKKLISKIIQTQKDNAQSGFNINSNSKTNKTLDKLCDSD INSSKGSDDVNISGNDELERKLTEVVISLLDEQTKFTNMFLRRMIIFWNPNFNFGVDI SSKVEVERGFLDMLGLGDKSLWSGSNCGGKLIGATDSEDRIQESIPKLIDLAQLYRFS MVYNYIHIIGSIYRHTKNFDRTVRSRLRLFKNMRERLIKTRDSLALTLNTDLPNDVDL PYPRSPPSYLNVGENCNEDIYRQISKEIAFFKKVQDENRELSVRIRDGPCRNHEVTGC TFCEIKKVCLSLIEWAFNDIQADLIRLDRDLKLCIDSIQRNGGVNAPPDAMFKSEESS DLSSSEILDESGESIFSYECDEQDNSQEEMTLTSNTYEDGLEGSEESLGTFTQINPTS KHSDIKRYKRRSSHHRTSRTSKRRGIKSRRTNMSWKLKSLEADPLSKNDNKESSNGTN CPQNNETQITGSKSNDYSASTSVNDDINSNSKLNTSLDIQQSRDSKRITSGEDWRHAN EASRSKVYSPSYGFSDSESESENDPDTPIDTTSNSHNTEFSNSVVRRNIGNCENKRNI PSANVGISRTSETIDTHSRRTRLTKRRRSRRK cubi_01536 MLATAIHIKNCLEENVHDFTGQDLAYKIQERLVIFTGIISFIIG FYYQKFYYTVMTTLIGLAITLLNGDSYRLLHGLTFTDFRHKPRPDYLQNLNIYLPPPS PPSPPSPPSPPSPPSPPSPPSPPSPPSPPSLYPPSTHYSKSEKKLVHKFPSKCNINVS RRDLKHPMRILQYM cubi_01537 MQGKFWAEGSESSSIDSSNSESESSSDSEMEMQAARRRQREVNN SRWAIDSDSDSSEDDRRVVRGAKEKFYDELRMIIRRINNHVKVSDFSSLSDEYDKLLK CIQKSHNVIKDYGIPKFFISILVELETFLDEKFRDKEAIKKLSKAKATSFNTLRAKFR KSVEDYRHQMDDCKINPLAYQDDDLSDSDSASDSYSDSSKSNSNSSGSSSSSSSDSDS DSGSDSDSNGSDGSSDEDSSDSSSSSSSSSSEWSSYEDEYEDRHASALAKWGTRTKSV KKEKESVKKAHRQKHVKKDFQETATSGGTGNNLPSGDLLSFEGEVTVDMIVEKVGEIV AARGKKGTDRQEQIRLLKKAAEISRPLSLQAYADVLTHLISAQFDTITGAFHCITSGI WSEICDNINILLDLVLLNNKDKRVYISGFQSFISSKDKKRKASVENSGSGANSGIDGQ GSNIDTENINDENSSIGDVVDLKEQDKSTVTFLVSFIERLDGESLKALQLTDVHSSEY KDRLVQSLHLLALLWRCYKICEERGYYDLVSSLSVHLINQLHFKNDSLAVKVWEFVRQ ILEKTVNSSLSPEELSANSDAQSMNCAGKTPDIPVPKKFRPSELITELVGNVYKYGDS KDKLRVLIQHVYNMALHDNYNEAVNLFQSIGVYDMALGSDVNIQILYNRSLVQLGLSA FRLGQISEAQQLLSEICMPNRNRELLAQGMSNMKSQERTPEQERAEKRRLLPYHMHLS LEVIDCIYLICSMLLEVPYLAYHKSLIMQNEAANSHIKLRPISKQFRRLLEQYERQAI TGPPESLRDTIIAATRSLQLGKWRECRDYVFSLSIWDYNQEDLQQTQERLELNIKQEA LRTYLFTYGHLYSSYSVGNLIEMFQLPREKIHSLLSKMMLKNELQALWDQTGEFVLLN HKQASKIQNDSLIVADKLLQFVDCNESMISSKGNASGGKNPSATNNRNSAGAGNPNNQ GGGSSSVQGGGLNTSNNPNTSSNVNQSFASNYYSRKTVSGQYNKHKNTSSSSSSVPSA SSMNVRPIRV cubi_01538 MEICDWIKNIEMGVLAAIEITRNNILENTTNSINNTDFNIIDEY DKLYNKIESLCRDQKEFYEYILENSDKDNNYLEGVKEYQERVKLEKITQKLENSKEYL RECIKSELQNINYIETLIIMWKHSS cubi_01539 MLDISYKKVFSIGDHLFPELELRPFLLNLPLNNNDNTRNGIKKK VYRSFSYKIEEYRKELNVFKDENNVFNLIFDNLESLDEGLVRSFSQDLTFSDKDSKIV EDIIELLSDPNICGFIFIIAKPVYEEDLKKPINFKPVLGAVIELVDDNERAIRMIFCK RRLPYVISEKLIRLSIGRIFIHAFKWNYDNGNNKKKNNSKLITILDEHIQFFPIPAYN ILIKEMNMLAHWELSSKKISHSDNFKTKCSCHKFSELENSRKFWGISESRYISTIKLN PEIFGSLINLRDFSNCNFFKCLRNDLKQNENDSKMSSSSSSDNDLFSSIHKKRKIV cubi_01540 MLSIRGKNRKTTKGTGLSKTGLGTRNIGTKKQDSSKAAIEPNCI QASKYESNKLINNDSINSEIRENNIMNLNSFPVINKNGNNKISNRLQGSLFRKINEFL YTSDSEKAFSEYMKDNNMFENYHKGYEIQKKSWPVDPLDSIINYISKNKHLRIIGDFG CGTGKIGQTFGHIKGYKVYSFDLNCPKEISEKYNITICNMKNIPLSGKVLDLAVFCLS LMGTDWPLFIKEACRTLKDNGILIIAEVSSRIEDSKSFALNLQKQLNLELIQDPINLT NYFTQFIFKKKPFPIYQPSNFPNHLQSPTFKNFSKKNKYYFSNLKYKYGLRLRWIYRI SKNFKSLKLANMSNHDLYCGNQFYIDHNLLKPCVYKKR cubi_01541 MNKQNLHSNRSNCDIQQFPYNPENFKTFVQILLRLFYDSPAIIV GDCLIREQKSFTDRDLANKLNLSDRQVREALRQLEEDLIVVKDQKSNDGSQSNTSEQS LNKNNISNTGTLNFSTDLESKYSSSQGGTGSNSTYNNSSTGLPSNRIGPSFYRINPYL PAVVEWQYTTIIHEIDQEIKDAVNLDELICNRCNAKYSSLEALSLDLNPDDGLFLCRF CNEKLKSVDSASFRNAAKDKAERVRSQLQILSNSLELVKNMHIPIFPPYQSKNDNSIN KMKLTNAIDDGNSNGNNNSKISDDRSIQNSLSNDTLTPISIGNTSSPHSNQSNFNINQ QSPGIRNLNSSPSLSSSSLTQTLPQHTISKVKFGIKLSTKTTSSLIGTSNNHHSKLTG GNKIAIKRESLNGLSSSNVSSNYPSNNNTETTATTSSSSNNNNNDNNNNSNNIQGTGL ESHSQISITASSSAKSPTKIEEPTFSVSAIKDKIFKITEIDDEIINLMTDTEYLKYDE LLQQYQTLGLINT cubi_01542 MIEESKIDGELVSKEPKIELECYISPENSQVIGTESEIDDCSNN EQVFNKLGDHNENIIHNFDQIKVFSRSNMEQVNSQYLYLTTTASSSDQVIDHCNPAFP IKELEECKKSKNESNPNLNDVKTYENAKNLIKFKIEKFNSVIKEDKEKRKVIVEWLNN NEWSCKQWSCKKFGKEGAAKRAIQFLEKIHGTTINCLPEGFYSTLLKIGSLEGSKSSY SRRKSADYSEKNANKRSKVNQYTGIQELDSSLTSSSPFKCHQNQNFHEPQMVNLIQKI MLLFSSLDTNSFELKEKFICGQTKIQESRELQWEKLNLNCRRQLRKLILENTINKKFS FKEYGLLLDQASQMLGINQTENDEYHSEDRTIFDSILGVKTVKEVLNKTVKSDLQLFY AIKDILQIIKMSEEGVVENKNSEISSSYWNNLIENGSRINDDLQYLLEGGLAGYSSVT CSNYGNGFFQFNCKHNSSFNERINFNKMINHKNSCMNLLHTNIQENGYRSVYPTYNER RIATRLQGKVQNLDNCEFTGVSSQKLLETNSILSDSIPVNEYQIEASEAEHQSVKMSN GIYTLLDMKYRVKGGQSREILCDMLGNNKVYHDENVNNNVNSENENQFSEKKIRKRRS NYYPENNRKVQRLNPEKQELKKSTIEWWKKPRGWKVTYYKEGQKYSQIFRVSLNSTNI ERESQYKLAYNFYLSTREEGKEEVQTNNENDNVINNGNGINTIFCENMMNNVTEKLAS SNFLYNIPNIINTSNLIQMLNTNINPRNIISSNAPLIGNILPLNYCLTSLFRSFNPPL YGIGINGGSNIQSDEGYNHLSMENHARAYPSTQISCQPYTPFISSINPFIIPVFPSLI QNLNLIQQNNNNVNTEWNEFPVGSTELPPNSNIINNKIE cubi_01543 MMSLIHLNHKFYKTLLTLSILLVLCTGEIISSKTKRKYGYKIHE NTKVAESDYYSINSRSKFEERIENSKFPLAKYSGDRLSAPVQLLESIIARDIGRFINI SSFLSKIGYKFGKSNHSETPLTAACKISGEIGYFFVSHLLSLGASADVYSDYGFTPLL LACKYKHKEVVRLLIEKGKSQLDCTDSDGRTPLFYAISQLDIGLVNYLLEKGANPNFR DILGNTPLIHALMVNFKEAVSSLLSFGAFPWIRNYAGFDPVTLAVLENRIGILPILIS SKRYSLEEFNIRKNIPEYHHSAARRLLLGIKYYIREQILLELLEIEISLENVVLCSAS DQNGLTILWWACKLHYPKFIFRLLEFYLQARNLPEGHICNPHKTGNNQIMPIELLITH LYNEEQPYGFSFRRRFMDLIFKGASFKTMNTPNIVLMNIFALLFMLDPYSNRSFLIQA LYSNRSIESLTKVLFGIPMYNSKPDLQSITQMLILTKKSELMNRFTNMIKTIIFILVN SHKNQLQTLQNQNRDEKDQQQQSVLLLTSKIVCIVFKRNLVKYKDDDTGEFFQKNILN PFIYPLLVIGETNSLEESNSLSISILRGNNLLTRFLTNLFNQCIEQTVTKIQFKYKRL CIKAYQGALKLALKQYQPADSNSIFQKNVDLALFTLLQNRPKVFNDVLLELDYCKQSE EAIAVKLLQYVVTVFLPKLSYSNKRLFNSQLNLDIRKCTSESQTALNKRSYEFCNQYS KNNSKENSNYVESSALPFPTHTYHLTNNDKISLLEFSTKSLIKYNCDVSTPRFVDLLL LSTWENLDLLSNWFVTENHLRRTMDFLLRSVVPESVQMPKPLSLFALKILGFSPLFSR FVLRKHQINEGTKPFYNFVIKRNIISEAIINHPSFKSDRSIDNKITAIILISLVIAIL ILLTVLIVSDSPSSYKKRYLRSNLNRANTDTSIKSGYKSSNKSIIGSEQDVVVETLYN TYHDEGSISSLNSYLEETTNSYKVERGVNCNTNDNSNHSSISNTSNSGINVINDSKHD QSCDFHYRDDDINYSGQSCQQNQSVVERSKVSFILVTFKALFGWVMSKYVIFAMNLSS FLSAKKQISPTFILANNLPIKSRSCLKALLFGLKCTFIGYDAFEDIFFRIRKFFNYKT TGIQRKTNTFLFLGLLRFLILTLSMYWIISCKSIDLLIVSIYLSLLSAISNASLSLAS ISIHERDRLFHLFVPSTVDLVDSVNLDYGYQKEVFESYPELSPENQIDFSTLNANQPS SNVSPIYDDKIVHILDKYADSLLTPSPRLISSQIGGQSPLQSYSPVNQNTDNGGSGSK NFEIINQSFWNKKLPTHKYWALKDVFHEYYSIWISSKYSGLLQAQNFSQNNNSGMIYD MDLASNSHSPNSNKLISQNPFEAINSCIFFSDEYFFFNSNNVRIASSSNICGNLGINN KNNSKVNSFSQITNSNNSPVSYQNNVSSAEYSPVLSNNSPSQINSLYLQNATIEHHIL SICQTEFIKPNDCRIWYIIQWLICMFITLSFYLLSYFDQRNLYYNFLQYPDKWKRFSE STIITVFFEIILISHFALILWTFLNPLGFLWVLIIQRFRVFLYIINNLLKRRKKRKLT NINEILILRELTFFITIWNYIISRNNGFLQLTLYNKYFKSAYFFFLVFFTLHFFILKG QFQLIIRSQLFFTLFTFVISLMVLIALIFVFILLTNVVTKSISSSINSILHTYYHNKL FHLFLRREISILTAKRHDAFLHFDYY cubi_01544 MKNTQNKANSIFFQDSKFKIPEIKIIIFLLITLCFGILKNSQFA VCSEIRGPIANCKNAHDNYYYKKAGLEQLSEDKLYKDNKIFGVGKNIFPELINELAEL PEVTKNLLLTGKFDNTEDFFESLVYVENDIEDNSDNQLYSAINHDGSMLFSFNARVRK NAIVPIYYKRPVQKVPLEEKLTYYIHPLYLIADFLETDMSSKAILDIIEPLMHNITMY NHEYKKRNLIYCKKLNSIKSKVLDTEGGYHILHNLIKRKRSGSLRILKKLIQYGLDIH IFEKFPQLFTLAVRKSNHSIVRFILEEISKVTNCSKSEQTTLENSGHNFNFTINSNSS SSFNITNISSNHTNIYSPPNEYTRSSVIPSSPSSSLNYLNSSPSSIYSTYSTEQKNIN FDFLNKFQNDDYSALYIHKIYDHSRHNHFHDHYNQSNHHSTASGGKNMKCDQSNLVNM VDNYNHTSLFYAVENNDLEMAKILISNGACINMWDPRTGQNPLMVALENHNGAMLSLI MDHGGDPLRISPISGLDVMDLAIKENDGKMIEKIVNHNNYDINTSDNDIGSIILEYAT FQDKSELKLIGKTFKKHLNRDYFFKQLKDYEKEARHVLDIVKIRISDSLLNRLLKMES KKKVAIICQITDEDGRGALWWSAYYGNIKQIQYILDFYSKAIRNKWVGYQNCNPHKDD KYGIYPLNLIIRKVLKIPKKLIIDMLQFRDPQTNSTLVLQILTKSKQPLRALKSIIHT LKEKFNISYSFINIWPNGSSITDPIYYSIRKKLPTKVIYYLLEIAVKYSEEAMGSTSI WRRRVINSVHILKNVKNVDISSMLQLHESHFLHFDHQDGNIFNNDFNDDLMLSLKKKL IRNMPDKNERKLLKEPKLFFTNNGVGSRNIFDNKWRTNINFLLQNEDSQAKTPSNTIP PEDFPLVILPPKIRSIFSTKYAKVDNSPESIAKIILGRGPSHKSIENIRKLIEYAIGL GSDDFQDSNGYNSIHSSPLNMSSLFKTGNPGKIYTNKNSFGSNSNIEKSQIIKNGNGQ IFRKNSNDDLGGRKSKNMIKLEKAIGKEMNDGSYSNSNLLKSKFKKKNHLDTYDQEIG EYESTASSSEDSKLSFYELSNQKSYYSHETSQNTGMSSLSHKRQFPFSSSSQSTSPDI SSQSSGSSSSSSLPPSSYTYANFIPNHFLYPFGEASTDAIYSIVKLRPETIGEILTRV SYKSPSDAIRILSLLDALPSDFPPFNVHAYSPLQRAATILYKYKGQRDTLPVLHALLS SRFVQLFSSEQLMESLNLLALIDYKPSSTLELFGGIPNYCLYYGNKSGNFRYYYSKSG YWEMNSFSNEKGTIDDNDFDSSIGIDHKKDHFEFGDQSFDTLEEENTQYWNFKTNYEK NIKFNMNDNENEKNNIGNNESLSADKMNNSCPIKLIIIEKLKEISTLPWEKKLTDSDI MFLILCFFTLLVLFSVYFNSNLSFHSMFNSINKKMIKYSNQLNSEIPLSGVECNFAMD FGLVFMLNIPFPSKNNIKTGLWNAIKACFLINKVSDFPVKKYGNTHENHYESIQYSFV SLIIKFSNFFNLIKIISILIFFIWIVVFQIYEEIVCFIILTCIAIIIYTLHGFRIDEN MYNQLSSCFKYNNALLLPEIMILYEQTNNQVIRIAKKAINLHSNLKNAKLSQNHDAKE LSTKQIQKFELSKNNFYPENTYTEIPEIGLLEISKFCYNNVEKIFKCYRLLFIIQVVC LILSFLITIKLPFNCYNNPEFVISKDWKYSLTNISFIFNNINSCRKLYQFQYPHLFQM TRFYPLTVSILHFIAFIYYWQLLSMISSPILSIILALYHFYSLASVFSSAISTPISIL LPNAKNSKLLISQSYSSKEISRTNSVSTPLFSGEKQQSKDKQSSYNKSLIIDEKNSII SSNGCNSPSHVNLTKNSSINSLKTQSNSNIRLQSVGEIANPGSFDISNMRNQSGNNRV CHNSDNLSLTPPHNTLNILQNSNPITTRSFINNQSPEIRLSNFLKLNHYSTEIQYQDY EHFEMNYSKIYPYKIENNSETSYYQVLEAQIYPFFIWADSRRASHRICKYFWKPIDFF KDVLAIVTISFAMMYYYVELKSLPIKTIRLWNLVPIFTLLLSASLIYIMYIMIKIHNL TVEFWQWVPDVYDILPICSNLLNSVETTMRLLPFLTSPFYILNTPVKLPLLFGSIFMI VISINFN cubi_01545 MMPNQVLVVQQPSQRDQGRKAQLRNIQAGKTVADVVRTTLGPKA MLKMLLDPLGGIVLTNDGNSILREVDVAHPGAKSIIELSRAIDEEVGDGTTSVVVLAG EFLACAEPLLQKNIHPTVIAKGYLRALDDSIKYMEEMSVKLDVNNRESLVSVVDSCLK TKFSGRWGNLISSMALKAAEIVSIVNKDSPKEIDIKRYVRIEKIPGGEIEDSYVLDGV IVNKDVVHPRMKRLIINPKVLLLDCTLEYKKGESQTNVEITKESDWEALLRQEEEEVE AMCNDIIATGCDVVFTEKGVSDLAQHFLVKAGISVIRRVRKSDNNRIARVTGATIASR TEELTQNDVGTCCGRFEVKKIGDEYFCFLTESKNPKACSILLRGGSKDVLNELERNLH DALAVARNILLDPALLPGGGGTEMAISCYLNEKSFSIDDTQVWAYKAFAQALEIIPKT LAQNCGANVMKTLTLLRSHYLPSSPSKGQKPVHGINGCTGAITDVTELGIWDTLAVKQ QVYKTAVEATLMLLRIDDVLSSVSKKRDPVQNNVEQNPNMESFGDSRDG cubi_01546 MLRAPIILLKEGSDTSQGKGQILSNITACQAIVDIVKTTLGPYG MDKLIHNSNSPDDVTITNDGATVLNLLGIVHPAAKLLVEIAKAQDDEVGDGTTSVVIL AGEFLKEAKNFIEDGMSPQVIISGFRKASQIAIDKVNSMKISLYEETPEKRRSMLIRC AETSLNSKLLANYKTHFAEVVVDAVSYLDNEMDKDLIGIKKINGGSVLDSFLVKGVAF KKTFSYAGFEQQPKHFMNPKIILLNLELELKAEKDNAEVRISDPSAYQSIVDAEWKII FEKLDLIANSGVNVVLSRLAIGDLATQYFADRNIFCAGRVEEQDLKRTALATGAIVQT TVYGLNKDSGVFGTCSEFEEVQIGAERYNIFRDCAKTKSSTMILRGGAQQFIDEAERS LNDAIMIVRRAMKSSYIVPGGGAIEMAVSKTIRDYARTVLGKEQLVMNSYARALEAIP RSLATNSGFDSIDILNRLRQKHSQNTEDCKNYGVDCSNGGICDTFNSFIWEPAVNKLS AYSSATEAACSILSIDETVKNKSSEEDRMQGPLPGMGGIPPGMMGGVR cubi_01547 MNKSEIFKAKLFWILVPIIICLISISINIFDNNSFQRNNKLLKL WSEILGNNNKLSKLRKLLGFSRNKECDYKKFPILRPKLNIREKNKVSTWNDLGVILQS KWPQIPLEASLVEFLSEFYQGIGKTFEELIRDLVNLNNPQDEKRMITTKLASELVNDN LGIKLMETMIINKYYSPRIESIRVLERSQRNVFGIICKKATWFIVVYQEETIDTFCDS KDLYNSVIKGKSKGFESLSKYDLSFSRYDIGREHILENTGINIEENNNQIVNRLRKIE IYAYIDFSDDNSREILNNLWNVWDILKDQDTVKIKIRHCDHMDFTESYEYLSGFGVSV SKMSLESNSTVDMSSNVNSDFQNQYQLFQCNRELEKSHKDEEYENLATICDKAINMEN VLDSDGELSIECMRDIGAYLVSLSKRFEDQLGAIIHYLENFGTYQLALCNGVRENTTL SGYSQLHQRVSEGSTFFTLNGRLFSPSETNFFNLATILKSIIFSKIRLLRNKLDETVI IDSLSITGINEKEELNLFMESINGNLNDSRISSKFKNVIRDESLKNLIEKGEILDFNS VLEFEKELEQDPTLMDDMDWLGKKEYVLSSSSPWVRSSPRTDWKVANILPLKSRHFYK DITNIGDSQWGETPSIFEKVWFNRNITENNLYQKEIVNEVETNNSTEANVFQEVEYSP WIMYRIYFSNQIRNSRIVQDYAIYDIMKEPEATLGQYLYPLGSLPELFEKYPNNVGIY PVKAAILDIIILCDPFDIECITVTIEIINKKWPIRLNLLLIDPEWVNERKPDYSRPFS YKNVTSDEDFQAKFREIYEDKRERENNQERNQTLCKRYYGEENIQNCPEWINEKGKLK DSRSKIFEYYDKYDQGSKGEYAIKLAISEVFGFLVSSSTIQGMFLARAFIEMIAKNLP LLLEENYTLSQFQEFLSKFFTHFSINAELSKVWEIIYSNNPNDDSYVNKVVSYCRLKG FTTPGALINGYFVEITDLILEEVIYGIAKKEQRMIMKGIKEGQITSSDDIYQYIFNST LTGISSVPIVFPPFTKSIKFENWPFIGIQNEWINIEKEINFKLHQKYDVVSMEEDSKN NEKTSKPYFQQAKTGDYQINILEEDYDRVLLDLEDLSALDYSKLWDQNPELKHNMENV EKKSQSTPWITFIVLIRSSRTGLLGLSNLIDYWISTLSYQASMYLNQYNELLFNRRFK LMFIPPNGVEENSEISLILEKCIDDVLYLNKTQKEKDKLSQFVPILDKLRFIKWFVRV VLETMDEYLDIDTFTNVNNQDLNLNDSLVGKIIDIYESLSRSFFGKSGNGKLDTDYFE DIDLIESRKRVKKKLISKFSSRKEKEVISFPYSSVGNGKLKGFPKYATYNLNQEKSDQ RYGKGSFEDSQLSKKDCFETFTMGIVLNGVYLRICPNTYPVYLRKDVKVNYWSSPIHS VHFKLLETAVTSRMRQLVLLGEFKRTGKKEDLLYRMLWSKLDPNKMFILINEHLHVSN LFKSESLIQLDDIFSSSNSLIKLHLPTVNTKGSSKPQTNTLIGVVAVVNPMTTFSNSI LRLFDILHQLLEVDIKLVYNPVVNYQNINQIVLVDTWRRYVFNYPKLKIEKNKSKSQV MTSLKELMYSKGEPSEFKVGKDDLYYLNSQLIAKFDIQTEKRLQINIERNNDWSISYL KTILRQPRNIQGNDQFILPGNVFKAKGELLVYELKGREFEATLIDIMETESVNLKNKI REIQIIPSRNSKFEIESKKIIPKQKIYSITEYNSFFGYLGIGINDLLLTLNEYLDTNK VQDKKGVNNNNEKESLLLNFPLQIFNQRLFETNHFVIHLSKLSKTLKGSISYINPEVK PKGLLKILHIFCLSTNLLKREMEGGIIDKLIDLIDKGFAGQVKYYNKFIIYFDSKVIS QTIRDYYSYKLFWKYYINIEYLEITRPKWIPKLSIQNNNSIMDILLTLEHWISPKIEK LLILDPFLLDVNSDLNNYFELLNINNNSNIDNSKQLRIGESAIIFPKKDGKDESFSTK MGLIIMNKYKRIGNYLKKAYFELYYDLEISKKIKEDNQNIMDLFISYLRNDTELKIED DEKR cubi_01548 MGILIKYSKTTNIGKAIALVINYLLKQNTELKIEESIIDGDINE SNSKIVLIKDKENCNDQLENIVDILIHLGSLGKNLLGKDSSLVNESKEILQKLVEINF KFSKGPEMSEFNEKIKGKSFFLGRSLTIVDLVIYISLYSYFESLKNEGQDYVPEYPNL STFFEQIQIVTQIRQSCPENIIYLDLPFFKKKLVKKEKKNTGDNGNNNNNNNKQEERP LDDPTRIALRVGRILSVERHPTADKLYLEKIDVGEEEPRTILSGLVGIYDLTQKINQL VVIVSNLKPRAMRGITSNGMLLCASSALSEESSNNNQEESPKRFCEPVSVPKDAKVGE LIFYNDFKGEPDTVLNTKTGKDPFVAVQPHYNVSGELICRFKESTMMTSAGPIFVENK SLAHGSLS cubi_01549 MPSFIPSIRKEEEEENLEECVGISLQDELLNKYFEELSSLIEEL KKEKNRIQEGMNKYTEQEKAKCYALILSSQRMLEIIYFEIHFSESNTRNYQDFQNYLK YKENLSQINEDFSKFTVFFDPNKDSKNEDKTEETSNLPFKDVISHSSLEVNIKDNKLY HHQIMEEKSQDYYLLQSKRLLEETNQYSQQVLLNLNTQKEQLMGTDNKIKSISKNIQD SSLILDKMTKWWHQFI cubi_01550 MIRKRKKTQTPINSEKLFLAELELNIKENELNDLKKEIKQDNGY NGGINQLEDGFIRKLLIKHNNSCRSILNTGLFGFLKEEEIKEASLVCKLWFAEANSIK TLQERYPIQLNKFSKSQRKWIWKCILIGEDKYKLCIEDEKKIEEIRIKKKSKDIFISF ESELDCILSNHDEILRDISRTFPKLKEFQIYENQNKMIEILFKISYALPNVGYCQGMN YLVGIFLYALDFNVDQVYSSVMSILINWEYQEIYNKGLIKLKEMCFVFNELIKEYLPE IYDNIFSKKENEIEITPEFFAIQWFLTLFTYDIADTEYAINSIFILDNIIIHDPSKNF NITVYKIALSLLCIIFKDYNFKKLDLNTHILEYVRIRSKEILMNINEIDTLLDMSRDL KVSEFIIQKIYIKYKKNTELNDNLNKIVGESGDDYNIEKKIIEGNQNDNSSISNYLKF SESSSLLKRYTVINRFEDLDEFPSSFHPYFNI cubi_01551 MIDPASAFCIGVYFLGVGVVGSVLYIPISLMRAIEYYHLSRDGK NRRNSLLDGDSLLQGYASNTNNGGMSNGNNNCNNHSLHDDNSSLFFERPELLLIHKKK VLRDILNDNNYLNNLVEFDDKSDYSFGLYERLTTYQTLTHNNVSNRSDFGVSRKSQTK NRNSVINGQGGGIGFEFIRDVLSTTTPSDKDENDDQPEIVIDDESAIFSLEYFKHIGI KKEHDNQTNYGLYVCSSTNSTSSIISSYETGCSSNSNFTNVRRRNCISDYQTKERDFS ESNLLMYGHQGLKQEKFLLASLNDGNNDKIEKSMIIEEISAVHDILTL cubi_01552 MSSENKGMLFCLGCISVIPGMKSQLVNSMSFHPPIIKGYKYTKS GDSIVLFDYHRKKYISLNEMKKNLINIDPGRCRIQVKFTSVNSIDMFYYKNPAAKLTI IYSHSNATDIGYLFGHLFDFSHKAQVNIISYEYNGYGQSKQKTSEESLYDNIKTIVNY SVNNLKLPASSLILYGQSIGSAPTVHFASTYNSINIGGIIIHSGIKSAVSVICNNTNS KSLPWYDAFKNLEKIQKVKCPVFVIHGTADTIIPFNHGEMLYKLSPNKYTPWYVNGAN HCNIELNWRGELISKVKQFIDYISPKPKIISSKSCPNHHIVSISRISTLSSQGFENLC HDIEDEDEDEDEDQDEDIHYDYAECDIANQDYDYNQKNYYPQEESCFIPESHTRNYLD HNNTTKSSSKYFNNQTSQKEKVIVHSNISQPNTLSHSNKYFTQTSSGSSHNLGNNYQL PNHVIYGVSIYEDNSSLFRNNSNG cubi_01553 MRSRDKNTTTTKPSPTKMSITTEHEDNEVKMDIEGSNTLGNSDP YMMKGAEYLNKESRWKNKQRVLVLSTRGINFRHRHLMEDIKKLLPHHKSEVKWEKKQP FSEISEMSELRSCNNIILLEARRHEELYMWVAKCPNGPSIKFQILNIHTLGELRLAGN SLLGSRPLLSFDSAFESDNYVYNINFGDEQKHMKLPLKLIKDLFIQVFGTPRYHPKSK PFHDHVISFNFLDGKIWFRHYQITPTTEKDHNNVDKQVLVEIGPRFVLEPILILDGTF SGQVLYRNPDYKSPTLLRNIIKQGYSSTYLQRVQSKQKRSDYEDELDSSLMNVPEKKM QILRSDNVDDFLD cubi_01554 MGSFSDSYSSSDTCNKETSNKQNTLSNVNNDNFNVENDSVNANQ KNLESSEVNNTTNNFVIKASSENFKTNVRVHNPPGGKSTFSLY cubi_01555 MISIFRRASEIILTSFLSIFPIDHVAIILDGNRRFAKVMGISQL EGHRQGAFLIKKILPLFIKLRVKYLSMFVFSIENFSRDQENVNNTFSVIKDYILSEGN WLNEENSKVVISGKIEMLPKDLMDVLKDLEFKTRKNTGIVLNICCAYSWTSELEMASK KYLESFTTIQKDCLVNTNANSENQIQDLTLTDEKFKSFIYNSEIPFPNILIRTSGETR LSDFLIYQLCHNTRIYFVKTLWPQINNLKILIIILHYVIFYL cubi_01556 MVEIYASTNSEVGKKVNWNHINKDGLSNIGELDATVLKEIYSEI IDFANKLAESNRIMEEYKDDEDILDAIDENERIIKRKKEIIELIENRFKQLNREDLIN ESKLQIDDEEYKKNKSDQIEYL cubi_01557 MILENTSDKDEALLFTDDSFIDKADLKFFYRKWLSYCTGAQGVD TARNNLIQNREFSMTIKSEIDQDEIYLRWQNFKTIDQLKQEIVARTPHKFDIGAIYNI PISEKEITPIKFKPVQRELVFDIDMNDYDDIRTCCQEKTVCEKCWLYVTVAIRLIDTS LRQDFGFKHILWLYSGRRGVHCWVCDTKARLLPSEARTAIIDYLTLITGNENRKKKVN LKTPSNQNGAKSHPFIDRCFNICMQYFLQILENQQLFDHKSIHINKVLNHLSEDTKVH QTIQNWIQKTPDFTCLEFWDFLSNTLQNSSDRSHHTLFKEIVFAYSYPRLDINVSKDL GHLLKAPFCIHHSTGRLCIPIDISQNFNFNPKTVPTLTKIRNEYDNINQKDNNSNNVS MIPSSINKYIKYMDNFVDNLIEDIKKEQEEYNTMLQLINK cubi_01558 MEEIDRKKSHRTHLSKRKVLKKKLKKEGLDKKERHNPKAFTFSG GVKSVQRRVQRTLDIASKREKAPGIDKSNVEDESTPPYIVAVQGPPGVGKTTLIRSLV KNYTKYNLNVIDGTVTLVSSKNRRLTFIECPNDMHGMIDVAKVADLVLLLIDASFSFE METFEFLNILQVHGFPRVLGVLTHLDKIEDNKTLRKTKKKLKNRFWTEIYNGAKLFYL SGINNGFYNKTEIRNLSRFIAVQKFENLSWRSSHPYIVSLRIEEINDDDEYVQNNQTN HRKSSKYNKPETSVYFYGFVRGGMIRKNQNVHIPGLGDYFINDIDEFNDPCPLPETTV KKNGTNAGLRVLKSKERNIYAPYCDVGNVQIDSNSMYIHIPDNTVNFTRRKVLFNDGN SDSDSGSDSETESRTQSEEEEESMDEDEDIQDIDKSLQEGEEEEDDEYYNDNIQKQSF DSNPCSESDSEDEKDLPEAVKYVRKLQKSQSILNKRVSDLSLKLLPSSEVSLENSILT ERDHEAGKNEESNNYSMEADYEAMNNQSSYSEIKGKNSDNFLFSKNVNCSLKDLVYGQ NAKARQLFNKMITQNKESSTNSKKKLFEDDLEDENDEFGSEMDCNDEILDSYRINDVY IYPTKPKCIRELVNYWTEDKFYTLKQEKFITGGIDNSDNEEEEETQNGGSNNFEKIAS GDDKGENEDFNNYQNSDLENENEDSMNDQGSKVFKIGKYVRIRIDNIDKEWIRNRQGI TILGTLLPGESVFGNINIRIKKHRWYPKILKSDDVLTFSIGWRRFQSIPLYSIEDRNE TRYRMLKYTPEHMHCYCTTYGPIIPCNFGILAIRSSERTSNFRIAATGVSVEMQAKSN IVKKLKLVGEPKKIHKNTAFIHKMFSSDLEVSKFIGAKIQTVSGIRGQVKKAISTHGL FRATFEDKILLSDIVFCKTWVSMTPREFYNPVIDLPTWRRMKTQAELRKESNIPLAIK ADSEYIAKQDRPDKKRFNSVPVPSKLEKELPFASKTKNESKKIKDKNQVAVIKSTFEK RVANLFQRLSTIQKERTAQRIEKKRIKKEIKMKRREPIERIREAKNAERKKRRYALQG VKMDKQRRKLMMKD cubi_01559 MGILRSESMSHGTLVLPNDRAREYIDVLGREVNLQFVDMNSITM NRQYKKYIQRIDEMERILRVLYSEIEKLPDVKVFKGNYENFLDHDHVYQLDKVEESLQ SLYGQFISFRDNNADLINQKSSAIEECAVAKAASLSFAPISMYNGRSNGDFYMTNAVE RGEGGIQGGNPTPSSPLMNPSIMDGMNSVSGFGDMMFSSIAGVVKHEDQEKFARALFR ATRGNTFTHFQSIAENIIDPKTSKDVQKVVFVIYFQGATTSAVYDKISRICDAFNVSI YPWPSSYEHAVQRINELNTLIQDKEKALQAYEQYITLEIETLIQPVNSNNGNSLIEEW RLFCIKEKSIYATLNLFEGSDITLRADCWYPLEEEEKIRKILIAESSTQHVGAFLLTN TSSGGHGAAGIHISEGGSHDDEANISNTPPTYIKTNDFTVAFQDFVNSYGIPRYQEVN PALFTLVSFPFLFGIMYGDVGHGFIVFLIGLVLVFNYGKLKKINDENLKILVSGRYMI TMMGFFATYCGLIYNDFFAAGLDIFGSRYTLSHDKLPDGSHVFLPNNNSTSVSFPYPF GFDPVWKGAVNEMSFLNSFKMKFSVIIAFFQMTLGVILKGFNNLYFRNYVDFFMEFIP QLIFMVGFIGYLNFLIFFKWLTPIEGYNKPSILNALIGLQSSLFGADIPLNDRFYLSQ PTVQRYITLALLISVPWMFFPKPLYLIYKSRKQKKASMEESRLKQQHLSSYSSVSSRF TSLTDGSKKISRSKSNLLSEDDHHLIAHEEEESSGHSDPTEIFIHQLIETVEFLIGSI SNTASYLRLWALSLAHNMLALVALQFTIMKALNSKLLIVKVVQLFNLFFMFFAFTSFI MIVMDSLECFLHGLRLQWVEFQNKFYKGDGILFAPLNHMRIILETEELLNSS cubi_01560 MRQRIQELHTTITEKLGSESLARVFYILFLLKSMLFVGIVYYYF RKREQDRTKKLNDKRMREIRENQMKVWEKERSEYVSSSKDNSSFEEERENVDKQKKKT NKLSWMSANTNTHLNPHFSYLSTYKPSVSKRYPCKKCCGQ cubi_01561 MAGNALLVPPRSAFGSREELCAFVSYKMRRATELFTFKCSLYQR ELKLYLPEPVPKHRRWIDTFDSESIVTIPISKIEKDEIELFRKYFPDDMDLDSIFPGD LLQRSFKKRISILLTSLVNKIVFLLVLRHDTWYVHQEARDGLREYLVEKIWPRQPKLR LLYRDHVTTFVDTRIRHFRDSVGRYFRDLHANSKNGEVIANLIVTCDYKQSELDDMIK KALEEQKTMFENEKKMEYIESPNRNNYEKSNTKSSLFSSQTEKVQNKTQKYCKNDRSS NSHNTSSFKVELEENHEDFYIEDDLEYHEQDLEKELESYHGLEHQVEQELEQEVEQNP DHDIDDQNIDQDREQRLRKQRLKLRMKQKLIQKQRLKLKLNNEQEQEQEQGPEKEQEQ KRECEREHVNERELEIECDNLKGLDEEKKEGLLEDQATYNSEFEMNEKLIKGCDEKQK SKRTLFLTKRFEQESELEKSSNSSFNDEKNAIYPPLKKKNKSDNNLIYPSLNNMGISN SNSSPPVVSIPSIPSIQSISSNFTSTMSSSSSSLLSPSSSLPSDLVSRLNIIPNIGGY PGQIQINSPIDKSSIYASFLNPHSNSVFTKETSTNQENLSNSNSSLESNSSSNIVYRN IQTDINNVSSTSSLSNFSISNYSPKSKSYSSPDILNGSIIGGSMLNHYSNPISDSGLT VSQSPGLGYGIGSGLGPGPGARLGLGLGSGSDLVLREKKISVLNPYPGSVLDLGPVAS SGSNSGSEVGAEIGLDSDSKSNPVSVGFHGYHLTPMMNYPYFFGSIKDSNLVFGNPFI FSQLNSGLQPACNIQAPPAYFLVPNYSYGFQSLYPTQSGLSQLNPYWFSSSDINHFCP INMSKISTQSEIDQINPNGTIQAYNS cubi_01562 MNKRDRSEIETLIEDNSKGEQTPNEEPEHENKTSAIIDTFSNSD PNLNHTISEGNLGLEFNSDSIPKSVDKNDDMMESGSEPENGSKSEDDEDGYEPYIKYL QALSLSWSSWEASSMLTKDLVEYMVPRFQDCSTPIKVRIIMSILYISDYLRESCKQEF MSILNFGELDRDDWVRKLSRLMIPYVQKGVLDLGETDTETAFKILRYLDELRMRENID FRLKPPLESIHMCDPLTDESIHNEIPCYNVDYDNFAPQKDFELLLAEVEKDGLHKMRM ASNSFTNTK cubi_01563 MKEQQISERFLSFLTRYYKEIYDDFSEPDLDVIKKLKDEILDFE NQVNLSDIISKNEEIEDLNTTDIKYLLYSYIKAETVRYLKDHNVPKERVKRKDDLEEI NELYLQFFNDTLEIKNTIFKDTLNLVDNLQIKKYIEEKEKDFAKDTRQSKIMRSKKIK ELKSTLSLFFKSGFNLSDDGENRDMFLKAINLFFLESIEKVSMINNEINLLNYVIKEE FAKIYENKNKDINVKNTEFQTQEHQPAMNVHHIAPNVRCLTSKENNNACFMFKDINTG KGITINHREQFYSKVFGPSHTLPTISIAEAADMELKEALEQEKSSSIARQKKEERDQI LHDKEYSKEEEEDEIKARSWDDWKDLNPKGHGNTIGNRG cubi_01564 MSDIDNSSDNEKMNEVVYYNGNDEDVFRYLDYFKDNFPLCSKEI DNNEEAKEHIESDYNHFISVVTSFLMYEWDSIKDLIRIERNFYSLSPEDRKLLGITDI ENEIDKLRNCISTNQNFLKLMLSPDLYYDQVELNYNDKCNNLNNIDINNIVDNNNNNN KLNENKNLKIYSGKNPNCIPNTTLNNLCKVKATLRQFVRDWSEEGRLEREESYGPMIQ ALKDYLPIKNNLSSSEKLKVLIPGAGLGRLLFEVARLGYSCQGNEISYAMLLGSNFAL NYMFKVNSIIIHPYVLSLSNRPSKDDNLRSILIPDVCVNEHIKQGHDLSMCAGDFVEI YSKQIQAWDAILTCFFLDTAKNIITYIRTITNLLPPDGLWINLGPLLYHYSGLSDVVS IEPSWEEIKFVISKYFKIVKEEWRDATYTRNNTSMFKIVYRCIFFVAIRNQVKFE cubi_01565 MTKVTDCGTKEEFQGLKKVGKEKSSGSGILKHLILGSLIAVILG CISKYYFFDKIVLTDEKIQNKSSFNQDDINTKNKDETSTKGTFGEEEEDMELLQNDNK IHLEQIPQEDSLEDNDELMFNNEDAILDDEAEYDYHDDIDEDESEDGENESQMDSETL KRILKEDVQLELDEGFATKESENEDSVDINDDAEIDEYEHEVDDDITDNNWSDDESEL KRMESQQEQEQQQQQQEQQQQQQEQHQQQ cubi_01566 MSTGFKVDFASKEDIIKCNYEECEDRGNNLSFNSDVNSVTYDDV SSISEEFSEYNLSRTQSSLAPPIEASAIRDVEEAWRALSKELEENHSKPIIRTLGTVF VTREEVGTKDSIEYRVFYKNEEGKKISPWHDVPLWFSETPLLYNMIIEIPKLTNKKFE INTKEEYTPLYQDRKLERLRTYPGPIPWNYGAFPQTWEDPNKKGDENVDFSHGDNDPL DAVEIGVGPLPRGTVIPVKILGCLALIDDDELDWKVVCIRVCDPNASQLNDITDVEKF FPGTIDRIRRWFGLYKAVENKDIAKVNMYGHFGEPQSAEFAHTVITETHHSYLRLIKG EAANSCSLWIPRSLSNSNESELCHTVCSTNSTATVSSNDIEGFPVNEAIK cubi_01567 MYTLLGDPFFFRINKLNIKIWENVEFDLDKLKNYVGKQYKVEVD SKRINDKFKLYCKTNHHAQTTQQNQSGSKISTWNVIWMIFSAFKSSYTILLLLKFSLM LATFFLAYFLKKFKESIGVGSEYVKGVSLIINHIIEILFNIYVDYYTEVLHIRVRGSL TLFLMNLMLKNKRLNNKRRESLFNDTQDFSKIQNIVSVDGEFAEYIVSYGMELITFPF SLLLLFGITNMFIDAKSISICALILLITGAVSIASQWISSSYKKNFMEAREERISCLT KSISQNDDFILTHINDTLFMNLVERYRSKEMKFNKYRKLWYCVGEIVSHWMEILCSMA ICIYCYYKNYTGPEVMSILTNCSFIIPILVKPISSIAYMTYYISEATNAINRIKDFLC DFDSHSCISEIGLHNLSQTRMDDIEQIEIQDLCSGENIVLRKGCLNIVFDCEKDNPSR QTLNFLKEIAKFSVDEDACSSKFLVRCKLKGSKDLVSLNGNREIARLTCYISKKSWIL DNAKLEELIICDKTFDYHLWNLVVNICQLDTDIYNKSININDTINSKQISTGQRIRIS FARSLYNKLVQSSRYHIGNPEKSDSSSPISPTASTGPLGRNYCIFYLIENIFDSLDRE TSCRMIYSLFNKDEKLTGILNSSFGIISISPDLLNMFFFTVYFRSCKSLIFEDGYKTL LEYNQKCLINVTGITEDLRIVSHRYIGMKDLISEDPAENEDLLNYINYFVNNNIKEPL FLLNNIQTETHLTPNSNTCNGLKRENKIDIEKDVKNLISLKNGRWVSSSLKYYLFQTN DKIRYKFDTKMNKISSIKRNKSLIFVYLLFSIAPLLIFKITEYNIINNLNKTTNMFKD LLKYLHYSLFILVNFVITIFLEIYIGLKSANYIHNTILYGYLTSYSIIKIIPVSSIIN HLSNDQLVIDYCITKRIGQILHHINKIAAFVIISFTINFKEPMTYIPIGFIYIFFIYW NYLSYFINSCRTLRLLFLNCQTAISDLTHSINLGIDDIHQNHLSSYLVQKCNQRAREL VAPLYCQNMMFAWLRLRLDFLLPVTLTSINILFPVLFSSLETKNREISQMVIFIIGVG LTIPKITSSTVKYWVKMENELLAVTRMKLLMEAIQDDRFGSNLEIDHSTENSSLLMTL KNVDCRHLRLKNDPFSSVMNNYSDLIECSCLKRVNLEIRVGDVIGIVGRTGSGKTTLL KVIGGIIEAYKGTKTVHRGLLVMGDEDEQDKGLENIVQGGLLGLEDGLRKEVQDSGRY LSLCGGSVNGRVYCHHMFSDEDIETKNYKEKQDDFYRMKESSLERIKIISEISEVDPN ILLNSVKMLEFLSTIAYVPIKVDFPGNLKLIEVLDSEKIRSSLEILDLLDLFGLVDKK KNLLYDQLAQNEDLSSKSYESCSLLLSPLNLQILDLPISNFNFNQNQKRMLLLLRLAL NSQNYRLLLLDELPNYRLTTEDGGYISVIDFILKKYFSNCSVVITTHHFDQISSINRL LVTNNNRRVSEIF cubi_01568 MFKSFLDDILLFNAGRTAESLFIKERENREKKQGSIRSSEIPDE YDNKDYIEDIKTLEQAFRDYDKLNSKDGKNVWTCFSVYLIILKTFKKFYGIYSLLFFI NLIISYFVKVQAKEFFNFFVSENSSKPILANLLPGLFLIILQIFSIVFTTHCEYYNSW VHFKVQSAISGSSLLRFLECKRLKNKQGLCILDNNSSTEILSLYQNIILVDSDFTEFA ISNSINIILYPLHIFTSALVAHSVFGGTPLILCLLSLFTCFLISAVTQVLSAMYKKPF LKAREERVEETSRLLEQSKYLSVTQQLFSSIHLLISRNRNIELHYNSLRKYICMITEV FDNWITLSCTLVIGSYIFYNKIPVSQASIMIIQSAWLIPTFYHPLNDILFFVYFIIEG TISIERIAQFLFFTQNDPSQDIGLEEPSLSNSNISGIVLNNVSFFRGKNVAPSVINVN LNISPGVPCFVLGKFSSGKSALLEGIAGLLFSSSTCQNGQISGFGAGGSISFKLENGQ LENISDISSRALVGYVPQLLWVPTGLPLSDLILCGSAYNQKLWQEVIYQCDLEMDFLN WGIRSFEETQKMVVTDKQFSTGQKVRLSLARAIYSTLSNNENKPRIFLIDCVLNSLDP FVCKIIIERLFSKNGLLSDSMSVIVIEPPILEFIKQASNNNGFSYKIVNMSDKMITST EEVTVNCVRNSSGALVSPGFIAEKKPNVTKCDLQENPQDLKIVCNEGIENTSEIDIQK NKYSHYYPTSYFYMFVTGSKKIVKNLHSFHSDFKGSSFESFMMIFMLVLPQIMVKLGE SLFLIILEENSITNLNWNSIEFFESDKLTGFKTLLFKIPSLLGYNVLSFWSLFYNTAL VISMISTCIALLLEIRIGFRAARYFHNSVLLGYLGATSNSILRWLPMSFILNRLSNDQ LQIDYCITRRIRFVIIILNSIFISAIPLIFGSVNSFLTLTSIGLVAISIYHFFIRYFT NGCRTLRSCYISEYSPLVDTIQTIGKGKKCINSYQVKEFFFETTINRINSVLKPRFAQ ICLDSWFKMRIKILLTIPVTFINIILPYVSNNQGSSTRSVIALAIATATGLAPLLSIL VGYWSKLETELVSVERSRLYLAASREAGSDYLEHEKEKHHSEQEKGKVEIELKNVEAE HSRLDSKGNSNFDNSHLIGTKIIHIKNIRGITANFKAGEVIGIIGRTGSGKTTLLDVL SNLLPCKSGKMTVLGLENSSEKMFDKLESLKFPFKDFDPMILKSIIMSQKNLSHIAFL PLEVYFHKNGIIWDIVDPLKEYDLDNIKAALSICGFESYLERNESSNSELESIDCDQE SALLSENNAVLKFLETKLDEIKFGILQMRMLLFVNFFLKKDKLRIILVDEPPVVLRNQ MENSIVSNGKMNKDKLNEKIGCNNSECILSSVINKYFKHCITFIASHDIRSLQYASRV LVLSSGKIIKDTQLDRNSFSNSELFSFMRTDLIEK cubi_01569 MLNKLNSVLRLIDNLFLLKINNTVNYLFEKNLLNSQKQNKNSSD YKCNLTTLKSKSIPFDYNVSKIKDDTIILENVINEKEKQLGNNNWSGLDIYLSILKAF RKDYSRYVFLTFLLIILDSFVKYRGIIYIGALIGTRQNGDKEIGFISGVIIFILKATF LLSETHLKYYILLVRARVIGSINGVSLLRLSKCDSNSCLNQNQDSELLPKSKISRYQN VITVDSDFSENAISYSIRIFIFPIKLASTFAIAVKTFDDHSMNLLIFSLSSLFAGAVL SLFISTLFKKPFIAHREKRIAETTNIIENSRSLNLTQDHIIFTYHNLLSGSRRDEMYF GSFRKYFFTLEEIISRSMGYFCYGIISLYVWYNHFDTYKSIEMIIDSAILVPSFYTPL QELCYLIYYISEGNNALNRLAKLMQITRNKTPAKNTLEVPNHLKIISRNENIILHADP AQFGAFSPQKIKIKYGVPVIFSSNDISYNYLLTDLFRRVIFGVNGRDGVKIELERRDI LEINGQVSECSNSGNNLIGDKKIVEVLADISNPVCYVPLDSWITEGMSLADIILNGRD YDYDSWERVVDICELKNDFVSWGISSYDLAKSTIFSQIQFSRGQKVRLSLSRALYGIG CKSEESQDHKEVIPILILDSIFNSLDPPVCCSILSKLFNKEDGLLKNVFSMMILDTQM LTFLPDNILESIIHITPMKEHETRSFGVNNETPKSNTSINTSMSINSIINDYCFETDF SKDLWELSTEEDEIINLSSNSNSNESEITETPIQSKYYEGQEEVVFNSIKEENQTEKI TELLPKKEGVVGYSEKSYPTLFFYILKAANRFKYPKNWSITKKVKESSLEMILYLVFL LLPQLILIFGEKVLLGNLSQVNETKNVVGGISGIDFLYFTYLLCIVLAILSVIASGIL EVYVGLRAANNIHNSFLLGYIGSKLSSCIRYLPVSFVLNRISLDQLTIDYCTTKRIGQ FVTAINRVMAAIYLSIIASEYPIVQLLLFSIFCYMLYKYVFRYFIHSCRLLHNTYISE ISLLVDTVRNICDGKECIKPQKLDQFYLENGYDQLQEIIRPIYIQSSLEAWLKIRLQV GIVIPVTFLNVFSSYFSDGSSKILIVLVFATAFSSLSRIDEVVRYLMRLERELVSVER MRKYLELIKDEHIMKKSGLLCNKDEGCNNQKVGNNLRPHNFNNNNNIILESAYGYHSN LSSENEKSQLDRSEVRGTLVKQVCCLNNINAVANKGEIIGIVGRSGSGKTSLLFLIAK SLDYKGIINHSISPNNISLNNNHIINCKLCRSNVDEQIMEIFLEDYYMMRHVAMLPVE VFFNENTTIRQSVDPFDNYSTDNIISALNICGVSNYLIERETMNNLDHSINCKNSCEG DKICNESALLLMGNDKFTIINKYLNKNIGELSLPMQIQRLLLFTHYFLRRNEISILLI DEPPVIYCSDNMNLNFISNKKSTLITNIIFKYFSHSTTFIVAHDIRNLNGIKKFWYLH QGTLTIKKL cubi_01570 MEERVIIECSKLYPALCFDMYGGPGFHNVYVGLLVIISAVWLVN RLSKIIELYEMIKIIQEEGRVINIPSLGPYSNDLKKLIKSHISQIIRERCSISPTTVK KVQVMSILEESTLKTRLIPNSSSSSSPDESYSLEISFKIDSLVPYSVQLFWGVDYLET KKLLIEENKEETEKESKGSYVANNKELRRMGLGSSIAMYDQLKYKEKVGLNSQEDSKL GNKTKIGLGYISVNEYNSLNKSRALFENMVVDNDEKERVDLNIPLDFSPSESMKSIAH LNPILYTTEIQNRRDFYENNHDRNYFDHHTNISSGGVLIIGSRITCIIQKIKQKLRDN IVTDFISDSLNYSRWNSSLLGDNALILSRTIKRVFERVFFYNIVLPTNNHNYINNNMN LGGLNLTKSSLLSSASATTELLLDASNYDKVASNSKSIHNKYNINLTKIINKPNINMK EIKKQILIEKLDPMYITEEKSYEKGLSQEFCERIKLTPEYLSSCNLNSLYCDNQEEQE EEKKQKQQQEQEQEQEKQERKQDQDQTKCLNQVHDRNNDHYYFNGDYYEIQDNYNNKE IFWNNKLFEFNNNDTVLTKKEGINGEKENKNVKKIARIPLLILIRANMGIIKDESVLS NNIVVVHFDLIQDHYNMPYLMYKPLILKQVMSNSHGILIEPYDTFGLEDDESDCLICM SNPKDVILLPCRHCISCESCLRSLRQDKCPLCRTKFSGFVVLPIKNS cubi_01571 MGWRCTVCLVTNDEDAEVCVCCEYAREKDDKKEGQSSGPIFNSS ILSSSANSSCPVFTFGNPVNISNTVNDNPPSFGNENNLNSQISGFVPSFGNVEKSPTT SIETPIIQSVDIHQERNKEQKQYFDKFNSDFVDYKSFIKKVPNSDNNKIPIGTVWVWG SGECDQLGIKESLLDEDLCLKKPKRIESISRDLKIIDITSGALHNLILTDQGEVLSWG CNDDGALGRLSSRLKAKLEKLDKKGGNDKDEDEEDDDDDDDEEKDDDEEEPEDSEKYP NKIEFPEASEDCQLKVKSIISGDCYSCCLMENGEVYLWGSYKDSGGYIGFPNFQLMSG SLVGYKQYSPVRIPIFGRKKESSNSEIRGRKKIKLEKETMKVVGKAKKILGGENHTIV ITQDMRIFAWGSTEFGQFGIEPVEDKMEKTKYLYPVEIDNDTLGLPSNLVIQDIYCGR ASTFFVVKDVKKNIIQVFACGRNGRNELGIYNKFYKDVENREDNEDPIVFRPKKVNIT DFETECSKCKSDQPIKQIGGGQYYSALLTCCGEVFIWGMKDCCGLENQLIKNGNESII KDRDIKIPTKIEHLKSITKLGFGADSCFAIDVNGVLFVWGMNLTGQIGITKLIDSEVI LNPQIMNPKTFLSENDGSDSNFVLKVVGGSQHSMGLIWNGSFSDRFMLEETEEEEQKQ EQEWENEREDERVLKRMNAKRFDREEEQEENNQKLSKEGKAFKKDNKTVIKKIVKTKA EVKAKPKATSKITNKAIISKPTSRAKSKSNTKSLSEPTSKVKPKTTTQATISKSNSKT ISKPTSKATSKTTSKPTSKTSSKTSSKSSTKSASETTSKLSSKATSKDKIPKSTSKAK SNPTSNSTSKSTTKSPSKSTTKSPSKSTAKSSSKTKTEAKAISVATTKKINKAKSENI TKSKDKIMKTELNTEEKSNKSKASSKCKTKESNKKTEPNSKTKTRTSSKSS cubi_01572 MDVGTSRGISSSIRRKLSILLETLRKDPNFELFIYPIDPAKDGC LDYFEVIQIPMDLITVSNKLLDDQYKNINEIYQDIILIFSNCREYNTSPLCANIIDLC NKSEKRFLLEWTKLGFSDKSTKKRLEELSRETSKSSKSNISPSSSNSNNNHCNNGDGS NNHHNQYNSNNVNSNSNTTNSNNSHIKTSTKNAKPRPSSSSSSSSSSSSSSSSSSSSS SSSSKANANRVILKIDKDNISSNTTEINNGNKRHKSEASKKFASSNNNIIPLKKRKDN DYSESSSFINNTLIASNKQIENNTCNSNSNLNVSNSTYSDPDWKSECLRILNLLRKEH NSFLFENPVLESNDLTEETKKRYREVIPEACDYITIEKRLTNNTQSTVCSNNNNNNNN TPLKRHPSNQKRKSSSLSSSNSSKYLKTIQTIDNPHEFERLIKLIFSNCMIFNPNSGE CKWIYDSAKQSLNKFNNLWNKSNVFLLYSNSCLSTQNYIDIKDNQSDTSIGFSIATTT NNNNNNLSRPNFINQSSNQNNLIKNKLNTSSRNMISSFNKIITQWNNYSIIWRQFILN KNNNNSRSLQTINTDIDVDTNTDTNINNGTNINTNTNTNTNTNTRKTSRSNKNNTINK ILFKINPNSKILNYKCNHIKELFDFSSSNEDMSDISTNYDLQIHQINNIIFNSNNNIE LTLNHENTEYIFPLKVYDSNFIKYNHNIINNTSLSNHKMIIKLINNSKIYIYCFKENF EGINNHNYVNSISNYNYFAYTNNDELINKYIELKIKIPKSINDFIIPMIKEYYINNIS ILNVNIIINDIVKDDLNSIIQVYFGDIL cubi_01573 MECPERVWIVYASQTGKSERLSYDVRDELWKVGVIGYPTSISEF EDIFFDYFEEESQENINKKLEIEFPMTIFILSTTGQGEVPDTMTSFWNRILMNNLNTI LIKKLNFAIFGMGDRCFGNQRFNITARKLRHLLLNCGAVEKVPWGLGDESHDFGILGE YDPWIENIIKMFKHNTEIDEYFKNNLPINQYKCEIKSYNPEKVIQDINYEFDIELENE LKNSLFIQKKHISDINKKNISFPSISNVLYNQECDKESKNKKIKKIRFGLSHEDQINY KSGTHLAIWPVNPIEKVIHFIDLFNQEINPKTIIEIQFNHDYYTCLCNKQYGCKYNST DDYLDLIKYKKCYIECKKHQFCSQFPLGEKMTVFTLIYRYLDIMSVPERRFVNKCYNN TNNHMHKERLKEMIQRSVDSKKDYCDYIKDEHRNYLELLWDFNSIELQIDDIINFIPV IIPRYYSICNTLDWYKLNLWRYIDYENYIRRMTVGIFNHCFEYDDDNDNGDVNHHHFK MLLPEILSNTLGLFLPKIKVNNNNNNNNQLLIINNYNTFKKLKTKVIQNYIVKLKEKY LYNMMQEFKSIYCDQEYAHFNNSNIIEICVDVIEWNTTFNRKIRGLCSGYLDDIIYNN KTLIAFENKISDEIIKELVDPKIPLLLISCGLGITGIISILQERVINILRQRNVNHDH KNEITNNTLVYIGLRYSNVMYPYIDQIYEFSKRKELIGQIQFNISYSRKNPGIKESIF KTEKTHKLVNLNHLRSGCYIQSLLLNEDNEIDNMREYIVNCILNGYILICGNALSMPI EIRETLAKILVLSGKFDTIEDSLIYIKKLIRYGRYIEETWV cubi_01574 MNSSRNGPFNNKDKNLDLKINLANNKSISPESFLKMDDNESNEL IHGYNSLDDYKSSDFLKSRKFSFSSDINKDNFHNKESNKNSSNSKKKNNNESITEFIN HKLQDHFENINHFEKIKFLISSNFAFECIRKLNTLPPIRIYELWKSTPMEIKQVLFNT FLIILTNRIRIWSNIDTKQKDYFILWGCNYLNQIGDYLSIPEIIIEKMIKIYYLNVDD QNSENFSDLKPLKDCLIEYCNNELQPKVNILFNEYNNINNNVRSKMIIKQNKDLNAES FLFLRNNSAFEVLYKGSEWSINKNSRTCSLNNRLSLGMTDLPLNSPNDFYNNLKLFNS NNINYKNNINTKVNDRRRKTWHSGISKLEYILDEQAPNSFLIIILSFLRLHAIDGILN SRTLSILQEIYLVLNNNNEYYKSSLLIFDSLQMLTFNDIKKTELKCDTKSLEKGWNKS MRYLKVAGATIVGGAVLAAATTIAAPGIIAGLGTLGLVFPGIFGTAVTAGTSAATISA VAGVGGAGLSGWKMSRRESPLQVFSFHQIGKNHDKCGLLPILIGISGWLRNSNDIFVP WEIAFNGVEWMETYAIEFEPEVLSKLGNSIAVTMSQDLAIFAGKAILLQTVVGTLTAA LSWPITIMQYAATLDNTWSVSRQKTEKAAIILADVLCSIEITGNRPIKLVGYSMGARL IYLALQIMYDRKQFNKIQDVVLLGLPSSLNTKNWIKARCVVSNRLINVFSRSDWVLAF FYRYMQWGFHVAGISPVNIASIENYDVTGMITSHDQYPDKIREILYYINFF cubi_01575 MDNNILNNISEEKNKKNVKFKNTELESTLMKYTKKELANNSEII SLPKNERKLKYESFSLNNMVKNMNQEELIEELMVDLSSLLVKLRNSLEDLQLEKYNFN NNKKNAIDLVRVCISVGLRVGVILEEKCTDLEEKCFVLTNYSLSYNWFTYWNDNFPKN NGISNDIQEFNEIKFNNMIFQNKSINNEEYHICTINCVKYEKFVSDFKMLSKVANNLF NQAFMMSIWNTKNDNFIEIEYFSINNIQSNLEDNIYYSENQDCNQIIPDNKLIITNFN DNYKVNRNILQNQIVNQVSIDKNVIEVEEDYDEEINDNLSNNINYILLPKMIVGSSSI NDLNSNNSVLEINNGNSNGFHYSEIQFDLRNKIRRASIIMENIDIESLNECKNKVANI KHSMIKIREVQAEIYKLIIESTGEIDYLEEQSALAQINTAQGASFVAKGSKSKANWWP FHGSTAGLVCGGAAGLILGPFGVSFGAAIGGALGLTVGNMMKNHCHEKMDNIIQECEA RTSKKIFRNSGQAKGNQVTEKKFKVDFIEKGNYFESIINKKTEEKYQLLLEVKDEHEL NPSKFNESNSIIEEKYDKYISKATLNEILENVDIIP cubi_01576 MNIKLFSLFFISLNFLYSINGEDIDSKSINLPDMSFGNMGEIDM NDGADIDKVIGESNIDSMSLGGYNTDHTSIGGSNIDGMSVGGSNIDGMSAGGSNIDGA SILGSNAGETSFGGSNIDDNSIGGSNSGDTSVGGSNLGLDSHRSVDFEDSDNEKMLDF HILGDEEHAEDPILMARSSSNPSLNENHNEDPISIDSRSVSHDQGDNHNDSNMDSTSV NADRANDNDRIVTYGFGGGPVAPPASTSTTPPITDSTDKTDPVDVTDPVDKTDPIDKT DPTDNTSSSTTLTTISPDITTKGSVDPGVIAGAVVGGIAGIGLLGGLGFGLNKRRRRS GGIGEGVSNFDSNNLRGTAGTYKSNVSSEGSNSVSVVGNTDSRQQKPRGPEVIASGFG NVGEQPLPAYSVGGSEEYNNEFSFFED cubi_01577 MGGDGGSIPKRGDVVKTKGYGFKRNLGGMGYMPNVQVKLTSEEN STKFIMHERWTKCYLTNEPLNPPIVICNKGFLYNKEAIINMLLSKSKIVSHIKKLSDI FEVKNKFNNILNCLICPVTNKNLDYYTKASYYKCCKHIIASSASCLRNPNITKIKEND VRQKHQRICIYCNTNSNFSLNEIKLFSNIT cubi_01578 MGGNDSTKGDNATLWSIVIKTAYRKRRSKRKQNEQKNEGSGLDL DNYNNYTSTIGNNNFTDRYSGDNTILNGNTCFSKNQPGPSITDTKSNGDDLLKYLLDG SPGQENKQLEDKNTVSSEFEGVRTESNSNNSFLSELFSIDKLNGDGGSKLSIDCKGDI IIEENSLNGGSGKQMDWLGLMEGRVYINENMAGTSLQPYSGAYKRTKGKRWSTEQTNK FYDALSLFGTDLMLVKSVFPEFTDKQIHDKFKAEEKKNKDKLDDILINNKKKLTKEDV LRFKQKYK cubi_01579 MKDDDELVDFPFKKPLYFVLNDVIAIPEVRESMHLKFIENLYLK FNIGNRIVNEEIHGQINYQYSYNINELIYIGEKFDYCNILLKVKNLVNDEFTDKNASF GLTYENISNIYNKFHLNKENRILMQYIIYKYFKEKNYIVKDGLKFGVDYILYHKSPSL VHGKHCILICKFTYDDNDQLESDGYEEITYNNKKYLVKFPVINYKKIINLSRLCESVS KKLILVECNSKCEEIQCIEISRFF cubi_01580 MIGEQLKTTEKDIIEYDTPNIKKQEYLRIEEIKDMRIFVESDSN KNLTDKYIQLEDKINGQIYELFNNNVFIALLEKYDVNDEKETLKILNNELINNEIQKE NYKKLLEIKKNYNELTQLFNELNRNEVNKNILFERIKQNDKKLLDSSLLNNHLISSDN DAGIFKSNDYLKLLLLDLRILKKGVNLNNDMLLEREMITDMLNRNRVHFFSIRMNDLL KRHIEDVDILNKKDEDSFYLESVLRKKVNLIHLENIQLKEQINRDMLLISKKDQEISK LSFTLFSLQDQLDNSINNLRMKLKSKYGNFIHLHKDNTFDRIDSGESFHQNSTVNNQS HISPSTLRKLSEDSQVLFINKEIDNQQNNSNMHAHIRRHDYNINNSILKSGAKLLRIN NDQTEVPKNSVISDIEKKFCELRSSIPSKLNILSQCKHVRSAISTPRDSVEQINSSNL INTPVNKEHELIENSEIRTHHESGFNEQADDKDLDITQKHSKNYKDEEDHRKPQEVIE TVNNIIDDTEKIMCNDGINISDDCHKNESINNKNESETGKIKKTNIRQNLSLQNYKIT DKKTNKIVAGQKNVQENERLNGKILPKSSNTDLKISRTNSKEHVKALNDELSSFSKPT AASLSKKRTKSKEGVLDDYNNSISNNMFRTNSKTNLVSVSHLKGGIFDDSYVHNESII SANILSKRNLRKANSDLDNIVPKTKTNLVYNSTFNISNKDSTVDKYLNNEKYGRSNTI ELNDRSNYPTEIPIVKAYKATIQSIKSINDFQINDQKSKSPNINNNGDINNDKGGSYL IYSPEIKPLDLQPINYKETNHLNSSDTNLFKSNSTPLLNNTSNNSNIQYYPFNLLNSK SSSSHFSHLNSTQNTTNTTFSNSNNNLGGSANTNNLQYIAPIPTPITIDAAQQKILFP NNFHTLYK cubi_01581 MFSTELLWQCVKKNTSFIKKNNGFTFTSEPFNIMNLNTLKYSGL AARRSIGMELVPNSNGKLVKNTKIIKKNSGSSTIRCPKKFTSKVNLSNDFKQAKKTIK NQVLARPDLKNAAIIRYRKIQKAKC cubi_01582 MEGAQLETSPLELCLSNLIGIDISSINESEISKSGANNLQLMLN SIWSDLSKENTKDGTVVSLPNKQEIQLPRAYPLPTKREKTRWEKFAELKGIKKRKRSR MVYDPITDDFVPRWGRNSIKKIQKKHNEAIIEIKGNMDGDKDPRQAINLKRDMMINKQ RLRELKNKMNSSKSKDTSKSDEFALGIGNLKDTNVKRNNSQVKELFNRVSLSTASYGR RDKVLPNEDRSVTKIKKVKKILDTKDENEKYKSIYSKILKQNS cubi_01583 MEGDITSIGNSFGSNNNYFRGNVPIQLQIAEHNNENVSKRESRW SKVDEGSTSRWKSVYEKEYIPPAYSDFPPGMNNYDIDQFLREQRLDELIYKLQMGEIE YGSPDIREPSPPPIYDKNGSRINTREVRVRKNMEEELSNLIEYMSNNVQGYVVPKDYK PLKKTKKLIIPLDKYPDYNFMGLIIGPRGYNHRRLEAESGTQISIRGKGTTKEGKKCD HQTEEELAMPMHVHITAESQYKLDKAVNMIQPLLDPFHPLHEEYKRDGLQQLAIINGT LNTNLNNPSSLINTFSSGNTAITLAKGCLHCGSTQHPTYACPDVNSLNSFKRPDIKCS ICGDKGHITKDCKQYVPNNNVEEEFKKMMIELGHEVNYNAETTNNANELSGEYNVNGD YYENLYSNNDQLYDQSSYEQQNYDCQSEVNNTNDNATFAYQTFHNNIYDSNIIINNNN INNQLYNNFNNDDDDDNMDESD cubi_01584 MFGFSRFTSGSFFSGGFENDNIHDDFEQINRGGSNFRSSSSNLF INEYSCYPVSFAGRDELEGGNKILLPPSALNQLARRNITWPMLFQISNPAKNKFTHSG VLEFVAEEGTCYMPYWMMQNLELQEGDITSIMNTSLSKGTYVKFMPLSVDFLDISNPK AVLETSLRNFATLTVGDTITIQYNNNSYKINVLETKPNNAISIIETDIQVDFAPPPDY VESCNKSESRAMTDTNLTTSVTSEYSVGNNDSIFSGHCERLDGKPIKLNSCSSLYSNK SGQTNISNSEPWKTDRIPGGVRTYNEKYQELIKNGKIPGIVGVIKK cubi_01585 MAKCRGFKPGGVENPYYYKRKLVKPDGSTIKERLQRSTRPTWEE LRKMTNKTSEGFCNIDDNIVKNDEYRKKLDRTREEKLSSMLNNISNNKSKCYNNNNDN NNTNNNNDNSEKYYRVCVEKKRSHSFDRSSPNNDNL cubi_01586 MSALISTSFALLVKELFSNKSIDTFKDKILENIDIKSAYILNKS IKKKHVSKLFNGFMFFSFLLFSTGLGYYYYTKIYKKQAKDDSNNKTYIFGDIISKLSK KKN cubi_01587 MGNSHGVLGEGGMKNNRKSRNNGKDEKKKLESAPPPMEMKRKRK QKGPPQYARLPTVLPNAKCRLRLLKYERIKDYLMMEQEFITSMESVKPSAETAEEEHN KVDDLRGSPMNIGTLEEIIDENHAIVSSSVGSEYYVNILSFVDKNQLEPGSSVLLHNK VYSVVGIMNDEVDPLVSVMKVDKAPLESYADIGGLEQQIQEIKEAVEIPLTHPELYDD IGIKPPKGVILYGPPGTGKTLLAKAVANETSATFLRVVGSELIQKYLGDGPKLVRELF RVAEENAPSIVFIDEIDAVGTKRHDSQSGGERDIQRTMLELLNQLDGFEARGDVKVIM ATNKIESLDPALIRPGRIDRKIELPNPDCKTKRRIFQIHTSKMTLSEDVDLEEFIMAK DDISGADIKAICTEAGLLALRERRMRVTQEDLRKAKEKALYRKKGGIPEGLYL cubi_01588 MENKRRTEIKRHSIFDYKSLTRALDNAGVKRIHAYTIWRNIVQK NIKDVSEIKNIPKAAYKVINEQFSILNIQLINSQTSKDGNTTKIIFRLQDSHEIEAVI MRYGDDQENENTNFCNDNDGQEKIVSKKYRRISICVSSQIGCRMGCMFCATGSMGLRG SLLSGEILQQLYYIRNILNEPVRNVVFMGMGEPLENYDEVIDAIRLMIDPRLFSLSSG HILVSTVGIPSNIINLADDLPGVGLCLSLHAPNQTLRERIIPIARLYKISDLIRSLDI FIFKTIINKCHKNLLDNRNKEHIKDNMNYNDILISNKLLYGHKMIIIEYTMLKDINDS ENHAVELANLLKNTPISKNIFEELIDNRDDSINININKKTFNNYITDNQIINKNFKNH VANTILKELSKDFKRTNFAIVNLIPYNKTSTSTRFSTPSKETITKFAKTLIDLNIFVT VRRKMGDEIFGACGQLALKHAGNYNIEDINQQLRGISDEYHSDEDFIDDELLINSSEI EDSELETLTSSINTSDHSQTSSKSVNIKSNSLFSIQTKSNNNFKISNIFSTIFSSYYK LCKSFIVNNKASILLFIGSGFYINYLLRKNRNS cubi_01589 MIGIRDSNISSTDNYNDNNNNNRTLYANSRVSSQREIGTDFQDG NLSLSEQIGSKDDSSGFQVSIGFCYNKEAENLKKLKVKESLIKLSGFTDLFLFVQVDT DEDEKVITNYMEEFGIFKAGLKKHRLVFCEKLESISFMARQLQANMHIDTNQDNEQRL LNKVPNISILSLDDDGSSLFELVETIEKVTTKQ cubi_01590 MNGYILFLGSGSKEGIPNLEHVFKNIDNINKGNDPFCEICQKSI KIKSKNVRNPFSIIIKSPLSNIDNFQNEDHNTFLFEIGSSFRTSMLQYAIPANINRID SIFCMSSNESSFNGIDETREVQIYERPVSNDGIVFYEPKIRVPTYLTCSAIYALNDWY RYIINYSLKDDLKSKTKIGCVRLNILDPRNSPNAISIDSLSAFNDYLNLSKNFEITDS TENNLTFNPIIIQYSNEIKIISLFFIDSDNKLCSGYCIEYIHDKKVICIVPTYSIIPK ETLVLLKAISLINILIFPIIPNDSFSINSESIKDSINFCAKMMNAEKVFFYNINCNCS HEFVQRIVNEKSIEFPNINFTVSFDSQLVPINS cubi_01591 MRILNILFLITVALFGATNARPITTMFLAAFDPLALAKEAHDKL NEDISKNTDQMMENISAHSEAAQNVQIKGAKIEFVKPQSAEDFEIQKLATEFLLIVKA LKEVSKEETKEILQIIPSK cubi_01592 MKLPCGILFVGTGVSSSVPLLHHALNSKNYKCLCSYVGKKESPF DMIKNTRNNVSILVRIPNKQEKDNGDDDCYNVLIDLGKSFREAAISVFPSYNISRIDS VILTHFHDDAVGGFNSILYFQQKKESLVPIYMNAETLNFINIRHNKIINNHAFEDNLI SSKDFKRRYELNVFDIYNGKTEKCLNSNDLSNILNNFNRESNLNSNKEFKNIEFSINN IKVTAFPMNHGHCICMGYCFHFEEQNIIYISDYTFPMLNVSIEFLNSIKGRKKSTLIL DSISYNKISNAHANISQSLEFIKEFSPEYVYFIGMTCSVEHNETNKMLHNELINLKSE GKCINTISIELAYDGLFLPI cubi_01593 MPIYYADYPIFGLCCTKDSIILSGGGGGKDYGIEDQIELYELGN CETECQKLNANDKISTESSLILKYIYNTTKQKGVLDSMCFNSKLNLVAGGIRESCILF YIEEGKQGKSIRMYLQFQTVWDRNKKGKQNVCRFSKNGKFLITGGTDNIVRIWELNTE TENTREIFPLTMKEFHGHENEILDLDMSYDNKFIISSSRNGTIIVHDYNSGEIFKKFT VPMKSGNYIARQCRFIENEKLNSPRRQKNNDRQQFIVSMLLHEVRGPSFITIWNMKIS KENSNENPNNRILFAQIASSLICDKPSSVLVVSEDYNFLAVGTNTGLIKIFKNNLNEL TLVKEGTFHELPVTGLQFFNSNEYIISTGADYSISVLNVKSGKFSTNSNKKAITTKNN QSNGPLSFGITVIKYILIIMFIIIFIAIFINRSIEIGMNVQSSIRDGSKSAEF cubi_01594 MDDKLETEKVFIESEVVDWSQYTTMDSTQEDTPTCGIGENFDKS NELEARENKIKEVQAHVEKSVETQVKDKDSNDILKKRNSNIDQVSVDQNNLEIRDQES SIINKDEIINDVESKSDEEKNSEECNNNMGVSRKDLNGSIVSTRKGTGTRLRRAEHQS GVPGVYWQESSQRWIAQWSDSISGRRITHGFSARVYGFEDAKQMAIKSRVDAIENGKA TARKLHETSLTGKMYLNMNKGCYVNHQNETGVIGGTIGSHNYIGGIKGMKVTKYGTDY EKPECLLKGDRSMVMNLMEKKNIQKIMNNMSDEGIGLLMEEMKHLKEDTEYEGIFWHP INKVWIGVWLDSITHETCTQSFPHEMGEDGIDISRLKAIEWRVKLINEKKLRDNVVEY VNNKEFKHHNNYPSFSGIPNSYNILSNYNTSGIINSLNNSSNNNSNSNSSLINLNGLT NNNNNTSSEANPSMHLQNTNYQLLLNQLMNYLYFNSNNNGINNNFNGGVGINNSFGNF TGNAGSNIIADYNNMILSNILYNGGLLNGSSPININNSNNMNINSMISNCLNNYYCNM LKQQLFGDHSESFTIKSNNNNNNNNNNNNNNNNNNNNNSTNNNSTNNNNISNSCNSNS LTNNSQSLNILNSMDLNYLSSSQMLNDTNPEGITKNKIMNSLILGGGVSNGIGGYFGN YSSLNNVNMGNILGINQMGVGNNGMINTFNGGKSHINSTGNNHNQYMYNILQNALGPN KSIPVNNFGSLSLDNLDQIQNVPVNLTEGMLMNNFNQNQTQSQVLQNNVNMNINGMIK KIISQDNGIIIPRNDTNMGEIMINLEKIDSLREEMTNYNRGLNSLLTPFEPLISGNSN INNNIESNINISNESYINNNGKNNHVNISNNNIGINENNCGNSENVENIIEKKISRSV TSSSVDSSISSNSTSFSMIKESSNMIEISDSTAPTVSSTPASSSSKIKAINSPKKKNL GGISYKSGIPGVYWKTRDQEWVAEWYDQNRKRHSRHFYVKKYGFNEAKKLAIQCRLNA VNSGEAVLRSGSGGNSNNSNITIMNGNVTNSVNVSSTRNINVASTNVSSKTNSENNMN GVVNIREDVLNNIASKNMASIINEEVESNRNGEEEIVKNGNISVSIGADEK cubi_01595 MMERKNNKIEEAIKKIFQASSLEEINKLSTDIFGEKVTLVLKYF CPIYGGMIFSIFCRRMIKTTLIITGTGSIVYILMRHKYGKQKVDEELINPLKEGLLNS NIKKSIFDYKNDHFDYQKLKKKMKKFFETNKNSISLFGFGMMLGFML cubi_01596 MRLFKWRKEPKKIVFHNKRKNTINYVIENLEVNERNFQKEYDEN ILESNIKEKIRSNQFIEDLILKKEQDEMGQLDNSGNFFAMKNNLLCLKEYMVNFNNEK NNEYINLLSNDSDRHFYLSSNNSEDYKFYSLDYNEENKDFPNMELDCECNIEFSKLMI KNSKQKNIIVDFPSKFKSVGGNISKTISSKRSEKLSRSSSLSNIDTPKIINEENQLKE NDKELNLLFQKIKRFMKKKYIEYKGLIEEVSLWEESGHKNGIKFYKKKDNNNDTYTVI RGIIDTDINKENELFYQSLRKKKITNIKNNCHLNDLITSKDLVNYIWDTDPLSYDNTV DRSNRVYTWDDGNPGFCIYYHSYKGALGVQGRDFILIGYKDIIDNNKDEFSSCEISNF ATLTSSSPSRVNSPNSNLLNSKRKIINNISPSRLKIRSKKSKKYDKLINNNNNNNNNN NNNNNNNNNNNSKFPDKEIKSKIGDSAFFISSDLSKELSDDKKFHSLTQNVCNPSFVK AKCYLNGVKVEKLPINNDNIILRMDILWVGDLNGKLPEFLKKTLLSSSLSTIKILRDK FIEDKKNELLNIITN cubi_01597 MKGNVIYRDEKGRVIDKELWYEKKINEKKSLKKSVNKNKFKKDP EFIKFSSGIVQFEEARKKHTNEQKSIQEDEIIDGGRYYLSKSYDEELRKKDIWDDPIK LINAQNDGINSTVLRSDFVDLEAKKLKCKFTSPQNRFFIESGYRWDGVIRGNGFEEKY LIKKNEKKDNIYHID cubi_01598 MWGLFNINHLKTLLMLAYIISLIFLLISFETKHVIAVSIELNDK ANSNTNIFNLENKNSNIDNIEESIPFTESHFVPVKKIDLEETTKNSGNNSINSSRKII EIVTIKTDESFENLLNNNYYLLILFTAPWCGMSKRAISQIHEMVDYFENSRDFLAEHL NKLFYSKKLLIGLVNVPEFPNITKKLNVLDYPTIKLVKKEDNLKLKVIDFYGNIYYKK VISWIVQQITRLENNPKSQLIQLSTIDNIKFFLEISGYSVIYLHEFESFNKYNNTEYL LVIDICKIYDDVIFGEANYNDLKNSLSINKDYLNKNEFHFNITEIIDNYENKSKILLF NSGRHVKTINGPFKEEALILNTIDYYKQENIIFLNKDTIGNLIDNGGPILLLIFNGNS ENYIEELNKESSIIYQFHNILQRVISIRNEKADKSNRNLEERPLFVMSGNEGPINRRF MDFLHIDDDLLPSIIMINDLNVSPPKKFHLDLPKIYLSNLDSNTGIGTNTGSNNNNAE ILSIGKPNESNWIILNNHTSINFSPNIISDFIDEVKSGIVNNTYHSQVTPSKQNGPVY ILVGNTFKEIVYDYSKDVLVLFYTSWCGHCKTFDPIYNEVASIVTSKTNVLVAKIDMS ANFIPDDQIGGKIFRFPTIKLFKKKDKTNPIDFDGEREVNSILDFIWIHTARDEL cubi_01599 MESLDEVVHEKFTYVFIPYHDSDKIEVREFSGKEVNFKNLMRSH FSSKLRSSEVSKLQETFNKESKASDQLIEQAILNSQNYEIISLVLPNKNNNFIATNAY IDSIGRIKEMPINPRASKICSTDVRGDCFISSSFDDEYVFKRVSFGQQDYEKLYKDPP SAANRWDASKISTMLNNPTDLLKSKEEDKILNRCESCRKQSEKTLLVCSRCKKAAYCN VDCQRKDWSYHKQFCK cubi_01600 MTKKKSIRNYNVTNKRVNLSIVKNIIQNKYYGGAQSNGFRIPQT LSQKLEFKKMKLSSILNITNDLPQIIRGHCKLSKTSKIIEVVFSKEILFVLNDSGLGT AYNRHNNNMLCILNRSPSETIRSLFYNKMNNTIVIAFHHDPSVLNCTIFNIDDVMLKR NISSSIGKDFQQIKVGNPGFIEFDDGNGRIVVADPQNDYFTFWDMSNYNKLYQIKGQN FLEMRISDGTVVFFRQPSYSTIEAHLCNISNGEYLEKTIVKLRSSLDIQFLELHREYL LLKQESCSIRVWNLLTNSSKKISKTRDFHPKAFIFFDQITEINNFTHFLTVSTDEINV WTCSNFGEVEIKFRISLPGIQTADCVNVCFSKGIIMTYCNGLVINECEQENEDTNNTY QLREYNGIENNSYMSGFIPQFIQASKYFTSLCVGNNNTSTENPTVVRSNNISLSTTVT GETISEGEQEITSTNYIQMNKKNYPDPNQNHIPPVLELNTNSYLNQQDGLLAPICPLI DEETEIFSKDKNSLRKAIYIHSLYDGSSLGTIFCDDISDDSEDVVLLHYDSDGMEIAC GTNYGLLRRYKHIFG cubi_01601 MMDADFVNARRSAWADEDIDDFEPSFDEPLKGFESKPDKDGIKH VISYIKDNKGSTIKITKKIKEVRNIIKINKNVVNRRLIMERNLADRFNSDTDVPKLGD EISIDIPKNADSMNLQDKDNDDDYYFMDLPASNNNKLSLFKKSGNNCPFILGDEQIEK ITYGQQSNSINDLSSPSTGFGTNTSSNGLNLGFGSSLINKGSIGDVKTTVGSGIISSN ISSGGNSGIGGPIVGNITSGSTNRYQPPFLRTTSGSAFERGSNLQSSHRDDCTVRVAN LSEDATEEDLQELFKTAGRVVKVFLAKNKNNSKNTKGFAFITYSKREEAQNAIRKLNR HGYDNLLLNVEWAKTKDK cubi_01602 MKKNLSAHSIINGLLSSKPENILETIQILGQIDANGTPSNLLDY LKVSPLCLELLQVWSRYVVESISVKKNKNFIQNGESNERKIVIAALNCISEIIEYYIL VYRIRKTEMNQFNEFKENIEKLGMLIISKYKMNIYRHLNSTSKVLISSSLRLLASISG LSKSHKQEILHEFNFSLPSFISISKFFEYKKRERTEFEDFTINPEKNDIFIILFQKRS EIGVRIQYLRFLLSFLDLLSFNSSKQFDSNINEKDIIEFLKIRNISNTIFKNSIQYDS LNISKNLLFAFEHLILENDDIPNTLKGSFFNLTVINYIFTNLVDMLSQIDFKQQLEAT DHDLERYARIIERLLRLHINVRTKESVRLIFVWFKSINRQVKYPIVQKLLISIYSDLT LKEKLEYYQSSTLQLNFIGESKLEGILVLAFVSSLIKCITQSEKDDLQKYIIQNILNK NSVSDLEIGVKYLVDWIIPSFINKILLGVAGAGSGGGVKLLNTRENLFLNISNLAILI NLSKRLSLITEIIVSNSVESQLKDTGEFLINMIKQIIYQSFPDLGNILSLLRHYFKNG DQKEDHQNHINNFFLKRDHYTNAYDLKQSLRDTNYSSDQNSTSVIGKEYIEHNDHNED QDEDEDDGMFINIIASKSPNRKDKKIKIEDQSNENEEDISIKYLSVDTKEVERKNFYS NMIIFQYIKACINSKVIIKEQNQDLLKCKFEIIEVIFGAMKTILKSFGNEFLLSMGYK FDNTKLILDQVYRETYLNDEFLANLTILEYKRFFDHYIYKIILYSLNIKGLKYDWNCN KLLLFKFLENLISIIQYYQTNSNQNPRGSIHDTSNCNISFIVKYLTSMWISIVSKLNT SRQNSLFYYLDNINIEKRDDIKIKNSFPTLDLNLLYFQLKLILLVNKTLYNYEILIQG FFQDYIQEGKLSKEFLERNMDLIILLLLVNSLGKHLTKDQQSVENQKYELLINIINFK GILGQFKLDLNSIDKNKLFDFIDINDYIVQVIEKRLRFELLDIQNNNSLDSDIRIKSI NTLLGNVFNNWIHKDEVRCKILKGFENNNKKMIKYQVSFMNNMEYYYKLLCETGFQTE FDISEIIFGFIEMSLLLMDKKEEQREELNEIDYMIKMNILELNYYQMCVFNSKYEGNN IKKQVFESFIIFKDDKYSIKIEEFLKGLAIVIRDLGLREGEERVRLLIISFLDIYIKL RINNKLLFNLLFSIDLNIKEIINNGNIEIITDKKQNYSLSGYLIDTILDNITNYELVS LTRELILKDKEEKERIFGFSRIIFLSRLIEKLFQVYTYMMEEEEEKEQKRAEEGVQGR TEREFQEKTQENKNAFEYFTLSFVDLIRIFIDTDILKHYKVRLYSIKNEKKDNYGNGL ELNIPIEIFGFRRQFRRNNGLLVRVFMYIMVYLFPYVYLRAEKLEIMGIKDINKNQEV ANSEYYRNQLGVMDLESIPIGYKLILILDHLELNKLVVRREKEFFGGQFSLDLELAII KSATKELIDLFNFYEEFEQNENKEKDKGKEKMEDEKIQEDFDKRIFKKMLKSFKVFPI LIGEPQKSKMKFSIENGKIMLRIEDERKMFWEILDLLVFKNQESKKKMSFGCKRRHIM VNSNLIFTFLIDLYSQYKNNREKKEKYNSNDNTLISEIVYLGYLLHYESFKKRKNPSQ EDQKMIISILIECYNVILLKFQTLFGIENQLEINHYLRLYYYYIILVPVLMDRLNNPI YYCLRSYLIQLREEKCKEIGLIEKKYLNFILDYDLNESFYELLIQIKEIKEYQNKYPI GFIESSKTFINNSKHILDSYGNNKESDFLLIILLASTLYIAKIKQMRFFQYRKSIYNK LSNFVLLKLNRILVFLNKIHEILFEYLKKDDLSTDNNSRNMIVIFIFIEYLLILKEDG NSSSTNSSDKILDESLIDLEKNQRVNLLISKEYIMDYLKITKIGKFQSDSLYYKILNE SKIDRNNEEYFENEDYNINCKEFKSLILANHNIFLVNNKLKETLIGEEDKGGIRQDKD DGERNNINDNEEEARNNRVKERMRLVKYWYHYYSIYLNNNYIDFDNKHISEFNWLNPN LKRLKYTLNDFPYNSKLMKNSDLTFNLNDGRPENDYIYDLGLIIPIINSRFKLAYSIL RERGFQNSKNKNLGNDNREENNKELIGDTDNQEVGGDTRTCSGFVKDYGQLSGSRFFN NVIDNNFIWLRNFCKNGSLQLLINSLSCTDISLRYYSYQTLSLLYEIVSFHYNKYLQR LREQEQDEHEREEQEQDEGEQNEQEHDEQEEEEQEQDEQEQDEQEQDEREEDEQEQGE QKQEEKIEVINKKKRNKKQRKKHIRYIFKELPQIFMILNTLKNTVSGTLVNENQEIEI EEKDENSDKMIKETESKHEDCIRLSSFLTRFISDSIEVIFEPENKLFKQINYFILSRP FLDRYDIPMVFNFLYSENANDHYIHKSFIFNELETSIKILRYLKNVKGLELEQEHDSI NRRFIFPLLLNYIKTNNIYSQHNYFENTIHILNIILNMINNKESNIIYHNLENIDQDI DLQIKQNLTQDLNLESEMKSMKIINNSLTFSNPNVEILLKDKVNRSISKVNQANNLPS SVTLIIQMINQYSLLDFIRNLIQQISISNYNKLNNNKHFKEMIQLLVNILLVITGNIF NGNYDENYKGGIFYKLKYYNNDNLNKTILKFLGGYSNSYWVFQKLLTCIRLASNPKIS KFYDSNMFSNIYYIWFNSYIGLLYCNKYLFKDIENPKLFNELIFDYQEFLSSYFQNLI NLN cubi_01603 MDFSETKIEKSDSNCYQNKVDNDEKLNHLNQDKLSNNLEVYSLD PQDVHFRRGGSTRCSIKMRTSLVDDLHQLMPRVVTLEKNEQGQIKYNLKVPADELINS TQFEFVREISSGGFGVVNLCKSNNLGNLPNGQEIAVKLFTRGNTGDGNRQLSNERLKS IESELNYVRIFKHPNIVEYYGILNPSTGQIGFAMEYLDGGTVFEVLYSKNNIIPLEIR KMWCVQLVKAIAYLHEGCAPHRFIHRDIKTINLLINKKDLSIRICDFGNVRERTFSYY RLDNNGGSVRYISPESLRVGSFINDKTDIWSIGCCIIEIFGGGIPYSKFSDENTLISM IRKGTSPEIPSFFPSCLKKLCSKCLQSNPNVRPNIQNLLKDIISLDIGVLKKSKLGKL DKYPKVY cubi_01604 MDLSPVKPQSDNVLIDRSSYLGILLNILLALIYFVLGALSVLQS LSALESNISENVFETNGTKIRPYFLNAFSVGIISRLLYIIVYLVVNLIPKLISTGLLS KLNILRIFDYLINIIFVASYSIVITLWSSILTDSRSFEFQTINLLNFALYITGGGILA ITLLTTQKLPSVFNILYILMGLMQLLCSYFWVYFGSSLIINIKRRQAMHKDLQKDLIS KNANFTWNTNSNVNTNAINSFFDQVGNNSWLQNGILNNSQVSIIHFNQNSNAITASSS NNSNSSILSDLFIYNKAYSSSINKLRFKIQVLTLVCPFSLLISSLYCLLKGFGIVNSN SEILLNTVWTSLYTFLVETIPSIALIYGFWSSKNSFLGNSIYKSYNKHIVEDNFRCYT QIY cubi_01605 MAIGVDNAHEKVRAYNDHIKDIQNFLENFEEYDTKENSGEDVVM MAAEEEVGKEESIWKHRKYMKSLQSISNKESSVLYVEIDDILSFGKYENKVTEYNNLV HSILSNTKRYVQLIYIAADNCLPVPTRTNIIDFKLEEINNTKRSEAMKTCNVPAYLRS SFEVYIKASKRMPITPLREVRAEYVGGYVQVNCIVTRVSNVKPRIQVVNYTCEVCGSS IWQSVEGTNYMPLSDCESSQCKNNKRTGNLKCNIKESKFTKFQEIRIQEPADQVPTGN VPRTMKVIAMGENTRKLLPGMYVTISGVFLPVVKEGFQAFRSGLTADTYFEVHYVHSF VTTKKVSLTEYEKKLVKQMEDDEKEREKKSKEDALNGSSGGIGGGAYEVLHSQFYDKL ANSIAPEIFGMLDVKKGLLLQLIGGVTNKVNDGMKIRGNIHILLMGDPGVAKSQLLTQ ITKIAPRSIYATGKGSSGVGLTASVVRDQNTSEVTLEGGALVLADNGICCIDEFDKMD ESDRTAIHEVMEQQTVSIAKAGITTTLNARSSVLAAANPISGRYDPRKSPVANMNLPD SLLSRFDLQFLLLDIPDKEKDLRLARHVLYVHKNEKAPSDDFEFNRSMSSQQRQGMGM DQTSHSRSSTKKARRRRNNDEIQDNENENNINQEQRVFSTVFMRYFIEKAQSYTPLVP KELVSEIVEHYVELRRREKIEVTREDWRKTYTTPRTLLGILRLSQALARLRFSNIVER ADFEEATRLMIESKKSVTKPGNTGGFSNPGAKNKRHDFRDQIIEIIKDIHRKQAEKIA REDEESMIDEMIEISISDIETRISHRGLLKQQLELVIDEYIELGVLSKSKDGQFISFI TDFS cubi_01606 MEPKKLFLFDLDGTLTLPRKPIMEDMVVALKNAKSKVKIGVVSG SDYNKICEQLQNNELSCSHYIFSENGVVFYEEGVKVCAESISKHLGEENIKKFVNYCL RYIADLDIPIKRGTFIEYRTGMLNISPIGRNCSYEERLEFFEFDQKNGIREKFIQDLS KEFSNLDLQYSIGGQISIDVFPQGWDKRYCLRHVENMFDEIHFFGDKTYPGGNDHEIY NDKRVIGHNVINPNDTIQQLSRLI cubi_01607 MNLGSKYENFEIPRSISTLFERKKVTNNKQVGALDLVLFNNKGI HPVLRRNHFETGSLYKKKSYQKVVTPILLNNVSLNNRKDTSMITSISSHKGLLAISNT RGAVGIYNINEMLKYRDLNNGKGPELKFPLGYVLTENEKRKIKRGRSFKLEPVHYLNP GENENHRGVVSSINLSPYDGQLFVTTGWDGNLKIWDTFEGSCALTLRSSSKINYSLIS QKNPNILSLAIQNGTVGIVDLRIGEFSQTLFLDKKTTNLKLDRPDSPVLSVAWRTDSE DILATTSQNGFIRLWDLRFAPQPFLYMNSNLTDWEFVQETNSMTPLLLRQQMIQEGVE DFQSQQISQERRHKQNKEQGREQELNEDEKKFLKVIKESTNESKISKKSSSDFLSFYQ SHFGISAPRTIGYAHESGVGFCQFLKNGRFLISSAQDGTIKLWNALNGKNCYVSYDSF ESQAFWEGDGLVELGIDDQIQKYFKRFSLDSKDQDTLFHPNGNDIGIWNVRTGKCLKR LSAHINAKSVSIIHLNCYSNLMFSGDQSGYLHMWNANY cubi_01608 MSKLNEFFEKKKQKSKGLGDLSGAKKPIIGGLKAATGDPNISSV ISEGNIDDWSINADVKDTTDFFISSKNLSVDGLRKPTNSQMVVAVKTSKPDFDSNIWK SKTGDSSGTNGSSLMGKNSGEEEDRNITNNEEKEKPKETLKYNVRARLAARGQKVEFL NTKEAINSMPTLAAAVANTNKPKGSNLAPLHPVSSPFHDSSSAATTSTVNVGKQTAAS SEIDHQQKESFCEENNSDLEKGKLPESDSKSDLEMIKQLRSCNLLYFNKEVLFNCIVD IEQSKLKYSNIVRDF cubi_01609 MDLVNLELSKGLTSVPKKQYGVTPPISEAMATPQDYKLTENLII AMRQENLYETVEGMKKREYVLASLNKLVREWIYEASLEHSMNEEEALSAGGGIFTFGS YRLGVVAAGSDIDTLCIAPRHISRESFFSFFLAKLQQDINVSKVQPVPDAYTPIIKMV YHGMEMDLLFACLNLSRIPNDLNTLDDELLKNVDEKTARSLNGCRVNDMILQLVPNKE SFRTALRFVKHWSKARGIYSNVLGYLGGISWAILTARICQLYPNAAPSQIINRFFYIY KTWQWKNPVILCEIKEVPKNTPGLMGFRVWNPNLNPQDRAHVMPIITPAFPSMNSTHN VTHTTLRVITDELQRGYQIIQDIENDKATWYDVWSPFLFFEKHKHFIQVKMLSNNEQA FHKWIGWIESKLRFLVRKLETFKGLQVRPWPKAIQEQDEAKDGFSFAQSMYIGLVLNN NNNTGPTGVTTGISGSSETAPVVDLRGAILDFVGFIMNWSEVENYKDSIDLKVRHLRA KDLPKHLREQSKANARATNKTSTNNINVNYSVKETPSSFVSSQQSTSSSNKRVRNEDE LTSSITGDSTLNNSIKNQLNNSHDLNNDTNSIMRTDSQCEDEFANISKKLKVLPLKTP NIISNDSETLCIGNSGDKEKEFCEIGSSNIPKMTNSNNLNGAKNPNVVLNQIKSNNIR QPFTLRINMSKKK cubi_01610 MRKAIFLIFLRLVGGVIRKGEKNHDYSFNTDTLDSNIINNSQQL NEYVNPANIQYCADTSNFEKMRRIPKVNDTELFNQMNLIQVQLITRHGARTPIKSVKC WEGFYQSWNCDDLTTLFQTSAFHKNTETQTLKFSKHYIKEWEKNLNGTCKMGQLILQG YEQHRINGKLLAEAYFKEGENLVTRSRFKIDFNLRDEIYIRSSDLQRTIMSASALITS LLEEIFGKEETFQNIESLPIHTLDILSDYLFSNKNIVDNSRDLRRLFNSKKFQELIGN HTSLYMELYENAKVKNIRSLWPFDLIDCILTSLCTGNYNKLPAAFFKNNLLERTISAV EKEVSAVYNWDNSIISKYDIGRFLLEIVNYILDVILFTEKKNDEFLNISVLCSNTKEM NDNNLQEIPYLLNLLCNKYESYYAINNGEINIKVPKFILFSGHDTTIIPTLASLDIWD GHWPPYASTLIIEVYYNPFIKKESIGDFSTDQSRLESSQSILPYYIRLLYNGVVLTGK LKGCNGNEICHVSNLFLASKFASLNKIKKDLAVNSTKRSDKFSNKSLSHANNSNQKSK KFDVNSSNGIYNKSYSHYFFSFLLGALTSFLVMFLIKKLNLLRSIFKDFDLSTNAQIN cubi_01611 MKKRIILFLIKLILNNVIVFGKLKEECNQFGGKRCNEMSNYEFF TENDQECVISGLCPEYYNFCAEPTVFEKTRKIPKINDPNLYDSMRLIQVQSIIRHGAR TPTKYHKCWEGLNQSWNCDELVTTVQAAAFDMRNRTQTLEFSKHYDIRQWENNLNGTC KMGQLILQGYEQHRINGKLLAEAYFKKGENLVIRSGLNIENNYRDEIYIRSSDMQRTT VSAAALLTSLLEEFVGKEETFKVFQKFPLHTMDIQSDYLFANSNVENITDHLRAALSS KSYFDIVDKHQSLYQELEDKIKTPLLKDLWPGDIMDCIMTTICTGNHNKLPKAFIENN LLNRTISAIEKELSVIYTWNDSILSKSEMSRLLFDVRDYIIDVILFNEKKNDGLFNIK RLCLNINNNNNNQDIPSNIKYILSPLCNKYEHYYYLNNGEIHIKVPKFILFSGHDTTI IPTLASLEIWDEHWPPYASTFIIEIYNNPMGYKERRIDYDYFHDEENEFFQKFLPYYL RLLYNGQVITNRLQECLGKEICHISNFFKKSKFAQQKKIYKRESMEINKSSIENNKKE EDLSSLENISAEMLNESSLYNANYIAWFLIGFITCIVLLYVLKGVRFIISVLSGFNIN NFGNNSAPNNYQAL cubi_01612 MSTDIIVNSDPILSSSWTKYKVFKKLYSGGKVEYDNHSKILYML CDGCILAVSIEKGPFVISTIGPYNQDEVLGTEHSEEIITFGYFNKNVNNRLILTIGSK GIFRLWKVIIKHDELEVVNSVQFEYLKSWKSGQASIAEIEFDFTGQYVSTGGTDGTIQ IYDAIGGFTTHNYKAHKSLITKLKFHNKRWLLFSTCVEGFISIYDLTTNQIIVQTNSH YSVIHSFDLFEISLNSNSLGGLVTSGADNMINIWDLDQIPNSTSIGILNTKIDDKRDN NVSNKKRKKENSSKAEKNTVSLELKPIKQIHTSETVFSLKCTPNVLNLKDDEIVDNNG VHNNSHEVNSRKNCPWVILSGNEKSGIQFWNPIQGSSIKTLSPKSYSSNQSAILYMFL DYQRESENNIKPSNSFLILVTEDRNIIILSYPSLNLFTTFFGNTPDYIQLALFINNNP HDQHNSLNLKDDNDNISLINDKQKSLNCVILDSTETPQIMSLNKYPYFKSTLIGHKST VLTMDISKCSNIIATGSKDETIRIWSTYNQECLAVLTGHTSLITALCFQKKTFIRGNT NFYLFSASNDNTLKAWNIANIMPGDFSKSCKKFCNDQNSVIAIHNVIAHKKEINHISI SHNDKFIATCSEDKTIKVWSFPDLKLQGTCKGHFSGVWQSSFSPIEKIIVSASSDSTI KIWNLNTFQCNKTLQGHDGSVLQVDFLGNGLQIVSCGSDNLIKLWNIKTGECIKSFSG HNDRIWTLNLLKESNLNNLSMNNDHDIYKSDSSTLDNTSSCNLQRTRFMATGGSDSQL ILWMDNTKQVEDEKNLKSLKRMEDINEIDMLFNRKEYLDALNLSLGQKLQYKTYLILE KLLKPCFNHAEMFKILNSEEKSTVKDETNNIKSIVEWIENLETQELSTLFSFMLEWIT ISKTVWISNSLMYIILTKIESHKLYKVEGFVQIVQAFRSYNMKIQAHLSTLYQKSYIL DYLFLSNQIFQSNHLNHLEKKEISNQSNLNDDALGITLDTLFKN cubi_01613 MDGENRSTIYFQLEQLHSKYQGTGHMNTKRSEWGDNILRDTASS NIMHQSRLSYFAIAENTSKARISFRMLESMIPASLKRREE cubi_01614 MSGERENESAPEEAMNLLGEDTIHPEGVNLLNTVEISTLSDSSI IKEDLSDLMKKGLEELREKSGNLHRMSDETRVNDLNIIDGKFRCDVCKKDTWDYRIRC AECVEYDLCLDCFCQGKSSNDHKPNHKYIPIGRYTFNLLTENWTAEEELLLMEAVSRY GLGNWSEISKYITEGPTGALSLYQKTQKPGFAAHTADECEKHYNNFYLNSKTKPLPDT RNYLNLIQKTQEITNSELIESIKQDLDLLNKSGEKKDSEPQISESSSGTSNSVSTESH SKTKQELSNPQVKKQPFGRPSGGNTGNKTTSTGTGNQSKPSTSVIGYMPLRGDFDVEY DNDAELLLADMEFRDSDTPQEKELKLQILEIYNSKLDERTYRKRFVIERNLLDIKLQQ QKEKKRTKDERDLHSFLKPISRFQTEEEQEKLVSLLIEEKRIRSHLQKVQEWCSLGIR TLEEVKRYEEEKKRREDAKCKIINQTQGGALVAPGGLGLGNLKTMPKSGISTPFVYEG QARAIKKQQKNQISASNQNLISNPNPNSNSSTSSSIPNPPSIPLQNPNSIPNHTSSPS TSAIPIESYPGASLLTDQEKLFCDQIQLPPIFYILSKRILVQEAKYHLSLNSKTRKGS VNNQSNTGNIQNISAGGIPHLSRDEFSRVIRLDAQRAGQLYDFCLSFVDK cubi_01615 MKKVLIAWIFKWYFFVLIVLLVAGKIYGESSQEISEPSNFFFEK SSGMDYSNDISYENADFTILNFSPTENSEYSYSIESPSGTNEPLRSPYYNPFLFEHEQ GKKIVCQEQFCTRGLYGKKSSKLFKSYIKSVLNHYILESKVRSWFFRLWSESTVLTSP VLYIEKKPEFKEIYKLARRNYQKLKDSAVIVILKVLTKKVSKELTLEEKEEEEASKSN SAENREYSSTEYEGTRTEEQDTSRGISSSISQTVKSLCVKLRSLSKHRMSDNGQSYLF VVEAYEITDRLIFSRLMTSLIQTSCWNRANINKSRVIIPVIVGHFKYSKENNGILSDV YDSNFKSKSKRSGSKSKIDKSPNSMSKSRSRSTRDEEQTELEKDLGQMDFSVDLDQGY NSLFVKWLKKCNESKIIYERYNMISPGLFEKLLTQYLIYAINNKVITHEISKSAQGVS HFLSEYPTNCHNSWNKLLKLIETEYETSDMGFKTLLISTILESLQVANFTLFKEVDSQ QNKESDQKELSSKEEELNGEEKGKNGSEDFASTQSRSTIQKELEVSELYSILSSKEQN PTTLSQARIMDDLLIRLEELPDQRKSRLEFKKYISNLKMDRETATQVLELFEAVDYIQ NSMHLLRVMYITSMELGLTTNPLEILISVCRNAKLIPIDEQGGVRDKSKKGKSMRRRK NKKSKKGRRSEKDDMMEASYMNQNTGEGDEETHRSVSESEDLEEENQKNEEELQIEDS KGDNEDMMEECNPFNYLSEELLSEEYEGDIMESIQKSSVKLASLILSLTNYNTKILSI HVSNLVIKTERLILSNVLESQKIPSMVLILSEKIHTFPFNFLSSFSYLKEVFETRTAA LLKPFIPLYPSYTLMGLYGDSIEIKNWKQLGKVLMMEICILYYDPKSLLESEFVSLCN STWESDNKDDEYHKNISKANTKCRKLSKEVKNQEMNGKIGNNSPTVFLFCDLLDKLVN GPSSRNDRGFTGIKKMYVSKEFENKCENIKSYSIQQSGNLYNMLVKL cubi_01616 MNRNEPAFKNYIEFIIKNKHSRDLKIYGESLAHKNPKLDSRKTF TKLNPEFDSNISNKLIGSNTESLTKFNKKLLPNGNLTGKSEFSSKSYDGKLKSTDQNS SSILWMYRSERGWTPFSGSNNIYIESMYKSKIKTIVIYPYQIDFANFIQTNLLTGTER RIMRTNKNSFSLNKNWGGRDLVMIDPLLNLLSFNTSEILRNIFNFLNFDNNEPKHTNL KKTNRKVPAKNIVGGHLDVKQFIIPRLPIENCKENILSDSTKKTVPPANLSLSPPGGY MTRSSTNYGLNVKNKGKCRINTYSRVVQSDAVSASIDANNNDEKYEGNGHSDNSINFN GQDLEGKDEKLSCFCPEIKLNDEIKKPKIYFHDIIGSNISVNGAKGSFDSSSSSSTVS NNAVGILGETNKDDGEKATLKSSNGSKGLFFFTPHKYRKSVGIIVPKILSCRLNNKKT TKSSEKEVNKYVRFNCGLIPSDQSKHSLGGYISTPRRTNSSSSKEIRSNKKIHSKDLE KNINKIPKTSIIDDSHNLSPPDNSVTNPIQTDDLKAFSVKSAFIILNEDAIPFDISDN SKPVSIFDGGREIGVVGDEGTGYLNTLNSWSRIGRMQSEFFETMSEGEFISRKTSMDI NDFGSDEAASDSDARFLLDEDSRKLISLGNFDNSTGSPRKINWWFNHSVRHITVKLNC ENSNFNKKTASLEIIPLLKPLLTGYMDEQTTMMSKIATDVQISGISSHVLLGLKSLEI ISLRPNKSEFPKISEFCFTELNNIIPISLEELYIVQSIIPISIFSLLLTRFPVPAAST FSFSLICNDDELPNTGLQTIQVINLHLSQNPCSYIKRRNRYGMLKGKKHLTYSGDSAC SHVHLDIFRKYNHVKICPWLAISNFVDEFVLRAPILVKCIIDPHNIMVVPSINTKNIC DDSRSLYENRDPQGNYGTNNIRELSRGAEFVIMTANLILPLLIIQSHSIQNIIIGGEV EDDQLDPLFSKTVKKLRVDSIVFSCKYLPFKFFKVRNLDLFLDFNIDKTELGIFIRNS NSKDGTKSLYCEQLPGEQDQTQNQDCDNYCFQQLYNSIDFWIDEFSAWLDKYVCCDSI EILRINLYVTSRYLELKVNNNNVNNNNSNNSTYKDNNVYYGIYDDHLNNQKCKHRSHH EQTNPETVCLSNENFTSFVREGEVGVIDHVTVDPRNIFDFDPFYQKFLAKTKVNHNSL NDVKIKICISSHLQNFIFDAINLD cubi_01617 MRKNFPTLLNILFLGGILIVNRVFCTEDNNEQIRQALEARSEDI TELSDFWNCISTQVIRDKFSWNRIHRIGRYDSMPIIFPSIMREQLQTIDILDPRKFYL GCIGILKLLESKRVIMSGYDDELEKNYSRKTFCISAAEYCFPVKGKLKAVKDYFTKVT ENAREAILESKKKQIKGKEKEEIEKLTDRTDLKLLQENPDYGKPQHHPLIEERIRSKR IQKNKIKFPELGKDFELQLEFLETALEEVPLKVHKIKGGDEIIRTGIDYDRLSRVSLY NLPPDSVPVSKGAWNFWKSILQQESRDNSLNNKRLSILSQNFPVELKDFTYNPKKLLI LCNQALFSLLKQDLIDISNSALTKSKESPIAQDAEARNEEKLQEFVKFKSLNVDLPED PEKDDMNYIHHQFVIFQFCTDSVDIFIGNRQWSKIIERQNYRIYGLPSGRPFMPFKFT FGRDVYEMRNNCAGCIWDLYVNKVSKDLHIDGNTKSGKFDVSLIKKNIESFCHFASVA FFQGELSLDDQQFNDSTDDNEKKGASSAHIYNPRHYDFEKKKQKEEEKENYEQIRPDK HQFLAEPLDDEVYQVSTKTSPTGYHDFKSNESLNKPLMEQQKNSKLLFGSTRSVDEIL NQGVSVGLTVGETDQKTLKYESIYKISPKESLVAFQQWKAITFQMVTDSRNNRIPSIS ELPLEQPREWNTPQYSSEKFLFVCVELISSFLSQSKAKINLPHGAELDNYQDKTRETV KIFCREATNRYFQEYQELFDVMSASSKEEPLMDENKISSKWSIIQEQVIIDYISGLEN RLTEISPLLPNDFYPVTEKLDFEYQCIGTIYYGYTSVPPRVLISIKSNEEPGKSEEEI KNELNDLIKSFCKRASSRYYTAVEYISMYNESKNWLDPKAKSPYSISSHLLDSTNSNK PGSIQGIPWPPIKSPSSLVFNGRARPDLYRDDCFSHLWKVWESGISRQFYIDGTNFDK CKSLDMAKVILEDFCTASSIKLYKLKPEILPSRNVLSMERKALLGIQQMRKKVRKIEN EVIGDDSQKNERGVVKNKVFSPKESKINLSDMDEYSDSDSTNITENKTDDESDEEDEE FKTFEKKEAKIQWDQLKQQMKRDINMNYIRFVKLPEYDQTLYTLWPHPVTEVMFTKHC IKVLDELLKLRLAIISSYNLNDRDYTIERFCEESKFYVFSGLKEKTDEELKEDELGYE GDSEGVEKRIQQELLDTLRELSDEGYPLYKWLENVMNLKSGSDRETLSKAIKWGQRKG DWHFLELLLREIDKETFMNIQRHLQGISPQGFLMTSGLFDKDTTVSIEATWGAIYLQS LKDIKSGNPRVIGLIGSPPPRDIWSGANNEQEFVLLCTEALKKLKMNPPFISFNSQGQ GERAYIQNFCEDALDTLISLQREPEKVLSMDFSYSGCVNEAMRKWQWNQIYTQMDIDL KEMGEYRITGIPPQISHKIFKGGKTVDEVEDQCGDALEELDKRNKIKILNKNASQFCK DAAENICKEDDSGKSNCNIERVKLEEIISQHHMETPIGNPLKLDSRTRCMRIWALLRN SAPGAVKNNIFSSDSLTGGEKFDITRGANRLMDMNMDMDLDLGFGSEMVLPDMNNFIG DNNIRADILRSPLDSGIRFIVLKEIMDVCSPIDTPSHIIGVFIQAMRKIQRINSLKSS EENLYNWVKELVIRYLADERTDNEYLDLTGVNVFGDPYSKDDNTLDLLNKGLHSKGIP KKVRELTDNEKAVIREKENMRINSIVNGIVNQVLPSIAHLTTKEKKREITDQGLLRLQ KDTEQKDLLLNKQIGDTNILDEIRARKELPIEERNSMTLGKVPLPILARRFDKSGDDL MLSNKSSEKIVARIDTRWEKTGNNILKFEKIKDQDKASKILDKTMERNYRKLDSEWIN IKSFKSYVLLNLPKDRPSEYGDKGFRDLFNKEAMIRRCVNFFSDEKNIENYKISIKGN YIERLSHIRTHCSRSASRMYDLTSRSTLNGSKPRVRNKQSKGKAVHRAGRLIHVQGEN EPLHQVISKQRIISGNIVEDSEMSPEELEKSEPFSNTSQNSHNNIEIQYVGSNDGYKA ISSEYVSKRNNNKGKNSNQKRR cubi_01618 MSAVKTTIKTELCSYSDYRIYPGRGRKFVARDGRVSIFLNQKCA SLFHQKIKPVKLKWSTSWRRMNKKLTNQGTTSKRRNRKTVRIHKAIEGLSLDDIKQKR AQNQNNSGVAQKAALSEAKARQQKKKQSNRK cubi_01619 MNRDELVLKRVIKKNNANEKALQKIDGNVLERLKRATELENPYK IRIDKRRELDQAKYENSLKEIIRQDYYPDLEYMNRNKNDHDSIKTIINNPPGTLNMND FNSFGNHDNNTKSLTYKKLPNVTEFQSKYTHKSHLALLDLTNEDQIKRREKESWIEEQ SFKHNLKREINIIKMQEKSSGDLNQFDEKSLILNKSQSRNNFMFPNYSHHQVNKEIST LDNREKLINPRNTRYNYMDDFEKDERMLILREIQSKTKEVTKTQSSGFLFPDKKKASS NLLMKSPLIKNALRRSQKSGILFDHQLRDSYSYKGKSKQSLSRFT cubi_01620 MNKNDNIQMVPMSDNAEDHVNVKPLNGDESSAANNINTSIYGEN KLNITKTNELGSSIIKEYLEFEPLKISLYELIMRQLMDDGLTEVAQLLHIKTKTCIPI HLNKNSLYNLYKTVTYLPMDLRQGNHENNENNDLLELKSTNYVKRIESVLPHLENLTK QWVPIRPKSRFLYYYKKKGNYSNGIMKSYRFDITENIQNSNNHSEDIKNEKEKSQIIK DVSMKEENQNEKTSEKNNKEFYFLENLSKIKLQDSWNAIPNISVNFRGNSVLSNHRPY CRALAVNPNGGYIATGGADGIVKIVPAPSQGVNGTFNSSIFGIGTNSSISSQQKTFTE SKGIITSLEYRIRKDILISGDTDSTLIIYDVKGITGGNSGDLDEITPNITNSGNNNQS RILKKPIQSIRESSPINCIQMHPLDDHFFVGTQDPILRLYDIHTNDSFTSSHPIHQHC SSINGIKISQDGSIVCTCSEDGTIKFWDSVNLSCINTIYGAHNGFPVHSLSFSLSQRY LVSSGGDGIARLWDLRMGRELVKYSSGLRSSCHSKVSLLVDERYTILSAVDSAGSSYS KIISNISSPIDENKSGVDQSSNIIKDHSIMVQNREEFDPLSINIGLRINNKSNLFSSK ICNQLKSKNYTILDHQIKQNPIGDLLIFNTLSGNLVGTVEGIHDAPIMNFELLYNNNL NSNMSNNVSSNSIHNNLPCSISDCSLVTVSDDGKCRILDLNYSSIL cubi_01621 MDSVESFVKKHLAKYGMYEIEALIENLSREEHGNSKEKLKNLYM DLENIFRNERFMKEYEEILIDMLKGEVNRVEKGKERIENIKLEPRDIKVEYLPPKKID WDKLAENGLESESEIVKVIEKVKRGEIEKNVLIPDLSNEVTNGRNVCFVTELESIPKS IENYIYKHGIKKLREGKVGIIIMSGGDGSRLGYNGPKGMYPIGKISKDSFFKIFCQKI QSLTRLVFSSDCNTEKIKYLGELKEIPLYVMTSDNNDHLTKNYFKENNNFGLENVIFF KQDSVPSINIKNNCSFFLSEDLKILKSPNGNGGIFDCMKNQGIINDMYNKGIEYVFIH CIDNPLCKICDPFFIGYSDLLNLQISTKTINKKDVNENIGSVAQKVSVDINVTNILPC IIEYTELNKLGNKKDDFSFGSIGIHLFHLDFIKEISSKILEFPYHIAYKKIPYLKYLN DNKTPNLKPYIDQPSEVNGIKLETFIFDSFAFTNTPVHCINVSRDEFSPVKSASGQNS PDSCQISISNLNKKLLNRALNISDNFSKDFLFPPSKYLEISPLVSYDGENLDKFSQLI TTNNHNFIYINDSSQIVFLDDLQ cubi_01622 MDKQVNGFGSTYNSVGGFGSNHGGINMNNNSNKNDNNNANSLQF YTMSKLKQDGETDKMSSLIGMREGVGGIGGNGGFSGRTGNNKIFNNANYSNYNYNVSE IGKGNISQVSNSNYVSNNINMMSSNVATSPNHYHPPPLSFNPSSSSISNNVPRKSPFN INETNDQFGGGRSETNVGGMMPIQGQPGNEFNKLGGETFGNVPLGPMSASFPQNGSSR MQTLGGLSTVDSGNPMDSQQEEKRSSSSSLTSLIKLLNPSLLWSTGQYGSSSSSNYRE TQQGGSEDDYDDILNEPPLLEELGIDPANIARYLKCVIMFKSIKEYTGGNFGMKGDEN MNHQIEWDMAGPILLIGCLGFFLLLAGKIHFGYIYGIGILSCIGTYILLNIMSSSQSI DLYTTMSILGYSLLPIVILAGISVIFSLRTKVGIILAIFFNMWSTITASRFFEYTVSL KHQRYLIAYPIALLYASFTIVTIF cubi_01623 MSRGNQRDIDRQRAQKRQDRTAPKTGKSTIEQKEKILSIICNVC KQSFMCTANRQTLEVHVDTKHSKLDFSQCFPNN cubi_01624 MIFEENQMENNELISNSKITGKPKMVNRSKKTVEERYQKKTQIE HILLRPDTYIGSTEFHEQTLWVWDDSNKKMVYRTINYVPGLYKIFDEILVNAADVKAR EISTKSNHFIEKCTCIKVEINREKKEITVYNDGEGIPIEIHRDHQVYVPELIFGHLLT SDNYDDNEDRVTGGRNGFGAKLTNIFSKEFKIECGDNNTGRIFKMTWYNNMSKKSEEN IKENYSGKSYVKITFKPDLSRFGNMSDFDDDIVSLLNKRVYDISGTSGVRVYLNGTLI PIKDFKSYTLLYLKSKINNNKESSDIAHEDNINTIENNEKRNNTRKLRNANKKNVKSD GEDENSNGFNENDHDESFEEDFMEDDEWDDEPKTSRSKKKSSVSNSKKKKSGQNISSS FFSSSSSSSSSLLLSGGGNDTNSPLIIYESVPKWEIVISESEGGQFQHVSFVNSICTI KGGTHISYVLDPLINAILKKVQTKNKGGMDVKSHHVKNHIWIFIKCLIVNPSFDSQTK ETLTTKVSKFGSTCLLSEKTINSVVKSSIVENVLMWAQLKQSMELKKKMKSNQKNTVT SRLLGIPKLEDANEAGGPHSKKCTLILTEGDSAKTSCLAGLSIVGRDYFGVFPLRGKL LNVREASFKQQIGNVEIQNILKILGLGIGQKYDNGPVNLRYGSLMLMTDQDHDGSHIK GLIINLIHYYWPSLIHFRGFLKQFVTPIVKAKKGQNEISFFTLYEYEQWRKNNSSKLT GWKFKYYKGLGTSTDLEFKEYFTKIENHSIEFDWMDEQDDEAIDMAFSKKRTEDRKNW IESYKEGTYVDYSINNQCRNLRYFDFIHKELVLFSRYDTTRSIPNLLDGLKPGQRKVL FATFKKKLNNELKVVQLAGYVAEHSAYHHGESSLQSTIVNMAQNFVGSNNLNLLEPCG QFGSRKEGGKDASAARYIFTKLSKWTRYIFPEADDPLLTYLYDDGQQIEPLYYVPIIP MILVNGTDGIGTGWSSSVPNYNPKDIIMNLKRMLKGEIMVPMTPWYKSFKGKIVPIKQ KSKKSTINNGGNNNNRRRTAVSGFGIGSNSNILAGSQIDVEDEDFQQIQKTNITNSGV VEESLELGANYETFGNWYKKNEETLVITELPVRRWTQDYKEWLESTLLPAPNADSDNI ENSWILDYRDNSSHENVHITVRMRPEKLQQAELEGIEKIFKLKSTLSTSNMTLFDHNS RIVRYETELDILKEFIPIRLEMYEKRKKYLLSKLNYEKCILEQKILFLRLVIQGFIGL SNKNKEALVSELKKNNIKSIKQVQDLTQWKGGNLVQEIGGIENLASDGPGDTLTDDTQ FISDSLDYLESSQHDGVMKSSYSREGSQGNELKLFDYLLSMPLWSLTKERMELLEAEL LKKTEEYEKLLKTGIEEIWNIELDELLKVIEEEETQEEMIEAEHNSLIQKRRLSVDWN DLKKKTGTSRKRRNETAKKQENIKAKHPQKTNSKKTTKPTKKSRIGDSESDEYIEGDD YSEEDHHYGDFDDVLDGSDDSNDFDDIDNFESSDSEKEGIDDPESEFEDFSDSGKTSK GRRTQKVSIKKSKSGVQGKTNSSNVKKAKNISSSLSNSSKENKQGLFKSSACIEGDSL SAGNINSSITNLGKSKNTSNINKEVLKSSSPKPLESISLLQRLQQRTNLVNSFNQSHS SFSSSLNKVTSTDNSSKENGPVSKQTKITDIFKKGAIGDSENGVAKSVNQKKQNNQIS RTKGVPTSKRGRRKTIIESEDEEEEEEEEEEEEEEEEEEDGIEDNESFSDVSSDSLME SDFSE cubi_01625 MKQTEIKNDTWEDEWDFDDDLGDITNTNNNDSDNNKISNQNINQ NFDWDNDEWSSNDEISINNTTKNQNSSSKFDNNLIDHKLYSNNQHVSLSSPINANINN SNAISNTNPLNNFEWKDDLWSESEINSDILTNNDSFEPNNNNNIDNNNNNNNNKDNSN SNWNDLNWSDDDEF cubi_01696 MKPIFSILALVLVLLQVSNCQLTINYLSLSSDSSGSSTTPETSP AVVDPKGTAGNDSNKMRKEENEESKEKNEDETKNDEGTKDQKEVEQKQNNSRQANQEK KKLEKEQRDLERKQRREQREADRKKKQEQKEADRKNKREQRNLKKRKVRELEARKLQS EEIFTAAIIDSDSNENTQNKDTRELNSTDYKLSTEQSEIFYKASRIKFAAPTSFTTGQ NSSVIGISKDLESMIAREEKEISQSLNGFAAYNVTITSKTKELLLETDNTIDLDKKYA NQVITAVEKLDVEERCSRELMDRAGSLLVNFQSKNDALYSKSLLITKLLNKNRSNEFI SKEEQEAEQSLKYALDAHQKTIQTRSSYIIDLSAVLLACKKKVYIPKQIVCIPTRYYL PDPKKCTTEDLVKLVEEMIFLYTMRFTENSYLQTCSDKISYCEEHCSSGDSLCLDCFN TSFCKKVHLYRKHYYGKKLVQVANRAYECETYLYFMLMNRSEQSVSV cubi_01697 MISNNYSLKYFITVLFIILYAHLNDELQSSKPLISKNIQSIAQI SLLKAYANSDKSDSNSVKSFETVSMGSSYKNDLSVSDSSDRSDLQSISSSDSRSEDER FKLLGFARNLVKSKSSPDVSSTHTKSRGIKGRLLNLFKKRKNPGGGFSQENILGGETN QFQNRQIESQLKKGRKDLEDTGEDDNEENDQEVLPPNPNIENIVSADFQGYDAGIMQI YLESQVASSDSQITKSKEILQNNKELYRSIKKSYNEQIKAFKCDEYLLENIFVISNQI YVAKSYCQMAVIKITNETGFCRNRCRILHSKECKTCRKAFNRKDKCKSISNTVDHMIG VLEKMVKGCLINNFTQLERLDAFKLYKNLTPFKCTKNQYQVLKGKLETKLFILSLKVS YVNNVVRQKYVCSSCTREECMSCTSMAYCPQCPGLEDISSCSNCLAAQNLQSSLMLER NQLIKDYRRLYSKLLSCESFLALTGSRDFITADIPTESQVGKYLEERVNSICSRYLPF YSTGHTHHKKSAEPEGLKARQPYYRSLPDDIKNAILNGVKLKTPTHQKVEIPQLPTQL GEAFKKYKKRKEEKYGSQGFEEYIIRSSPVMESTDEERKAAVLLFERGICTYLMINLL NQELRNCNAEIDLKSSQHSGCENCISDGCRKKKCNNFPEIMGLILKRENLAKEVQRCN NLGFISQSELKARIDELKNSGLLPQSTSSEVVTVAQTETNELDFNENADEGEEDDEND EITRL cubi_01698 MRIVIVLTTLFFIQIYFQEKDLNFFKESFIQLKLLSNTKNNDSE TKSVNTSEELLGAVGGYCQDCEREGNNGVCTHSCDGKQNCMGSTSNLSNIPEEDLSGS DPSNQDNISLKSQYEDFKTKESFPNLQLDDYIQKTAMIDIDGFGTELETVVRDLVLVN NAIKNNFVYEENVILLFDLCNKLKEAIELLSYNTKIISTNYRRNKKMLSDMILKDPKF GKCVRAFNIRSENKSLIIQASKIKIRLKNLKLIFSKYCMPERERILFLMKQTIVGKFK STQQYRNNIRNIYNTSYLEYLKGNCYSPLKHIEGKCTKKKPCNQCNQLKPIFESGEFE RELKKVNKIEREFHKISRGMSTDYRSQLNEYLDFEKKLKEFESSEVSDINTEVESGSK NSEIGKLKSPEKRKKNKRPLISITNLNRMIHENITSNIRKKSITQKYNFKPKNEKKWN VGNIFDKVRTEKKENKSRENKKGLISSPITTLVPQVNSPEINTNQDTSNNIENPTGNH ASEPEKENDSGPLYQNISPNADSTTKVIYESIPHETNEKSQNTRFKALDPVTAQLYTI LMKRKERKNKTGSLDNIEVHSTRSSINGDFSDSEFDTNSSFESFNGDHFEELNGLSNE PHAWFGSDSNSDNETKGADHQPTSKESLNLNEEFGSNDSDQTHKEEFYRENSIYEHSK SIIKKERSDFEKETGQEVTIFEIEEAKNREDLTKRAQIKLPSNPQVNQSRVTKSPFKN VRSFFTKHLNKKKIKSTEKNIFGTKKSGDKTNLAIQRLSPSPSSQTSSFSPPPPPSFS PPPPPSFSPPPPPPFHPRLTNRFIGRPNSIYGLEQSVVSQQSSDTSNHQEDILQSTKP GKRGPLTKKQLQSLLNQHYSQNINKQGEHTKKTRDNEDLNEKDAINRRTPSSPSPPPK PSFSPPPPPSFPSPTTSRVISRPSSIYLFEHSAVSRQPSDTSSHQEDILHSTKPGKRG PLTKKQLQSLLNEYQSQNMNKRSSVGNHSARSKTRSHRKSGLKRKISSKKNYSETKMT SFNKPLYSKIVKSKLRSNGRNSKNFPLTRDSILNSDSIESKEIHKTDQMEDRIYCSIR SFTSSTKRPSKQRNSSRRCSPKDDTSKPLNNKTAMKTRTSTRKHLDQSKTRSRSPSLD HNGFKEGNDIENVMKLVGKTRRSSKKNISKKRKLLRKTFFTKFKLNTKIKSVRRQKTN VFKVNKSKKRKRSRSGENNKARSRSNSNTSKNNEENENENEETLFKPILSDSEDRLI cubi_01699 MSFKYIKINLFIFIVIYLFLFVIDLHQVSLHISISFLQTIPKKN SFPKGSFNYLLPPGTKTPSDSRIQRSSLSYSAGNEKTNSSVRGQRNAVNNQPNYGGTS SRAPSFSLPPPDYDDDSPTSPANTRMNREPSFKAVPPQLLLPEADYHDSDLEEQSSAS FEPRDITRRRISRSKNFNACNLLANQAIAVSRRSSSRFGSQEMAFEGEKTKRKINSIL RESLSYASQQFSQEVLSTEVPYGLIEKCREEFAESLKLVKHINSEITELKLIQEYLRN CNCGTNNCVKCQDYSNAYTAHKSKLAQLKNLLSSKMEFLYEDCFSGTSNKYESESYAS IFSSLYTTLYNEITKKNRYHVKNLLLKCEIVVITDKILEKQRSCRKCKKKHEVCKKHS ALLQKLREIESTKSKNNSIIKSSIDIINGRMNSLPTRLINDLFELEEQDEKFLTSQIV VKECMNKLKITSVNMSTLGNINSSSTKTKKSSKKKSSIGRTATRSSSYRPGFVGTIQT GTGTNSSKRTQGGATETTLISPEGFPSKPLNYPYGGISSTINQKPSQKSSVKTTSISR RTSSHISKKTPLIVSGRTSSRISRKTSLSGSEMLASSSSNRNAYQGRQTQYSHPLSRH MKTSPSGPFTGTESGAVRKQPKNVPGILGSPFLDSEDSSKKKTKRY cubi_01700 MLARKEHVSGIGVGGTSSGAVSRRRRLRNEISDEQKQEIKEAFE LFDTEKTGRIDYHELKVAMRALGFEVKKAQVLEIMREYDKSGSGQVEYKDFVEIMTQK ILERDPREEILKAFKLFDDDNTGKISLKNLRRVARELGESISDDELQAMIEEFDKDMD GEINEEEFISIMKQTSLY cubi_01701 MSLSNDDNELKESRLFPIGFKNEFCTFLEKPQRSISEKDVILNK LNEPLNEDIKALELHMFGLVTSHWRSSRKYILTGNKQKNNTEGSLSPNNEGIEKIFDI LKLSMYFAENEDATSLNELWNKIKNLDSGMLTLVVSLFNQMCMITNYAESAQRIRQCR RFEREISSILDQSQICASNKPNSNTDIISAHSLRVTIKKLLQAGFSKEQIYQSICEQE VDLVVTAHPTQAQRISVIKCCQKIGGIIIYLDRDDLTPFEINNAKHELQRTMAMLWNV DTLRRARPTPVDEVQNTLNTIEETVFSTLPTFLRKIDEILNDFGMEPLPPTKTLFKYS SWVGGDRDGNPYVTAKVTRLSVINMRLRACNLFLHKIEELMYEIPVISAHEKLKNYVE NLPDIEFYVKPTSYDSLNNSGCITSDATVGLRPFMGFISEYELYRRLLHHIRIRLIAT RDYYLDALNFGHSVNSETRRALAYHSTEQILEPLILMYNALEDYDQEIKTNFKDGLLP GTKISVPWISESSSVSAKLGRGLLLDVIRQISAFGLSLMRLDIRQEASKHEKAMDEIC RYLEIGNYSEFPEEKKQEFLLNCLKSKRPLIPYRLNWSKETTEILDTFYECSHLGAEA LGSYIISMCMKPSDILCVHVFQKEYFSTISADSEFHAEIHDLNKFIKRRSSSISNNNN SNVAVNNQASNTAVKSDTIKNGNISPSIHDSPKSSAAILSSIASKRMRVVPLLETVEA LNNAEKTLETLLSNEWYLNYVKTVDKGIVEVMIGYSDSSKDGGRLTSGWQLYNAQERL TNIATRFGVEMVFFHGRGGSIGRGGGPQHLAILSQPPNTITSLMRITVQGEAITQSFG LSEIAFKTWEIYCSAILESRISALDTPNINNCELSKNLRYQTKVKDSWRELLDQMSDL SMKEYRKIVFGEGNPDFTSDEFVAYFRNVTPEKEISELNLGSRPSKRKTGGIETLRAI PWVFAWTQVRSHLPVWLGLSAALEEMKKQNKLNLVTEMYENWPFIKSFFDLVSMILLK ADVHIFDLYNRILAPPELQKIGNLLISKLKDTIKLVMEVTKEKQLLDSDFVTRKAILL RFSWLAPCHLVQIECINRRRKILSSSVSTDQINEIELSKIENALKISIQSISAGMKFT G cubi_01702 MPQNILENEAEKAGRTLSSGSFSQEGNEPSSLYTVPLLLLIGFA LKNDSKNKTLGPEIALDEQHKEEILSLILESRPAVVESSDSTKSFGGRFGAAGFSSRG NRGSQRGRVFSGQVGGGGRRSIIRQPNENLWDMPCSEMSSMTLGDIREAENKMKAEDL TLDQYVERQKESSDRKSASFSQSNQKKSFVSIPISGEAIINVSSTFSLNNNPVKNHQG HTTSNANDNFQRNSIQFNQQHNQHQQPNKDNFMSRFGQNGTLNSQNILNVQQNSISHE FNTSVDLRNELVGGERTNSSLFSTIANNRKSSSISEAFLSGPANLSLFDVNTPIFDDD NNETGIDFRPPIVHNNANTIGSFDDNNKNKDTSEELMRGQAHFEQGIQSSASNFSWSN RNSNSEEIDNKNISNLSDNSTSFPALSKNSQFSQSQVKTQNSHSSISSEPNILQKLHA AGMASKLVDKNMMDNVGHGNLKHNSFFETKFKHKSNGNEYPPLSSASNAAPTSLSSSI SNNLKFNNQLKSNDAGRLLMSIIGAGQQNNNNRSYGSNFNVRNNNQRNNIHNTHNAHN SNYHNNGNHHNDQIGNFARY cubi_01703 MASKKRESEELKEAITSLEQRVDVAEVVFGKKLSLGDLYSEDEI EKYISENVLVSPGCESCIRMKNRVMSILSDSEKSLMVQVLWSDLTNNFTYKFIPRLLS KPYNMGELGSKFNKVVERLILMNIEKEDNMINLPKEKRGRRDDFSKTYILPCQHPGIY STNIQCLAPVGSDQTGLVIIDELSRLLHCKELIICQKFLNKSQFQIGNLYRYELNSLI WGVNGTIYYTGEDNEVNYFSSNKETPPSAHFNIKYSHPELYLPLSNTPLTFVKEPGEL RRMIDDILNSMESYYNQSKDNEENNPFLLAIDVEHHSNQSYKGFVSLIQLSTRTHDYI IDPFNLFNEIQILNEITANPRILKVLHGSDYDIIWLQRDFSVYIVNMFDTGQAARILN TPGGFSLKNLLSIYCSLDIDKRFQLADWRERPLTSELIEYARGDTHYLLYVYDIMKNL LLLHTHKKNEFSILVSDAFLEVNNGFIVLNQNHLERFNFGDFVQEGLTNGESKLIKLT DLDPSALLTVLHNSRQICLKEYFEKPIDIWNFCFGIRTKMPKSSYKTPIDSAIITLIS YYLFIWRESLARLLDVSNNYILKESMIIKICQKQPMNSNEILGLYPNIPANIKRHSEY ILNIVTTVKNFVTSKSEDELFDFNSYISHIYSNIANNDTNSSDSNRYHIKNNYRVVSK DDTTDSQGTSCIKKSDSVGMDANTDSNRVSSLKKEEIPKSEKSLSDVSAQDTPVSSIT KKRSVIIKKKTPDSNDRLADNLFGNSSKNYKKEVDPNVLPSNINKEEVSSRIIRGING TLNGEVPNDFRNIKLKDAMESSSTPVKNSESPKEANSKKKITFEEYYSEKTEEDLFED DNFTPLVSVRDKYSETDDYLYKKRKTRKIKVENDQEVQNLNQNPSSNIKERPEGNSPD LSSIIKENADILPASFACKSDLPGFVKNSQKEGNANKSKKFIESMKTKKWHKKR cubi_01704 MSMIQNGGLWKNSEDEILKAAVMKYGLNNWSRVASLLIMKSAKQ CKSRWYEWLDPRVKKTEWSRAEEEKLLHLAKLFPCQWRTIAPLVGRTAHQCLEHYELL LDRATGQQIPKELDPRLLKPGEIDPNPETKPSKPDSIDMDEEEVEMLAEARARLANTN GRKAKRKARERYLEEARRIAMLQKRRELKAAGMLSHASIMRYRKKKYKGVDYLNEIPF EEVPEEGAFKMDKDSIKTENRVSYAELEGLKIKSKSENLKNKNDKSKISGKGNQNKKS VEFKFEEPNRIVVKKRKLELPKPQLTLNDVKIISNMNFEIENDSDSVLKYSESTSMPS KVFNSVIEPQKGTIIEKSRAILMDISQPSPFNQLEMDKFTIPSNEIGDLQDEKFNREM NLSYISKRVKNLNDLNSSKSNISTILGHRTLSDRFSLNSNSVIGDQGSISSMDPIGRK ARFEMYKMQAKSLLYDLPPAKNKVEVDLEFLNKQFLDKQKKFKERENNKKIDQMDIQR ENLIKEEERKRLQWEKETQVIKLGLPRPYLLKENVFSRMDQEQHENDINKEPNHKQIS DLINKEMLYLFYKDMRNHPQQIPGFISDIYGDELFQNMTKDFTKLLDPVIINFDESLT IKEMESMSKLINDEILNLVSDPNNKNLNIDLENIVSNSIYDPKYVYVPYKKEFMLLKE LSETHKESAFKNILFHLNLENDIVKQENSQIIDELEDNLSMVISESFALEKEICQIIT TNSELKADINALMLLEKQDQTSYVNRIEDLKQLINYEKNLNKSLQKFYYNLSLSS cubi_01705 MISNPLLNLNLSNDDIQKSEKNNKRLTKSQKKVIARENRKLKLK SQRVEERKRQRSQASLQRKEFLNSMTEDERRSYILRERFLEEHKKFFLSKFSISQFSD YKFEKTGNPHICFNFSFENKMTEKEMNSLIRQISLSNSYMMRSLKIVDTENPDSDAMK TLLELAPNSETSKSYYNRFNWKGWVDFHISSIKLDDEFHKIGVQKYSMDKWFMKFHEK PFWEVFPLSQIVVLSPDSSEELEEFEPDKIYIIGGLVDRTVTKYESLNQALEKKCICK KLPIKSLISGRANCILNVNTVVEILINRYHQNDWKTSILQAIPVRKAQNHSRRAIKKQ KLTSSSSN cubi_01706 MMWGHQQAYNVIVEILEVQNLVWPIDIALTDKKGKIDAKLPNPL VEVTIKNESYYTDSKEATSSCAFNQTFYFQNMMLDSSEFQRSTVEIRVLNQGFFKQEL IGMYTGSFEKIYSLPNHRLLKTLVPITIPERPSIPLGYIRLSIYVLGENDLVAPEDSF GYNDDSVGNILAVSSHSVPAPELAITYYHLNFNVHLARDVLLPDYADLSHQEADGYLP SPFVRIVALDNALETEIIRSSPSPVWNETLKFPVGIPCLDDRIVLELWDAHGGGDGPR LVAQTKLSLQDILQSELGPTWLHFYGQTTGLRGSAIDIKTVALNSFNSQNNGDQSQLR ILPATIRSSGFGVLGMFDTKNVTSFPCVAYLGRLLVSASLTRIPNPTPIKTSAQPVEI PKTDPYLFWADIYEVSGLGLPSEVYIELSVGSWSYWVIPNFQKKISDSFPFCDKLGRV PDQNFALPEIDMSSDLLIRIYTKDESYNRPLFHSYIRISANEMLRYSSDRPRWYPLLS AAHYGVMVGQDIPYDEAAALSHIKHYGMLLGSFILRKNDPEKQFEYFIPSLSNIKRPP RINYNLCRWICRAYIFQAIRLPVVESTLPDSLVMVTLGGGTVLTEIIPKTVNPDWHEA LVFDAALPDDLSIAQWLNIAVLHEDIVLGSVAISPLYIGFMKKSRPEWFILQTPRTPE CKARILCAFELVKIGDMTGNVLFSSISNQLELKNGENDPNFDLINNYKAWSIPDVTPK YVPCDVHLFLIGIRLRNQNDSNNNSIFSLSSTSKPIIQFEFGRDPEKPENRLWVQQAT QPILGGDSGNYNYLKSLCLKCHIPASPVFQTYIEIRVLEPVNVFGTKCREFGTSYLHL NHHLPWIEEYHQRSLKTEFQYLPPKLVNKKWLSSGRKFLSKYYRGPWKLWKNKPREIL SPKISIRGANSISSMIKTSSRSSLISLPSGEIIENVSINDDCYDFDNLRREMGDLNEI NSSEGLKRNEGGIYGEDEDNIINDDELYCGKELSNQKFKQINNEKNTNDHFDDFFDIF YDQSSSVLADTGQFTNSNNGLLIPTDNKFDLGIPNILQDLLFGNNINNQESKQGNSNT INNSDNLDQLKEYKPFDFINSPETLSNYLHIGEEESFIIDPTLNIPIHDLEALNSCIS SMEAYIKPLKNNQKNMKDDGNIENINPYLNMAKSINWGIKSQLYDYSNTKRTHGTNKE QLDLYFTYGLRKSSINYNMIGIEENDDFNDLYELDDDENDYQDELNHDYETELDMDEL PYDSVPIISANRTGEAEVVGFIKIYCKILSKFSLNINKNKKLLNESNVDVNSLNNTEN DKVNIQTPIPKLLPLSSRELKEYNERRASIEQYNQNQIESRLDNDHSKTNKNYSDINS NSSNSNNTRGNNLLSLLTDSQYTDNNSSRLSSLSISQSSSSSFMTKLHNRRFSLSSFD GRDSITYISRSNSLNGDNNHNINNENNYYMMNNDNGSISSEMSNNSYIYDPILEKLKR QILEIPRFKVRVYILTGHGFVPPKQNFRNALISLGGIGGSSGGSNEANWYINVKTGSN TGNNIFDGGEGGNSNISGGMIRNDIILSKGMFEINDSESISKGFSPDFYKSYELDAIL PLNALLTITIFHKNNNNNLTTLYGRTYIDIEDRFFHPVYQKMILNLDSLQPIEIRQLY GVGSNSNENNLMQNSVGSLRCWIQVLPPEIAIIRPIYPLNPPTVEICQVRVVIYRLRN IPVSKLVNNTGMSGILAFGEPSISLMVKGLMSPNNNTKLEQDTDTHWNSSDGTAIFNW RFVFNIPVPCHFPILRLQVWNRGLLVFGDAISECSFDLSSYLLRSRKLNSKVNINRTL INMSHPARPNEKRGEMEIEISILPLSLAESSPVGIGREEPNKDPYLPDVTDHRNYITT SAFGKGFFSATSNVKWGAKLMTWLITAVVIITILSLLIKLFK cubi_01707 MSCILDETKLLERLRSFQKSCIENRRCANCNEIGPNYVCIDFGT FVCSICSGIHREFNHKVKGISLSKWTLDEIKFICNHGNKKDYLTFLENGNITSLKPPP NSNNHLVLKEFIKNKYIDRIWVNQSLYNLYYNNNNNDNNNNTSNQNSFTKKPTSNSNN NHHFFHPNDGIQYKYKQNNNPNQNNKKDFIDRNPFSFIN cubi_01708 MNVLDVGKYKGKTFEYIERNDPGYSKWVLSLESPTNRTLEAFSK YLRNKSPNGNIKNDLGGFSAKHNSGEYTIINKSKTGNSYSYLELSKEGVPNLVIDCKS ENGNFKNQSISGECVAVVKNLKMDMIKNKELKAGDIEESLEAIYSKSDVIQNNNNNEL NSILLDNQDDLEDILQKCDKELEILVQNTTVIANGLNTILSCAKMRLDCGVVFSLVSP TMFRISSEKNNKSQLSLPKMLGDYLKEYKLRENIVSKKMRTLHTGMQGIDLKPYSSSF IFPGIYYDKLIKSISDRFECQIIPIPEFILDRFPDFKKYEIEDFDADFEKISNLTPKY NPETVDLPDCNLCKGCIYDNVCYNSLNHLKCNYPELFNSLRPFQKVGILVGLKKHGRI LIGDEMGLGKTLQALSIITYFRQEWPVLVICPSSIRFQWYQQALDWLSPEINKSNITL IRTSNDTYSRKSNIIIISYDLITRNEHFRSFFGSDFQIVIADESHFLKNSTAKRTQMI VPLLHKARRTILLSGTPALNNPTELYEQINAIVKPNPQFYSNKRTEKKNKTKSTKDEL DTKKKKKSRIKKLSLDSNSEMDSENSDDISLFKAFNGKRMIKNNQDIQRQANVVSPKF PSYLDFAQRYSDIRTNKFSHRKEFYGSRNTEELHLFIRESVMIRRLKKQVLHELPPKQ RSKIPLEIRDKAGIKKIQELLADPNCQMDCSSFDENDSSMCNLHKLTCEIKINPVQEY IEYLLEYNDDKYVIFGHHHVMLDAIESVLLKKRKTGACNSGGPFLFIRIDGKTPGNKR EDYVREFQNNENCKVALLSITACGQGLNLTSAGTVIFAELYWVPGTMLQAEDRCHRMG TQYSCINIHYLIAEETLDDKMWGTLCRKQKIMASTLDGIDQRKNDIQHFHFKQGLK cubi_01709 MTNSKNNDDNEKIQVKEIEESTVQTTNSTRTADIWNLYGMIDAD PISSTESDDIQYVSMVAIGAATSAALWKAYFYRPEEMDDFTTYSMYIVTVLTIVGAFY VISSMRKREREEKLKSLKSSQSSSSDNNSDDSKKNKETTINDEDNKVSSNNMRRRKNR AD cubi_01710 MNFMNFIKNYIIIFLFQFLRRAKSEPVTLIYQELTSEFGQYLKS NTSNKSEIDDNRIIDVCGKSNAKLEFLIQHVPLVSEGYINMTCKCMMIESEGVPDLNG SQLRGLNCTSENNLLSPNSDFEYDDDFKEFMSDSKLIESLKKIYIQKSLKLEVILSIN NNQQEEFCKVNMIGLKDDLVVFPKLDSEHFSLIELGTSFCHYMGIHENIFFQHPSPVT EILEGDIFQDEYYFYPGYNLIEEFERASKYFNEEDLFEWDLQDKNIDEENNFDNNSHI KQEIENNLNDDDKYYGSKYQYIFEPFLRLSYIPNKPYPRIFIKSNVPYTKSIAALDRS INYNYYSVAGKWQVSITPGYSRVNQENSILSILGSKFNSIEKEKYVREKLKDIAFRWR KLTFSNSHHEFKGCSVGRKSSIPRYKESFKVFDSTNPSFNMYPSNLQATSVFEIMNSE SILSDQFKDNRNHCSYYGNIRECLRLIRSRIRDKTLNYVLGHLLINIIYETWITWGSE IWHITSLFNIDNIFTNHYNYPDQNNGNLHTGLDPLNSLFTRLFNVKPLVDDENLDIIS LLKNNLVKDDLETCSLEMDVFQFGVLSINPILIDNFWKIFSNNYCMMSSDSAFIMWVT NKFSLKLYNNKESNDILNENEDNFKSQDVENKNTNSNLQNSSEMKSWLTNPLPTYFNQ VDPEIEVDLVNDIKEEILLPEIYEYNELLEIYNKNDPGIEKENSINRKRFFNSNYFNS KNQFKWVSFIVRNVPFRDSSHKSLEFKCGIGSWPYKGGEYSWMGNYLCEVIFLSSNFY SKRFDFSSYNNYYNKGEESDEEVNSKYGKASKDDKDESYVKKLSRNRMIGIEFETSYP HRCGIGYLGNESKKTLTSVKGNHLLKISLGFCILHGFKLQWLSDDSFNIYTEVLYKYT QTLENGLTYYERNGFELSGSVQQRVYSNNTCSGIMFDNTIRNDELSEYSFKSQTLECQ TSYDPIVVTDGIRKDELLYMREKYFNLIYNLRFGCDHMESKNNDYSGIDNHCLSFYPL LEKVELDSKKPKCKFELTKEWKLINKKFPNECKIGSRIGDCHMKIRNELKCGHKTNIG CLFDTFITNNFIKPINIEKISIHFNQISLLLMHGINKYSAEWDKESTNSLHDMISNLV SSKDLNFYLENGINGILKDRLSLFMDEFSKNSNDDILNQAKELFSYSGIWLDTHSLPL LWRLAMFRIEDIPLFISKQDLFTRIFKNKISSSNSSFRSKSKSTNGVLSEESSLKNKY DISTSIPLYCPLAIGYFWIDKFMWQMYRYPGSNLQTISPIKV cubi_01711 MKRISTILSAIIVSVVAIGVGLVKFNYLNINEYGFLEKLITPQN EIPDDVGPKTMTIQYCDTCGTRNLYQQVQSYLSSRVTDPDFQLVAVKYKPSPLYQGLS YAITASQVGLGLSAFLFPSLFTPTNQQGQPQGGPRTHLMMLIFFGGNILRGLFTNSNA FEIYLGKDLVYSAIQNNSSGYATPPTLEQVVTILNEHGISVLETLTE cubi_01712 MFFSSGSFGESPFSSRNDCNSSTRSSSISKSESSNVFNYFNNNE GIENRWNNNNNNNLVTPSRKVSIANNTTVNSIGRNENMNRIQRMCLPINISMIIKSLE LNPSVFKVFGRRVSSVTLVGWITHKEVLASRMIFRISDGTGGIDARFDIDSETLGDEI NSYLNDLREGTIVRLVGQVVPGKAEISTYISCYTVIKVTEMSEYAYFHSIEVSYVTNY FGQEIDQDEFNTKEESNFYSSPYNILTGNNHTNKSENDYFNLDLIKDINVPDDIVDNI HQIVYKTLTYEINNLESEEKKSLGINKDHIIKILKQYYEPNTILSAISDLESKYAVIY EAMDNHYCVL cubi_01713 MNLRKDKNQSLFDKESYEIPNVLFKKEEIDNSRYIEKYDLIELL INSLNFKIVIITLINGSIIKGTVEYRKVLLNKIQYKSIKNKRLKMILLKDIIVLEKSD KCPNFNANYKNLNTLFIPIKSIFSLTCPEIDNPSKHIKMYIKSSILNINHK cubi_01714 MVEKICCRIISQIPLIKYSKLGIIVRIQASKFISENDQINSVFD IYVDELVPSKSNIFFDISVNNGQGDLVLLTSESCCVVAVIPWNRNIESFQRMNKIYNS RCTSSSGSGSGSCSSSNYNSNSSSSSSSSSSSASTSNTNSNSSSNPNPNSSSSSSSNF NFQPESRFNSDYSTNNTGHGQQGLKIKRPSHAYGIFEENDLELFDNSDKPVINNTHNH KSQTNDETKQDTVVYEIPLVTGIIILNFFNIQTKDNGLYTVKNNKTYIIKSLWYHLDD YIVFILTKTKNKSPKLIALDLISIINNNEELNSKISYNKQFQSFIGNSTYIDDIGNTL ISEDNNYICNYFDLKFNNNNNNNFGFDYNPVDFVFGRGPDVWNILTIYVLFEENIILT LCPVIMNRMKLPYFAYQLLYTTLLEQEFLLNSKEEMMKKDFHYDENLHNTLNIVLGDL RNPIDECGNIIEKVLYVDIPKETFLIISSILKPIPIKLKIIWECEKENNIKSGKLISI TNYPLSVFAILGSNLEIGLFISSYLSLPNYEDTYNYNRIQNIKDEKEEEEEDTEYDFN LILKCIQKSKIPQNFLKYGNKKKNNQEIGGYIENNVDNGDEDENGDGDGDEITKKIIS RFKFYDGLYFSSNKNNFETEKTINDEKNNIYKNFGIEKNYVKKDLNNSDTYQVTNYLN SNNDQPLFVIGISMENNIYIIYIDWLHYLFTLYSTIESDIYDDDNNKVLPVYENIEVI RKCINSNQELKIIPLNNNDNINLKNTNLSFNSYYGINNKDKKNINRNKVYIEFIYNLN KYVNIDTNININTDINNNKFDYILCNPDYDELPEMSCNEVYNIKSIRKEFNISDFINE DLSRNSGIEMKKNDDNYDDDDNNKNKNNNNNNNNNNSLITEIDYQVNKLNEYTQESLL IEMKNYNEKFNLLKNDLKSLNNEQEISEYYLKVLKFLNDYNSNILVKLNSSTKPILNM VDNIKPRINLMEELKDDIEKTNEKIKQKEEEIQNKIQSTLEMNNNINKRISKIKKLFL QELNNQRIQYNQDVILPELLLMLSNVQLELCSTILNTFNNNNDILINNLNVSGNGNGN GNNNHTGNNEDCDMLKVNIFTNEFNNYVNYNKFVISKFQNLQNKVLELNRIVCNYSK cubi_01715 MRKSLASLVGNIGNSIGLGGTPSLRIRDFNEENNEANDVEISLK KGTYGLTSPSKKLYISPSRPTGLPPPLPYNSINNRESEYLKNIDNNNNNTMSSSIENT RILTPFNLRNGINSIRNHREDTDDHLIGESIVRINGNLDSNIGGRTPQTTKSQVRIKS IGMSPNKFDHSLGNLSLGNNNILNGVGTSSVSSIFTPLSTKTRFLPILPTSPRKEMYE NMKSNLSIQKENKLQSISKNDIKIDSSFSETLITSREVYNTENDNLSESLETLRKRLN EKNQEVHFLKKTIKDLEARIISLENKEKMLVENEVNNKKKIDELLIEKHENNERINNL KKEIIQLKEDNGSIVKENKCLNGNYELKCYEITKLNEEINNIQNTYKNNFTLKYLTPL KNILYESILEIENKIGNETIMNVEFEKGNMEMDAIIKTLHEYIQNFKNFIILKIDQDY NIIENLHSELSNVNNDFLELKNEYINLKKSYNDIIKRDSYSKTSTCEIREEKYGEKKK TENEEKNIVENEENSNFICIGKEYENNNLESGDLVLKSQDNSNIELESKIDTKSKSET NSGDLSKETSPSTPGRLLGSNKLQLGLTSLAKSLLSYTYISRNSSISNGEDGQEEKDN DKDRKKSQNEDNSQDSNKDFSLKSQLKNKLQKEKDKVIKPKKSTSKKQEKKSDTIING DKSKKKVLKNIDKTKKQENLKNSSTSKQNKIIVKKKSSKSTESSLNPSADNDNVEINK TTGTHNKSKTTSSATKITKSTKTEKKVNTNKTKENLVNEVNETKTKTNSKTKSASLNK NQPDKKDDELLLSPSFSKTTTKRVKSQSKTTEVTDNDIESKKSNNTSKKEVKSISKIS TKRREKTKVEDDEEESKTKLNSKKKLKI cubi_01716 MRNNIDLIFEEANNEKLKEGITKNNRLSTDNGNKKELDLSNSLD YLSSSINYRQSVCSVNSNLPENSNKGLFDDLEDELYKDSIDSKLDSLKINNNDLRFIN DTVEDRDLDGVGIDINNINNDHYNITESNHKDQKSNIVEILNKVEDRRTSMLSNDQNS FTDDSMFNVNNSFGSNMANYSAYDSVHEINLGSNQKIENHENVLLNRMIDNQGIYNDI NTMCETLSATIKDNFQIHNNEIKNLTDKVNNIENKLNELITLFTTKMKSVSQGNNASF NSNNYTNNGNNLSDHAFNRNNNQNNVDPFPQFNDRFGGGGMGGLGGSDYNINLNNRNI GQNIGNIRQNQLFTNNNHNILSQNSAYSQRPNIDGDDIFGNSNFNNGSKMNSNSFRES QKEAEKRAEIERIKKLEAERRKKEEAERKRIEEEKRKQKEEAERKLKADIKRKEIMDS LFACQASDNASNSEKKPSLFGDESSDFNSRKNLFDD cubi_01717 MSKNYYDKLNSGGLHTAIPFSSNIGVNLLQKMGWKEGRGLGKEE IGIQECIQIKKKSDNLGLGAKITGNSSQDWSDWWKDAYNNVANKLSTSISDSRYEDLN SDYSSSDNENDSIINKDSKISKLDKKEKSKQKAKLSSEVSNKVNIVKVKKKSGKSDKK SKKIKNKSKNKSV cubi_01718 MDQRIKPKNGFNYINGSSKYTEMLRNGQSNDCLNTDNNIHDNNN NYIDNHMDLPQNRKRTYYESRRIGVRPRKIDINVYGCNRNVNHAGMNISKVNVMPEYE YETQYVASTEKQLDNTNIDSNGYYHKRYYGNNNNNINMHMNTNSGIITNYGTNSGYNI LNTRNNMNNNSYGGGSNSYYYNYYDDVKKRREGSSRSGILRSRGTVVTEYRSYDSRGS SITYYNYSNKKVRHSEDSIDHSQGNELSKEYRNRNNINSNINTNSDEIEHFQWYVGQY LTSRYRILDMIGEGTFGRVFECEDLKRKRKVAIKVIRDVQRYTSAAKIEAEILRDINM EDEFGEYSYCVMLYNAFLYNNSNMCLVFERLGPSLYDFLDGNCSRGFFLADIQNIAEQ FLLALSFLRKIKLTHTDLKLENILFTDNNYIWVNAPRHPGALIRRPVRPEIRLIDFGA ATYEHDYHGSVINTRQYRAPEVILDLGWDMSSDMWGFGCILMELYTGVLLFKTHEHME HLAMVERIIEPFPDYMLKKAYNSSKSGRKYVNRFKINNNIDKSEQYEYGKNINNGNNY NSIVKEDYGYILNWPEGSSSSSSIKRVNDCRPLESLVHKKHIMLAKFIRYILRPDPNL RPTPEMALRHEFFRYKFEEEI cubi_01719 MANSTKTQDSFESCYSCIFENSQDSQVDSLQETLNSKVFLLPSS YNDIWLVNQLNQLKLISQNDDKLNELNNYVDTFSDNEEQFSSENNSVIQEEAFSDNIN NYNFFEKELVSNTKLSDIVKNKLLSNKVTTTTTTDYSNNHNNNHFHLNPKNFSFENQN FFSEEDNILIDELVKDSLVYLDPIYSTNNEFENLNVGITSNNNSNNSSNSNMGESIPN CTNCFTQDEIDGIKEILSQDC cubi_01720 MSSSNINFQELENLKNKGNQLFASGDYLQSIEVYSEILDHIKFQ DNTITNTTTTTTTTTTTTNTNVTTDNDDLTKLKLQTLLNRSASYIRLKDFNNGLLDCN NALIIDNKNIKGLFRRATCLFNISNNTNNSPDTIKNLDLAIEDLKKALYIDNQNNPVK ELLSKTILLKNEFTDKYEINKLPSEMLKYIKYNIISMNNDQYNIKFLQEFHDKWFELY KYILSNGSHDIIINNNCCQDIIYILERFIYISDNINCIINTEYYQNNKLYYSIIFNSW NILSLLIEENDIIIGEDNTDLNNINSFLFQLNRINFNSKNKNLNKFRSLIRINNNIIN FTKIKDFIYNINTIIQEYELKINLKQLLNSIFNVLICTFNYDSKNDDTFIHINLLTCI INNNITNNNKEINSILYLLILRNLSIIFQQRKSKGTKIEALNYNIEIEDLINSLFSLS YYSFKCLFDNNNNNNAENDNINKILQSSEFILTIIFSLLSEKERNNENNVNINTISNN HIISKYLLNSIKKSDQDSDKDNDPFSNWIAFDFMNFINGLMGLKILHYSNREVLKGFI LNYPQIIHCVLLIVLTNYSEYNSILNYSIKKQLNYMITENIFNTIIKSCCIEILSLLM EYKEIRSSIVKNDDTIILLYNICKNIITSTDNNYKKNKNIVNINELDSCCRLLNGISK TTMENNDILDLFINQLDILSLLENIWTIFKNINGNYYAENNNKFWTCIQNSFEIFTIL SMHNVFKSKLLNYKMKNVINNEDNNNPDLIKNENSKLSSSLYKENELFILNLLNLPEI YDFQKNSKYFSNTFIYLYVSFIQNLLTSNYSDPSSESQNNNYDEFKSVYFLKRQRFYS QYNSENHVDFDQSQLEQLEMMYKQLPEYTRNERNGHYDRGDEILADTFRKLIIEKSNI ISFMYIILEKNIISSGSNSNTNSNSSNNISSSLPLVFNIANNIVDLIVNTENLNQQNS KEILSHRGKIIQNGGLKCLLDSITIIDNELMNLKSNKSSSNIFSINKKYLIDRSREYK QAISKLLIYISPTLISYKLLVECAVKIQSLLEDDHELLQYESALAITNILSKSLDKIS QDSENDSISIRIYNNGIGWNLLKGLCFTDNNLLRAAGLEGLCNFCNKDYIVLNHFISS KSKGIEDLKLFIAFSQEQDKRIQIAALGALAMLSSYSDVSKIIIDNWNDIGIKLIQVY EDFNIINNNDLEIKERILFCLNNLSLYISSQNNYNNHHTFDNDNKPLNNKNQVLLNIH KSIQDCILLLE cubi_01721 MWMKRKFQNGRLILLLLLLTFGSLISLISNTSKIYSIIIEDLKK NVFKEETILSYIYSISIIGFCIIPSGIIFSRPNASRNVLLYGILVTLFGYISLYITQK ICIYYMTVNSLSDLSNSILFVFLYGISFALILQGGVTLNLGSLWINLLYWPIKYSGLI TSIQFTIYSIGGLSLSEIYRLCFLESGSIRHFFLTCFFISLLIGFFSIYMIINIEKRI KLNREVIEHEKSEEENNNTNIIDDNDVDKKFNNEDCDSLLTREETKVEKNIEFEENNN INEIFMSNNNYFDEYNKDENINNQSVLNKMINYNSNGSIKNLIIKNDNNINDDIALSP TIHIEKTDMLLENQKKNGKYKQIKTSIIHFLKLISNLETISLIFVYLFTISTGQFFGT FIQRFSIYYIPDITKEKSIRMIQILTVIELFVRVISGYLSDLLANNRMMYKSTQTITL TLIMSLSLLLINYLKSYWLVVIFGVGFSYAGMYSIAPSYIRSLFSPTEFALVNSFCYS MVIPGNLILSLVLANTPQKYTTSLQIIGYLSLIPLSIMIAYKIQCLYKKCIIRNNEEN DLEIIQDEKIDNEYNNKNIFENIEYGNDKIAQGITILQKDINHFQKVMREINIIDKIK KKDKSDKNNISFTISTYSSSSSMLPSGDISKNTSMNNIKNLEK cubi_01722 MAFHQFNEDIIGLISSFLPYDEIIKNLRNVNKIWRNSINNSYEC WLNIDLTETMTEFQSSKEDILLDEIKIYSNIISSIRLSNPKNNISGFLSKMILIENSD NNERYKMWNKLENIEIYNKSLIIPIKNHKNYNLLPFKSLFRLGINENILQLLKNYEIS NQENNYECENTYNTKFLMNSFTNIINYYPFKSVKRIIIDYPISTKELIILSNCFPCLK DIVITRLFHEKNIDDHHQELIERGIESSNESRLRNDYATLQDENEFYAKNEIVSCWEA IYNFLQVIPPNQLRILQFNVIPSPNPNSGKWITDSTISRLLTENTQNNSSFIINNNKH WNIHNSNKNNNQIMLFTDSDDHDDKSENQIFKSLEIYKAIKRSNREYIDYKNKVMRAN EYYNNSSMMNNEVGIFNHSNSMIHFDEVGDKLIKYILDMHSDSLVALSCPDLEVSYSL YKRLLNQCPKLKLWDLPGWRIFSCIN cubi_01723 MTPLKDYIFRAPNNVIDYYVDTRKICELILRLASKYGPNKKAVS NYIKNNGNIALFDFSEKQIPDILSESIACDYIFRELLNEEIDKVNKFTATKHEEIYRE IMKIGKQIRTEISIPVFEYIKEYKRRQKITNENFNKGNYSYIIDNMKLLDGFELSLNH LSNEIIHLDFYIRTNFKILIRLCRFFDIMLQTSTSVWFQTHLTKEPFVDINIDILLIL LSLSWTRYRDAEKVIQELKELSENKIKIEIMSETYGNDNEYNQNKKVWKPPETFLRTT TKYWVHPNNVVSAKVGIVRYVPYLIFGISNSELETLLDPYMCVEGHNVVDPKTIEESQ AITSVYLDNINAECYYNRISRFEDAQLFRLRWYGSNTGSKEKEIFMERKTHHESWTGE KSAKERFSIPQKYVFGYLKGKFTVDNLIKEGIFYEKKNTEKENNQKSINLAKEIQNYI INNKLQPFVRTSYLRAAFQNQDNNQVRFSIDTNLCMVNEYISDGHQNEPWCRLAEEVL AKNEVTRFPFAILEVKLQSEQPIWVSEMLKRCNATLVYKFSKFQHGMAILHQNRVSIF PHWIEDFHQSNDINVISSSSISELSYPKSSNNNNNNGDDDDYYYYNDDNNNNNNNNSD NNLSEIISKTEKYPNNIFHRKNKHLTDFEFENEDNRIYKLINILMNSYLNVVNSDLNG LKNEFNKNTNNFQHSFNSSIKCNDEYTYNSGFEYSNNINNNNNNNNNNNNNNNNNKEY IDEYINSINSKKIKKLDPKSLFAAERVMLYW cubi_01724 MRLIAFLFIAIIQVVYSKNSTQIQEKNVTHITPKLNYFSPLIFF NKELNSLIKKQRILRRLRSINKNLKERAKKEAFNYEDPYLNPERFTKSKLWRFFNYFK LQRKKRKQPRHPGRIINSFTFEKYLIFNHSDSVNANITNNTTLETNTTYYNNTNLNIQ KNQTEELDDSKSTKCHSLNHISLCSNSATPGDYSGIPEILSPRRPKNIIIALVGVCSD ELYYEAFKYTYYPFVSQLKMYGDQFRKDTGIIFPHPYLRKFKPIGSIKWSYRFAWADF SFGFRFKRVNLNQWMYSVDSILMLIDYLVQVEKYDPKRIFIYGYSQGGAMALSVTLRS KYILGGMISTASFLPERNMKKLQSMEPKITKEGLKTPMLLSYCNPDFIFPFRSGKKDV KYLKEVLKANVKYSLMLGEGHSCMTRYSMVYIDWIHNSILNYENNNSKPEKFTYALLD IYNNFQDYYNFGLSEEKKEDTN cubi_01725 MNQDQIRKIYDLIQKGQFQTAVKQSNNLLNRIKLKVKDGNDNSL LQEVILQLISLRGLSYSRIGNVILAEDDINKVMKIKPLNEEIIIIIWHYYVMNGSLLS NNDKLKELANYLYDASSINILSEQFETDILSLLYYLKEFNIMKTICNKYLKRNKNSYF LALNILISFSILQNELYSNQNNNDNHLNINKKEDALLLLLVNKYLSENNNQKIDNLKL YKRRIISMIYLIKLYVFRQNNDIKNYFDCINELIIFMNNLYPCINNEKILILKNLMIL QNFEYSLINNNQENYYLINCKNTLVDLIKNFDNNSDLDWDNIRIIKLFIVKIFQEISK LKNTLYDNFTTNINSSLNLQNSQSTQITNTSSFQTQSTIASIYGNLSGNMCLLENDSY SNLLEQILIIVNMNSEEDDDDYYYQGKNRLSLFLLTELKGRIILLELFVELLHCIKVN SIESSEYEYLYDNLLTQVNNEIIRLINSNNENIVVDLKLVLYYYFNSNLYYNEENIIV IILKDLMLLLTENIMELHENKNLDLDFFTSRIQILTIFNQIIPTINNNNQNLLEELML LDINKILLILKKNLNKDITNYFSKNNSLKLSYIRFMISISIYCLSISNNNIEDSQINS TGICIFILSFVNNYIIECKVDYLVNNLLSEILPILGLFSISHNIRNNILRIKRSQIAT LNVYGNYLEMISCPFIDCMNNSIKNSDFNFSSLYSLKNKPIKDNSTLAFDNNVKILFN SYFDIYNELRETCIESLRENSFSLDNLFEACKITQDISSNYTLEMLFSVDFLNSIFSI FLVALSLKKNDCLDSILNLFNGYKPIIIHFMGVNIDNIMDNFDSLSIIKQDLSPIITY YTPIYKVDYSFNMVSKDLFPKSLFKYSDNINIIELENIISIPKFNIEILKLVNGVPKL KYILIQKLKIWFTPLLLLSNLTSSKNQMDISQFNSIIDSLKNHIFSSKYSHLISEFDL ELFNNINLPLMNFLFNIMNINTNSDLNSNSLSHQINKDNIELLNNFINTKTSEFSNKN IIQKIDKIIEINGNNQLTYCMLQNLIERINCFIFGPSLIITLIQYWLICNFSKKQINS MYKLLNNSHIKIIQEIYDHFKELLNNFNGTVEINVYHKLCDMFNLNNYDHLKNQSFNL SGEKMTNSQLVTLKNTSNILEFIINSLSNSFK cubi_01726 MHLFKEIIIFIFVIGLSLINGIEGKGYRQSTINKVIRIETKSKA KVKSIADDTCGINTIQVSNFLNTARWRRIRNKLGTGWDDNLIPPLIFCVKILDKPTNT SNSCNRNSFDLLLNDGINKNKKSVIIATVNDVLKGEEKCMFISPCEILRRIYWNDDNF TNTISNLEFKINSTFESSAPDQRFISVISIKGLARSLKKEKNPWDNHLKMVQKFKNVQ ALSLFIPYKPHSKSFISDTCVVKPKVTSIFYGKNKPSVCKFNNCMWNIWIGQLSGEFV NERCTIGKASSRGDYIVGWFSPYAIDSETVGSMKLKIQWNLAEDQNQNSCSMTNETEK KITDYDRENLVHGNLRSDWFPGDEYHKYETINDVVSEGTRTNTRSTETKIDNSTNIST STSSLDIDVSSNITTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTVVPKI SLVGSGNSSTKMNICVNGRCRSADNLWLNCHSNRTRVLTDNDQIDSNNKENPDNLQIE WIEINKESTKIFKDKNGNIYYDVDGYIDNTGVNSTESSHQKSESELSKPQGMDMNQNS CGEDQICACMLEGLVEEDITVIDRDDDPIDDTNDKEDENHNIEFEKIDTKMNKEELLK KWLDLKKIYES cubi_01727 MTNCEQCKCSSDCKCCIKVCPEGETKKNNNGKCCCCCSCCKDEC KCSPECICKKNQCHADKHSESKCCKC cubi_01728 MNMEINSSLKQRNNTRESFDNGKLIFTDNELNSCVDKVVVNTNS NISEHEIGESNNTNNNNNNISSEMFIGEELKIPLDSEHHSIINMKKERNEENYFHIYN NKYINNNLEKWAPAYTPNYLIIIYILVGITFITIGVYLQILSKNTIECIINYEDSPGN GLTIDTFVEIKSENCNPSMISGTEIKYLHGEFFLYYQLRNFYQNNNLFVNSRSDRQLS GELIYNEEILSECYPFIKDKQERILYPCGIAAYTIFNDTFTISDGQNDPIEIDDSLET ITFKTDQMIFKNIPENELVKHKFNDWLPKDIFPGRIENPHFIVWMKFSPFSNFNKIYG KLYSKKNKLILPLKIHIKNRYPVHLFNGSKYIVISQSTIFGGKNPYFGIFYIISGIFF ILISLYYIVRNKFNTNTLGDFRYLYWNST cubi_01729 MPILKDELQKSNSKDEDKDIIFGKFNIEKKEGLSFLENSTRNEF NTSLKKIENILINAYNQANSNYNSFFYNINMLTLPHSDINENSNVNNESEISEILNIG KYYQNKEDSLRMKILQGVNTELNDSLRMTLGVVLKTYKELEKIQNKIEDLIKENRELK NETFDLNISIQELKNENNKLSRENFYLNEQIKERISTCDKYKNALNKSIKTMKKLYFE NDHFNKEINQLENQLVVSKLRVAQHNEELEHIRTLLKYYKNQVKSDYILSPAIEQIIK VEPCRIPSNNKEISNSNYKTTNIGNILSKLLFNNGSSTTRNTRKHKSKKVSLIRTNSN HNSPTSSSPCLKFQYNQENNKQNSSLTITPIKHDKSFNDEYSPQSTGIDYCNNHIFFN QRICKENILSSDDEKFIENLNISPPSITSTKSLNRDI cubi_01730 MEEQELSIKREDNEYNRHNFQIDGNNIDLSINNHHKKSSNLESQ MMKESFSKSSLKDLCRLLLSSFCGILDEFGLETQADEEMLLMAIDSEDFDSVIEYVKL FRNKISSILILNNIVKDFKTDMEIGMTTVPEIINDIENMQDSEYDQISETERNSIEQV INYNDKDIIEDDLTNIDSNDFQKRIDLNNPELGNLKILSPNTNINSSNHIKKSPVPPL NVEKAGPGSWNRGQLPDEYPIE cubi_01731 MLTFHKPENAIRRVEELRSIGQDETALQLLHSVVNHRRFRVHGW DSSQELMMIQYIILSVDLQKPRMAREGLHQYRYVTHQSNIGSLGKVIVEFRNRAEEQL QSVKNECVDCMDPNLIKENLEQEETPESLLLASLQIERRGAKEKKLHETLRFTWEAYK MILDIIRLTPKLERIYHETAQRALDFCWQHSRASEFKTLCELLRTHYQLLVSNRHRPE IEVMLRPECHLETRIVQLRGAAKLSLWNECFTTAEEIFHLGIFQFDPLIGNSFTQNAS LTSNSQSNQINTLHGTVHQNNFLGGTALPLHSNTSNTANLQNMDSAHAMKVRPTYLRW VALYYDILSKMFLLTENYSFHALAHLKYFLHLKQFKKNVSKEELTKMASTVVLAVLSI PLSENNLSLNSKSRYFMGNMSSNDYYPQGDQVKKAALLLGSLFIPTREILKSMLISKN ILGLSLPVCQQLYGVIEGRSNTLKLCVSTNGLLTNLMEIDPDFNEYTRPLKVTILTKL FLLISKVYSSICIDQFLKNICPNDFLPWTDTEKLLVQLSNSSIINLRIDYARKCIYSV DKCLGYNNSTNNKSGNLNNVGLCISGSSQILTTNNINNNNSGSKYNNQLSVSAFMLEN LLRNLDKDNIKIGLQNQNTKISQMVQRRLPIEMISMEKRIEEIKLRRKERIEELKRVE EDKKRQEKEAKAQEELLEIQKREEDRRRREEARQLEEIKKNKIEACRIMLDTIKKLAF KNTNSNKIVLKGKLLDEITLEDLLEGKILYDDLERLQEEQCNFERQERIKQRKQEAKR LDHLARAYRLEEIPLINEWNNKIIQSDKELHKQLQDSYLLKIEEIKKEAHKEKEILKT ILPYLNNWRDKQLEIRKEELMDELKVQRLRCIKKIKELKISRAKIRRNEAIEKKLHEE EQRRKEEEAKREEEEARLLEEAKRQKEEKRLKMQRQLEIQRKREEEIEAKLMAANSKN SNNANSSSNSGGFNDRGDTISFTREGGGNSNSNRGFGNNNRSNNSNSSGISFTRQKTG TSGNTSPSKVDFTRDFTRGVSNTSNSSSSASAGTESATGFNRTNSNKNVSFSDLRRTG TNDQSKNQQQHSSSMGRNSNNSSKSSQRNNRERDTRDIDTNKWR cubi_01732 MQEKNDDKIIEKREENEFLHTTSTNCTIDEIALSSSFPLDKYLN QQLFESDYWDDYSSDSETEYSKALDENDRLHQKKRVWNDNLEKSCGTCNHIENYTELS NMIKCEGYCRKPYHLKCVGLVKLPDTRWKCQNCLNHLVFCNICNSFGKKSDSNFLKCY HPICMRFFHTQCILHCNHNIIWTFNNSCGQTSMAPNQNACMHPEINIGGNIFKKIFGT ENVEKEEITMRLLNEFGFKFICNRHYCSTCSDYTKKNKTNQSGVIIEISKKKRTTFKL DNPNDLFYCIKCNSAYHDHCLHPDSIKLINGVCICYKHIHNQSEMILSTYNIKEEIGF KLKTKLKSYFNSNNGEKLNKKLKLELIQKIVNHLESSDYKLNSLPFELPGQSKDWLYI EIENLINESNMKNEGKKMINSFQNYGFTHIKRNIYIENINESQDEKCEPLPISDSSEI KKKKKEKHLKNTQNLKKKAFIYNKKDKIFNEKCVCKTICDKDTCQNAAMYIECNSNIC GLHEKLQKKNCMNRIFNSNNNKFLDNQKKIILKNLKVIDAGEKGFGVTTNMTIPKDTF IIEYVGEVLKKENYLKRVEKYKKRELESRKKSLMMDFYKDNCDYNEDIILSKDTRERH WYCMEIGNDYIIDSTNKGNLSRLINHSCDPNCIAQKWLVGNECRVGIFSQREIKPNEE LTYDYSFTAFDIGFKCKCNSPCCKGRIGIENFKESNQELIKKRNELCMKNNMLNSFIS LNKSLFNNPIFSELDEIFTSRFDYINDHQDLYYKTEKYNNNIKKYNELLHEHITNRIQ IYPYDFKFRNSLYGYTSLLFFDSPDVISNWYLKKSKNITLMNKPWIILPFVFNSKEFN YLKHPFNFNYLKKKICKRLSIYLDQNNSNLSNNSLFWHLFDLGIGSDECCNICNNPGT LITCDYCYDSFHKYCLYNSEIVNNLKRSRLSYNYSKEEQKVKCRNCIENELISVYWLK TTYKRRKYNYFLKHKVFSIPLYMNKISLLK cubi_01733 MMSTVNQNELVTENNENQNTLNETVYNGPLKLEHLLPSGLTKRD LEILRENGYHTIECLAYAPKKALLSVKGISEQKCDKIKSACKELVAMGFCSGTEYLEA RTNLIKFTTGSNQLDRLLQGGIETGSITEIFGEFRTGKTQLCHTLAVTCQLPVEHKGG EGKCLWIDTEGTFRPERIVQIADRFNLNSSDCLDNIAYARGFNTEHQMDLLQSAVAMM TESRFALMIVDSATALYRSEYNGRGELATRQSHLGQFLRALQKIADTFGVAVVITNQV MSKVDAMAAMFQNDKVPIGGNIIAHASQTRLFLKKGRGETRICKIYDSPNLPEGDATF SITEGGINDPKDEK cubi_01734 MEAVLDDINHEKISEKVIIFNGGNKKEMNGSIDANIYNYNAQLP KGDYDEIITRHYSANNNANVSNNLLHPQYKNNKNDVVENYLPGYCTGENISLNYNLNH NQNTNVGTTIYNEKIICNHCSCPVNKNNAISVKDTRICNCMVNENILLYYNEKEIQSK GNQINNGCHENIGDSENLIGALSPQSIVTSMSITTPLYSTGINKDIINARKDEEIISD INGNFILGSNQSIESENCHNLKGINSNSTSTSNVLSINTKTGILNSNIIINGPGNISS NGNTNNSNITTTTNNSNNNTVTTITNNNNNNNNNNSSNNNSNNNNNHMANSVCNYTNS GVSVGNIIQNSSLLLSGYLSGTPAQSNSRKILARVRELWLSNIVEGLPRKIAAFILQR HGNQIPYDRQFWSYAYKTGRLHPAEEQVQRQLNEGVQCLNRFIQQLIKSCNIMRDHML RKQTNSARYSERRSNGTRGYCNRRISGRMNNHHMMISNSNINTNDIENIEMNRKEIKF NGNIGEIGIKNCAMPLLPTINNGVIEDISNGDEINEAEISGFMNGSMGMTGEGIMTVG EQNILLSSGNLIDLNNSKSKDLEKRLNDGIPLLINERRKNEILENHLLLGMNCNNNTG NNNVIHNESNIVDNGLVLDVDSEDCNNRIAATIGNIGNHKTVNGNLLGDYRDGMEDDE DDDDGQIAEIPLDLTDEYLAKWLDGKNALIIRKLVNGDKDVQTIDLPTCLNSDNLYRA LRFGGLLVRIPPYNQKSYEHFNLLSPGRGDTRHTQLVIPDGERDIDVKKSRTSLALPE FLVEDIPLPKVCVFQIEAKLELLLLYRGCSSKRPHTKRGRSTVNTANTSNQPIHLNVR NNTNISSINNTGIHPNIGMTIPITSPVMNQISSTGNTLNNNNNNNNNNNISNHVSGTH PNITVTLSTANTNNSISTNSSNNDGDKSSNTSNICSNNINGIISSNNNNNNNNNNNNN NSMINSGTSSLLISENNGLNTVHSGVSINEGNNTLMDQLTQSQIILNKIIGNNHNMTD VINNHNIIENNINIHGNTQLINNQVSMNMVNESDLFSRISSLGNNGVDTNSISNINGN SVTDTSTISSNGSGVNMNTGETIATPVINNNINHENINNITIHQIHHEDMLLGGGIIS DINNCNYSTSNMNSALIEDLQKQFSNNNTNNSNNNSNNNTNNTNNNNNNNNNNNNNNN NIGGYPLSSSSLRMNNMVNNNGNILGYNNQNINNSSTPTPSTTVSTKYSDNLYSFKKK SNKNDDSVYYYIDGTMEDNENMSSNKLNSNTNVIHPLPSVCYIPNTNNSCINDEEQNL NHIYHNQSPNNIGDIPYNNHIVLSNNNNNNISLNNSNLMVSGISDSHSNSNTKSNMPY IDEIDYFNSVFNDSDGNNIKNGNNANIGGGILGINNNSSNNNNNNSQSNNPNGDTRNS NINASEIQHPCLNWGVNPR cubi_01735 METSRSEYEFCRKILDNYDKYNNSLKNYQACNHDRSKERELFER PTTDKLNAIRLFCDEGNAKYQNNEIEEAILEYKNALIYVDYTFPEDKTLEEEYNKLIT RIHLNLSACFLKINEFNMVILHCNNVLKNDPNNVKALYRLAQAYINIYEHKKAIEIIN NVLSSNNDDKSAFIKLRNDIILIENKYKNSNSEKYKGLFNKKPNC cubi_01736 MKGARQVVTTGSPKVELQKDTYVIENHVNCNEPVVLKEGSIKNK ISVRCSQNSRIVIEQKVNSIFIEDCVGCIFLVNGVISSIEIVNCDDVKLQMTGVVPTI SLDQSNKVNIYTSKEGKNVEVYSSKSSEMNLLFPGEEEGDWKELAIPEQFVTKYNEAK GKLESVVSPLYG cubi_01737 MAENNGSNIIILSSSSSSESENEHKTFKKIRKVRKSIQKKRITE GNHIENNNNIECFTNLNQQNNPNSAASYSISSSSSKLSSSSSSSSSSSSSSSFQNNSF EDVYLVNEQDDTTNNRQLEKSKDEDSDDNEQVIEVIDCNSSTIRANSNTFRRNNRTPV YTHPSSSSSSSSSSSSSSLPHFPSRGIFNMSLNELSGNVPNNFPLLGIYSNIVNFLNR SMNSSNINNQNRNQVIGKPVELTQEEKEKAAKFTCPICLEDWDNIMSRTLTINQFLPN IRTPVVITRCGHHFCLNCAGNLVQRKQKCPRCRRNLTKKDFINFYV cubi_01738 MISDSNFSIYHIHHLEKVLDEKELKSWDSDINHILPDTDDAFEV NIIDSIQLYQKEAIETQLSTIEKLVNLDGMLSTLFPFISHNMYTENENDMIFSNNTIN LNNVISFLDQNSNITDNNNNHHHNHSNSNLNISSSGNLNNSTTSPILRSTNL cubi_01739 MEIFSDNSKYISDLKKLTYEADKIIQQHQQLIELDINLNINRES LSALRREYIQINQSKKNNKVVTLQGLEYSQFDISNKLLKLGDFFISTSNYIIHKYLLS EKKAISDLIEQVRENRYKQVEKFLNLHPNIPESSLSELSFIIKDTKKDKSKINLDNSK NKIISQDIKLIPTFKIFNKIDNQNLIDFASSNFSILEYFTQNDQNIQRNQVLIYVYNH GFPDYSIDYKNEENQVEFQVLNNDFNRSDISYKLEIIKDLIHDHIKLSRFCEKMRDIC LNENHINKLKEKSKIEKFLFISFNTNGIGKFTQRGEFYDKTLIKDMYDIETIVEYFSG VLFKNSKLIMIGLSTGAFLTFSYSTNYTKRNIKDNNDYFISNSNLIGIICIGCVDDIP DSYSLDFNNNQLNEFIELGYTKINTNIPIVNSNIDLIKNDDKLISRDYLESYKIFPKF NFLLENKEKVINCPILLIHGTDDQSVPFKMSLNLLNLNNYNQNSDNINIKNNKYLKLV EINNGNHLLTNSKHMKKAQNEISNFVFEII cubi_01740 MQDSTQIIKEYKIGKMTWDGKLVKACIDKGKLQFIKELNENTHK IRWYNIDKKVYEDEVKIEKELKFDIIKESKSNNVFVLKKNCQPLSIYWIQDRKLVLDS LLNDLNNQINLILDDNNNIQSNTNDLNKTIRSILEQMNSNTNKRRISLSEILTSEIIN ELKQDQNLIEELKEQMPLNQRNLQDINSALNCPQVKYTMRLLDESIYSEQIFTLSTAL GLDINFDSLINKNPMKVFINALNEKYNEEKQ cubi_01741 MVLEILVIIFVINYLLLHFPKILRTINGSNSKLKVSESVLGKGN GCLHISHRGGSDEAPENTPMAFEHAINYCNTDMLETDVWLTKDNLLVVMHDESLLHVC GLDQSISEINYADLPMTKDSESLIPSSDFLNQNEWRGYPKKFSSQKLVTLEELFQRYP DILISVDVKNPNNKIAVSHTVELVRRYKRENKTMLSSFCNENVSYFKEYNRNKNSPEL VICIGKKKLLKIFLAYFMGFLPWINIEEHVLSFPMSYTFFSSYGRVFANKLSKYLKLS KYHLEYIENELIPNILVWLFSRKLLIKHLQKRGIRCFAWVCNNEKEMNICKELELDGI MSDKPTLLREYYIKNRIKPFK cubi_01742 MESETSLESIYDELKGRTKKRVNDNRKNRNFKCNQDYLKNIGIG PTIVIDCDYDDKLSERNLKSLVVQLSISYSCIRKSKIPLKMIICGVSQRLKQGLYKTL AESWLGVEILNDKVDQVISNRFLNTDSNYEIIYLSADSNNVLPYKKNQDEYENSTDQG FNNKQIFIIGGIIDRNKYKNISKLRAEEFNIKTAKLPIIESGITLCSNQVLTINHVIE CIVKYNETCNWYTTFDSVLPKRKKDQIN cubi_01743 MSLEIEDEEKKLLSHFLQLSVQRKIQGFRLRQESNINNTDYRNS NNRKFSNDEVKNLYSEYFKAINIIKELMNDRFDQDFNVRVVLIKNLEKIMKIDPILTC LVTVKLSYLINDNNINVVKAIIDMLYNQIEVIISDLLLPYSIKQLLIEKEESYKQIEE SQNFEGIQENGYDRDFWWDSEICKELNLATDKSLESFYSIIKLISNILETYGNGGIIS NKQKDNELVILNSYQIDDEWKKEILYKKTLKLSFKLLRICMINTNILQEVKSNIIIET RNRVNHQYWDYPSSLDLNQKKNSRVMVTLNSQNNSKYVYFNQFGCLLPIEIVLCKKSI SVFENIIRSISDSIECSGKFLLRLKCNNYLNICYWIQFIGWLCSTKGIYLNRFISSIV EFSKDLFNQTDEKETEKVNAVKFVFQEELLRILASAHTYDNIILFGEVISILNKLGKK GNLHELRQEAINKFNKNNNNNDISNDDNNNNNNNNNSDNMQVDEKGESSNDIFPPIHA QKLRGRSTRYSYKRMKYDVDDGFYKISPQSVIEGVSWLFSTNSYSNVIDIAINNFLNL KIPSNLFLEEGNNQECNRQKKNLDLILNSSKFPWTNEFSPLDSNKKLDFNIQDEKQNI KEDYEKEIKKLDDEMFDFNYQNKEIRQILESDSNIDSNFIEESLNNKKRTLSTLVKGD NMITEEQYEMEGLKNAINTGTWKELKNNCNSIKSILFSQIITGVLVSPVVDISTDGLY KVSRTLLNNNQLMNVLKYGQLILTSWELLLKRISESEGKDNITCNIETYGEGVNIAWN LLVERITNAFEILFYKYRLLIRLILNKERTLLNNKNDLKMEQVFIEILVKTLLSETKE LLTNILLITNINDEDYNNEINEDYFIYLDNILYHNKLNLHNIQCMNESSMDNNEALNT SNNLKNINVVFILWIYNFVLEQRIKVLHNLFFRFYHLDSKINQKELIFDKLFQWIINE NDMNNDIINEENENQVFFDYNKLFIFCFNNFTKCISDFETKSSIFLSQLKNLIMNTPK IPINFLRLLFNQWIFSNNYCMHLSTSNNEGAAQINNDNILVKREYALNIFYELLNSNY PIDDIRKVSFFVMVVSFLSYNSNSNHLNFFDNICLFLENGEAMHIDVSNSDNCEAKNS SSHNINFFEFTKELFKVDNIQSNKRINIQLTQICNQQNAFVENLISVLYFEVNEINTD FQNNEIANLKTFLTEEMSNWNNIEVYGEKWMIILSFILVSSIVPDEISSDYNDKIITD LLNTNEFIILVGKNILEMIRNELIPKYKKTYDLNKHIFNYFRGIIVNDFEDEQDKQDF GNEFNKSKFNEKIQNTVVDHNQSNNTVMETMDLENNDQNTEALYLGYLEDLFSNSCLL FKYYCLRYPSLINCLFRLYSRIIHEINKIQENNNNNLKIFTLLNKIKELYDNLFREIV LLFKHHYTKENNNLDILIKEYLRILDIYSSDHIKYNYLIDFIIQILVNINFSNMELCL KVYNIFKNTQNINILIPIIGYYDLQKIKELLPIIINKSDKSMIKECIKNIVTNPFALK DKIISSSDLLLLLISYSYPDVNYVDKKKTVDIIDCCFELTQSNPPIFDSEIIASVIGK IVQDEKSVFPRTLGRTLVLSVIYLPTVRSFISSFVISSLIRNYKVWEDTLTWRGVKHC IQKLWCDYKTSIFPSLILLPQTEFQGIFNELIETNSDLRVDCLDIIKRTNLRSIPQYV QDALKI cubi_01744 MSNTSGRNNVFRCNYCNEGKVVMKRCRTGYLSCKECFIHNFEEE IHEYITENRMFKRGNRVGVCISGGKDSSVLLNVLYELNKKKDYGIELELIAVDEGIKG YRNDSLEVVKYQQEYYNCPLIILSFKDMFNTTMDEIQSKSNRSNSCTYCGVFRRKALD IGSYKVNADVICTGHSCDDTCETLLLNILRGDFNRLQRCINPITSNEITQTNDQVQNN DTQNETFSNIKPRVKPLMHCYEKEIVLYAHYLNLRYFSTECTYSIDAYRGVSREFIRK LQSFDYKYSFNMILAAQELNLEQSNSNPNYIARKCTICGYISSSTICNGCNLINALKH DNPNLILKNQRQKKKIILEES cubi_01745 MDQRPTPSRMALQAIKLKSKGAKQGYDLLKRKSDALSNKFRGML KEIVETKRSIGNDVKEASFALAKATWAAGDFKDRIIESCKKPTVTMEVGTENIAGVRL PIFEMKIDNSSSSETCHIGVASGGQVIQSTREIYMKVLRDLVKLASLQTAFFSLDEEI KMTNRRVNALQNVVLPKLEDGMNYILRELDEIEREEFFRLKKIQEKKKEWAEAELQEK LKMEKKKSKENDGKGNDSTSYDTNNNFGDSILEQKNEGILF cubi_01746 MNYHLLLCYLIFNCLIYSFYCNDEVNDDINYLKEKVKFIIFDDF HIGPDNKSILDILLIDNKIPKDNVYYLTENIPNKYPEDTLLLPILFRYVKESINNDFD WFFIINSFTRFDPILLNKLLFNLNKEQTIFEKIQNISHTNNNIKSPNNNFIGAKINDV IPSIVHHYNLDKNFQYPFHYSGYAIRRFTIESLQVSIKSLNSIDIKNYSKIYTDVNFE LAKLIYDELNIKLKDIPEFCINIDDTNPKYHKKGSIVPKKCITWSISYRISNNCVSFS LPLNNLNPKYIVNPNEIVIAIKTTQKYHHDRISQINQLWANTKYLNYNSINSNPYCND YFNSISHLFKPFNNKSIHINILSDHNDYINDIPINDLKTGNQPSGHCLKFYTIIHFLY NKYIINNLNLNYKIKYFVLVDDDTLIIPFSLLNSLTFINQQYSDKNDFDLYLGLRYSL GSIIDNWSVDYITGGGGIVINSNSLSKLANCKECICNKPDEPDDMALGRWFKFLNIKA INFNGFHQAESTNYHSYYNFYSHIISYHKIESNIYNTAQKYNPKLFNLLYPHINDLSK YKENSDNKYDEL cubi_01747 MEVFFSPIKIDDKYKYSDNNNENIKFKSYQNFAFIKFYDLNILC DCPLVCKNDLNFNHNQELFKELNIDQLHEDTLFPATFLFNEFNTKENEKIEIDLILIS NPHGLIGIPFLLHYNHYCNYLKSSNLSYNDDNINSTNEYNGYDDCDNNDSYCNYDYDN NNNQYDIDHCSDDSHSDDENNKNNYLNIYQWLDKYPSKFDITNSKLLVTPPVYQSTIL SLQQLIEYYSNNPFNNIIDPFIWLKTPDLYNIISSDLINNSIDIENGDSLKIRHKIFS NLPENGPEYYYNQFSFNDNENNNDSNNFNSIIDSKNTNSELINSRGSTTGLGAAINAA SHIFDGNILQNIPTTIGCNTSNSNTSHPPVFGKVTGEEMSYLPRSLIENKFINSKSSI SKDINSNFISNENSKFEIKLIHIGEITHKYKNFSKFNIHAFDSGFCLGGVGFHISTNN IDFDVKKTIENLTIIGPVSLEFDRYPAPLYLGDLLNSDNLVFYGNFCVTEKTNKCYNI NEDKKDEIKSSILPGVAIKDIDKESKNESDIQKSIYNSISYQTQLDNIIQHISDTLNK NGSILIPIDCFGLLCLEVVEFIGQKISELITSVQVPMYIIGGGISTILLNADISSEWT SQSRTRKVMLPNPNPPFLFSFLKKFNRLYTFHTIDELSTVYREPAIFFATNSNMKFGP SYDLFKTLNNNPNNALIIIDSLVDFEEFVHNFEEKPIMNIIHSPIYIEPNIYHLIENI IPYFNQNKEKFNFIMPHNNKSDFILYNNQLSKDENFITFQSYIKLIPITNKIIYNKHN QIFDITGNWIPVTLSSEIADNTELKQINPNLFVGKTEAVFNYVEGQLLIEKKNSNNSN DSNIDISEINHGNDTEIENTDNNNLADCILMVDDFDHLFDDFFDYLEYELNNDQQILF GNITIKTLIKEFKDRRLDEIFVNYNDFFQEEKVTSISIQSINCKIIIYSSNNFIINSN NRESRELVCEIISSLLTSV cubi_01748 MRLGRLMNVLHKANLSNTENKEENPEYTMEEKLTKKVESGNKPT QAEKIIAHFKGFDKKGKNRDKGKKSNDDGKKKRVPTVENQITSLKIISRAAAKVREME TRRQPFMDYSVDYHPHITNKNVDKLLYLETNTLAVKKSLVRSKIQHERETLQKMRGVC IYIKAKLPTAIEKFRGKNKLKSELKNEKSLNRGKEENEENNESELSNETKYVDDLGRG ERKIEIDVTTIHSVLGLSGLELLEDIDTTEVTKIRHRLLHVKESILSKVFEASNDYVI KGLINFDPNRLIGLVPMLLSVVKYKFNNPNFWASVVHELWFFIIISQHNNLKVGDHST EKAVQSLNTTNGLKSNLQRNQIFPDYYIAINYLLSGMVDTKIPGVLRLLVRLNMMYYY ECRINTLILFCNNPMELWVDSIKISSLLNNIDSIRTLLTSLSESKNLDIQQLAIRVFS SNLTSIIFFHLINSPINLVHDRDNLKLITCYIEFLNECLLIGHSKELLSYISTEEFVN KYTVYSTFGQGYRFYSELDADNIYLDKNGENIIRNKQDPKEEYSIFGYSRNKNNMNLR YNNEKYNVWDIFSIVCDPKYKESILYPVVLFLADAMYFSFVYEIDWDYDSLKNLLFSV IQLKKHFKKALIVLLEDTKIVKKYLRLLYNGEEIDLKRLQEWITDRRIKESTISRKLE ESAKVPCFHPSCNNFTVGSKVRTAKLNKVKAKSEKKVELDFRYCRGCFVVSYCSDKCQ KSHWKLSHSSMCGLMAVPPASLIFNSTVNWPIRLHLHSTKDKILESESITYLQDKYYN NVYNNLSFKVFFDSCNLSIPFPLSVEGSSSTPYHCGLRECFRDDCLKFPPSENGLRRV NVFEHKNLLIYKELDNNQKLLLQIGDPRAWNSYIKLNNKEKVKSNRKKKKICLTRSFA NMYNIQMIKQK cubi_01749 MFTHEIISQRIQIIFGKILDLIQNIQFFIINSSNYTFYFTTLSF LVINVIIWENIIMKNIVEDYRLSNLKIVSSTISSLLTVFYLSNIEIFASNLIEKYYNY IYGFCSRAFLNSDNNPNNEFGYYNIKEFTFISNEWWKRHKFESVFKGVEIINEIKKRS QPQLKNEITKKFTFFNQLKYNGQISKNICNYLINGNQKIQIISRILVFAISTLSVTFV LYSENKRINANINITSHSKMYTFFTFISGLIIPILLNNIQKFGAYKEQGQVTFILLFL SLKLITNHKHFLMLLFELINNIKSKLNSENNFEKIILQNQDKELYSEEVQTNENSLPK IKENSLLSKEKNKKTSLLLKTTKTNSNSSNCENKCKSIKKYSCQKKQSSSRDLNKNRI KYLNDVIRTKITSKNGIQEIFDATDIQSNQEKKLLLSFVTKTIHLFKSFLVFIKDIIF NVFIEKIFGSILYLLFKECRGLVLSSFDHFKINKYIQESKIPMNLKEELLKSMTLCYT NIGNPQIIRLMNLPKKDLIQDLEKLKLVLPNMLVMEKLKSIQEIISLSQVETIIKPFS EINSLEEKINTEIQYYLILNSIDILSNHCEIIKESCKAIINSESLIELINNITNCDLE KINLLLNSNMDYTTISNIIKSVVLMNENKTSIWNRFSLITDSISKVHLELVFKLNKLK DIEINEIFIQWNILRTGLKYILNQIKNNRKSYEDTYMGGLPLHTLQMALHYLNTSARK TSDLVQESIIACSEFCLYFNLKTIEELHELNKTSLGQSKLFELCKKDCNDILKLILTI KSVLEELCEKKIRIDGKEIDISYLSSMLPAATLDSEHKCCRKETESNCKLHGKGLKDT FRLYAEGTFPAVTRNSQLVQSRREHSKFIPERPRSSIPRESSSHKEMNSNVDTEEKNH IKSNCNDNPNNNELFSNQTGLRKPKEIMKKEGINDHENLPFFPSTPDELIK cubi_01750 MKDLWNKYGYTSIFTYFTIYLVTFSSFWILAINNVMNASKIIKM ISLLKLDNHINTSKIEQKMETPFGKLFLAWISTKIVEPFRLFSTIALTPYIYKILKR cubi_01751 MKTIIFEPGRTLCKGGNSESLLPIVEIPSVCCIKDFEKGNNEHQ ISELDSINKETNNNTSTHNLKSNNISKDELKKIFLVGNEAIDHLESVNGNLIYPLHRN LVMSDWILCELIWDQVLFELGIKNKVEKLKSKLSTETESELESEKQVLMVILPSSGGI LIKEKISEWLKTNYDFEQVIFVPGYLLTLYSYGKETGIVVDVGAFWTNITPVIEGVSD DNYSLSIPIGGRSLEDYMKILLSRRGLKFSGKKHTKCIEETKDDLIVKNILSENCFVS TNEKNTREIISCTTSYLELIQVNSNLNNNKAIFLEEERFQVTEDVFFKPYKIGIESPS ICEAILKVINKCPLDTRTLLMENIFLTGRSSLFIGLPQRIQVNLTKLFLNSKLKGDID RLNKYKFYVHDDPMRDVSSFKGANIYLQLI cubi_01752 MSTKANDLGNTGGSRQRRDKLLSVQHQVQKLWEENRIYEADADP SREKYMITFPYPYMNGRLHLGHAFTLTKADFQARFQRMNNKNVLFPFGFHCTGMPICA SADKLKMELNSTNLVGNGKEKEETNQVQLKSKVAAKTGGAKSQSEILKAMGIPEEEIS KFTDPVYWTEYFPPLAQKDLKDFGTAVDWRRSFITTDINPYYDAFVKWQFRTLKFKKD KIRYGLRPAVFSRIENQPCADHDRSSGEGVGPQEYTLIKLKALSLPENIEKLVGGRNV FFVTATLRPETMYGQTNCWILPTGEYELILAFDYPICKSLETSDGVLRKIYESKEEAL ADCNTVFVCSKRSAYNMAYQGIVPLVNENSLIQGKNVDSLPEVISFSKMSGEDLIGIP LLPPNAYYSKIYTLPMFSISMDKGTGVVSSVPSDSPDDYAAWNDIKTKPGIREKYNIK QEWLLDLVPIIDTPELGTLAGETVYLKYKIQSQNDSAKLKQAKEEVYKKGFYDGVMIS GDFKGMKVSDIKEKAKQKLISDKNALIYLEPENTVISRTGEFCIIALCKQWYLEYGEE KWRKDVYNWVNDEKSFETFYPQVRTSFLEVINWLKEWACSRSYGLGTYLPWDTENNQK VLIESLSDSTIYMAYYTICHFFHSDFEGRSKGLLDIPIEYVNDDLFDYVFCLKDEPSE DLVKNIGRGQLDIMRQEFKCFYPLDCRVSGKDLIFNHLTMCLYNHAAIWENKKDFWPK SFYCNGHLMIDSMKMSKSTGNWITLEDGINEYSADACRIALADAGDMIDDANFCRDTA NSAIMRLYSLIQSAQFYVENKEKLRGGSQEISNSELNNFLKENPNALNALNQADQIFT SEVIRLTNETFNSYKNFAYRDALKYALFEFQLRRDQYRLLCDSNDLFLNTNILKLFIE TQIKILAPIAPHTCEYIWRDILGNESFIVNVKWPDFNDNSIKDFKFSLSHSKMLNLLI KSIEDFRKSMDKWVSNKKGGATSTGGGKPKATSATIYVSNEYQDWQIEGLDQVQKMID EEGSLPKDYISRLRKTPVIEKMDKNMLKNVLSFISLKASEYKENDSAFSKTLPFNEFE LFSTQSHFISKSLGLQQIQVKHTKQDCSEITADKLSQVIPSRPMIVFV cubi_01753 MSKNEVIENYMKIRNQIKELSSNLERCPELLVVSKYQSEENIKL LHEKTNQQIFGENYVQELIEKSRNLPKSIKWHFIGHLQSNKAKALLSIDNLEVIETVD SIKLAEILNKICQTQGRQILKVMIQVKTSDEMSKSGAQISEVLEIFEYIISKCKNLKF QGLMTMGNSDVNHNSDCFNKMVKLRDIINEKITKSLENNQCLECKLSMGTTRDMELAI KNYSDEIRIGSAIFGERVKNGL cubi_01754 MGELVSFENSRLIESIRRDGIQTTLELIDTLDSSELSKRCPVTN ANAIFYAVQRTNDDEALQVCKRILKKCPELDVASTDFSLQTALFFACRDGNVKTAEFL IDNGCNVNHTDRVNQTALFYAARDGRFETVQLLLDKGAEPNLSDNVGQTALFYAARDG RSQTCMLLLDNGADAGIKDKNRQAAHSYALKSGHKQLANILKSRMVSSSGVGMGASAV EGSSSLEKDASFDRASLCNSIVNEGGIKDSEIRQCYRLQFKGNDGKWYFAPKIKIEEF EKKFPNIAVWSKESPIASLKPNSDPFRASWYKTISECVEELRKQEGAWIFDKPVDEKA WNCSDYYKIVTKPMDFSLIRKKLRNGEYELCSETIADIQQIFSNCYLYNKPDSSVSIL CKAIEQHFNSLKSSKDIDSILKKEAELFNLKSEVHIKDNIKME cubi_01755 MHLPPNISLVPPEIPTKLMAQGLYHERVVEKPKVINEEEIVEIE TIEYREKLVEVPEIIYKENIIKVPKIEIQERIIEVPKINEEEKIVYVPEVRYVEKFVE KKERVLKEKTVFVPRVEVQERIVEVPKIVIEEKIVEVPQIQYKEVIVEKILEIPQIQE EIIWKDVVVPNIQPRYVETEKIVEIPVIQTIEKLVHASVPHYKMIKQDINVPMIDIKT VPRDCILEKFKYVPVPVDRYQEVQVPKYVLKTVEVPVPVPVEKIVEEEVPVPISVEKV VHVPKIIQRHVPRTFNVPIPVYSDALIESRVGLMPYNTFLSINNESTEESSQQLLSTN NINNIQQKLQVRGGSGIGIEVNSNISVYRSPERKAEDKNYLN cubi_01756 MESHENKFKSKAKITNIPRKPSKLGLETQTSLKNAELRNTLEYT IYNQIKTWKDNKISNKDLEDLDGKYLVCNLEFDEKKNVNIDSKHQDKKKVKASILSPL MFSFTKKDATSLELKESFDDSNHKTENYINKSYHNQCNLENSKIKLSIENLLKLNEIL RMNLEGETPTVVSSSETQLELDQSTWDELFCDVNDNSSKIDFLEKLTDQITTSIKSLI MGEALLGADNARQMLIFELKSLQKLFDEDKQEEISKSIECLLAQTGSDHDIKMLIFKI DQIRSALKHFNLSNRFQDFNFGYNNLPNDETEIGERILHTNQTSNFFAKHKLLKINKW HRQSNPSLEKPTSNNANGESNLNNHHFFLHHDGHSHHRHILSHYKYHQHRNISNNSEK ASEFGKKEENFDHSPNELDIQPFSPNNIDGWNIEYSKGISLSYKIDSSLNGQLSINVI IKSDIKCKLINLITILNEVELSNMWVPYMSYSKCFYNFSRVSKLVQQVYDLPWPIGQR ENIMFCFGVDTLREHNCIMISCGDPQSSKNKFFGVNIPDPPPKVPREKCSYLLFILTP SDNDKFLTTLEMFSSFCVTKYVPVKLASFLIKRMTKKMYTDITNLASNLNGSPYETAY NNNLQLYSWLDMKLTQHYESKQRKSD cubi_01757 MIICLGPLCIPIWNIVLFLFALIGPLTRFFKKIFFRKDSIGEDH GGLRMINHGKILEENDLIKIGLEPHFVKESYEKILKIVQSHTFFELESINEWEICKLL GKNLELPIVIDYYADWCNPCKKISPIFNNLCKEYEGIFIKINYENHNNFCNDMGVLSL PTFHYWVLTDNSYTLVEKLERSDPKELENLLVKSKFKNIK cubi_01758 MIDPKIAEIKEFQRLERIGAHSHIRGLGLNDALDARYSSDGMVG QKLARRAAGIIVRMIKQGKIAGRAILLSGQPGTGKTAIAMAIAKAIGNDTPFTHISAS EVFSLEMNKTEALRQALRRSIGVRIKEEIDVIEGEVAELEIDRSNSTGVKVGRMALRS TDMETVYDIGSKMIESLQAENIVAGDVISINKSSGKITKLGRSFTRSKDYDAVGYQTR FIACPEGELQKKREVVHNVTLHDIDVINSRSQGFLALFAGDTGEIKPEVRAQIDEKVA EWKEESRAEIIHGVLFIDEVHMLDVECFSFLNKALEEETSPILIMASNRGITKIRGTD YKSPHGIPIDLLDRCLIIPTIPYSEEDVKKIIQERASEEDLKLTENAYQILTRIAMDT SLRYSLHLLTVSQVLANRKKKEEIDIDEIKKAYSLFIDVKRSTQYLIDYQQEYLFSEI ESSNNNEIKSKDNDDCTMQDNNQGLVPDTAVQEKMTLM cubi_01759 MRPIVLQAHERPITFVTYNFDGDLVFSCGKDGSVACSFTSDGKV AAKYEAGRAAVWNCDPSLDGKTLIMSSADQKCVSFDIERAEIISSYPMSGTCRFVEFN RKYDNQDKFVVAVDQFYPEPRQLLIKKVGDPNMEGIRITGINSRITRAHWGMFDQNII SGHEDGGIYIWDIRQSSEPYEVLRAHSKLLTCCSFSEDRTLMLTCSHDMTAKLWDMVN LKEIRKYTTNRLLNGCSISPNFNKEKDPRRHILLGGGQLAQDVTTTGTQEGKFQTLIM HMIYGTELGAIKGHFGTMNALVYAPDGMGFTTGGEDGFVRINHFDDEYLKLDP cubi_01760 MNQLNGLRRLFRRLQNQLGRAFVCRNSLVYLILVIILLWALSFT IVHQIIISNVGSYMSSSDRLSLRGMGGKGLKVFRCLIKGNCINNAGEGNNVDFMKLVD ESDSRHQSYIIKLRTMPNKYNLTEYGNVKNLTESVIITKYFDNLAFASPKDTGINYNS DLGLSLVKKYGLGSKILPYVEHKEYSLLFSNTSQQYLNSFAVFPGYNKLRQHGILGIF PNGTTPFAFIEMPPQINSENEATLLGKGGGFYRQLSDFLSLDRFPEEVRDPICRNIVY PIKDLDDVSIIITFYNEPLSTLLRSVHSVLNNTPPPLLREIILVNDGSDMIDLVPGGF LDDYIRLLPKVSVIHLTERTGIVNARLNGIRVAVAPVVVILDSHIETSRQWLEPQLLR LKESPKSVVMPQIDSIDPENFSYTNTTGIGCRLGFKYSIVEQASLTGPINDTTPIKSP MMAGGLFAIRRDYFWELGGYDEKFKYWGAENVEMSLRIWMCGGQIECTPCSRVFHIFR KKGAGYVSPPNSLWINRLRTARIWMDEFYQITETMAPNPNIDLGSFDDMLHLKKKLKC KSFRWFLDNIAPDTYITQVNQLLFVGEIRSKKVENVCLDSMNGSSEGDQVGIFYCHGK KGTQAFIMSNNTNQIRLASKESYCIGKGLLYNSCSNLEMTNIWKLENDMIIKTEAEPG KYMCLSLVRSEEFASKYTVKLLDCEPNNPNQHWKITKFIPRKFTPLKLSYTL cubi_01761 MTTLQTEGGSSGGPTVPAKIFCGGLSDVTTNASLRTHFSPYGEI VDSVVLTEKTTGRSRGFGFITFASEESVDAVLSSPQIVDGKEIDCKRAVPRGVIQANE ADESVDNNQPSNNSGITNTNNTNISGNSGNNNTSSNSNVTGRAHIGGSASSSGGFSAT KIFVGGLPQSCTDEKLREHFGKYGTIKNLSVMVDRDTNRHRGFGFVEYESPDAVEEVM RHYYDHQIDNKWVECKKALPREIMAGNSNQSNSAGHGPSLGNPRIRANPALAAASTTA GSNYADYYRNPYLPVHAGGVPSQQIYGRYGRHDPRYMYTGYASGYTSNIYATGGYAQM APMHHATAPGPQSSAGVAVSMPPVIPTLGSHYGDVSPEQAGNLQVQPSGSTATSNTSN LNANSASNNNNPNSAPNYDNQDEYLSEQQGVSDSNVHSYHHPATSTTNTPSATGPGTH PPRPGIPPHMYAPNMARPGPYTQGRYAPSGALPYSVPGTVPTHGRLRGMY cubi_01762 MNGIRKVNNISEHMHGYGNDKPLNNPFGTRADISGSPENSYSNN PSTSYNQNGRFGGQQMDFYNWGNNSSDNFNVGGTFSLNKNDNTNSFNILNNANHQFST YGNDNFQSYSTPHMMNGTGIQGGMGGMNNVSSAPGNNMLNFGNNTSQLVMGIVSNTVK ETTGLNSEKISQLQLWFPQTIASLRSHFAVSHEYVLKKIFLIICPFITFFTQSKKPFS YENHTSISSNANDGGACLPTLFSDLYIPLMGFITYVLADGVINGVFSQFNPQILGSTA TFSIVLLITEIILFQLVAYIFSARVLSTLDLISTLGYKYTSIVLCDFVLLSTGGIKTH VFWGLFIYFSISSSLLVYMMLKVISTQSFSNQFSMIQQSGLTIVIFISSLAQIPLCWI LLPSI cubi_01763 MAWNTDILEIKLKEAERVSWGLFKTGVCKCCNPKKLIRTKILTG GLSNILVLVSISTDLFCEDMKKINKNILIQNLKVRFYSEERKIFVNEKREQLIQNLLS ETGIIKPILHYFQGGQIEEFVQGRTLQVEDLRNKSTYIQIARKIAKLHSIKISEDILK NIFLEYNPINNQNLKDLYSVSNNQPMSILWPTLDKWTSFSEKTLKQNPNEHLIDINFE KLKLLICKLKRSLYSDVFSKLTCSIVISHSDLLPGNIIETLNKNYIFIDYEFSGTMEC VFDLGNHLCEWAGFTCNWEYLPDDQTISEFINYYISGLSTNQCKRNEFECEKSDTINN NKNNFNRLDELENNCKCLNHNVKQISEFEHSDPLAINKAYLKAVKTSMVISNIFWGLW AICKSDFGLNHSSSSNFDYKSYGYRKLESINTQPYFRSILKGIDPDIEFPVF cubi_01764 MNSAPICSDIHSASFDLLRVSEVKKLSVCHVTSTTTFESTGESK IGGLHDLRMGPLSNRDFCGTCGSRNECPGHIGHIELELPVFHPLFLGSLVKILKCCCW HCCCIRLSKKVCNGYIKKFESIGKDPNNCSKDLGIYNTNNAQSSSKIASNSYSLEIWE AIKKEFLDKASKHSSCSSCGNSFKGKVRESQLGVGITLSWPEGSKPPVSLGSTWSSSA NQEDTRSEHSDSGSENDKEPDSEDEVDSDNQEEDFVINSQQSNESKKRKKNKETGNNS STKLFKRSYNDDFSINIPQEAKSHTKQGRGMITQHFQAFQIVPIIKSIWENNKKILEY LFPICKSLAWEAFFMFTVPVSANKFRPLGMALGRNIPLLHPRTSGLLEILTANDRLKF YVDLQRKENDESIIEGEEGPKVGKGSKAVKEEIEANSNNLISYVSSLQEKVNMYLDQN KSFKPSSAPPGIRQWLEKKAGVIRQKMMGKRVNYSARTVIGPDPYLDTNEIGVPLMFA LNLTIPERVGTHNVSLMANLVENGPNLYPGANKLLLGGILYDLSRLDQNQRKAKARLL LSSVLSASNPFLSNHHPIVYRHLIDGDIVLMNRQPTLHRPSIMAHYIRIQPNDNIMRL NYVNCGTYNADFDGDEMNLHLPQSLFSRSEAKYIMDASRQYSVPKSGEPLRGLIQDSC IGGAFLTSKSTFLNREEYFHLLYTSLSFILDKKSSALSRSPMIYSSQGQVIFGSNKRF SEVKSPLGKIKVDESILRNQSNLNNNFQNSNFGIEFSMDSLDTCISPKKLSNNDFSHN NNFKLNSNIQNPSLYNNLILEPPTIIKPVPLWTGKQVFTSLLKTLLYNLVSTEPSVYA GINLISKSRTPGDSWNGKEDGEKEEAVVIIRNSELLQGVIDKNQIGSNSYCLVHLCTE LVGPLIGGKLLSAIFNLSQVYLQMRGFTCSISDMLLTPRAESERIELIRKYNYASVFI QEAFQYYIFKEIGGKNDLNNQTYYSDKFDAFKGSNSRKNEFDKTQKTIIELRDLKKNK YSSQNTFEGKNIDNELEVNNNELVDFSNNEKLVSSALDILIERVKSFSENSNHKSEKK LLLLELVKSLKQQRLSIDGLFKDEQESDKFTHDDSGILFPKNIHYDNKNEGLNSLSYP QADSDTVLYDNSWLSISKMEESIQDKFNDEENEFNEHGDFFAYPNELTRRKLESLIGN TLSTKKDSLLKIMDAISKIGLGDITSKLGNLLNGSSSKFPFPQNGFSSMVLTGAKGSR VNYNMICVMLGQQELEGRRVPIMPSMKTLPSFATYDLGSRAGGLITDRYLDGLHAQEF FFHCMSGREGLVDTAVKTARSGYLQRCVLKGLESMIVNYDGTVRSEDDTIVQFIYGDD GIDVSKSSYLTKLEDLVRNKSLLERDVKFEESMGNKFLNNSFENVFKYENKQDLFKTC LNQSIISEEKSSKKAKIFKEKDCFDNLIISEDKNKTNTINVENISSSFLSEMTVNSLL PTILNGGSVSESFLNNLSETNIQKLSRISTDSKYYINNTYSLKGKRKSRNSLINDKYS AEEYESMLEMERLLRLKYMKTQISPGEAVGCLAAQSIGEPATQMTLNTFHLAGHGAAN VTLGIPRLKELLQTGGDSKTPYIFIPLLKDASSKEGETLNFNNEDDNTDFVNYTEKVL DCFKSIPLSDIIENVGVESSIVYDENANYTSSGNKKSTYCWNYEISIQFSDLEIFCKC LPHYNMSSLINLTLSKCIKPFLRHVNNMYTISSIRSSKFSNQDNDETDEFVSKYVLGH NSDRNIGIFKGALNSMIQKGNFSFGGGGAGGQYEDDFDGGSRVGHKNEKKNEDDSGDL NDSKKEELNDMDNEIDGNDKVKDDNDDDNSSVDSSSSTSEEENGEDDSDEEPEVTNNK YIEENNEENNNESGSDDEYGDLTRSSSRGPSFDNRTLIENDSKIPCINLKILTKDILK HVIDFNIDRKTNILSLKLGWPVIRCPHYIDFLPVLKTCILKTNIQSISCLKNARITRN QKESSDKNLSQFEVTVEGTNVGHIFKISPRFINHDKIRFNDIQTVYKYYGVEAARHCI INELRNVFSVYGINVDYRHLTLISDSITASGQLRVFNRMGTISHNISPFLQMSFETSM KFLTEACLRSAKDNLKSPASSISVGKMVNVGTGISRTYTSFYQTGSESKRKDKKKSKT KTKEKKNDNETEEIKKTKKEKDCYFKFL cubi_01765 MQTVMSNEGSTFMNGVEDEIQYIWRRNCPYLYDTMLSYTLEWPT LTIDWLPNSYKSVDGSYSVHKIIYGTHTSDQEPNYLIIAEVHISDLEENEDLMNVESF AEYSYNPDNTNMNTVQFEVKAKLNHPEEVNKALHMPEHPFVIASRVVNGDILVFDYSK HESFPTDDLVHPQLLLKGHSKEGYAMDWGNGVSNNYLISGGSDNIINLWDFNNNTYGI LNSSARNHFNYPNKINVDSQESYELSPPILKPIKSISWHNSVVNDLKWHPSDPSIFGS VSDDGTFSIWDLRSLSENSPNLFRKTESGINTLSFNQFVPTMVSTGNLDGIVQIWDIR NTKEELFSLNFHSEKPIICMEWSKWSPNILITGGVDNKVIVWDLYKNHSYKENDTSYS CNNDENINNNNQINEDHIKAHSQQDSSDPNAVFIHYGHTAPITSISWNPNEHGDPLLI ASASEDNTIQFWQFSDTFLN cubi_01766 MALSLGNKKPTELKGIELLRNPFYNKGLSFTMEERREYGLEGLL PGKYETIDEQVSRLWNSINKIDSNIGKYTFLENIRSSSFILFHSLLDKYFKDLAPLVY TPTVGEGCIEFSRNPTIRNWLGSGLYLNKFHKGRIYEILKGFNNDSIEVIVLTDGGRI LGLGDLGLNGMGIPMGKLSLYITLGGIDPSKVLPISLDIGTNTEDILNDKYYLGICER RIDNEEYFSLMDEITKAIFKRWPKTVLQWEDLTTSRAIDILNIYQNQFRCFNDDIQGT ASIVLAGIISALKIAGKSFEDQRILICGAGSASIGIVNLIIKSMEIKGIPYENALEKF WLVDSKGLITNTRDLNSLDKFKIPFIRKNIDKSITDLLEIVDLIKPTILLGVSGQGGI FNEKVVKTMASYVERPIIFALSNPTNRAECIASDAYKWTNGKVIFASGSPMHSVEANN GTKFIPSQCNNMYVFPGIGLAAQIAQLSHIPDICFIEAAYSVSNSIQTDSDSNILFPP LTAPVGREISASIAADIILKVKEMNIINKSACPDLPLDNRENILNYIKDRMWLPY cubi_01767 MINFPGSKDCEFVHEFSTNKYKYRVYKIDPFDKRLWMEEKDQVK YRNKMKSNKNVSNGRNVRTVDWDTTKPKINFNDDFELPSTLSIISVCISRIGNDLEES DDSQSQCYSNARWYKSVRGRLLHKSESGKLEGKIVDGKYLMDNDLRDSSMFGMLLSSI FTAGVKNNINVPNDEDEHIFYNKLDVKDNGENTEYIRKIYLWPSYCEYKTNLTKLNEN QSVNKNKKSRIKVNQSEFERNKEFEQESNTDNFNIIVKNGCPVEGVKLNILLNTETLL IFSLLDEEWNRLPNISNQSITMRCAIKLHKQKQTLESQGCFALKLKDNVQKNNNLYDN LEPKRGWMDLNKEFNSKFETEKTRTNHQEWSERRWGDYFDTPTRRMLMEGQWSFDPSS RLEQIEVGNIQPNTVGLRSEITGKSILNTPKIGRESISGGSGNKSYLLFNKQHAESLN YQSFQSSSTNSLEDIENDEIVSKSDSNLYSRRLPSKPMIHSVFQNILWRDWLIRYAPL VGNNGNFVRVGTNFAFAFLGESYIEEAATLGFSYDRAILSYLSGKRLAQLGRFLTFGY TQGLYGVRVDRSSTINAWGVDLQARYFISSTLVSFGLREYAQHISVREVGLSLRHSFS QKEIIVGQQFSTEAIASYKTLFVDIATDVKNKSYGMAFGLRGYQFTVVRNFSTRTTDF LGNWGNGWQVLLSSTFPRKEDPTVAVRAGAGRLGRSFVLAGGGIGRDMIPIVSFQTQL DDVGVILEFSPSVNERMFAYGIEINHFAYFWESIFSAERVPVPILLGIEPKELSNAVF G cubi_01768 MVKKRNKKKNKKEINKEVLKNAGFDEGEIWRLDFKEEIEFEENV VDQSRYEVPLFDLKGYRSQKKIGKPMGQDIEMEEEHDSDVSHEDSEVIDVVAQDDFEE YEIEQQKDDESRFLKKRFEIELDYMKKLRVWEASFFIHSSENLENTQQEFFYVIEFGH VNPERNYEGTLKRMMVYTAATLLKPGEVKLLTFPIIVWPKKILYISYSELEMFQISIK IWAIATFTFNQLLASVKLTLKDILENEPETYLMFKKHLPAPLGKDGKPRKDLNQKSFE VHRSRVTLQLQEIFDYDISLESWSFIPNRKLPIEIKKAPKFLRLKVPLNNDINSGMSS RETMVTPYSTGGYWKAVCKFVFTGTKIQLRNAYIIVEVLAYLKFGPSVIGAAMIPLAS VESYPLVKTTIRRVTIDIYKIIAGEMIGNVFCYSRSTGQPNENIRSRPSQVASGAALV SQLNINERYLVFRLFNCDNLAAADGVTGTSDPVVKVSWDGIVNTSSVREKTRRPVYNQ NLYFPVRILDQRELLVTSFRRKCLPIDLLCKGPVKIEVWNKNDTSSILLGSGEVNLNK MYTIGKEDFRCLAEGLIDRRSRRADGKPNFDQEADRGETEDNIFVNNTLFTVPYNTLV LNMNMSLKSKGFGKSVLKPSIHFEMFFIPPFPADVVVPAPPSSKAISNIWKQLGNRWN RDFHKWKGIYKQWFSSAPDKRNFNVLQRHPQTEEIFPLCSYISPIAVPNLISNEGSLL HWINNFMYITLESQIQPPGKIPEFTPPNLFLLSKKGSITDHVLMLCSCLLGIGFDAYV CKGTIENGTLEHTWVMTRHRFGHIQFWEVTSKQRYVLPCRYGIDQYYSMMNPFQQNVE VIPSVNNDNNDLEVPDDNLRVEMFEKEREYYNGLYLQQWFEWLKQTGQVKYVETHANE NMGIDIWGEFLVPDIKIDPKEVDYENPVEEDINDIGNRKSDRAKFQKTSLDAIRKVIT EYIKNIPIIPDKRFLTPELISYVPYSTIELVFNNRQVWGNLGNHHPALITYDLNNPYK WRTFCGSKPENIFQDLIIEPPLQGRAVEKLEESISVSVEENIVLNRLKLGKDTLFDRS SELGERISAYLTLLEFKLTLDPLYDPGPPDNHTAWSALKTKEMIIKEEKEMKERQEKE EKKKLKLKKKSNFEDMVVSKQVSPGTVGNYSGQDPQVDFFNANFYGNLENKPESNFNR NKTGDNLVPQCYTNMAINASYNSNNPNAVGRDFKSEFPSLQYDPNSPYATSNFNNQNL NTSNVESNKQFVNNPVNNSANPNKMDSYHSFFQKSNSIEKDENKTKALTQSLKEESKF NVSNIPPNVHSEAHVISKSKINENNNTFFLEEVSSKNKDPKVDFKQNMENEIIDFEEK KLSEEKNDEDLENLENDVKYWNALVDSEIADSLEIEPELPEEGVNLSKIGMKAKISNW AKPEKEKSQIDKDSQKENVSENQSESKTAKEEKSDELINTSQVNSGSEDESEEEEEIQ PPPWRKLNRPLKYINDQISKWNWYYRMEQLYYDWQAINFPTFPMSTFTGFPIHFSTAD PNDVRSFIVGSKRFRIFIELPQDEAHFFIYPKIFPLVGGVSSTWVFLGVHIPWTNINE LESRMKKDKRKNYFKVYSSNNSNISPTRDFETFFKYQNFQEFNKNIPNLGEINLLTEN FQANKIYEKNDFEQRFKAKEKNFANRDRRFRTNELHKFSKYWLKKLGIPKELPPSAWC NEEGVIMGIPITNNFSKILNEKIKSISGRQLPVITEDFLDRFFEQNHSDQLYSKGLNV ACNGKAVISNSFYEISGKFRREQWFYEIKLTIVLL cubi_01769 MRKKFILKILLLTILVVGSSFSASSPSRNLINESLNKGRNEQHY QDWQELKLLIPNFQIDKHGEENGNINEFKIYIYSAYYDWRIDRVRINSLIPLNFDNRI EMECAVMKDENIYLGTIVKTIHKEHHNKEYVSSTLLCEVLDNEINFDEISGEILLRIF ENGNIINKSEKWIIINSIPKNSLNNYELNICVRPWWGEPIKNEDFGNQQKFNNAGLIL EFINSYLYLGVNKFYLYQNYLELDEDVKNVINYYSNIKKVLEIIPYALPIIPFKQVWD FAQTTMIQDCLLRNIGETKYLLFVDTDEFVFPSLKNYNLIDFLNLMESSSTYNKVGAL WIPMYFHFLEWESDKNNLKRYSKIEKEISEKMENLEFILYRKTCRMLSSGTKKSDKTR RKVIIKPERVLYMGIHETEEMISKKFHFIKAPLISVGGKDELRIYLHHYRKAKGIVNN DPKQRELFDMYSENVCSSQLLDESTYSIGNSAIVDNIVWEIFGTDLYEIIFEDVKEIQ NIYKKKEMVKDNKNDDREKLHD cubi_01770 MDVTEVTGSTTRKVIAGDHSVNNASPNERVNHQKLVSSRTNFTS RTDRRGWRSSKWRNAKFGSEMSESSNINSSINLTEKDFSKFQDTESSESFNVIRGFCL CEGYNLESIRSALDKHNYRHWYVDKEKTILAFDLNPYFDKIEITWATQGRLGKVLFPA GIYPPIQMILGKLSRNLSIEENSRDNLIGLDKIVDWRVSDRLFTKAENETSFSDLRYK KQYSSYDEHSDFQNDILSNSVLSSNFTSMSNHEILERGECYLNDENYWKKVENPIFIF KNGVIVAWSNEEVTSNSITHFGSPKYLDERFDDIITFLSLYSIGGFVGKYSKNCQYYT MLFKYGNFSYSRFYRSNRKMISDIVTLKTRSAEEKLAASLSIGQSIRLSLFEGFIEDC VDNIRYLPLKLAQVGASSVIEEEFKVEVPQIRKRFSELYSYQISVNLVEDFLDIPEIF WHNYRFHSVWRNLQQYLEISERLQVLNRRIVMMQELLKVITEEYQTTQANRLTWIVII LLAINCFSSFYRHILLNHPHNISEQGKPI cubi_01771 MSIQDNATEYVTELSKYEFFKLLGKGWKYKSHKVLDFSGQRFKL TTLRGTSNGLLNKYLNLSEIETIDLSNNDLVSLEDIFSLDDSLRFYSLINLNLMGNKI SKFENPISLPYLIKLNISSNNLSELPDLTCLENLMYLNLSNNNIQINGSRKEAWKNIS KCTKLRRLDLSYNNISISPSILGRLALMFKNSLTEFSIQGNPFCSIFPEYQSLIISCL PKLKYFNGRELTDLQRKRNMNFNFDLMDNYDDVYDLRQGSRYDATKILELGNIRSTNY GRIDILIELLEYLIDEGPSIQLCANILQGSETIYNETMKPWTTDYGGDLGDIDPFEAR RFINLFSPSARLPSDRARETERFLELFALAIDRQEENPITQNSDFCKIWLLTALVRLI HIPAGGLAIGVSRLLGGLMNKKDVQSEVSYIISTLVFPALKGRQFSDPIVQTVFASVS ILPHSRLLAMALNDHMGLILNYTSSYVRTEFHKPLMSILALVSLYKDNAIILSGYGVP TDLAGTLITLYLYSTPANINKGGFGEMGNQGQSLTVNRPKNEPFFKWSSTEHILSDQA LDYISREISASGQLGRGDSFSIHKIAKLLSKKRNKQQTIYKGKQKETLNLNILPDFVK FTDFQQALIIFYLKIIRNCCLASEKASKACVDLGLHSNFLMPILSEFLSSGLTSKFDE KTSILCSEVIQTVNCFVESSKVALMQMIVNFHLIDWMLIPIKETPFGDPDVVFACMST LLSICNRSEQVESIQYTPISESSSLIGKALNIYNGNIPLGTQFRIFGNGESFQVLNYI IQQMDFLNPQLEFLNGNQYFREMVSFYSSNIEDSNSSLKDSLEILKNANSDKVQKSIQ AILNMIVFLFQNKTIGICSKVIEEIYRRGYCILFMRLLEIPGESVPISAIQILSMIDI TEMDTISINELLRLLKIESLNKDSVKKSGSGDELALSESKDITSAENDLAFNILGPLW THILNYIRRLINNKGSSNWYIFKETFAKDIGLIIFSGLKYSWNQFILNSPFKLVVNHL SNVNEVQIQDMFSILSSSRVIRALSRYKGFREYLRTPEIQSIFEYSLKKEEKYFAAFD PDTALEISWPGRSVDILLGTFKGQNRLSSSKKVAVRVLCRLADVLTGVSDEELFELEK SQLDYTLQFKKKTNSLIEGISTLESNFMNIISRESSMWDLTLEPFIEQLLFLDEMEFS DKLIQDEMFVEADGIIRVYLFLSNLIDDEFRDTKENSNNLIESFDNKKSIISTANTWA GILKGSIIIKEKRVSEKIEEEEDDDDEDEYDDDNAENEMDGENKGWRSIFNLKSNKEI GEGLEDHNIEDETGLPVLSGSDDQESLLYLSDSDVEIEEIADLDYEANPNEETIIIHP RARNIVHGVYNKYGPLTTGSIENDLKVSSSFIFDNIGGANSSNSISLTSTSLSSSEAV KAQNKLEGSGSVWKNIISATVNNHLAVAAFLRIIFVSIKSNTSLKLRNYAKKVMMKPY VLDGTAKLVLSCGILESHVASKFLIILSEIFELETNTNAASMDLLIPLYIGFWFINSI LDTIVYILQQATKRHLKPEEQLLCTSLCKAIYSMLDSLGNIQFSRTESINEYGLEKSI RYFISPLLLNCLEQMVLFDIQVSIGSSHGSYMAHIPLMFSRKYILGKKEEMPETIIKL RELMRQYSSKSLSLLMEKSSKYRYYVVEGFTFQTIFRHFPLRRSFMFELMEHVNTLHF SKGVELFLSYYYERNERIIGVYPCYVFIQTKELRDYVKKEKKNKDSKHSDKKGNDISA GIAKYTGIDLSKVLGDNSDNEDPGPPPSIRSVLILTTNAYYIFERPKTVRNVVSTEQF KYKEDPIAIIKREYSDLKRIVRSFLGEQSAMLGWNCNSHYKSQNDKKLENIDETKEYN FTWRNTIYDIIIFDKIEDRDEMLNRIRKKSAEFLSMPLNIYNDFVSRSCLSHLLRIEN VRAIQFALGEKIKPDEIKNPKIDKLYSLRPPDVKKKKFFIDEMIDTVSDLIFPPKRKS RFPIAPYPRAAILHDAEKAQLKLYVITINSVYEFLVDWRFWFCPDSNMLETGEDLYFR REMVIPPNVEISSLKEVNDDISGIQKRGLMNFLGILDKIPNQNVNIYGSELQDNDNET KDNKNENNKNSKTTKTNKEKIESKSIGISLKNFRSEFSTWYETRLKLAKENLFQKLRV FRIHRLRIAQFGPLEEPEVKLVYQLCPKKKKKRKKSKNKREMSKKDTENDAKTKNLKP TSSDEDPLLESDESDGDISDSKDATSKERKKLSRLTSLQIIFLDDSARERFKIGIAIV INELENSNDWKRRMVPVLNPPNLNKVQQKNYKNDKSVFSSFLL cubi_01772 MGCTPSKGKVEFISRKREENTKEENKPVAIKNDLHATPGMFITS KKGHLSEMYQRVKKLGSGAYGEVLLCRDKVTHVERAIKIIRKTSVSTSSNSTLLEEVA VLKLLDHPNIMKLYDFFEDKRNYYIVMECYKGGELFDEIIHRMKFNEVDAAVIIRQVL SGVTYLHKHNIVHRDLKPENLLLESKDKDAMIKIVDFGLSAVFENQKKMKERLGTAYY IAPEVLRKKYDEKCDVWSIGVILFILLAGYPPFGGQTDQEILKKVEKGKYTFDSPEWK SVSEGAKDLIKQMLQYDSQRRISAQQALEHPWIKEMCSKKESGIELPSLANAIENMRR FQNSQKLAQAALLYMASKLTSQEETKELTDIFRHIDKNGDGQLDRQELIDGYSKLSGE EVAIFNLPHIENEVDAIIGAADFDRNGYIDYSEFVTIAMDRKSLLSKDKLESAFQKFD QDGNGKISIDELASIFGLERLESKTWKEMISGIDSNNDGDVDFEEFCKMIQKLCSNNE PQFEKIG cubi_01773 MRLPRRKFSTFWKVLLGNVFLIILCLSNVYSTWNLEWLHSKMPF KSNVPSINSADKLNFPEISGRIKSFVDFNGDKFTDLIIFNEQEKKIKVLLWNNTEGEF YNGPMVNFEDKLASIIATDWTGNGKIDIMATFYKERKTHGNKHDKIGLMLFSYNPESM ELEKVWFSQDGDFSVVDPLAIDINGDGMLDLLGESENGKRFIWVNENNIDSVLDQKSY NHGSSLDYIFFKKYEWGSEISQWVDLESSNYSYGRLVENHSSAFVDMDGDCRSDLVLE VYYEDSNGDYNHPGSPVRKGLEIWTNEIVGDISVFRRYFHNTHQKNNKLGLTLLPIGA LSISYSDFNRDGTTDLIVPVCHLGSFGCESKSRLVFVPNIHEYKDCTSTNQFGFSIYK SNIKENDSEFTIKCRSPSMYCSASPFKIHSFTDDDINLIFQELESYDTNKNTVGFFSS LFGGKKVESHSMGSIFNDFTVSVISDNIIDDNTTLEKISGQYTTGSALENFQINNMAN QVASFFSFFPSNKGVKETIMQWATNRNDQLEINVGDFNLDGYPDILAILKFGNGTRQT KLIENLPIEKTMVINKTNELIGQFNSKEHNLDASNSNVTSSKEKNKLHEILFKIFKSA FRFLSKFVTNNDIIQSGDPLYRRFAVSSSVSTFTNFSVDYAAFYDFFEDGNLDIISVS YPEKSGKSKVSLNIASPDNPNLFVKVSVLNFPVISKSFHGDTKKTGTTFFGATVKIRM TGLVGDDILMTATQMSHSTGKILQTPFVLFGLGKTNNYIEELYVGTNYINRKLLSGSN SILEYRQLFSDGITKWNDRSSQFTYTNIWTGLIPNTQIIAQLNPLDTPNYWTLVLSVS PQKTIRGVLIVCALALLIIGIIIIILDRKEKAEDLKEHQGFKSNFISA cubi_01774 MPENLPVCTDLFDAPWVFGNYEVTVDQNIGKKTQEDRFCICNKL VNGHEDVCFFGVFDGTVGEFASNNVKDIIVPAMLSTPTWKLIVEHIEKGELKLTDEFN NILRDLMITTFKKADSILIERCKAEEKHYSSCTGVVLLFIKDILVNAHIGDSRSVACT YSNSINLAQFLTIDHKPDQPLEYRRIIENGGSVEYLQNHNNKPFLRGGDFTRRRARGE TPMQLQYSRAFGGKDLKPYGLSCVPDISTICLNQNNRLFIIATDGIWDVLSAQQSCDL SFYSQELGIQPARYLVNAVLEETRNRNTNCDNLTAICIMHKKTNLL cubi_01775 MSGQRGNTLHNLKVYVIGDEDTVAGFLLTGIGSRDPQGKTNFLI VDSKTPQAQIEETFKDFTSQPDCGILMINQTIAEEIRYLVNTHDKIIPTILEVPSKDK PYDAAKDSVMQRIKLFYGGSLPE cubi_01776 MDSGVSENNLFLPELINMMGPLLSGTLFPYNNNTEKANANALFN SIKEKSKVLTNCFSSAPSCHVNNFLMSFEDENPQIYLDNKQFVLSFNKRDDPNSWLSS DNNSNCSSKKHILISDLIKEIQDDDNHWLGEVLHLESKRIQNRVNECKMRYGAILNFL IANSSAIPILVKNKNRKINALNTCKNAYQSEYCYKLEK cubi_01777 MKSKVLPPFWVDLHVEAQEELARAKELISILQKTQQKRLLCVLK DNTMEKSEIEVESLTFSICDSFKRIEKLVQSISNSSAVNKEFDPLCNRSSINCDILRR NAEISIVNELNPLSQHFKNIQKSYMSELQKNYICLDGNQINYEDSQKYNQSKELVLTD LDDFNIEEIAKITRSIAELNSIFKEMSSMVVEQGSLVDRIDFNINNALLRVENAHQQI SKAEKNIKNKIFSKLIQFLIVCISIEIFIIFLKLLF cubi_01778 MILELSDYLKEVVYKGINLLIDDLKLKIPSNVVLNEQIHIVAPK RKEYGDLSSNIIIKLERWLKLAYDPNEFELNKENLSADFLSDKFVQIIDSLVTKDVNQ AENSSVYLFEGNPGSNNTDLKFKIEKRSRGFINFHIISDKCNQKIVEDLIITKNDIQF FPIRTIGHCYSCWTEKFGIPRQSVLVPSSRGVIQLLPEFNSDFIYGLDDFSHLWIIFI FDDVTQDTSINSKIRPPRMNGKSTGVYSTRSPHRINRIGISNVKIESISGNRIYISGV DLLNGTPIIDIKPYHICDIIDRGQLICPEWVTDNLEGEFKVTIPDKILDKLEKITEKS DLIPEEKIEMQEKKLIRLRSFGGEWPFIFFKSQVEFFETLKQSLSYDVRCRQRKVNEV SRFISKCYRLIFKFQYGGIYQIRLDSFEIHYKISEKSKMIELTNIDLIVV cubi_01779 MQKQLPYFGYGKKVASMLLLSVFVWICGLFYFGLDLKSNTSVYW LMSPFICLLGFGLYSMISISISLLQFSDVTNGKADLAEDILRAQSNLERRGFKLD cubi_01780 MQSGEDLSENLTNKLIEELTDPTIFVRSCILRGSKHVSKCIENF EISTKYVNEQLEKQIVELGQISTIQRMCNDIIKLEECSKTIGEYFSKYKNSIGIDTIP IIQKYDSIKDNMLISKRILQIQNLCNRTIEFISLISKLKIQFGIKKKPDNEEQYNTFF MQFQNGIPDSVDIFKTSKIIVELESMIYFKEENVIESENNEKKVNNSIVSSLEFLEVL QEDIKWLRKLSAIYRQNGHKKLLKGIEEMDSAAIYSSCIILDQFGELWEHIDIIVEDV ILKRLNHTFQVSNLQKCIETTDKMDQVLAVSIEYATFSILKVIENALNQVIFGLKQLI CIYDTLVENKINSQGMNQMNFVNLFWEKSLLFFESVFNNIYSINSKNPNIHQISFGKE IIINMSLKLEQLFHLLINCYPDISNMFNMATKNIKALISSSSSKVIANTIVNGKNILN SISKIRETYLFSIKDRFSKLFESIIPKKSILSDSYEKLSSRISDIIQEIIKEIQRASS CNEISHQVYDIFKNELLCFMVTCETIIQPEGGIITYLEKTEDQSNPNFAIEITNTSLK KRLPLPTKSHNVNANISIIAAILSSEIKKIYEIEFINNIDMVGRDRMIELLKSLQYKS SGKWFSQTSSAILELIISYYDKKKTSSMFESDKSKIGENFLTLSQEIVTNFFHNWAPL LYNHDYWSKCYVLLCRNIIIIVLVNFTLKSELDEESCFEIAEEIVSLQSIVSNFISDQ TIKNLLLNDVKMIQDFRRILFSDIKSIREAIDFSGNTKDKESNNSEIIWRSIYDLDNL LFTVHILNRIFYILNKSNNFLLKTFVEHSRISDSQLSRILGYLLFIKFNKCPTCESDT DLFIIFNIFKQNASSEYINDPELLPSKIRNYIDMIEKELELHRSSVDYSGLIDDLEVI IKFIKENYYQSLSCRSHCSN cubi_01781 MLSECSDISDTEMDLNDQIIRQLKNISFEQIDSNNIHENELTNI IDQKIVKDYSYDYCRGIVNIILLSDIKKNDNIKKELLEIGWVNTVNIIIEEAKNNKKS SIEDKNNEILLSLEKVVDPDLNKDIVSCGFVKDLSFDPESSKVSFTLELTTPICPLKD SFERSCIEIIKSERSYVKEVDIKFTSRPKKKNELISKEKIHENLENVSNIIAVSSCKG GVGKSTISVNIAFTLSQLGAKVGLVDCDLYGPNLEQLIPLEENTVFYKKPSNEIEEIK TNVNKRGLSKTNSLKLTNHDSREGFVPLVYKGVQLISYSYLLNAKKHTNGTSKVSNIL RGPIAGSIVTQLITGTVWDDLDYLVLDLPPGTGDIQLSIAQTIAIDGAIIITTPQDLS IADVERGINLFDKLNIPILTVVENMSYFICDGCEKKHEIFSRGDFSLITEKYGLECNF NFPLFSGLSKCKFYSNLNEADFPYVIVANKNDNIYLEFVKLSEFIARKLSKNRYSDYK PNFEFDNDRKIVNCQIPKDIKEIFFQDKKVNQLTRFNVSYSEIRQLCRCAICYEPGKN QFKEIYDPSTLMIDQLETMGSYAITITWSDGHTSIVSYSNLIKKFVNEDEIKLCQKES LVW cubi_01782 MQKTSEQSELFDYYGQIFLNYNNVSNKKKISDSGNFSNMKYKID QKTFEKNSSATKSCQCIINTDKIIEELELKSYLDFEKKTCCRDYCKINGNNISFDEKF NGWSRYPLKISKFEEENVKNWDLSYHGTTHKAIKNILKEKKLVIPNNKTVHIRKGHIP NQFFIFTSPSLLYASFGLYSAPFKIKECQKWWQIVVEIVQKPNSYVKEWETSGLGSYE FDKYIGNNELEWKSNQENKNLIKAVLVREIKNIEPPICQYPVGTYFLHDDKWWYQPYD GNTPFCPNEISSNCNCYLKRGSRIKGSICPIVNFRFSQLKKKQIYTSIEKYLRSFQML KPNENKSISNFEKGLSQKILIKMNQNYI cubi_01783 MDNSYTLPLGVNSNILQTSKKHNIFGEPPPDYVPGKGRGAIGFA SGVSRDDQTITIEADIGDYSDTKFDKFSGFSESLFNDVKYDDDDRQADSVYEMIEEKL SARRKKQKERKLREEILKAREHRPTLQKQFSDLKRSLENVKIEEWDQIPEPGDYYKNK KSKLFVPVPDNVTQSLHTNFFETLTNKDNSQLESNTEFNNTLNELATAKGNILSLKLD KAMDSVSGQSVIDSSSYLSSLSTAGIQLNGDLSDIKKARLLLKSVVNTNPKHSPGWIA AARFEEFVGRISHSREIIAKGCEMCPKNEDIWLEAIRLGKPEQIDKIIVKAIKFIPNS TKVWMVAANRETNKNKRLLIIKKALEFVPNSIKLWKEAISLVNNESEKTLLSKAVKCV PQSEELWLKYARLSEYLDAQKILNEARKVLPTCPGIWVEAAKLEERNGKVEKIDLIIQ RCISNLSAKRFVHSRDDWLRKAGECEKEGYSNTCIAIIKNTWFLGINDDLINDQVFSD IENFIKLDNIISARAMFESSIEIFKSNESFWIKWAEFEEKYGNFENVDHVLQKSLMNC PDKQILWLKAAKNQSINGDAEIARLILSKGYSSNLNDKEEIVLAAARLEISQGEIERA RIILERERNNSPSVQIWVESIKLEYEQKNFDLCILYCSDSIKEYPNSSTLWHLYGFIH RKAFPDLINETLKIYEDGLNRCDDSLELCFSTIDLLTSVQNWKKARTFLDLAHSKNKN QPELWMQKIKLEKNAGNHNFIPQILSKGLKECPKSGLLYAETIFSEPKQKQKSKSLVA LEQCENDPYVLAAIAILFWKEKDFQKSRKWFKNALETDNKIGDTWIHYIAFELLNGDC ESQKDAINNFINAAPNKGFEWDNVRRTNFFWDQKCNEILIISLELIYGINKSFVISNE IRKLLGFI cubi_01784 MISELKTRRSKVISLFIQCMLLVKNLRGGFENIFELVNACNDEL CTLLLEVVFTKRENGNDFIGIRSNINSNIDMESEKKERFGFLNLGLKRIKNSYEIKGM KLYLT cubi_01785 MISDLIIKDEVGPGNVPKALFISSIEEFVGDRSIYTILENIQLL NRKYKIMESSIKTQQECLVVKIPDIELAMETVSQRRKLLENNLHKNELYFPVADNLFA KCSSPPSNTIYLWLGANTILEYSLEEAMEVLKSNLSTAKNTIKLYQDSLDFIREQITI MDVNTARVHNYGVMQRKQSKK cubi_01786 MDGTTSSGKIGGKVGGKVGGKGKAGSGKGSKKQPTSRAARAGLQ FPVGRIQRMLKHRIPGDCRVGSTASVYAAAILEYLTAEVLELAGNASKDLKVKRITPR HLQLAIRGDEELDSLIKATIAGGGVIPHIEKSLMGKALIGKKGKKGNMSP cubi_01787 MVSSNEYRNTVEWISSVQLSVSNRDGKNLSNLFNVRNFRNLIKS DLSSFYTQDIKLLTQKSFQNKKNLFSGPLSVAIENFLELYKELMKKNCQWANILMNGT AILNCWIEIYRDQEAFPCQWVVPILSSTCILISKIGIRADTMLNSGDFDDDENEDYQN KYQILVLNSIRQQIGKFRGDDDRQAGYVILMTESIKCCMQLRNMQMASTFLKHIESSN INPSKVPKGPMVLFCYFLGKLYMQQDRFILAEEQLIWAFGNSGKSNNKLKRNILECLI PVKLRLGFIPNISLLKKYNLEHYVEISKAILQGNIKQFDAAIEKYSSLFINHGTILCV EKVKFILYRNLMRRIRNWCHKNLKSDPNKLTFSVFDAGFKWQSDELFDQQEMACICAN LIKLGYIKGYISWEHQVIVFSVNDPFPKLSNIKS cubi_01788 MWFTSFKVPEGAKKILNESMVDEITSYLPIVLALRRWQLSFCSK LHGVSFSSFYRRVSNRGPSILVVRDTNGVVFGAFISESIRNSTNYYGTGEMFVFTYKQ LSLIDTKIPTEKCKFNYSETKQSNYELGMLKKDHFTEFAVSGYKPIQEGNFKKNKEDG TRELPASVPRISVFPWSGKNCFYIYTDNSRIAIGGGGSYSLTIDGEFFRGWSSPCSTY DSPSLSSNEDFLVNAFQVWTLVDDYQ cubi_01789 MMKEKIVIDCRGSLLGRLASVIAKELLNGQKIVAVRCEGINISG SLYRNRMKYQDFLRKRMNTNPRKGPFHHRAPSKILFRAVRGMIPHKTPRGAAALARLT TIDGCPAPFDKVKRVVVPSSLRVLRLKPQRKYTTLGTLSSLVGYNKCKTINALEEKRK ERSHAFFVKKVAKDKALRAAQKSVFSKLSTEEQAILKSVGQN cubi_01790 MMDINILKNLDIDELIVIEARLKNSILDLNKLINELYKENCRIL RHNLELNNLKNRFPNTKERIGNLLKSAFFSQFNNSEKSESIKFGCSSTTNGEYISKHG IDHGKLFSNCEEKKNYTIEKPNINYHLMPTKEAKCNQHVSYIEKIPDSIFNGNLDSNK YDLKPKPISQKLGDRVTSIEYGNMAEHTINLLIDEENYVKIRFSKFENDQSREGFVKE IIEIVGLKPIYFDCMKNLLKNIEIKNDCIENLIDIANLDPWENYHEISLKS cubi_01791 MNVILSRIALEELSFLGCNGSSIDELFGKVVLRVLSGPYRNFAT LLNNVSFREKITKTIASHKHVITKNKLSGNVYSLKDKKDDYFPENLLLVANDSIKNHI LDLNIFSNISEVEGRLAVYMAIASKGFNGCWQYEISRMLKMDPKMVFQHLKYLYKYDS IVRFSIPMPINHKKRLFPNEDSNSSSGGHLSAIIWLTRFFDINLIPRELSQLIWYQHI QPLSTEIVRILEYKAPGKIAWEKDIRALCAGFLIVHDENSSDHLICSQRTANKVFNKL RDFLLTKNVKRVFAWHPLTKSYSPCLCLKDAFPFTTIELSSEINVKTETHVSDLNIND TEESNSQKNMLDSEGQGINNNGLFPDLSTEEIQFSTGNKIFEITEAAQVLWLIRASGK TGLVSLDIVKIIGINMKRLGKLLSDLCKTKMINKIPQRYNRTFMYRYYFNNINIPLKS EGSNKIDKSVVEPHASDQYSSQKLETKHIMTIFSETFPNKKIELSGVTEQFVKRLILI KKWLDESRILTIPEIAKLFSETENTQNGPDRKTIKRILSKILELDEYSKESSLVQSKN TNILSSSGEIAIFFSLKFYTEDEAKNLKAIELKNKRSNTTKSAIERRKKELSQVAAII DASNTFPSNKQKENSVFSQSNYSPDIILSQVDTKVMENNLIQPNTAKLVTNKIGDATV VLNTQEFTVASLNIEINGGSNSTIDSSSLKKNDSISKLDIPGTEFANKMNFDPSKRIS LGFSNLSRGGIKIQSSEGKMGFLSFSQKILAHYGFVFPIMIRLKILHYHLLFSFPEKK NKFDLFSSKEILDNMKIDTFLRVIGFGHRSRFIEDYLLYGNSETFEFGPNTRIKELPS NLYEKLTKNHSKKNFFGHGKKKLKNYDKGRKAISVLYKLLVMLCKLNLINFSISETKE FDESEFKISNIKQINIETIPLSYPSWKLESNLKIPILINADKYDVERLAEYIKHTNNT FCLKNNEQFEQFWSILNRESIETHNFILEFKPENYNVIFPDILLKRNWKVNPLLSLDV RNQLEKYARQLLFENENLSNKSIEPKNRSHIVLSLASPEIINISDELSISPLTTLKYL IRVVDSLSLEEFKFSNNSGSPNKISFHPIREPRYQCHICQALYSTHPAIISHYKTIHN ISSIANSSMYTIEKNNSLNLKNKNINFNSMVRTQILSENYIPNTLKHLLELKGANNIF NEIERGDKIELLRAFLRLSKEDTILLYIILFINNKVNMDVKNTKLTSNKYLFRIISFC KEKKTESNLFSLQFLRIKSLIQEMSRMPKYNSIDIIEFIFSCLKSIYPLNSKLLEAIK LKEKQRICWNHLLEIHSFSNRWRFFARQESNSSTISSKINSVLNNSMNDEYFDNYTNS VGNYSKSFSFSEIDYFVVISFIKSELLTQKKSIKDESIFRLTNNNLLNLEQIRKYFDD SIQKNVLKQINRNSDLPKFEECYSSSKRFALTRRMLIHCFGKTIVWREIFNLCFAFLQ INYSKSTKFNVNAQNSNGAFVLNHLNNLIDSNCILEIEWNDQDFYEKASNKTDEELFR LLNEAVDHQSYLEISSEEPSSYSEKLICDSQNVENKATRTHSEVEKTISNDTNNITGD SVHIEADHGENPEISNITAVYVNKKGSNNYFSDFKEIEYSSINIPFLGAMDSDPLEFN KIPSVFSISGIILSFSETELKNLKRKTIDPIFTIIRVILGYIIDSESQEHNLIIQAIS IIIFTLKNTTCLTIHEIEDIFFLNYSHLTSALSIIGTGFIDPGISLYEFKLPIIYHII YLLEILRICVRIPTEGNWIFTLFCSLNNKLIEYNDERHNNNNSIMNNENILIPINIPS RIWLLWSNEVVLQLFNEEEINTAINIINKSLFDVFKFNNFIVPSGIFLNRTPTNCFVS IDGQINLPVCGLLIYFVSSLTHKSPIFNLAEFTSRFSFFSSCEIELVLESMLAENCVY KDNAKIIFSKPIQDIFKVYEGYL cubi_01792 MFEIKKTLANEHKETDSNKPFDELKELSFKRNQLIHGIVPNSGM QNNPRSNTSNGTTIIRKPYASKTPIHRQKPRHVPSFCNFTDSMNINFEKELFEKVSNE TERNIIISHYEPGTIGLRRYAVTHQDEVDGYIFDFSKRSTRLSNDTMDRTVITVGFTG RKKRWTLCPSCDCIPKADKREKIVGQWKILYLPPKGGNLIVPRCVYEAYGDVVDDCNY FAATLCPQQTYCAKHSNQTSFLNRNWHYSYRHQFALQDYVVFCDHLQSVRLVWKLQVS KDNELNDITAEIAEKTLITVMQRFLEYARVNGMHNFFLSAPNPKYNIKDNSEESTPLN KACISDKDEKSNFESLQSCSSSESLSSQLDLSKIQLQFASQINSQVPISQFMMQKNSG VSFNPVINSLAQQSLYLHDFINYLDSTKKLDTSLTVERMKAFNVFSSSQNTSSIQMSD NLSNCSSAGTTPISIDCSDTPTMAHARMVKATINSSEDNGNSIKNSVFNVDEESQIIA SNQNITKSRNLQHDLLESLRNSQLIGGSIQNICGINQSISLGNFSQVQFPQILHPTST SGPYLILSTNSSMYPYCIPINHMGAYETQNALNNSSIINKQNNDSKLAPLCPLNSQIN SEISVPFGISSNLCQPNNPNTYNSSKQNNLQNSTFSNEVKFVPQINHATQNITLLPNH EKIIQSKPSNPINTNAILKNGDNSSVAQ cubi_01793 MSDFHCNSVDSDVIYEYENMSVNQIIGIRNEFRSQDNIRRNNKE LNKQMSYNGLKSGIVNTSSEVNTFERNSNPNRRGGKLKPRHVPDFVLISPEDVDKLLS SVATLDERKTIMNNYELGTIVLRRLCISESSEIKAFMDSIQMRTTGKALESHERNIIC LTFRGQPKRWVFCPCRSCLFKGKAHDKLMGHFKIMEQDTQTNKFQISKNVYDSYGVFM ASCGYFAATFCPQQNYSSHKVFVGPTISDLKGRIHDRYILFVNDSKVIHMAWRRKQNY TFDCEELLSNVMSKLMVCGKSGHVQMVNQLSKGYSGLLSMPLKLRFHCENLEKQPNSI NSVNDFSKGGAGLISKKNKRKINKRHLEDWDIINSDKFLSDANELQSAYNKAMGQNLT SKSYSFPNSPVVRVQNNQYYNSDNNSLTPRCTKQYISELGHDVDGETLTSNRYGCIIG DVSTASATPIAENADCIINKQFTYNSKILDCIQTINKGGLSLNDMNRSIYANGSLDPN SFIPKVPIPSSFLSNINQSKILMNNIEIHKAEQYGSKPNSKNWFGSSENENENPNIKQ LKENYLYGDFSQSNGIFRSHLQPNFINEGTHFNFNPLVFSSDSATNPMLPSETIEEES MSKQNFNNGFIINGIATNQSISLYRQVFSPNEITTPNSTLEISSPIRQIQHSFISDGI VQDQNNQINIIQQVQSIVNPGTYSDVFGEDVAQCTSCEHCSIAGYPYYCNCYKIPSES AANSNVIDVNDTSGVYQLNNQYIHPSHEVDS cubi_01794 MSLFKDQNVEENYLYMLSIDFPVLSLVIGIILTIVGSCFMAFGN AYMKKGLHIQQQKCINNSQTSVSSMAYNEVTWWIGVIAYAFGAIVHIVALGFAPASIL APMNSFGLVANAFAAATLLDEHFGIFELISTVGVIFGIFLCAIASVIPTEAPANKYSG IESWSDPYYLIYIIFCFVSALAVLIVINNEEAKYNEQKEIQTRRAILKSLDEDSPSII PAGKVSPNNSLSLNNHAKIKITLPKYPKYISMLYGLLAGLIGAQCVLEIKEMVAWGEF ALQHTKDWMYNIQPYVAFILFSTSTWLQMHFLNLGLAMGDATLVVPAYYVFWTLFSTF GGFTKFHEFEGFTLLMTIIFSFGIIITTSFVIVMSIREILAFKKAVDESVADDDGGII EEIPKLDGVKGNMLDAQLPLAMGVLTMINAGKLAGKYFMNASAQLRKTRSASDLIGEK RGSIEIISKQKDIWTLPSNVNYSNINDPNSPIYQNSVWRPKPINELVFEDVEYKEIP cubi_01795 MNHSIRKSDEIAEYFDEGDSRVEKKIILSADGLGSCFFGRFSVP THAQSMAGAIYVDYVTPVKEIDSLNIKYEQSIIPNNRIDSNQINIRIRDSNSRHCIGR QVSTNNSNYAAIIDKGSHYELKILDGLFVFDPSIKGKNESNSDVAENLKRDYIFREAA VTARIQESSTKMRIKLENDFEDDQTPESAVNNESTFNKSCENNTAMSCAIKRGILDDI YDKDFGLKQQEKKRKISAMLNKENADDSRVVVSALSLTTLKSSDDWDYDGDGKMSDDE GYIEKNEMEEKFEGDHNPNLMNGNIDYSNSEDDEGPDGILTEYGQTLKTMIANQEDME ADEELNLYSDEMDDDLESKSNLSSSAPAATSTGIQFDLQKKTIKSPTTISSSINGLSR SLETEVIRKLSLAGGRMQIKPFLDAMKVKKKNKYFEEIQEIIKKVADTHTEYHSNTKI SYITLKSNYRQR cubi_01796 MNISNLINADVDEKGFVSDKLRDEFFQMMRNRPENRTCFDCESR NPTWLSLSFAIFICLNCSSDHRKMGVHISFVRSSDLDKFTPMQLLRIDIGGNGRARNF FKQALGVHFSPKTKEYTNSMCGKQYKQILDTEISGYDGLSTKKCDELEVIGRDSYSIN SGKTDTQSTGDIQTTRFKSIPTALKRYSSLQKQNSLINQKGRRLDENFDFDSLVS cubi_01797 MHTNLIVNKRGSPDNKHENVKQLREEKEKIKEWNENLTNLVTNI NRGTNSPTNKLINTDNKNNRYYDNSPKKIPFNGRIRVENKKANEYFDKKFDFTNQKIN KNNSLEEQYTLALIEKQNIEESCNELKKRYNQLVLRFEEAIEQKESFREGLKNKINEY QKLQELHNGTQSLLAVGNKAQEELYQTQKQFQIILGKRNLEFSNVKDELETKKMEFEE LEKKFELLVKENKEKDENIDKMNKEKTSVEEQLSNFVKNNQDLKKERDQMKRELKIYE PLSRSKEMVDKMAAYLETLLIKRNEVISEITEDLSKNTGNLTEEISNIRKDIVGIENS IQKFSGVISHFKNNIIANETQRIQESDMLVKSLKTQLKNYKENIINLKKLLFNSVGRP GNALEPSLTFEVRNGSILRKLQGNHGNTIKCYCRIIGTIFEYTTSSNVVKTIPLEKVI GIDYGNNSNSFRRINQPKGFFHELFKRQAKSDTEEDKKAVINVPWLFFSIRILDGYDT VCSDFIATSLESAMSWIVTIGRYATYIQRSKGLFTYNFIQTKHEFYSVSLRMKTMYLC QQKELNISQLYITAIHTTCLKNPQLIRNQTAWNNLKNIMRRITNQKFSK cubi_01798 MSKTVGDNDKVIKRKISKKLVLQSNVKPNKLQLCTYYIKGRCKH GSYCHFKHSTIPITKKKLCWYFISGKCSKSDCQYSHEISKFPCRYLNTVGFCRNLKEC RFSHELIKTEQEREEFVRENKDYLLLPHKDGGPSEIHTSHMWWVPLLKKIIKEEEELT AKHSFIKKLYYESETSVPKGICSSIESNRAKIISNSPGNIHLINNSSENNIKEYNSSK SNSFNQVFGRKEFNIKKLYSNLFGSSNN cubi_01799 MSLHTQFETILFILKIYAGLSIFSVLWFIYSFNVYIKCIPNQLK NIDQSKFDFCKRLDYENISLFYSVLGSILYCPFRILVLCLTASIVIPVLLVLKLIGSI FPLINGTLFYNYIHILIVKFAGAFTLRLLGVVEVDHYLLEKNNEVCGQVSYNCIKSDN IPEMNDVVTIVSNHVSILDISFFMRYVSCGFVAQKEIRENYILGTFADVIGCIYVDRS CTETRSKAKHLIQDRQLKRFELVSSRTADAITTPKIKDSNIFILNEISKYFNYLKKTP LVVFPEGTTTNGNDIIPFKLGAFESLTPVMPVVLLYKYSAYSPAFEIVPFWVLLCLLF CNYGKITLSAYWLPQMYAAKTKNNEHSTKDFANRVRELMIKVLKKAKECDNINYLQIS KQKSHQVDLKNIRKFEISINGNSDSSNSTATGSLRLKQEYIRMLNN cubi_01800 MTILFLSSYSSSTISEINKKKGYISYLIFPFIKIYKKSILHIAK INGLNNSKIVLNLYDNKKDIYFIIKLLKYIYIKEQNINRKKWITNQIKKITCFQEYTN ESNSGLGTSALIDKKENLKNYIFFEKADIIPTYEMIIPRKNNIETLNFYWKLQTTKNN KLVSLNKRLGFNKHSLRKYEDKGLIESIFKMNDKKDVYQYSCFAQNSNKWLPTYSSII LSPSFKAKNLMLTKPNIKGIQRKNYMENNKLLLINHSSLSSSNISAITDVNPNCNKGK IVSPEKKCRSKFLHVRNLTNIFGEAPGGIMRWSNCNERKASSRIEHGKMKPGKLFFSR ANLQQEKVFENDETQRIRLNGPFGYKLVN cubi_01801 MKNLHNENSGLFQSCLENIEDYFVLDLTKLASNGYFTDLVECLG DKLKFLCLTLPPKGLNVSNEDIIVSTPIGMFSSNQLRKLAFIVENLVILEELKFFKFF DFFVSFSNKDPTKKEYFLLDLTKSYGFKSDLQEPNILIETIYQKNLDNLELSEALKPL IHGTIKKKINVMQGLLDHFTKNTNLLIDQVMDKFDEKSINLNKRLETAQNKILLQEAK VNRLNEIAWKIIKQFGEMKELDFSECETIFCIDKGEEQSEGKLNREILTLQEALQIRK SHGGEGLPEDFESPEKLLQFGRIEGGAPNNIVDEIGLWEKEVISRNLQNGIWDPIKND ADLNHMHLRKIDWIQNDVVHPAFRELIQLRTSGKLKDEIKNKIEMNTENKIYDPISEY TINKLNRIDNIKAGNINHSPTVFSYLGRPIPKLSHQEMPYIPKQKIIESIQHSMNTEV SKNNKWKSYGRPIVKREMKLKTSEGLKIEPLNAKLSNFDISSKDVYNILL cubi_01802 MPYCNSNNNSFFSHEQVEAIIQENKQLIYGINSFKKNDGQEDFL RALLSRLQKNLFLLAHLSNQPKAPNHLTVNDLLRPIFSCDYSTENKRATLQQTISNRT PIVALNSPTLSSNTTQPLYFETSNVDFRINDQKITEQQNLQSCENPNNISNPQFSKLC YESIGPISSEFDHQDSIGIPIGNSSSNSNYIQNQNNEHFICRYCQRKCRNQSGLTQHT MKVHPDAPEVQHLLKQAQSRSNPNPLSIINVSSSTY cubi_01803 MGSIIRDMLGITPNVKIVSQMNEKLKSDESAENCGKRIPKSSNL EMVSIPINTSIGTSSKQMQISKWEWVKFKNNARNDSLKLYHWSKVQNSNRKDFLINGN RDLNIKDKVTKENSSSIQISSEDNLHKNNQTGNYYFSKFNKHPTIFNLQSDQYNKFIK DIDPDWTEDDTFLLFELCKEFDLRFIIIHDRYIPPSGKQRTLEQLKQRYYSVSKKLVE LSFDSKRRTLGNSPDPSVLASLKEERNRHPYIRYSYNFEQDKNRRIALIESFNERNIR KNAKKIISDIQPKLTQHNNKKGGFNLQKYAGDLIDIENEVEQELKFITKLKLHDSFGP KKSGVTTVGALCFQYISSLPRKFSSDVENFLKHFKLDIFPLPFLSIEIAEQYCAMRCD LLILLSTRKKLQRLNSFKHAWQEKLRNAILQNDEFGHANQLDHDEKNRGSLVNSTGRQ CHVSIPSPAINVSRNFSTKTRGKSIRGTQEQISGQIVIPDVNNVSIASQFAQNNNESA KRDGDLIEKPRKRSKSNVSRFLTQQNGFPNQHGAHLSSIQILNGQSIVAPTNSTQNEQ FLLHNDAIIGNEI cubi_01804 MSNKNYIFLNSTVSTNDEVVKLQLRELGEPICYFGEDQCGRRER LFNIIAKKKSPAILRKESVKPLAKETFFSQGTDELISFRRQILTSSIKKSYYRLKYAR EFPEKNLLEEKVHFLKCFTQKIRENICEMCDTRYVLGCCISPLGDKIATYGLSGDLKI WNSKNLIQDKVLKHHKHSITDVCWIVTDEGYSKLFSCDLNANIFLWNETEVINRYIGH EDQVNKIVVHPFSKHLFSASNDETWRIWDMETSSQIQVQEGHSRPIFGLDLHPDGALV ISGDSGGVFRVWDIRSGSSVLFQIAHSGKIITSQFSPNCDATFLTSSQDNYIKIWDLR RVDKPLLSSLLGHSKQISNVQYEPKKGRYIASASQDESIKIWSTSKLNESIVDFNSNF SYITYSCIKQIETNSHKITGMDISSNGQRIVTVGLDRTIRVWSSDY cubi_01805 MTGNHEYKQDSLDNSPLQKFGKSENDEINVVTGINRIMNTKTTI EKISLHTEAEYNCCKKEDEIKVKCDGNYSIEQDGNANSSNNISEYTVQGEVYSCMEPV IYDKNNNNITVLVTGATGFIASHVVERLLLRGYKVRATTRSKNNQNSDILLDFHFANE NLTLCEAELLNSECWKELVKGCNIVIHCASPYKMDCSDPYEIINPAIIGTKNVIHACC MCDDVKTVVLTSSIAAVVGAYKDGKVYSEIDWNDDCDPLIHPYLYSKVEAEKIAYKVV DECRKSLNLIVVNPGMVIGPSYRNEINQSVQWIYNMITGRMPLTVDLQTGWVDVRDVA EIHIRLFESGDFSGRFICIEGMYTFLDVSRMIKHTSPQFNPPNHCLPTLIAKMVLPLF ASKNERDFLKISLGKRILLSNGRLLSHFPNYRFLPTFESVKDTCNDLSNKFDIKK cubi_01806 MVEINEFDSGISNNENARNDEPKINGEQTPIESFNLHFFSEKEQ ENLVEEKRKKRKTIEKNPNQIHVNDGKSNHLDVSNKNNSHYDDFIVEDESSDFDEDDI IRVEGGIKSSDDEYNEKMGSNYIGVTNLNMNKNSVGRKFSETEILTEIRQFIQLMKDA ANKDEVAYSNGKVAISKLKMVDEVCRKVSMNNLSSYFISEGVLDILSQWLSPFNDGTL PSLSIRNKILKLISNIPLREEEITSTELGKTLCKLWKNPAETIENRQIIRTLIQRWVR LISKTRQSSSLETVSKAAAAAESLNSQIKSNGSEPNLNKSMLYVEPRTARIPITTGYN FKVIPQNDSSNIEHGTSTFKDKSIGNISINRNNRTKNRSKHAMKISLEGRGL cubi_01807 MSVVNCSSTRLRTDSIDFIWTIEDFPLLRTLALQRALPNYDRII SQKFGDQHNGTWFLALFPAGINCDGNLLSIYLFSYSEQIRIAEFEISLLDEKLDIIEG STVSLSQPRVFTNNDSSWGWEDYMQINIIEDNILGENKNKNPLSRLIPSPFEDTQERI RSSDELIYFSDEGLDSHNLQSNYLDENCEIKSSQDKIFNWEKTILKYFIFDGAMRVKI NIKSYRDIIHSDGSVIIPSFFSNRIATQDYLWLANDISKFSTDCSNKLCEKVTINCGN EYFHVPKFTLAARSKFFQNFFLSNFMDSKTVHFSIPIDDASPHILKNALDYILTGDCE LLSGENVKKWKEIIDLFKFSDKYGIKSLYNTCIPLIIANINTESVWAIMIIGKQCNSD IILRSVGNFFRRSDDFSIIANTLIGHIIEYKK cubi_01808 MTISIIPLGAGQDVGRSCILTKIGSKTVMFDCGMHMGFKDERKY PDFKAISATLDPLIINDYIDLVIISHYHLDHCGALPFFTEKIGYTGPIVMTYPTKSVS SVLLSDCCKIMEQKLLLQRTNNDVALATNEVISNSEYGFFTVSDVWSCMEKVKAIQLH QTIVVSGIKITPYYAGHVLGASMFHIQVNDESIVYTGDFNMVRDRHLGPALIPKLLPS LLISESTYATYIRPSRRSTERTFCEMVYSCLKRGGKVLIPVFAIGRAQELCILLEIYW RRMQIRFPIFFGGSMTEKANSYYQLFTNWTNTPLADNIFTFPHVMPYDKSILTLSGPA VLFATPGMLNTGLSLQAFKMWAPDSNNLTIIPGFCVSGTIGSKIINGAKRVFIDQKDP STCIEVRCNVKYLSFSSHADSIGIQSLISHSEPQAIAFVHGEKQGMLSLASFINYELK IPSFCPHNGSITSLPTKGKNKYLCYLSIKFSLAHAVNPLQNLTERQLEVRNIIEELKK QQINNSFAFLFGKYVLSISQIHSLIIEYYATPQLFPIYVFTSKYINRGYSFVLTKNEL YIYIKGTYKTLQKKIMKVKIQPKKNKTICETDNSIYQDKILQIKSSLHKTNYFNLLFY FEIYNIEIVKLLTAILNLVNIISKQFIKKKNCNLIKHCFKDLTINNKLMKSYFYDDFA IITYKSLIAKINAESYQIDIIKNFFSSLIKGKPSFDLKKSTVSKIAISWSFIDNKSIE ISDFINILEKN cubi_01809 MQKKRKVNLLNCKKNNNREGFKNEKKSYNHKFINFGSNNLIKNN KRTILCVAEKNSVAKEIASFLCPEFQSPIRLKSKSTTNVALLISYKFFGDDCNMIVTS VRGHLKKLEFLPKYSNWASVNPIALLDLSTPVVSSILPDCTEIADNLTYFSKISTYLI LWLDCDREGENIAFEVLSICLNSNKNLIVFRAHFSAITKFEIDNAMKKLTFPNRKLSD AVEARKEIDLRVGSSFTRFLTLRYSKLFPIPEHTLSYGTCQFPTLGFVVDRYNKIKNF REENEWTITLEIKMNIHEKNNENASIIIFEWERGKIFDRLFTFIIYEACIENPNANIK EITNNKITKRKPFPLNTIEMTKIASNKLKITPVECINIAENLYRKGYISYPRTDTNSF SDSFDILLYIREQEKSSVFGSFASELLHLNRFSAPRKGCKNDGSHPPIHPVKCLNREQ ASSNEEWLLYELITRHFLSSCSNDAVLMESIVKIDISGEIFKTKGTVLLEENWLKIYS PFEKINTKSLPKFNVGSLVFPYKLVFRKCKTTPPSLLSEAELIDLMDKNRIGTDATMH EHIEKVQTRQYVKKNSKSLLSPTVLGIALYNGFELISRKMELNGNKAKLNSETKFHSK DTSTYNLMHFKIRQIIERYAEKIISGEYSRSYVVEKITGFMKHIYERMLVFIDHLDSA LNVYFPKWDANIVVINGKISRKNISECGFCRSSINIYEIKKSLNGLPPISETNNDGVI RVSKNTKLAICKSQSEMNCKRPLFIPDYKSINATSEYCQHCGFKKIEIEMFNSKKISF CLYCFNFPNKCTVNI cubi_01810 MIKRTSHKTSSDTDEGRTIFLRNVPFEMSELSLKELIERKFGET LYVKMVFSKLTQLPKGVAFIKFKDTESVEKVLEGEKTADKYYNSHVFRHKGNTIKDSK NFSTLILPPEIGIQFNGRRIFAHLALNRSEIAIIENKKVSKNISDKFCQSLDLFKKGL LLPGMKEAEGISNHDLRLRENSWKEIKIKMKNPNYEINRFRLCIRNIPTKINSSELKE IIIQEISKMCGPAVINLANEIVSEFEKSEYSSFSNKFNSRKILIDLKQNINSPKKCML IFKRLINKVNIIRENSLKSSKSRGFAFVNTNSFSLSNAILGILNNNPKIFTSEKRPIV EFAIEDKRALFIQKRRTKNNFKRHERKTDDKRITQIS cubi_01811 MNSNNQLDKNVLMNANEFNEIPNIASETTYTGINIVENKQNSNS DNVNDDHDEIVPCSYCNQPTYEESYILLSCLHRYHYSCVLNNITAITQSGDFMICKVC GFKSAVLGKTGAPVARDLNLISLKNSLGSIPNGSCSTCQFRSSTIYCIDCCEGFCSKC VKEFHNSVSTLQNHIIRQLDINNDGDTVQANTAIELVKAAKEEKILSGMNGTENNINM KQTQKKRKQSAEEYCVVHKQTEAKYFCLTCEHNCFCEVCATVGMHRDHKVLMANEAID CIRDILGKFQHFAIKRISELDSVQDSTSEWRTQQKSFLEEVKPQLESNSSQACQILNE NISKFRNELLKEWFDNEDIILKEINDAKKKHDEIKQLIASLHVCLDKNDDYFMMNFLH DKYKEVYIWLDKPFNDIEFSNFTDPVVCYERIYNMTDRMNRETANLISQQIALNRLSC IFQPRKFQCNFESDIITFDDDGIAIPILSSEKISYSNSNMDDNNYSDKSIVKIKGELF NDGIFSRKKQDIQIEKSVSLEIIHKDDDDEFEDNSYDALYEKMVWLHPSSHSSNENQS DINDIKTEEIPSSSSENDLKYPVKNISTYTNNIYYDSETLFNKISANYSEDESFISNL CVDLKNEFINALPRFEILYSRANNPFICK cubi_01812 MLLSQDNGNKTQNLNPQPNDSSNLIFLTQKRLFNTNPFEITKEA NNTYDANLDSKNKKIELNKVSNSQSEKKKDLSQNQNLFKKYYTTTTTISTNLKNDDFN STQFQDFGSKNSSNSIDPTYIKSTFDQFNKTATSSSTMNQTTNNNNVRDNISNKMLEN TMVNVVSNSNNTSQDKFALSPLNGTEVAPLFSKFVSNEDTSGSVKFGYSSPPALSRNN ASSQSNE cubi_00830 MFELLNRKFLFPIGLLLINLIIILASDVDQQETWVSPENQYDYD EVNNAIATDEGTSNINRKVAESQNHNHRHNYHHKSHHNYRYYNTYYNQYAPKHIGTTD DEGNYISDHFTYVPESSHHYHYNNHHKNVYQMGWSSH cubi_00831 MRGRWKGGKSGHGGSSSGGSNAKVSEICRHFVQQGNCKFGQNCN YSHILRQVAQIQKAHFGGIRCAISSPLPTGELELFTGGCDGQLKRWIIRPADKDIMNN EPERGGNNRRRNYQNKFQNDISGATQLSVKMDSAINCSAEVCSCLIYGDVLFCGLING TIRAFHKPTGNFTDLIGHNQEIHQLLIIDNILVSACWGGKIVFWKFDQASGTFVISAQ IQAKEHIKCLKYFPYKSNSAGVMNQQPQCQIQDACPHLWVCGGGIVQIVDLITLQVVR EIIFDGGPIMSVLEYENHLVLCSLQGVIRVISPTGEETFRKSLGSPTLCMDGTITADA KHILMMGQNNGHLRCITLPLFDSVLEFHTPDTRSEIRLVSNLGGSPGIILTAQWDGAL NFYQWCLPKSS cubi_00832 MSTFNIPKFKIVILSVFCDGIVETPKQAHHSSSGSAISNSGWFQ LYAASTKQNLERDLDTGDQYDSGEERLERIVDCITASIKGLFPNIPNELILKYGDLSA LISHLDVDVIYISDTTFSTKGEFEEINSNSFLNQKEAFENPLVNVVLCNFDRAPPISS SPNSFSHIFKLCELSNNYHEIEFLLVGFSASKYPETERFSKKNFEKFRTEVQRLFGNN AKDKCIWVSESNQLDTPDYILHVGARRIHTRLLEEAANAINARIKTVIDYILDSRNEG PKLSHSLKLTEGLATPEILETPELLTSGMNSPVSSRVGGTQCRKLQISDMWYQWILDI INKSDLLGLVFFRLGLANEALLIYEQLLDDFREQNNPFIKELQNQTIGFAGIVATKDP LLLASDCCFGDCRTHLIENNTLIHRDKLILPLNFNKLILSKRSTYFDIFQMVFLRQIF ILLSVKDFQKVCNRVHIFSRKFSKEILSKIDHLKYKFACHLWIFRFIMTVAAIGFPGV PSNPKYSPGPRQSTAISSLKIIIVSSILPRLIHFLGASESIDVWKHKPSTPKLPPDSN VSSMEIQTALIKWATQCISSILLNCICDFEEFSRLEEELEINSHKSSNSMFTVSNKSS HFSLYSKTSHEEKNNSSLNYDPSSKQNSDTGVDTKISFNHKNSKLEHASSFNSPSFSL FHLASKHFSLVCELLSSEIWNKSSSKFDENKPSEELIDNQFLQDMTYNLSGDAEQHYN LKELYCSLNSPSKVYRILCEVVGMAAMDYISSGQLKTAISLPWGIIFENKDFLKWMLE ELNNETSTFVNYETSKISDICFCYRFVRIWLDFPTILLPIPLQWTSLSNISQKLLLKL FAKKVNPVSLSYINNNDSYLLQAEIGIRQFLSILNSNNPNFSQEDGSGKDFKENICLE DQLILETYISKCLENSNIDKVNSLQWEFPSHPNLWAHLYISDSSSEKLKDVPKLKMKA YINLGGQTTEKNETLDSYDSQTKSFKGVTLKIVERQILMALLGGGKLIRLPAKALPCC GLLDPLKPVQGYFYVFHEILRPSGRLFLKSPNVLSPLLSPLGSSGQQTKVSDPGNVCS KIRQVEGEMGQMMESQKVEQQEAGDTSNSQRMKNSGRSGSNNSYNSWFQKKSSRHERS SSFSNSELEHVREGRGNITNSLVSNKKKDGSPRQWMWEIVAGFKWGGSSYGSNSKSKV KASSFNGSLYSEKRNEVLEVDGLDNQEKVINNSSIHESSLKNVGGLHIPSSTLENTVK VEENLSPSKIITVPTPGPSNWVITEASKSNSKKSVPLSPKLTLSPKNEEPTQCLVTPP NLWVHKLAVPGIPSRFILLVYLGLSSPVYFGSVWLRFNGIKWVLLENTIMDSSKKNKI LLEPGLNSIELDIFCEGDGESSFFIDSIGFSDRTSFSIPINFLWVIPLGTLPSPHILP RVISEFQIYERGLEISFGKKPLNSSIISKTNKDELECNRDNAWNPLKKWTTLYSFGIR NLSEMFSMTIQFGEITQYSNSSESVSNNLLKTYTGEKKSYRISVKIEEDWIQIYNVDM EVTLSFQQSKTKSIKIFSEEMELVQIDGLELKKTLKLEINQNLIKLPSFSNECIIQIP IMFDMSPMKKSTQVTFGLDFNAKIKYQETEACTRHNFVFQVEPQMDLINIQPVPNLDY HSLPFYYFELLFETACKRVLVDKIITMFPNDLENLNLFGIMAPVELKPREIESYKDLG ELCSTIEYSLSHPMTIESKSKPLKLIWIVPKSELQKSFTPQILISTVSLPQTEKTELS VSGPFQIPMETLYNFISLRKSIQSTNGLLETSLDGLSLDHVEHPSYSKVDSEFSLVIY LNYTFGREEIFSYCLNYFGDADEDLDNKGCWWFIGARNGHVQAKPGSKIRLEFRIVPL INGLLKLPSINFGCSNSRPYSYPYFTLSDNSRKFYLGKQVVI cubi_00833 MSKLTTSTVTQGAQTFNQGTSTQTQGSPTPTQRNISKKTMYPKP QLQQSSISGYEQAGSGVSSPYNGSVGSIPVLLQPPSTSVSPSNYYAVPPTQYVLDTSL YSSANPYVAVPATMLSPTVVTTSPQMNATYTSYGLVPSTNLGYTVIGASPTNTSRPSK YSPGCC cubi_00834 MPLKRNIFDDLESSSASMLVVSVGNLKLYSKILKLLPNTFKIAL CVGLRHEPIKDVSCIPKRRVFATLTRYHTESNVEIDQIEHRIQHNFGIPIPFCLDNKA TTVRVAIFLVMNDRSLVPICRSMIRLSSTRDPTTAMRWFPLVKYNGFTGVDTVDNMDD FDIIGEPGKEKLMKRHLVVGSIQILTRRVLQDRIGTPDLHDVLCFSVNCGENNSRNSL AEQYDDSSSEYSFSEKDLSSTEYDEEKENLFNGYYSSKIKGCYLESSIESLDEKIPFP FIRFKDILSKYPTLQKIFHLMPLYNQKINKQILLLQKRNKNAKVVLIGDDFGSKYRSG ISLPIKVPSSIENIMKDSLDWNTWFCKTVDIAIMQSVEAYSKSTLFPSTGKYKAGKCN MNKKSNDNYVGNKISTYYFEYFMKSMESNMDVLLINTIFGAAATEFSRDIIDDVIFRG RNQVRKFTPYKNLFVVWDYIIKRSYQELGMDYEIKTNEEKMGDSVIENKVGEEVVDIV NLSDISDNESHNIKIQEQKFCKLENDFDEFSEIASFEEHIAGEVVDEVPSEIDLNSKK KTVPLYNSLKRKVVDSIERGQITPLPDDHPFSSLRLFENDRVFIHMNTIGRGLLGILV LGDSQTSAEDASKVYTREISAHMAINEAILRTKVKRAIKIYNSISRGKIPPRKFIPNP KAVAGIDDCIYSNLDKSFSNYEIALILLKGIDKLVRSSSDIFHPSVKLTTVSPSLITC VDYSGFRIVVRPLPILPFTICDWPLPIQSNERSEISNIEKCLNITNILHPTKLISEVR HLPILLETSIGIFKYYNFHHTYNIFPKDPWVSDVKGERLNWGNSSTDEYYYNYDMSSR SKLLGRNKYCTIRPLFIKQAGAVQDMLKYKDKDDKMRRFHYTPSDLQELWRREIEPSG FCLVCAADVQQRSYGFFNIKKDRMLFEQVPMKTISKFTETKTKFLIKIDDGTHCSLCG KSMRVKDQTNSKNQLELISYIGGKIPYPTLGHYDEGAAIRMNRVNLMTSYMQSSSEYF SEWSFSEGDIFRRDQIFWERRPGIYCLPRSCIKGGGNLLGTGLDTIKYLLSQAKLLLT AFDDNIRLSTNSDFGREEKLKIVKGADVFDEPKRQSTVKIFFDESNIGQEIILLSNSL HTNWIEIVLNQLESIPAFAPYDSVTLENFIHSRGMNCNLLGRMLNFTRSPWLKQLFSI EIVSRTIKNLVPKLIKTLFLPGGITYIKKESGNLGRYCHCHSSSPSLFMLHTVFKIHV TSIKRRIGDESNMMKNKAKDPRTQLFSSQFLNTDVVTDQEKVIHREMMNEEKTNTNDG TSLMTQSPIHWNEIFKSLNENNWDILNVHGIKINEISKLLIDWASQFFIIDDNILSSE TNKRTYNCKKKDGGKMKSENSDNRRFSDELIHMNKMSLPYWVLECLFSLNHTSLMMLR RHRFHQITILQVMLINLFNLILGDSPNSQLFWSTCISKLCSWEFSIAENSISKNKIPI GALFQSLKYHTGVKLFLDIKKLKENLLDDSTFPIKYDDFDSILPKTKRKSEQYFSESL PIWCILETPEMIKLPRYNSFSVISTPTISQKLIALSIKLSASYFTNIQFFLDYMTHSE QFQGAERHLVRQDWIVQESWLELLGYFSYLEYDKKCIQILNNVINLFPQDHVASVQMR ILQMWSYSRLRNIKIFKNSDINNYNNNFDEITISEKSIPHEISVSTLIFEIIDLHWSV IHPIIIDVYTSTALIHFLRGNWKSCEEILEKAITKSLIISSCFDSNNDIIRHFNKTIS AKRNSNWEFENNLLDSNNSLKNRILLSNIKYVINSKGDDKSMIQNILGTQSQDEGQLE YELYQKFLNSNLHYIPRLLDTIENPNPNKIPRTPPKLRIGWLLKQLGRVRLIYALHVY YYNKNDQGIAWRNDGQSVTDSEESQDDNIGKKEISTLALLDDSAESILELSCFSTQWA LDIFDYYLGATTLEAASCCYDLAYGLILLDTLIKDQMSGVLLKRSCELLIASFDVNSS LLLSSSFVCIENLVQLALVYEKQNFFQYSLKVWGIVLKQLTIKSRTFDDNDYRILNEF SIVSWCTPNKYFNIPLNFNSKDSDPDINQNQVIIKEYISINTDINQEETQENENIQED FTSKSTSKSEMMDFGSFSKSNPNLKPSFNSKYLENEFPNTTTSPIDGFTETNDKEIDQ AQIIISAKSMNKESSQWNLRNVIRLLFYTKERMVYLFMNVCNGNQYHKRLFRLFLLAS YLKRGDKLVNLKDWEPILSLNKDSKYVDQDRLGSSHNEKNASGLLFSVIYEANSWLYE TEETNLAVSSFIENHPTKIERNQLFSGDGYSGPVSILYNKRMVSNEAILGSRISDNNN YYSKDSITSTHENNYKNSGKLISELKGAGFGISRNASGKNINGSKHYNRIQERAMRRS NGGNSFNEMDFCMSGMMIIDGSNDSLGRFSSEKDQTYGDMMRSLGDLEKCKYDFGEEE MNRQIQYLNINVDETKSSTNPRHLVSQIKESVEMTMIENFFIDLITEAEDDIKIIWKS IKEQVKFYKTIKKEELDKIRLINSKIQYEQRISRLSRARINEILNNNGSNEIKHMDLD LEKSTFVKNINESSELSNIADESISISFPIYKGTLIATDTESKNLLSKLMVYSSRKAT FDDEFEQIGNDSKIIYNSLLKKNTVIYDKNLNENSEGKKIDGMKLNLENAFFTENTES DNIKDYTKSSPLSYRSKALDILISLIYYTTDYRDYFSNWKDSKKT cubi_00835 MEPQSIPKVAHDTNNHHFENQSNFCQDLDHDHDHDHDHNIGTKN IETGTDEDNSQLKYENQDLDLINDLRVESRPVLDFDYYSLIEKISKEGVDTCLSLNSN LNTNSNANEIEWKVLKKALREEIVLKSNQMFEKYGGLDQFDYLPYNCRLHSDIENSQR EEVSPLGVIGEYSCSDCGKSILENFLEDILEAFDCHTDFPPTIQRICELLLFPDCYNN TKSFLYALDKLVNVSCPITIEDPDQSETELSCSETISTITGNKRPLTESSNTLFNQNV ETNNTSSKRLRTESDLDI cubi_00836 MAQKEQMFGDIPTARFGHSTTFVGNSKVVLFGGAIGDSGRYTIT ADTYILDFSAGFQWKKITADNPPSARAAHASACVDLMQLVIYGGATGGGSLSSDELYL LDLRKDPHYGWMSVPICGGRTPGRRYGHTMVYSKPNLIVFGGNDGQRTLNDVWFMNVE ESPFVWTQVLFDRDERVPCPRVYHSAALCMEGPAAGMTVIHGGRASDSRCLRDTWGLR QHRDGRWDWIEAPCRKGGPPAQRFQHVVLFVGSKMLALGGRGDDVSKILPSMLYDTEN CEWRDLPGIERFRHSAWSIKSTLFSFAGFDHKTQTHPTVDLLSIDCSAEPNFYNEKER STRKKSLAGVPYNTIGVQPKDQMLPGSGGNTNSSTRSSSINEELGSSTSNEQLTGEEH SPPKTNDISFTDVERAIARANIQLKNDSKKYMPKMEYKTTAGLIGLTPAVTKVSSRVH ITVDSMNNDFSTLVQKISIDQLGEEGRKIDKVAAKHALADSPWMLTPNSMGVPGTGLI EKCLKLFLHPTITPVELSARFNHMAEFSLAWPEVIQLCEMAFSIVKQEDMVLNIRTPV KVYGDIHGQYYDLMRLFHNYKAPISEELEDVFEAKGDIDSTDYLFLGDYVDRGTHSLE TICLLFALKIRYPRQVHLIRGNHEDPIVNSLYGFKDECRRRLREDTDDPESCWNCFNR VFEYLPVGAIIEDRILCIHGGIGGSIHKVEQIKEIQRPLRPSQTPQTDYEQRILDLLW SDPTDNDSLEGIVPNDTRDPDGCGFIVKFGPDRVIQFLCENNLDLIIRAHECVQDGFE RFAGGKLITLFSATDYCGKHQNAGALLFIKRDLTVVPKILLPSASKDSRMILNSSNYW DQDILSQRPPTPPRSATYFDKINSEFNDIPA cubi_00837 MQNIKDEEETNHKIEVETFENRPSKDSSVFGLSNQTPKPPIKRD SFVGTSYLPIQNHYKMGEKVGEGSWGAVYVVEERCSGILRAAKKIPKQFTGEIFRFRQ EMQLLSILDHPNIAKLFESFEDYNSIYLIMELCTGGELFDRLSHVGRFSERVTAHLIK QMLSAISYCHSKGIVHRDLKPENFLFLHSKFNINCKHCLFEQKSSQLFRDEEAALSEY LKHDRFRICRSLPSSMNNSQLQSLISNFKDKTIAPGDDICLGNDVSNHSFFASNSEIG KKESNIGEKHETYHKNNDEEVTQKNIPVPNSEPFGFSNSNENFCQKKSSISNSILNSN SSSIKYPITSHPEKECVCKCNCFELNSPLKLIDFGLAKRITRTGTLRTRVGTLYYIAP EILLGKGYDDKCDIWSIGVMAHILLCGVPPFSGNTDTEIIEKVRRGSVSFSESIWSQI STQAKDFLLQLLNKNPSERISAFQALQHPWLRSWDTPNIPLCGIGMINKIVVWPWHQQ RMKITKSRASSGISSLKIKSSISSPSLYSNHGPLNDILSEEDLNNLSSYDGNTPGNTS SPEAGGFFKTQIINAPSRLESGSGVVSGTGSKVGLSESHGMSTGVQNRNLNRNSLLKR SCSTPLLYSMNNAYPNTDTNPSLANIPNSSIYNSGLVQITDQQIATPRTKATINALLN QEDSILSPPCDPRFHFGCHCGGPIVLNHSSQGNSSINIVEHESHNESKSYSGISIPNS ISSSSSSSSTFTTSYSISRSSISYSNLPIGNHIKQGNLSEDLEHPDENLTDQPILTLN NISIPNIISKVCNKEQLFHCVIYNKTCNAYKPLLKLISSWERFCNYTLLKRVILVSIA LRIGENCEFNRLRDQFNKFDVAADGVVSLAELQCVLCFIFHIERISSDNFKIKQCHSK ATSNDNFLSNSSYSYPPHPPLLKSPNHSSFEDYIDNASEIEDDHFGEIYDERGENSAT ESLEGRIPNSDHIDNFNSMSIDDYLKSLSNQNTFNKEQLQKYLCSFKIDDIIKLNELC NRIESIFGIIDQDQSGSWEYSEFIAASMEPEMYLNNTSALKTVFRNFDKDSDGKVSVQ DILASFGWEDDIILCSETISPQQYEQYLKLGSSQSYEQQRDERFLGVDPNSSVQYCAH IHWTEIFLNECCKPGKNYLDFCDFYDFLRN cubi_00838 MGTKLVSNIKNFIISGRNQNGIKGLIKSSYPNGYRNFGPDLDRM MLPLNKKYVDASKLVDSINEETNNIGSCSVSGGKDNYYISVLKYLVDFIQWDIKKERE NYAKYGMFLFLKLLESYKDHWADYIRVTGFIRNQSEIWLSKDNTTLQYYGKWIILGNM KKSLERNIHFYQEELDVLRDGTHLVCVNYNLGSKFTGLSVNSLPIKMCTLSHKLVEML CKCLLNINTRLDNKKGKEKERLKEHQNGLTKDQSLRINEPCNRDLIEIEIILEIILLG FLIEGNAFEEKSGDLISDSVIPCLASIIDSKIILSYGLSFHNVKYYLNENGPGTSLYN TMNIFRQDNNLFFQGDKASPYELIESLYIKFLYAIETLSIIFSKESQEEKATQQKYSD AISGKVTSEFENNLTSQSSSCNNNGINGNELGLIQRFKVQDRINILPLKFKSLNDKLY SLYYYPYILTSDICLSICRGNSDYTVELITLLTCALRYHTINTTSNLGKDTENFQRLF QNNYPFFIIDELVVSISGGNEEIPRSILYYETPQYCYSLLRCLHRVLCCCPRIVGISR LVSTLINLIDVILPLYDLNGILCSRSKNLDGHTNTGGSCRGITGGSNRGITGGEMGKC ALLMRNVSFQVMFDIMCILSDTSQVFYVIRVLVKVRDWCGSCLLDLIRRCQRLASQSS EMGISQRVNCKANNVQSKNVCVVGGTNIMITGNQKCDKSDQRTRTSVGSLQISNRTGN EANVSFSEGSKLLQSSSSSGSGICNSGVDLSSYLCTGGGLKEFGNEVDLETINPSFWS RSKILEIAGINKKWITGQIGNSGNNYISVNFAGGGVGGGMVNGLNSSSNMSLGVGIAS GLSSDIIVSSKTTQVIFNRSENMGSNNSGNSGSGGALRADVIGGSASSVPSSSSSSAI VMGGGMSGSVNCGGILISKTVPPSSNYCCDYSSEDEDDSYDFDDIETSSDEEPGNMMN FRDGERRREGYRLGRRGVEEEEEEEEDDEEYWEVDQKLLELIRLRPTSSIVNAQPPTA GILRRTVSSDVNINKGTFSKIGSISSFWTKHNSAPPKTLSSMKRVCFAEVAQIY cubi_00839 MFANQEDFWTSDEEEEEEELDEDNELDEIDKIEEEEVEEKEQEN DSDIMEKEEKSKVEVSKKGMIRKKWSIEDEQDVSDFREIIGYEPLESILNDIKVNNTE YSENSENVVLKYPYELDDFQKRAIINIHKGDHVLVAAHTSAGKTAVAEYAIELANKNG RKAIYTSPIKALSSQKYREFLNRFRDYPAHSSFTQRNRIGIITGDVSINPDAQCVIMT TEILRTMLYRNDPCIEQIQTVIFDEVHYINDLERGVVWEEVLILLDPKVQLVLLSATI PNYMEFANWIGRIKQNTVYCIRTLHRPVPLKHYLYIYEKCFQIMDENNKFNINGYKEM LDFIKTSKAKKSISSLKNKVNKLSSSINKESSSSLPQVPDQNQNTLEYDKNQEEDTDI ENEQTQDQDQDQNQDQEQDQDQDQDQDQDQDSKDQSKDPYNQIQDSKDQNKDPYNQSQ DLKDQILDQEVDNNIAMLRISAINKQISSSGKGGGGVKGSGGIISSTTETKFKTEVYR LQVFLKLLEKNEQLPVILFGFSRRKVEQLATSLPNLNFLYNHNEKSNIITFIKESTNK LNEMDQKIPQLLQCKELALRGIGIHHSGMLPIIKEMTEILFTRGLIKVLFATETISMG INCPARSIVFTSIKKYDGRKNRILLSSEYTQMSGRAGRRGIDTFGNVFIFNSSHETIP ECIDIVKMMLNTYLPVQSKFRLTYQMILQLSCRHSLTIEDMMTKSFKEMFRAINLPIF HRNLNRKLKRHQIIVSKLNSIFESFNSNLVISNFTQKHTQDQDQDQDQVQDHPSQKSL LDMINNLISTIQASSSISFHLFQKLSNSSINKNILTQKILNPGRLILFNSFALTGNSL PMFANILEYNPSSTLFSVIVYSPQFTDFEANSQNQAFFSLKNDNNNNNSSDTLEKSNT NYQFYDVVEESIQKYQNSNKNRQINVVQSLIDFDRSSKHGQKNSSSSSSSSSSSSSSF SSSSSSSSSSSSSSSSSSSSSSSSSSTIVKLIHHSNFSSQELFSNTQDLSLSQFNPNF INSGKTNNPLFNNINHYYIFQNVPSELFMFVFDFNAVTSFSSSDQTLLKNINFKDKSI LHNIAVLLKNSLQDFFSNSNCINTSNNLTKTSGDSKTSHNSNPIPKPKNKNKKSMKVN LFEFINHQEQQKQIPQGHSTGYSSSSSSSSCSLENWPKLLPISKTLKSIEIEYFDLLQ KYNDLFSEFVNNNVFKSSYSFIIQENQNQSDNNNNSIIPLIVELNKLDHEISIYKHFI NDESLDDYPEMKLKIQLLIEKGFLNDNLTITTKGRIASELLTSDELTLIEILLNGMLH KLNIHEITAILSCFVFPEKMESFSNSNINNNNGCGGSNNIINSNNNMNNNNNNGNKGI ESRTNNYYPKLNILNDRPSLPSVELLNAHDELINIHTDYEKTHYKHQINLDTEHFWSL CNDKFMLIAYKWSNKESLKDIMEFVHNSEMNLHEGTIVRTILRLDELVRKLIIASKVM GDQILQDKLSLIHENIARDIIFMTSLYFNSN cubi_00840 MKDLDLSLIASKLRKLKNESESKGNLSKELLISMNDAVLLNFLN GYMNEVEEDSGTYSNRKSLLSVKLVNEFLNAAKKRLTISEYRMEKEDPRFLMNELRHE VEECLKITSNFVFRVSKINYYRELFSREFPNILGVLINIAGSSLLDIKLRCQILQATL ELMKNQSEDKISQSIHLRKCLSKGEEDKIIRRLWKSLCQHKKMYFIDLFDQSKEFVFS SSFKQNKYNDNPSILLITLILEIYSNFLHFQRYLTDKILKNISIEILCGNCLDVIFDL SITSNPKIDFLSGIIIRSLLLDSNERQYELINKKIKNSGVLLIYLARIIYEGFIKNVG QTNLDNCILYAQIISILSQYDSEIYEFIKKVFPKKFFKIFDNRWFKKQVLMENYIESE GQLNVLPWFPTSCSWYNIRTNVLANDSPSSSHNFINLKNIKSKIINYNFSGLDNILNQ NLHLLFSSIIQESNTNDIIQICLEKYHHIYNNSKNLINNYFNLLFKDQSSFYDFEYTI RRYPDFTWYIFWDLCQNDYQDQLDLIWDQTVKSEIISVLIKEYNKTIIGGKLSKSSGW NIVHFFPEIPKISKEIQISDSIYFRLLIPTLIKINQVSGLFHLDMDNNKLFRENSSFC LNLQSPKLINIGNLFGYNFYLAFKKLKFQNKRYKIEDNKIKESNDFKEKFGKYFELIK NKVINILSYNQSEIRNENYGELNLYSQKTDEGITIQDLVINNHLNGWEVIEYKFGNSF EKSYNYLNEKLFNKITYSQIPYLECIDNVEKIRALIENLFQKLISETSLILKSYMLYG YMYYIVVFNEYLLGRQIAGHLQYLISLLISGNQSDQFYRSLVIYILHIILSIYDEARQ EFIKVGGFLLINEFLLYYQKSWSACKQLGIYNDENILREIRKDLENKENIDWERYVNI FINREGQISNYKLFDEEIQQELLVDENRLIDNGDINLDLDHKYKDQECKELQVFIIDI PEINDFIKDGMLLKINKKLILGKINLEEMRNMNHKQYMNIWITIMNEDQLRMSIRDYL NLNDQIESIISYIPSEINTDECFNSINCATPNNYQKVAKQIDEDFNSTGKKISMKRKE GLLNRMYDYDLLNYRELLIWLITLNNCIIQSKIWLSRIIKDESFEGIIKIMIKLLLNR NKIQSCNNNSRFELKENYHIYWISKILIQILKADLVLILKMMEHKIIEILIMVIFRFD SHYETSFDYIRDEVIKLFRLCLIALNQMNKDDIFIKDQRINNKENKFRCNSSCNVKEG CIYGDIICLISHIMEDLGVENNIEIEEFRMIQVFGNGIYYASKYIPVSILQILRINDH FDLKLETNNDGIEQIDYFRILEFKKCLGLYNINHGNKGQIEGIRIKWDHSRRINLLIK LSKILYYQAMEIDNNNNDDNNNNNNNNINNNNINNNNINHTKNYHEFVPIISDDYIED FIKAKNQSKLINNDLNIYGYYLNSLSERLREIKKKNFDDQISIIEVSNGNYCENIENW IQISREKYSQELIEFMEFDQDKMTIIFQNHFYNKFNHNYENNNKIKNLFIYKNLWNIL QYHLYIYFKYYLLLETNFNYRDNQNHHLDYILQNIIKLLELQRDLFVMGISFVNDNRI RIINQEFNIKEKQQKLFNQLINVYYQTINNNIEENVIIIFYLDLCKIIGEKYILNNNI DNYCNFRYYQIKKIYNLSLSILNLVGEYNIYCLNKLKLSNDLIFRYEDINIEKEILLK NLIKEEIKDYLELKNMDFMNKNNLILELLLKYDKVIDSSNQDNLMLNYLLYRLYQKIR YNNSNNNNNNNNNNIENAIKDLDIFDLLVKYLINIEKDLDLEKVITIIIIEIIKEYIN CDLNYILRGIRLGLMSKLLNILLRKQSIKINMYIKKQIYEILLLMYRGRNNCINNTKD NIIQEIFESLLTPPICKIIDIENNYQVFLTIINSEIITYQIIWTRGMYNQLLEWTNKD FIIKNQSENMRLIDYRERYYKIVNNDLGDQRYNFLKEDYIMGIYKSLYILGYENKTHI IDFNIIINIGEIFDKVFKDISKIIEFNKEEFFQIQINNNIIHDNLYFLYKLIKNYGFK NCINNELNIIQKIRIMNNIMIKNQQSIIYFLEEMKNDNKEEVIQENITRYIWGILEEI MIYIERGIINHQDKKIENTIKIEINKIVIRMIIYIQENYLLKKQERILIIEDLDEEIK DEKQNNLKMEKVIKILNRLIKMYKYRGLKDFIDSEYEDLSILLVINIMIIIFKYENIL SYDHINNNNNNLLLLLREMINKYVDIMKEEIINCGYNNKNNVKELKLVNIIEMIIYPL SKPISRKLRRLLTQDNQMNGVNRIITQKIALKMIKNLMSKEKSIVEIIMSTNNGFTYN SNNNNNNNNNNNNSNNNNYHHHHHHRINIGNKYNSLYFERLEGEKKIENIDFLEWFIR DHKEIMFEWNDYIKNGIYIKMIQIKNRMNKYLKKYDIKLNYELIKRIIKYENYISMIR EYKQECINFKIGKKINQEIEKRKMNYNQIDDIEQNYKDYILKLILIREINNLTMLLLK DQYKKMMIELILIKKFKDFYNLIMKQSNDINIYNDNYIHLNNNRLIEYIGISNEEFQD NQIMDENYPILNEEKNERMVIMLNKFKQNDKIYRIGNMHPNLRELSLVVSSNNINNKS LLLFKNDLNIDFNNNGISNNNNNNNNNNNNNNDYYYYIYNKRQVLMIIKDMIQILLFN DTNTNTNTNTNIANNTINTTTITTTTVGGEQSNNNMIILSRLVDNILTRLILFTIKSI GNKSNIIHGKMTGYNGINLSIELIGEVIIDIMSYEQTKNIGKCIDKERNSVIGEEEEK KKEEEKEIFENIEWVISKINEIEEKELVDGLLSLFILKMSRKYKIFMYEQIVKVKEEK EKNITNISKKVIKYNSNELVMFESELKQFLKERMKNFRGEEWGEEGEEEEEEEEEEKE EEKEKEKEEEKKEEEKEEKEEEEKEEEKEEEEMVEVGVEVTFEEDLDGDDQGIEIVMG EDQYYDPITKAMMIWEY cubi_00841 MTESTSNNSSCNSSKIADFFVTEFYSRLKKDPSTLYELYHDSGY LTWVGSRSEMMDDSFNSQSVIRAETKERIRSAINLLDLSNCTTYVEVLECSKSINNSL CITAKGRMYIGEGESVGRGFVQNFLLTEIRPRWYFIRNDCLIFMDSELPLRQSLQTTA KIASNESGMAKNNGKKLQIDSALEASKSQKEQSHLIKAHCVDEHNKEAEKQATKPREN DENKDSSGITVGAHANANSHDDPQQKISGSNAVNTTNASKTHANTSVSTSTPTNNNAA TNSTSASLSSPLDQANHADSLNAKNNANINRSSPHKYEVTSYAGKLMVGALKNGNRVK GYAIHVPTEDKNKTASQNSSSKKPSTQKDPKSIKDAKNKRKIFVHSLPTNISDDQIRE AVLNQLKVHGGGYVIDVERARVSGKHWGIIELDSEFSCKTLLNNGLYLGGMEISIERW KQINQPQSQGFGQNSSKFNNKNSSRQTGNNYHHNSGSNPKH cubi_00842 MYATQDQSQLPDVPDFIKNEVKKKAFKTKAGDSLGGHSFTRAAS GLNHYFVVAKYKNKGQLYSWGINTNNVLGQGIENGEFEFPMRVNFFSALEVFQVSCGY SHSAVLVKGIAEASGRVYTMGLGDRGRLGYTRGHTEFGNEDNKNDLNESWYTPVPCIV NFPFNAKISRISCGANHSLALSETGLVFAWGIGQYGCLGTGELNDVYSPVKIEAGPTN KKVLHIAAGARHSLCCNEDGQVFAWGSNSNGRLGTGGSHGMKAVPTQIKSLLPYHITL VAAGESHSGCIDSFGYIYTWGNGGSGKLGHGTQSDCNIPRRIEGISSVPFVQLTFGAF NSMALSQSGEVYIWGAKQHEIILLPTKIDAFDSSICHINSGPYISFAMDVRYNIYMWN NRLLVNAKRSQALNVSEEQLYKRMNSKDPVILPFFQSKAFVDDSIFYMGFNLVDYKGD KLSYESKSEGGLFSVNRMYKSKGSSGLGSLVSSQVPPWSVRQIACGASHTLLLVYGGT IFAWGDNKYGQLGVETRRRKSESGDKNESFLNEPTEIKFSEPIRRIACGSWHSLCCDI KGNCFSWGRGDRGQLGTGVIRNLYEPTGIVTLKNVIDVAGGELYSACIAACITTKSKD FDTIGSGDLWIWGDGDLGKLGLGDHVLKSCIAAPRHLNLGTPIIKVALGTSHTLVLTA SGELITWGAGYYGRLGTSNTKSHSNPIKIRFPIKGVQIIDIAVGSYHSMAVSSVGDLW VWGKGESVLSENDVLLPYIFAKLESPSGVPKIYSIHAFGDVSYAITQSGVLWVWGPEN SDSQNNSDLGYNDKSHTGRPEMILLPGNAIGISGSTTHHVCLLASGEVVSWGETSGGK LGQQNIGNKKYIQDPTLVIQKWNDAKNLLDSIGKGEPTLGLVTEKNKNYTKDEGVTTI EELEEFMGELTSNSVHFSKQAKSFEYVQSLLYKEDPRARKDSISLLEEDLVVLFSSHI DYFKKMKEEEERLRYLIFLAEVQMRRLVNMIQSANSNSFIFIEDNYFKNICGDYFNSF ILKYMSMIEYIFTILRTQPLYIINLMLECTFGEEFISILNCVHGLFPPQIEYVEVFET KNFHGLNDSLIMLEIIGEILCKRELQAAFSTNDVFWPGKSKFLYYLSVVVLRGSDLRS LVQNLLDIYTPTSLVSVIAKFPKEILLVLDSSCLANYLQIDPNDDSLPKHYLNMIKFA EVALMAGIAENINNNIKFPKILERLIYKACKLVNLMEIPSFNPLLDYKQQKDQSIQQP LIRLLLYGIILPVLEQFNAYCTYFYFPLITDMRIMSSIQLLAHSIKIYLAGYLHQTSS SQGFLWINPLTNFTNSITIKLSEMANEQKDVTDLSLTYLSYNSQYSLHSDNYLVVLNL VDIMVLINCIQKYKNFLRLNLMDPVINSVEFFSRRDKLSIPFFPLEFIDMVKRVNLIP NSDGGGVFVSIRISPRWIINNDVRQSKISNYFRVSNITSNIERENSNNPLNSKFYDLD EQNYDEMRDEENTCLPPLDTSMMFCPLTKIGMSQSLLPRFEANIRKSNGLSEFGLFIR YFEIPDKRRVIEKGLLTTPVITARTFFELLETVDEYIEQFKRKENDKKQYEQLSFMLE FKKVVEESMINYQDSIVPLLIWITNDMMERLKHRAYLEHLLEIEEKLREKRKWYKDQL SEWEDVIEKSVINMTLWGYKDKTLIDLLIKYFRNNIDTTMRQMENILYNTMISGYYTG EDDLTMIKNGNYITTDIIKKSITLPLLCFPLNIFIMNNIIKIRSDEKIFNLFESQRKT MMNFFRRTAQMNNWTIFITLTPTLGIDCTITTSRSGYRIQNSFEQRIICKFYITPDQV KKAKFSNFLYTRLYSNGLVEVNLPSFVSYVYSQI cubi_00843 MSNGAPENSESSISNTKKITNLLKEMSLGNLMNTAANVIKPHKF WNTQPVVQNDDDSLDDYSFGPIEIEPDSFRKEIYKLPDGFSWFDCNLWDIESQDFEDT YQLLKNHYVEDDDSQFRFNYSKEFLRWALCVPGQKKNWLVGVRVNETKKMVGFISAIP IKVRIHNTIMSTCVVNFLCVHKKLRSKRLAPVLIKEITRRVRCEKMFQSIYTCGKNIT KPFTIGTYWHRVINVKRLLNTGFIGVPKNMTMSSLIKYHRIPSDKRLTGFRPSVDSDA DNIFKLMESYFKKYKDVSDETMENLVNFDDINHSKELGKQAYIKLDKIQDLENKIVIH QCFSVEDIKHYFTNIDNVIVTYVRENKNKEITDLFSFFIIESTVINNEKFPTIKIAYS YFNVANTCSITELFNEMLITAKDKDCDALNALDLMQNSQVLKDHKFIIGTGRLRYYIF NWKIPQISPSNVGIVLF cubi_00844 MKIEDSVIVVTGGMSGLGKAVVDELAKENPRKVCLMDAALKEDD FEEELMSNCEKYCVDVTDYKCIKNAAKSIMNKYSKIDIVVHCAGITHCPTPIVQKDDN GKIMENNEDIPEIWGKVMNVNVMGTLNIVHCLAPFLAMNKGNSHGFEKGVFVLVSSST ARDGPAQNQGYVASKGAINSLTLPLARELGPLGIRVVTISPGIFDTPMSKHSMSEKIS STLLKSIPLGRFGVPNEFAETVINVGIKSEYISGEIVYLDGAWRPPFITSGSIKRLSI HKSSSNDE cubi_00845 MNNCTGRKCGVFRTFSCSPTSVSLLSSAFISLGSIYSIKSAFSY MLSRLLYSFANYRTTDFMALGKFASILGALVKHVPFVVALCTICNGIICLTGIIYILI GLCSHSYSSATQIIVDNCRLYFSNCENTTINSIMDCNDPSQLVSSPFLQLRCLPGSSE ICKYSQELKSGTNPCTREMLKNYLMSTGDTEIIGELEKETRSLLTNRLSKQDKENDGK VIHQRKLSSTYISTLQGVSNRELLDLYLIPTSPSGQSELISSYGFSDLYAAARVWIAV ACYTYVSTTILFFFVKYFSPQDSCFSFVRKPKELFLLKILNVFTPWQ cubi_00846 MTHYNNENATIASKKGVIYEVWQNNINEAFQMISEIMDDFPYVA IDTEFPGVVVRPTNNYYEYYYQTVRFNVDLLKVIQIGLSFRNKYGQAPTNICSTFQFN FKFDMEYDIYSQESIQFLRHSGIEFDKHLNNGIDFLCFGEHMYGSGLVLNPKVKWISF HGCYDFAYLVKILSSQPLPETETNFIELVKALFPTLYDLKFILKQLSNLSHLSSLQKL SEHLKIQRIGIAHQAGSDALVTCCTFFKLFKLHLNSHVDDTLFNGQIYGFGLSPPTAV K cubi_00847 MNLLESSINEYLGEEFILDPLIDNYYFQSDTTHPTFSVGFEEDN IYSCDNVQTFQEDSDFIEHFDQNNLGLNWDDSYLFDQKRHKELKDFTLELASDPSPNS EFLFKVVEILNSALEDKFKVLDSQVSPFVE cubi_00848 MSGQKVVNNICGFEKKSLDIIKTNLETLLSRKHILDNAVIQECF NKDILGFSLDSVSNLEELKEISSSLLGKDPSSGKKELMLAICLGAGISNIFNISVYSE EGNEIDLDVFHSNENKKLDEDELMNNLLDASKLIMEFLETGREKDIFIKPIFKAERTT LILRDLENDVIEDEIFDLLKKCPHFYSLEAASNDKTAENSTEAVRKLVVDFRKEVHGT WFITLKTEDLTTKVALWLRSQKLRDHNSSPIKVGIKSEHPIASLLSVLSMSKSPQYLS NRMNNLQISGSEVSTGIAPMVATLHASLPNRPPIISGNELGGLNTGNCLIGVEEATAD GLPSHKGVVMGGVSPKMGYMMNISGYSNNKFIQSHPQINGAGLENQQPPQGAVSEPQK AMDSLSSQNHEHMATPVPMMYQYHRGIGRAIEVPNPTNHLNIYGSILGAPNGGVIAPI VVVPGTTMPGTLPASTPSSPIMEINSPNICKTDAPESTEADYPRSNSNSPKRHEQKRR GSRTSEFSDSDARNLSPNNSSNRRNSRETISSVLAASEVDNCVVSPVIDGINSVIRGI PQGATTLIHGITPFYYGVSGLPEVQPVNLQYIGVPHPGSHPPHVFIHGNPQLQYQHQM DIGISGSGQGNPVNFESGPSNPKLDSETNKFNGQHNLPRIPAVFPTGYYQNTQYIGED SFGFIGSHRFPVGNFAFNNAGTLISAGGVGNTSAVPENLVNQGQNFWVSNPANSNNTG NQRFKFNNRKNNGSSGSRAGTKTNQNSKKNNHLKLSGNGGNPENPNFVTKVGSKTGAV SQLLGNDSIPKHAEIDQNELAEACSTNSSKQDPNYKKENPESFPSERHAKDYPFGAGE PTNDSSHAVLNENNQNQSCKKLQENSSELTVNELPGKQQAFGKIFKSFVSSGNKSKGQ RNGKKSSNSNGTSANKKNFEHNEYQSNANNDKSKAFNNAEGNNGPQCLEAKTGVSDHH RRRTSKQMNPAGNVGGDHGSFIQGKYNGYENNHFRVKRTIGNEQSHFNFNNHGKHSGG NLNGTGGSNGHVNQIGSHKSKGQILRSNDQEAKGIGLDNFPSLSDAIAIKK cubi_00849 MGVTEGRLKGETAKKNEKWKQSSLNNFMGLSKRKLVESEDDFDN EEQLRVKELENNIEAEKAQEDKIKELFCRYKLKVDNLKREDKVIEEDVAELKKGLRWG LSYLKLEDYRRGQKDAIEKLVCERKDVVLILPTGGGKSLTYQLPSLLREYQKQVKVIP SLSKGMVTVVVSPLIALIEDQINSLRKWGVKSNGLSSTIIQKSDPSQSINNALEELYA DLEKKIPTNSIIYVTPESFGTEKVLGCLYKLYMNGNLYCFAIDEAHCISEWGHDFRTA YRRLNQIKNIFSDVPILACTATASPKVQRDIKTVLNLRDPYISINSFNRPNIHYTAYN IDTNDYLTDLSLEEIILNGVLYMQEYFKRLDSKETPIGIVYVNSRKSSEHLSQYLSNK GIPSKSYHAGLSLKIRTQIQNEWLENKIHVLVGTIAFGMGVHKPNVRFVIHSSIPDSI DSYYQQSGRAGRDSKFSKAMLFFSKKDITCLKSIKRKSLQYLFMKSQQKAQQAFEKFQ DNLQSIVEFAQCNNSEYQEIDSYYETDYYPENTIDNNNVNRSSINHISGFNSNLKPQD PSNYQIRINKYGQCRRKFLLGYFGEKLSKENYSCSYYMPCCDVCDSKDKKQDKQESFM SFVSSKIHRKNSPSKLFSKDEYINSFRNQPFKQEFSFEYDQIQELDFDCIQSNKAGPS SFSSAFKSAKSFLKVEYKVSKNSFQSALKMNNQLKSKGLSYLERLEALEEQEAKSEEK SSTLSSKFNIIRNSLKKKRN cubi_00850 MEKNNAPNYDKIILNELENVNLNYLGLKEFNQQFLKKSVFEIEV ENVNIKLREIINIKDNIKPVILLIFVNRLVNIAKKSIFLKTGKSLEENIFFPELELLA NLIKEGNIIKDCFEYAGLSGLQDLSNSFIIEILNAIIKIPTLAINSVLALKPSLKNNM DIRILDKYIPMELHDSNYYKYIWLCVLHILNDIEPNNKAAYSALQIIFNRLFLRGYKN ILCSIMIEWPIITDKFVFKDHKTLNSKELYLNRVFDNILNINKGDKNLIRSFVKQLFK EIENSRLEISEGVVILKLGDSDFDKEFNLEDIVNDNFFFLFRSIIDFCVLEEGLSDSK GFLKSEMFLIKKQSFSMGTYLVFLDICVLNIVKKEEFGIYILPQQHFDYLEKIRLEKF ISIEKSGKSKVYLSFLKEITQFLAKQWNSYQESMTYSLTLSVVMVRAISILIYLNLDS LSSLKGMLLEFYMDITEGIQFRLKNIDPVIRSSAMYLGEFYFNLLYELFPSNNEADSG YLINEIPKFDELKLLNPEINNLLACIHNSTKFFVIKIFSNVFETQIRNVTESIKEMTL SQKEKELDENKAKDIVDEDDEFWNKAPSIKVTSKNARRIQSKVSKGQLSVPNTIQIKE EPKNKLVNKSFDLINLYTDEKKSKEIPDKAEKLLNLLKELAEDIEKDNSNYDHLISPL IDKLISLREKDQNILALIILSKLIQYKTDKVAANMIIYSCNMNNGIPMDTRILVLNSL ISACKNMANSQSNTQSTIRPNKKVIINLFDKHSLIWSSHLVKYIMDLIIQSEDHEHFE KIPNIFFISSLELFNQIILNTSSNNTNIEQITYSGLEFVISIDLINNHLFKDLAIRKS IYLLTFNIILKCKYSSYCVALNQISSKILAWLSRAEVFETDPNSIKIIHEIKSFIS cubi_00851 MFEKSQSDSYFHKKISFQRGESIESSYSKENPIHKKNNQSFLSF AYSYLQFTWATKWIYLGSRKPLPAKILPNLPESDSTNYWSNLFESSVEQERLKSLSSF DRKILVIRALWKCLYGQFIMIIVLKVLWEVCLYLNNSIIRGFIQYKEMENSAEISGIK NEKVQALGVGFRHALLLVFFHAAHVYISTQFNFWESRVVLKIQTCLKTSIFKRLIESN SCAKNLLQNGKEIVKSKIQEDDLEIPDDVCLDIDYDFSNQNPKCQNDKIHENEEIIDI NHTGKKKGSEDRHNISTNVFNLLMVDVEDIEEFIMALIHFILLPFRIFVASLLMYRNI GESAIPGVVVMISMIIFMIVLDVISALLKDPLLYWRDRRLAKLHEVLSKVRAIKILGW APFAEEIVLRDRKSEISYLYRIISLSSLSYLFFKLAISGSVVAIMVYYTKGMLNKAGN LSSASLHQEGFDLKASNIIPLIHVINYVAGILRTVPSSLNMLIEGWISLKRFSNHLGY SHIPSNTDNKKLAEDYLSQSNTNICKSDISNESEVERRQKHEETPLISKILTFDGKNM LEVNDITSEKNEVDLDIQVYKPNEDQETADFVLDKSILVYLQDLKYVSESENERSRTM KSDFKLMIPKMVIKKNSCHLIIGNSGSGKSTLLYGILGEVNFKQGLRFIRSQNNTIGF CSEDPWIPIGTVRSVILFERPWNEKRYNRVVEACLLIEDFMQWQEGDLRVLDDGGHIL SGGQRSRLTLARALYGFFSDFDEYNRLDEADLVRNSHYGVYCSHDRSLSRFDTQLFLF DDIFVSLDPNVGRRIFYNLFNRNDGLLTGGNYSTVIVINPTVLLCFLDGKLSQEEINE KFGVEINIWNIKSESGGSNLNTLEQSKYDVLKKIGKERENSFRYSGMSSELSDYKNVI SQQVNDEKPKMKNINFIDERGTTNFKIENRKTSLTKSNNKAASYTSSDFYNEEIVCVQ SESGQRSISFSLYGWYLSKVGIFLFFFIICPLIMLSIILDNSQDFLLVEWTGFTSDGK DLKSDVEFYGSNPGSKNTLESHRNYMYGLIIIFVVGMLTHLLVQAAQILASMGAAKKI HNEMLRGLLSTSQEFFDRNTIGQIINRFCLDMISIDRKIMFKICSFVSIVLEIIIRGG FIVFVFPWLLLMIPPICLFAWLWLFQYYRHTARELFRSNLSAHTPVCNIYTQALMGGS IIRAFRCEDVFLSRNINYIDDLQKVKFMKSGSDQWISVRMQLLMLPFTAGITLVPIVL NYLNISTSYFASFSIIKITAGSWGLALVYAMSYAPLVNDSFNMFTTVEKSMCAVERIS VLLKDLTGSKEKEFNEQLHESKYRDYIKSNNISPQNAFKGLYIHELRVEYGGQSLGIE LKNEYIKYGECIGIIGRTGSGKTTFLNSLLGLTPINTSLLFLDGTWLFNKRMRQNKNE YIGVLPQNSIGFDGWTIRKFLDPFEEIHNDQLIWDGINACGLENTLRSLNSSNPLETV LRNTAGDISERRGSNSNKDCKFTQKHLRQLALARLIIHRFKYKIILVDEPPEELSGNL QNQNSSNSYLSMNSSNEKSLNNTSDSQYLSVEEIVSKFMKHCIVFIVAHNYKSLKSCD RIWVLSGGKKVNECKFSQINNQQMLADFLFSNGKNF cubi_00852 MENSGLEAVAERIRNGKNDGVEFLFLTKPVEGCLISQEILSELL DFDIFCIDWKEFNKDPKYTVGNFEKVNSINFIIQEPLILVLREVFTTLEATMDANLEL KTRIYLPYSSELHVEYAKNVGVLQEEMCIGGKNVIIKKFDFESILNEIRRGFEGLNIS IETVNWISIFYSNASLICDNSSSSVYATCVEDKNVSIPILEEDLERIYNIVMSERKNK TLNNYVMPTLFSKENVKDMTLLKLDNLPVKLRRAYSRQVLQLMAFIQQVTEISFLESE SSLINFLSIGPTSNIISKVMQDSYLEIIRAGSKTQIHPDEPKKNHVTLLIMDRSLDCI SPIYSPILNMNLSEDETFTRFDDGIAEFLDEFILNNTQYKVENEFNVSDRPGKEDGEL SCQEEKTPYLLNNKVGELSNEQELNSSNNFEEGCIHEVTNPVYLSIMLDPEKLQKDLI ISQNYPILSYRFISALEDLQGLISSKERNFSGCLKKDIINRIDSIMNIFPLFGHHSWM FLLLTLKQSQYTRKFWNDVSEVCNNYEEKPNKKKTMVLSIIYRYLVIIQNHISLSSND LNMKSPSTQTSSSSLSPIIYQDQVENEEEIIGKLVSILLVIREKLLPDSITNISKKLE DLSLNPLLYNTYDLPLELSALLQILHYFIIPMFPLDLVSFNSIFVRNLRKKFTNSSFE NISVNDQNDLQIYSLECIRKIISLAISCSSYEGPDCKLTSFNLRQMLDHFHSEKLHSF GDLGRLEQKLNSIRNARSLLKYKSFRNFQLSAFLPENINKKQELQELSLVAQVSFYIL QTIFIEESNVSKNWLKVDLKMESVSSTYKESDLQSLRNRLKETKKTLIINIIGNISMF EINEIERLSKKYQEYVKIIILTDHISSAVSITNSLITNEL cubi_00853 MRRAASRVAGFVSKWATTFIGKYLENVSEDSFELGLNSGKLQLR NVKIKEGFLQQLKLPIRVKYGCIETINISIPYSNILRPGSSSPLVVEIDDVNLSASFM DYSEFDSEKIENLVISERLRFIEHWNIQFMAELAEDEYFSVKGSSSKGGSKAFLSRII SVLIQDVRLKFRRIHIRLCDSTNKELNCGLVLDSLEVRSIPNLSQVLVDQDLVVSKSV GSNTSNKNNNISSNSTGGREVTSELGPDQIHGYEEHLELVKVIFGFKSDCSDEKLFEP FFQKKDSSDFSYRILDLDGLSIYTKSGPAEADLKEPPKELDQVVHPFCCKLLLRQRRD LFNTSKMPIYTVYGVLEEIYVTVSEQIITYCGGILNQIQAFNKQIDLGKVRLERRFLY RPSVPVLGNSKLWWRYAIGCVIRDRKTDISIISGPRRLSRPNYNPSIYMSLQEEFTEA KISMYCKDYTLKFHKYFEEKMTFDSIGPYFDGGLFNDLLLDFSRQFSEYYILRVPFSR FVSLHTSLVHQWVGKRLEIHSFRRMSQNDSQNTGGGSGGWIQWLFKFHKISSVPLNSG DDVFFDAQEIEEEGNSDKVTGSVVEESEYIGDGNYNASVELDGTFMESQTREDLQFFD CVSDQSLENVFENNSESKQDLGSFDRSQGENHFFGDISPAMKHFGFISSETPFMAFRV LLRETKLEVILKDEVPKETGVDQEEYQDGLRMARKNALMGSLRNFGLEFIQSDSRISL LSILQEFTLDYSSPEGERYHIIYEDESLVEDDKMWFTFYYLNKKNSICNVQGSSRRRS SLSVSSDFSIDRSISKRLDASFPESNKTTIKINVEKCYVIFYSNVVSALVSVINKYNK SINKDGVESTNHKSKTRKDSHITGSESGSTDISEKRDLDSQGVSFLQNLGLIDVDIQI ETPILVFCHRRDLKLDSTIYNVISFGKISISNNDSDFLKGYELEESSQDLSSPYNRLE NNSFDFQSFANKGVGGIQVSPYYRYVLSEKSTYWFKCVQSLCYYAKIEEIYLSIYSDS QFGTKKMEHDDQKIRDRIQDTSNGEKRDSSKNEKRIPKLPKGVGMVNSYRLFSIDYID IKLNLLSYKKYNMLDETWMKYIKLFSVLTQLDTFSEDLFEEKSFMNLVLSTNSVCLDS KSIGGGPTGEIQLGDVLKNIYSSIQINSIISNFDPQVYQSIYLNMTQWMDDSCLSEHF SLGKKLTREKEFKMFKLGLNIQLFWFLRLNSLNFDFVSDDNSWMNVGMKDIQLLNFIH DHYRQVNFSISQLSMDYLSKIKYREDDEERGEREAIQQSCEFVDEPQECHKIKKIPLF SLGKSFNFVRFQTSQYHFIEEELSLEQTFDKKDKKLNGSGSNYSKSNQVRVKTEIGSI MIYLRPLILQELLCLFKRTTSPYIGTGIETRSKKDQDLASEIEVKTSETWTRYSVVAK VSSFELVAFQRDTEFFKLVFENTVSVCDHETRREITIKLIRMMAYNTVRGRDICFFRM EKSLDSAIMKMKIISHHRAQDIEDFIHGRLSRMISSSEMLLEARNVTLVLFLDAILHN IYYFSNLVDNIQSFVCYLEDTDRNSSIRSLQSITTEGKGSNSGIYVPLRSMNFRNSRL IIPSGSVDDADPDNMPSVEMLIELFNFNNKCLIGQVSDSSMTHLYIFSMFNVRMKQIL GFKLEKNGLTEQQYGEVKNRITHFGVIPDLNFHLRRYTSRDELISSFDMNCFVESSYN DLEIHLYPEILNLLIKAFSGNLLKYDSFVKQMNILAAGTISDQDFMLSLNEKNKNYKL KSTRKRYLPQLIQHRYIGTRAALRFQEGIQGGSSSLPVEKFDYQKIHPSNSISISFPL IKLNLIRNWDSNHCSADSNIRILHGEEEEDSAHVVSIVRMKGTNLFMSKFPQNQSFIF NLIIGSVNFVDEKNPSFLLSVPDSFHYFNNLDFGTGYDAQKQNRDQGNGGEYEESEAI FEDHHYFTVYTKEAYFEKFLGKYFNTLKLTVEKDMNLKGGDLNLRQDQEQELEQPIEL QYYSLNEDSSDNKDKEKTNTRLSNWKVRLESPIFKTDYDLQTLAGIYNWYNDYSQVIY TSRSCKGEDKNNKIKGLMVNLVEMNLSFKRLNCILISRTGIETKVNMIGTYDLGYLSK IEKVQIEGENKPKKLCESNLNISISNSKLEYKMVNFGSFVIWDNLECQIIHNKLLQRN EIGTKTTKRSLKFISQPVTIQIHYPHILEIYDILMLTQRSLSKHSQVSTNFELYKSLT TGISGSFVGGEGFIEGRGGILRDQEELNVNVIIQTSRLVLLNSIENISGIPLFMVSVQ SNNLIINCKSYVPDHPVSITNSGDFSLWIMNPRHGYFEPVIEGCNFNLTLDNLIQIDG FSPRNKSSSSNKVTKKLNFNLPSALSLNISPWTIRTVLRHYGAWSNGEYLLSSSVKPF RPILVINKSGYDIQILGYPNFESFKNSRNKLNGSGFTCNIVKNGTDVVLDQINYCFQP SNIQIFQINVGRSIQEKEECKEGAVVNFEKLFSTEKVINLDNTGRFAVFIKNLKEQSA DQNHHLKEGTDNVNISESPLFESPYLFYEVEHKDIKNQLGVEKILRILSPLELSNELS LDLQVFLTSGIFSSRVLPNSRIPIPFNLETNNHLCYNKVTKDFKEETGNTAKYNEYYK LKINDILRNIIKENESLNKYKITEKNEKKQIYFVQKGECAGVGFYITIEGIISIFGQG RYQFYKYILKFKPFLTLISTLPLDLEYRFSAFDTRRGDKYNTQIDLNNGNIDNEGISN NSGILEYLKPKSLYLPCSLFDNNPQVKLEIGVQSRKDPKYENVEANENFTVIWSCLID LENDIKLGFWKTQNSVVDLNLGDHKQGTLQILGEIVPGKSNVSMFSLYNLEKNPKGGY PLTWVIHSKYWFNSVGSELNLSHILLSDFAPPDPVSNFMRTSNAWLKSEQNLFNQYGD LIRHSVIPLVKREGSIHIEGAFDKVKSRRLVFMVLINGKQHRFDFTKPQSNTEKGVIC NLDILQDKYGKVGYNFLYRDVSLEIQLHGNIARVLIGHLLLFPQRMVVNKSKITLEMQ SHSSFSLKPGSSIPFIPYFPEMSRLSYKSLSDIRQNGLNFRNNQSISRMISIKNGSVK YPNFVLNKNRSWKILLKLPDNQAMTENGGYKYMDNIQGLSYLLVRSIFDDKLGFEMVL MTSRNTYNQELYTHNISSVFIYNCSNRDLHISQRNDDGKNNILLSGGAIKQVFHSKSL SEFIWEDYFKPRDLLIHNDHISNNYTNLSGNINKKAKYISIGNYSNKRRQEKNHIFEV YDFGSNEKKDWIIYKVSKISSKGNNFVLGLFSYENFMDWHNNKGLTRRALSLLQPSRP ASISSPSFRSGNNYGSPESVVSSSLTRFSSFNRGYSNSEQYIFDIFCSQVLVSVMWEN PSRNTPQELFLIVLDNLICRYQFFTHLSAPQDLQISVANIQIDLQYKETLYPVLFQRL PSSSSRTLNTLEEKFLASNKTDFASFSFSRNQTNFLDSSRQYQPLVSSSSSNSERTVL RMLVIASNFCWDCVKYSISKVKESESIEQDLDEENAREFEIYSNKKSSRILRSQNLSE NFFLEISDYLKSLNISNIKLPSELISQGFFKNQRNKSGDSLQFITVNKLLIRLLGINI CFDTHFIYILTMFIDQIKKISNSMADSINNKRSKGSISVYDYDKNVNLNEEASPGCEN IAFTEGDGGAINSNDGGDDNSNGLNDDENDDEDDEYQFIDKKDIIKQFPLKQSLLDRV FVKNFKIFPMVIHLTFNLNLLKSSISSELSSSPSSSSCSSSCSSSSSSSQKPVNNKEI SSINGNNNILRNGNIIKNLNFGKVLEEFARTFGLVFSSLIRSITTIEDAPLWMNQFES RESESCSKLIQKIRKHYEHELYSQLYVIATSLGSVGNPVNSFTNIGAGIGDLFYEPIY AVTSGRNDNNNNGGNVVTGVKKGIESFMNHSVFGTFQAISKVAGTASQIAGALTMDEK YMEERRRFVHGQQPKDLMDGISIGAQAFGKSVTDGLSSLVGEVLDGATTGNPNSLAIG ITKGLVAAVVKPITGVLDFTQKTAQGIQKASTVDRVGNTNRTRLPRVFYTKSNILAPF SREHAFLHDLVTCIGGPDHILNQRISSARDVSNDIQLGQLFSGVLYMTICGDGSKIAV VTNESLLVLQMNNGILNSESESDNVSIEHSIKLHNIQYVVMGNLSKSNFKKINVCGSV FSKQDFNSEILNQNSIKGHGYAFNIEEIYPMPCNKKEEAGLKATLFNDKMMSEIVIQM QRQNECISPSPRARNSQRRLPSSTLSSSSSSDLRKQEFPDYFQVKEAETPSMVIITRP STPLPHYRWIECSNRSNLEKLKNVICSLI cubi_00854 MRLINELESCLIVLLSLIVISGGFGREVSSIRDGDHANCPEGWI SNNERTRCFKLVNFDRPRTFSHGFKACNDLGGTEVVTRRRNGSKEMNEYPVTLASVYK LSDEEAIRRTLMEQGDVQKTANGCFVGLRKYAFFRYPPGWYWIDSGSPVSARDSEINW TSSYNASWLLRFKFPFEKCGFFDIGGLGRRPCWLIDSELSCAICGTVAYDSGGLNPNK YSELIKQTDEIISREPKLDLPGYNNLNCTDVWENDLSFGLCQNVSNILDSAWSSYRNS LNSPSINFDWKDEPNFEGDLARHSSYLDLPKYKQKKTFYWDQDPDLVPALSDEMKLIG LSAQPTYHPLDRSSSVGFEPADSESEVGNKEGDDFTKEMIYKQSSDSIEGILVPVTNS ISSDYALVERSSGSEEVHPSGVSATFPMGIIMPTTATSYTNTFTKNTTTNIPTSTAAT TNTTTTNTSTTTTTTTTSTTTTTTTTTTTTTTTTTTTNTTTTTTTSTTTTTTTTSTTT STVTSTSRVITTTLKSGFYSTNKLLYTPKMENVRTSAYVKRNSNVSTNNSTVTKDSGM LYMNSDKEGENSLLGFGAGEYVSSKKDELGEDPDLSYLSGAGRVKLEKSVESRVDVIE RAVNKKLIVGVVIGILLILLLLAFCCVFFLRRRSDESESPRHIDNSNKELNENKTKSL QLASIKPILGRKGSKIVHMNQKSISYDSNVAPPEKKSIVSVEDTAFSPEYMNSGECVD GVKTVPYYVAQSQANTLQLHSGKKINFGYDTRAQSQKQVSIKSPKYHDFFYSEPTR cubi_00855 MSKTNLDEYELIDGSFSTGCSQEIEFIAQSLVDLVEASPELLKM DGKVDLEVEGRIGTLINETTKEKVMLPVEGMSLLPPSEKEFSYRFSPGVEKWQMTSVL EELRKDFDESKVPYLIKNRNTSDYYIHNPNNSEGEDRSLIRLSYISPKNQKDKPVEAI WKEKIAVFDFCSPGQSGDLETKYNIENGTLLRDFRIAINLEHKENPVEIANGVTGPIE NSCVLERRRERETIEVSNFVLDSTHVVQIESRRGYRQCETYELELELKPSHFIPLLKS YIEDINSGKLRIIGTHPFIKLLTNFVNLVFGITLFLNNKKSNEQMESVSAQNTGGNEN QIMDLTSCGQSNEMVQAFKKYVSPITPILGDYLYRAVASEKLKLNRPQDILCKDDVDI SLGIKPLPPDNKEL cubi_00856 MQEDHSLEELRSAVKSELEKKGILSEIRARLMAEILYSLTEEVR KNVSKKLGEFILFKYYFQNDENKDNLNNISDENLILNSLIYDYLVYNGYQSTGKVLLK ESKMDSESKEGKSEYTNEKQILSTEELKSILRVEDNSDLPILYSLIK cubi_00857 MGNFLASTKITNDLSSKSVYSYTLRTLEGNPFPMENLKGKVVMV TNVASKCGYTKSYYKQMVRIYSVFAPLGLEIIGLPTREFMGQEFASPQEIRKFADSQN VKFPLMEICKVNGPDSLEFVQKLKRETPELYNEKSNTLSPIKWNFSRFLIDQNGKVVA FRGTRTEPTELIPKIAELLGVPNYEELLQKYDQLTPDSGQDSQDDVCPLKHK cubi_00858 MESPNDSFKYSSKAFATMTAGCIGSGVVFLTYGMKMAGLAVGIS TLIICALVSVVTHSIYVVGSLQLGAVDLSTLLMRVSAYKSIRSFLVRRDAKKESLEDV ERLQKGQKMILTASEVEEMKSNMKFHFKSISIICFLSMAYAVPVYFILLRSFTQDLVT HAIFSLPQFKFLEYLKDEYILSAIYFLVVLPFATKSKVSELDFLGWFSVVSFFTLASV IVIRSVILPYSGPMPPVTGEVHIWPVHGPLSAFKMFTFATYAFLCHCMVVPAVLNVSN CTSKRCIKVISASFTFLLVFSLALTISAYMTFGEATKDNITLNFSPCDTFMGFSRILS CISLCVIIPLFTVSICNFIVNDIGLINYMSELAVEDVFQVIFDEYDDKKESRTLKLTY VASKPNIVLDELVSLLAKNKARAIESDNQEDFSTEATLRSTKKKEKSNIWRFVLSTAI LLISIFLSHVAKSCTQYVELFCGYIDAFTASIYPLFVYSIIWGRRKSLFTNFLVVLSC YISAIGPILSSIVTTYELFTGIQT cubi_00859 MKKTGSFSQFGFFVFILIAICLGISECYHKEKSLEDSQEFLEEP RFETEQSDVYKEDLERMRDLDEIIKYMVNDILFPIEGIVKGYCLYRSVSDELKNDLSL FKKESIVVATILLSGKWKNPVGFISRCRESLRMVSNGMKASSFKHSDESWSSIVSNRK TIKASRIKICFKAKICSRMMKKHDGIENLRRFLVSRTQYGLIYPDQNSEIVVWNTIRE FKDILSVGILKGSMKNHIRVIVMFNYMNKILNQIGKQPVSLLACIALINAMVRERSLG EWLSFSRSKTSRNRQYESGIDPVTNLRYDTSLNIRENISNFQIRTCNHVIWTSGILLS ERQVQVIGENSSQVYTLRKRVSWMCNKMFTM cubi_00860 MKGVLYLILIQLWFLIGKVFISANKVLNSYEYWEEQVNSVSINS QADNINTHVKKNADSYIRENLDHLRSELPENHKTAFNNIKTKPKHNFKTINFKENELS ETDVESNKATNNCECIITNNSCWTKSACIGVASTISVSSLFIFIAIMWLVQAFSTYAA KEEHIIFKNKLKNNENTK cubi_00861 MGHSKNKKGNSSSGSEFGKESERFISFLPNSVTTVLAICSFIAA SISSVYFNNNVFKKEIKFPVFVSCAQQLIGMIIMSIFGLIRVAISKSGSSNGKDGVEG QANNSTVCSWKKVMYAIPVAFFFSFNISFNNICLTHGKVSTYAMAKSTTLLWSLCLQF LILGIRVKLTSLISCAIIISGVLIGAFDPKSLVLVSLVYGCISSFSQSCYNITLKWVL PKIGNDSAGLLKYVQMWSILFFFIPMFGTGEVVPAFTTSGCFDFSNLNKMVYLWGLIT TSALLAIGVNQSTYVVIGLTTPATFNVCGLVKQALQTIGGIFYLKETLPTQTIIAVCL TFCGSASYTAFNHFGSKPSMSPSEMKVVEEKYKEVARQSVGTASDVFENAQDDILKRS GSKTKNETTTPFTSKNLEAIPLKDDIEEGLPTGNNENKN cubi_00862 MSKESEISEISFMGLPRLASASIAIAVYVVCSIGCVYENKWLLD HVGNCQGFIPLVQQLIGAVFVRAMVYITGIFGIDSDPQNCSSEKNSQNEQQNGSLLNR LWNRYKYIWPASFCFSSTIVLNNACLSVAKLSTYSVAKSTTLIWNVLFQFVLLRIRLP LSTILSCCLIIAGVTVGSLDTSTLAPMAVIAGCSSSIFQALYNTCIARALPKTNNDTS EVLVRNQELSSIFLVVYILVSKELVNLFMFSPCFNLSSPMFLSCWSVFFLTTALAAGL NKFTFMVIGLTEPSTFSVIGFAKAALQTAGGWFIYKDPASVKSVLSVILTLSGSLIYG ITRGSKKTETKKEQVEEIRRLTRCSAASVGDIDVAPSPEGDAKTSKPTSNDEKQKLIA NKV cubi_00863 MAGDFGNKSNSQEEVRYCFGLPNSVAAPIAMCAFIASSIGCVYY NKMCYQKDLPFPVFMSWAQQLIGMAIMSTFLTVRGILSGNEEMSASIPLSKRLYRYKY AVFVAFCFCMNISLNNMCLKDAKVSMYAVAKSTTVCYSLLLQYFLLGIKAKLSSVLSC FVIIGGVIVGISDKEFGLEPRSFFMGTLSSFFQSLYSVAVKYTVPHCDNSTTELLLHV QELSCIILLILSVVWGETSKVLSSNVFAFVSAPLSAIRLWVLMAGSAILAICLNQCTY LVVSLTTPATYNVTGLVKQALQTAGGFIFWGDKPKPAPVAGACLTLTGSAIYTLTKLW DGTPKNTKQEETIKEQARLSVGTGSDAFENPLTENGNQNEKQPLIAEDKCEKGQA cubi_00864 MKLFRYRFLTFVFILTIVRCQPKIPEYFNDGPFPSLNIPTSNLA NSTMFVADILDGALSPFSYLKLAGISACGKLQTGTPLPSICNIDFELKCNNPSEGGLS IRGNPVMTHHGVIDVTGGSFELNNGKSKVKGWGYGVFPYLGESSYFELTLVPVLNKKG EYVFEIYGVGYEKAYMVSEPIGINCPFSIGEVIFKNVHWAQTYIVGVNWIRNQLPFPT IGPSTKNKLVEIEVPFTGGSSWKLGGMFLSSLHVPVNPSIPIGNTDMRVILSCPSNDN NFLISLNSTGDITVSQLYTNDTNIQLLNQFKPSNYIFTGKYPHIMYNGLIVELVVARS LYAESGVIYLNDLVIPRFFECGHSKITVSVKHDTWAPLYTSNFTSNCYEYGSSFSGVN LFSIPTFLSPGQCQNSCIQTSDCEFWTYNNKDNVCNGYSSEKYSFKRDSSTHSMITGS VSCPCYSHNVNLITPLLSGKDKVKVSNKVVNSPAECQALVIENNGLGSYFVYDKEKKE CYKQGVVKSDTVSIIDTNTVVGPSVCYGYIYSNGVSRFTDKLELIESITISANSTDHH GEFCRSLCSLEEKCQIFELSSSYECMLFSIKDKQGLRTLFDIIENSWPSSGVILMGIS TPLIVSYPTRNREHIIHAQVGSLSLDIVRTSDASSSTLTIKYLLILLAVSLFLTL cubi_00865 MNGSKNRPSFLVKILLFFLTFEYLFFCSEAYGSELSQSLTGITG YDGSIQSINDLEEKTSNPELKDDSIIFGQDASLSPDSKTLGELSEIKTKPLDDSLNLL PDSSGVERSISKSSLSPRKPQLSPTIEQSPSKKSRSRSKENFSSFKESSKSLSKSKTQ ERTKSLPKKATPKDNKYHSPTSLFSESSPSEKSRSRSPSRSKSSSGYGKRSISSPKIL ISKTEKSSSKSKKRSEQSPISEYASLKEQEASSSPIMENMIPLTAPDMSPSPKSSYNP PTPSETSTSILEASSSPSSLLDGSLSQEISVSSPESTSPLSEELSVKDNSMSVSQMPS RKSSGVSESSSPRPYTPVDEGTEFSTLLDGSLSSNEPVDTSQLPLDVASESNIGSNYM TLLSSLYGTQALSGVPLNFSEELFRVEILVSEAFYNTELSISNADITLFTKRVILESS SIDKNTSFGAKMDPNSFEKIPSFSKVTFNFLECVYQLDNILFHTRSFIKPVSMSHILK GCDNIRSSLNIQKSIMENNKIFSKALQYLILRIYNISNDEIISIVNQRSSIPTILDQN AFKSLQNSLIEDFTSNSGSLPLPFEFWRTIFVQQLHAFEFYSRDLINTFLADNFGLMD ILLDAMYSDPIKMCPYITAVLFFKVNPFKIEDARINSAKIQTFCLIYLKNIGLNESVT LKKLNMPTINRNSRLDQVAKQLAPGFEILPYFHPDFPKNGKDLTWETCYSIYSSVSMM LNSLKVDLVFPQISPLCTKITKESYSPEFILGMFSRILDIPSTIQNDLLEIQDVNQII SSLSLKLKIPETYLREFYTDYIQGNPIKYLNTIYTIFTNSIKFYSGIDSNQESKGKPK TNQNFDQLYLTRNVSDNTQMFQRLNYWSTIHLYFLSFTMRIPNSSEILSGIYSFTPSN CESLLFSFFRRVSYSTRSSIVDSLTLCITMYSVLYKNHISPVLVKNFSMKDLRDALNY KIGTWAPTQNDWMRSIYMVFENKLEIIPNISNIQTLSFTVNEDFFNCFYSVNKYLHGM IVVTRHKDSITSRIKNRVLNERTLGFSLCSSMSIGAHSTKEEAIELRIISTVIESIRQ FGFKINVESIKEFISYHKQTNRSAFPSGNTILEHSISKFPKNLGTVIKKAFQSNLYSS NSLPYFIQAEELILRSLQSRGCSIQNDEVSYNEQSFELSRDTSVSIGKKNVSYYNIIN SCLSWKTFGYFNLISCYSALVNAGTCETKDTTLEVLVEIAEKLEWPKELILLTLSQDF SFSEFQIFQKIKQSVNPEEFEKYTEITKDLITYVEERINDFSWSEKSLSNPESMSPML GESSLEASRSQVQEINYSFAFGLNKDSIGDYLSLWKYRKEAVLKQIQSFPVNPSLILD QDEISSILVDPSSQSIKKFINLKLTPFVTQLKEFCYKEPHGAFLCEINPQLGLPPLKV AGNGSNTVSISISVTNPPKELEQDHIVEIMVATPLNSSTNWQVLKPQSLLFLSVLMGP KWNKELKTYTRLSTKYFPYSGKYIRLTPPVFKIKISRTEPYETSELLDSSISPSTSRS ITKSSKTLVLPGVWHENLGNISIQKLLEFSKFVPNNSNLLINIVWTLASALNSLWIGG VELCYIDLRSIYVYTGSQSQQNLNIGRILDEFLEFGPDEENVHSLTNFVLKTLSPPLI RFGELGRSKYNLEVDSNESSHSTKSECNDKKETVKIFEEILSSTELSGKTKGQSLETI CQEISKIDPTKLDCSSLAWVDEQNSRIPNDLEKWPITDVIMVSPALKEEEVKIPETVV PDAVFLDGSKYPEKSKSPTDLSPYSIPASIPVSISNSEPSLKEKYSPKEPEKDVSPKE SQKKELSPKESQKKEVSPESPLSEESEFEKTSSSEIFDQRSVSQGESSVLPVNPTLVA PFPIEKPYRPEDLNEFTLVKVPKGKLMDLPIFPKIPGVLSEQKKFEIKELLTALKGVT EKLVSKFTSWSASILGKHSSTVPDLNFKPSFIFIPPTPNNRADSPKILEKNFYIYESV FLFAREELQRMELPIQQMREEDLVEVFISRAGLSYINNNKVPPSVVKSQTSSFPEIKP TITPVSQVPSSIVMNNGQEISRLISGIEKSKGYNGSTGVQKSSELSNSLENRSDLSLE SGLSSEENLSSQREKSLSSETRSNVKRRKKMSKKLNSDSQGLTETKSKEESEKGEEKT SSKPEYGYGVDSSIIGGVEKTSSFIGQGYGSELSDTGEKFSSKSESALESEETTESSN SISRSETET cubi_00866 MMEKPFTCENQTKSGFSSTTLLFFYVISAPIVGAPYLLPSAVKE LLMNNDAYYWLDPDLKLTTMNSLCSLGTSSLLLSGVLGAYFVETFNNRNTAILSTAFH IMGWIFCFFLDKTWFYFPYLGICLWGISTQLLTLAKTSISVFYDSKKHLVVAMVGGSV GMSFAYMQIMVNIVRYISSKGLDLSYFGINPTQFVIIINCFLSILWMIAFHFIIPPQP FVTLTVDYCTRVSNSRSQIMNSLTGLDYEERLSLVNTQMIVEVNRCKEDIPYQWTLTL KEQLFSAPTVLFTIIYSLMWFVRLYFTVNMKPILLNQTGGNLEYSESIINIFGLLLGT TFIAAILVGIFVDLLGIYMFLVLFVASSLLILVLFANFIPYCFLSHLIGIVICIFCYS YFIGCSFSLFTSAFGYTHLNSIQGVSSTIAGLFTLIYNIWDSHIQRNYKGNFLFPTII AIIINSFILILSIFLLMYTKRWNSHNSSYFFSINRKTSLIK cubi_00867 MANSELDKRRVSGRRASLESGRVDSLEKSSNESNPGVFKEMFGT RENPTVIPFNSIIKNPKIYFPVIAITATLTGGHILNPDASRELFFKSGAFSFAPEMLE SGEAFFTINNVMSVANGSVFIGHMIAGSIIDRFGLLPCALLGHFLSAVGYTLMFLFHF SSYAYYFAGVCFGLSLSCTFASRSRFMQMFPKVKNTVGMLLTIGMDFALLLPLLQDRL SDFVGGPRVVIIAMVIMQLAIFIHHAFIFPSGKLPERMSYQNPNISNDLEDESTDLIQ KDADESQQDGIQGYRELSCMRKILSLPFVTFFMYMLFFALSRIHYQLFFRSTCQINIS DQISAKKAADIGNIIYSLASYLSLAWGYIVDHNGLIITMQYQISLLIGAYFCAGFKSV GNIIPQILSSVFAGLYTCFANSLTYSFVAGVFGYDNFGVVQGLASLSAFISLMSINCW QSFLENTLRRDYNLANKLVMYVGIAIFVILFALRMIYVRKSK cubi_00868 MNFIKLSNSVFLLIIWIYLTGGFTIIEAADSKTGNKSSLRKRSG LTPQGTSKVSSDSSKKDSNSAGETVVNSVEELKGINPMKAHSISRVVELCNGQQLLKG ENRKKTFFLKCESDKNHKIVSVPQINYTTRKAIEGTSEKTVLVNWKLNLEGANSALVY GLLFVTSGLQHSIMENCLVLPRNLVLKGDPKNPVLAQRLYDCSIGNQIQPITSFHVLA KVDTYFNLRPLRLYNELERAMRISQMNYIYKANSKTPEVRVDLHPKYYVIHDKNGNEL FNDILFIEKLEAIPYIKVIVVLKDENLLHDLLIGKEFHEQKAQLGRWYRRLLRGIWLP FYTAAMFMFQNGNKVHCDLHTRNIMISIDPNFGTEKWKTANTMFELAAVTPTSMRVID VGNAISMNSPEIQMENDPCKKYERRPYEDISLLDFRVLDPLTAPAKAPSFLPEEDKIY GDDAKVDDINNARSLIKYYNMKLNKLKTSIRNIYQWESCRVKRVNKKTRRLHPEVDKY PCRFIDQKEALLEACKILKRYTAEQFMGVPSCNLTSRRK cubi_00869 MKEILKLKILYLFLVFVFFIKTTGNEFSGFPTFESSENSVESSG NLEMLNFGQQQSLEDTTFDTQSQVLPETFEDPLEPSTIGSVITENNHLTGKETKFGPL QYKNYRYEETSSSDPSWSPKKGKRSQDLFSIQKILSKYSPEEISLLNQYFISFSLLLR KGLKKFIFKKYSPSHIRSTFAKRYVERFGGSKTKALLKLREFEKMLSLKHSAARRLFN SQFQLLVSTLNSAESLIHLSEKWRHQPTYVSEPILVVNRASYKKLMSLRKKSKKVNIV LVSASPELIPKKYRKSDLNESYHELPKLSKTIHSFQDSISSQKAGSPSDLGKTHCKWN SSKKKRTKSIKKRLLCFFKSKKQSFLHIHYYDISNTTKFKYLMQILVRHKPNPYTQNA HPLIIIPALIGIPQIDKYGSILGLNSITEVTKKKKRPAKSSVSRKKKRSKKKKSMLGK cubi_00870 MIKSKYWYWILISVFLITQVVLGKDEKFDRLAVSYVTGIDETFY PFIPQLFLMMGRQKVITNFFNSFENIIQKLPGTANLWMFENIKEEVNQLRDWMEAKTL NLGDKYNIGLIGENRFESFQDFQKDLVEYYTEFGLEKAFKIHNEIEIIGKKIMNYQFR NDTKILDEVENRWNHLLNGLDLSKNNGNKTSYELRRKINQTIMNHFVIVDTPKKMEFN MDSYNSDFSNKFWDGHLNNYKLVTLFHEYSIQQLNMIYKQKLIWLRIHLTIKIIDLDG FLNKVYKLASNTMVHSISLTSLQYLYQIKDRIDFFLLNESYLDQLLEIYDNLSIAINR WMRETLILDDLQYLYTHLMIEGLDIRTLATNLFDRIKHYGEIELIVDKNIYRKRSKVA RKKSGFLSYFSTGYDISKKLENNMSSLKDKHEAEEVEKLKEIEKIVKEESKDSQVFKH MIELRVNSELEEEVLKNYNLYEDHIEFYLSLDRVESRYRKFVRQSTVFLSELESTSMD CQTLYFSASQAGNMIYEIVANEILKTSNRSGKVNMNSSKNMKSLIKDLESVKESIDTI KETEEDSDATDILGESISDEDFIYVPGTVGNFKKSQSTSGYLIIRISVIVGLLVLAII LYIYKEKILVKKVNSEENKIYERYCSRVSLDEVEFNSSLSSENKKKMKRNKYYKVDSK SGNIILKQQK cubi_00871 MDVIEAGDVVEKPSPDVFRSSRQFLDSEVKLIEQIKHNFLDTVG CMEFRKFSRKHQGVFRDVARLWTLVLLVLCGFFSNLLFFSSCPNLGYIATHGLFYKGY NLIILCVEVGMIVITSFWLLITQFQTGVVYSFRLSVLYTAITFLLFILRTTVSDPEGS IFLQKCDHVLSNGELVVYNVIPFVYISLQFCISLVSFFYSKLRPLIAVYYFKHPWFLK SWKFVNIEPVELKLLSFGKKFDGSKNMSPKDTSSKYCEGNESEEKLKSESINDYSSDT KMFWKRDSDNQNVRLASGYFIEIKRFSVRKLFKKLNPIRLDLLLTFRTRKDRARRPNS LKNQGCSITSWYLGEINEDGRPHGFGRWREDDYYGEILVGFWKNGHPIGPFKTRECRS GSGFICLKLGFCRTEANLNSNLYGYADIECSVSGQFFRGFPLCHIYPAPSLGINRSGS IFARLGAKGKAALDDTTQKGKKMVGAVFNSTWIKGMKKKDKEKETSQEENNLNCKNMV NLNVANIEENSEKIESNDLNSLSIDIPSGSEQNIKEEQTKLSGMFKSEINLGFQKRGV HMIRKVRQKMRRNQSKQEKERDISLLWVFQNLSPHIPMFTIHPKNEVTILVDAERGLY IAGYFPVSEVLRYTKQTGARLALSDEYQDNFLENSLKSTILSPNGAVSGLIPFSSSFS SSSIVRASQYPLNRSQDNRYLESVEVRVVDRYRRDSIYSKEELDEEIRDNTVYYPELE VKNWIHSDGLHAAEVLIFIHGYNNSIMDALRQVGQMIAFGNFPSYIKPMVFSWPSGNS FLQYFKARKNAESPHTHDSLYQLILGLKNRGIRHIHIMTHSMGTRLFIQSFPKLLLEN LLERCEQHDHKSGIDPGNYLGIRHSETQFVDGINPIINEKVQIVSMTFLNPDYYLDDF INKAFPMLRQYCNLITMYGDSQDGALKWSEIIQGRKALGCNVFGLHYGLAESFSKTMK SQINDLDQYRGSDVELTNKLQKTVSSPKIAAYGDGIDISSNQKVGGVGTEPGFGSSQD EENRQQSQNTLERPRSRNENALSLIYPSKVLINSFNASHSPKQCSPRASSSGILDTSS LYANRHTPEQRLNYLDMDVIDQSFIEQNVGTMRHNNWNLNREVIEDLRELVVSRKRAY QRSTRLDKREGNVWVYRIAPSCVTSIFD cubi_00872 MKILFLAFLTAFLGGGWDGGGLLKVGSLKAPGGRYQEKERIPSR LPSREEHPTFERYLYSYPKDYGIYFFNKINDSGYWNRIQGEKKQIPMESWQWDNISNN WVWGPLRNQDGTPLFPLSPELEAPRAYVGPTRIYGPIQVDDLPIPRVLDILCKPYESL IGIRAWFPNPESRGKKDLRGIQFLCSSPLDIRGRGRSSSSTWSKIMGNVGPNLKKYTV LTRPEDPFVKIRVFVETLNPVKHPSQKELVIPTYQGPRLVYIDNQEDELEKGPIYLIR RMTLRTKNNHLSYLGKTGGNLPSVVEEAPDGHELSGFRVLISGYPRGKWRGTDFATLG YRNSMSLGFLFSKTEDILSRPQIIYGRRGYDFQDIKCDGKITGLKAFFMGNRGLVGIK VECDRVWQEVVLGITEGTDYKALVGSMISAVTLHLSPEKFIQSLVIFSTTGRQQKLGD DWVTPTIKSSRSEGGGRRKILQGIFAELDKTGAISGIGFHWKKPPRAGEAGDREDYGN LEVSESPSLEGRDSPSLGDSSSKKELVPRSSFYGRVAKDCPIQETRCPTGVPLTGLRL IMRKGEARPKPFKMYLVSLAIQCGDEFFKPIGNPNLIEREKKRTYDILVHEEDPVVDF RVYLGDLGLPHLFDIRFKHDVVSSYELYGFRAAMMIKSDRIGAIAPLYRVATPIDQYP ITAKDISIRLFGAVRPESDPDVVVLETSCPTGIPITAVRMWFVRKNEGDAVFSRLIGL RIRCGPKWRRTKLGSSMGDRFEAEVESGDFFSRSFVENQSEKPFYVSGVMLVTIGNRK SSFGETGSEIMKKLASGDVETEIYGFIGQETPEGLVSIGFLERRANENEYPVFDDPEI APYHVSASDIQGESGDSTDEEERLSNRGVVNFRPGEEWFGRRNLAGSHVEETFCAPGS RLTGLLVYSSTKIPHPIIGLTLICDGQPLNPVGSTLGDVARYEIPLNEPIEKVEVVLN SEDRSLSRLKLFNRNHMKKKMGKLHDRYLSGFDLEIRKGIITALSAIYTNFGDSGPPP KVRTLPGSKQAGAGTAPLTDRNTPWGHANADGDVSTGDEYSCEEGTRLTQITMAYKKD PVEQKQELIGLRIACDDQEYEEVGKWHVSNDNSILIEEVFGLRDKEFVIAVGGTRIDK THSIGKLYFETNLLRQFGEWQHYYVEAKTRGDGLYGFELLFEKTGDYTTSIAMLLPLF RPTSISTKSKDSEDKKYSSPFELPGGKAETSEEMNDREVRGMMSSPTITTDWIGLDVG NLEMDGIWCKTSRLKLDGRMTWDDWKNSRMTGLRIYNDGEHIKGIALQCGNSWLEEAN LGLVGPNFHFDEISDCLESDADYIENVEVAIDARGIPAGFKLLSHVKRQKKVLINNNS MITWQSNAPDSGNYYASGIHVEYDPDTDIIFRIRIVYRPIVNTEESEENIGFIF cubi_00873 MEQNSIVPYYSGKNYPEYGQLTNYYPNNTNNNGNDSKSAPYEIP GCPVFSVFIATVVGILWLFFALTLPAYHYARVVFPIDNYIDLNFGLWTVHVSSNCDSF ISFDANKKICRFIVSRMDNLSISDATNFICSLEAGTLQLLNLGCNKFHLLRIGSSVIM TMFILSIILLFFAAIFLLTFWFCYRTRRVRQSIFMLHFISALTCVLGIVGYLLVGGLT VQPFRDSSMFGIGSSMFARLFFVDISRTFDLSTGFAFAAFGMIWIVLLPLWSVCAIPS EICSDAIEVMEDSSFEEEELKKLLGYSELFGQNYGNNVAFDNSAYYYQANQNQNNCNH YPQYYQNQHNPYGQHYPYYTQQYRGY cubi_00874 MIRLYTIRFGRLFLPLLILVIFNSIRSSKAVRLENYEILVEDQK DGIEKSQKQNEVCEINTIGESCSGRILKLKESIQQGVVLNIKTEFSIVDLGSSGNDNT TMVGSAPVSNSVGHSSLSSPSKLFRNSQKDEGKNAVNIELQSEIYSLQENIKENKEKK QEEKDIEEEDKKKDEMEKKKEDMGTKKEEKENKETEEKKETEEKKQTEEKKQTEEKKD ESKGKEEYNQVKKESNDKEKKENKQIQSHSEKETSKVIQEVGIKDANSSTGNFTTNMT ISADIGTLMHGADVEKHSIDLDHLETMDLKPKKVNITENSLFLNNTNDHFNVSSSHSM NSIKNTNSTKNGTHFENFINTGNNTNSTNSVINFNHTSSDKNVTLGTINPINSTNYTN PLNITNSTSSNIFVNSTIETGKNKQILGLRNKKSENTTEKPKEINKSTSDQAIKDSNS TGEELFDQIKTSSENNTEINEKYDQKSKRHHILIDDDDMDQDTINNQKEVNSTENHIL KNETKEISFDLENYMIMYNITSSNGIQKYLLLLNKDEIVLVNTLLNDMAPHVGHNSNT NKLPLFIEATLNGVNRAINMNNRMTTLQSNINKHFNHQRELLEKNDTSYKQKSNSFVG SISKFKIPSELFPLNKNQIIIDINLIQSNISLYAYIQLETTSNNQNEMIRLTTTLGVS SLGVDDVTDVIVKDQNKVNITSTIFTLKENNDSNDENNNYNSSNMSPNYEISKHPVQK SFWKSILKSYSNRGENN cubi_00875 MEKEVNRKDYFSIELENSEIEPNCSPIYRNPSYSKGKLSNLEGL NANNLWELFVNSVNKYKDKKCLGTRKLNRDGTYGEYIFKSYEELKEEALNIGINIMKM DLCPIKRYEDNEYQKEISMMGILSKNREEWYLTEHACNAFGICLAPLYDTLGEENLKY ILIQTQLKSLCITNESLDKIISIIERSIIDSTRDSILIKNLICFDDPTMEINQRAEKL NINLISFNKLREKVSKKDKELYKPKKIKPDDMCSIHFTSGTTGYPKGAVLTHRCFLAC VKSSYEHLFSENEINLDDDAHLSYLPMAHIFERLIVMNSYYLGIPIGIFSGSVTRLMS DSQELRPTILVCVPQVLTRIIQTVNEKISHSNFLVRTVFRKALTQKESIIKTKGDPTH WLWDRIIFSNTRQILGGRLKAIISGAAPLGLDINHKIQAIFCCRLIEGFGMSECIGTL GTKYSYAHLGTVGGPFSDVEVKLTSVLEMGYDSTKEPRRGLLKIRGNSVCKGYFRDSV NSKELIDDKGWLDTGDIAERQEDGSFKIIDRKKSLFKLSQGEYISPERIEGIYLSSSP LIQQVYVYGKSTDRFLVALVFPNEQGLRKWSKNKGINDSLPLEELCELPELFDELNNS FHKAELSSNLFGFERIHQFKVIPEIMSTSNGLLTPTMKIAWLRVIVSNSRVFSIELLA SNRVAVGIGLSANSRAVASIRLEVTTFLGRFGLKNIIKVVISITLEVTLGSEKLLRIK TL cubi_00876 MKVSGLLSILSVLLVLTVGVFAESNENKPLERSTLEIYNNVKLV SVNSTRFSYTSGSLKKSKPAPFRLAILALLVSDFVTDNFAEIGNGFQEFDVIRFYEEI LKQGGTRGTNNYKDCCFNSCLSGLTSRNSDNVNTLDTMSNKLTQNTVCEALCDHTIHF LKSMDYFFSPTSELFELKILDKGITSHLFEKEKDSTQTQNHIRQQRNSYRETEEKDDM AKIQSFSSDNKLLLDDQNNHYFHNTNKETSSFISSDRDEENPIKDSSVMNFIDDKDFE SEETVKINSRLLLLWDVDKTLWDSYNFIQKGVIYGRAGKFNPFSMSLLFMIKERQQYD PRILDQYIITYGRGTITKLQSPFLKSLCFSCWDLMRIFDRYFAEKQDSITTQDYKRVF EAKEHNTISFHGDEFKFRNCPGTLKNLLFWKAKDIELIRNYIVSSDEFLKREYCNGNM TGNVSNLEIEYNFRYPFKTRNKSKIDEIVTVLIDDTVNHLKLTCIEEYHLFNMIVIPI IPFKGINIDERIEMEFKSGGTSQLNLLTNDLLENELNQIRGVNSVNVPFILNDALNQV PLNANATELSRAIRRRISEFAPGEFPESQCNYDITNTKSIYFIFLSSCKFFYDVITLF NNLPESHPSFNDIHYLLTNIDSWGFDDAVSTKMCSIVNDKINNLIELCDKTKSGKLRD SNRNEYRNKMLSKKTCNIDWKNKFFFGFALREHFGPIPLNALTTSLLPRRRTIILNIE ADHLFNSIPPSMYENKFFYCTIKTNLFSSTFETLRKISIATRSTLKYASNIKNSNEIE QSNLMSILEATRNTTKVFHSYLSNLFEKVDLGGSANNKLHYKIYKEYTNCIFID cubi_00877 MGKKLLLGLLVVFMALLKRVESGTDYSGIFDPTSLLSKEQVNDA LVDSWEKPIDIAESANYTTIFGDLLSVKTFSEVNSLFPLSPYNSVSDEVLIRCILSEG IVYSPETCPNLNCEQYPTSTDFKYYNSSSSSTIRVALSSENVILGSAIYTFDTSIIPS ISLVNKFVVVFKIEDPILHSKISIRLVSVVRRGESGKEGIPILRGSSFHSIEQNIIPG KTFYSVDIVGLFNFLPNEFELSRVSIVVLPSSPSANVVLSMESYAIARFYMSVDTIPI YGSGGVGEKAIQQDKYIQVETTSVFGRSIIDYNDKVKARFNDYCPKTFGGQCLVQITS LKSTNAIGIFGFQLYLAGVVFAIKSAKIYIPISVFKSEEQVVTNIKVSLLKPNFSITK LNYEEFSKSFENPINSISLPLSLESGIKTLIVDITSLFKNSPTLAIENVLISISLPES YVGAIFTLNKAKITYKFDPSETFQSMDHLNTQVFSNPNIASIETSFFDKNGKAINFDS SLNFEDITQGNNTSISGNSAFVSQFSVPFITTESLQGMNITIVEKSKVSSNSESKSPS SSNPTSNSTTNSTSSKPTSNSTSSSTTNSTSNSTTNSTNTESTKEEGNNGSDLTVAYE TLDYTISIVKALRITNLTSSSGLEVISSKDFKLNLGGVTRIDVMELVKKAISGSGLNL GLITFYGQPKSKESNQNLSLALPFMEIKWNPGSSLSNGVISGGSFETKLKSLKLGELN KTSWNSKSTEATAKLNSVALAAFDFSKIPCINTITSAEAKIEFSKFTANGEFEVFLMD KFDWNSSFTVESQTFFNNPIVKYTSDKSIPPTLLAVPFVADRKSSFAVDVSLTNVIGN GGVSNLKNPSLGVIAFSTNSDDSEMIIKSVEIDLKCSFSDGVYYNTAIV cubi_03711 MVKTIPYTPGKNSSNILLVDGVSQIVKLPNGIDSKNLLGNITSP TESLNLQNITNLTNGSLSNITEKISNRNATLNNENLVVNSNFQSLKNFTSALEGNNIT NNSLNMNSTLLTNSTLNKLINGKFNTTDIFRGIGNTINNISRSIKDQNENEIENLN cubi_03712 MKDLDSNNYGRPHFNLNLVVVVVVVVEVEVSGSSSGSSSGSSSG RGSSSGGSSDRGGSGGGGSGGGGS cubi_03713 MSMKTECSEDLLESLINGCRKAEDVNKLDEFEKLVDKYLKQVSD FTGGKLEDHSFMEESLYEPIIDDKIQERMIFGNVNVIKDHNLVIKLQDEILRINLELE QLKQEQNKRNIQASNHSFSENCVTTSIMDDDLEDLEGEDVSEVPDFEAGINLVSSSTL NMINSNSSSCSTKDLKTTKKKKTHRRYFRRKNTSSKEKTELDEAEKILRSRTPLDIIT LASKYYLKDLNSNKDGELENYDLQHLPIAQDHRRGPYLNLSLANIRNIKTANLTNNSL FGGNGPFLNDLCSIYHIYQINFVKRSQETSQIILSTSYESSYIASVNNHKSIFSTHGG IEFLVPDFNQSNLSSKKDEDDHSNISSENRVLGHITSSNSNHHGNSLVTEKAGNSEIY NQTEEYDHNYNYLASVMENTTQTFRNARQKVKICVLPNRVKELEILINHPSDSSIDDL KRYRIQFINKNRKDQIHSENFQQNPYFDTFKDDFGIIHSFKKLKEQNLERLTSLKVLK KKINQIIMHQKNNNQEISKDQIPFLISAFNYLVDEINFLESDLGQDNPQIQTIIIYQD DLVINREIQDHIEIILGIPEYISLMPYNTSLCYPIQDLKNSIKEIDLKKELSLINSIL RPIHGDFYHPINLFGYSKNIQKVKKHIGKRKIMIRRELYNIKRSLPLVYHSYKRYLTY NEILNSPNNLNFTWGCLPLRAIDHPDQVVPLPIGYFKHDFRFKVYHKFLDLLPECKSN DISKTYMMSLQNIQTPLNFTTSNRALVLDDLSDTGNNHHKIFYNNNTKVSKFPDNMGN NDQYLTENNYRTNNDNTISNLYLGETINNASHVVGTRRKRGAGVDINTSDISNHTNIS ASTVVTLGQNKTRKTRNSNDSSNHSNNATGCDDHSSLLSVSTSQSLFDSAKTGGNVGT FNNQKNVNYKEKGSLFNSNENLITMKSDLSSSNLNLNNTNSATLSNISNYNISNSLGT LSGPSGIGNMGMPKVYKEINLSVKEKEKEKDIGLNIQKNREREKGIVHQKQAQREWVQ MASSSSTFIGPSPLWFENSIFRFPAEIEYNVYDKDPIQPVRIIHINKNNQVQDPRYQE RNRNTIWNYSEIRMFIEKYLMYPKDFRRIASFMEHKTIKDCIDFYYKYKYTLGFKRIL RLVYYYKGNHGKNQFNVIHERDLCNSNDQKDLIINEDEISIHRKGETERIENTKENQK EDEMEKINEFNKKDRMIIWNSLVSTTRCMEIIKYKKNSYRELLIDEILNTLTIDSTYN NLMRDFYKRSCFDSLGNMNMYTERLLSRSSQIRMIYNPSSLFRLPIIEKREICMGLVG LDNKEDWGLYDDLIYKFLFRIHDDYYLNTNKRYTMDNLSNGYILPSTMNAIISPFYNI NIQNKQGNSNIQIPSNIPIIFDHKKYMDQESLNKSYLDPRISIHDFKVSVYLYLNQFM DDNIIGGGMSGNSSLLHSLTISPTNKQEYSLSSDLSNYVSNVSLSEAGRNIKLRNLEV ANNLNNTMASKSSVNEKPKQGRRKRGGGSGAVGAGPGGGGAVAVGTVEGGAAAAVGSG PGASTGLGISGSNIKESRDLNVNVLNSNTILNDINLNANNSINSHNHVNGGGNKNRKM SKLMDEYIGNSNNKMCTSHFNTLSNSMNNMNNLIGNVGNNNTGINGSGNGILGSYFMS DNSISGLNQLPSALLNMNMSNINFGQLPKNNNHTIPMMAGFQPIDACGNVSSSMNPLK PLQVPNSCIYSGVSNVMNPTNIISGNNNTSNGGVMGGVIGGTIGTVVGAGTGENGNFD GCVSANGGSNNNSINVAQDFSGLGGLQSTGGPPGMAGIPNIGGLGLGGTYSPGLLFGG LGTTFGHYNGVGGSLIGDNNNVNGNNMNIGNNVNSGNNLNSTSNKNINNSDNTGTGSN TNDATNMNNQSNHGQTWSNILMNQYLQQQLVFQQTLQEQIRNSQQINLSGGVNGVGGL ANPLNQMIMNTLLGNGIALNNSGNTSISNYGGCISTANSYPILKMQGEKEVEKNEAVG ESSIKEDFRLDNGINAAGERKNNREISLNVDITNRNTELSNAGAKNLAGGFTSMGENN LNNGALALGMLNSKGLNSEVTGARLGIGTVATTGAGIGVTTETETETGTETGTGTGTG TGTGTGVAKGAGAETGLGTSTEAATGLGATTGATTGGTGVFAQTPIQTQPQAFPNFLQ FQHLFQQQLIHHQQQQQLQFQLQLKQQQQQQQKQLLANTAPFAFQNHQQLNRNPGADM LNLLLLQQQQQQQQQQQQQQQQQQQQLIGNPMIGFSGAKNMGTGIGIITGAPVGSVMQ DPTNNSSIIKEAQISNSKDVNSHLDNPK cubi_03714 MVKCKVFLIFLVLCRIFSICPLCLATDYVGYCEDYEFKGVYMGM VQKFYIKNEKITKRNENNGKNEEILIGKEDKNIVSSVKDEKDNQFYGKFVHLKHEDTI YKTQVQGRNYFTNLNPSDCRINILRRHKIRISRVSVAVMESFDRLVQLLDILSSRKPC PYCKGCIDSRLDSLPIWVSPILHRQIKKVNSQLDNKLCLILKKDSKLYLLIRKIIMSI KDNRWAEFDGITTHIGSISSLIVRTKLRRRENFPLYLLGGIFELMLDDLRQVGIKMNS CNPSQFLFKCSWHPNKQNLEISAKLKAVSESMLAISKSLELESDSTLIGDKGFKLSFR KKLPDEYGSSKNIKDQLNNNFEDSILNKDIIKIPTSSGTHISCTSSTIGTSVGSNLSS TLDSSHISLQKKCIPPFLDSMIMLRNDGSPNPDLQICSLDTIKNKNTKWEEKEETEII TNREEKIEEKSKLKMIQGVTYVSQLAALHYDYASSSSNSKLLSWSEGVLRPKSVQSSN PDSYLLVPCNKPMWFVIGFQEDIFLEYIALFSLEYFSSSFREIEISGSLIYPTKQWIP IGILRRNQVLPKEMFDLKTLCVKQEEGHHILDHLEYNIDDNTDPKGSKVSDIKEGTSN KASDRADLKIGQDHPGVGIRKDSSVGNIKENNLIHDSNPCWVRYIRVRAISHYEEGHY YCHLSRIQIFGNNVINRLEVEMGGGDRRSSISMSEMEESVKDVENRLLKRDIDRGIHG TINEQKPHIMYLNSSDFNLGISKKSNDETNTIPELIKPESRSLLIKSQEKVKYNENRN MFDEDFESLTGRHYSNSKGHPLLSLIDRVKILEKQLDAIKLEKRTILTSFNSTLDHVN DSLYRLSNSVKFLQDILLDSNMNNTTNGQSNTDQIRSLKLVDTHLIQVLNLVGGYLER FLGKYYSGEVISYLIQLFERITLSILNLFGYIYNHFLTLIIAILFITLFISQIILFKK YISLKRRLHNTLQFFKSYSQSNNTSPKNSILLDETLYFQKNLNLKLHSNPVTSSYRLS NNSSSNSSSNSSSNVNNTITSSGGGNSVLVGDITVGSYSSTTKNANNNSNLNLESNPV VFQGNDAVFVSQTGAEIIHKEQEIYRIDNVKPIKSNLKQEVQENFPEISETTGFRE cubi_03715 MLQKNIHRNKYSHYWNVLSLLLHFQFLIARVRSDGDRFQNQVVP EDHSRAIIDVLKGNFGYNSELNGNYKDSLPNINNVDGYQNGYYSDGNFVGMSPTLSSS SNSNIGSFVQSQNYNPRNEGNIYINPYNNINQRDTNSNTYDIIYSNNQEKKIPLFLSK SPLLMNGGGNYKKPNYNVGDRQEINKYFSPYSSIHYLKYSEAPDNRNSRWTWNHIEKP ILRTSTTRPIEFKHKIPNIDEAWWNVSYNVRKEPRRADNSESPGQNDLVKSQNAVSND DIERLDSKPIVNPEDKTKNVVNDQIQNQNLSQNHNHSHNHHYHHHHHHKHSHNHKHNS SHKDQINKDEENHIEDEIVKSATVGDVVNLTRLELPNPYDRKVAIDQLISTSLNNLKQ ENWSIPVEELINATFIHPGTQQPDLVPNQLGPKVMVQDFYCNPQIHKCTNLQAVGTAI GEPIWKIYNTSTIGRAQRRVSNLLDDAEEQLTMGLTQTFIDYIEQEPTIELLESIGKA PTNIFQIPENGSINQFYDNATRLGVFQAAEFINPLPQNKTDQKFANALKKAAIDCLLS NFTLPNCNIPENNTRNEELYGNYSIYQLFKDPKDEKLH cubi_03716 MKIGRKKQIKRILKYYKINYGFEEPYRLLIDGTFIMAALKNKIH IKEQFPKILNGKTTPIITDCIYREIEMLNNLENKKADFSGAKLIARGYFRHKCGHTYC TEENSNIEQMTSFSTNSLDISSDQENDVEKKASCETSDKEAASTLRTFDSFRCILDVI SKDNNSKKFMVASQDPLLRKKLHKVPGVPLIYLNNQVPILEQPSIASYNQKSISEESR MGLQKWEYPLIPSLRDSAQGNSNGSDSNGKDSKVKKKKQKNPNPLSCLKKKKKQNTSK VNSDKKKRVRTKRKSPTNS cubi_03717 MFDGVLLSWELTPKQLFVTLNCSSRSRRFLGFCNNGIKEISGSF ANVVQAICVPPIKYQFLANSCDSVLSMTNYLNLIYLVLLASASVVIIGIVFLMLYSRS FKVDNNNTDPINSEVILKSKPRIGLAVASILFNILALLGVCFCSVLVIYLSQSSQNLL SITSDIPIFNIVPHSSSLGWGFYLLLLANSLLIVHILALLDSARMAKRLWKFSQEVMD KFAKKQHSEIVIKNTNRVPDKFFVHSFSPSSIEIEGSQNTGSTFLPSSGVIGSRIQAP INSENQIHCQYMPMIQTQPPSPVSHIQTQNQVQSQQLYPRIGNTPATRSVWGFFPINL LPRFNPYNSGMGNIVNNCGDHHCYGHQRCNHHHHHHHHHHN cubi_03718 MLKFLLLVNKQGQIRLSQYYAQVSKEERFILEGQLIRKCLLKGE NQCPFMEFNNYKIVFRRYASLYFIMGLENSDMVNELSYYELIHFIVETLDKYFENVCE LDIMFNLDKAHIIIEEIIMCGRIAETNKSNIMQYMSLLEKASQSNDDISSMIISNIAK QILENSCEAMHEILDTSNHYSRVNKNLPLYLDSDLNMEGKMIFHRFHKRKWKMYIDSS RTKIFRIRKTQTGGVIPTNIQLGANQKIRIEAIDCLSCRLRNSDITEERDHGINSIIK NDEFRY cubi_03719 MQNRLLYSEGDYEDSFLSGVVGLDAGSNVSGNSSRKATQGGNGK EAEAGGVYEGRMPSKGNTYYSSEINHGLGSMSGGRSSVGYYDHFNGVGAGHLDNGIGS LGGELRVGQNPWGWETYTSYNMIGLFSSHTNNRKVRPFISSIYCDPFYELLWLGWTNG FLSSFRFPHCSRYTAFPVGIADSVSVGGPARDNVIYMGFPSSSHLYTVTNSGIGVYSR GGAPISSNSYNTLSNDKQQSSKILCCDSNRYQSYMMGSHPIIGIGTGKGVSILDLQEV RPIHSIPYNGSISCIKSSANSSSFIVGGVNMLGIADTRLPRLGHTIQICPTNSGNNET LVSGLAVNEYTVAIITSSISSRKITSDELLSSVGGVCGLPVLDLIRIHPGEVSTSPEM MVYKKNLDLTNMMRDSVVRLYDTRKFRPRKNVSFSPGPINIAWSDNSLAISAGAATTP DFEDLYIIGNNGQWQIYHSNIEQMEFYATPSVPLSNLNFSSSSNYLILADTSGSIHTM QRLHTTGGLASTSSIQANTSSSSNISTSGTKADQQHITSSGITGGGVNSSSSYSHQNH QHSFSVFHSYPLPNLNIPGTNIGGNYNKQMNTSLYNYSYVKSIQTLMGIASNPVTKPA THNLGHPTIPNLTVALRYLQGRPVVAYDSLNSVGIPPILEKLHNGKLNIRDDSLLSFN NKFEIDQIRKIQTDFGWNTYKSSDELPQGLCIIKTRTLSRQTDSSLGLHAYATSFSGS QNSAMRSPHNVGNMQNLLHAMGGTHKQSGIQSNTKEQNEGGEARHGHSSGTLAFSLDY IREIRPEMDLYKLKGLEFRMVDFIKVAINPDTAKYKNNNLVYGFPLLCLRGSMPIWYY RKKRNLSLYTVGVQGALGETSHAKSLSLVPIKFHYKPRLNPNRREKIKNRRMMGGNTS SENPGVGTSAQNGTQSGGVGTVGTLGNIGTSSSLPNGGDAQTVSRTEEDHSSLGEGLV SIEFDNDTLDFTQPFFMLFSFIPKLSYDILLAHVPGCTSEFCLACELVHLTFMMYSCK FNLKNGKGRNPDLFPCVILNSIYTLNMLRTVRHIPEIKQLGIDFEDSQQNQIGIPGVG GPKFGGVGTGVGSGSPTNCLFDDINFNGMALVSHLPQTAFNQIRKTEIFWKFFLENLK KDIMKTYFIHNTDGTEVEQETKAFEVNDRNENDVLEKLIDSLFSIEVTTISSCVQAKH AFKSTQILTYLDIPASHLLAQSSLMSGQNLDLSKLNQKDDPSMFQRLRSERFLKALNS NLLRIIAGRSFCKECGTSTACQHVRCITKLPQILVLSCNIQSNKHWNEYGGMSPEEHE EFVKKSQNVGGGVSGTNHEHLPTPEYSIPFEIRFSKLRKGFSSQAGGSGENNGETSGG IDIIQVDKKGIFTERSANHVVEQGSESKLGKESSEQEKYQEYELVALVFGVYQGCSIQ LPSGTHFCMYVKHKLLFNEMNNTQDKWYMINGSSILPVNNKNEIINFSSCWKLPTFLF YSDKKGSLLNYLFSNYPCLEFQLDLDQKDIDLLVRKVSCSYNEEEEAKDDTDEKINQE GEKDSVIKDKEIPNPSHGTVSDALEMEILDIHGIQIKIPWRYPKMIHDHVIQQLESII RLIKNEKNLSENPDLSQINDQLPFTPKELSNLCLNYFIRYPFSKGLLKKPKEIDERFI NTLSHSEFIKNSPMVVALDSEYVALDVEQSVVRSDGTKEILKKSQLSLARVSIVRCGK ISLTGTNDNVEIDNKKGLIMDHYVSYGSNSQQPRDYLTKYSGVRPGDLDPKTSSHFLT SKFCILKKLQFLVDAGVVFIGHALPSDFKIINIYVPPFQIIDTVEIYRLPDERYISLK FLAKFVLNKNIQTEVHDSIVDAKTALELFLNHLSLKKSGSWNDFLSFLYSKGHSIDWK IEAIENSN cubi_03720 MRSGGRGLISDENEEIPIKLLRKLTKNETILSLEFIKFDNTLIS GNISGVLDIWDLEIMRCTSSINIGFGSPIIKTIYDDKREIIYVQNRKGEVYLVDQFEN IRKMNLIGTDYSLAKMIILPRSENVIFPRENDLLLKSLETSKNEEIIFNYPTEKSDKL IDIESLNDNSVLLGFESGNVVIWDLRKPDSHAYMNNVTNNSPILSIKRSFNRLWISSF DSKLRIFNRNCFDYPIKEITSLNVIDKISVRSDSLITVTSNTINTSMDIFENKSLERI KTFKFHSTEVSSIQFSNISNLFAASSNTISIWDLLS cubi_03721 MFSDRLKEYQKSRKDEKSNIDNVLNKESKKLQEIGIGIRKLHNN IDYTKGLINKSSGSRSSNSSVDSSNLGVNNACSNINSFRMKLEDISSKISYSPSVISN DSKTKSDYVHTLRSQNNVYKRRELISDHENFGDHYSQVNYKKRNLEDYNSPNEKYNNK SPINEFRMLNKRVLISHKYYDILELQPGSNLESIKKAYRKKASKLHPDKLVLKNPDQK EKSLAKFRQVQESYEFLSNPNKKELYDEYGDDILKYGFLDHWNEMKGIFETKVPNYNE MNNNINQTKFSNIRSDWDIFWQEVLVHLFFKPILNKNSIELRDLPPMNISVTNYKTLI SNSYMYLKRLLSIPSSFFGNPIVINLDPIDDLNIVDDKTLPMLKKGLFSRFANFNTQK TKFSNNFVNAQIIGYNTNSLVINKDTVGLAKISSNKTSVNEAFGIIFCESKQGWGRFL NLAKKEFESKNGNTDNYNSSDHLINQNFNNFKRRLKEIQAEFDWVILVFLSEEQLPSQ DQELLLDYYNIKDDIKDIKDSGDVNNLDIIEEKVDESQASDLFPCLIQKSKNSNLSNR SSDISYSRPSNAQINQIIKQLELLSNIDSDLNNHPIIDMIASEVGEAPCVGKGGKHAC cubi_03722 MNDIKNNNRAIQLSKILKDEQEWIRTRKQSQSTLIIVSLELPVK VVRLEKMELINESDNVNNYNASNCFGSGNSEKKKVRFGLKSSQHSLMRTLHRHRNELA KTVKFIGWPGIHIEKEDEKEEIRELLESIDCVPVFPPEVEFESFNEFCQLFLWPLFHN VLNPEELSNAPFDHEQWRRYHQMNMLWSSVITPIVSPEHMDMVWINDYHLLLLCQYLT RRVKGVNIGLFLHIPFPSFEIFRCLPVREELLRSMLMADLIGFHFFPYAKQFLSSCKR LLGLDHYFKPGGLIGIDKTAASSRSSVKSSNSLNLEFNKQETIVRIGHVHIQCDDILQ NIASNQEISKGSLEIRNKYKDHYIFVSIDRLDQLSGLQLKLKAFDNFLQNYPYIKEEQ PVVLIQYIFPTNTLTLEKRERLIQSLITLSKEINLKHSGSECFRNPVIELKYGSITQE EKFSLFLSGDCLFDTSVRDGLNLNPFEYIICKDDSLSFFNVSNTNFGQKNNVNGISSS SNSSSNSSGGSSNSSSYSSSEYGESSGNDESVTRRTRISVGAGGNNTVISGPFCRFKH QNNLPSLIISEFTGCSNTLSSPYRVNPWNMMNVVETLDKAVYCQKSIFQEKRRHWNMD RAYLLSHSTVNWAKEFIMDLCIFSERKKNDMLHYYNTTFGIGPSLMDIRINLNSQHLS LNLLSQVYRKVDRVGGLRLFLLDNEGTLTPDFRHFFDKINSSSNFCIHSGLGGVTEDG VRVSKGARGGNSLLSLLGGAHHGKKKGRWKPNKDDQLNLNVNQNLSEYFRGSSNISIS KETGNSLEESAECIPETSKPKLDPEIFIKHPYSLRPDSKASPNIPLSSCSNEDTAFNA NSNCTSIEVLNLENSITNTSIASILNIMSTNTHEVDGEKDKICTEDLSTNITSRNQSI NGGKCLIECHNADNEHFERDDVEEEDEHKPEDFYLYNEISCAPPIQVIEALKKLCSSP NNVIIIFSGREKHLLDEWFGDIDNIGLCAEHGYYLKLPRSIDPIHPNIWRQLNIESIS KESNSSPVSFSSSSLLCSVSKSNGSECSWYSPLKSNSQLDVDEYNKGDNESNSVILSS KKQQLYNVSDWKNITLQLMEQYVLRTQGSYIENKGTALVFQLKYCEPYFGAWQAKELS NYLSELLINFPVNVISGNDYVEVRLQGINKGVAVQAILNQINSLTSNFEYMSEDSEIK KLKNPSLEMILCIGDDRSDEDMFSVVNHFSKTNKSNHNLEQTLDNQLELEENTSSKPL KCEVFNVIIGKHTSIANYFLHSTEEVSDILQTLTLFT cubi_03723 MSTGNKRTIRQIYDGADLSDLVLNLPLTPYSEEFLSYKQKLDKA LSDLKLLVQEQINKEEENLDLCKVLERVRESMSNRDDSCEQKNINDQIKQDKYYSVYL EIIQLEESRSDILECFIKWKNDHYYTRWEELSQPPQDMKDHWLRFKDKISKIILDSKK ISSRPLPRLVVSENKPQKEDSVLDHVLKNMEKESSSDESKQKDQFEKAGDISIAGQAG LNKGAEKVQGEENENQKALTENADKLDDNGRKRRKRKQSEKGSSEFWGPKTLPKSKNR YISGISAVRAIRKRTYSNNGRIRMSREKELGPENTAEDGKENLMVNNEEQVRNLRRWE RQEEKRNEIKFNRYLLKNKGVKKRIQESIQIEKEDILRDPRKWSNNFSQGCKISLANK SMLEDSSQLNRSLELSIKIKEEVNSLIHVIGNGTENDNERRKSLEVLGELCRHFLTEN FGYKDFREGQLEAIYSVLLGGNQYENYRKGSVLILPTGYGKSLCFQYLSLLMNMWFGK ITLVITPLISLMQDQLRSLSKKIRGAVWNSSVSVEEKKSIVELIGQGGLDILFVTPES MFSTFLTKGIVINQSRDQSKIARLDLSENRIALLCVDEAHCVCEWGHSFRPNYYSCIG HLINNFKVERVLGITATAPFCMLDELKSLLKVEKVIQPYSNQRIQRENLYCKVLHLDL MKKKAIQGFNKNGFNFTKVPKVYNNYSLIWDHIKYSLNGNNSNNNSQDKDTMEEHQLR EKKKTRKEVWSKCKNILIYVWQRSEVEAVTNYLRTKGANALYYHGMMSNNEREMVQKS FIENKANIFVATTSFGMGIDKKDIDAVIHWNMPNSLEQYIQETGRCARQLDKLGICLM LLSDDDYKNKRQIISASLMDKTALRCLLHVILSKYNFGTDLDVIQDFEQTKIEFEIFP IELFKIVLNVRQTEEIENLLHHIKPYLDYLLGIKYKGKNVKASWVSYIRGSPYIKVRC FDEDFSKIKEKSQIFSLVSRFSTENSGVVTINLLEASMHLGKNLEELEDEIENERSVW KISVETLTNKQCIILGQSLVKDNSQCIQTEGFVSKKDFGALFLDFNSENCHVGTGVDT GNSKRQLVKENWVDLLVEDLHKELIHRNSNELWKLDIAYFSFQKFGMDKSCKDSIIES YFSNRKSDLYLKLFNQEICTSQNPESNPREGLEGVSRSFIRDLVLGKANNSQDQSQSL IENSSGDNTFENMIQEIWITKWNQELLHDLALGGKELVKLNSFISTQDRDQEANLNRA YKEKIKEEPEKSEFYQFNNLDDSKNEEDEKSKKKEMKEVYQKISSCIKSGVNCLLSNY LAELSPVQWETYRVSTERIISHFFSKAQKESKRRRREETQQNEGSRLDFSHYLGFEEF DVIFPADIARILVGVTSFRYCYKAKGGLKKDCLHSNDVVSSKLWGKFKSIPYSVVLDI CQESFKEIIKNKLSI cubi_03724 MYSNVSLLILFAAILANISIYPIPNTDASSLNHSIFSYVQIKSA TGSASGSGPSSPNERKRKLSGDDCDSGSSGRRSSRRLRVEEGESTSTLNTLEFLSESL DDITSVQKPEQVSEEQLLDLILSEYENRGIQREEITEEQICASASAQAKNCFKKWKQV KQSLKCLEASLNDLEERQRCCPVADDDEARSYSEAHFEILQKQELVSQIEASLASSYN HFLNIKEKHSKVRRSARLGNNRQPGTSTHIPQQPSQTPSTSSSQGQFGTTQSTHATAR THTRTSQAGRGVTSTRRSRRKRYRRRRRTF cubi_03725 MSSAKVPIVEIPNEFLENSFERPEQQITTEDYKDSLKNRKHVLK VNPDLWFEEILDKNNSTIFEREITCRNLNLLKTASIFIGKQKLKLKRTRSVETRAKSQ DLFDIPDGANELETPPVSWDVDEGHPLKSILIPGPPSRSCLSNNWYQRLNWVWRDGYS TNSTSATAMEDPKYIEHDLSFIQEMGKFYAAARIAAAALIHCQPTFNYGVAKAYYEVT KTSNENLWSIYNPLFSDDRVFIFDSIVFTVVLGSKKNGISREIAKRIYNNEFKSKKAL CDILIQMGAKTLFALPICAIVKYMGYSVFCEPLVPFNPKPKDLLKDLLHSALIPRDMI PTLEDKYDHKDELYMVNSKSKNDVNIMFDSLLTYNPELAQQLDFIAKQLNIQGWPFPM ETTDLYEHYGIKYTFKIWQHRSDGLYVTRHCGELLPVYIDENQELQPLRRLREEFVIT YTDSSLPSTVSIPLFLNSNTGDPTNDYHTERLDSQFGTRRIKEGSTSRLNVLYNASQA MRVRLLKDLLPTINELYVGFHDPWEITELLHNYGINLNYGLSKLAQGKVIEPIRNTAI REIIARTIKRLWEEEMHITFRDQALINEETIQIILIDYFNKVFCNTDESNIYWNSKIL PSAMKRFQLNTYKNILSKSNIKLTTLFYSLQYHIGVQFNTKVISFDGTLRLPLKIDDL CDFTEINIGIDYLFPQVKYLKYTLARTQKLKRDSFMESIQNSDSKKIPILTLFSNQTN AYLTNQSKDDNYSNGANGQVWAWNETGIIRGYFPKVKIITPRTLRSINICKTILCKYI WKLRIPTWNRILVASSKKSENNILEENIFSLSNTLCNPIYINTVTMGNISSLRASLEG FATPCHPTCKPIYEKDEKAVNKMENNITCQYHYDCYKAIYYLTIARRLVGDNIERILF LLLNNGFLALQHNKLDDCLSICQVAFSCTPEIPKFQVLIISLKIQCLIKYNKLEEATT EFNFMKKLIYQLYGNHRNCLILVKLQLCFSMLYFVNGNYQECYRNSNTIEKILTPLLN EVSEDDSIHWMIIIALRFIALSSLQLGNYAQCIVVGEKMLRSTIKRDTKQIYLESICR YIIGEATIRCGRYDNALKILINSIPELDSFIGPSHKFTIKLLMMSTRCKIILGCRDIM FPPLNLQDRETEIDHNYQFQMVYDLFCIEQNDLNMTEGDIFFTGNNNDNNYKKFMLKS KNWTKFPVILKYDDLLVMEKSIFDTRTLKHREDALLMCRSLINRIVANIRGTGSILYF NHEIFEDDQQIWYNRLMWVIKHEIVISILSLSQTDYIRLLSSIRSIAVSSSASPFVKD LTFGKKVIHKNNYNINRNLENEFNHDNNLSQRIINKRITDFTDYGYIDYCNINTNVYN NIISKNNIEDGIGRSTNHLGASVVMSPRQMGHISQEKENYWELLTCEPTMTIIDICSH VYKLLVKKKISSAYEWCITTIRNVSGGKSSTYEINLVLNLIRILLSKFHKKYLIALLY PDLVTPSENNFEKSKNKYGLKVFQDYNNNNNFDPNSYNEENYATSMQNNEQNINYRNN YVSGNNVFRRNHKGDKNVGRYGNDNMGNSSYGNNNNINGSSQDFNNQNNFDENNMSSI DYSILPQFSTEVAANIMLPMDQGSKVIDINNDIRWKDPYRNYKYYDSQSQKSALLYIP KDVYEK cubi_03726 MVSDTSNNDGLNIKVEDDKTILTSENSNHLLLGSQILEKSNSNL AESSICKIFSDEADRKIILESDMPLIMLCGLGSSGKTSIIKVLYDRVSPHETVSSIPT RSGSITMIKNESKLLPCFGIIDLPGTGQIQDSLLGEDILSKVSSLVFVIDSQNYPYNT EILRAKRLFQNAIQVKPEIVFEVFLHKTDNETFSLDGAHLESQRDIHEKISSFIFQHG FEIDIRYHFTSIYNDSLLEAFSKVTQKISPFTQILERLLDVLVISSSLEKAFLMDIYS RTFIASDSSLFDPIGFELCADILNVISEISEIYAPNCSLSKNSSGISCSINLDSGHLL HVKSIDHNIVLACVIRNEHVKNASLVLHMQSNLEALKDTSAFVTNQFDIWELNQASIS PASPSSPNSPASTYSLNPPTTICDSSEPKFEPKCSSCEIYFRRMNGLENELQILTNNI YSLFKTAKEEIQRKDKLIQEKDEIIKQLKREKSTKQYSK cubi_03727 MEEHSEQYSLHSPKKVRKTDVKEVGEMSGRIPKENNEFSGASNQ SVTIVSILRQGIQSKDKNMLENALSHNDSKIIRSSVNQISDVNSLELFEEVMNRIEDN PMKLLELSNWVTVLLERIGKQVVAFKGDTNRISLILNQMERLNEIISMRLSYNQDLIT LQSTLDIFQHIIEENKLITEMCNKLSSESDNALITYQFEAEQENDENDHFDNNEEDEE DGNDDDDDDDDDEDEDEDEDDDEDDDDNKDGGNEEDIDSDDEKNNESHNIRQIKNTKN EDEEDDDEDDEEDEEDDDEDEEDDDEDDGVSSE cubi_03728 MCYLGSSTHGRCCCCIPLGISGTITSLLLLASGIFTTCQFSQTP NQEIGGLTSSALFTGVTLLSFLCFYCGPGGAGFAYFATQFTAIVVTFQIIYQWCLWSY SFLQVGHFLIGFKMAFIITIIWIATLIVNIISITIFRSTWEIKIQGGSTWKLKNAQEV RLKNLENAVGL cubi_03729 MEPSRKENTEETLDENRLRIRKLYEKKLHDRKLRIYEEALEEAI RREKMNVSIVVKFGVKFETKFGQELKVVGNIAELGNWDVNNGLTMKWTEGSFWTANIR IVPNSKIENIEYKYVLTNSSSNAHIWEPGKNHSVQISSDTIRELQLTDAWGGGGLY cubi_03730 MQQPQQPNDMFHTTVGDGVTATWVDPTQMGQFDNNCFPNQAQQS SGYYRLEVDQAPLITVPVVQEIQRRDKIIEVPQTIIKDKILPKIYHQEVIHEVPKLEV EVQEKEVEVPNVTLVEKVVDLEHIVGFNPKYVPTWEVREVPKLVPKFVGEQKVLYIEI SQIQYVDKVTEKEVVVDVVDKVVPRVVEIEEPIEVIRYKWKEVYDDIPVVKYVPKFDV EVVCPAPVIVPYVQPEIKDLPPVKVTVGPDGRETNVAQDYNIPNNNSVSSPNSIPNMN NHLAQINDINSSPFPLPEGSHPVEPRMPQFMKGNIPVNVRVMPPHPNNQ cubi_03731 MIIALEEVIRHIESNYGEILTLSEIDNSYKDKVIAKATIGFQQS KDGSTEFYEKKVVNLEINQNGFRVLERECSNFYETIEGFLHSEVPETWIRYFETKIVE KLQE cubi_03732 MEEKISITKYLPFIFHLLPLLQIGISKAFRVESKFQEGIRDFII IHSLFILYKISLFKSFFTDAGRVPVTEEWRNTPDPKLIFERKDDGRLRFCKYELVYKP DRAHYCRQLNRNVLRMDHYCPWFGNCIGYFNYKFFFLALLYGCASLIYMMLSQINTLS NIWNDTNVTFGHLYLISLGICFSAVLIIIVVPFFLFHAYITSRNETTIEFCEKRSKEK VSIKLSSIKIQPLPTFYSTYFQGFTYDQGIYKNIQSVFGENPLLWLVPVGLPPSDGLF FPVKKIDKEV cubi_03733 MSEQSNVQAVQPEQAQQIAAAQAQVQAGMNAGVTSAGVPYSSGM GQNMVFQSMAGGSGYFFPPNGSAPMSMGSGAFASVGMPGMYEVDPNMLSGGYSAPYGS AMGSSFYLPNQYGGSGIMAMPYTYDPYGLINPGIIPPVATKIAKKKRSGCC cubi_03734 MTKLKKFLAKCLSLISILLLGYLYNVESQIYGSAKDVLGQINSR EYPNFSDLTNYPYYLPEKAVIGYDSPSLKENIYKVEEYNKRDIDASYYKEFQRQKEEF SEIEKKRRRNQEAYKGFIKPPKQNHKSRKDNVNKMIVKKMLEDERMYSNSTRVDINHE CMFIISYFIVQNIIKNENLVIRKIESPIEAEKMGIYPVIDELDYVDRKVYKYHLRNNN GKRIHYKTSDANHLAYFYDVNANCYWFRSSIDPECLTYEEIYSKTRVDPTLNVAFDSS SYQRLPQEYGKDQECVATYKDPITRRRICIREGNKFVVMIQTNQDCLIFTIVGRNDSP LYEVDLSNNTGKIGSNGCADELLLHASLDALDENTWRNSALYMRTIYKLGDTQISAFV TPGHAKFLLLHHGKSSENIRQFFNEVRDLYVKILMNPFQEANQPILTPSFDVRVRQAA RRLLLQ cubi_03735 MKEIDENSRTWSGTRNMKDFEISSEIREEKKNRSQQKHSKRIKS CLNYLLVAAATAIALILIFIILDRNGRNPVLVYFQGIFPSKTGEEGEFFRSNLQEVAD THSFMEKGVNSRYHNSSGSSDQLGLKSDSGHYQSVLELYMNRLFVTPLNKMSLTIPVN DQILRSYQVEAIDYSIDDEMVLYLSLEHQLKINNETMVLYDKSMNVISHFPTYGDERD TEKDVNQNANITNNQNNSTENKLRNNENKRRLQQLKQHEYYNYTSTSEWNHKPEIVNN IIPTYYPRNPYVPPYGNPYYFAPNILVPYVYIY cubi_03736 MKCFYVLPLNNNEVFSDLGIRILESIYESRCWEPKQISLSNIYE ADLDSENFRQNVLNTIIRQISMFDPMRYEGICHIQDASYRGFSCLWRISEQLGVIFVM VIDKGSFSLRGKSILDFVVEWSEKFTQSGKELVANDILIVLHILAPAGQLQLLNDDIL SKIELMVNRTIRSY cubi_03737 MYSNNRRKSGKKCVLDTDVSPVLEKRIREKIVQDRIELKNSINE HICKRRAIKGEFKVDPKSLDDESLRERIRVLEKIRANLLRIISIKIEAYAQLLKEADD LRRNKLSKDVELENKNNEKKENDILKENLKDFLSNVKDLVEKINQYKEDYDKKKTTI cubi_03738 MSALRLDSFEFEEDEEQRKGSFDSSNSLHTDWSLSSDGVSLLHK STGVHITHEGTKGLESDYGLGDIYVSFEDFEVNPTPLGHGRSSIVFSAIHKLSGKAVA IKDIYVGDILHQTQLSAEIHSWRLTSYCPLLVQFLGAFIKPNKNVVCLVMEYMEFGTL SDILYKNLNRNIQSDGIPEGVKESFLKFISIQIVDGLAYLHQNNRIHRDVKLNNILIN QDGAVKISDFGISKLIDQNSTKDQNKNVSTFVGTQIYMSPERLQGKSYSFSSDIWSLG ICIYELAVGTHPFKNLSLFDMVYILCEDNSENGEPLPFLEKIIKRCPLPEHLYKNNDF YAFLQGCLELDPLKRLTAFQLQDFKWLNSGTCNRYEFLTWLQQIRKPDSN cubi_03739 MNKTKKLGKKKTKDNLVNTSIYVTGLPKDISPEEMKDFFGRGGV IKIDPTTLTPKIKLYKDEVTREFSGNALVVYKFEQSIELALKYLNETEIRPGFKVKIE KAVFTKSSKPNIAPLSQAEIDKKRKQLKAAEMEEERLLSWSNEQHSLNSNIASRIVVL RPMYSRKEAETYPEGDKFYNELEMEVQDEVTKHCDVVSVTCIPRHPQGIVCVKLKSQH DAEIVIDIFNKRYFDGRQIEAFMYDGSTDFKASCI cubi_03740 MKGLWKVCVCLALSLELMGKICLTFAGDILKTGHEEPVIKSTKI HSNEGNELEKPTTSDQSIEATDLNHIFDIEESDSSLEENKKGHFLLEWLREMKTFIPS NHFVFDLNSKADEYFYFDLDEENPYILLGYYCTDDTQGRIHVTINNPESKRIYSKHGC EGVYNNKPPEDGSNDEKKDHRIKGTYTLILSNHRWHDTIRISLLVGNDSKKNKAVDKK NVDKLTSKLENVDNILSIINTENQYNWATTKTNLSMIYNADSQLFFYTAIQIISIILA SAVQIYYLKTILTGK cubi_03741 MPNSNSSLTNSLRNNVKSAVYKGVIRVANPLERFVTVDDRVNGP FDIYIFGYRGLNGVIHGDTVLVKVTGVFQGRLIKRFKNKDSKILQQSKQNEQRSSFTV SDSTEVKNHLKYPNYVEETNSENNSDEENQDLHEDNLTYVTSQDEEDLDSFQEDKSTP TSQNIGSNKENVNNSNQSELLTNSNSHLKHPIPKYVGIIIKVVESVTKKAPIVGFILP ISYFLKLNKEAKMKKKFKMSGKKPKIGEEFKIQNCFKTIGIDDNDSKMRTLLTSENID IKKNEFCFVPIQRVYPIMKLFINDQTRNTFLKNNANEKNMMSCNLLFGAVIEINRVRK NRRLQLTKFYGSFNKFETIFSSLLDCFELSSHSKIYNQHHNSRINVSSSSKEWLKQSN RKTFEPSEYRVITIDPPTAKDLDDAIHIKFDEKLKIYEVGIHIADVYYYMLRHKGLLK TVTKELCTSVYLPHTNFPMLPRIFSSDLCSLLPNQKRPTLSLIIKINEEGEVLGEPEF FHGIITSVGKFSYDDVDELFEIFDQIDISKESTSKKLLNCCQSSKVIEIMKRSTVYKK NRKNVLRDLLLLRILTKTIRNSKARINSIKLFNVDPCFNFNSKFQRIIEPFNIVKSNN SFGSNKDDIIIPNIELKFDIFSNTPNSLKNIAPKRVTIYYKHSTSHSLIEELMLLANR VTAEFTVKNRPESSCIIRIHDEISNTKLYNLITYLRKHGFSNIFEDNIKRENIVNGLY RLYKDYGLLYYCAVSEMLRDIFSRAKYMVYNKDARDSNISTNHFALNMNMYTHFTSPI RRAADILVHQMIYDILDNISGSKNKGKGKSYDKYNQLIFSEDDHITICENSNTKSNAS KNMQRDSNNIFFSQLIKKIDTFIPSIACIHKINAQKFKVNSVLLYPTDFYQVFLIDSK SFIKNSSLFSMFKLHPSLPIHITPKDNKIELHWKLHKGNLRTLSKKLNISGKLEIRTY LNVINDLMKNKFQHKLIFDQTNQLIIQTIGIWSYLPVNIVPTTTLPPKFVVIPMNPLN HHFYDQISNFN cubi_03742 MAVNPAFIPKELTDVYAPENYDPNCSILACNFPTGTSVEECSNF MSWIGPVVYVEEGPSLQREANFLVVYSKPDFAVKATQEELVFNEGCRVYCRFVDARPT IWGNITNFFQGIDQQYRGSDQVSNFINDTAGMFHLFVNAYSGIGLELELKSVLISK cubi_03743 MILKKELDEGSIMNLDSIIYKNNEMNEEKKCSSLSEKVQLCQNK MLNLEKELNIEEESNIENKNKYLYRRMIISNVYTLLGELASQAQSRMAKRLEAQYLRS QKFKTKEKKSKPSSSSTDLGYSKLDNNNNNNNNSYDGDLDPEMEELTPEMEKVAQELL NHFTSDIDALKESQNQLHEISSLMSFFSTKIQEQSEICSTILADANDAVDYLDESKIY LEKLEETYKTKSMILYIGEYKEFVAYITESLTWLEKLVNP cubi_03744 MRRSSFSRSPSPEVRNTLEPLKKEYNTEINSLVEKDHENKNLKI EIKKCKALSVENPESAPSRDKPKFEDEIKQEIQKEDNIAGSNVDFEEIDDIFASDTEQ VISKDGKLLGNPSYNKRLECDDDDGYYLSYIGEIIGNRYKVSSNSTGKGMFSTVVKCV DMETQNEVAIKIIRINDMMRSTGEKEYSFFKKFRGAPNIVQVQGSFIHQNHLCIVFEW LHGSLKNCIHNISKHNIRKTQDLAFQILQGLKLVHEKGLVHADLKPENILIDSGRKTI RISDFGSMHYTSDASPACYLVSRFYRAPEIILGCISYGQPIDVWSIGCVLYECFTGDI LFKGRTNNDMIKLIMEYRGNFPKRLLNQGVFTKNHFSECFTQFKWINLQGMLQIIKNH TQSKNIYNDMIDSVNSSEIVYSETEQTLIRRLSNLIERCLIIDPKKRISAKEALEHPF FQLK cubi_03745 MTGFCEMDRILKEFQSGILDSSEAISELRKNINGEELKEILINT LAFALKNFNLRDQNVNCTFNLLFELGFKEEKKSSQLFRGFSKIILEELLLKGVLAKEL GVRVNSLQGINIVLKMIQNENIENLGLDLNLISQILFQIVSKDKSVVAKGIAISNLGL ISNGKDYLMKMIMSSQSQIERLKSLNNLQLRFLDENDVNDLFSRMLDENSKVRKAFYK SLLKNLEDFAKMISGIVSWSQIVIICQFGLNDRELSVRNFCLNFILEFIGKIFGDGTF SCSAFISFLDKMIDSISTDNLLDITVANLEAVTELLIPRILMHNHNIRDFFRELLQEE NKENSNPDQKPILISELSPSKILSIRVMVENYKKELDLENDDRFSIENLIFSISRSSN NSFLIRQILLIMNCIDKSDPEVRKTMKSLALNCLKFTPFDDSVELLESELIPLESMIR SSQGGEWVAFFLHRSVIWASVKLLENCLENDEFLDAIKAIIEEILNPIEENGQGSEAE LSMYKMKDLVDFLDSQTEIKESDESFSAYQLLKEMVENRWMRILFILEASMSVLTHIE IKDSKYLTEDILKQSTRFFVRHSQEQGIPQILLARSTALITIVQEKSSPSVNLNDNEN DNLLFFIKGLENALQELSQEVSNTSKSPNQSVILYVSVLCEVYVSSIVDIFMIRQMKK RGTLDLGPETLKGLQIIWELACGSSLSTYRLSSISLRGVARILLCLRESNQDTQISIE ILISLLYLTYMTGTLDEFNVLVEPQNIVSLRSRKTSPENQDSISDIFSVDLLINLKDL SKSSGDKQMLIYLFSTYITLSFQHLRNFTISLYRLLDRICEKVGTTTWSSLYSKNASK LLLFGILLLRQAGEYLGKSTNSDIVSYSNIYGILSGYAKLRVDHLQANPEKTRIFGTE cubi_03746 MLGSNASSGLQSLTDNPQFTGLRNLRQSKSLHSESILISSSSSN SGSNVDNGCSNGIINGLNGSNNGNCLSNTLAQTSRTKEDDLYIPSGSGRISDKYWRSS TDFWNDLECGIVYPDYSSSNLGRNSYTSSQVSSGQYTHPFGLFSVSIHPVLTRKNALA IFYSFIPYIIGLVLFVWLLIGDSFIPAYALIMMFASSASSEFFLKNIFKSPRPPNSAC ASYGMPSSHCVTSYSILMWLLLENINALGFVSGFLFKLSIILITAPVPWARCGTTFRS HRKDHGFCVMSKDEDELRQCLLDELSGENIDLNTNNIDQEVFFNEDDEIKKFFESEIF NCSKTVEIYDRDVPKNHILSEDELKSILSKEIDDKKKAQVSSELVENRFNNNGANILN KIQKSNKEFIDNVLSFIGNKYFKTNNKMNLKRRISTEYDNLMNSSTNFIQEENEKSFE FEKRSIKENRDESELSTSNGQLHCFMKSREGIAFDIEASVKSNRSADKLVFNQEMNNK QFNELNIKDSFSLNIGRAITNNESIEEIENCLDPGNYLDRGQYFTESEDLHFSYNFGP KNDIPIDKKSNGKIKIFDDFQQEQENKFKLKSYIGLSNSSRTVEKASIQWESLNMSEK LRGSLIGKSFVGNNIPISFSTGNGKKVSINEESLSRARKIVGESPIGKSPIGNNIPTS FSTGSGKKVSINEESLSRARKIVEESPIGKSPIGNNIPISFSTGSGKKVTINEESLSR ARKIVGESPIGKSPIGNNIPISFSTGSGKKVSINEESLSRARKIVGESPIGKSPIRNN IPISFSTGSGKKVSINEESLSRAKEIVGESPIGRSSIASNIPISFSTGSGKKVTINEE SLSRARKIVEESPIEKSPIGNNMPISFSTGSGKKVSINEESLSIAKGIVGESPIGKSP IGNNIPISFSTGSGKKVTINEECLNRAKKLVDLENEIEKTETASNKDTKEQSLKDIKY GIRSNFFEKTKNNVYPNLLNRNQEKNTSNKKVRKFSREELIIPEFFKSYDNIKYSLAC LKSKRVISPTLILIDHSLETLKKYTFLLSNNKEAEDSKFKYIEIDFKMICDEIENFFN QFPIFPGNMAPYKQRWFEIQYMLILYEECLKWSRKIKNVIKSFNIDFNELNSNEKAKK LLFLSLTQIKYPSVQKILGRICKRAIIEFFDAKRSSLIKICEGDFTISVPLNLRILSY TDKDVIDGLNDINTNRIMICTDGWYIVKIFTNFTLTKSVIQTMPRNIFVCGGNWLNST MEHGHPLEIEASTQDFPLMVYGINLIRPIRVLSGLKLGFHLKPLLFRISKLVNSSEVS ILSRETNDNEKKPSNIDKGGGFAFLIQVVVIHIFPICYKEMIETVQEDSRRIFITRDQ NEMDISINNEIYEMYEKSFQQPKSDSEDSPVENTRRRISLESKLLVLDYWKFMKLREN NQQKIEISDLINNCSILTLPSGIDIDEITNIKPGTVLRLSWMKVMKNNTTKNLGCSFT KLVPSTKTSLSAKKRLKDDIILTRVLELVKKPVLTFENEPENIIKCKGGWPMCILGLI LQVYPIEERKRGFEHCFEFKLLLLTSMGSKVFVRVHSLGCHDSDLRMCERINNKLKRI FIHSGEINYKDFIILIENTEFHSFERSTNLYYFNAKIPYSIFITPYSLGEKHFSDINT RRMLSKEIKDLSFYTKCNIPKLFDNHILENSPHQPICTSCENKLSECKCINGELIMLQ cubi_03747 MNLKKSREEIPIWWRSDSSSKKKNSENKKNHSKDVIDESDHSFK SKTLKKWFPETKVDTSYNHHKKSKNECSYIKKSHKEKLNKKPEKAYLSKTSSGNKSAS SICSSRLSFVIPDNYNYSKDHGTETICTSFNNISSSLSSLSYNLGSSSSPEYSNFSSL PPTFSSATIPASNVYSSSLYSSSSSLSSSSSSSSSSSSSSTSSSSSSSSSTYSSCITS CSSYTSTSYIESSILSNSSSSLTPKKSLKIRNKDEKKLKEESEQKSVANVMKTISSLK KEMLGIQKLPQVNDIPLNPYFLDKWKKNLELSERNKDQKNKIMEEIRKFEGWENIEKM FSNKQAHSVDGEAFYSLSLRYIDITTKEIIISDNIELKSLVPRVTLNIKSGSESNKIT QLSKEAFLSQGYKLEWGSNDLNGKVQKDFKEINFSIPIYQVEDNCSYLSLTIEGVNLK DINNPNSTLIKTNTILGTAFCPLPKQNENWNISIPIYSCFPDGTFLDSKNSQLISNIF TNVFKKLGKVNFKLSRKEPISETEEQSEEIQDLNQHSLSKENKATKEIRNEILSCLLS MNLIENKINMENYQNLNIKKSIVTGEVIQSIFSNDCLEQGNSIKEKELQLREREIQIK EKELELQMKSLNVQSLGQKVEFDNVKNRDEVQVKEETNKSPFQPSNLNYDKKLNYFRV KDKSKEMYNRIQLARQKKNKILPLALKKNNVTPKLIINKESNQKENLKHCCKHKKKNK KTKEKQKKNENSIEHNLKEQNIYSPVQIPLLVNNLPFSPQIYTNNVPQNYVLCYPSVS NINKENNLVQTQTQVQVPHVALEALKTPSRNPNIQEEADIHDNLVKIKRDISHYNAEI SKDFITRVPKLNINSISHSKLFTEDNKVIRNSSNIIKKNSEKAIAESEEKEIEEFLKG QWGLFSINCGTILNESGVCSNSLCENKNNGRIEYSKCEFTNTEIDNWKTCQYCQMEMF PSLYFINVKRPKFVEFFFDSAIPVFFPSRQLIWPTSLHFLLAQFFSDYSEVRDCKTHD QIIDLANKRKNSLTIEILPNLAIIVLAIKVFQHPVLQKLLLTFSEKEFFLLKEYWIKY DPIVNLVSSDSRFNLSNLGENLITTLEELRSYIQKSTN cubi_03748 MMVHLLRTVLLFPIFLLLIFNSLSFTECERIHELTKFKQESPNG LIFFNFTGYQHFVLMDGRDYDLVILYTGTKKSCPSCETSSNNFEVMANNYYKQLKPNQ KIFFGYLYVDKVENVVGIHKLRFLPAIIYIESSKQFLTSSLSFSRENQWRIPEQKDVS SAAMIRFLNSRTGNNYEIILSFEEKVKRISMLISLLVVSILCIYNIIMLARNKPFIIM ILAVIACSISMSGLVYSIQHGKYQSRDFFAPNPRVQNLHEGMLMSILMTSSSLFLFLT PFFIHEKISIFKRKISGWSAFILFILFTCSVLFIYFGYKTKVAWYAPTFYPPPGYLKG PLLVDRGNSF cubi_03749 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISALIYEEVRGVLKAFLETVIKDAVTYTEYARRKTVTAMDVVHALKRQGKTLYGFG NLDWRLLFNRVIIRPYVFGQALLDATSSYSGLWPGSISYCLIIL cubi_03750 MAEHLARILGTEEDRVNCPFYWKIGACRHGDQCSRNHYKPTNSP TVIMRHIYENSPVALAIAEGQEVNDKLADEESDKVEVFYEEIFKELSKYGEISELLIC DNIGDHMIGNVYVRFSTEEYAKAALVNLRGKMYAGKPIDIELSPVSDFKEARCRQYID GCCNRGGYCNFMHIKHVPRCVKDKIFDQMYSEHPEYLYRKTNSCGKSSTRDDGKNLES SRPRKFQRQSSEERRLMIESWNKRRENNS cubi_03751 MRCRLTRLTYCTCAKGNKNFYYTGDREFKSMFENILPSSQIHEL LKLLADSNEARIGLRSSTQKYRHIERIVTKEREEFNRQYEKERKRIREATSERGSDIG VSKSSECSEDTEKTTLLEEIERQSSGMDFDEPSSTHCSAEECPDKENAENVLKCNVCR KCFHNFCCSPKMSDYIKNSFLWTCSECIYCTVCKKSDRPNIQVFCDICSRCFHTSCLN PKLQKVPKNFWLCDDCKVCSKCHKLVDFPMENGKICTESLPEGFDYLDPKYGTRICYE CKDKKKDLICGVCNNVLSKHGNRICSLCRMFVHNNCLNAQICNLCNQ cubi_03752 MIDAFFVFNKTGLILWSYRSEDAEPLEILEQVLRGLVENIFLEE RLTQKYYDHGAYRVNWSTINEYQIVLAIVYQNAYSTVSTGSYFETLLSMARKKVVKII LENPDSVEDDTYFEDFRSQFVQMIDFCDSRLMNKGGNKSDLSPLNSSDEKSFGSKNRS YEGKPTDSEDNISQTSKFKKTSRQWNSQKVTKKNMEQLDYSKKCGNSEDSQSKLSFYE RSEDEVSEDELEEINQEKKAVSYWSSFLSSQFGILNSNTTLTAEMVEKPLQKLKSQLV EKNVASEIAQDIIDSVSNAMVGVKTQGSFSKLDTILLNSLRDAITKILSPKKPIDVLS DALKAKQHSKVYSIAFLGVNGVGKSTNLAKVCYLLKNKGNLNVLIVACDTFRAGAIEQ LKTHANCLGVQLYEKGYGKDAAMVAKDAISYARDNGFDVVLIDTAGRMQDNVPLMKSL AKLVQVNNPDLVLFVGEALVGNDAVHQLQVFNKYLVEFGDRPIDGIILTKFDTVDDKV GAALSMVYSTGQPVVFIGTGQKYYNLKTLSVPSVVNALLKS cubi_03753 MIFTSFFTVSGGSYGSEVVLPVIGLRYFTFIQICICFFYAIPLI LIYELLNKSFPPNSGPKSWCESIFDPFFASFLDLIYIFMEIGMLSSYVGVASAYVHSF SRSLRYGAFSANSQLTISVIVFLLIIAISLLLTYFDDYIIWMFTIVVAPLIIMVIFTF WSIPIKSWGTIPDLPEKNQLNWIVGLQYVMWLNCGYERSFSPNLKTSKAQLTNDRDFK FCLIANVVLVSILYILPLWCGCCILNHFNKDSRSFQLGNFFTLSGFLVGGNILSSLIT ISACFSSIGCITSDVGLVLQFLIAQWCRIKNIQNYRLSFLQETLISLGIVMFCSFLTM LINQEHFAAGASTLYGFITLITVIAYLKFLWTIDTKETFPDSEFLLNNKNITKPSHFL LLNSLYYSKLPLRVKQVLHSTLAIPTIFFTLIIFFTTSSILYLAIILVALLVTPIFVP KNCKSQNSKNHKIETSRKFPAVLNIL cubi_03754 MEISGNKRKREFGIDWSLIEISRFLLRISYIGTNYYGIAFQKDD QVPTIEGEIYKALLQLKLIKDIDSCNLVRCGRTDRGVHSVGNYISVDLRIRAKNLEPY NYMEMLNGVLPWDIRVLGFCKVRPDFNARFDCEYRLYKYFFPLNHVRTKTSKFPMIPP EQVPLMDKAAKQFLGTHDYRRFCKMDLKNRKNQTYIRTIYEFDISLVDDNKTFAVATI KGSAFLWHQVRLMMGILFEIGQRRFEPEIITKMLTDAEQKNGADFSFQMATELGLVLW DCVFKEYNIQCNRSSMKTFLDESESLKLKASLYSFIGS cubi_03755 MFKKTLASIILILIFIISQAQGKNNDISSLISNLVSKYMTKEEF LNECRKDLPKYRSNLTEIQVYFLCLRALRSSLDSIYKSPVGKLLNSDSVPKEVVEHKN ENIEGYRKLLDDVSNIFSSDVFFDEDLLEADNGLFSNSIQAIIEADKREIRRKELIEK KAKEIQTADEKLREEYRRKLEKKYPEAYHPLALEILSTISEEDIKFWMNLPVKLKLPV LNYYFLLVATRNQISEKRDRHKIFTEAILSTIISKNTIVIPKYMTLQDIRYEICKLGV LYKFGCKIAPFSILLSLPRHSFKIWKSFGLVLQFELLIAMTYSIDHKMNFDDCSEEIF KITKKNKLGKEDETKIRVYLLHSFPEEDSIPMKHFKSALGYLLDTAIDNKPSKSDNKK HPYSITYSNYEKVKSEETFSITSNKTESEKEDNKKSKIESEINDRSENKEEKVFPPFE KKETNLTTESDTIETQNNEYPPTDVYSGSKNNTDNILDIIKSTEVKEIKENNGGKDTE NNQIDEEFNLMSLVNSQTKTRDAKITIRSEQSNGLKKINVEGLSNLPHKEYNPYSNVG IGPTLMHIEEEDEPSPDEKEAFALDDESNNGSEENGGAEDQEAQNIENVDNDETLNAE ELENKTGEDDLNTKENNTDEGDSRDREEKDMDVDEGEKKDENVDKDYPDINGQDGNNK EHTLDDLDKGDNDEENLDIRGTLEGEDEGDNKGERDSDLGNGLEIGGNKYDDKDSDSG GDRGDHEEESDSGGNQHFGEEGGEDLDHEKDSDIGGGIGGFGMGGGDDKDDEEGDSDS GDNRNFGAEGEEDLDHEKDSDLGGGVGDLGIGGGGDKDDEEGDSDSAGNGNFGAEGEE DLDHEKDSDIGGGIGGFGIGGGGDKDDEEGDSDSAGNRSFGEEGKEDLDHEKDSDIGG GIGGFGMRVGGDRDDEEEDSDSGDNRNFGAEGGEDLDHEKDSDIGGGIGGFGMGDGEN KDDEEESDSAGNRNFGAEGEEDLDHEKDSDSGGDRGDHEEESDFGGNKHFGTYGGEDL DQEKDSDLGGGKEDSEKEEGGFDFEDDKHLGTHGGEGLDHEKDSDLGGGIGDFGMRGG ENKDDEEGDSDSGDNRNFGAEGRGDFDHEKDSDLGGFGVENESDNDNKGPTLEVDLGM EDLGDDKFKGGSSLKDAADFEIGDDNNSNFGKGTGFEIGGKSKSEYNDSSFVHDTDMR GQFEKENFSFETEKDDLNSQDSAPVGNIGLKDAETVDTDESDIGLEKGINSMLGDRAE NYKDDNDLDSNFKNLDMPIGNSGNSEIVSKDNFEGGEENEILARSSGFNGVDMTGEIP SKELGLGEQYFDGSGGLRTDGELDFGNEKDMENLDQTLRDLNNELEGFNSDRTGINDA FESERDRKNNIGMNEILRGEDLKNGDGFEQRNVNNEINNLLNEFEKGNDLSDGDSYNE LGNIIGEEATDLKPKETTRFLDSLIKPDETGIEDIETNPLNNNDSGITISGLEFGGDI GSQSFDNKSNPNLVEIDSNIPRGMAENEIDAELDTLGDFRASNVDIDINPNDGFGIQD NKMEEDLAELEKLGEQGTGTTGIHAEFPDNSIDQKPEHLNDEFRILEELGGDDSIEED EFAFLERLVNEKNNNKNYDFASTVDSEEFMDDDNEYNDFDLPDGHINDKKEFNSQIDL NTQDQVLEEIYKPMISNDHSNLKIDSIPVIKDNSIMGISGDFIRDQDDPNSPYEEIDD IIDDLEQKGFFPIEAQEINTIDTAKSDSGQLTDAYINKIYSDLKEKDGSLTDISIQDS DSNIYLTKNNGKIKDHTDEIGNLDVLTEDKILDQNDEEDFGLKEMFMENDLDKNFRES EFIPFLDELQRGNGMLENQNVSIDTADKELIDLGIFDMNTENESNKYNIVMETDPEES INILFENEDQHQPMIKNEVSLGTLDILPEISVKAEEVDSVLSSMIIDKGLNVDLSSDE LGLGFDAQVKNNQEKDLQDDYTGISDFFNEPGANLENNNIEGPFQFGMLEDGGGQNNV KSQEDEEDLYLDMLFRTGEEKSTGIDKNVSVISFDHPINNEEIGRSDEDIDLSEIGWL NEGSAKNDEKMEETNSVINDLNKLVGINEVEFVDNEEEQMFLDELIKKNTNENKDLNY YDDFDDLRLDEFFEKEVNLNQFNTESENRGEIDISGITPVKKKDKSIQEYTISSEDLD FLYKQSEEYLSRSFNKQKIDSISDDSEFLDSDMFINEGNQFKKSTLIKLNNSDPNDVE LEKNSKLIPGTGRRKEEEKAQEHLKKKKMLRGASSEEDKEIKSTNRSLGTILEKKKQD SEKEWIMSFAPKLRPGRMKRRRDLFTQEEIDQAIKDAQKA cubi_03756 MKLYILFFVFALSILLIGRPKIVESSSFVSIQGSPNKKSRSGIF GKLRDLFKRNKNKSKKVENETTTDSNYAKNDYGNFLDQSEAEDSEDSKTQYLDTNKDE ASSGFSEGSLYPPEEPEIFPQDKDLGSEESKSAPPPALNQFSEESASFADSEQLKPQE LISIYEDDYENNRIGEPGLHKDIKEESEKSKISEIEEEQKPSVYEKSVEQEESTKADV LGSLDSQIPEEISLQEGSAKSLHSLEKSKSDIIEDNEKENEESKKPKLDTTSGEENHY DASYDSNLEEIEKSISEYRDKFSENFSDELPHETYDRLLNEALNHESQSTLSSEKEKS LRDEENFGSERSESSSTIPKKETSEIEKYLEETANARMEESEASTADPEERETIDLNE SSSKYASQGVTEEEPEDLEDYLVGVDIKVPMSSEHSVKSDIKSDHISTEYDREKTKSA TSPLQEQEQELESEKESEQEFEEASKSAEESTQPSEKSTGFISRLSNSLRNFISSFGD SKKEPSVSVSKKEESIQVPFEETQKPIVESSEKEEIEESEELPDPNKSRVKMLIERFE RENARNMPVPIGVPRNRLGLRDTERNTPKKNVDSLINFFEAEDIKAKEEAKRYQESKQ KREMSRAESSVLQLQENPEEDLGEIQTENTKIEESPESGEEREAEIMRSINGEPSSEL SNVGSETSVVSQNLDYDESQEELSLPLIIPSIDGQHELAGGLIWALGVGKYVCNSKTS PAKFIFPPLSSNVLVSAPNQEQITKLLQLSGSEVSEMIADLVSSVLNQN cubi_03757 MGLGILLSLFLLIKFGVLSLSVNISPKSNPFTLDLDYITESKKL ETTPKKSIIKLPAPDELSSTKGPGITEGELKLALLHSKKIANELEKYKSKNPRDIDEI SISSASTFNYDFEKPDDSVKRNLESPSRSLSNEEKNVDKLLESAINDLDKRRRYTLDE IEKKKKIPPKPPNKNKYDNSEAKDNKSDQLSFLTGINLVDDVITPLENESTAENKEKQ EQTLKPNVPPTTKYPIYSGKPEKKVKSLQVPQKNIVKLPHKKVSQEQEKTGKEKKKGR FNIKKILNSDFFKFFKEKKKPESKVTLTISRPIIASSVRQTEDEQSKRTEITEQTNNN TDFEKKINVPLNILEEEEQDLQVSGKVSPIPQTSRTPSPQQSRTPSPKRSRTPSPQQS RTPSPKPNKTPISQKSRTPTPEPNKTPSSQKSRTPTPKPNRTPSPQPDRTSTPESNRM PSSQSNKTLISQKGRTPSPEPNTKETAEETKNIETPTKRAKISQKVLDNDQEQNLDKK IPRPQSSESIVPNRLEISEPETDSSIENSRSSSRIRKIRERLPSMGSDNVFYDSDDYR DEVINSIDFEGSSSSSSEPSIYSAELYSNFESSFTPQNLKDPLEEINIGINKIHEGQR VYEKFVPSRGFDRILQNKLINTYNSDDELVENSIFDKIKNKIKRHRKEAKRKKERSKG IPSRVITEKDVYKFADLRETEFQPEEQIKTLPKVDITKRKIPKSASKSNLADSLPINE PKNEVESKGSDDEKKKVDQSEIRRSIEEFRDIEMDRHELESDSGSLGSYSLETKQEYE YEPIQNPLEALTYNDELKQLKYARDDYFRNKFLQGLSEETKKEESDKLLSQIAEKEAL LKELEKISNELDKKRNQFTAKEESPANEESISDDQKLEKQDKSVEANIKDEDGDEGDG EIEAEAEGKVTAEDRDEKDEPIYPEQSLKLDEDAIIMGAEKKENNKGIVVLDPENANY EVYYDDKADQDEDGLAPIKEYNEEGLMPIQQTNKLSESEGLIPVGGFSKLKELQAFED PDRSLLPVPEESQIPFEEVYLTKHPELLNTDTVGTLNKEKAIKKAFGEKSRKGGILRK REFENFNLSNDAIDRLKRNPFKTPEEKEKIFRILRAKEELNSLREEERKKKQTKQENT EYSESSIEASELYPVESTRSIQKPTINKISDESLLAGDFEEASETSETTGISNPLQIM VGEKINFSDSTDTVASENEMSELAVEVGLARLNAIKATERKQEREKNSDHQHYAPYVR KNVQFNPKKIVETR cubi_03758 MAVVARKNVKLSSRNPTKVKPSASTKKESQISRGRSKTRANSEI KHHSASPHPTRCLRGVSTRATFKRSPSPRKDWPFDEAILYPPHPRVGSPVRKVKAQSS NSFLKNSVLKTNAKINSSIKPMVSQSPILKKNTHHSGSPSHHLHPTRCLRGVSTRATF KRSPSPRKDWPFDEAILYPPHPRIGSPKSPQIKNRTLNYLKKAKGSTKEQKSTLKLPV RSASNRSNKSNKFKKSPIKSINKKASPNLKNKTQEKVIQHTINQLNKDSKTCRFNIKT ESIATVLDGEEEYIQSPVTSMHVGWGGKLLNNNYWGHKIAGENIIQISRAILWNEIHN GSLVWKPHKCINDFRLVSHSRELYNPYPGSSKLEVAEYIWQFRDLFNTANQSNPDFPA LYELLFVKKDSFFFSMLSKLYGNPMSHESISRELFSQSLLLYHKNFVRINEVIQDQSY PFSVFVMEYLPHSCMKWNFTTQSYQAPKMDPNMETANKVYTLHGSKLIFSQVLEAIKF LHSKGVGGIYFMPESIKLSHSLDETYIDSNGIKLTKLLFATITKINKKIENFAEKSNK IEVDLELCDFWKSSKSINISNEFDSVTINHINQIIQEKKSQPNNIQDDSFLFNPMPFI EDLRNFYNADSIHNENMGSEKGKLMVKLSSPFKGMATKHKNTLFSGMLSKLTKNFNKD IFLGNKWLSPPELFNRMFNSLNSEVDLRKCDIWNLGVLLHCLLVGLPPNIVNRNVVLD RRVPPEAKNLLLSLLKVDPLLRPSIFEIEQYIMERF cubi_03759 MLQINFRISLLILFLAILGVSSALNGTQLSDMLSNSSGYSFPYK EMEVIIGGKSRRVLFIPDGTSDECIKAAGVRCEALEKTGVLCVIYKLISLDFGYGVEG VKNDLKLTQSESKYNLIMTIDRDLEKVVSDEEEYKRVMPEIERQIKNLKINSKFVFLV SKQGGGKFVYTGNGGISKLERGTAHYAYLYNDKVDNKVDMLSGADLRNIERARQLFIE YLGKMDGGRFNERQIAELAARLRVIDSILNSDGSCKKCLELNKSCSHCLDFVSSKRNR DYYELAKKFRKYDVSIETRISKHVKKGTYATLFVMSNVNDSRVPNFSQSIRIGSMGNV KSTAVVSSGLGVNCQGLSEQDLVLVRRMISLFSVYFEDLKLRSDYDELCVAVSQMSKS PERSGKFASPYSVETCAAATALSLGNFYPSFISETGGLDPATENSLIVFCSAVLRQEP LGLVDNLSPVYSPTGVLVSPTGGKLDAAILLIKSRSEESVMTSLLEGSACSLQDMFNA GWKFDKKLKIWVRGNNNRIPLPIMVRYGLTEDGKLAAFSLEGRDAYLYSKGADVLKTD PVYTPTYSSLIGDRCLSPSNVENFKSVSKVYEHETLAELNEAKKNVEFAALNSKKASK TAAEAVMKYEEAIENEKKAMELAKIATEVKNYHDAKLRASQRAAELARLEERLVEASE KRAQAANISAKALIEASEAEARRREASNRAKMAAEKATEHIKNALDIQKQLKLQLKQD AKLSASYESAVVTEDRLRIAHNEAQESLEKIIKNEREITNDFEKDMNLLLEEEQRLRK CIEEYSSRRLALLSKLEEINAVLTGKVEESKRIRDQLREETKLKQHKVEAERAELGKL SNLMVSQSAEVNAVSISIKESEILLKEMELVAEQKAKEAEQARQSFVEMSVKIKELHV IYETLTIKLQGLSTNQKKLEESVKIAEQDLKYMLERLAEVEAKISEYEKSRAALDEKS KEASELLARETLLISKIEEIKNKRSLRKQELDKLLKEQSDVKSEISALLVARNSALNI IRKTLSDIEEARIASQGKTAEMRSQYKKTSMLAEQSMKSVEELKEEVCNALSEEELKS KDLQEKIKCVNRMDLEVKKAEDELSKFSQIPAPEVPLTPQVPRIRCEVIE cubi_03760 MYLFIRLSLNLCDYMTLRTVVGKVFVNRQLSLKYRSLILGLILV LLSILGRVYSEEENSLDINELNRNVVFTENFFLKHMPDAIKGEEEELEGLNEVEKASD VSLIPLDEGFALDLDSRTMTRDAADKERNELKEQGEKVVRAHDDELSLVDQSEEGDED ELAGKTARLDDTDPGSEEQKKILKYDTEMKKKLLKLRRKHKYKDIPFGPQAPHLAMVD IFGVTGDDKADPFMEIYGLESRYDAERDLEDYEKLHKSIVNPTRFTERKIWGYDREGN PIFSNKVWLEQKMAGKVRELSDGLKKKRMEYVFPQKVYSTDGFLDEFGTFGVKSLLDK FRFKQENKSKEIRKKKPVSRLKEPEIVKKDIVSGSGRSSSDKYINWQVARRAINLEAR NRMLKDFEGVEDLTGVINTMNSNNPDKQRKDAEKLFWDYYSKKKQKQNVKRKEIYDLE ISVPKISFSFLPKKTLFGWREVLFDHAMESIGKYDPRASQRYDALLGSDQVKGIPPSR IIADMSSEAIRRPKSHRLFRNPIKGFKNMFCERLEKKYREYVADVLQLRAEDSTYNEL IDLSNKYETRQDWLSQFENSWVFIPQGKAIPDDISDNKGGNEKADNEYEKELLSLELD TIPASEIEIFMRQHIKEDILFPDEDEAEEAKEAMFRKIIRETAEYNENQPYKLPHRPR ASRLLPVNDGYNPAEALRQKESFNTKTTILKQGRVVADPIEKKKIKILEKGERKRVEA GLDREEYRRNLERQIVQDSELYLNEEKEKPFNNRKKSAKNGLNQAGILENEYVYKDEG RGPRMKDPPFSTDAVSSTKTPYRKNPIHKTVFDNYSGIDDT cubi_03761 MLFRLKPLIFISCLALSLSYFHDLADNKVYADGQIEHSYLQLGK PRCLSCFSGIGGRIRGCFGRLFCINRGDGGDGEYDGYQSHNNKMPLQKNKVTRCGIKL RATKSSSKRNDPNVSDLVIENEHTENTQGVGAEDNNLPDQGNEETQGNEGTQGNERTQ GNEGTQGNEGTQGNEGTQGNEGTQGSEGIQGSEGTQVKSSLGGQSYRPIPKPRNKDKK GLEGKPTPKPRTIFFNMN cubi_03762 MCEIQFLFILFISINVFLQLVLLEGNNHLNQSFEFSIIRLRSST GCGFKSLLCLGSSRNRKSGSSSSRNDEVEGKSRNGRSPPSSSLQSPSQSPPSSPFQSQ SAFGSYASFPPEDPNSIYVNMLRTQPPFPLFPFTKTDSGCKSNKKSSDSEHNDEVDGD SEVDSVSEDDGDSEDSSGSDNDYQDMSSLGAAYVQRPLSVYDNVPETRGLQSTNSLYE NVPGENSVTNHGNHAEPQGKPPLPPNWRERALAASQKKNQACHRSHHNSHRRRHHRRH RHHHHHHHHHHGPRQEDKYDSPPPKPFLPSGFRLNRY cubi_03763 MFLLSNKAAFISVFAIAFTLLNKNDIKLDLDKISMISLREPIQK VGESIKNSEDSISEKVPRLFKNISPLNMDITEIKMDPVLFQNSNTSRALNCYESLPQY TLIRGILSEYSYFLTMYYRLKCEHYNEYHDGTRCGDTLFLIDLLENKAEILKEKFVSK KNECFSLEANAISVQSRYFNSNGFVGRSENILKDYELLMLERSFLRRVISQFESTLTN KTIEYELSCMKNEKAEDNSVDCHLLRTECWILESELLSLSEEHFKRVSLFERTKDILV cubi_03764 MKFDYSQKELEIIKLKDEKIKIIIEEIGYIERECIDDLFQGLFF IIIGQQLSQKAQVSIWERAKRMLKSIDPVTISTYSVEEIKKVGLSLKKATFIKGIADK IVNREINLNLLYEKDDQEVCEELTKLDGIGVWSAEMVMIFCMNRKNVFSFSDTAIKKA LKIIYGHKEITKDIFENYRRLFSPYCSIVSLYLWEISNRNYKNKLSKKNINL cubi_03294 MVNHEIFTNSNKNLPKISPKVAGISFLELPTLYKKKPNLGISEG ILRRHFKKMSLSGLDLFISGKLKKIVYLKEEKIKLEAELVSIKKVVELTKCNEKNRKK KGKKSRKCRDTQTQIKKIESKLKEVNKTITHHKNDLIKAKYQRKKMLLKSGQQEEVAQ KEQEEKTEVAESSKSTDTNTAGIATSTPTTEPTTTSTPTPTTTSTPTTTSTAKPTATP TATPTATPTATPTATPTATPTATPTAKPTATPTATPTATPTRSISVTSTADIPSNFIT NSGSLNSEMFKSLTGDYLLYLDPKKEDIRKLAQGLRSSVSTFVETILADDIIKEVKQF KCELEVLNKLFVIKFRVSKALERAKKRYSETSNVGQSNFLINHLGKAKQPVKETEKVI LLLLELVDYLNKLLEICERIYNVTPNAKEDFYHLLIKTKECEFSNYETVLDLRQFLIS NYNKFPVKSRYGARLFIYLITQLGGFNQLKDCLSLKKLQNWMYLKTKYTNQVEKYKRK LQAMSCNACNHLNYECTRNICITESTRFILTEKITIYNLLIKLLFKLLNQCNEILATN QSELELKAEAQAYRKECKYVYNIRNSLKLLGIYKNLSEDQGKQVTNNMHKYIQNIPGF KLIFDSHDCNAELVTMLLEFISRLNQIKELENSDSNLYENPNLSEAKNNKIHSLKYLI KLSLDMISFCLTFGSFAQDISEEATKFNFNQKLKREININSDLHDPKVLEGLQLLLSE KHVYSSLECNFKNIMLIIKEIQESEDLIHFYQEKLQNEVHFHGSSGKEHIDEIINKRN SLLGISMNNNNNCPVCNSTKVKRNISVEKYYLKLLMEFLDFCIQKKMPDLIRKDNSKS FGSSKDLVELTDFGVDKKEYLKSIGKMYNGMVKNLLSDYIILLLNVLGKFEMEILNTL LNKMSVNISGLNECTAEKILALEKSLENTIKLKHRLNQRNYNNKYEEIGHKNANRGQV DYNGFMNSDLMVTKRLHGRLEDVLEALVLIIKDILKRCGSVVEDIKKGGNDVSTMPSL QYFTRNVLSGLLLDQEKITKTFFELTSNFDIFGHLITCLENNYLNLLLKYNTLFEEFN RRLDDYLNRYSSGIGIHYEEDGDRLKGYVQQSHKHFGLKHGCTKCNYYEDLMVIAGYA LALEYFLSAIFACVQRVEGNNGLGQIKKYQPFKEGGKDNIVYKSIDEILRLENINSIL SQNVLSSKSHPLNKFIDNYKIKPEKCTQSFIKELIEKIKEIEGIRNRTKMNNHVELKN TGLFLNVYSSILKFCYRFGIFELFKSQLNTPEVKMSKVGLEFFPNLMEFEFPDFPLKD GLLSDIELFGFDFLGEDGDYSSQFDLETGKLISKLKEDEIYLSAFDEKYGSRGSSLGL VRTGERSSEESGEESLESKIWGGSWVDPEQTGSWKESLKESLKGAWKGAWSGSSKKSL KGTLKATLKGSLKGSSKGTWSIPGLTGKKDGGNKKKWGEEGKLVFPIMTVLSEAGPEQ DVLEMLDYESLLYLNLVKHTEIASELSSLLVTKTLRTMIRFLTGCNLNLLYMINSRIL TIKNIMIGILIHIDYCGGPTGCDALLELLKSLIKELESYLVKCMKLNKYKKPWLHVKK LRSHKEDITNLLLAYFRDDTNIPKDCFSINLNDASRYISLLFDRSKRLAFIIGMTKAV IQAKSDGASNSINSGTLAELELEEMIVNSAINRNQHLFLVCKEYIEYIKNESVESVDV LNTIENLDALVAISSDQIEEFNSKVSGAVIYGVSGTGGIGASGIGASGIGASGIGASG IGASGVGASGIGASGVGTSGIGASGIGTSGVGAEGIGAEGIGAEGIGAEGVGAEGVGA EGIVTGTTWYLTNENDIWAETTTGTGNAYTNKDKIQRAVEESTGYNTDLDIYTDSSQS TKKEKKSGPKHSTDSYQSSKNIYSVLLEDPEVKNFYSLYLSLDKNPLPLMQLIEIAGF DKQTLIEILLRNLE cubi_03295 MSFSKNDLVWVPCEKEGYKILKVDHVDLINGKLLLRDSKDDDSS GPCRTEKVSIGTCYKYVSDTDKDDNTSLTSLDPANILENIRVRFRQGKIYTSTAHVLL AMNPYRDIPNLYSEETKRSYYGKHISQMPPHPYSIADHSYRNMVLDRRNHAIVISGES GAGKTETAKIVMSYLAEVGILPSSTEIVGTDEGGMTEKQRKRIMQIRLSYASHTIFGE QEARDIQKRILSANPILEAFGNARTVRNYNSSRFGRLNKLYYNEHGFLKGGGITTYLL ESSRCVKHNHNERTYHCFYQLVHGATDNELENFYLKRDASRYSLLNQGNLAYSSEVES DREQFNILKQSLNINGISNERQTFLFQILSGIILLGNITFKDSDDKGTLKDKEKLEFD DPELVTNIANLFGISTDLLVDILLVKQLKVGNNQTPVSISRTGQQSLQLIHSIIRSIY IRIFNWVVSHINRFTSCKDEDLGETVSPRKQNMTNSANSLYIGILDIYGFEKLEINSF EQLCINLANEKLQEFFVEKVLQSEQKLYQQEGLVWTNIEIPKTQPVLDLIFDLFSLLD DDSRLKSQGQDISDLTYWQKINNKYGKSVHGGSLYGSTHSPSKILGLCGGETASKLIK FPLNGLKKLNQSDIATVFSIKHYAGSVEYTLNGWLEKNHDKIIPELEEILENSSNEIL NSIIDSEYKSRKSASFRSVSKKFTKDLKDMIQDLGEVSLQFIRCFIPNSHMRSDIWNG NIVLNQMIQSGTIQMVKLMHYGYPNRASYSTLIEQIRSLLPEKYIYGLSDRMIVEFFL SAYSIPSNTYQFGISKLFLKSGQYGLLLDQVNDYSKGNYESGLIIPSEEILINLRKGF ARKCLRRCIMVADIVSWMKNRFIVLIRKRRQLMNLLSIRIYRWYLFYKHIILPLKRQV EYRMPYIIGIKIVDNMIVQGRLRMKKYFLDILRSNYEEFKIVNKFEKNIQKEEKHMTD GTSEILRNENNGEIMNKLGLNQPNFGDHIKEGYMTKTNNSLVKSENELEKQGLVGLEI WKQEIFSFVGYKKNKRHNYLIHYDGNSVCLLEMTRDKVRSYELFIPKDIMEENDDEME GSILKFISNSSETKKRIQENEESLESDDFEYYSEYITGKETKRIEYELGNPLCFAQHP TFSTSFAMITERGSIVTFKLKMELFPDGSGEMYDDRDFVENDEYWDIINSTPSTKLPN LGFDTDIDKNNEINRVSGDYYYNSIRLNNKFMNGNMRDFDVVKKDEVGQKERYNDSVV YTKRAGKKESVENISAMSICSSVPFNEYIPFEWIEKGHIFVPLKIHYIDPTSYQYLGI LWHVEYSKEEINGVNNSTNIDSVRLPIPISLKKNKRRNISENSNYIELSYKEEKGLEI FDGLFTVLDLSTNQIISWINFPFKLSSIVEEWTGKDSEIKRGMAWDRKNSYHPKCWCN LFGFAMRKDSSEIINEDEMNDGKLIVNKDTSLIYDVIPTVDVLKETCFISSEKLEKYI KEISIEDEGIRGVGIEKITVERSLIFLGGPKLGLILSLEIQTNEEVLLDCKMNLMHDI VGIADKCSKMDTLQYSNGNVTSDRINDDKTILENSCWFTTSLWLRDGNFNFQKTIISN VFPNSQGINASTSNVGLNGIHSRLADNVELTLLLGTINGRILSINLNKNDYSPKIAII SPFEVNDYNHQNQNKILNGGISNGIVGQNQNNANIRSCSIVSIFNLNNNNKISCVSST GDMVTIQLNSFGSRYIFSDKLHICLDKRYRPFIVQFVIFNSKIGQSRVIDNNLSQSFV FLDAVEKNLVIYNKNLGTAKSIATII cubi_03296 MQMGLGNENKYKGIKIEWNSEEVIPYIKGQLAEIRNNALKTVEE AFSGINDTKNNDLTLFEVERKKSDDLRNNPTKEDAPPLKCSQLELLKFLKHSNDKLSK YNIGTEKIHFVNT cubi_03297 MKKYLFSIFLLGLITLPDKISGIKTSRGKFFDGFQLFSSLKPNI QLRFLQEDNDVINNENILSYFPNPLNNPEKW cubi_03298 MEEGDYIDTLLEDIKNTAVLKCGENMAGYNIGVAILSSSLGDGG EITNKLLESWNMRDVNCNNDIVFVYLASENRAIIRWGVSVEPALNIVMYPELISEIDS VFLDKPIGPAIYNGISTIRQQLKENIGPPRRLPQLLVLSIIGGLTVLGFGALVVSAIS DSNPSKSASRLGRRIEAQELLSRIQAMVKDGSIDKELCPITLIDLNRTGVPYVICNKG YKYEARSYMGWMKANRCGPETDPITRAIEKNEFITSNREDVPAEFRKIPIKLYLESLS NIYPDIISEQNIKDFMKNTNDRWIIP cubi_03299 MSISDSSVIYKNKEVLEHEISELNSMISEFEQVLQVLKRLPNKV QHEIMVPLGPLAFSSGYIINTNKVLMHLGSDLYAERTTFESQKTINRRLSQAKDCFET MKKNLSKIEEALKLKDEFSVNKDSYLKIEAEIKEEMTQGDEFIKNITFKDGTAEIREE ISEDVDISKNLIPPPLSSAMNQKPYIPDSHVEEQDSKLGEEYNEDNMETHIESVLEKK DNNELDILETEISNLNILKEPKVFNDKGKPISIFKQRMAAKK cubi_03300 MAFLTKLKTSKLTARKTSKDEPSEEPTKEENEEIERPEEKMVFY RDRQKANIQSRIGGLSRNLTSLSNNGGGMEEDYEQNMVKSHLKDREKTDDDIKTISKA LAGNVVGASLNESEIATLVSSMHYYEYEAGEVVIEQGASGFYFFVISSGSFGVEINGN RVNTMSGGTAFGELALIHNTPRSATILVIEKGGLWGLGRSTFRDTLRLISSRNYEENR TFIESLSIFSGLTDKQKNLLSEALVREIFVKDQVIIREKEIGNVLYMIKSGIVGVFVE DKYIRSLNEGDAFGERALMFDEPRSATVIASATTECLTLNRGVLTQILGNLGQVLSKN LIQQSLQNSPIFKQFTKNQMQILLDKISIKNFKKNVILSGAETKALNMRAFIILEGEV QVSLPSNWLSRRASSLTSMVIDKSIGSVNNYSLSDYINVNLGRGDYFGDDFVFHPKIP FVCKIEMKTDSTIGVITSSMLSECFGDENVDVGLEINRKRDAIKSCFVFQYVSEQQLS LLVKSLRLVKFTSGEKIVVQGDKGTAFFILQSGEVAVYRNNKFIRYLGKNDYFGERAL LYDELRSATIEAATPEVHLWTVDKEAFLKIVEPPMRRYLDERIKLQETRVQMSDLNIV QTIGKGTFGVVYMVENTKSGNKYALKKVSKSRILALNMQAHVKLERSILALNDHPFIV KLVRTFKDTENIYLLTELVPGGELYDAIQRIGLLTRYQAQFYIGSIILALEYLHERSI VYRDLKPENILLDSQGYIKLIDFGCAKKITGRSYTLAGTPHYMAPEVILGKGYNLSCD AWAIGVCLYEFVCGYLPFGNDAVDHLEIFKDILTSKLVFPRHLNDVDTVNIIKRLLCR VPEVRMGCSATGYKEIKDNAFFKDFNFDRLLGRSYVAPLVRKYRVFAKNAGSENNIEN ITGAIQDLEVLSPKNSNIKSNLNINSSGTRGQSSNVRGNKIDEFDWDVEF cubi_03301 MILSILTYLKELLVPKGSFGNGPNSKLTEIVALIIISSLLLLGF YWALIFFFIFLKTGQLTNISTTIAVYSRLEVPSMTLCSLPHVSLKDSILDAYTNDRLK VILIYRHANKNYFNFNPKIRRCYWEKQSLNNHEQCLCIDMWNQKFFSKTNAILNPPFF LFQRQTLDGFDADSISIGIKYLKPINSTNNNNSSSSNDNFIFNHNKVNNITKESSSIS EILKIGIYDQPMIIANQHAESVEPSWFYSNIGNYAQVSLKLLKNYVFDVEKFYFYIIK GYVSRQENEYEIVVSQKNFNIRTPKRRPENCRMPYWIKIMNQSFYQDLNIKRCIDNSR GSRREYEVASFTKFQISFRDFSVTEVFRLSGASVIASFLTLTVVCLSAFNKQLIYELL FPYYEDINAKYTVTTIATFFSFGYLKKYTED cubi_03302 MGEFEESADYNDELCLKALNNLDRPPEIDEPFLEFFKPKPKLRI KQKPRGKSLDSSDVAGASTVAFGEELEHSGSISIQKSASVNRNELNILNEDYGVKSSN GDSKNIRRVRSEMSRFNSANEGMKAENLLSSILILPDQFGKKGSKTEVRKRKLNSSGS INSSKDEFLSVITPISPYVISPKSNNYNYSNGNSHDKKLTEKNIDLLNSLIEIKEKEL DNLNTTYSYEKSEFKLEIIRLKQIIKESEESHLKLRKEIVEKDEALAESKQNYEKLEL DFVSTKQSLEIMLEAERSANQRLKQELREKNAHDSSLGYFGENSILELQSYKLKYFEA MRKLQRLETERVISGSRIKGYKHEEGRELINSDSVPIFVGVSESRKYTSDYIPENLKT NLEVCMHIKEDFQEIRNDAEVIQLISENSLLKENIIKLIEELEIEKNKSIVANIRNLT QKPILISSCTSTRLSMHEIDTMEVKARQLEQRYEEPGFKLSRNNVNIQIICPKNRLNI EKLDQLEIKIDQNFESKLDKSIQTHVDGNMCVHVNTGNITEINVGSYMSENIQITQDE IKSENDISLYDLAFKDYNFVPKLLNNFVKSANNQVEESQECEQVNPEISNTDFKEIEI ENKMENIKNKPIKQTDLSRSYLISRKCEFLNRVLLELKFEILELRRVVLNFMDQPKHF TETFDNLIKNRGNNGIDSCGFSEGEPLLSIVATTVLKAYNLYLKKASQVEEFVLKDRE WRSYSDRIESELNKYSLEKSELVKEISYLKRLLNEKIEKEKITVNQVEKDLNIHSYNF NDEVMSCYTFGESSSANLENEIEWNIDHYSIKKLVNSRTNIDEPNIEPFSEELEVLEE SKEITKVENESDSFNKIVKLQGRIRSFKQNRIKLQSSEKDDISLNNQMEKSLIQFKKN VFQDKNEMVSIQENIEFEDTNSFAFRYLPPQMNGDDLVSLGELEGMTGTAMMLRLYRY YKQTKLLEKQVIQLSKEKQKLELEYEKDAQSNQMNLLSSKLIIEARDMEIKTLKEKCE FLSNKVEDDIKNIKSAAREEIEKVWKPKVEEINSKCEDYLFKVSSLESELIILRQQLA FQKQLNSKDTKKSEYSSIIKGKYLSGINLDKYRFSRESIEKIPCGVDDLEIKRSDYID DDNDESDSIIENSSSINIQPTIETRGDDSDDQNISSLKSALSKLKTISNEYRSFN cubi_03303 MRKDRNSLTKNRSIIVKNEMENEVNQKEILNLCNEKNTLVCNIH GSEDSNKRHYLLTIYELYVFRTVVCTSHLQGKCKNSDSCPFSHCLTWQRRNPNDHYYS PKLCPEICFIKSNEKMNLIRRCKKGKLCTFAHSKEEQLYHPLMYKTKECSLYPNCNRY YCPFSHGIEQIRTPERVRQSIEEVAKNNSQGILKDPYLLLSNIVNGKKPNYDIKNPKS YLDITKSKKNTFLKSKCPNSTLSNSKINNIPELVQKDINSIWDSVDSWNLNASNMNFH PAFMEIMSFNEQDQQYIGPDFNPCSFNSVSPESRFETEYDQIWKEISTEYNKKKL cubi_03304 MSTNNKNKPDNKSKTGQYEGKLPNAIQGQVVTRFPPEPSGYLHI GHAKAALLNYYYANKYEGKLLLRFDDTNPVLENEEFQESIEADLKLLGITPFNVSFTS DHFDTIMNYCEEMFKLGKAYVDDTCVEIMREERGKGIESKNRNNSVDENLRLWKEMID GTEHGLKCCVRAKIDMQCKNKCMRDPVLYRCVLTPHHRTGTKYKVYPTYDFACPIVDS IEGVTHALRTNEYSDRIEQYNWVINALGLRPVEIYEFSRLNFVNTVLSKRKLTWIVNN GLVEGWDDPRFPTVRGIIRRGLSPSALLQFVTEQGPSKNSNLMEWDKLWTINKQLMDP IVPRFFAVGQNAVVLNLSEAPEEPLINQRDLHQKNPDLGKGQIVMYKSILIDRDDATQ IASGEEITLMKWGNAIVEDISQIDKQPIGIFEGKLNLEGDFRSTKKKIHWLANLPSVL TKCIIREYDHLINKRKPDDGDEIQDLVNTDSLFETPAFCDPLIKDLKAGNRLQLERRG YFIVDKPFCNDKPDEPIILIKIPDGKSKASSTISSKVDAQKLAKGKN cubi_03305 MNDLSIEMQDSDLNSIQRNRISKIYSLSELLKTNEFKEKEEVSI NSITLEDIQKLNSETVSDQKNDQEGQKEYHLEFIKALKTGNLNNTENTKQYLNPSASQ SESKYDFDDKFEFSDQDNDYFEEDDDQNESYSLGHLNPSISKIDELLSLDKKKRIPEI YHDQFNYPPIIKNYYKEVNEIKKLKQHEVDHIRITNNGIHIKKIKNINKTSTDLNQPY SSIKPILNFSQCGLPLPIHHYLKKKDIIKPFPIQMQSIPILMSGYDMIGIAETGSGKT LAYILPLLRHVLVQSNNNYPFNPETNIQINKNANIVRAMIIIPTRELALQVYKQTTQL ANLVDLTTNIICGGLSISHQLNKIRSGSDIIIGTPGRIIDIMALLHKKIIIFQFISFL VIDEGDRLFDMGFAPQLLSIISIIRPDRQIAIFSATFPNIIEQFTNKILHNPIQVIVG KKGQMNQNVKQYIELLNNENDQFLRLLQLLGEWAEFGLIVIFCNRQTDVDELFAKLIP FGYNCLTLHSGQDHYDRHSNLTTFSKTNINSNPPPPSGQNILIATSIFSRGLHVDNIL LVINFGAPHHIEDYIHRIGRTGRAGNFGTSFTLLLPNEIPQSFDLIELAMKYSNNNTS NRHVTGNLKSNDGNNGNSTIIDSFGDEDSTIIIQPEIIQLYNELIQKNKNTSSISSNT SAKKRIRNFGFGGKGFKFSKNEKSSLQLLKDDTKKALGLIPDTNITEEQIFISSNYDD DANNNNKNSISDFNLPPHSETSQITEAEKALQLAAINAAKLNSTCNIQNPNIISVTDH NNPNSITIPNNLHQVINGRVIGIFEINDYPTHIRQKIVHKDIIKSINDNCGVTCQVKG IYIPPDSSSPSTSSVHISEKKKLYIEINGPNHPKVQKAIHEINLIISSIKQQSNIIKP SFTTGKYTLSNLIKK cubi_03306 MSSSIQKGHLTAPTTSLSNSSSSSSSTTPASSIGGTANVRRGET EEFVHFLFREGLLTKGSRMESYDFHSITPSLSYLIQKNSENPINGCHTIISAHSSLAL KKQGHSLHSKNFNLVSKPNTLIENIDTNSQKVSISPDSKSLQAEVPEQFKDWRAHDIG TGFLLYGETVCFVLARMMELAYSRVVNSGIVTCCVLSPNSNYLENESQSLHINNTTSS LNADTVSNGSETCVSQGVPKYGLVTEHPIGVLGNVFILNPYLEVVEIRAIIELEINNS NSVKQVFACRPDLVELGMTNFELSTKAPCFLLAVKCSIDLTLPIYAYKRNIESKCRTL KVVKEGNMVVGKDFQLSIEILMSLYTWLKSHLLNPKSPFFDLDYGKFYYTRALELLSN IEYIVKVSGGVEKTVSTVGGNINLNDVGLGICGGGSGLTRHFGISKFGTPNSRCSIST VASTNTPVSIGDTHADLAAPNGNLCMRGIIPGGGTPVQEGATGNNGTHEPSIAEKTYN NPPTSGRGKRSNVKFTNAITLGQNASSTVSTLVPGTIVRFRSQIPVDAKLIQGVPETD YFTHVQQVYYHFQKGEWRAVYGPSKNRQQKSFSVNKYGFYEAKRLAEEWRLRYLYSNP NGSNGQQGTAYSKCVQCGNGMAGNSGSCGRPACIKPGMDCVCKYNSNGLYEDNRGSGV STRCRTGRKRRSTRIEIEREIGGRVVASPSDCIPTKVPNKGNGVCNLKGAGSYTSSKI GEVLNEIPLLTAGNVHNTIQGEVGGVEAGISKAVIRVESRSPRVVPQSVSEEGARPGE DSVYSSIDGMIAFGNAEFSATVPDQKSCPGKISMISSQSPNNKSVLHPTISGGADFVG LEPGFKDSMTHKGLDMSASSTASTTPSNTPGGLTGACVPLGGLRYDHVQKSFEGEELL NASSYAGAGIMNTNVSKLGSSPSIGRIISSASSKATSSSTPMMISEISSPSISSPVSV GPNSCSTTISPDKQQSILGVGAGRAMIPGIIHPVSETSYSVVPSSLRGGNAYPVQSGM VASGKSGKSSSSSSSSSSSSSSSTSSSPQGDRIPPKGSIMLPQSTQGIPIDFSASENS YTGHFSTSVGILESSYGCVSPVIEESCWNSTENETMASSSISNNSNLVSVIGTSKNNI GGTISNTTTSTSNTAISASTCTNIRANSVNGSSVNNRIESININTNNSNKVSVLNTND TIINVETPQNSESLRMGGIKAGKSLSSISGKDNNTSCLSSSGTEKVSTSIVVSGRSVD ESLSNHSENGCRSRNRNSSEDNENSVANESISVSNDENSERRNGSSDVNRNNGNPWIK RNAWDEDLIIDSFFVG cubi_03307 MPIIKNRDAAFNYGCLMAELKEKMALFRSRLNKGEEENQGSLIE NKCKDESKIGRDSLISETELNFSLNVESSTKVIVCSDRMVKENESEIMVESGIDNVDE SRLGLSSDSRVFPYPICFVSKSLPTPPKDYNLRKTGINFNTSKYLESEEIACNRVSRK LMFLVDERNEGKNMCWQFKKENDNDDNYNLAQNQIFEEPLDRENSFVSQRITSRLKND DEKEKKINPNTLDLPKTIKAFQLSERNIIQKEQISKANKLLQDCQSMENIQSFNENLA SKSESFNFFKTKSLSDLEKGSNVTANTINNNKYATMNTTNNSNINENQSIYKQQTQMV PIIKNKILVSRQRGNIITNRYYKMASQLRVIN cubi_03308 MEKGKFSFSIGKSKEKESESLGNIFDEKEVISGKNRGNIEDFDQ TNSDNFQSISANFEYNKSINFYNEHPELLEDFDKPNEIKGREIEKEQEKKEEPKKVSL GKSKYLSKIQKYVELRNIEREEIQEEKIQKEIQEEENTQVFITDSYKDILEERKKFKD SFIKRKLSEGENLKISGPSSIFEMRFSPVMDKIKDEESNQQVAFDDSKEELMIKDSSS ADNLTIEDNNIVNNEEVSKASKDFKQVKIQQARERYLLRKQAREST cubi_03309 MSGEDEHKNALATYAQKVKEHRELEVSLKKKRMEIRELSKVYDK TEDDLKALQSVGQIIGEVLRHLDDEKCIVKASTGPRYVVGCRSKLDKSKLTSGTRVAL DATTLTIMRRLPREVDPMVYNMLHEDPGSISYSQVGGLNEQIREIREVIELPLTNPEL FKRVGIKTPKGVLLYGPPGTGKTLLARAMASSMNCNFMKVVASAIVDKYIGESARVIR EMFGYAKDHQPCVIFMDEIDAIGGKRFSQGTSADREIQRTLMELLNQLDGFDELGAVK IIMATNRPDVLDPALLRPGRLDRKVEIPLPNETSRVEILKIHSSKLNKQGEIDFEAIC KLCDGFNGADMRNVCSEAGMFAIRAERDYIIEEDFLKAVRKLAENKKMEGNLDYEKV cubi_03310 MKSLDSQEPIEKKEIELEDILRTQPVKFEEEESVENFTENDLEP SVEVNLKEESYQVKLNISDSTLIKSNISSVEYIRQYSDKKKGLFLLAEYFLLISCISL GIYIIYQEHELIKYLKNKKDFEQTANYISQAKIMTIIITLVVGLQLITNCVFGILFIL FKRGIIVKWLNIFKSKLFIDQVFIWQSPFISLPIIITAMVKLMVNCRSQLEDIISFQG SSSNIEISNFVLNKLTLIIIYFSVYLTTNFIMELFVVRRQYLGNTIQSQRLGILDYSI AYIGNAALVASLILYCFRFRYSDSEEINIDLKSQVDYYYRTILVAAAHSGLIAISLGI LGYIASLSCSKMLILISLIVKFYSTFVFSWSCSLVWFGNQLLKLFCNIDSISLNLNSL PKQDYMAFKNACFTRPNFYMITALVATQLSLSIFTLIFNFLFLISKKIWKSESN cubi_03311 MLIKCESKSTRAKGLSFHPKLPWVLVSLHNGVIQFWDYRIGSLL DTFEEHEGPVRGIDFHESQPIFVSGGDDYRVKVWNYKERKCLFTLLGHLDYIRTVEFH KEYPWILSCSDDQTMRLWNWQSRTCISVITGHNHYVMCSIFHPHQDIIASASMDQSVR IWDFTGLREKTVKGHSSHSSYSVSMSSSHTMPAHVDMFGANDVICKFVLEGHERGVNW VAFHPTLSLLASASDDRTIKLWRYNDNKAWEIDTLRGHFNNVSSVIFHSNKDWLLSNS EDRTIRIWDLTKRACIHTYRRDTDRFWTIVSHPTNSLFAAGHDSGMMIFKLEPERLPS DFCSSMNQLWYINDRFLYMYDVKSKNVHSILPMKSNNISSNMLCPSNFYVNPFSPNEL CFLVYYKRDAFNGLNNSSSLNNGLSNGNALQFTYDIITINSLSQLINNSSGQNNSSSS SKCKSGLPGVTSVIFLSRNRLAALENGGQTISIISLDGDILKRWELPWIAQKLFMGSN QQQIIIQSDDFLYIYDISQRELISELVISNLQNHQVAVINNKIGPNFQQCLTFNTVCS ETGESSTGVRAIEWSQDKSMIAILCKYNIIFTNSELQVIATYTENLTVKSGIWHETLP IFIYTTQGHIKYAIPGINESGIIQTISDNLYIKHYEDSRKELIALNRFGNVQIIERLN LNEALFKLSIINQESNKVLRYTKDGNLKGLSTLNYLTQHGYPEVAIQLVENPILKFYY AVQFGEIMQAYNIVKELQNLEIEKENSGSNSNFKGNGNHKTILPKLNSMTLETMWDCL GRSALSHGFINVAEKCLQVTKEFEKLILLYYVIGQREYMEKLSKISEKQKNWARKYHI ALLMNDIPERINILKSFGQIPLAIALAHTYGYSQIKEELLSQYTEAYSVDGADAFEFL NVLKAGKSSDKACKESCKSFMTAPCIPILPAKKLTVEGLNWPRINISESSDHLKSSDY NDSYSKSTGGKMPSQIDISSVNGGTGFGSSSQPEWDDIDNIDVPNDIKLNEDNVFNVV GDQNLHGDKISDSNGLSKTSLGPSFQDRILCAPENIPATSSRYSIINLIVAGKYDDAL TILLRKMGVKDCKPFKQIFKMIALSSTYSLPSFSEGPCINLPLISEGYKMFNESSPNG HISPIILFNESNLIELVKSGQKMVTSGKFQSALEVFQQAIIIAPLVLEHYTLYSQNVE QLVQLSELISQYCIGMRLELARIELLNNCQDQNQPEVAIRNLELISYFSCCKLQPLHT SLVLRRAMGIAWKHKNYITTASFAKRLLGIPNIEDAEKTQKILVACDQKATDEHNINF DPHRDIDHIIICSSSLTKINPKSQDFVKCPVCSSNHLSQFTGEVCPNCKTGEIGLRVI GIL cubi_03312 MSSKENGVQIIERIQTLLGEEYDNYKESFEVIHKSDPLSNPQKF ISHVEKYLGHSILTVNDKIGSGHQKLELNKSTDKFSMRILNRKSVSEINEYSPTGKGR FENSERLTNIKSFSSSMKDFDYGEMGSESSPNSKLAFKINVQSLFNDHIKRRKGCLTD KSFTKYYAERIVENSEDSLWLDSDLESYSKEVDRRIQSLIDEIISNCSDLWIDDQGNK YPIIPVGETRKQVVVTLGAIGCDNEGNLNEQSVLLLGTRASSSGNVSQLKLRDVNGDV ALYPGQVVAILGNTEIDEFGQSCILAKEIIGGLPPKPVEVTLKDLKNIPEFYAGKGEH PVQCMIFSGPFTTDGQSLNYDYLTEILKYANTEKPHVLILLGPFIDVRNESIKKGDLF DFESNTFVTFEDLFQRNIYRAIESFARKNEKVKIYIIPSEYDAAHPFPIPQPGLKESF FPNTSNETFTFCRNIYFLSNPCELYINDIKIAITSSDIVTPIFNSCITTRSGNLPLEV VLSQFLYQRTLYPCFPVQHPINPKLLQKLALSGELPHIIITPFESSSPFVKSVLGRIF VNPTGDKPFSGISLYINPPTESQIQQASQICQSSDDQTCKVPLFIEERICADEISFLK N cubi_03313 MNSDLSSGVNYNFNSSTAGNIGPGSDSQNMNGSQVNGISSIGNR IDNLTINTPNDSNATNMQRNKLGSSMEGLTAGPIRASDKLLSDKKGDLGRSNPYSERK VQQSSGVGESGQQASMITTQSGLGSNRDFDKPGALPHLFRSFRAAKFCKRCGAGNSIV VYDSKSGSEICTRCGVVVEDRVINEEQEWRSFSNDGNDGNSKSRIGSVNDVWLDDGTD SQLLVGDKKLMKAMLKHNTQASSDRMIKEVFNQLRQIANSFSLHDNIIERCKEIVKEQ SNLNILKSGKKNVLAIVYLACREEGVSRTVKELLSFDRTISERELVRSINKLKKDLPR RGPTLSSSAAELMPRFCHYLQLSHEIVGIAEYVCRTAEQYINKSHRPNSLAAGAIYFV CNLCNIQIEMKSVAVAAKSGETTVRGVYKELLLVGEKLLPSDFTPKLVGGIDTLKRRS KIFE cubi_03314 MSKVPIYIVTGFLGSGKTTLLRHIISSYDQDIKIAIIQNDFSDE MGIEAPTMQDKDGNLFKEFFELPNGCVCCTVKDELLKAIEHLLSMRKFEKILLETTGV ADPEPIIEKFWLDCELESSVELSGVITVIDTFNFKNYLDLGLINQKVRCNPNNNLVEN DSQQRNSDLSHNNTPQKLLSPEIIKQIMLANKIVLNKTDLIENKSEGSLDNLQALAEI QAIVRAINPIAHLVTAIKSQVKMEWLFDLDAFNIHKIIHEIDRAFNNSLISSHSNNSL LKNISSYTISFNNNTLFDLKSLERAIAKVAWEEEEDNENKGHMEERNQEPLKYDSVNS KYGKLIRFKGIFKAQNSINSNYQSDSTGIYALQGVGQIFEILPIKINENLENSKFFFL GIKLDSNNLNTLLTSCIIK cubi_03315 MADKVQRVMEDMVPELLDLGKRKVFSGEEIREIIKRRRGFEYKI ASRTPTLRDFLEYLSYEYELERIRNTRTRALKLRKRTIGDYSIIRLIHFIFKRALRKF PSDEKLWLQNVDFCLKSGSSKALQRCLISALKHNPRNGVFWLIMSDRELQNGNAKEAR SAILLGLRVNKESLILWRGFSQLETNIAYRKYLDSFSSSKLALNKGFSAKNSTVMPLI PILNHGLKRIKLEYKKEAHILFMFRQYHKLYNVLLEDGNLSGIEGMKELESQIIDSFN QSKKSQPLFPLFAIITAICKNDGSDIFNHFESEINQLFCNLDSEFVLVTLIFICRLIY NCTNGQLFEHNQGNTSGALLESANTSNIVDFGFQISESFQDFDNPPELPTDSDHPMDN CLNLDMITWYNQTCLENLLSRDNLKIKKAIHLLKLDPNFWNCCFLEDSTTEDLIKKLS FYSVPGIEDREIWKEKLFSKEQIESIRERIFSYLSYDSNRDMFIQLIANVKKKAEKLT LGPESQGLKLSLFEALDSLFELKQSNNDQYCVGETNFTGDLINKNLLQINDNIPQIIQ AYKQFIIYYGTKPLLSDQITFLVQSVNKLLDLIEIQVENGKKQSENLDFIGFSKTIFW TLYMISTNLQESDEEHSQDLIKLRQRIILCSLKILPIWLSTKKIPGLLFNTLIIYSIL NSIEKADNTLSSLVLKYLKSQETGMEVRFDSSPIIKILKESINDDYLIHTIEVLLGLL SENNWLLSNSITMISKRNKDITSITFMLTCISLLYLLFERYLKNFISQENQHNKLNDP NLQNGSKYLVSKLFSMWNHLNTYISSLDDFSSEEFKMNIHIRYLLLIKLIEHLKIKRI LSPKFGEFTDGIKIPTFAELVRQFNKNSTYMRFGKLPSGLNIVTIFTLPVDDSQFELC LEKASILQEYDQETSEMLESQTNSIQTLNKVMQNILNPCQLLAPTSVYVSTNYL cubi_03316 MREEDGYDKKEDSITIQPRLYSFDNSWDNVVMRLKQTDSLKFPI IIDHVVRSGNLFYISLITGQLLRWNPDEVTTNLIVLEPPVGFGSGVGNNFENLDKGKS SQTPQIFVDQTGDHALIVHSTGETWYLHSTQVKARHIQKLSNYSILSVAWNNWETSRN SAVSVIIGCKKGTILTTILGPDISSSSTVIRVLHEISNTPILGVMLDSIWMKESDFND EQNSEASLNKLQYIVSVSTPTKLLLWYGQNRIIDLFVKNDDLGDSSRYCLEIGSNETA SLTSKIRLLEVTNYHFLVWINNREISIYYVNRSKHTILEACDYIGKLKKYDFCSNSNK IPNSVECSRYHIIALFEDYLDMISPITARSVYKVSIVSIPGHLEVGGEVIQDLKVSGD GGGISTIKALAVELSSNFNNLSTLKGNSKKEELEILDRISFIWGYNNDNIYKINIINE GGTFWKEWLYVGMYEEALLSAEKISSTTLKSKKKSMIRRLQFFHLLRKGKVRQAAQLL STIDDELSFNEICNMFIYNGCWEGLIVYLTCKLHQLKSNHKDPITTSTVYQDETIILK FVVLSIWLVELNSFVSFSQGEKNIEDSYYSSLISVLKLIYQIDEIETKVYQILTQYNR RIAIQYYSDLRRDWHVLVQEYICFGILDSSLVRRCFEIFVSIGGNVSKRDKLLIQYSP ILAFLDPKRFLSLLKRPSFTSIDVNLILPYLLNLNAIESQSKNSSESKDEREELDKLA ILLIENFLNMNGKQRNQSEMERVSTRVKLMLHTDTWKGNKTIWNVLAILCSKLEEGEE LLLSYITPLLSKAKMESSLYDANLSEAKLEMEFDLSFLLNICNTKQYKKLKAYVYCLL GFYDSAMSVCLKELNSTKLTKDIIYNFIESYDQRRKWILNLIKPLGYNRDIQGLTKLL AASPKYILTLSDVLMVIPDDIQLSFLTNVISNNINQFDELLLKRTKIYENYKQSRENL LIDLFSCHTSYNVIDPQNDICFVCYRGLFNYQGFTFEDIREFAHSLRINHLDQIHFSS LINYFLVKSKDQNNKLKDTGTGINYRTETEENNKSEFDETQYYKFLNDDLNSNILLFP CSHSFHFGCMLLKYTSLMNHDEINKITRIIEGICKHSTIYMKNYNRNMKSQLKSDDSN KHSKMISRNILDYQQSRKNLNMNKSKKKMNMISIIGQTSNSVLASLFKQLINLVNNDC LICGELMIRNIDKPFITEDSQNIDFNNFYI cubi_03317 MTSINQRLVKILAKSSKKLQNMRVDKIGCIGAGYVGGPTMAIIA YKCPNLKIYVCDKNVKRIQEWNSSTPPIFEPGLLDVLKKTLNVNLFFTHEVDKVIKEC DIIFISVNTPTKTYGKEKGKAPDLSMMEDCCRTISRISETSKIVVEKSTVPVKTSDSL LEVLYSCRTKKDVDFTVISNPEFLAEGTAISDLEFPDRVLIGGRTDSEIGKIGMEILK TIYLNWVPEQKILMMNVWSAELAKLASNAFLAQRISSINSFSRLCEITGADITHISQA VGSDKRVGNQFLTSSIGFGGSCFKKDVLCLAYLFDHFNLKNEAEYWRQVIHLNEVQKT SFSTKIVESMFKSLKNKKISILGFSFKKDTNDVRETPSGTICFELLREGANLTIYDPK SKNSEIISELSKYGIQCMPYECKQQDQTLVASQGTKQTPITNITDYNNIQISPELQTA IQGSHALVFCTDWDVFKDINFQQAFNNMEKPAFIFDGRNFLQHHQLFEIGFNVFSIGR PPLINSNSQSKLEFQSYSSCTATSHYKMHANNGIFGSGAKHDNYMHENKPLN cubi_03318 MDVRSSEQIKEEMRDQKIEENTINNNVANSQEPGKKRFEIKKWN AVALWSWDIVVDNCAICRNHIMDLCIECQANTGSAQKSEECTVTWGQCNHAFHLHCIS RWLKTRNVCPLDNTEWVFQKQGR cubi_03319 MAKSLRSKVKRRFRTAKRLLIKNTLELNRNIEKSNALREIGEGI YVQKEIPLNAFLYPESKNAIFPQKNRNASIDFRSESISSIAGYMGPGNRRKYYPGEEI PVLSIMGDGNMTNSRDVEIINTTNINMNIDSKSQVNETIEPGTEKRQVSIPVIKDSIK GAYEASFKKKKN cubi_03320 MISQFLILNVRGDTIIFRDFRQEKSLSENLAKIQDVFYKKIKQG NNEEPTTIYFEEQIYIYLRQSGLFFVLTSYYDVSPTYIIELLYRIIKLVRDFCGTVNE DSIRRNFILVYELIDEIIDFGYPQIVNTNQLKYCVYNETKVLSSENFNRRNDIFGTNQ ILGLTNTVSGGLSMLPIGILPTSSGKGIQNHGNSLNSTSNFNGPKTISSNASQRPINP MSTVSNNSAESKVMTFLGNAAPCFDRNNEVFVDIFERISLVLNHLGEISRFNIEGGIL MKSYLIGQPELTLGFSNNIILKEDDELNFSEPSNLLKDGSSTIIDDCNFHESVNVNEF LNDKVLTLKPPEGEIIVMNYRISKGALKVPFKFTTLIEVSGDAKRISSKFDFVIKLKV DIPETSFATNLTMVCPLPEKTNTVSLETIHPLVPIQQSSQYDDKCQRIIWKIKKIHGG TEVALKSKLSFSFETDSISIRKIVGPLFLNFEIPMFNLSNIQVKYLKISEKNGQQNNY RWVRYVTQSNSYIYRLF cubi_03321 MSRFNRKILFTVKKILHVLLILQVGIVYVRCIVEKIDSRTPSGA NAYIWLIKSPNILSQSINEPTRYSYGLMSGSIISLDLEFDFWEYPDEKSVEDSKNNPE TEYIRLKNETGENILKNSNKEMINKQNQIFKMNKKQLLATGVPLMRFEDLPMVCMFSL KLEEVNRLVGDLNIANSNDIFIGIKWRNERVIIVLKRLIRQLILYWRSLIHYIMPKSV SKSIEKRCLDCLSEILRNASYSSNLINSKITTLDPKKKNLKNNLSKDISYQTASLDYW NTQKVVTDDNNLTRKELKNVFLLLLNSEQLTSLNHSPIQDLALLSFSSIYPRFNISSY IRSVLRIPIKEGKLRLEYRVPKLDRYTLLVVNGDRIPLHIKGKVITKNPFPLNHLSFE RRMDHFVADFMLTLYIATIVGYLIYSIYSNQSLVQTYGSVIYIRFNSLQIIGLLLLTI KPICLYFDKLNIIEFTQFGNVKFSSWFIPRILTRSYETLFIMYMLLISLGWRVLRDNL LSMEAKLLIGFFTLLFYLGVFEVILGIFQISRYIFQAVASLCIIIATNINTTLLQNTI SDQSISPRLGILYNKWEAYSNFKWVFAIFILKPSILFLCRVFTLQPNGFDDWIYTIFD SIIDYSILLITMFLFRPFKSLKLFSQVLVKHYSESNNANNNDNNFNINSNNFNNNMDV SIWPIIM cubi_03322 MSLRELVVGSTQMLVESVLRRINENLLNYFINLPESIWLSGFLD QVNLRDVMFNTRAFSNEWYSLDIPFRLKYGHIRRASIQVLLAQSKLVMEVEGVVLIVG PNLAYKSYEDVIRNSMDNVFGLVELFKHIQTIRYNNDNFGENLGSQKGESSEGIFNFN SSSKEGLNALLRWLFRYVPDLSLSIRNLYIRYEDDVLDSYHPMSAGIHINSVTINPAR NCWEFQWPTDEKEQVEFVSKSNPDSNIDQTNVDKQQHPLSINNSNADPRGIFSIKIKG LSAFWDDESAPFIPVSLLEQTEASNDKFGVFSAVTIDDIQNLVNSNLQYHTRIISNLN VSAQFGFVNPFIHKISAEKGGHNNGQFAQNSSSEPISSQDSSSSSSSKNTNNENNKYI AKDYLNDYYTGSNIATLLNINILSGFEMNIYPQMIHGLVRFVTLYNQFQFWTQVRRFR PIERPGSGKTPAEVRAICRDWWIFSLKYYRNIKYKDSKHNSLKDEINYRIERKRYIKI IKKWKWIEFMINGQKPVSDSGTIIHRNRNNEYGACTFGGKNGNAETVKMDEYAKGIGS PISFLDSNLSSFFGLNSQMINHLSRYWQYDVEILQKVSEKSDDMRCILAVLQHHSYWV VSQWHILAEREFEIEFALFQNSSTFQNKQKKVTDMFSGADHNMDIKTKNILTLLAYGL HIQTESSLWELISSLRESYTNFIKRITQDNELSKRIKESLQFKSLLLNGGSVFEFGLP SGISAGVPAQISNLIQENIERHPYVIFGSGVFKRGLFETEILSDFFGVSLNPRFLMKK HDWHAIRLRLHLWGRFYDDYFEAFPLAISETIEISQLMNLSYHLDFQQMNKLFSISMK SDSLCFSTLVSSQTTESYPMEGMDMSMGVKNVTMAKPKNQQVSVSERDQERSSSLPFP SSLSKFEKNESRNDIDHGERNHTNRIEISNLSKSGTGINQGNKEHSSIESNEMVEEES IINNSSVKKEAFKFEKKLPNVFKGSSNVPEWLSDLAGPGISLPKIISNKIIGFCIPKF EINICHLVSENKNSFGSTGNNVGNKNITGTGDVTLGDTGYNANTTNDISGIKRNYHSL MRYFSKNHHERNIDPATSSFTPRKLFGIVITSLGFNMNTCTSLRISSCFKRMELVVWN YNDSNMMREFISSIKSDRSVNRKMIRDDQIVIFSMCNERQNSSADSSSFYAQNKGNAS SVVGESGPMSGSGSKLGELPGVMGGSQANSHFESKIGEGGIDGNRIQTPNRRNSSNLN EYDSSPSLTHYVDETQSGTFSSYIMGSGRIGSMTSSKTVRFEDPKGISISSNGSGMIS TAISSSFDTLKTNNSELNDESSPSMKLGTGINGTKSENMNTVPTQMAPNSGYTNGLSR YMRRWNYLSLVMLPPNNSSSKENKGEVRGNGESNLSFAFKLPFYLKVDINLTEVTLVL NEQLLDNIRGEIMKLVQVCLLTIWSQYKGLGAFPITDRIRGLVQEGVVKETFKEENNF ELLLCHPFNLSLNKYNAINKMNRRDWNKINSLLNKVHVRISIKLPAVELYMLNESIEY FRQIDGDIFAERSIDEREENVSFDLSTSFKLSVEPQTILIGTIIDKLRGNINRLYFRG FQMKFDTQQNITSIIRLYLRFKNLISDRYGNIIAIINLFKGYKFDHISRHSLTSFTDQ ILRLQCEELPDTNNILLKEFTLSLDERSDTRLQTQKEKKEEKREEEGGEKEKEEEEKR LKVKTRESMDSSINISSPEGGTQCIASAPIPDRAPSPVSARVASPLVSPSISPSISPA QIPSPFVSPTLSAYSCPYQSQFPVSTHIPASDVVSTSSETTKIPITLEQAITTNTQSY PIRERHSDTNGNHHLSQPNNVHIKPFHFYENQTTTEVNTISGNFVTRRKSSKLVLIKD TESNGHRNSDGHQLIIGEAKVDSHSNHPQAKKQSGLISLISEVTVEKEAKPTKNSENI TEVKPLPHQQPNQTKKKKPPISLRSGFNFNN cubi_03323 MSTVIKKKIKLPKNITPEFAIELKECFDWQDRNADGLVSKECLL VLLRATGQIWSLDEIEKICHGLGSKEIDFQTYLDLVSHKVMLKPDKEELRTAFNVLDR YGNGKVLVSDLKHILCNVGEKLTEEGFQELLNISDIPDANRLTFLTEEEFLKM cubi_03324 MQGGFQHRDFLTVFNIPISLWIQNGTHLFHKNTQTLIDKTGKRL CIKCIKEMFTNNQKSSTYLSQICEDLMLMIKCERGKGVVRFIINELLADESNFIIEEI NKVDDEMLLNNLINLKLTLLNKHFSISENIQRQKLNENILNIIIKNIDNLNTRMRSMA KLIYILFDDRLSIYSNSLIDGIVEDSKNLSRFGKIISRMGMENIQYLIPVLFKLIECN PEKVISNLFADKGLHLSKLLCEVSDRKIYFEYMLEFYLHILNNYPSHFGYLLLDKSYE ANLISNNKEELSLNILNGIEKMTISLAKSSRYSKTKNDHLSRNLNIDKFQNSEFSIND LECMQKPGSIPIVGNYLINNNSSSGSNRLEEVGEHGEKGLSMSIDNFSNGNNIYNSNN NNDEKYLSFGRNNNIDINELEKKKELDGINMFDLLRKREEDDNKLMKKLIEFFKGFML YKNKMGLYWSSGKEKLEMIKIDEEDDDLDSNSHQYCQINEWTMNGESFEVVHKIIEWI IELLRNSIEVDLDNLALDCILEIFTREKCCIYDEEKPETEEELSNLNNSLNENNTLDN DSNKSPNPELNNENKSEDFIFNVRSLNIKSKRVTFKRTEEIPAKKKLITFNDVDKNKL CVNACMQGGAKNVSDTGKEKNKSCGKICFHQIFVIKHGQYLLDIFLELFDDYLRKFGL NYIRKKSGSKQNLPFYDKSYKYIIDNEDKIEEVLNKIIKGMSNISNGVAIQSFPRQVF ALSWFMDFILYGFMNEESVIKRKPPVPLYGSSSMDMVMKAANMEQPLEYALLSISAKF SEENNQSIEKAQNSQKLSINYVDNNLSKVQIQIVNQIISLIEKMCRCLYRKGKSNSKM EGEKFSGSFYKVDIKKLWKLNGTVYSRDLLLEYFSNILQIIERLSWLKGIQIIQFLDS TDKLFEFYSRELKNREQNMISLAQLYLIQHVFDNNLNFEFEIKDTCLENKESQATFIY NIFSIILQIERRIQDNLEDFDEVNDQILQKAAKKIKVLINNKVGAQLVFKWIKDPNIG NQEIYGIKTNILVRWVIHISRQLLHPIMSENEISTRLKYLTKERIKAALLLDDSASSD TISIVISLLTFCYGYFPDQVILFILKNINREEINDSDYLGICDISEDIIQKISNYGKP SNFGNLYTGRNIPTRVLLYYSSIILNNTNQNPKNVDLFQDYFSQKDKIVTKIVSILFN SNIRRREWLLWHSKDFDKIDLFSDSLRNLIQARGAIGSYQEQKKVEEQIFKWIYDRNI DYSRYQVSTRPFSITCFYSGLVDFSISPILEKSIMENMSFIKFEIKQLLHLSSMQINT CNEYEVEEFIQKLSMHFNLFIFSIQSYIESNNQFEFADQLTIEEATLPLKLFLNINKD DFDFKLKAIIGHLTLKFISVFFKPSWKKTAFHELSGTDSSSKVFGIKTYKSLDNFGES STKKDSSILFMLVNLIDELTRWMNDIFNINNIENFDISGYLETLSSLLLELGLKDNTF SDCMEIGAGGEKTKLLRLKVRQSLINLVQSDSMASLVEKILNYIIICRRKGNNLDANK LSHLMVILILLFSLFLSKIQIFRSNGQLESIIDSFLAHPTLLFHAEKSSKCEFSTFFS SECDNWFGNLIKLSKTITTCDFCLSFGLNHNMEEIKLSTIVSLIVVLIRPNQVINGII SKNNLIKDVFLQSISGILNTNHKVSSLSHGYMAIILASIYLSCDQIQSLSLVHNLYIQ KFLVKEFVSSILNFDKNPMENLNLSPCIIFHYSILFSYLVFILKITPWWFNISDLLNL TFVNRLGKIYKSLQALAIIYNDENDIIGEYLLSLTEILLKILLETAKGQSNFSSTYIQ DEKKSGTGSNGVIIKLIQETLKNQENENIQDYNITLVREHTSTRDGNNIKNIFNCENN ERSGCFICGLGYQFRPFETNDSLINNFFINQVLKFSTMEIFKRIIEN cubi_03325 MESRILKFETSAGRLWIAGTYGINKKPFNKKIIQEITVKSEVAD FVTLANNMSYSLRVAATLLRGLMLIFDKQWIYLESDLDNLYLRFREGRNHTDNKNNCN SIVRRNGGSNKRKNSLLTELISIDSSLSLNGDYSINSNNTENNGELILSLDAENFVLD LSTSSIDELNLNDILLKENGNNMNIRRIEDITLPEINGNKSKLSKEFGFEQDYGSIEV GDYEENEEENGNRRGRSSENNSSERREENQMVLWSSINNEDFMFQGEESEKLLEEIEN IKLNSEKRRKSMGEGDQNLLVEKVIEVVNEAQNRGENKKRPRCLSHLDIDLKFKGISS SNKNQLEMLVFDDEVIAGMDERLRGWDSYKWWQTETQKSEKNQFLVKFQNLAVLSMRK TKRISLPNQIESSLDKYGAIEKHRGEYNTEAEYNNADFGFDHFNMDDNIVGSPSNRRL INGRDTIESSVNYYGDGLNSSDGARLQSGRESMIFSDSRRGSTAGVRLSTMLENGSVT SYNSSPFQFEKNLMGIQIDSANPELKRIIRTGRLSDSIGSSGYGKQYRTNSMATSITD IFYMDEIKSSNWSRSGITTSSGTYNLKTYTVQKFIASRMKEIREIRKINEENGYLVDK NTSNKENLNEGNTEKETSGFENGSKNSKDTDFDDNEAEMSIYLSELLPEKTATKCTAA VFFYHLLVLATYNEIKLSQNVPEGNIKITKTSSFNEES cubi_03326 MDQKTLVERREVLDNLLKRRFFIAPSFEIYGGVAGLFDYGPPGC ALKSEIESFWRRHFVLAEDMLEISATCLTPYNPLKASGHVDRFTDLMITDTKTNDCYR ADKVLEEYVENRLKEKSSNPPKSEHEKNDLESLGIKAGSLGVDEIKEVFNRYQIKPPS GGEWSEPYPFNLMFRTKIGPKEVEGRNDNVGFMRPETAQGIFVNFKRLFDYNGKKLPF SVAQIGLGFRNEIAPRNGLLRVREFQMAEIEHFVHPDRKNHHKFNDVASKCLPLYSRR TQLKNGEIERNITLREAVHGEEKIIDNETLAYFLSRTYDFLISIGINPLGIRFRQHLS TEMAHYASDCWDAEVLTSYGWIECAGHADRSCYDLLQHSKSAKTDLLASEKYDEPQFK NVLVLTLNKPLIGKTFKQEASLVTEALQEFANKGDISFQEKLNQYNKAILNYKSCENK KEYQWEISSEMAKFEFQTKKVTEEQFTPAVIEPSFGIGRILYCLLEHSFKIREDLSEV QDKDIGNKINNSADNEMQRSYLSLPALIAPIKCSILPISSNIIFDNLISLLHKNLINH GISCKVDTSSASIGRRYARTDEIGIPFGITIDFQSLKDDTVTLRERDSMKQVRIPSAE VASLISKMITQQINWNNVLDNYPLFTQQSSE cubi_03327 MSRQEKEQYDYLFKFIVIGDAGAGKSCLLHQFIENKFKKGSSHT IGVEFGSKIITAGGKRIKLQIWDTAGQERYRSVTRSYYRGAAGALIVYDITNRESYNH LVNWLADARTLARVDISIIGVGNKLDLKDKRQVTFLEASRCAQENDILFLETSALTGE GVEEVFVKVTRLILSKIEDGTIDPSTMTSSGVQAPSRAQLSSSSKDDENNRSGRCC cubi_03328 MKYHSENGQIKESKGDEGVKIVKHSTEFNVDLPNLETTDSIDRH SSDSNLAQSNNITPTRCSRTKEIKNIEYTGSLGKDIKNADQGDISNTFISNPSSLVNS VERTTAPSSMMSSISRYDSCYTSNLSPSTNFQTPCGRNPKRRNTSTLCFDEYLQNNEN DKSNNLNHSGIKKELLGSTNSTEISRVSNNETQSNQISGSVLLSAVLQVIASIQKLKN GEPNGTNRSKVLTNTANTKNTTAAVNNLVLNNGMANNNVIAAAQILGSKLPGVHPTTA ALALAYSLSVAASAKKTSGGVSNSDDFIQNLNQIISERISCNNHSGNTENTDNMKITN ELLQVIVSSLNSVVSHSQGEVGINIQKKDSDYLMSPNKTNELNNNFKLSRLGGETKAS GSSYNLNLPPMDFIINEKPMYNDSRKVTNYPNIAGNSFPNKTMLHEVVNNNINIINTN YKLPHLDIGSGLGIGMGPGIEESFSRIEYGGEVCQASETNSSKSIVNESTQITTKSNY LVHENNNPLSLMLSEISKCSEKKIILESSNNYIWNDILYNQVFDHVDFETPFSRKHAY LRNELLFYNKPILEIDHGGDSFSTPNYIRIPNHQHHSNNKVTTYSHNYNVTNPSFFGN SKPHFPLPSKYSSNNSDLYNFHKYNPRIQSFCDDLLVNSANRKNSQIFNSINYLMQIV GDGNINGKMNGNNSN cubi_03329 MKAESNELSEGQIVIDGETEIDDLLLASKFAELENYKSASILTG IESSRGRKPLIEKSSEDVDIILTDEECDNSEDDGYESSENEDNEIKELIRKEMIYRHK TFENQECKYEILGEKLSDNYLAQFGISLDKNKETKNTLSDISECENEMAESDDEIIKK EHPSNINKDSHLENFEEKLNWLPNIEILDMPEKVDINLPCEFVGEIYSIINDGAIFGM EGIFIVKSDPSTIMLDLGSVLCLEDKTIIGTIIDTFGPITSAFYVLSKQSNVDNNLLK VGTKIYCDRRHSTILGKAGKIQCSYLSSTNTGNKKSQLFSTSTSKSPFSNKDFKKDPK INHPNSSNFNSKDETSVGSEIENGEVIPDDYDEEDEGQEESGDDISVGLNEVLIKKFV EKYDNLSHIQESAVEDSITNNSLPKKHKEFQKKLNNHNQGYHHKNKNNRKHSNQNNIN FSGRSQCNKDRNFNRFKSFGSGNMYPKNSFSNFDSRFGNINHQNNTTKQNFGMEYRYN QNNNLFQNLQNNYSHFPYANTNSTFNIQEIQQNRELQFYNHHNSGSKLPVSFHSQSQT HQMYPNSSSNPHHYSQYYQNTDGVQLQHTQLSYQNRNTNQITTGFESNQYSVNNQYSH GNGSVGSQYMYNNTGCESGNRSVNYMDIGHPGSIPTWANFPCNNGNKYSTR cubi_03330 MHDIGTSKNYIIISVGRRHVKAGFSKKMEPFVILQTNELFSKCV DILEIAGNNTTFSDESSYVTVFKDSNNWKRSWSSLIRMLYTDYLMSNPKDYPVILLER PFFPIELSNYITRILIENYQVPGVRRVSEPIVSLFTTGFKTGIVVDIGTNETIICPVY NGYPIEYNVKIIHCGYDDFKKKFKSELFAQYKENPEEGIVKGINNDLMDDIIFQSGIV NCELSHDNENINISDFKYEYLLINNQYINLIVDKNTRTMPFEIFFGDKVSSNVEIIHH IVLDGIIQVLKDSNVDIRKELSQNILICGGIASAPGFEKRVSSELEELISNDKLLKPL ADHFFVTCPPISPFIRSYYGAAIMLQNEKPNYNFESTDLQTITSKINSKLEIV cubi_03331 MSLLSLCKKRIVEEIISPVHAMSASGSDGYLTMVVDQFTLKVIS SSCSFYDIIEAGVTIVEQLHSKREPLRKMDCIYFITGNKRNLERVQRDYEGGGMYRSA HLFVTGFRGERISGFDILSNHDGLLRKLLTFKEVNLDFIPYDSRTFYIDNEGLFKTSL DLSEKLQQQIMSGINTLCKTLGINTKPIIRYQNCGRVEVSAECKNFADKLNNLQNGSA ESSEECTILLLDRSFDAAPLYIHDYHYQALAYDLLKIPVSLSNPDNYNIVSNTRFENT DFKSSDNQKMDDVYEYEISSTGGKKETKKAVLDERDSKWVLYRHDHIGNVNQAITDET LKFTHNNVTAKIHRGNNKESNISTSETIQVVRTLPQYQQTLSRYWTHISLIGECYDIL KKNDITSIGEIEQCIATMLDSDGKSLSATKQRSNLLAVLGNSTLGRLDEEFPVIKDSN DKLRLILLYISHYTGVSNDDLNQLIDFGKLSNDDQIVLKKLLGLGLCNSFEDIATGNG KHIHKYEISNKERVKYFKQRLRNIEINLSRFEPLIKTIVYHLLCQLNIGNNSIISYNT FNQKNFNEDFPCVGKSNTNEGPYYLLGNFNHIQSHSFSLPRYSLNRKVVIVFVLGSIT FPEIRCIYELMNESNSNIYIGGINITTPTQLINQVLYS cubi_03332 MGRKSSDGSGVGADLLHVLGLNRFSNSTDDSEMGDNKGKNQSKF AKFKFSKSKKNSKKSNIGMDSGKISMEIDLKRTKDNGEIEQANEKTPISSLIDVQISL ETVLEELDKSLENIKKNLDVAETCLEDVYISVDKLEKFTELCNVENQDVILGLHKLVN VYKRAALLSTFSTDPTFKKNYDYNVTDTDGKSGEKKRERQRTADVISVKESESESNVT NAVEIRNSNSTEAKTTPLKSKSYSSKNSSISEKTIVLEADSISRNSTDSLNTETINSN NNMKNEDSNAEQLKTIKSNGTTNSDKTNDEKLPKDNKMSKTEKFKEKLNLKMRRKKNS QSDNNDIDSADAENSSGRQTKMEKFKKRFDRKSK cubi_03333 MKQNKSKLKRQVRQRYKQHAKDANDIFELNSPIGVSCLDSALQE RLDVILHKYREYGLDSEKTILGVLPYAVSMLKKIENLEFNMPLKNLLKDNTKKLPFAE ILRIEEEFGLSNVFEELYGSQIPLNNLRLKNQIRLMNAELAINKESIIDLGFDLPEFL VQSKDYINYTYFIKRAALVIDLYNKLLRQMKINNIPNLTENEVEKINKELLYREDFKN VAKYELPKLLSVDKIQDIKVEIDYLHDLRYIPVVSIVVILKSEKESKDMAISKCRFRI LPVIPESSKLNKDSISIYRNSVRKSILNYDTFNYGQDNLNSCFLPPTPQYNGAILSDT KSQMLKLSIITEEILSSVMLEETLMLLKLWCIKWKIFNHLKVNNQFKLSGQFNEEVLL YLLFHTLELNRDALNSRKTSSFQIFKLVLMTLQKMISNWKFQNKDQSGTYYVFGDSSP KFHDRIFLKDKDFKKTNLLHLFNRDYIGNQIFILTDKEEEVYNVFWRCQDLVKEELLD ILNKTLGLIDNKAIIGYAETVSDMFSLGTDFDNKIRVQSHDHNKKLNDIPQMLSLLEF DSCIMISYPNCFHNIQLSYLVPPNNNIPKEISLGENSNSKTIKRNFDSIWENCQSSNL VEITRRILLRSFTDRVKNLNFREIISKEKFGCIIGIKFASKITRSIDKGPFVDSVEAK QFKDFWGSNIIETRRFRDGTVLETVVWNNENNSNSEFLTNKGINEDILRYTLNRHLPK ITIQSELGTELKDGLVTYSMTPFGSINPYLSWEKIVHEEFSNFKSIITGLTSLPLSIK SIQSPSSILRFMKFYTSLNSSIDQENRFKEEIQCVIEMEQSNKWPKTKESIQKIKIAF LLSIQKELGELHSITCDIIPEYDHIEGTDNPDLRGFAPFLDVYWKGDITFRLSIFHPT ELEQIAKTTIEPENMSEKVVEENIRFSGEDINQLRNLWWKTQIGAKLLNLSNYFPSLR ETVKKLKHFASVNKIPDSEEFLEHVAAYVYTNNDLMNSIYGVPDTSTTGFLRSLWLIS RYEWEKKPLVVDLDFQMTEDSEMKRISIEEFEKLDRIHSIYYNYIKKHKLFKNFFYVS SQYDPQSLLIKLPSHYNSSRLVHFANLYINIIVEKNSFNIPIKEIKSSFMTQPKNDII IHLQQDYIGLIQPQSKKIKFNVLSLKKQYVNLNSTQELLSNCNIKASPYNVAREIFES FVLEVKSLWNQQVDLIYDPYILPYPSKIYLKVRTSQFFPSKITESQKKNFLPGCIISY SNSESETCNVFTIPNLPLILSTIWSRYSGFITNIQL cubi_03334 MNGFRLCGDMLHLLSIFLLLKKLRKSKSCLGISCKMQEIYLIVF CSRYIDLLWSFVSVYNTLMKVIFIASTSYCIYLMRYQIPISRTYDSNADSFPYHKYLI LPALILGFITSERWIFSEILWSFSIWLESVAILPQLILLQQLREVENLTSNYVVTMGL YRLFYIFNWIYRFYAQHYVNWVGWIGGLIQTAIYVDFFYYYAMSKWYGQKLILPYSIE I cubi_03335 MPLRTIRVITKLIRITSGSLQSVAKQCIKDIYRDSIKAIKSIHF GNKMTLGEANKILGTEFGKKYTVEDIQMITRRMLELNKASGNYRGSPYIQEKLVVAEK LMLDQIIKNPKI cubi_03336 MGRTRSKYVELTDDDYYDAYDSDYHSEEDSSEYERETNTVTTKV KPFIQTEKKNNTTKHVAKPDQKKNVKNLINNEQVSVPSIDNYSCVVLGHVDSGKSTLM GHLFVSLGLISEGVMRKYKKESEMIGKGSFAYAWVFDDCDDERERGITINVSAKSMMI ENKLVTILDAPGHSEFIPYSFSISMFSDNAIIVIDSSGFEAGFLKGQTVEHIIYSLLA DVSNIIIAVNKLDLCNWDEKVYLNIVNTINNYINFELSDIKSDSNIIFLPISAYFGVN ILNDKNHLPKEISSWYQGPSLFEVLSNINQNAKRSRSRPIECHCNKETKQFTSFSACI LEIISVSSSEFKASLYIEYGHLKVGQSYFILPFTEQVKCRSISIHNNTYNSCSGPIYI SSATFNCSINPSVGNIIVSSISSKCSGKMNNNTSVVSLLSNLYPIQISRKLKVKCLKL LNETLLKSKNIFWNVPGQSFMIYYHCEASPATLLSVKNDFFYFETEKDIVSYNKCSCT DTTNLSKVSVRFFGITVFIGEMIFH cubi_03337 MESATKKPNRIELALDDWAKLVKKSCSIEIEQIKKKHTKITNQY KPERTIYMENVLSYQHTKNICLLASEIIRRNGVSYLIIDFLKQMLFLRGFFALTKDTT LMQLTRFSTYMKLVEDGMNAIYESSNTQNKSALNRIKENRRRILIYNIKKVLDSGIGF KHNKQPVYYIRIVDLHLKTTLSFGSISELRQLLVWELEKVIYSFSDISLKEKSFISHI TFVLDFSNMGIYTCKVEFQSFMRLISEVLDFYSPLLVNTLIIHKSKSLKENLWYSIEP IISLLYDRKIVTIFTDTEDELWRLLEPTNMAILHSRGGFIPNTCLYPVCTNSTVVPNI ELCIQKENEHELQSYNKYATYKNFFLSFKPELSIRRFINNCTSIEKKIINKSYWIEEQ NQTKIEEISKSFNEDN cubi_03338 MECIKPDFILSNNTKNSKREIMVDDTELVIEVESANIRILRKTV NAIYGALILSTKTIEEFNEK cubi_03339 MEVSTNRKSKPKVIKGICKKNSCKKSSDLQIEESSENGSFIEDE RGDEYCKNNLKEGQKINQESTENKIRIYADGVYDLLHLGHMRQLEQAKKMYPNTHLIV GVASDEETHRLKGRTVQTLQERTETLKHIKWVDEIISPCPWVIDEKFIEKHKIDYVAH DDAPYVAKQKKRDEEDSSNTDCNSSEEKPVITYDQDDIYGWLKRCGKFKATQRTKGVS TTDLVVRILQNYEEYIDRSLQRGVTPDELNIGYMKANQIQMKKGIQRWGEKVTNELTK VTLTDRPLGITFDESVDNIRNQIHKSFDTWREVSKKYLEGFARTFDPMRSLFRENRIS EGESPSFK cubi_03340 MKRLLNAIIFLVLFGISASGVNSQELILTTEPAILTEQNMDINS SFVSPPVNSTEPMKNNTSSSDSSDSDDEGNPIQKLLKKFKNSRKLELAEKELSQYNER EEIDIAQKEFSGASKNSSATTTTVKGKKGQYNNGYNHNHHHHHHHHHHHHGGYKPQKS TTTTSTTTTSTTSTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTSTTTTTTTTTTTTTT TTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTDVAESLNSKVNS LRRLRGLSENLQDLEEENSQEEESRLSKLANMTKEIKSSLVDSFNNTMSIDILNSTKS IVNQTLSSIEQIKNVTASNLPLNSTILGQIKETKNSAVNQTAMAIENNMNNLTNIDLD SKVAILMNPINETLTILQETTNLTGIAGNLTGLTNLTSNLKGTNITNIIEDSILKNNM NLTQQFPFNGSLLLNGKVTNITTFDILPVGENNPEIDLNNTNLGTCPNGVCESDQEND EELSLEDRSVLDQYKQSVVDSDVQKTGSASSVFPSIYNFAFIFTIIFSTIYLLH cubi_03341 MFSHEKSSMLTTILILVSTSVGVGCFYIPSAYKNCGALAGIVIS LLAGTFSVLSMKTLANASVKSGTESYGSLLFMAYSDEITTSLRSQRSEKPLFSIPRLF DFLVFLDCLFCIPLFLIVLSDLIPSLISSFGFVSDHLFMFSSKTAIISLFSLLFFPIC IPSGCFNWIGLTFLSMISILLCFVSVISQSVKSGFDINNIIKEISIISFNSNSALSYF SLFNICIFAFFSQFNIIPAAANLKNPTRTRLRILTAFTGIIIVFSYSVMSLISFSFLG DKTEEFLLNSLNETSYLFFISRLLVVISLFFIIPLHIYPMLDAFTNFIDNDYLKKMEG SRNIQAGFNEQGMPLINGNNINGYGFLNNKTSFIRTKAGRILLLTLILFLSCIIGIRF QDKPSYLVIMAGGFVDSIFVFLFPALIHHRIIYDKKEKFASSPTRLLFSLLFIISVFG SASLLFKHLFLH cubi_03342 MVLRMQSGINNFKTLSSKILKENYTDLRIGTRLNEGPGLTCSSK FIAYANDGGGGSASIISIDSIGIRKPPTMMVSGHSAGITDMKFSPFYNNFLATSSDDR SIKTWKLPNSGEFFDILESNMNDSQNIKRGEFVEKIASPIASLHGHEKRVTLIEFNRN ANNILSSTSSDGEIAIWDLERSLKIFSYQENKNLCYDIKWNYFGNILATTNKDRLIRI VDPREQTTALNFLAHEGTRIGKCTWMGGFGTIQNKLITTGFTKAGSRSVKLWDIRNIE SPIIDIQLDIMSSTLYPNFDDNFKLLTVFGKGDGNFRVFRFDSEEESLIQMEEVRTTK PQRGACFLPTRCLDISKSEVIRLFKAVNTDSIDVVSICLPRKHHTYSEDLFPDCFAGI PSCTSDEWRKGISNPPVLVSMNPESEKKPYIPKEEDFLYKQLSKKMASSTKNASSANL SRVSTLNKQFSISSSRSLDHLNEPTGIPEEIEDQLNETNLSSRKSSLSRKNSKSSGFF SKLSSMMSFGRKNSNNVKIVSKKSLELPKEELLIENHIFDNHSEKDSIQGSTKSSYYG PVSESGSNKNSPNMFGSLLHTSCQTVPNPKDCNLFEKKELEYSDILDYRAEYSGSTSV VDLPACYFSLGRPEVPCNLPSLNSPNHNETFNSLCNKGFVKRMVESINSGNCNLPTTQ IESFGFNKDKLCKQGLSQRSLSNASLDTLSIISKNSSLTDFESISSNIINSIKRDLED ANKRISQLDQALKSNYISKQKDVLKKQSIENKSAELAQLREELSRLSSEYYDLNTAYR QQSALFGLYKEFYGELEDILAESFKGMQEVVADKL cubi_03343 MANIVVCSECNTKNEYNIPKDTQICRCTTCGLLQYTGVKTQSDK DEQSCRASGKSQDSERSLNSNVLKSNNSEETLDPSLSNSIVEEKKIQNNKKMVLNELR EKWKKKKQKYIYEIDDVLDEILDNFSDCSSSNSLFLEN cubi_03344 MFGKPNSKAVGGNRLVIGTNVRNNKDLDIPVSFGRSSKDYIISK KKEKEKRIYEKLKSNKIKVITYYVIQHKFYKLTKKSFCDSLENFLYQSQSSTHINLNR IIRLFRFTLETNSEKLGRDIERFYLIIELTNKDLQKSSIQISISNLLFLFRNSLMLIF LDDKNETLKIKKNLLKDFTDQILYLFFSRSKFKDQKVPNNQFKKKDLIGMIFNYSMID LFFIRYLELKILKMEIETEIVFEILDEIFLLCKSKLSRDLNQVFFYMGNDLLDFPKND SYLLKKSTKLSKHNHLQIESFFKNRYFWVISCCFSLIFKTKHILSESKIKVLIQTYLG LLDLNSLQNWIMSENQDSIQVVKNPFQLIPPNSPFSLILLNYSFLYHLELCKNKCELS KPIKLRNFKLKKDSEQREITDRIENDLTSIFYIINFWDFSPDILLNIDETLSTQFYTS KDEIIQMISFIFEIIIQNHNNSDTQMINDNNLILIEEKLKFMYLVRLYMLFLDLQGKF LLLGTYIKNIDNNKDKKIFFGNNNQLKESQLLSKNMINQVIQPTLNLALNFACRDETT LKHAINIINLINDIYFPLNQIPNIIFENIYFYNNIFTKNKSNYLHNALDLCIDQSGSN NNNSNSDNNITGSNDQDQKSIFNIIKESTISYHSFLKNRKRPLKENITFQEDNIFMKK IRFFFLIGEIDKRNNNNNSIKNTEDALIKSIRVFHKSQDHKFLEKTYHEFYSNDYHSL ESFCDILAKSKLKVLQEMQSLVLNYLSYDTYWVLLLFLSIHNTVIYNYNGNLNSFILD VIESKKDGYNNLGKLLMLFGVSLNHQLLFLDDYEIKKSIEMILLKNSNSTSNNYCDNN YLKIGEDPNLRLIPFLNSNDIMVNSNKIITGISGSEILGKILEDLSNHRNLYILITYK NIQSINIEDDINNNMIKCRNFLIHPILLINNRNQLNYVSLFINNLTFSILKMMLEMKN KNAEKDTDIDNRNGTGNLINVSIFGKLTRRLYQNYLKLNHIQMKLDDPIIKLPWTISE ASNLLKPRDVLIKQALHIESLGSFPTNNPGEYEFELGEEDRNRNRNNSNEDDNYDDDD DDDDDDDYDYDSHIINNKSNNNSNYNSNNGRRPARLDLLKVFDSTLKYVSNKSKFELL RQILREMPYLIGFEDRLHFYYHYIAELRFNHYQPEFFHEIPNFEIRRTHIIEDGLNKI GSLDPNRLRIAFRIIFLDEQGDIEPGIDGGGLLKDFITCISKELCSESFGLFKSCKDN TIIPREYDSLMEISNKFKDLIIDEPLSLKKSNIVLYLFEFLGKIVGKAIYEKILLEIE FNPVFLNSVFEQNNDFNDLLNLDEELYKSLNYIKNLENDQEMKNLCLTFSITLDLESL KDGKSNNNKYLEVDLIPNGRNIPVNNENKIVYIKLLTYYKLITSIKLQAESFLRGLST VIPNESLRLFSPYELQSLISGVYQKLDVNNLRLNTCYTGYIETSQQIIWFWDILENEF STEEQAEFLLFVTSSRKAPLLGFQHLNPKFGIQIVPDNTRLPSASTCFNLLKLPSYNS KEILKLKLRQAIFNSKGFDLS cubi_03345 MEIAKEDQKKKKKNNFLTDFIVGGISATISKTAVAPIERVKLLL QTQDTNPDIIKGLIPRYAGIWDCMRRVSKEQGVLSLWRGNTTNVIRYFPTQAFGFAFK DMIRNIMPIYNKESDFWKFFGVNMLSGGLAGAASSGIVYPLDFARTRLATDIGKNNGK EFKGMFDCIMKISKQSGIRSLYQGFFVSIQGIFVYRAAYFGLYDTTKEMFFKNQKQEN MLYKWIIAQSVTTSAGILCYPFDTIRRRMMMMAGKKGQDVLYTGAYDCLKKIIRKEGV RALFKGSLSNVLRGTGGALVLILYDEIKKALE cubi_03346 MSKRILASKNISLDKNYDKGVSNINEVINSCIAPNVTYKYDQRW YITEQNKMGPFGWFDGKYTIPGWRTMQMAYESFDFDALDSKKLNNGTYLLTLEYFLPL IETLNEILPLIAQRIAFSSIFNSNNTGSNNLDHVNDQDQSNQYESSKYIQDAPTTWSN HRQDAYLKYRANFELIPAQEIYCIN cubi_03632 MGKENKETNVENTQEATAKQDVASTVSVDKFVLELISSMDLIKR GVESADQRLIGRALRLCSLSRSNLKRKYMLEVLSGLEKMIMTQEPEESNILMLKSLRL NLDLEFLMCENDVEMKDEPENVESENKKTINMIEKMDWNSMKPCLKECITLLGLLVLI RMYSVRAENYIEKKEKSLELKRSNFRECLEFSKILFEYCKRSTSQTMDQIVSKVIYFY SRIHEICGEFTNIKNEILECYRNAVLNHNSMTQAACINLILRNYVLTKRYDLGLKALE KMVYPENLSSGIQQARYLYYSGRIYSAQLEYQMAFNSFTQSLRKTPQTKGRGSLNFAL SAQKFAIVVQMLMGEIPDRSIFNSTDLRKGLAPYFELVKAVRSGDMKEFDLNLQKQGQ IYERDGTLSLIKRLAHNVIRSGLKTICSSYNRIYLDDIAEHFGWDNSHDVEGVVSKAI FDKVVDAKINDNIKCVESQQKCETYGSESMLNNLHSRIAFSLLLRSNAIKAMEYPQNM PVNKENNDDEEARRLSQEEIEAAVNSVDDGLL cubi_03633 MEETYIKDKESIGVELLHYINSLIEPLADESIDIVKVNECNAQN ELNFEGRKSITKAEKNSKPNQTWVVGVDAIDDLRDIIKLIKREIEGGADSERYAITML CEWNVVNTKLMPLWNISTNNIEIQSLIIQVLFWLTVAPDESWRSFHSKSMKCKAYLKN MQKVKFSLCSISFWKEIVILYRKLKEVIQNHGFLKEDNEDLRNREEQIAFLKDQDKEQ GEEVTQKGHEHESKDLVDEQDETDENDRHKMQRLERLEMIRNLRDEIIMINEKAERRL KQYKNRIGMIKGLLIQTLKIQDQVVTESLANFGVRSVHLLLVSNLVQSGILEIIQDDS ESLLNDLKGEYVIDDSDVVAPWRILEYIYGLICNIQPIDFVNELFGIKRNLTENEILK NSLVEKMKLIKKSSSSGFTSNSLMNRHSRFNPELARRRIKENNGGTTGQVVNSKRVSS QRVSKYRYDFDIDEIYEYIDIFIGAMQSAGGTLHCLEMYGYPTIEGTMANFDGNRSQS YDETVQILGEFLENFFKLKLPALIEKIFIILRSGSEKHTLWDISRLISLMTWVLAYKR TVFFEVTKNEKDKQLIKEELTRLLMETKYLLDTKENMAIDFIYSTIKLHARERLLKNK SHKVARIAIRCLNEQLKIIHLVSNSEEEAIRDLGISLIAFIIRLDIMNCLSWILKHYT KTSHHPELFLYSIEVSNRLIKLFSKLGGETLVSTRRRRRDKPTDIKDYNDEEDYNLDE NLSGFNENYYTEKTKLMSLDEMMSDYCDGRVVSNLMLIVNNYSTNHSNINWHTARLAR KIITTRPQGEVSILREDGQQEPFMQLFCGLFFQLSYFITFTQILSDKSFLNSSKSDKG AQDIVLLSRHVIHQFWEIAKVNQFVFMELLFSKNSARGLGLADPERLKSIFTNYEQGI DAAIVERMETTGNEDVFEAKSFVKNKINKEKQAVSNWSKEDDEELLALYTQFEENPKC ISIIASLLTDVKTERSVKKRLRELGKILIQDDLKDQDEEENRTRTIINVDLISGILGL SNACPEDIIEEGLTFDSSIVLKELLDLLQESLSTKEIFGDNQFEEIPIEIPSSLPTSL LDDQNYKLIMSSLGLKKPGKDENLWVVPKELSIEEYNGTINLFRDYIEKDIFELTEMM NSISNFVSNNCQSEIKNINYSIKILKNTIQDFIEDSELSGEISHLIDQQVPVENGLLH LISSELKVIIEKNNHANNWERMELDNICLCLSQLRLNSLGIRKQFEKIYESFTMSKLL HLLGFKKSRITNDTDFLVHLDRGITKDHLDLSERGNNNQVSLFDDELDDHLIGNDCLN DSSIKVWVLDYERITLTEFKERSKLFRELVIEMADIKANISKDLNRNNTFSKKTISKL CLGIYNFRRNTQDLKIPNRIIAVLDKYLIQQQDQNDYDDDDKYIVQIEKEDLINVEEY INQIMESLKGQKDNNCNWSIRNCILSYTYDRIKELRELLFKLIGLEMAELKIFTEKVI GKKIKVNKENIKSMNQSNKRRKVKVFMDEKNEDEVVDSDRIEYEIEDEIEDQVEDGIE DQIENEVEDGITKYIIDENDKKWRYDWENLEKKMLSVGDECLFSKENEDFGRIDKVLD ELFGEDE cubi_03634 MKSNKSLSLVDYSSSSQSEDDEKSTVKQCKKPNTEVKNKSDSQN LKDFNANTRTEQDIHQIRSKLIIEKEHEDSDEEDGINSLSNIMNLRKKMESIIGDSVI EYPVKHYVQFRKNEDIPNQDLEENCKIKPVTTISVSNKGNRMICGVINGEIEIYDFSN LYENDMNPNKVICPLENHSIQKVEFNENGNLFLAACGDSVCRIFQSNGEFITGTVQGD PYVKSVKSNPGHTHMILNCKWDPGNANRFLTCSIDNTIRLFDLNSDPFGVDRYIPSTF VMKCLDKRNLNISSIQANSLCISSFGEKVAVSCTDGSIQIFSRNSNSYSETPSIIIRD AHKFDKNANIKSISDITFVKYNLNQDTYLASRGIIDKSVKIWDIRKTNIALKTINNLP SDGNENSRLVLSKCGTNIVTSSTLIKDEISNVKNSFNGKNISNHAKSSLVSFQIDSLI NSAESNFHKLITLNNKVISTFEWSHEINQIFVALNNSSIIAYYDDQLENNPSNNGILS AFGKKRKYQFQGFSNASLETYNIEELPDGFKETKSGEIKYVGSSLKKKSKLYAPKHPN L cubi_03635 MSVLVTVGTTQFDLLIQQVDKVEFHDQLLECGYRNLFIQYGSGE YVPKQRKVRHSNNCNESKEYDKILEIKATPYMKEIIYSDYDLIIGHAGAGTILNSLRN NRKMIVVINESLMDNHQVELATQLHNDKHLIAINNMNDLRSTIKTITTITYSNKPPEN QHTNSSYLIPFPKASNTRFKEEISKLLCNENIWKN cubi_03636 MNEKNRYNRLRVFTAAFSMLVAGIWWMLALFSRSYHYLIVSFPI GNYLSMDISLWNIWASSDCNPTMLNGRLNFDFCRWVISNIDGNSIGEVMRFLCLRGYG LTSEESNCTTFSNLYTASAAMISSIIIIIALLVSAPIWLIILYFRGSNKKIRSIVLTQ LLLASAVSLLSLFLYTSIGGFHAEFNGFGNAIKTNRLSSLFFDSSTLNSGFYISVTAA AITIFLIPWISYIIKNVENEEVDSTSYVSALKQTYYNYRLEDEIAETERLIHKLRTGP II cubi_03637 MGSGRKRIKVEETEITVDDNSIITNNKDNKQTNPWNNGKPYSSR YYDLRKFRKSLPAWPERKTFCKLVKKNQVVILVGDTGSGKTTQCPQFILESGLGGDLK IACTQPRRVAAISVAQRVSEEMDVCLGDVVGYTIRFEDKSNENTKLKYVTDGMLLREA MYDNDLSQYGVIIIDEAHERTISTDILMGSLKEILLRRSFESKNPLRLVVMSATLEST KFQSYFGNNSPVFSIPGRMFPVELIYNTKAEKDYLEASIQKVLYIHENESPGDILLFL TGEEEIEQAKQRLEFLSSPLEHQFGELVIIPLYSTLPPNKQQKIFDKAPGPKYPGGPA GRKVVISTNIAETSVTIDGVVYVIDPGFSKQKVYNPRTRVESLLVSPISKASAKQRMG RAGRTREGKCFRLYTKEAFESELVDQTHPEILRSNLSNVVLTLKSLGINDLVHFDFMD PPAPETLMRALEQLYFLEAMDDEGELTKMGKLMTEFPIDPQLARMLIKSSELGCASHI LSLTSLLTVPNIFLRPRDQSKEADYAKSSFIDPDGDHLTLLYAFESFREVLYEDENKA KKFAKENFLNYRALVSAENVRKQLERTYQKHILNTNDNVGSDDGEISESKLNISIRKA ITQGFFMQVACLHRAGHYTTVRDNQVVHLHPSCVLGSKPEWVLYNEFVLTSRNFIRTV TKIRGEWLLEVSPKYYNLEDFPECDSKKKLVQLNYSSKRI cubi_03638 MAEVIGTFDTGHTGPVHDTQLDYYGRRLATASSDHTIRIFDVST DQPTFLAELRGHEGPVWQVCWAHPTFGSVLASCSYDKKVLVWKETQRSRWEIVYSCDD FSSSINGVCWCPWDFGLQFACAVSDGSIAVCSYSPETRNWTKKQVFGHPNGANSVSWA PAINSVGSSAVNSSSQPVRLVSGGCDNQIRIWKQDPQTKELSEMSQTLDVAHSEWVRD VAWRPSVDLLAETIASCGDDKIVVIWTQDADGQGWHSSQVLNFNEPVWRVSWSVTGTV LAASSGEDVVTLFRENTEGKWEVLTNISGNEQHQQQQQQHPQIGSEPSDGFPPGLNVQ SSVPEPSHQEQGGSSSGFSAQNPSQFHNRSGDPSPPLLSAHNQHRFNQSFSPSKNNIH PQQSPLPPMAAPPMAAPPMAAPPVAAPPVATPPVATPPMAAPPMAAPPMAAPPMAAPP MAAPSMAAPPMATPPMAAPPMAAPPMAAPQVAASPTTSSSTSMAPPMAPSAVTTAPPT MSPLSQVTNSQFVSSPPPKTQQGPFPQAPRPTSSYMTGGETIPTPGLPKAEISLPPPP SSSSSISGSIPPMMGGTTAPTPSTNLNTASPFGNSFGAAPPPPPPPPPPGIRRYPGIQ cubi_03639 MNSGELNKEQIEDARSVFEVFDTDRNGLVDRRELKAALRALGFD VGKKDIELVFTGCFGSSYLGMSSLSNKALGNSMGSSHMNEYSSSIGKDLEGERGVNFE EFCQILGYLMTSQNQFGVGAFYGTSDPSQEVSIEYVKRVFSLFDIGNNGKVGIRSLKT LVSQISRESLSLSIRNPSESGDTLFSDDDLNQMIKHIDRDGDGFLDFEDFYRVFQYCH SSTNNEINF cubi_03640 MFSKSSLLAITTLVLSYIYLTGGFEPWGAQTPYPFELFRPEFIR QVLKEELPQSTNETLLEKYVDLWTVYPHTLITSEFPDVFPAELSLKIHNKLIGKLFLN ETKFEDYDKDKCYVLVLSGGSNRGAWEVAALRGLVTRYRDEGKIIDWDVISGVSVGAI GAYSVLFQKSVYEWTNELWNYWWTAQQGILSDCQVPLKKNIGNWIQMLLKKMIDPDAV FQHLCGIAAPRKILKNKFGFNKRNKSKSATITATRIEDGLTKTWYGWNSTDEELLDAV FASLAYPLVYRPTYVGGSYYMDGGIRGNANLIDPIRHCMEFKNVTIDKVVVDYIETQP IKPRWIWFPSEMNIRDHINRAFDILHFNVRGLSKLKEAIETFPEATFRHYLTPTTWSD FQFWPTLALDVTDRPKMIQMMKMGLSAGMKAGTINQTQLLEYNRTTIRTKSVVSLPSL NITTST cubi_03641 MGESRWMKQTNSKIRIGPEYQAVLPDLSVPEKDPKNKQNDSKTP DITIPSSSSNITKIKSNSQQNHGPKSNAQSSVNNKSARSEKESPQLNKISTSELSNKP KSSVKTQKAISKAKTSNKSSSRTSK cubi_03642 MENELCRSRTTRWADVEAEEDDLDMSLPVERTSQTTTTSISQSN ATTSLNADGTNDKDKGDSKKKFSSNSNSQGNSYNNNNNGNNSGTGTSSRQNKKVVNLP REAPFIAKVTNLDYSLQLRDIQDFFKNNKIEDVKIKLPMRNGNNEGVAIVEFENFEDL SNSVNNLDGLCIGSRNIRVNVVSQKNKMDDNKTNHRGNNNISRSGGNNNNSSSSNSYS GGSSQNNNNQNNNNFTSGSNRGNKAQNMSNRDSSPDFTIVRRMNSTKTNESNSKNQSS ANNLSSSSNTSFSGSKQTSSTQKDYFSDKTKPASKSRSNNLSDQPHSSSGIQNETSNN AINKNIGAYESERGHGAGANRRWNFGASKEKGRFKQSGNENGQFRTKGGGGNSGGGGK GNGGMNKDFVSGGNVSRGGGSRDGTDSKRGRGGYQGSGEGGSNSHSSRGGNSGIGGGG CVSESRVSSGSKGKALSQKGGSSNANIETRNRFAALDES cubi_03643 MMKKFINSIKEVIIGIYSSFRNFVVPYGSFGKSSEARKAENFAF FIKIIVWFPLYFICGLLFIIFAQTGWFVSMLASTGIQPYFEAPSILICPLTVQDSTGD NTIQEQNDEIPFLNNSHFYLVLRSQGILGYKKAPVRRCGTSCLCFDTSQGDFNTIDNQ TLNKHPIENTFIMKTGKTRKKSLPDLTELNLSGRLDVDYLELWIFHNYLDPNKRIVKV GLYGNSIPLLHDDFPKTATWHIMKLGDVTLFLLRLIRFRSEEFSLYQILDLLLFFLSN RVNKHNSSFYMYNIHSGHFINPSLSNENFVNAIKRIKLATKEDINIENSSDLSVLHLE ASSKFIKEVYKIGQSMSIAAFIGCLVILIVLVNNVGVFKLCFKRRIINEEDSQEEMKP KLCVAAPVKAISCYLLSENHQDNQECRTSTSDYQDELKIPINVKNTNTLDEDKLLDRY cubi_03644 MNARSGNNKNKMNFKGNMRYKASGNVSSYSNKFGGFNTGKFQVL QVNSTLDNPKEVSKLIKNPWIREFSECVNWQEGGMKLNKFNKLIVNLKPESLSTSKLE LREKIISDLMILGSEMLIRIREETFKKITPDKDTVWLRNMSQDVKATLKDRTESCTIL IQQNPILYINEMKVLQGILNNATNKTGFLKMIDTILNLLIEGSLFPKSRELSYLQDHE KLIPLIKDQNEITITTFEFVQIYFENFLKEWYLSFTNVLLKMLNDTLWTVRKKIITVI YHLSSIMEQRYSLVNFLVHKFGDKEDKVASHSTFLLGELIKNHRNSQVLSLVLNILSD HISKNLDSFHKSLNLNPKINTINQVIFRHIYRLILFISEIKLSKNYNYFSLDINQINN ITNHPPPIKILRLCLSSLKVIVESKTWDFQTKNKNQVSIVKEPLYRLLRVTLNCINRS LPYAEAQIKIVNDQLSNQLLQEFETNYIPKLYYLCHNIQCGSIRIVILNVLYRISKIL NILSDRYYRLLYSQLLYKPIYTSKNKKLLVFLIWQIINDQNTNYKVSLSILKRSIQIS IHNNDISMLACFLVIIVNVINYNLFNSNSTGNQSKKKHKNIQDDFLINDLETSNIRSL SNTVKELILKSNDAIMNEDEEENFVDIQVEENEMIENNDNNNNNIKSDQIVNYMQKSD VSKQDKYDFTKRDPKYANSESIHFWEFELLKTYYHPLIVELVYKSIVLCEDDGKFMDK DHKKKEYQSIISSITRFLENFKLSNQIKAKSMNIDGEKDNTILKIFDICSLSLFMQIL SYNPVDLNLILLKSIEKRSEDNNEGVFKRISNFNKWNNKHIPSYLDFYKIYFQDPMVK AIESYNLNNSDSIGNKGASNIEGNEEDEFDNFEIDYDETSGKMIKSNSKYNKYRQEDL IVDSLVDEFSAVKDCRRDSGGEDEEDEDAFEVDFDVNDEDNDDIDLLDGVNMDDFDEL GDDLDDLDDNYDQEDKEDEEDEEDEEDGEDEEGEDDLDLLMDNSKSGIKRRLSTLSKI KGEKKFNMKKLKSSNGKKGLLNTITYVDADEMEEYLK cubi_03645 MIKKEEKIRKDVLNKTLLNNNTSTNIILTPASKNHRTNSSGNEN ELVICKKSITPLMQLKEKLKELKNKPKEEAFTSLKLIERDFKLIQELFVEHVKKFSCI YNQGLGVEVRTAMGGATTGVVATTGAATTGVAATTGVATTGVATTTGAATTGVATVAV TGNATNGVLAKELSGICAAQRPIMGQELVKSGHEDLIEPGNSNCQIPHQSLNNHPTLM TSTFNGASKAMSSQIPMSQLQQQQILLHLQHQKHQRELEQQQQNYLQHLISHQIQLKN NVASSIPTVTTNKNNSSQLGSNMNSNIPIRNIVSHPYYYHQQSTTNNFSSAKMTAGQN PEASQQFLLNQGVGGVDVRMSPLSSDQMRTGGIANGIVMTSSAEQMKAEGVTSGVRIT PPNTGIGVASLSTGTVVAPPNTGTVVASPNTGTGMAPTNTGIRVNPPNTGIGMAPTNT GVRMSPPSTGQMGMGIITGVRATSPSTGIGVAPLNTGIRVTPPNTGAGMAPPNTGTVV APTNTGIRMSSPSTGQIGMGIASGVRVNPPNTGAGVTLSNVGIGVAPLNTGIRVNPPN TGAGVTPPNTGIGVAPLNTGIRVTPPNTGAVVAPTNTGVRMSSPSTGQIGMGIITGVR VNPPNTGTGVATTNTGFEALTTNITNVTNPNLKNTTIRDPFLPQTYKK cubi_03646 MVEIGHNRRAKRYALEICQWLDAILKDESVDESIEYEMNNTVEG LSRQLVEVKKSNDEYKKELEELNSKYLEISEYLSVKNKLQEVIREYEEYKSDNERKIY QLEKLNDELQEEKTRLELRGKTLEENNRELLKKNEELIRNMEEKIREIDQKVYEKIEI EQEHFRKEEEYKILLDKNKSEKNELQNRCKGLEEMLKLQEKELKDGFSKEVSEKELKI QSLSEECMHNKYRITELEKLLKMAENEQLVSKNYRSLIEQYKNRLLVLENSEKSYQEL QEKWSNQERLLKQQAQKLEQAEGWLKRMVELEQENSTLNQQIKQWETMAFRYTHNLKV LNRESTGGQIKDESDDFEMGSQSDTTNRNIEYDADTGTGTGTNINSDHKNSNQKSEER KVISPTSVLSAIFEFQRRYQDVLVSKTVIETSKVELENKVDMLESKLQVCQREIKYYE DELSSKSEEIQRISEENKRLECETKVLKECLSKESKSSFIYGVADIGLEGEEKGETGE GEGEGVKEVNKEIVKAYLTKYTQREMEGSKGKDDIGESTGIQEEKGRIMKIEAQRKDL EEKMKIITHLESELKLRNEEIKTYWSIRVAYDTLTQERDSLLESSNSLQEYNKELKSQ LVSLNEKLKMYELSSKVSRDSSQNNIHFGSVEVTGEDAIKVDELGGDESYSVFKDKYY EEQRRNQTLSEYYIKERSNLVDAISNILGWRIEIIYVEGGLTAYKLSNIFSNHGGELI FVIRPAPAIGEGGGTGGGTVNPTNAVTTTFLLTNENMVSETEMEEQLTLDFIGYYANK FEEDSNWALQLTATQSYPAFMAYTCLEEFHNTNIESIVNNSNSQQDSVPEKSPGTVEQ GNSNQGTLVFEAPSMVPSKKIPEVTEQGLIKTKRLREDTSWIHPKE cubi_03647 MTEYLSISPRTVSVSPNSLEFGLDPEICEEEAVTWIYNFSNRYA QLRRTIENMNLVLEDHEVRESFNEVMMRKDLDKLAEKLEILKERSRELLINQKRKTNK ERVIWNEVRSLCIKLEEIEKNIVYLSLQLFGKNSCINEKRKGFKINQSVRFRNMLQEP GTSSEVCMEYSMSRRVCDKQKSLMEDYERKINELKENYRKWEKQKKAQNFEDENDQFQ ESNNTNYKMEESCQEDVVKFLSMNKEGFLGKSENSIKFLKMESDFQKDIITNISTIIG ITF cubi_03648 MSVLPPQLGSALQLGAVMQANANNASPGLVTHRTPGHPGPGGQS PTVSQSHTISQQSSSSILMPQAHFESNTSSNLLVDILCEIADFSVDLALRLSQRTGNQ WRPKVLNHNKHLKRPITRQPLEDPNKPKRPHNAYTLWCEHIRQKVREKDPTRSLHIKD LAEMWKNLPELERSPWERKAQDVKQKYLVDMAAYRTTSGSPGHPQASSGTPPPSSIQQ LSGIPNQREFYS cubi_03649 MVFPDVFLIKRVIWVVIFAVIFKSRNTFSIENYYKNEIPNGMKF DYIIVGASANGLIAVRSLLEKYSRKGEEINILVVDKGKEYKESELTDEKQTKDNINEN SKRWSSSYSPIIDKNDINIYTSEDGYKILSSNLEGGKTSYGNTPFIPEDVYSGDYYKS LGLNFDLQRLQESFEYVKSVGDIVQPNVRTSWVVALERAFNETGTFYVGDTNGEDLAP EDSLFENEMTESGYVSSFEIQNSNMGWKIDYEDDYQDHMTNWGNRLEPYNNNGVSEYF TSPHYVPYTFLTYGFRRNLERRSSIRLLKVNPKLVNGKKVFKILNFHVDKVIFSNLNK DNRENDKFAECIQGRKLIEDYNSEEVKKKIYSRIEKYCLKSGKGKIILSAGALNTPMI LQRSGVGSMKDIKISSPEIENPIIENEYIGKGLRDHPSLSIFGFFRGLNMDHIRIPSS EALFSKRKFGTKCKKQEEKDDIIQGCESVSISEFEGFTSNMNEIWEEQNIISKECLPL IRGVTIRVPNPYSEGEVIWDDKNKRPKIRLGLLEDINDILILEAGFRRLIRLFRSTNI YSLLIPNIGVQKRLNLFGDHTDRKLQLYFTDFGGTHNYTNNLKAQGPEMIYQRCNLDN LSLQYSNYNQNYGYTSDKEVDLNRSFYNMEIEDEEDEEDEKEEEEEEEEEKVANVRKS LEIFDRKEGNLPNYQMASNTGRFSYLKEIHDRLRIQGEGLQSSYYGIMHKFDKSRKDE EDDEFITKYDKLVSVNSKMDSKYSLFGLRKGETIINERDNKAKNEEPSLWKSLPFILP KLPSYPDQIREYIKKNVKPGNEFVGTTAIGQVVETDCFRLIGAENLYILDEGILSKHT SSSPIGTSMILSRYAITKIMDGMC cubi_03650 MPHFYSLTLESQGSILSAIQGSYSAAKAHEIVVNRGRSLELLRL DVDAAQTQSICLMDTFSLVRSISNLRLIGSGKDLVVATSDSGNIVILDFNKDKNQFER IHSEPYGKSGCRRIVPGHYLAVDPMGRSIMIAAIERQKLVYTLTRKNKDADVLDISSP MEAHKSHMVCFALVAMDVGFDNPMFATIEQSYSTENEEENKELHQTKKHLIFWEVDLG LNYVSRKSSQMITESSHTLISVPGGNDGPSGVLVCDYKGITYCKMGHSSIFCPYPFRF GDSSEYGTIIVASSLHKLKGFFFILVQTELGDIYRVNLIHNEGIVKEVRIYYYDTIPV CNSLLVLRSGFLFASHEFGNHSNYQIISLGDDKIDPYTSSLPDSNHLKKVYFRPRNCQ CIRKSEEIPSLSPITDIKVIDTNNDGTPQIVVTCGRGPRSTLRICSYGKNVEEIAENP LPGRPRCIWTLKSGIDPSLSGSQAEAAALDNIHHYIIISFIDRSLVLTIGEHVEETND TLFTLNETTIYAASMIFYNSFLQVLETHVKLIIQDRIYDWRTPDSRKIIAADSNGRQV SLALEGGLIVILELSVNGASGITNTGMGGLVEVCRREITCEIICIGIQQLSYSGQLRS DYVVIGTSTENIIRLYKIDSTEKRLKQTCTQILPNSNSIPENVQLYHSNKYGHLFLFV GLTTGVILSCKVDASNGSISAPRSKYLGNRGVNICRVMREDFGGEMSLVCMSSKPWLV NSQTSGVSFTPLQYRCIDSIAPLNTHQVNNGYVAVSGSTLLIFQVTQGYGESFSQNSI NLSYTPRKLLTLPSPQLFTGLESLMSSGTLDIPKNQMIAIVETDHNSFDFGTKKEIIS ALQELYDGKSLENDMDIKMEEEEEEEDEGKEKDQGKNTGHIKVKQDNDNLLMDIAQDE AQDSEKSKIQKMLSEIGIPGENGVLLAESEVGGFVAGEGKWGGCVRIINLKSMETIQL IPLDTNEGCISACVCKFDELELPCIVLATVYGMKLNKGYNNSINMDLKKSNDKEIHES FGAAIKIFKYDSNYNFELVHVTPIENAATAITGWRGRLLVGINKTLRVYSLGKKRLLR KSEYRNIPQGLTWIKVVNDRIFAGDISNGILVFKFNNASNQFLLVAKDSMPRWLTSAC EILDYHTIAVSDKFDNVIVSRVPVEASDDYSFITSFTDSTNNPSSTLLRTHQINTVAQ FHVGDIITCLQKSQLTSTSTETIIYGTVLGSIGSLSPILNNEDLELLSKLEILLRKQK TSLLSRDHLMFRSYYSPVHNVIDGDFCQTFTTLDNQIQSEIASKLDVTVEEIYKKLDD YKTRLF cubi_03651 MFRIMESQVSLMSYFELTLNMYLTIFLSLLWTLVAHGIKLSVEL LFGIWILRRIFPFSKILFQRLYRILGLESVVLDKKDLTGNICIVTGGASGIGKKVSEY LVQCGATVIIADIQENRGRVAAYKINTNSSSSGFARYMYIDLSDEDSIRNFVNRFTYD YDRLHILVNNAGIGSGGKREEPKDCERHINKIFKVNYIGTFILTELLLPVLKNTKDSR IVNTCSPIHRFFGSNLRKILEYSQISECNYAYGASKAAILLYTLKLRRDAMGLTFSQT FQTDTVDGEGYDNFPWSTCVNPGSVNTRIFPNTFPYNIIYYLKWFLLDTKMGSQTTIF ASVCPKEQATLYMSPYWLPGNGSWFIDRFADFLSIYVGPHFTIPNLNDDAALSAEYLY EWTYNYWRASKTKEVHKQTLKDHHK cubi_03652 MDSGLTDNNIGAGESSNDGQQIEQKPVIDQGSGGASDESQYVTV KVRSPDGEQVLYRIKKKTRLQKLMNSFCQRTGQNEQSIRFLFEGERLRPEMTAEDAGL QEGDLIDAMISQVGGGI cubi_03653 MESQPIKDENPDFYDSEVVRKFELTEDIINKAQEIINSDKDTIS EFWKEKYVEESVKNWDKFYKRNNINFFLDRHWIDKEFKELITGNINVSNSGNPKVLIE FGCGVGNSLIPLLQISTNLHCIGFDCSSRAISLFEERWNDIFNKLEGEENSKVGCLSK DENGLNLCPFQSLMDSNKACKRLKGFVFDIVHSDIPEYICPKGFADFGLLIFVLSAIH PKHHQNVITRCSKSLKSGAILLFRDYGRYDMAQLRFAKSSKSKITDNFYVRYDGTFAY YFTIQEIESLFTNAGFKVISNHYCLREVVNRKTQVTMQRVWIQAKFTKI cubi_03654 MKDLLDSDGESGSFQDDDILKINEEYKRNYDERKRKEALSRAKE LLKNDKEGEDGVESYSDSDSSDEDEDSDAELLDFKTQSKILETLDKIKKKDPSIYDNS HVIFSDSESVSECETKSDESNTLKCSKRMTFKDYERNILLGSQKDEDNNETVKKGISK KLGYDKEQDELKKAFLKAAEGLEDSGDEFSETLIKKDKTKEELEEEERNFKSFLTRNI EEGGDPIESLNRYWGPEEDLDENEKFLRNYILNQEWKESNNQTRINDDVSSHHIIETE LDEEDEKHLEMSNEFESVYNFRYEEPGANQQILGYSRNISSLRKTDDRRKLKRKEKKE RKAEEKLRMEEELKLLKSMKRKEIIEKLKKIQEISGIRSISDKKLDLEGEFDPDKHDR YMEELFDDDYNDEKESLSLHEILKNNEESDDDKDGTIKRDSKKHKNKPSVEKNQLNDE SETMPSSYEDNYEWWQCDGCLKEILPNKKKFDCTVCENFTLCKSCCRNTDHEHPLEKS RVPESNGIGGINGYNEDVDIDNKVKPNRLSLSYGDNEKINSLLDEYYGLHFEDVLDNG KMPVRFKYTKVEKNDYGLSIEDILSMDDKELNQHISLKKLAPYRVDKKRKYSPPARSL KEDKSSNKMNKRHHNKGNFKRSYSNNKNSPNLTHINKHRLESYY cubi_03655 MRIFFLVHLISIAGLSQYVHGRLTRSTTDFGLLEPQFLPGTITT LIQTARKIIGANVEPMSVIGEGNSQTKEQDSEKLYNEAVSSAVSVYQKAMNDATNNRL KQRDVVSSMPVVNTPRDPACSVMITGELALALDPKNLFDVDLLGVKITKNDLMFMTDL KNPESLVRSFSLNKIEVPLESVQNSRKCFRMYFDGSPVVMCAKSDEERNEMMNKLTEA IFCKNSGITFSKVAGNIENTGLSDSSLGVPALTTKDLRWIEQIAKRQIQMAGNVQSNV LNWLKTKGTQRVVVKDSLGFNPQITVNGEKVI cubi_03656 MVKLSNLKKTILILGCIGMSNALTVFHLTNPFDLLQGLSHVKLE RTSELPVSHKTLRFLQEKIKSFSVDGDNVAKEAIQGISSTTGVNFKNSPLSQEAFKLQ SNTLFAEAAEKRKVAKYLQDQSGITESELTHAEIDPDKNPEKAAAITNMKRAKVLLAQ AYILEEEAKRMQLASTRSGNNESFKCDILKIGPLAFAPNSEEITDIMDGSLGFLNGNM LTIYYNSVPKASFSLESIELPVHNVDAAPGCIGFKYHGTDQIICATGKDSQSAWINAI TEAWFCRNLGLAGTLPSISDTKEEQKDDENENEDEETEENDPNDKKKQEENRKKQEEN KKKNKSTRLTRSSVKTFTVELEDGKPKITQN cubi_03657 MDNDVNKNEEQFMASEEESVNSEFEDEISKKLIPFESNLELDET QLPEETREIINQNTRELLRNISFSNSGGLRGAIERSYLIRQRSLSHTKEEIVDAYKQR ARLAAMVSRRRVTLQHVKAQFEAVAKFKRKLIHKDKGDFDENTIPTKSKTEHYDEPKE DFNASFEASIPLRRESSTSLVPVRFGSMSISDTLALQQLANMKIGSSGDE cubi_03658 MSSRGSPFGGRGRGGGGRGGGRGGGGRSPGGGRGGRSPGGRSPG GGRGRGGGKGGGGMKGGSKVLIVPHRHEGVFMAKGKSDALVTKNMVPGVSIYGEKRVE TTDPETNEKIEYRVWNPFRSKLGATIIGGVANMPIKPGAKVLYLGAANGTTVSHVSDM VGPEGSVYAVEFSHRSGRDLTDMAKRRQNIVPIVEDARTPQKYRMLVPMVDVIFSDVA QPDQARIVALNAHLFLKNNGYFIISIKASCVDSTAKPEAVFASEIDKLRAEKCKPKEQ LTLEPYHRDHAVVIGVYRPIKKKE cubi_03659 MASVLSQETEKRQHSHPLAIQLSTRVVNFVSGGLLANKPGMSDS SCVFDKPQNSDQSKKDQEKEQIVNNAPLGNPEYYYFPPELIADARDFLQPKELAHFRP YGRGLANPGWNICYFNSILQALTYAPYLSIDCLKRNHQTICKHKQQHLVCLMCMFEDH VNIMLENSSKSTPENQPVISSFIKCAQKLIWKRFRIGMMHDAQEFLRYFLEALHKSCL PKSLQADHAFRKIHPITASTTYIGQLFCGFFLSRIICSNCRYTSNTYDPFMDLPLDIM GVSNLENALKLFTKIEYLKGENRYMCPKCNQRSDASKQLLIEKSPPLLTIQLKRFSYV GHGSRKPNKAINFSEILDLEPFMAPKSCSNTNTFSSRSSSSHIYKLWAVVCHAGNTLS CGHYYTHAKSINNKWYCFNDDYVKPIRIENVLNENYKAYLLFYYKSDFNRDDLFRHSG TSIGSLEKNQSSIPLAPEISFINFHLKTGSKVFINTSDHNIKNNSNIRNPPQSSELEQ NMNEFLDQLKANSSKNKSSNQDQSQNQGQNLTLQTAQNHQNTNDIRLVLTPASSTGIN SQEFETRSSVKLESEPKEHRNTKVCIFSLRSLLLCDNWITRSKPRRNLATILYLKKLK KLGLSVDYFKKSKAGDSSKECGLISNINKSQVETWEDLNLSSDAIQSLEEAQKSLLAR SNIRSDYDKEYDKGKVKKPLRRAMLASASATSTGKNTSKCQVKKSIYIEKGNIKDLNS LSTSELFDIASKNKGNLKNRNKKKTVRIR cubi_03660 MSRFVTKRVPPSESKKLKLERNQKIFSNIQSKIKNDRVKLNELR KKAKIEAFDLQKQYKKHVKSIVDLKRQAKQNGGLYRPAEPKVAFVIRLKGINKLSPKV RKIFQLFRLRQINNGVFLPINKATTEMLKVIDPFVAYGYPSISMIRKLLYKRGYLKVG KKGSYQRVRIQDNSIIQKRLASKGVYGIEGMVRELFFCGEQFKAVSSLLWPFKLSSPN GGFVRKSTRFTEPRGGDCGNREHLINKLIDRMC cubi_03661 MVRVSVLSDCLKAILNAEKMGRRQVLIRPSSKVIVKFLLCMQRR GYIGEFEVVDDRRAGKICIELLGRLNKCGVISPRYDVPLSDIEQISTDLLPSRQFGYI VLSTSYGIMDQEEARRKHTGGKILGFFF cubi_03662 MAKHNVKRDLSLDFNTVKYKKKDPHLKVIKSRNSGSVENVLEKG KDRLGFNDRDFLERKKSLLRELYSEGRKSEFNDEREKFSARKDSTGDKYQTLIATKQL KKKTKKRKNNFNLDEEEEFEDYNTDKKNITGNYRGHIGDSLNNNITNDLEFTHQGKSI SSLDDKVIRKYDGDIDEDNEFGNDGYKGNHGEDNILDEKNMPGFFFGQGDPDGTSTKS RQEIFKEIMQKSKLAKMEMKRHRESHEEELANLDDAFSQVQSMLSYKQSRKERINSLM SNTGTNISNVESSNVQSSDKAVEELDEYEQLRNLLKFDTRTGVSDRIKTDEEIAKLNA EKLEKLEKERKERMSLTNSNIDVDLNSDSETEYLKDEFEEEEEEEEEEEEEEDEEEEE EEEDEEENEEEKEEYEDGYVEDEEEDEEGDIKVEDENKCPKPSPKGFFEFEIKENEEI DWLVNDENESNLPFSINLKSILKEYNLGELMEFMSKFSPKSQWKLINRFRSCFNKGDT AMMNDLKLLLGFLVKYPLRCLKLAESDTEKNNVFRFIINYLKLMSGHLLFMAETDPKE CLIIFTYFAFEICHSTFPSIYHKYKKIEKFLNDNLLDVENENYYTEILEECPSLYQLS EDIYELTLEHIVICRIMFILFPVTDAQHPILTLVIMCLEQWAHRWSQVGKSFLNTITP NKYSEEFKYNQVRLSGGNNPNISCMIGVISLLEISSIGYVNSKLQDNTEFLERFSIGY FTLSLSCLKWLLLPTFNNNNNSITQEKRILLSIGILKSLLRVISALKNLQGFHAPMLH LVRENIQQVNESLVNMNNCETLLNLISEIESVSVEIINRPQKPINLCPRQTPTIRSLI PKIDDPSVPFAAKVMLKAKHGPKESQYEHEKRLYLSKLRKEVNTARRQANRQLRKDSQ VIAEAWNEKKADKSRKQNAKYNSFMKMLEDDQVEYKKMKTTGGTMDTSIQSYRANKQN KKKNQKMAGNKTANGLVH cubi_03663 MSRRTKKEDSLNYKSDENQIMKSNKLALEVNSNVNTTDLCVLKN EGKLARLGEDTKSIHGKYLKRPTESQELEIYEKTKKALDLVLDRKQDTLHPFKESKKE NKTEFIKYRSSSDTIGYDPKFAERIIKVVERPKDPLELPKFRNRILGGTKREEMVPIL RPPSKKLTQEEIKEWSIPPSISNWKNPMGYTVPLDKRVQADTRDLIDISVNDRFASLS ESLKLAEKNAREQIKLRNELQKQKKIREEMEREEKLRKLAESSRSERSHHLLSKIPEF DQDKKEGSEEETSEFDHIRRLELEKRREIEREFRQERAGKKSKTLRDSDRDISEHIAL GQARSVDGGSSSEVQFDARLFNRISGLDSGFSNDTISVYDKPLFNTNSLKSRGLYTFE ESRVEESIGGRVHVPSFSGTDNSNTAFRTKPVEFERDDDPFGLDKLIDSVRKDKKK cubi_03664 MSYAETLKTQSESLLSSAQQGIDKAREYADYEKASGFLFPHPLG KVGPLPLRRVVTIISSITLAFSIFQIFTAMSFPGLVVAIARFGVGVVGLIAAYQKQES TSKIFAYAQALLLTISSLLLVSAIFFAVIRIGFSVFSFVVLIISIVTVGFDYFAAWVA SSYYEALRRGVSVESQA cubi_03665 MSDSKDTILNIKLIKENLEKLILKKELESTYGEVMKILEKLDSV NINREILKQTKIGVVMTAVKKGFCEVNNIAIVTKADELIRKWKEALATNTQQTSNKDE KLNDQDKSSTTKKLRKSDEENSTEENVDNQSQVKSEFKERIEEEGSLHKEYIGPLTGD VMRDKARNFLWKAMVTGVPYSQAKLMKESQVCEIAAEIESVLHREYIVKGENSVRDYN LQLKTIKWNLSDLKNPELNSKLYVGKITPEEIARMQSREMASDAKQKEREKHKQESLE ACQSDWDLRNLIQKEGQFTCGKCKTNKTTYYQMQTRSADEPMTTFVRCLNCGNRWKF cubi_03666 MSVIDKVRDFVLPGTFGKGRRAKLTGIIASIYQILIYTFVLANI CNSFLLYFRFGRINSFVTIYSELNGIETPSLIYCKKRSRFGNDINAFNGTVLMRILHY NRYYYSDNKNIRTCIYEGSMCLCIDAWKQSYYSINDLEANKSTGNVNNSLEPSIFQNP NSYMKLNSNQFSVFHPGDFPVPLFIRNEIDYQISTRMSMNDNDVKYKPHLINWCGLNI REDNNKLNYSKITNNFENHSSHNNNILNSVKNHTSHNIEVSQNIFCEHKDMVELLFIS EDKFEGGVVGFYTSKNQFSSEPMWVKTSFPGIIFAFLQLEKNWIIDFLLLKRLLYHRM NPFYTIYKYFSNSNKNDLSPSDIDDENDNELNIDYGFQKKDKSYLITESQQIIADIAQ FHGKVLPNDWSQWLNVHQQISRINGTNDDKMNGDKNFDEYIFNNQTLKMIDELSNLTN ESSGLLKSNYSTLWVRSEYQIFVTPNTVRVANKHFLLKTFGLIMSIIFSLNYLNLFHS VFPYYRGEVPKLTVSPLTKFLSCNLLNSYHDKQ cubi_03667 MLNSVDVDRSGRFGIYSRKDVYIYNLDRWAQGIDDGSEFIKVNK GRILGLNKSSESSSNLNSIFMDGYDNFGSMYSEIVKFGTHPRLYNSFINIQGNSILYG TLESIGLDCISSQMMNISSFDWSPHFSTGTFVTSCEDALIRVLDIRSKNQDLSLMSFY NTKSIKWNLLNSYLLGALHENGEFLSIYDLRLPKMIGIIPRNSWISDISNRREEKRGD KGIIDFSWLPLSSDKILICEMDLLCVINVPKVMEGSKIFNGGILDCDSNLRVGNGLQY ISLKNSNVSPDFEVLNFSSSSVDENEDLGEDEVSTLKFQEQAKAEIGKINQDLSWENG TCIKVNAYDFLPGTNNLIINDKSGRFYYSEIKDNDDFGLRRLKMRYNGPVKRIFLSEN NELTLFGGLKGHNHSKSSYFEKEDELMHYNFYVTSLASITNENTTSKDEEAKISNLTI QTFFTNWFYRDLNMIHEKVKQNQQMANNLISISIESNDRIIAKLSRHPTLRGKFVMED NTNFNLVSDSFEFTIILTSSQEKNTIEATYYITNNTILRIKEIYSNLINKISETNINS CGQIFKILHNYEHTSLNNSHAKEENCMQNIIPVRVYLDNIILNCKERERLCKFFDIEL DKELLTSLFSELLTVNNNQVIKQLVDWVWIISNLPESLFGLLTQNDGFKKEQQLELIS STRKHLYGLGFEQQAILGENRNKKTKVSYTFHTLDKLIISQGSYLIFMKVNDEHNLNK AARYEKILLEINEILRKVEYISEEKFLYGDYFKYTYILLNWLTTVLYKQSRRHCVKDL QNFCTDPTKNIKNSNLSMMLCPTVVHSYFKKKSYINASRAQLQFDQGNDFFPTLRYKF NAVCSSLSDFEQIDQIKLINLLKKLYLEIKNNYDARILVVAIHKFSNHLKRTENGCQS KHSLRPGLRIQSEVTGIMEGPRTKGFGPNFASESGPVPGIPGERRIPNIGPVSISSVS DSASDLALSSRSGSIRISNPVSEGCCVCLEPVFGLYTRCLRCKHGGHIRHIRNWFEDR TKCPMVECQCKCVPEEYK cubi_03668 MSEKELIEGVQKMDIGYDFGEKKKKKKSKEHKTGVAEVESSYVD GSGQLFVKGAIYPYEELLERVRRLILEHNPDLWGAKRYTLKPPQVVRVGSKKVAWINF QEICNIMQRNADHVFQFVLSELGTEGSIAGDGQLVLKGKYGPKHIEVLLRKYIMEYVA CSMCKSPNTRLERDNRTRLYTIVCAACGANRSVQNIKTGFHAVSRADRKKAKAA cubi_03669 MESRVNSQERVANEMKKTNQARLHYLDWLKTLAVWLVVFVHVIY YLNLLNIGITRSEKEIVRRMIVFFSEFGMPIFFYVSGRASFLSSTPNFRILLRKKILR LLLPLTSGYFILLPITHYVANGRRPCTYTLDGAPANFHVHYFMYIKDFTCHGFEWLWF LALLIVISVVMFPFIKVMKDQNKGENILYIISCGLIAAIFGPLIIYSYDFHPLALFGL SFPLMIMLVSSLVMKSVRSRKSGLNIALIYLTAISFILGSLFLAFYSNVDYKSNTSGF NSNDYKPVFPEKGFLRVIDDRRMMLAIIFYISFYAVGFIDQLLSYYCSSNKPVIPENQ ALIGSCDIQNSEECPNLNISIPNSSQENQPALQSCKDFRLNINKDHPNIENINKPNDY TYSSNDKIHSIINNMPGMFKPTLVFVSLVLYSISFSLGNHGIGYMWAFPMYRIPSSSL FYVAGAWIIVFVLDSICHSLLNHIFIPSLYFHFTASSIIIYIIHMLWLELTMSYVIIP LQIPYMQSIFLTFTITTLLSALTYFLAIKVKFIGFIVGLTTTFTSKSNSKVSKNQRSE KKAQQICV cubi_03670 MVTNMASSSNYRLSSSLSPIRNKITDDFQECDPMIKSTNFQPIP KYNHNCFENSNTVNVSIQDEAQIIKIKSSEKHDFLIYDKNLFRFKVFVVILLYMSRSI IETRNIHRNLDDNSQKFLKSLYDIIFFACIFTFTYISGKSFTISILTLKKTKESKDSQ DIFDKGFSRFINIFTHPSFLPIKIVVYKSITKYFLPGFVMLYFIQPFSYYISAVHPIW VERITLSPINFFLDSLDRVIYKTALDNVSKKISYFPQVLIYLSFIRIICFPITELTKY IIECSFGEIKNSTKQNDSGEHYSNINISSKTCRKYSSILDISNSYSIGKYQKYLNNPL ITNKLRYQATEINDGKNQTKYYFYEREFSLISLIGKATISILVIIMLYYYNLREFSML VFIPVALPIITFSLAGHLSSITKVKISLALTYILLLFLLIPLKDYSIIWKLCLIFLLG VTDVQLSFMRSQYNNYSGESKSTSISIIHPIFKHISLLVFSVTTIYLSFFISESKYFE NLSLYLNSLKEVSLLFLIITITSHPLFRSELYVVDNSYGIKQIKSENQNLFVLIFVHS IVIRVVSFFFESKTNIKLPIYINILSITLISLFLTELLYYTILLFNHCCSYLKSYFIS GSKVKDSNSYQLLV cubi_03671 MLPQGGLNSSGCGTVSSSSASTVPLATSSSSSSSSSSSLPLHSS SVPASGSSSISASSSNSALSNSAPASGSISNPLSAPISSSIGGAKVQQRISQGELGIS GVPSQIPVSGELGIPSSLSDNGIETKGNENIVQKEREKVYQYLVDMTCSSKREMALSK LSKYRETFPDLAPLLWHSFGCITALLQEIIFIYPLLSPPNLSNQASNRTCNCLALLQC VASHSETRSHFLAAHIPLYLYPFLNTVTKSRPFEYLRLTSLGVIGALVKVDDPEVVSF LLQTEIIPLCLRVMETGSELSKTVATFIIQKILLDDLGLTYICATPERFFTVCQVLGN VITQHNEAPSPRLLKHIIRCYLRLSENSKVRDALKQTIPSIILSPSFKMIFHDDAVTT KWLHQLYTNIC cubi_03672 MSGYPYGNSVGGGAIQYSQGQIPQQRPGTVMSSPFQGMSEGVPK TTPFGVQGQHQNQSQSIGQNQGYPQSGGYYGSSNDNNTIQGQNRIRPGMMIPVGMGQQ TQGQSQAQTGYYNSNPVSKDIGNNNTTITGGLSMSEIQQLNAPSYFVRPSVSKVPSSA SLKQKAHIPVGLVFQPLASPPPGYPEVPTVSFGSSGVLVRCKPCRTYINPFVRWEAGG RRWICNMCGYSNETLSFYYCGLDDQGRRTDRFERPELSVGSTEFIASGEYMVRPPQPP VYFIVLDVSMPAVSSGLVETVCSAVKNAILSDKIPGGGRAMIGIITFDSFIHFYDLNS NLSQPHMFVVSDLNDLFLPLSDGVLVNIADSTEQIVNLLDNLPNLWRNNRVSENCMGS AIKAAYMAIRHIGGKVLLFSSGAPTVGDQTVKLNREQMRSSGKESKDVDREVELLKPE NDGYSNFVHALVRAYISVDLFMCTSQANVDLPTISPIVKKTSGDLTYIFGFNSYLHGQ KLREDIFLTLTRNSAWEACIRFRVSRGWKISNWYGNFYFSGVDLLLAPNCHRDQAFSI VIDMDENVTVAPDPFVYIQAALLHTNSDGERRIRVHTMALPVTQNYVDLVSSMDVQAT VSIICQNAMELSLKSKLLDGRNYLQTICSQIILPQSNQIIEAAKQLPLYILGVLKCPA FRDYKEVAQSDHRIYHWIRLSSLRLESQMILFYPRMFCLSSWNLQQQETDQSLVLPPA LNLTAEKMTQADAYLLEDGESMYLWLGRAIPTAFIQQVFGVATLDQLHPDYAETVIGS TGDKLGVKVAILINNIREQRKPPFMKLHTIRQGDPLENKFFLSLIEDKTQGFMLSYND FLNKILPRSNPNMLQRYPGN cubi_03673 MNLMELALKHEIKGTPKGPYLYPFGIRGKKPFSLLTDDESSDYS ENSSDKEEDLGMGAKVKCIYDNELYKKYDSEVEEENQIGSKRIIEETMYEYKSRRKGK EVEYISREDAFEKQYAKDFEFFFGVPYRPYTRLSRYRFGKNMKYPRDLKQAEAVYKKY PRYFFNDPWPEIDVSKPIPYVKQKQPPRLVNERGIPITWLDIIEKSKRYNVRRGNKSA HNLESQSRKEPPHTLGDAVAAWNISSSLLDGDLPSIIGEDKRSRKQKRFSFVRDNIRG VAEEIESWTKCPVVQTEINKYLENSWKNIDKQSSSKPSESQNEKKVNGTNLSKSTHRT EGSQKTSSESIQNPNSKPTNKDDNIPKNKAEPSAKDGLAVDSKVEEKETTSLAASKGL PASQPSSSDGTPKEPQPPAKKGPPAPKGPTAPSDPDSLPKESPASKGPPTTKGPPAPK GPPAPKGPPAPKGPPTSKGPPPPKGPPTPKGPPPPKGPPPPKGPPAPPSNSDSPPEVP PSDSDGPPKGPPTSKGPPPPKGPPPPKGPPPPKGPPPPKEPSPPKESPPPKELPLPKE PPPPKGPPPPKGPPPPKGPPPPKELPPPKESPPPKGPPPPKGPPPPKEPSAPPSNSDG LPKGSPPSKKAPPPPKKAPPPKIS cubi_03674 MDYLTLQYNGDIESVFQKDPDLRCCSYLAYPESEESVYGDSEIQ LKLCIMELYDFLHNKYTLLKYKNQDNQGFQTKELLSIISEISSIISVCMETKINIKDS IDVQNSQVQRLTEQKRNIERIGESVGDNNMLLDIVDKISSSIQNKLFCLSKIVNVVDQ ISLKKQEINNIDYGLTPLRIKYPYMTGIYTQLNYNELAWPQTTFQYEENNSISREAVD KTGIALGSIKESATEYKSCDKYINEQGYRILEEAERNARIKLRNRKNSNLKVGSKDRL TENKYYIVKDCHCHSNLERETKEVAQTFINTHQEESKPEPEPEPEPEPEPTISEKFSG GATRLIIDTKRIRGTNGKYPMLSIDGWGLIEHHKEEKLVSSKYKSNLNDLDSENEHEL DNQKDSKVFIDRKTNKSNKFSLNKNLTESDSCLNDCSSGNTNTTETTYSKRYTKFNEG NINKRCDSYNEDSSNSVRKLRNKFEKDSKSHSEWEIEREETDIELLKEFEDWEKKKED KEKLDRKNKKSGNKMTSTESGRSRFRLDCTGLGMPSQYTNTSNRQLSNYRDTMYSTSS WLPLSRNTGFNYNFLNSNSDRNSNKQPGTEYNPAAEYARFSDAVGKVINPIIADESYR DNGKNIMNPKKLFNAKFGTLTEDTFKEIQEKLRLKMEGWTIHGSGLQDPRANLFWDPA VMTAASTVSPFYTNMPSSNSPLLTNTLIPASNGITKRSGFLESIGISRFSTHKNFNKG EKPDFILHPSDLPSTSSSPINPILQSTCSSSSSPLKGVNSETSLSKNSKSIPIKSMRE LARRRNR cubi_03675 MVEGWLTTSKSMSGLFGKSLISKQKGNGESINLNENNDDVKNNI VNLGDFSNNDNYLESRKELKGELDRSKGSLLRLFQSDVFDAHLHLYYIYHHKEFGVHE YLVNLLYERSDEILWYLPQLCEISLNRFEKSSLWKYFLDKSSENMNFSLIISWMYQAM SEDNIPLVSEYAQNMLQEIEMAMVNSKLKPDIRYIEKRLYFWRRSKSCLFHSLSSQNI KLDNNQEDIESQYSDSEMMNRENTKTQLSLAIYSTLPSSETINLNADEDYNKEDNMIS SQTDSSIQLGLEYNTIQSSSKTIRLSSCDVLPDIWIYRIINDDFSCENKEKIEYIRTK LSLINRQFKNLYSNATCAAYYNPNPGTSYYINGVSSNTFGSLNQGFLPTNIITGSSSF GTFSSSGNSSKISIPYFFFSKLGSPFDLIDVNKIINDIQLRSKSRINHINKSYNFNTK EFNSENNSSPMTPKDVIRITHPFSPSESSDLDDDKDNCDDSVTFEEIKLIPDVICDDE SNDNKVIPILTPNSANIVKSLDNLSNENSSSPIQINDNILNNEEIFKVYISEIEKESE TLYYLLKQHRYNYFNRVNQLVSQFLEISNYLQSNINKEHRCEILEFIIEELNLWLFYS RFSISISRTTFLLNSISLPMASFNNEFCSRFSENDSNLNQKNNNTKGIIGFSHSNYIM DKGLCSLNNCQFLRIIPNECKIYNSRKRVHFLLAIEIADLDDLDYELGKKSQELISKD ILNYLKNHGIKLKTGGNENDFDDNKNTSQDILLNFLNILSIGISESIDMGLSQEDFNQ VFFIDNKDSENIEDCDDIHENNNNESSDDEVFNENWPQETWSSKIKRYRKDSPYSKLK SWGIKTLLLKSSDDLRQEYLASQLLQQFDWIFKINKLPLWLHPYEILVIGSHGGFIEY IQDTFSIDSLKRKFQTDNLLNCFDQLFKDDELKSKARKCFVESHAAYSLVSFFLQVKD RHNGNFLIDKHGHIIQIDYGFMLSNSPGNVNFEQSPFKLTQEFLDVMMGENSSDFQYF QQLIIKGFLASRKHVDQIVLTIESMTSASKLPCFSLFTNKEYFVQEIRDRFFLHLTEE QCVIKVTELIQSSINNWRSIQYDAFQRITNGIL cubi_03676 MSARARNLRANKNSTVAGNNKSNSKEPNPSINEDYYRRINVQPT VVDTPMSPRTAKWVRELTPVLEPYNFNLNEISDLIHRCHYDANQIELAVGNVIEDFSG HESGQWTKVGKNRSNGGSKHNNVNVTNNNNNVNVNRNKNLNNTHSIKPGKQANSQNNQ NHVEGTNTNYHRSTNKPIKHHGHGHGHGHGHGHGNVQTQNQNQSYQARATGDHSVQQE SSNGSKNTIANGTQTLIITHVSTTKASISNNPVDNTTTWAKLARKNNTNNSATLQSTS SYTKDNGSGFEESQTTNNRDHKDFSNNTIVKERSSQDHLDPNIQQQQQNTQSVSNTIS KLSDKSRKDRECSSNITNDNTQSSSPQTSNVYSSVSTNGSGITAIDLGIKVGGVSPPH GIQIGGGIDYKSHGIGVFLPEGRTVDPNANDGLLFGSFGAVDISKVSENQIQINVASE NNSNTTPNNSPGVPANSSNTIGGHSSNFGTSNIGAMSMMTGISENNGGMTGASVSGSH LLNGWNTSGSSPSKENSTNSMMVDQGLLHQNASQRSGGSGVSGGSGVSGSNVHASIKS SGIGNGYISHSISGNAVGNYSNLPITSQGMVSGSGVYSSNPALLSGNNGINYSETVVG GGAGSNGSGSGSASSGNSSNMALAAAAAAAAAAVNPYNYAYLNYAGYTANFPYMVGNT AAFGFPHYNTKPNGHHHPTPVFNQYSQGPSPSQHGLNGVYGMGGTGSSGNTAVNNNTT GNVMVSDSIGVNYHSSNNQSPMTVGGNSTHNHHISNQNPSSYQNIQSMLPPGISHQQI SLGSQQTNVSGNSLQNQINLPMSFNNNGVSNEFGVDQAIPQAVFLGQPGTNNSKLDNI GAHPGDFIHHQGYNNHHSHIQNPTSNANINSQGNPNTGNPNSHAKGNGQVAAGNFQGN IQYIGKPTVSGSNNAVSGTSSGTSGNSASSNPSGAVNGATVGTGMELAHQSQGVGGNT SSGNSNMFLPGRAQNTAAQAGQVGSAQSKQASVNFPGFHSSRTSNNSGFLQHNNNMWN NN cubi_03677 MTKKDARNSLRASIETTSSSVLGSGENINNNSPNSKRKCVKKEF NDTEANVIESYKKKLDEHKDLLHESMTKFLNSLYDELENSRVNLEASCVRSLQRYGDS IKKLESLKSQNMESSLKLKKMQNMFQKFSDKITQV cubi_03678 MFDNIIHARNGDFYQIGSGNQINTRGKNTERSSNSTNKGNDLLD PISKSDIIGISLNSCIKQDEEFSELSHFLKGIYSTDYEYRPETFEVKALSGYFPKKIK QAILNNFKSMKLNLRNFDDRKYLINKLSSEPLALKSKTLSYHSIFYIYNENEIIFWNW SKDYPIYSVQTEEFFQENTKKKAIITSVGSFEDEQSSCIKILVSTNYYLILLNIVKNT NGNYVINRVKDSYFSLSGYKDISFTNIISHQASGRFFLGCGNTGTIYEYTMEQESSWI KRKVKKISEIAYNDSQTHSLARLIKISGNQFVSYLPKFIRNYFFNKSQSFIKSMFIDE FRGLIYVLYMNSDIDVFLIPIGNFRIKKISDDLTYIEKGDSSSSISTYLTKYLSSNTL NSNSFFPSYFIFRLNINTIKSELSKLLNSNNGSKKQLSIMQQKKNSSFISCLNIHSIH PTSPQESDSIYAILVTNNGDRIYLESIFEFGNKPYSFSNSQYNNQFSQNLVYSIPINM RVKEFKRTAISSDDSEEKLINSSLYSNGVSILTTLNIGDGIDLPESIFSQKSETNLNR IPENLNDDNETIFSLITSNIFASCIDQTFIAKNLSNRNLGSSNMQNIPTSLNEWQYSF SDPNLGLILSIKERNVPTKYQRLFENLWNTPNPFSNERNPREILFIRPNISASNHDQL LSNSNLSGFGCKTSGSKFFHLWRYFFNRLSSKSIHATETKGEYKPHCLIQNHLRASTS CSVFGINIPQCPPNGLNDLILDQITECRSWTIVTTKGIFILEKKRLLDIITKMTLEPH NYSFMIDVFDEQESFSPINGLSSFGRRRGDMNLQNNKSTTFIMKLLGTFAQTITFEQF FALIWQGLVCMNTKEILDSELIQRFYSSDIKNDSPFEIHIRNKDVGMLDKNLTRNDFP SITLIKIWLTLLSDNNIQSGSNSNCFLSISSFNHILAVTPRFKGLLLLIGRIIRSIWG IPLFQQTDFELINKNTRDFSKYIDEEEYIKSQFNQHNNSNDEFDIRDFSDNNNNSKFV SEFIKSQIESTGKFCNSYQDNFLLFKDLKAPKSFSCKNKNIILEIHSCIHEFFKSEAS DWLTHNQVDNSVSSGTIYNNDKIGNKSNGLIPENNTVDFAMNDSISVTISSSLKEDHI SHLKNNLIPINNLLNLLLPWWFPNFNVEINRKNDENAIFLANNYSTNSSFNSSSSSNS NTYSSVNISELHLFIETKNFISRTIEILEMMSLFCKTYPFGLKYNAKITNEAILCSSY MDILKKSLNKFTLFELSNNKGLQFVLRLLFRYNILSASQYMSSISSSSKYSLISCQQV AIEHCISSLNKDVILNKRKKNHAEDLLGRKKLVRASKVNTKDNEQLGNLSSSQIMPIL FENIYEVPLNIVLSLLYYNKEIPSIITLINSQSEYIHHTGLLPPWLSNGEKVEISIPT SISEFSSHISNSNSFVKYFLSILLFEINQFEPQYNNRLMSFQDIIVNFEESGCKTLLE FCKTSSLTHAVRSIYTYEFHCSLWIYQNLQHTLDITYNEYIIEIGKFLSKIDLVDDPA FDYLKDLDVSFNHLKNSSTPSEQKILENKLIMFKNLRENILKMRFSIIEFILCCLKQE SDYKQKFNKQNSKSFSSTCREWLHYYMFSYVSYIDSCMQKTVHEEIINIKGGNRLENW LIKNLSISVFQFSEHSVYLKNWLEHFHLSESHSYSVISNEDKKLNRGFMTNNRFSDGF ENKIAGSVKQGYDINSVKKSENDQPSENNGVGLVPNNYKNENSLKNDQGYLLYNAKQY FSAGQYYYTKSKAIWKIPSGVNSMIAQTQGEIYKSASRKNFNSAKLKEVNSDEITKMQ DFMRRSLDKYRRRISSSSFIQGGKRLDAIFELLSDIHLQVLKMQQDPTLIQRRSLLLQ SQTCIERDMNDDKNKEFIKSIRNDIFNIDTQIEILLQIAEFINYYILTALEQNVNINP LVKQIFDLFGTSVSNSNEILHFNENFEISQEGINIFKSLVMGIYHIQSMVHSNDTLLE FITEFYNLTGFSSITEIKWLMENSKNEPKIIDHIIVKIPSLCEYRTKQSFFFESPILF EVDIADLLLLCENSMPYDLNQNNQSIRNGMNSRISLQQFDSILETITLELYKFSILYY NRFRQSDLVDIYSFEDVTRVKSRVLWWVWPIRFLLFHLNNRSIVSRLLPLFSGNSNNS NLLSNMINNHERMEISEMIKASIV cubi_03679 MKVKVLQRDVSKYQDKSKGSIQRIYHNPDPSIHPFEKAREYTRA LMSVKLRKMFSKPLVSVFEGHSDTVQCLARAHHHLSDIYSGGFDGTVRYWNLAGSRRC EYMIRAHEGAVRGLCVTNNDKHLFSCGDDKKLQMWKISKRESVNEVNLMELEDEGNAE DVFNGYDNISSFSKAIVPETTFLANNQLYSLDHHWNSGVLISSGVGGLHVWDHHRSTP LQEFEWGNETVYSARINPSEPHIVATVSSDNSVGLFDIRSSTALRKVVLSNKSNAICW NPQQPLNFTIANDDSMLYTFDMRKLNIARYIYKGFVHAVLDVDYNPMGNSFVAGSRDN TIRIFNIDQGSSRDIYHAKRMHNVWATKFTADGRFIVSGSSDFCIRLWKNEASQPLGP RSYRERQTLAYRNKLIERYQYLPEIKKISRHHHVPKMIKSIQERKLEQINAKKKREQN LKDHTKDSSKPVPERQKSIVSVLE cubi_03680 MAKKHDYNQSKESGFEIINRVEIRIQDASLLSLSRSASVSPECC GWLHVLNLKGSQYGRDISIEEDNWEQYYFNLKGGMMFGSSKKDGSTLEVVYVLCDTVI STIDSITALQREYITREEEANLRHSIGKDVHLIILLNYNNSLGIESNPLIFAASSPTV SARWCIAMNQSIQYGQMRYVMDNSDQEFEEGNFRVSHTMKGELASVTGGYYRGRDVNQ LTQQLEKLKHQFKQMENENKSLKFKNESLQSQVKKLQSTLTVTEKATSEAVEQKAAEL TQVKEDLSNVRSERELLIEEKNKLYEKISQLQRTNQQVLMEKFEIMDELTDLQDNIST YKVGDAGLTKLITRLQYLKNSSQELLIENRKYKNEIKSILDHYREEQEKSNKQLNTIK ELLSQQDVFQLLIRQMELVQAKLQYQQEAWRMPDNQAENLLFWIQQLQNQWKVDEAVA RASYLKHRSIVLGEQMKAYTLGHPLPTHYAIIQELLHKLQYIFREEEFSVVTRSEFLY EDIGNANFGFEPLRIRNQISKKLEKEPIWDGENFKQPPLSVLPSIFGNSKTKMAGKIE EVIENSKQNGESGSGIANAIDESANSPKKGANSGLIEYSSLKSSVMANTQYIPKIQVD LLSPPEKNVPESEYERIKNSFREMQEKYRILEGENEIMSEKIQKLTKMIKSMNKKTYR QNTRAGSLVDFSAGNNLEESSKSNVNMDNGDNQHDSVSGDLEHDKAIEPNDNNRGIKS GRSFHKRNSSKSVYSEPNETSVNGSVAKSSAGQNSNNNENEISDDIN cubi_03681 MVISGKTKKEDNHEISPKHKLTDLCVLDLSRETSSLQLPNAFSK LMSISKQLQNELKLTRKERDEAYNSLILQRDYYEQSLGCAIKDKNGSKNEVLEKLLND TANIEYRAELNNLKREYERKMEKEFEEESKKLKTQILKDVEIYLVKYRDLAMLATEEA KRQQKKAELIKLKTKELTELTCKSFEEKLRNKMQEDLKKYEEATQSAYSKMILKEKSM NIRWNERERFIEREKKDFEESILKQAEKRIEEFRKGLMTTQAQLTKEREIFEDMITNL KERITFECAEFEKEMYHRFAVTFSLDENEVSRRMQNYSDALEKKGVN cubi_03682 MAFPVFSGITLMVLLMGPYYLVYNMSSIFETTGTNPLLFSSISY SVLACFLKSLLLASIPIPTQNIMEYLSIITKVIYLYFIRLILLSKNAKNHEVNVRINV GILGWCIAHTALTYFIPVVTDTSLSSDFSLDYIRLILSSYSASLSTYTCFYCMYMLTK PNLYDRKGVIIITISSCFLIQLVTSGLSSTFGQNNIAPFVNLPIFAYLAFYINLNFNK KREKVSNNKKE cubi_03683 MANSSEGVKRGWKLILQRFVWEYKVSRAINRITFSFDEFEQTNH FNCSWIIPQWFLIYLRLVVFLYLLIMLGLDVSNYYNLGYAMYWGVYVTNWTYAVTIIY YFFATTSTIYSYANNSIGRKKYIKSLELTNSPSIGGRIHLRLDGNDISHQINRPRGSI MNVLDRKFDHIDYSKHKEQVVVSDHTTNGYSSSPKNIQISEVQMVDLNLENSNNSNRN KEASYGHASIVSISTNFESPNNLNKNAINDKSEHKNEVNEYILPKKTKNIFKRYFNHL QSHMFIIKYCKETDRPHFADEINQRMDNTTTNINLPLLIRLMWVFHAIAFPASFIVSI VYWSMSIISPVGVDGFSFLTFNKHGLTAVLLSLDTYFTTIPFFISQAFYSFLYCVIYM IFTIVYYILKLPNPQSQDDLGFIYSAINFADPAVSVPCSIGIFLLLFVTANILWFFVG FSRNHIIDPLPSDDVSSKEFRVNHYV cubi_03684 MSTLTRSNTLFTLPGVSQYARTGSFNTVIDHLELENNLEIVKEG QNTKRVCDIAKPVKKVESESKKDIVGQKKNKNANYHELEVQLMMKDRMIEELLEENGK LIDSMNKIEQDKIDETNRIQQGTEMILQQVVEDNEWYKAQFLEMKNELEKAISQTRNK GREITTLEKRCKNLIEEKETIQNFLDELTVQYDERVYQLQKHSQNINDIRKLVKKYLG RNSRVRRYKEQLPDTLYCSINDIIQKIKELLNSESNFSKIKYLVDEVEINTLNFETEI EEILEKINCKNYNIENPNENINKDIDLTCQSVMENQNDLKLNSDYQKINSELENAKNE IEQLKFEITRKAEIIEELKNSNKNVKLDILDNINNSKEDSKIKVLEEKISILENEKYE LIKKIANINRNNMSNQLNVNEYINRKLLVITEEGISSSVNTNKSVSNNEEDNVQIDQI LNNENYKTCVKDCDKDNAIEKNNNEEMQVVSVSDIIDKYIKYPVDNNGKKKIIQRNSI QRNKYY cubi_03685 MTEIIDIEEFLNSIERRIKKCEETISLQKEDFGNLGNKYKEVSN KSTENISSNSSLTPIQNRFAIGQNSLMASLENDIQELNKIKNDQNYSINYKLDHIEAI IKKIYTLSPLENWLSTYETIKAYLEMDSADFESSVLTLDLRKAYIMEHFDEFNTMKSQ LIELESLLPFLNQEIDIQSINDTKKKLRDLENRSKRINYETKLLWENLEKLVFSYSNF IYKYNLLTLNQ cubi_03686 MKGKCIKKIFRIISQNEIEFKLAISAILLNFENKDESLNSVLKY KENKDHNESNYILKIIMTSFNPIELTTKLGGPWEGYFVWDVIKGNILNSYDKGDQKNS LLNFLEMMDDESPSENLLSMNLMKYFEYMDKIPNPRPIDNTFEEPTKEKDYILIIKFE GTDSEIILHLRSTFHNNIKDSSTMENINIITCLKEMVELIKLENFNLHENLRNLTELS NNKQVTNNTENDESQYNGNNSEDIKMIDNYNNVINQLSSPNTRGTLELSELRIPSYLN NSQGNNNSKMQDINNSYLIDKQSNKDTNNYVTNNSCNNNNINSKVLNSDLLHNQIKTY RFIKDSFKNGNDQYNGSYSTSSLPAYYSSSFSPSSSSRTNRKNSALRSAIDSVRRTQN DLISRQTSALSNRFNFNNDYDDFYNIQDYAPKYNHTTISSELESDNYSYYKYFQKLNK SRQRQHNYEQPNINNYLINDRNKNNYELKTPNNTRKIIPLYTREQLMKKLSNTKDISE RINILKNMIKSTKKESYYIDEFD cubi_03687 MNKNSVNKFRSFIIVIHQKYGCLLLKSSKKSEHDIFQLPGGRLD EKDLIINQLEMNNDDYNIKTHILPKEDSFKVAAARELYEETGMDFRNRLHMLKPLEFD LPKKWKFFYLDITNTEITENQCQNKSDRNCYFKPFQKIAKYFISILYTLNNCFNTTNF HLKLSKEHESFLFEKDLVKASQLVQSHSKGICSKALLLFKQNYQT cubi_03688 MARQGKGLRRYCDICRDGDTDLRCNQCGKVFHFDCLRNCNLIGS DTEIDEESFCCPDCKNNEDFCYICQENETGDIMLYCDGCPKSAHLGCLGLEEEPDSPT WYCPNCDNDSGPGKHKNKQIGDVRTLGGTKKTNDETDINSDSCYVCQKGGKLLGCDFC TYSYHPKCIETEVFAFDGDKWKCPVCRGEDPLKNMRHKRMTKSERYKLSQQWQNCIKK QIKQSSINRDVFLWENRQAISPFVSRKVLERLKKNAETYRKSNLNQQQNLSSSIASNK KRRIRKIQDDDAASDSNSDFEVDFSESNRLDSSSDLENPALSHHAKDGANFSEFMDAP KYREAHIKAKEMSLQILSEGIELKDYQRYGVHWLLCAFSIKGGAILADEMGLGKTIQT LTFLACLKALGITGPHLIVVPLSTVGNWAREARRFTPGLTLTKICGSRWEREHAMMDP VASDGLYDLYITTYETVVTEESFFVDNFRWQCIVLDEAHRIKNEGGRIRHSMDRVSGS MRVLLTGTPLQNSLKELFTLLNFLYPDILQDSEIFEKIFQMKDITKSANNSVSSGGNG NRGSDDDENIDDLDALGIKVDSKRVKLFHCLLQKLLLRRTKDLVIKLPDKIIRDIWLP LSPSGWQWYKRLLDVGNVHGDVSFRKLLGLVIKMRICCSHPRGLVARSSQLDKLFNVF AEASPDLLSEVTDDAYKLKSSFGWEHVKGSSKLFFLDKLLMQLHRENCKYIPNYKESH DKYTREQIRSIKSRIFELRNKGGTASGVGSASGGSSSSSSASGSSSSNNTKEKDSKTS KDNTINEILSFEDSYQVTDEFEESFKNPNFKDIYSQYMYDKWSKKAEEKVKIESSEST LNSEKNEGDDKEIVKMDVDTINNNSNNINSEVKAENNISNSESNIMGDTQQVPINPNS DGGSRKCKMHKILIFTQFQLILDELENYCLWRGWQYMRLDGSTNKLIRELDIREFGLP DNYVLVYLICTRAGGLGINLVSANHVVMYDEDWNPFVDLQAVDRAHRIGQTRDVCIWK LVTEWSVEERMVFGREQKLKLDKMIIKGSARDDNQTESVDVHSKVFDNDHFVAEEKLS AEEVTKLIRYGRRALLHLGDTSKLFGLNLEALMDRKRVPIPDEDVLMQKEEEEKGDDV QNVISGEDGQQVTLKADEEVDITDILCDEMDDNPGATTGGGLGNCGGENSNAINGSSL TKTMSNVNVSPSDEVLDSAGNNITSQTVGNTSINISPTTSGGDDDSLWRSKRTRKPPP PVYNPLHWEMKSRSNEDKKPTLKHERSCFRCGQAKLECSNSGNKDKANSNLNGNINSS NSGSTAGAVAGAGCCGSPPNYGDVSNNGNGSSNSNNSTTGKDTNSKDSSANSSSSSSQ AGGSSKSSGSIAQVDEYMKKMGLINCNRCPKSYHWSQCLGLDKVPPRTWICPWHECCL CFRRATQAGGLLIHCSECPTTFCIDCFPPEYARHQVLDSFFENLNKRGWNVSRDSMIL FLCSKCKALQQQEIRKKLSRQQLLEEQQRRRKMNEQLKSDVLKSGQKNLDQFAYLGPN SGNRTSPYLSGRKRNIEAAWKLTESLIRKGFERLFPPLLYKLQQEYIQENAKHEAAIL AAATNGANGETDPDLEKQIGGLRKSPWMRPPGEWLRLCDNCLLPFHDVSGCPYPLEVV KVSVPSGVTQIDVQTGQPLQLADGTKIASMEEFILLSKNGGVDSKFQTRSYCSLCGLS GRMHTRRSCPLLPDEAEQEYEKRQAVLDNFIQTLNTFHVEFPLELTDPEKITGGWESY RKATQKIAEEFLRDCFLSCNLHKIITPSGTAYIGRQNVARSVVYGTPGTGMGQVQGSS NILGVGNIHLQAGIGGMNNVGSGLLIHEGHNGGIPSSAMAYLGIGGGSSSNSRNSKQT SGRGDQSGIGGFTGCSIPTSSTMSAAAAAIQQRMNDAAVSLARARYAAAAAAAAVSVA GFRHPQPILPFPMVQTTAPPHPYSIFSSGNPVSAAAAAVAAASTPLFFGVSNPNMAAA AVAAARANMLVGANMAASVAAAASATNANTSFSTSNSSSQSLGSKKRAIDALKTENSN GNMINQGTSSTNISNSSNGGFTETVKNTSISVDSPPNKIKVIDNSSNDNNGNSEFIMD LPVSSSPNQNS cubi_03689 MLCREDVHKRMLIRVINTGGSKNGTNNHLNDLEDCDSHAPFWFF PTGGKIVIKVHNGVDEVVNGTKEDSNGLAVGVCIPCI cubi_03690 MISKVLERRTTAPENVLPAFNQDYSILEDCLGHQSVVKITRLHD TKKRSKVCGIDWTCDGDKLVYSARDSIQLMNPQMYVAETSIPGQWFKAIPSSLNPLQM ICIALNKPCIEFYDLRSGLSHFEINIPNDSFLNVAWSKDDKTIVTGDRTDNLYAIDLR FPKSSKLPIPILKSKNSGENETKVDLDDTNERPKKRDLPYFIQSHKKSSEEINDITFS SDSKHLIMARNDGKLEIIFLENSRDILNQNKSELIQTHLYSSLIVTEFSDIIASFGQD QTISLLDLPSKSIVSTLGGIDGIATSIEFNNTGEIISTAISSEKKKPSEDSNDTLMLN NTQLKILSRFDVPGRITSSSWHPYRQILALACNSLESNNANNTSSSNSGTNTSSIHYN KIISSGLNGPNIPFVGFLTVE cubi_03691 MPPNLRKKRKRPSKSLVEDEKENQSKLVGFKTSIVRPIVNPKSE ILDLKTLSDSKNDENLINTLNQFDLDHNFGPCSEISRSQRLERGKKFGISIDLNIEKA INDHCGDHNSEMSVSIIDKLMKHYVD cubi_03692 MSLNYTTKLQYLFGIILLLGIVHSLVFDQAKVDWIFSRQADAWL TNLRSYSTIYEYSQIEGTPDKSKLFSNYKTASNESMVLFEENVDHLFDYFFYGFGVEI VEFATQSNTTVDENDFKFKRINRKKIMDKLRQKTQFKNNIRSLKEENPDENNNRLNIL QTSFYWPNETEKWAKISFLESGSITETNFTMSTYRNETGLLTNPKELVLVFEVLEDNA FRWGGNYVCQELVQEQLSTESNPPSYIPSETQKANVLAKKGQTLWYGFLVTYPEGQMT NIGSFASPGPETTDEKLLMHIFSRYFAFTEPFIKQGKYPEIGKYDGVMSIVSDTGVIS TGSTFYYLKTATISSPSNPGLVVPPKFTGSADKYPSKHDPYDFQDPLGPLFVPGKHCS EFLLNH cubi_03693 MKESSDDIYSRVRKIKFKRVGLETNNKSNNTRNINLKEKLDNKS ENSEPFNFQGKEKKKKGEGRIITSNTTVQGFHTKFQQELKVGDYIEIEHPNTLIKESR KVINIISERTLIIEREFSCDLVSTSEYYYISTNDIDNDLSVISKSNTYSYREKDGMFK YKTVVKSVNSSLSREDILDIRAKKQRDKYCWI cubi_03694 MAKSSSGKVKGSNKSMIIKRESQVNEETKRRLIIKGSKCKENIQ SLLKDLFKIFPRAYTKIVRGITKGELITSPWVDFHKMEQLSYKHGSSISIFANSSKKH PFRLIFTRYYDSHILDMYEFNVLNYKGISPIVELPKYGSKPIVICQGAPFESDDVYKS MRAMFFDTFSGPIVRGSKLFLKGFDHLILITAYESKTEKNTDHSSIIGLQDSKIYIDI RSYLIHLNKPTEHIPNESLIKSDQSLILNGSPRAILSEIGLQIKMELVKHQIPDKSLL KSAMMIPREIKPKKVKNITTNVLGESIGRIHVGKQDLSTLNTPHANILSKINRNTE cubi_03695 MFLKVLYFVVFFSYLVNLRSDAFLRNDLTDSYIQEYLDKIYLEK DLIYFEDSLSPTEKPDTSIKSSLASNMLNVHKNQILNSLGGTERLIELIKLLESDAQE EEEESIDEGIELKDYFDKIILSSNLDNNYYHYLTEIDGGKFGNFDGFGTNRLKISFSE VNKNKFSNRMNKGVKEYKFKSKLDPVIDKEHKLGEFDHKEKNRYIKEGFLAYSSGYYL VKRKNLKENEVDKYNYSIKIESPMELIDFNELVLLDEIKLLYKKKVHFKHNNGDQNTF KEDSNHKKVNQKTQNGFGVFYSGDENYGEEDDYEELEDYELEEEYQRVKIAKNFLTRG YPVCELKCVVRDLQNKVQWTEKIGKFIVENKVNNKEQSEINIINGNNWCDRLIFHNCI GFELESVKISIMNEKLLEKVHFVENVLGPQINNQIEKYFNNLFKDLPSKVLKPKQIGK MIILNEFLESNGFIISRNKQKKNELLMRYEKISKFSWNLISLNQILRNVKHKLKINHL NKGFTVLNSSSGLLIKKDDPLVNVIKPIIKQKFVLDIEQVLIFIQNILFLLKYENSNN KIFLPWYNKLTKNVFTKQNNFINSLFSEYNTLVKLFGQQKFYSKDRFYRFHTFNIYSL ISHYKSYENNNHKNKFGKTIYSSEIRVFVINTTLTYYDQIKINNIFRKKSTHSMNNSD IPININDIDIENFKYLKEYFSPLKNEIEQDEWEGGEFDEDYDVYENYFRNIQSRKTNT GDYVIETPKLIKKSVGIKGNIEFNPNHLVFQNNLSNFGFNIAFINYIYQNSLNLDDSV ITSPPWWMVWQSQFYPRISIIDSNKEQDLNLMENPDEFSREMNSTWLELPVNSENPNS FLDLGSFIPKEIISKEILESVILEKIELVNPAGIKKGDLNYSKNKDNMWEIIKEKQQF YISKWKKFDLINDPSNLFFYNLFSKKQTLSANSNFNSTKFYFNPCIINIFSESNNSEW LTNCNAKWKIYSFLFEEFISTINHYLNISTFFDLLNEIKILLVVQSQIG cubi_03696 MDAGRFDNSNFRYKTKTNNYKWFYSDKRTRRYNQNEFLGFRPEH SSYNRYDQTFYHNNSWKRRGLHKYNGNRVYNSPSIQERSTFEWMKQYESMLWDTYEDV KLDSCRQNESTNNNNLRFYSNIRNNTKNEDTNDTSNNSDNQKSYDIPEEIIVQQKKLL ESRKKNTCIRCLKIHPQEKCKKLRCHICSSRFHYVQDCPWRKNSDDSTRLCESCNGGN YYLNSRLDFSTYRRLVCSNHSNNKHENMSSEVTKNTRIHPCIKKGYEESILIIGDKDS TETIKDLDIECYNCSGTGHIICKNEVPFKDVVKKAYCALCGNVGHNYQLCNRFKAKFN ENISGNNSLGNKKYYHSNENDESESESESECECESESENEIEGESESVSEGDEDEEDY EDEYDENDEDNDNDEGNEDNKSEESYKNDDLEDRNYDEEEEEIVVDEDKAIEHTSANS FRKTTNGSRDYEILANISKKAKTKQLEERINLSKSMERDPNYSECFSSVSASSESEID TNHFLNQKNEAFHIKKTKSQFESKVNPFHRFKRARNPFKNKSLFKLMGNSNSNQNESK IKSAFVRNKNIYKSRKKSRRSASRSRRKNISVTFISLEGRISVGFFINNLLIEMEVIP SEYCEKLIFQGAEARIYETTLLNKKVVIKHRFEKKYRHPQLDKSLRTSRILRESRNLV RCNQKGINCPNVHFVDVDNGIIIMDYIQGTTLNDYLSDIMLNSSNYDLKLSGNAISKL HGQIIHGDLTTSNIIISNNFNQSEVIFIDFGLSYSDSLTIEDKAVDLYVLERSLEVTH PNIKIMDNILSTYCKAHPDGKSILNKYKQVQARGRKKD cubi_03697 MPIDINRIRVEKGGDYQKIAESEVARYKGLETLEELVKVDQKWR EDVFKLEQSKKELNSISKEIAQIKKKDPKADCKELQDKSAQLKKNLPIIEKQALETEE TRDKLWHKIGNVIQPDVPISNTEDDNLVLRTWGEIPDIKVDGTPGKLHHNEVMSKLGF YDSVKGAELAGHRGYFLKDYGVVMSMALTHYAMNFLLKKGYRAIQPPYFMKRDLMGKA AELQDFEETLYHIPSDNSKGEVDSNSLFLIATSEQPIAAMHHNVTFEEKDLPIKYAGT STCFRKEAGAHGKDTWGIFRIHQFEKVEQFCVTLPEDSNKIHEEMISVSEEFYRSLEL PYRVISIVSGALNDAAAKKYDLEAWFPGYNSYRELVSCSNCTDFQSRALECRLGFRKE GEREKRYCHFLNGTLCAIQRTMCCIVENYQTAEGLRIPKVLQPYMNGVEFIPFKNENP AHTN cubi_03698 MVIYKENDLLSYTDNPLGLLPSRFIVNSKSYWNITGIFVCILRL YAYYPVWLLLNNTSNDWYVNNAPFFIVYSLIFGMLNSTLYILISFGDPGYLKSCPSTK LKPVDKIRDPLKLKFNLELRNLKKGKSGMNKNDTDNVFGSSDDENDEELDEFDLEAGS INHLQVINQSANDTSFEILDDKSLADVGCGSSSNELNFSNSILKLGNGTTSFPLLNAS NLIKSPIRIDKSTANLSLVMESAPGQFLNSIGVSQFSLNNSRILDNLFNNNESECCKL SRDLLDGDRSPECSFANSRNSNREELTFRLNLQDIEQKTPKADKLTHPVSNLNSNSLS FPLSPCSSDGIDPLPRGEMSTTNLKNSCFQSNDGYFVFKEGKMYQNGVRLRFCDYCRM YQPLRTKHCTSCERCIRTHDHHCPWLGVCIGEYNRCKFWWLSLVQFPECIWILYCISI CLFKADLNNNHPTIFDVCSMIFIAGNALFIAFLSLLLVVYHLFLAYNNLTTWENLAWN KISYLKPFPDTQNSPFSKGHIYNIAIFCIPYYVDDLIIGEEGEIIWERKPPDNV cubi_03699 MLLKDMLEELNLKKSLDLNDACILWCTLSEFSDLDFDKLINQLR VEILEDEEFERILRYKILNDRKRSLLSVLLMKLSLMNYYGISSKQVKIIREKGMKPYY KYNSEEPLIHFNVSHDGDIVVIILSKHIVGIDIMKSELPSRNKLSNNNIEEAKEKFLK NMANTFQPSEWEYIQKDISKFMHYWTIKESFVKYIGLGLYIDPKRLLIDGSLAKENNW NYSNSVSLEQRSIYMDNQMQKFQPLDNISKNQVGDSRKENTLSEFIESSSLKMSMDTD YEVLNEVESMSILRPKYPTHVVTKQGIELRSSSYGVEDREGNIGELLAVTQITGVVYM TFDSSGRLYSIKKDVAREFLGELQDERMNWE cubi_03700 MSSEDSVINSSNSNGIETEENGEVANVDELKAYFRDISSSNSQS EGSKRFQDDEEGASKEDDIGKSDTEKDDLSDFKSSNNDHSIHQFRDVENSSSISVQTV DPKAQLYSAKDWSDLNLSPDLLKGIYNKGFNRPSKIQAAALPLIFSSPMNLIAQAHNG SGKTATFALAMLGKVDTKIIHPQCMCLCPTRELARQNQDVVNELGKFTGITTWLVVAQ GDKYDKTIGSQIIICTPGKMQDFLKKRSFPTEFMKLMVIDEADEMIDHRNMMASQVGQ IRKFFRQNLQILLFSATYHEEVRLFAEKIVPNANKINVKKEELTLNTIQQFYVICNDD ADKLSFLSDLYACMSIGQSIIFVNTRKTAFSIAENMRKDGHAISVICGTQTNSGEKMD HEVRDQVMDSFRSGESKVLIATDVLSRGIDVPQVTLVINFDIPIRFNSTNSIGIGNDS LSTEVDNETYLHRIGRTGRFGLNGISINFILPHQLSLIQQIKDYYNCNIQLIEKDLEN LEQILKSLRS cubi_03701 MIKPTEKELEEFKNSWNPLVQEDISSADYYFNSYAHFGIHEEML KDSVRTGSYQKAIMTNKHLFQDKIVLDVGSGTGILCMFAAMAGAKHVYGIECSEIIHV ARNIIKDNHFSDKITFIQSKAEEAVLPIEKVDIIVSEWMGYLLLYESMLDTVLFCRDK WLKEDGLIFPDRAQMYIAGIEDAEYKQEKLGYWNNVYGFNYQFVKHCIMEEPIIDTVG ENAVNTSSYCILDIDLYKCKKEDLEFVSPFYLKVARKDFVHAFIVWFDIIFGCGHKPV TFTTGPFGRYTHWKQSVFYFEEDLVCDTDDIISGIFALKKNTKNVRDLDIKIKFNFTK NKSSIEKVNYYRLR cubi_03702 MTAVFDTYCTSERSEFSVFGDFVGKKDELDSVLNFSLIKSHSIE LYEVTKEKKVRFITSSRLLLTPVACTTLMGLNGMPDYIVLLFANWEIMLYRYSSEYTE LELVTKDQIEIGDLLRGSSLRLSQQNIVMDDNIKMEQIQLGTKPNGDDLVLMITSPKF LLFITYKMKSNRNDFTQELSLGTCWGILVETDIYMDTIVDSCQILDENSNLCLQPKIA ILTRIGPISTGSIQSHSNCVSLIYLSINMELTEFTVLDKLELLPMDSYKLIPFDTSGN INMCLSGGLIVLSTNSIILHGGLNRPFTLITTNDSYNNVPEKKLEVDLCAQKVHGFKP KTFGFETGTVILDGNHASTTSNDNSELLNTINLENLDSNLDLRTLLSNLQPILINQPE LSIEFQVSNSVPFLITNEYYGILLFNKIQPYLIGLMIHLSPDQPNGIKGFNWFKVNEY WDWNRSYLPRDHTILSLETVTLSKKLGSETTLDEHYLITSTPIFRVQNVHFIQQKNAS SISNSSNDAYISPSLLLIGDIDSNSGLSILDFTFNETFLIPYAKNQNITQDPQLSYNA IVNLIRSKEEIHSSMKDEKNKKIKKTQIDSGINLVQPYSDSCLEFSSIEDLERFYIYS DIPKKEDGKSEQNLGILKNIKIRDYLDLGPNSLRDFCIIPSFNSCNEEFESEEYHKKC PIDERHSILSTNGTYPIGQLSLYEKSVSKSVITKFFLDDILFHWALNDPITNKTKYLV FTSDPLKSIGKTHFFSLDTCINNSQEMVIIGDVNQLDPSDGEFDYEVDSNTVGAGMIK MGNSYDDIFVIQVLPSTINVLDFGISTRLVELDLISNLFFDNPDAPMAIKSFIMGNFI LILFEDSTIKVLKIFKPNEDLKKGTEHIDSSNSLKMIAEFSRYQIIVESMDNLFEELD NLCEINQLVVKQRIMEGEISQLFEDEPFETSKKQINTKETWKNQFWIRHISPVITNSD RNLKDPEDFLVVLVIYSKLWLNGSIAIYNLVKKRLVFFSPFISAVPCLMGNVLSQTGS KEEIIYRFLENEFDLGRPITSICTPIIEPKYYIAKEGGISISPTFSLNPGELDTTNQS CDSNFTQNSSSFLISCELIKMEEEDSKEGNSEMIMVASVSQKPMLIYRLDLRSNNSSS NLRSEDYIFSRKWKLEIQTNFNNVQMDISKFFAQFPVTLTSSNIEETFSNESPLSIYN CGHGISFNSKISSNVDTWIIQAPSPLNIEVRQELMSDETKLSKIGCFSSSSLVIMTDK GRFSVVNLDETGMSNCIAKIDSPWSPLHILLKTSIKNEINFEFLNLADSSSNSKLCNS LSTLPFPGNDNWFLRRAFLPEVVIQRVAFCKEYNLIAIIVGIPDNAKHHLNGYHIRQM AFYRYLRCLEAGGKEAQTILLNQDLIRNPDKCFEKSLASIPDLGIPPASFETETYFDT LPPGIEIGESLPKIFYQSNIINEKARKSDNCNQSSTCNNLGKENVAPNLACKDFEREQ FGILKDLNIMRNELLLYSFEDLFPHSKLSNPVLGRDFESFPKPKGRYAFGAWEVGLSM KFGQDSKGQQVLIIGTGTNPTHYYEAEGRLLMFKVKQLVSLDEEDGNVVVKTGNGAET ETTEATGTGVRANNAKWTNMLKYWPSKFSKQLYHDNVQELEICFQSMYRGPVTSVDLI NLPATTAQVAPAIPALAAYPIIQNNPIVRAPNSNVTYIAHTFGYRLYIHELRENESSF IKGTFIDTPLGISSVSNYKALFFLGDIRRGVHFGMLRTDASRGSQTMVKFARSHPLWK FTCTSAQAIVQEKDLAILVSDNNNNLFTFEPNFGATQIIDKETLKPTSHTNLCTSIVH MRTVESENYRYVIASGRNGSFFSIKLTNKLQHEHLLRVEKLLCCNIPSFLGISPNTSL HTNKSLNNIPDFIQNLYPTDKIIFLKHLNYLKYLSNPILQSIFKNTNTSLSHILQFIV cubi_03703 MIIPLVNNARVYDGIVSSEIIGCKISPCENYLAIITRESLQIVS NRHNRILISRFILTNSKKKLNGEFNGSLEWSGNSKLLILGSSTQQHVFVFCLHLHSPL EISNEDGYLSEFESSSEDSINDSDDDDEQDIGSEEMNDYIYGKNELESFSISNSISKI DNNERFVFITEELENGLINIPSVNVPDEIFDSFQEDLLSFQLISEYGTLMEKSEKLDA ETGIEFSTSYAKLELIGMINVFHKFDSFLFIFDQSINQNVILFTLKEFPILILIQFSD SSLRRKNIFLTDLVSSIQVGDELKNSQGSFSFLDSIYNLELNKKNCFSGYLDESIPNK EIKLENLERIKISSQIQKSIEELSCQTFPTNFHYSSVSLMEALINNNKKLDFSLENKS NFSGFGAGNLEYNEIHDWLTIIVKPLNSLLLFSWNSPIYFGVSSKDFFLSETYNDHKI NHFPKFSFGYIVKLTGVLRSKILNKSRRIVTICEESAQPKELGIEFELCDDHIDSTFG FDYNYQVAKAESETNSPSSLNQEELFIEVLSFEEKVYNRSKNDIQTTENLFVRPKINR VFSLKISEFVSNISLFSKIPHLNVSNSDIYITITLEESGIFVIDSFSGKLYFNINKDK NISRMQNPILFKHALIISNDFSMLYQVKIKTEDDDQEKFCLIELPIYKLVETKSSFCQ LSNDNQSLPCCENLVFLGMESIGVLQCNYRNFKLDLDPESNESNYQVSSKVAKDSMIE LNHKSDSIDSNDISNSFHQKISPEFGIISSCLEFQTDLVLQSIPIPPSIYINHNWPIN EAYVNRSGNYILVSGYRGCAIYDLINSRWRLFCDLNHELLLCKPNLPFGWINEWIFFL SVDSSLLLNTFHDLITSNDDLWEISAFEKNKLYEAYIQCLSKELKTIKNYKSKIYEET CIVFFDVRNSLDIRNIIGIIPFCSSYPLLIANSISKSKDDFFILYTDDFVLTAYEDEN LSHFLFIPTEVKWVIDLSEVWNDHPVEILLIKKCSEVCVFLILHKDWKLYKLTVYYQP DENILNKKCEFEFQPIDKSSIDIDENLAYFNNFNIIRFGFLEFCTNDQNDKELEKSSE STEVTSSNKPQINCMVNPDTSNYDSIMKDAFNLLQEELKPFENNISELLNNHNLRNFM KNYLNSNVNTISDKLPLYWENIEELDISGIIWYLTESQQLFLLPLIDESSDKKISKYS LLTPILIQSFQEKIGHTHIVNFFPGLASFLILESYPNKKKANNQVNNESVMNKSLHSL VSEPKIILNIYQSLSPVLQDLINIPNKFLRSKIIRKFLFPIISYIKIYPLENILKILI NIFENMLFPILKNSCRDYKKNLNEVIEKMVSFSKKNGIVDGKITEKLIFDYLSETFHI VGPNLKICNKRFCRHGEDISIVELVQSEIMISSQNIQEMIQIMEIIQESLEQMYSKAS SMESLSLFGNCNIQSQIFTYLIISIIRKIDPVIAPCIVFPAINQSPNDLFRQCIKNKS YANAMLYLTVLQSFLGPYYVRYEHSLLLLHNILCSINITNYFQLLPMANQTIKFILII FKPNTLSIKTPLSKITEPVSIVGMNYCSNLQLDCLVFLNKIDSILEFHFLSKLIQIEW LCIVIMCKSLGMSFNSWFTHCLQKYYPLWFSDENMESYCYSTSSNFFNLVISIQHKFN LFNVKENSISLFDETSQQNSSSRVLNNDFLSNIHKNNSEIFFQNFSIQNNLIITQVIK QFFKAFLSNSFPIPALAIAYACNDVYSVHKVLHDFPNLKENLHVIP cubi_03704 MRAKNIVLLLATFLLSVLAEEQMDGEISSVAQRDLKLTPFNLSA LILVSLSTMLIIFIVGLTMDTDTPTRFEEKMPPIMKEY cubi_03705 MAYQTYSEIFLSIPPLHFAYLGVVLCIVLSTFGAGWGIFTTGNS LVGAALRSPRIRSKNLISVIFCEATAIYGVIATFLLMSKIRSLPDIDIISGQPKDAWE VQIVKSSWILLCSGLTIGLSNLFSGISVGITGSSTALADAQRGELFSKMLVVEIFASA LGLFGMIVGFYQLSLADFPSK cubi_03706 MNLERVNLSTDMREESPTRDNNITQVDVRQGSELIQECSSVRVP TLTVMGQNLSQSHGHSHGIIQAGQSIQTNQIGERVDSSLLRIARGGTTTTSSSSGNSI ENLPNPLLEEGGQGLIIETTTWSRDSHELFDYEAQHINNKKFLVSKSSKIFRQNTECM IVGDEEELPTQGDYLLSIKTTSDGKFVAFPADRSLGVCGDRQLVPKKVWLIVRDLPSK SYALQQNDMVKLGRFKLRVKQLVKDGDQIPELRLDEMETNIIEPTLEESITMQCRICL TEGEQEDDPLLCPCQCRGSIKFVHLECLRHWINGRLNLANENGSGDTFFFRQLQCELC KSPLPSSASIKGSRVNIVKVPQAKPPFVVLENIYGNVHRGVHVVSMAEKKDLKLGRGH ESDVRISDVSISRYHATIRYRNDNFMLEDHDSKFGTLVSVRRPQAIDNCHNLALQVGR TVVNLRLSEEPCSPNVGIKELPVSNSPDFNPDSEQNNSQNGGGGGNGASGVNRRFSGI NPGPDTNSINNQNPSGNVQHLQDQMSQDPTISAPVTLPKMVGKPQNIPYFLDIQESDA KSGNENDNTNIRKGDNQKVFEYKSAFLSYLTSSLPPSMSTSSSSSASNQSAPLPTLAT TKEEGEAKSNGSNVDNGLILKDIKMQDKICFFENERIKQFGDYLGIKESKNNEYGKSV VSEAYGGGGFVAPPSTGSDHFLDCFKNMSSLIQNNGYYDSNPGISKKTLAQLPSPSLT LWIENNETSRPSFAGNQNNSLSI cubi_03707 MGEDERINIKCLTEIFKCPVKLEWRKYLNDRVKPGEIILSVSDL KNGQEITVIKSICHGKIASCLTPKSCEIISVKEQSTGNDNISELEIIEIKDSEEDCNE KSVLHIKQENPNCHNNRYYFGYYLCDHTTFLSNICVECGFILQTKNQFCTSNKLMNRD GAGNSNDETAEIHSNSRDRHDYKTGNHYNKDGNERMLINAGFLSNSNDIKFNKHYVDK QEKSFIFELLYIKRKLNLVLDLDNTLIHASSNLPENKKKEEFISIKEIMENEITEEIY NKYCGSVVMLESKSLNTELLPKGIDVIPHYIDETDPKIQIYFKLLESLVFCIPFGNYI NSGTEKPRISSSWSFGYYKLRPGVINMLKTLSKEKYEIYMYTMGTEYHAYTSLRILDP ELRFFHSKRIFYRNNGFKDTSIKSLNTLFPYDHRTLVILDDIEQAWSDINSLLKAYPY NFFPSNSIPNDSGSFSRYISQIRTNNKWSQLIKKKRTRSDQEAENDIKEKNEVDSHKI DKDMAKDQSSIDIIKEIIKSEKDLQLLIFQKLLIAIHDAYFKEFDAALSKKSEFQDED SLIQMVYKEAPNISNIIKIMRKEVLKNCTLQFTGFNNKFFYNFVDSDLYKWCRYFGSS LLDNNTKNSSEKLLATHCICEQLYTEKYHHAKEKGIPCINILWLESIIYTWISPCNIN INNPLLNDPVNSDYYSPFETLNFTNKESSDYIDFENYSYSYLYSTNTSESLKEQLWEE AFNDISFSMGEDDDDENISGTDSNSSLDYLHNRSPHSSSDERTNSEFVEISESEITEN SD cubi_03708 MSEKGKQLSKSELVKILKKSTSSTREKNQAIKQLKKFPSIQKDE LDKNLDGLKFKINKNKLFHFLCYRCDKPKQSNIQVLCKLKDSEYTICHCCYLSLESNI ELKKVKALNLK cubi_03709 MEEKKHIQIIPDSVSSIRRLLKDMKVDSYDPRIIDQLLATAFGS QDLIIKSNEYARIDSRTNIQECDVKIAISEYYETSVANQNSNLANKTVSSEINSLPLP TFPNKGVSKIWLPTEYEMSITPNWNQDLTNLNSMNDSETEKMNRDSDIDIGEDIDDDD DDDDDDDDDDDDDDDDDDDDEGIGSNSNNINIRNVLKNNN cubi_03710 MLNKRNILSLATLIVGASASGIFRQFGDKLTQKTNPCHITCPRE YAPVCATDAETYENLCLFGVARCLNKDLQLVANVTCPDLLIHLTYLAQSSMLESFNAI NSTYQAVGNLTKGTTDVISSALGSVPLITLPNFNLPIFGIPPISSQFVKTSSTSTTST TTINTISTTDSAIQ cubi_00752 MPSTNQVKLPVIKGGGGGGKNNNSNNGVNNEIVNHNYIQTVTLA LLDSSFCNERKDNYKQITMALKEHISNILKIHIQDIVIDEIYAENSNGLFNGNEKCTL PNGKLKGIIFDISIIKNMLINYPTYNDIEKITLVENEFNDKYGKIILWERKIENTNNE HNPFCQASLKVTKNIKFMCINHPIYCIHNNEYKCYTNPMGIDCFCYNDQSIYNQIQNN QYNITYPMTNECKTIISCTNDIILPIIPRCSTSPYSIGCPCNLNPYSKLCGCYINPFN PGCHCTYNPYSNDCKCLLNPEECKYENGLDIIDYEIIDRGPFNLKHGLRRFYKKHFRK SNSLPLFSNYEILKNILIIFLSMALFINL cubi_00753 MVNKFLILNIILLLTGVLSQDSLRLLNESLQLVDEAVNTNDTFI DTSNDTSSHQSLNIDKIKIKNKFDNENKNKTTIKTEIENKADNSLTNLESNSENNNTN IIIPFQNNIFKLIDHLNIHIGKITFGGLKNVISGKYVVNPFINTKKDVTFSDQNVISG YEDVSTSSSNFTTEPQLISLPLSNDSDITILPTEVLIPEYEESSKPAHVRGALSSPRT PPSPSFSPLETSASSAIIITEENNYGQNLPEKQTAAEQGFDEENIYKSKHHPHPHHHH PPPHHSPPHHPPPHHTPPHHPPPHHPHHYPPHNHHHHHHHPHYPPIKDDNSTTTSTST ASTSSTSTSSTSTSSTSTSSTSTSSTSTSSTSTSTSTSTVSTSSTSTSSTTSTSTISM AGENVAKLTGEKSNLRGL cubi_00754 MRGFILYLFFSILLVISQVIDNSRAELSQTEREFSEFDLNHDGL IDAQEIRIVRTSVTLQELHQFFWEIDSDSSGTISLAEYSHFVTTNSHAHHNEGGNQHS cubi_00755 MEFFDDDTISNMNRMDLKNKPNIFDDLLEEDEEVEQVNEEDVIF LGDEKNRNGLLFRSNYDTERIKFENENKAEKIIFGIEERREEELEKREDEVKVEVEIA EREKLNSNPFGMVERLDFQEFNDNLNDNEFDNDFGIDNKFEDNEDVFSFSRFNRNVNE EKGVYSRQIEHVKNIETEENDISNNLFELNQKKQDEKGRNSNIEKEKFNSENLALDNH KITSQSIQDDSKLNEIKASSNYSDTYTNINKENKSTSLEKIESEKIEKNILSHHLSGN SKETNIYSSANSGNETCGIPNNVTKSNCLQSSIEDIDWNKVCKIIESFEEQTGNIGRN QMLFKSIIYKLANEFNIVDK cubi_00756 MSKSSKEFIIQSKEKPNNKLFHDLNSHTISTNDSRDNDDSLMVI SSIHTISIPRKLSKKDSISKINHQSDINSCNLVIRKEKEEYPYNKFKSKESDSPDMKG NNRGNKKKKWHQKFKLSFLFFSYNKNTEKNNTKVKDKEKIKSFRNNSNINREIKTFNE VEQNGKGNSTDNKLNCSYPIKELTLSKNKEDFESNNLTFPGDLDIGTHQITSNIGVYS SSPSVLNSSLSYVTSTNSTSYITTSTSYTNTPSASSLQSSSSSSSSSSSSSSFSSPSS SHLFSPKISLISSYITQEEALETGNYKDNLGKSDFGLRSITGDRESIIYDEDYDSYLE STIKEPFLEPQRGEHVGKKTLVLDLDETLIHSSFQPIRNASFTINIEIDGDYYDVYVL KRPGVDKFLNIVSALFEVVIFTASLSKYANPLLDRLDPMNKCPYRLFRENCTVEGNSY IKDLSKLGRPLKDIIIIDNSPISYILQPENAIPISSWFSDENDTQLFDLIPLLEIIAQ GHPTLSTSFGSISDYYISDNSNNKEQTELIEFSSSSTIYVESSFYHPKELYYY cubi_00757 MTDFSKFQGLNSSQIHEELLKCPKSSWPKIISELPTGIKSELVA ILSNKRTNFTQNNNTLGNEDTKENTPKKSKVEVSKQDSQISSSPIENKNQTQNLNEIA IVHPRCVSLETETNMETNTGLESLNQDQICSLSMSSHLNKVFQELMISTFSPINTVIS RTMYIAGDVRPFLKNKDCKEKSVRLIQSYLNIWISLFWKSLINKSNKKKISNKLTNNI IKKHFSEYYKQEVSKFDYDTLINKSAHRQVGMNTNSENGIIQTTDPDITSISSSVKEE DVINDLDENEGIDYNHNIPSHMMISIDKRFEDRLKLRDTRTKNMSPEVYKEFAMLREK NFRPSINTLQEWLSITWNTTYNNGLKDTKVSQIPSNSLQLFSFILNDIISSLVENALR ISYLENCNLKNSGSKTIMEDSDYSFENCKDLVNEVNKHKEFIFGSINDEFNLQKVDFN VIIDTFSKNQDEIPRLNYIHYILAITQRLNEVDFKLLGHSEYQKSNSTVFQIGMDVII EKLFTLYSKLTGQILRGQQNSVGGSTTGIGGKRKRDGSELLIDLEKCTNNSNLNGDDE NEYIGNEEDDMFEAIVESATNEFSITNAFPNGIPDEISIYCYLRMKKVIKESKDPEDF SGLVKEWNKDTTDLDDEESIEIQKTKESCKYCKIQLNKYMNVAYKIIAVSNLIKNFIK cubi_00758 MYGYSIIQAKKVLPVCGVIVNKNSIRFSKFLFPTINEEISKKWP YFKRNSELLFQKSTNSSLSLLSINKGKFYSDLYHKKNRFGDFLSNNYSRIFNDKGKKN VKFGISAGLILLLFYILIVIKEKNENEKYFKSGFSSNIATRYIVNVINDPKIEDSLKK LFKRVLTSLIKDDDFSKDWKVGLRQVIKEFEDEIGQNLTNILKTKIVQDWLYSTTNEI VDYMSKTPEIISKTSSLFSDAFNHQIFTENSKKWLEEFIHNCVINNKKIINSINHLLI RIFNTKDIQNNLSDLFKDVILDDSTQDYISLAFWNVIRKSLIFPKNWFNGIKNTENST KNIDKSKKKG cubi_00759 METVSEELIKLLPREDLENLIRKLNLEVSELKDEVKFWRCRAEE SSKEQGKVLIRSSISEEMLNELNNLAGKMNVLKIMDEEVNKENKEESDLINKKAPIQK SLSPISHPNQIVPSSASGNNVDSNSFPVTESVSRAAASAKLKSMFLKKAPPKMMSRAD FVKKSEQKNCVGAARGNITSEVSAQSQLSSSIKKGSSRISLQWGCLAEEYPKFDSNME ESLFKNYFSEFENKLSVLINEEDSREEPKLELFSNSVFSKDSQTEDLQIPCEKLFEWF IKKESIQTKKTMAINNLSPSNTGHLVIEEGKQIDRETGKSKNKDKSPTNKINQKNMES TGKEVRACLFGSKTSRMLQITINYFKKKLPKDQQKSLDFFKKSILNCTLDKEGVNLLL ETVPDPLENAAKYEIWKECVRSVERYLESNSRETLFEEEEFVYFLSRIPNLSKRLECM ILRSSFEQLYCESLKWIEEKIRGLELIINHKRLPLLFKAIIDSRNILNSKLGKENQNE VDKVKFIPLSSMKKLQNLKSPNIQGKTLLNFISSLVGKIFTKEEISILKKSSEKNITM VYTMVTDLIFSWLELRDKPENLVFATETHSNKEEDVMEYNDEFQNIMKQFYSEKYSQM ITLCNTFRKMLRLYVASCYYFGDISTFLPLRVKLDQGKQDLVETLFEFINKYDLALKQ EIVKDNEQTSPKSVENTPRDNPKKPLSTSTARARRLSHINDMKNILNPNSSSKPKEFS NQSLSSMAKPKINIQQLFAKSIVKELNLKPLFNKDSENKSERHVSFSDGKIGTLNNNN SIASSDINSISDTSIITGVTDKTENNNFTNGILSKSVTKDETRSSVQIEDIEKTIALP LASFCENNQVHNKNLDTETSYQNGIVKRSSILELSKEAKEILSRDKTNKFSLNLSSSF DDDVSLDDELDDDNETIALSSPSHTRLLDISSMLDSNTTESAESSNSISVKFEKDGIR KKVLIMTEENYESPVRDNTKFNRRQSIRRMCQIATYLDNSGYNDEIEENDDLNNGLSR YKSPMLMVSRNTTHSSNISSSPSSERTRNSQEELNDQDYEPDFDNDNSKTCILNEILE VSNPLDELEQSNSKSENINIHNPNYYNHLQNVNSESKVPPEYSPQKITFTRKSGRFGG TLSPPYN cubi_00760 MPQKTLVTHISHQNLDTVQRGTEEWNLLEHYLQVCCRSSRSLIQ QIWHVNIGSISTAFDRRTQDKLVLYCWVDTNDLDQNNSIQDVSRRGFKIPSSGMKFTS GNIRLPGVPQASYNKGITGSNAHFQEINIGNRSNKFEADYTPATRRLFEFFLCKVGVG CSVVKNDESEADGDRFPIPPEYDTVFLRNKQINPPSMVNSKVAMDSELKANEFNLSSA LALSAGVLPQHTFKHEYIIYDSFQVVPEYLIQFEYDSSSPELFAVPWCDECQDSPAIL WCQADTARLCDSCDERTHRHNKLVTRHIRIPINQMPRSSGNCPVHTMDSLEEFCTLCH VPMCRLCRPSHTHADEKTPSMIMPISRAYRAQLERHNKPHPCLKIRKMELLEKLESQQ LIFNDIRANMFEVEQRIYSILESSITQLQIATESKMNKILCEQLILNQLLQQVEWSEG FLQYLQSILPPADFLFAWLKHCHYREEVFQLCDILTSNEQFFPDSRLVGRVDILSESA LKHNKHHKHAKSDLFASNEINLAK cubi_00761 MEGSSTEDVQKLNPKNHSSIYRPKKWFHALSIRYLSFGFISPYM KLKDTELMKEEDLPEIPLKDNPFKLGKHLEEALRREERNAILAKREPNLMRALLKAYY PFIIICIFSLTIIDIIRFSVGFIIKRIVADLQKPMPLKEDEKFNSVINFLMVFLIMVF SSISIPHIVFFQYRYIFRIYSSLATNILWRGLKKSGGLLVRKSIEKNGLIPPNVMDMN LIKKINDDQHHSNRFCHFLSSLGCFKSKNLSSKEIEMKDSLKKEDSISSSNEGGNSDQ IELKNYQSHAIRLNYINIYNVMMGDLFPCSILLGNLISVILFPLRVILASYSISIILK TSLPNIDNNLGWIINISLISCISTLTIFISISAIFQIKSGFLKKPLFFAKDCRLDELR YILSNIRTIKLLNWESFVYKNLVELRTFEMYWRGKRLWLSGFSEVLSFCSSYFSQAVL FTCLGMLFKKYFGQFSIPATATVPIIFSLNTLFTSVNMLPEELGFVVQGFVSLGRIQT FLFSRIYDYPLLMDREFIATETNKKVAYCVNKKNKNKIKKEDIEKIQYIEKQIIEKEL QNIALWYIDATFSRQIYDDPVYRYLHQIGYLRSCGGMDLVYKQIYNQNNQQGNCILDI DNNQNNQQKSQLVNMTTLTEIASFKKKSSFDKQNLEKILRYFENTNENNSLVNWQSFD FDINTESDFRMLGKLNPCLLDLNIKVNYGEICFIIGDHGAGKTTFIEAILGDLFRIRG DTYLGTYIHEMNNTNERNIDIVNSNPWIPFGTFKEIILAGREYNPQIMECVIQVCQLI TDLKMWQLGYNHLIEEYGNNLSNGQKARVSLARSIYQNYLNISNHDNNVESLENNCFR RKKRIICMDSIFEHMDPNVTMGILNSLFSKKIHEGNSDIMKYDKLVLDTVIPSRRKKD YAVFITLNEPTLSYIMRKNCFQTDYKLRFFRISEMTIKEMSYSQIFDDIILKSVENFE YFSESSDSVENLSHIKSLFQSKIQDQVQNQNQNQKQCEKQQEKNNPEEKKIKDLEIIK IASKENKTSCSNSRRSSLSTPRICFGENGLLKAMEIEVCKLISRSKSFEVLSNGNRNH FNEIENGNGSIYSLVGAPNTFDENFLELSGHVKLESYSWYLFGFFGKKNIISLVVLFI LLSLLICSIDIIVILWSGTDLDMRREMMLKKQFNYEAISNLGFKYMMIFIAVTIIASI VKIIAIYIEINSILKSAIILHNKILFEMITSPLTFFDSLDSQSLVNIFSMDLGIIDGE LNLGIILKFCSSMASQGFIIWSSPICILIYPILIWYYFKYIFKPTRIQTRESYRFLLN TYGPLCNKTECNIRGSSTIRNMGFSEYYKKDTSNILYILQRTFYYSYAIGIWRLIRTL FFGILLMFMLFICPTISRILNYELPLWITRFAHSFQSALLSSFLVLSLTVIISFPNTI TSLITDFIDLEKNMCSVQRFQILEKQINHAIFIEKSKSQSSIGTFSETNALNYEFNQS KSEKLLLDSSSSSSSSSSFSNLQRKEEGLIINNIVMSYYNNSNVIFNNLYMSIQPGEH VGLIGRTGSGKSSLFQCILGNYKLDSGFISLDGINIELNNNNIHLGTNTNINNKIDGF IKDIPMQTYGHIIFENSMKEMYKNSIGKNQLIGYIPQNSIFIKNWRVRDYIDPFQEFS DDEIWKGVKDLSYEPLFKDLPDGLESIVYSDNYSGNINKMTNNSNNNNNNNNNNNNNN NNNNNNNNMDYINHRYLFSLSQLRLISLLRVYLNSSKYKIILVDEPPIIHNKNEKTKD LKINEKTTINIKIHQDKIKDTGTRRQINIKFNNSFDYEIDNNLKYNNDEENYNDLSNF VCNENGSNKSKLPSISYLINKYFRHCIVIIIAHHMESIEFCDKVYIMGKGNIEKIIDL KENNNNENLQNIFKLIQS cubi_00762 MGMLRFISRAGDFNLQKRDRGTESVEETDGAESIDGKREWKYGD ENNFLQEKNVMEVDDTLRGVLIKNLYSMDIQDEVIKGILGEGNTSFKPGDILGIMGSL GSGKSLLIMHMVAVSILPEEIGGHDQKVYYLDTDSGFSIEVFIEKHLIPIIEKKIVNE DFYYQLEKKFSQDHTKIPQESFSKEKRIRAEKVIIDKVIRKSLNNLYITFVNDLLDLL CILRQIISDSHINSFNNSGKTNIDTNVNTNNSTDNSTTTITIGDNNHNNKAKLLVIDS LNFWNTDLSSFLIKNHPEKVRTKYHVLGYYTNKNTLFNSVFSLIRQIVQFHGFIGLIS ICEEPIIQFTCNNNNGSNSYYDKFKSNFTEELVELVNLFQTKKLYQNVDHYYENYVIS IKDQNQNGIQIEDKKNEKLMLKFPRIIHKNIFPTFLSKIIKVEDYQSITNIIWISRSS LPISDQIHNYPNSCVIPTYFSCISVNNLQKSYLVFDDFHGLVVLV cubi_00763 MKRTKYQNQSENQYMLINNYKVTKILGKGAYGTVYKAIKTENQK DTSIIVDNIDIDENSNQASNYFNFNHNSFMPSSQIEKKEENSILNIPKLSYSMNLNNY YSKNKKSKKSSQTEKYYAIKAFDKDLSKKGIHPSILREIGILKELSSHPFNELFIKLE DVILENDRIYAIYEYGGISLLSFIEEKCVSIDKNNYHPILNLQFGINIFFQIVNSIQS LHNLGIVHRDLKPENILINEDNLKIKIADFGLARSIRNHSYTNFTNDVVTIYYKSPEL IIYSNMDKNYDNNDINYNNYIPEFSLPFSIDSWSIGIIALELFLIICNQYNFQDQNQS NSLYNTRNKKNLLKNTKFNHINYHKDQIVWSPFFFEGNELSVLDSIQKFLHPEEKINN LKKILLPLFQSYPKIFYLISELLELDPNKRCSCIQAFQILEKFI cubi_00764 MRIVSLTIPKPTLNNEDEIRSISLTIKSRNNGMHMIDSWPYAFP RYKYDLDDMLEISIYYKIKNSSSTNTGSSDEINLHSVVYFPCILLDEIDKKGKKQWIL GLDRFHDNTSKLITDKSIDNALKEIKLMEEKARKSFKISKIYLIFYSCNNTVLNIEEQ LSGSYINSQGLITQNKDQEKKLYGLVSKPFATDVAIDKSKHKNNVGILPDDSVSRISA KTSNSNTVSRTNLIKQNITENCDNLSDAIDMINKYRSRSKGSLTTIKSIDHGNNFNIN NNNKKNTNNSTQIVNSTIKSSSYSRNINELDISKVKSQEELTITLSKLNEKDYGILNS VDTASIISKTISTNTQKYLEEKKELQERLFILQYYFSIWIQTTRKEKNIKKSKKNVKI MSGLINLLRVFENNQRFKKMMFIQALKFNSFRKNLLNKQFELEKISNEKNILAEKLNV IISDSSEKIQKMNENMLIKEKDVFELRKKLEYYDNINSELKEQTEIIQNENKRLLSQI KELNQYIEDKEKTMEAEKVKNGKEKLELEIIIDRRKKEYSRQLEEIHHLNTEISKLKN IINTEENKKVTLKVENEELKKKLLSLEEEKINIMNEFNQSFLAKSKENEELYIENIKY KEDNELLIKNNNRLADENNNYIKICEDLKNEKSSLIGSVYDLINQIENEKIERIQELE NSRMLFQSINNRGGYNRKQIVNLCHTEIEEEEEEEDYEDLKLEDLKNTIKKGRKKENE IRRNKSDIVIGVNYIEIIGEKFDNNKLVKLGCIEIKGEEDIILKRMIREVRLEFYDDE YENIKLKNEQIMDLSERVKVLTDEMKKLKEREREIQEKDEKWEDNEKEESIHDEMEVN LDDTEKIIGSATEMFKLALRNIKINSQRFVRTENNNENENERQKMSKFGMEGDDIKGY DVKDNVSEILEKGFYNRDEKDDMKVNDDQMLMIVPSEFSFNSNYCNRNAESSLSLSSS SSSSTMLKKVNDEHLGNYHQYTIENNNINNSCNESNKTVANISESNTFGGNMFKAGYD KIVSSISSALTSATASTTPGSVLGSGSGSGSVSVSRSGSGSVSRLGSGKGSPMVIKGN EMSYSQMTKNNPIILQFPMMRSSSQSPAILSRSNSMRYSNNNNNNNNNNLNNNINMNN ILSSSNSIIGVNKGKVISGGNNNNSNIGGYYYQYNTGEVIYKGIINSNNKNINSSNFI GEENSYQKRYSSIPRQNIKTVPPPFFPRN cubi_00765 MAQNQGLHTLLLIQYSNNISTRSYMDYDSVSQAVDGVCQIYEQS IKCAFSNLKEVTYSIEDLVKYINSLYDICMLTRDGSNRRYIPHDRKWIIDQIYLKMKR RAAN cubi_00766 MKIKFLFGLLGLFSAVNCEMQKIRKYNYGKDLCEFDMNSVLKRI PSLTDLSSGDIVQTHLTNEEFEISSNGKQIKSISLNEILPPLATTPNTDQCFTITYGS NGDIETLCSDSVGARNYIMKKITMSILCLHLGKMRTEISGISPLEQQASMELQGNIPE EGSITVRLEGIDEIPDIEVVTNTVKS cubi_00767 MVAEKQQERHNNDKKRKFRRPFQRSPTNGALKSSIGKEKDKVHN RYISTNANTGGGFNGSQANGNVGKNFKDANEGSSEIVNNNSGSNNNINNNESRLNNNR YVNNSNGSNKNFSNNNGGVKNQYYGTRRDSSEKGQFSTGTNINTYVTGNDDNSKPSHA KNNKPEKIHQNQQQHQQQNQHQSNQHSKQVNQRQTTSNYQQKHQVYSSSSHNSHHEGR YNQDRRGRGYGYSSSRQHNQGGPSGGSYDLNRKQLPPPNSNGNAGGSSHGSKIRPNQH NKSQQFQSGPPSAGGIAENTSNTNTKIAVTATTTNSTSTAVSVPNVVSTNNTTSTTTV DSTNPNVAVTTKEEGGKHYSSQNNSGISTSRKDFHGITNRRGGDSHYHGHSKQQSVRD NSNYNQHKDNAGKGGLHGSHQKDNEVPNKELRATSHKGFISGKPGGAAMISDSEQKPA ANLESRQKIQPQTQQQAQQQTQTESQKLPNSQQVTSQNFGAEHRGTAKGDSSIQKGRT EHNQNPSATTTNYNNQHSNHHGNQHSHHNHHHGNNYHFSNNSGGASWKGQSGAVHTHA RHGRYSGPKNVGKGPNAYNGGTGQNLAFSKQPTEGGGNHSFTHNTTGNTISEDLKKKK GKKRFNKNVRNLSGVNGSQINGHQIGGNNKERGKYGSGEQLRTHGGEGFSATLPDENE PKNISNKIQSGAPQYTSGTEIIPEKGKVTEHKKKFSGSLNESDNIGTGKSTTTSGSAN GKSASQELEKTLDRNSGKSTDCNANNAVVANGDMMGIEKVEPKTTRDSERKEKIGSLT PAPETKEGDANNISSVNNTSTANSGSSSSSGSSNSSSSSGVVGPWRRNLHGGQAVDSL ETVRRTVKSLLNKITVEKFTVIAEKLAVCIDEIKNVDELEELVKQVLDKAITEPDFSE MYADLCQILKWRSPSLVSRDGKSTIGFSRALLARCEQEFKNMPRSMTPTEEEREKYDS EELVILYRKRKLHVLGIIRLIGELFIRKMFPMRSLNELVFDLVMIQENPDEYAIECLC QLIMTTGYYLDSNEKSQMIVDQWFGRLKELQNTSISTRLNCLIQDVFDLRKHKWVKKV HKQKAKALADILKDIDVEDVLGGAAIAAQYGSVVVVGERSNLVGNSAYYNYMTSQEEL YISKQKSLQKQ cubi_00768 MSGDIPDVARTNVLLHSEPIDDNDKIVLGPSKNKFIDITIKDYC KNIKKMGFQATNIGRAIDEIKKMLNWRLSDEEIEPDEVEPYNNLEYRKNVKCTIWLSF TSNMISSGLREIFVHLAKNKLVDVIVTSAGGVEEDFIKVLGSTFLGEFTLKGSELRSK GWNRIGNLLVPNQNYVDFEEWLQPLLDEMLEIQKNDSVNWTPSKMIHFLGEKINHEDS LYYWCYKNNIPVFCPGITDGSLGDNLFFHSYRNPGLKIDVIEDIRRINDIALNIKKSG IIILGGGAPKHHICNANLMRNGADFAVYISTATEFDGSDSGASPDEAVSWGKIKAECT PVKVYGDATIIFPLIFYSTFIDT cubi_00769 MCDCFTFSKYKKDYNNVESYEFSDHFEISITSVESPGDGQINMN GNIKFYDEIMFCYGQLLENDDYNLCIPIEGNPNNIIKEELPKLLSNVGSRENVIKYEK KHPIVRKEVDNLKKPSEKNQECIQDLIGSWEIISEESDNMEEFLQKIGVGMLKRKVIN KGNYTLKVELFDEKLLAIKIEPFFGPTQVMKWDLTGQVFIEKNSEVGIWKNKVEFIEF KHEKTNNKFVTAVCMTRESDNLRGKVIETRWTQPGREYTKIKFIRYR cubi_00770 MDKFGDISTDAGRKKLNDYLSTRSYMNGASPSQDDVEALYMIIK AGGFFDFQHICRYVCHIQSFTASERAAFPGESFKNKLQKMGAAEEKKSNDDDFDLFGD DEDMEAAKKAMEAKKKALQDKKAKEKPASKSSLVLDIKPSSLDVDLDVVAKMVRELKI DGVEFSEGEKKVPVAFGLFKLQMGATIIDDLVNTQDIVDSIETLGMTDEQKKKFFGRD DICDDVEEEEEYGLVQSCEIVSFNKL cubi_00771 MRSTEQVKLIGKLASSEHTSTAEKRSRILSHAPHWKKYELAGAL PVGSSVKLMALHNQSLLVRSQLLSSDKQLRQLVLNCLGITPDLEPAQYILTMIYELVR DDAGQYHGFVETTKGVPIFEKFMQFLERPKVDSYLSDKLLFFLSGLISHSNDGAYTKE NIIELIDHLFKAPENRRSQSGNNQDDFSSFSISSSSNSSRMESSYSTLNGGYCSIIGA LDVLGNLVKVDSYRMLVLSHPNVVEFISSNLSSSSPTSHIYKACVIVWLLSFNEETVP ILVSKDLIRQIASTITDCRSEKVVRVTLNLLKNVMNNDAAVESIIDLGLLQYLTILEY EKWLDPEIYEEIRRGQIMIDQKLKQFSNFDRYCIELDKKKFKWSFLHTEKFWLENVMN FEADEFAAIKKLAHLLKTSDDPVTLAVACFDIGEFARLYPMGKQVLGKLNVKEVLMTL MTSPNREISKEALLSIQKLMLNKWNRTNINSSSGSNTTTTVRS cubi_00772 MVKGIQSISNKILVDGLNEQITKEKGVTENALRSPHVIMGREVN CRLYCDKLEDLAGENSQGTMFRTEKIFVGNLPTTCSQDILRKYFEQFGQISDCVMMCD KKSGIGRGFGFVTFTSTSVVDEIIRAYDEHYIDGQWVEVKRASPENALRGGNMSCNGI SSLNATNLSTREKNNTSLLIKSFNSNL cubi_00773 MLNYMVGSDNSENGMICYYGEDDIVGGYPKRKFEESEEGFEKGT RKKRYILGQNVGKWTDEEHNRFVLALKKFGRNWTLVQQEVKTRTLVQIRSHAQKYFLK KVRGIAPSTLTMDSKLISTASDGIVPTWLLQDDSNYANKTSINTSSNINPNNTIVNTN GIGNSGKDPNNLGNMNLYIYNEPSNPELPIIDEPVNLVNVCNSNGNSNNNNNNNNTNS INNDNNCVNDNINSNDNINVNCNSTLDGSNLVMSGGMIGNVNILGSPILESGGLSPDI KYSNYMSNSLLVDDQRDQCGYHDYRTNLMDLKTEMTPKFDYIPQGGGSISKQVVNDCI FDEPYCDKRVPVDSNSLVSTAISSPASIGPEKNYIPASSMGLDMLLMPPTVDLKQNNN GSQHSYPKGLSGPSNNSCSDNNPHGNSNDVNSSNNNNNNVIRNSVGTISNSTNYGFNY NFEDSENVINPSISLSNCDVPWPLTESDQFLHFNDNDNAISSSPSASSSISYLDLDSS AEAKQYSMGISTTPNKRYDIGNTFSDFVYCGDSLGIDLLNVYTNLRDSSSPIIDNADV TDFI cubi_00774 MRSLNSILSVFLVLIVLIFVGFVHGINESPDLLKLVSDKKMYDE WLKTMTVSEEVILERFNTLLGIIDLNKDGVLDKDELTKWIRFVSERSSLKEVEAEFKI LDKDKDGKISSEEFVNHFISGDDEASSKEKLELEKFYQELFKEVDSDKDGYLNVEDYY YLTNYYNLSKELFIKVNSFLSQNDKNGDGVIDKEEIKQIKEENAEVITGDPDKLVIFG VDMSNENELSVKKIIFSLRSQEIQDAITDAYHQLVDVYNTRKDAKAEDPKSSSIPIDF VRSNHIVYIQSILADYGDVFKYPHDIFVGVHDKDYDLSSEKCTELFGENERPENSDEE NEFDHDDFETDDNNPNDDVEISDDMIQRLISLMGNSGLGDIDDGSRSMFDVFAHKAER EEGGDLAGMEEILKMLQSLIGSKMGDNAPIPEDHENDIPDHEDDIPDHEDDQEFSSSK IKDEL cubi_00775 MVVIVDQTKANSLFLIIAGLGGISSICRSDYNLPLFIFVWWSYF NFPESQKSRQQRFIRRFILLSCLQDIIYILYWSPLWFSMQWKTNEPSTFGSHILIIII AVINLFLKLITLFVLKGGTGFASNQVIVYNNY cubi_00776 MQSASNYSKKLASGPGANIYHDDRISRLSQRLNSIHNGLDIDRS AKHHDKLAEMEANMKRFEEEINRKYDEGMRKVNELKSTLMSLKSENREVYSSYYTDQE IKTNSMENQNYLNSKSEILNVERRLNELLQSEIFSRKSMEQRLIEIIDDRMERLRNEI SKKDIEREELDSCVKRFVEVDIVRLGNAIKNEVEKCQTIENNLLSQLKEEIERLNCLI NDEVNTRQQSIDTLLDLMENLVQQITIDTRNERSERQNSEDTLLKLLEESLNRIQQAT SNIIKL cubi_00777 MILKQGTLTMFIYIFIVFQNCIYTKFVNANSVGKLGYYDYDEGN VRNRNISGGFKKNFEPLKNNFIKFFLNDESEANSETIYHTSANTPFMDFSHGSLIKKV VPKSEKVCSSIQLMSFWRLYSDVIDLVESELINTFNSNLVHKTIPRELCENNQALTQS EGISEDISEKLKTTQFSFNSTKNHFSSLSRTNSDQLKNVTENSLRFKKDTNKVKEKSS QTESNRTNNKRQRRSHNNKKSTFQFGVHKYFHIN cubi_00778 MSAEKELFTLEEFQRMKLVTRSRVNKAINSSTSILESARSSCQK APKSYKMLNLVPGAIEAPKRDGKSVEEFAVIISKKYSSRVNDKAKNKKKDLKIKRGIN GMIKDWNIIEGSETGEMNIGDSTFKDFVTEAKAAEFVIKFLDRMQSKKYEMNYDNVSM HVKGETQLDRQLSAYPLFVSTISHVSMGFSTTRRDGTSLYDIVGAGVDSNLSSLVGNF KSNKHIFDRSPLVQLSQELEKDSLLEKAPSWSSKLLPSIGTKLDYQTFDEEKALGVYS KDKINKESIIFRQFTQLPSAGRMGKRYTNLEALNTLALQKDESSVHDDTTTDSKSKKS QGNVNSSLQIVTDLNKIPIKFPWILEELPIAQSFKNQFLLFSENSSSNKNESKEDNVK KTFFQKAKGLSTSTLRQENVIKAIVSNRGIAMVRNITNVPADSKLEAYFDELDDNSDN DDRYLWENDRERKNHETKEIGVQKYLEETSFDMDFQNKEQDLESLMKDLEIHYNQIKN ERNEENTLKQGNVIQPPQSGQISSFAYHESTDYLDFHNIETLQKTSDMTNITKDNELS KMLLQGMNSYTGPELKIEYDLSELYNPERNLYRGEGIEKTWKSYYDSLKNYQESINKK KEYMDRIHYNISPSRKILNGSQSRKSKIPKSFLPIHERLQQEDDPQEEYYSFVQGQRM IKESMNQFPIQSHTSGNKTIGENNIHLITSKSRGHIRGLAAVRGGRRIQQRLMSDRSK YENELRSRKEDLGFLEYKEKDRQEFLEHVRYNNKKIQEVYRMEDKLKTKARIASRGRF KKI cubi_00779 MDFESKDRWVLLGGIASECEEILLKKIGYSFWLSDLNKFINQTQ KEESEKDEQNISLYSFKESVWTGLLRISDGIKFDSFVETESTFSEELVNLFPQIREEE LERNRKIRVKVYIWYNFGLNLITSYNCKRGILTLSNNAANYEVLSHLKSTFSIHLDER RKPLLDLFRKLILQGNIFTDLTIPNTLDCKYQYKTEQKKQVYKAFESFCYSKCKVINC IEHLEFNSDLINSLSIRNLMNYLSNELISIGKSTNHDNECQSNVITSALRNEGFNYQY LNSKIPVEIWWSIFDFLDDHSLTQICFLSKSLNFIWLTYHRNALLPHQRKSVTWLLER ESHSGKGHWLFESPIRFLTSSDDVFKYLSVWHYLTIKDLSQVLQPENLGKIIWDQNKN YLMGAKIHPKNFDNQISQMIFQKNSNIALYINHTNKTIHLGFNPKSQFEFKNEEYSQT KGGIFCDEPGLGKTLTILSLISKTSNKVSDIHKISGTSYFEYPFGVTHLLYQINNKDP KINFIISNQSPPLKLVDLSIENNTLNKNTDLISTGATLIIVPNHLIQHWIEEIKKWYK TDVITTTTTTTTNNINSSKSKIKRIYSNQSNKKNSIKETGIDHSNHDILIYLFDDPSK DHVMEPNILAKAQIVILSYRMLTKQFQLCKVKQNYKRVFTKSTREQNCDLENFKDPFF RQEMSPILRIKWLRLIIDEGHNIGSSEISSTLYQQFIFKIKSEFKWIMSGTPLPISII KSLNTNIPNILKFLQLPIICDKTRNYEQEYIRNSTRSYIKHLHCNDNQALIQSQIHCF NHSNNYSIFKLTCKSFNGKLSPIGIFTIITQIGSIIVFNQKNQCLRNYLPILIGPIKK VIQPYIDNEFYIYNILCELTQRNLFCTYFSQDNVDSLLHPNNFNYRQEVIWNFRFASI LGFTMNIKDFILDDNKLELIKNMNLSIPYISVSIKDIQELRLMLENNHPNYENDHFYP LESFSRMEFVLDYFTNIKLSSPQSNSMNYYKCDSCSDIILFPLIIPCPKLHLVCTYCI MKKHGYNPIQVDLNFSNIEVNNKNINLKKKLYWPKRGPINFCIVCGEDIKINSDFFDR LQVPIQISYIELKSNNSELNTNFNANLISNSTDFNIPLFNFPLISQLRTLLTKNYKSN EIEENLFQLYQKFTDITINNINNYLYNYIHSFGDSLLPFNYIYQNIPFISIGLISLSS SKLRYILHCILKDIQENPKTKIMVVSSLWQQLDFLYYTLTILFNIGACRYYPHIPKSE LQKSIINFKKDFEDINKNHFPVLLLSIDIGSHGLDLSCVSNLYILDPISDESIENQTI SRAYRIRSNKIPLSCFVKVKYCLIQDTFEDILYDYIQYKRFICQNNNSKSSCFSSNNN NINKSKSNVLKEDDLDKVKISSKKKKRSSLSSSYGGNSHIRKKMKIDSNSKSIEEYND KIIDLDLNDKNKPESDFLDLDPTQLLLRIFLK cubi_00780 MTWCLWLLGSFLFSFGRVMLGLYHIFRISVNIVLFICSSLLLRL SSWIRSFHTARDELYYRLEQSNSYDEWFSIGAKLDEICGGNEWRTTEETDDYQWMYLK EYIFRLKEARKSNNLKKLVFLLRWCCDRNFASISKQALYNKAFSGTKILIDEFEEEIE NVLKFLTICSRSQELSIELENALNDKTSFKLSSDQDSRSGSIERLISFPKQSSIYSSN SVFGKDDDSVVFNIEDENEVLEISEIEKLLKEDKENSNDGSVKEETKDFFSIKNELEL SNQPKMNNNICNNQYNHQIYHRNNISRSPSRTYLKNRDLMNTSTSSFSDFKEVLMKNV SIQTCEKCLRNDEENNTVQDEYNSNTAEECYCKEQDNDIQITDNDYDICKEGNRWNSD WGYYYGNKEKNNLTSFCSFETGTGSILRNSRNENTIASNSLIHYLELLGHSTGKTALC LSGGGALAMYHLGVVKVLLEQNIMPKIINGTSGGSIVAALLATKSNEEILNEYIKPTV SNMHGHRWFPPLLDQIRHFLVKGYMIDPKEFIKTCQTYFKNYTFYEAYKLTGRIVTIT VSPTHVTPEFTEPLVLNCVTTPDILLWSAVAASCALPGLMPVAELFAKDNHTNKIIRY FPPGMKWMDGSISQDIPHKELSTLFNVRQFIVSQVNPHHVPFVSINPINTSIIHPERT FLHNVLNWLTLDIKYRYTKLAKLKLIPKLFGKDVSNFWMLQEIEGHVTITPKVSLFDW YRCINHPSYDDMLHFITQGERRTWPYVMRIKHMNFLEKVIKDTIRNIKHGNKKK cubi_00781 MKWSILISHSLFVLSPYLIFIFTIYLPLCIILPKFYFETVLIRT WILWIPIIKAIISVYNSLSGEYYLKNTQINRVISVLEKYNNTDIILGLLETISCRIGS RYRRSSLARNSNSSITLLNSQSSMAKEIIQIKIMQCWLDYFNLWLIYFFLQNYILGNS IKYISSITISYFKVVNILILNIIDNFSSSNLKLVFFASKYKPVSVLIQFLRPIFMFPA NIIKYILILTINMNWKYLLISVVVLNILLQIKFIQDHDSCFSIIFKDSRINIGIGSSG SCQRLDDNGDDGDDDDYDGDDDDYGDDKDDENGDRNEDYEKYGYEGQDEEDYSQVDGI NDENHHSKYHIIKGSKSSGKNLIIYEYPSLSLLLRNYVIAIIDWISNNLFGISLFSHV HNQSETSNSSPNLLASLNPKNITKSLPSISSELEETNLISKRKIKNRSSIKPELLHSN TMPHLSLNSGSSSTCGADSVCGDNEGEEKNSGQFKIMANLLDNNVIIGWLPRSWRAYC LLIGENMMNKSNKFKYWILVAIILIGQVPQWIILLFPSFIVKFIGCMVFGYIYPLIMS LRTSSNIGSSYYPLSSKIGEKLQTWVIYLIAFNTMNELFSINKRYLLGIISWIPFKIH IYYLMILILQLTSSIIPVLTKQVKFKTS cubi_00782 MKKKTEKVGGFELTWWRSRGAEEIISSSEQMLTDDTRLSTGSDA VDKVFNGGIPRRILFEITGEAGTGKTQWCLTLITSVLLRNVDFTNFGKFKDDIGIVCV IYTENGVFSNGRLSEILKSKLEFEYLRENSKDGLEDYEDIHLDTLTNKLMNYVKVYKI STLEDLHIFVQRVIPGICLNHRIDAIFIDSITNIYRSKVSFSENSSASTSLIQFSNAF KRISVDYNCWLIVTNQTTTEINESHILWNYGMGGIGSKQKPSLGLIWSNSVNWRIFLS KKISSCLGKDMNTTYRELRVELSSEIPEIK cubi_00783 MNFSGNNKPFGGFEMEDMNESGGFMIDGNDNSLQHNNERKQNTG GEQKLAPLKVGMILRSYSNFVTQSRFQLFDKDINLFKLVGFVRNVEQDDYPQRLRFYL DDGSGLILIDWLVDNTGTKYKQDLVNSIHEGCFVKIFGELTLMVSEPSVRAFVVRPLV CTDEISLHDIDVASYIVRSIYGGSNDSGKVQATNSNIMINKQGSMNFGNSGDPVKESN QNVVSSMFNLSGQFGNNNNNNNGVNSNNYGGSNYSNDQNVPMNKPPIKVVSAIAPKSN HFNSGAAIQNNPLKLREVISSILEQASSEGSPEMTIDGIFDSLITRDVHVTQEEIRNQ LKNLVGEAKVYQTNPHTWRATGY cubi_00784 MGGGGKKFNSRKKGINQGQLGKAIWNSSQKKFEGVSNDKEHLLD VICDNYNKMNNKSIVEMSDLDEYLSTSLRAQENFEGEKVIKIISSHEMNTSSRNLVLK KEKVFDGKVINLPIPRRPLILVGPNCKTRKAPNMEYLENLEKEAFLTWRKSIAEEEES TGLFVTPFEKNLEFWRQLWRTIERSHVIVEIIDSRDPLFFRNIDLEKYINEIDPLKKV VLLFNKADFLNLELRKQWILYFKNNAPNLKVYFFSALNEINKRDNLGAYINEDFNLKN INDFDVLSTHQLMYKLYELASEVYEASKQRYLNKKEESEEINEITTDHSDGIKDDKNI ESFKKVDGDQVDIQESEDDVGYEDEEDGEEEDEYEEEEDEYEEEEDEYEEEEDEYEEE DPNISNSGLKNKTEAKSDSEVFNFAVLDPLNPGELTIGMVGFPNVGKSSIVNALFGSQ KSSISRTPGKTKHLQTLRLKLPHLNNKEEKDQGFITLCDCPGLVMPSFTSTKEHLLIN GVIPIDHFKGSFLDTIQLIGERISAQLYQTYFDRISYQVPKTFDSTQFLNKLCETRHL FQQGKGAVPDWSKAGRMILGDYWSGKLLYCHMPPNVKSTVSSDFNKLAEPINQININD DNNDEDSEDDIIILKSSIANNNTTNSPDTEKRKTKRQIRMENKKLIKGRTVCYS cubi_00785 MEDSLILQILDIYAKLIIIVSPFGAVFFIVMGILMLRSTLIIEG IAVGSEDYSDSTLASLIAGANFLAILIAALIYRSYRAKSAAKKAASAQGSQESGYNYF TSNNNEGVVISSSA cubi_00786 MDESSIHLPVINVWNKQMEKIKLFIKQNINLEFDDLEGKFLVLQ KEMTDEIKGILFANYKNLDLTHQKECPKMNRLVSENKLLLNQLKEIGKELIIKSEQIQ EKNAMIDTCQKEIRKLELERTVNRIQENMDKDQNLELVTRLENQVFTLNQKLNIIKDA INN cubi_00787 MINSLYGVINTGVFILKGGRNSEAGLEDIHKNRIKNEIEQLKLN QCKLEKCRDTVTFENNRYHSQYCHFHVNNVTMYDKEHRKNYVLLDISIMMEDVDTFLN NEIPIQIILYKDFPFSPPDITFPSTFCFPSLSDGRSFTAEILHEWSPSITLLSIVEST VKFLKSYSTHIAVVGPSDMFIGSYRNPISFNYQCYNSLVYPILGTKIIFEDDVFEHVF ENNSLKSGNVISQRGKNLVSSLIPIPLNTRGLRRETMNWRIEKPCINIDFVFNNTNVT LFDSTMLVLEVCQKSQQNGFFQTKNPSKTSSSSDEIISDSTLQGNVAYWLHLPAISHI IDCNGKLIFGNQGTLPEYITDKLSKLEYLECLILVLGYDFLFERSPVSFYQNEELIQA PKDSTRPIIIELRFHTTKANHESSCCHSFATNLREKVLKLDSNFPQNCHNPKWNSYFE FDCLFNLNKKYQEAIVGNHIYLSSEIIQRWVVICSKLIEQSSFLSETDEKYVQESSSL VKQMQDILISPVVKHILNSETGKLSKVSSIDEDSQNDSFYNRLEEYSPKNASNNETSQ PESQTIEIPHMQDVLEKQVLHPIREIKPIQNVQFKETPHIQEIPPIQEISPIQEISYV QETSPAQEIPLIQETPPSQEISHIQGISPIPEFPPIQEISPIQETSPIEEFSSAHTQL INLNISSDAEDDDHIDEAINKYDEISKPSDYYRHNIQLPNNDSLLSE cubi_00788 MPNPVVYFDISIGQAPAGRITMELFADKVPITAENFRALCTGEK GMGQSGKPLCYTGSSFHRIIPQFMIQGGDFTRGDGTGGESIYGSKFRDENFTYPHDSP FLLSMANAGPNTNGSQFFITTVKCPWLDGKHVVFGKVLEGTEVVKNIERCGSQNGKPT KSVCITASGVLS cubi_00789 MSLLSYQKSQGTLGLGITEIENSTRKLVENETQKFEAYIDILKD QMVGMGELEHENEILHEEIARITEQLSLREMDMESKEKRIFELEKCVGDSQKMNKDYE KELNDLTKEKNDLKTHLNEMSIQLEKLIKESNTSTKEVIRGDDEEKNTSRSKSKKRTS EEHESENISANIASQEAPTLKKSRLRRPQNEDNSESKKSISKDAEPIIKDGKLGKEEN PLKDVLGRTNLGRLRAPLLRSRVVKAAEAANPEVENLPELKTLEKEKSKPGKMKKSTT SVESKKSGKKTSKVAKIAKIPHYDENILNSMKVPELKNVCSKLQLEVNQRARKSDLIQ SILSFQGE cubi_00790 MSDFTREPFPDRIFEDLGGAFSMGCIGGFVTNFFKGMKYSSTKM EMLSSGMLFARRAAPSLGTSFALWGGTFSCFDCLMAKLRGKEDHWNAIFSGTATGGLL AIRGGLKTSLKSAMVGGILLTIIESVSIGINRKTIQTPRQQFKEYQRFVQMSENQDKK SRSELKLSEFK cubi_00791 MAEVPASEDISTSQQPQLSSYSLPPQFSNEPDVRTMASIKATLS KKAEELVKAGQPYVMTSLGPIPLPDNVRNQIPEKFVAAPVLEEREVIVARREVQERII EVPQIQYEHKFVEVPQKVVVEKIIPIPKDVVREVEIPRYTATVEEKVIEVPQGVKFVE VPVEVPIAYPPRIVPVPKPYIVERTVELSRPVIEERLLEIPQKVYRQVPYYKDVEVPF VVPRYVEKLVEIPFHPGMMYDENMLNQSIPPIPPQSQQPFMMGGPGSAPTSAPLLSMP PPMYGTNDPEDVASDGLPSHPAIPPYHMLPQPQPGVIPQMIPLANSYAAFPLPQGVAM SSHMLNSGNNAHIPGKTPIPFNPPQQPPQHLLNGANINQIQFPPNLRHQYPYQYPPQQ cubi_00792 MNSKLDLNQGERTESKCPEPFNEFSNLYKHKRMPCRSALNFGEN ITNTVAPNFIPEYPTAYYSNPEVINQGTNSLVDTKQLYNYSSPVGDLEKTIEHYRMSH EIGWSTSNSFTPTNSGSLELLPFERRDSPITVDPLKNCQSFERVNSGFLGFGKKTSNS IFPIGQKLERLSSNEIINNINSLSEIESGIENGDIPLIDLDQYTQLPYFEKYINFNID QIK cubi_00793 METAKNLEGRKGEVEKISLFMKNFRPSFPQLFKRKSGLPLKPIF LSFFLLIVGICFLYLGTTLFLSKKYYDSTPSLFLGVLCIIPGSYYSFSILQILRGISG YSFEQLDIS cubi_00794 MSDQNIPMPQCASMVAPPSVVLPQNDAAAPSTSILNCCSAPQLN DNGADPALSVNSTPQIGKVRFASAGTTIVTRNGVIPFEEFLKKGAPVDQMLIPPFPQV SGIPMFEDGTPDIATIHSTCNVVNLSQTNQTNTIPHSDIGTETSIPQPDDSAR cubi_00795 MNQELLEIHEIKKLLELDFEDVQIFNEVQEEDVSMIEDLVGMSE HFENSDMGEMNNIIHDLEEIMKDELVDSNFVSDDAEIMADILDEAGKIDMELPSSNLA IQNKVENNIKQVNNSIQSLGIDEKLVNYYLEKGLKSLYDWQYECITQPGVLQGRNLVY SAPTSGGKTLVSELLMYKRVLEGRRAALVVFPFVSLVSEKKDSYYRAGGEVCGLRISE FHYGSKSPLFEEFDIGVATIEKANALISYYIQHGILFKRLGIIVVDELHLLGDEQRGY ILEVMLTKVRLLSKLQGEGRSIQIVGMSATLPNLKDIGLWLDAVVYQSDFRPVPLREY IVIKGKAYIKPAKDQNKNTFGSSLEDTLNRVKSWDFHQINSKFDPNDFNVEQINSNKW KYPIVGMNELLTIGWEALKKGESVLVFCPSKYSVESTALFFAKCIRRQFCEGIDLEGH SDRQQIIQSLRSVERQRFLLAEEIRQNSSISKKSNQNNFLVECIIKGIGFHHSGLSHI ERRIVERGYRNGTLSLLTATSTLAAGVNLPSKRVIFRGINIGRSFLTCVDYKQMSGRA GRAGQKGAFGESFILVNETPTELGKEVNEEVENALGLITADMKPLMSSFFTNSNGITR LILEILAILFDLDLSISGNRDKNVDKGSYTGSILDLLTESTLMSHQIKLYNDLSQEYL RSIDSLERALNYLVENKMIVNREKKTNQISIGGYTLNCCCTSLGKAIVSSGLSPSTGL ELYDELKKNMESTFLGDLTFMSYLVTPFPPSETSNGSKLTTNNAQPFFRLDWKVLYDN IKEMSILERHSLIRLGFDLEQISWASQLGEENLPPKLKEPGFLRRHQRLFASQILKSV LCGLPVELILSKFKYISVRDLQQLHTGVLSMCISCISFCQNMNWSNMLIIFQNYLRQI QTITLLEEYSGILTRDQNLEKAAKKLISGVKGLSILSALELRSTLSVKTPNQFLMIPR KILSQSINSRIYSGGIKTFQFVSDFVNNVFNNMQSSKKVPFYNRSLGVSNISPGLIFS VAPIGQDFENNENNNFSNLGEKYLSEIETNCILEALSEYDSFNQQTKFEGDNHISYIN KELPILTECNLNSFDLENDDKILDMLQDDLQDNIDWEERLLLWSTPLQQSQEWES cubi_00796 MENTAMRWKWVVSSVATLLTLMISVANIMHQYLNLCKPKLQLCI CRILTMIPVYAVVSYISYLFVDYAAPLNIVRDCYEGYVMFSFLQLLILYMGGDQVILS VLESKKIKAEIWPHHHFNQSLNIVGLASTTGSIDSNEEISVNIIDIYPDCFCEKKDNL DEVSINNDGIRESESGSQRIKIARFYSFIKLGVLQFVVLKPISALISLFLESVGLYGS GTFSFKRGFLYIAILNSISVSLSVYSLFLLYISISEQLAPIRPVLKFFCIKLIIFMSF WQSIILSILNYSGIYPNEPNYTIKLHNWLLTLEMTLCAIIYGVAFTIKKDFKNYIESS QGYVQDNDNMDKLYKHTNARSKDFFAENENGPNHTTDLTHQTSNKQNFPSKTSTQSPH SISKNIKFIISRFTYIVNPKDIIQDTINTFRFFKLIRKSSSAEIRLGKNHSDILVNAT DIKERKRKWKLAFCSAQECVNALDYMLENGLETLLNTQEKLRMEEGFSEREKELKMRQ NEFDSILNETEEGLRKAIESVLIEIKSLSEECRHLEKEFLKKASNIPNIIENMNKNDT KFDSEYLGKKVTVEDIHNLQNTRKELLEHLDQLRKDEEALNKEIQNLEIKWNAYRKKT QRLETEISLKKTETSHQAIQVDAHINRRLSTCNSIRPDKENYQNINEGGQSLEQTGTR RKRRDSVVKWIGRLSIASSAEEKIDQICDHELNTMKIEESTKLDTLQNNTNIIEDKDE EVQGELQVLNLLSLVKIEQPESDEVQPSNTGPPSSILKLKVDPSPLLSKFLDPNFTYS SGLEPIYFYKENSSKTNKFNEGLFSKGALDFSLKVRNQCDGSKSFEEISMCSQGQNAP ERSINSEDIALFNIFVGELKRNENIPVSSIGKLLCSTLK cubi_00797 MATVKIEPMMPACVGKIIEADESWKCRKSEVRKRRLRRVAYSQQ FLARRNAYRAQSNTKNLINKRNKLLIEARLLHIHEIQVKNEKEIKKNLHIKQKREFLD NIFKINKIELINRGENTIREIIKKELLNAKEVSKVQEAKLKKFNDDKLFIISEGTKRK VEFIKNAERFIRKIIENLHLKGVKKLNIDLITEKGNLKEGITIDVEKKEVLLKNPEVA RNLIKKSNYSKFVGQLSGKKVDLSIKNDSILEPKISNVPESNVGKDYKIELEKKKQPI RMMKFDSNIRSPIEARSESKECILDTKSLKIIKFDKQKGSSELIPKSDIQIRSPGLVK VSSQLSSSIQIGKAKSLIKSLPIGNQIPKAKSVEILKKDALFKTKGGIQSKVTEKKND SGNNTLKDSNTSLSEKSPMVKDTLIKSIYTKVASGKELIAKPKSKDSVGILVKDTLNL DGKSKSDILKLSEGKKSPPTKSINDMPNSQGLEQNQELKKTFSKSFPKAVVTKSEVLK KNDVMCKKVLPKQLEKVKPIIKTKEKSDGILPPLKIVPKTAPNALEKVDHNILIYDSE KYWSSRLYDLYRRMKGESSQESNVSKKCLKRERRRLSTRSVIEKRRMRIYTRLRLINM ADSKRGKHTKLFIKPGILKRYGIPALMSCSYRDTNQALCSDTEQKYLIHKLSPNYTNK SHIEYLLDNTNSYVFGIHKHHELLSIARNSLNEDIQFWRLNKLSKYALTTKMSNLEQF IEEVMGEMIINGDLKQESRENMLENNIMRLRYLVNTGRNERPTFTSCKDQKSDETNQL WDKLISKLNISYDYKSSKKISNTNNLWRKASDFIYEEEVDLFKKVYYLSDLEDLNINI DDSILQSTLKTIRIPYNSQDFRFPFIFSKEIKSSKNGSYHRRDFISYVGKLSPWTKMA LIQKRKRNKKSI cubi_00798 MEKAKLVVPVRKLENSASNQLHGYDPEKLEKIKVKDDVNRLNHV KELINIDNDLKNLVKKVKIRRYIGLMYQITILKIYLSRHLAKLRNAKKVNILNSHIVQ HHSYKKIDFNASLAQNQNLPSKSPNRMGLKMLPRTSKNQHFHKGGVFL cubi_00799 MSWCNPSYTIISLDFAHGYLRFIAVYFVSLFLWGFYRYHHNLKV EAKVCSLSPGKEGLIGFRNSIVGTSLRYVYLALILLSQIFLCIVVWNVVCATSLEVQP YWNTRAKTYIIFYVSGLVMCLILHFLEPFFETFFYLRESLDKCTYVKSKKKQNLIQIL KNTFNQAFQKEPSNIQLGTAGLVLPLLKVQKRADNTRFICHNQVTYTYLESESGFVCC DQSSLYELLGKKELLKNGLSSEEANKLLNVFGKNTIDLEIPGILQAFGKELLNPVNIL RLGSLWQGIFLRFYIWSVLWAIMILLTTFKTLQVIILNQENIKKALENYIGRPISVLR NGKKVRIPSHELVPGDLIFLSQDLTAPCDIYLVSGCVTVNESFITGESNPIIKLQIDR NFFSSIANDQSNSQNRKFTESELSNRACSTLDSINLDHFETDSKEESHNISIHDSQSI TEEKLRQKSIFMGTKIISSLSYDDSSKIAMGVAFRTGIYSSYGQLLYKSVHSFSNISQ YSLSNVKYIRNLKGLWLIMLLSAFVAILYQNHLLGWSTGSFFFAVGTFIQLLPLWAPT CIQFSLNKSVERLEKLCSLSSTFPMDIPLAGKLKVLCLDKTGTLTTNKMAFIGIINTI KNNNNFGYPNNKAYLLENSNPNVNPELQKSKESKNSVTFEQNRNSFNIFVHYELAWET STISYEEEDPLINKSAGMLIHPHCLEPQLLPPITDLNSLSSDDLSYIGLCCCHNIVPQ VTNNGVILIGNDIDKALFTSTNAKIKICNERRFISLTGRDTKDSGSVDNIYEYPIEVL RINEFDWNNPCMSVVVHHRILDRYYIFCKGSFDVLANLSPKKEEIATEKHKFINTSNN WDTEDDQELVDAFEVLNSSSEIDSDLLNKFTYLKSTNAQRPSHQMNEHSIVDAYSKLG YYIMGMSFREIKDKVLIDALLNKPRSNVSRNTLESDLIIISYILLQNDLRPKTREFVD AIKMSGIKPIILTGDSAFTALSVARKAGIISNKSPVAIADTIMDLTGNETIVWYDAIT GSNMIISPNKIYESTEYSSLVVTSRALMLLRSKKYFRPSNFEIVNMNPSLNFSFLKTY CKFDKLSSEELSSHSLLDLVFDRIKIFARSNPEHKRIVISEFKKRNIITGMIGDGVND LPAFKMADVSISVHENSYYFNNFINKDDDHTQEDYNNNKNFNTTTENSPMTLAFSSFS IEADKIMKVLDLIREGRAAMVTTISLFMFLLSQGVFYSFYKNVLFAIAQANLPVMSYV FIDVILVFPSIWLMILCKPKKYINSNRPTGALLGKRTVLSFISLLGTCFAFYYIVMTR LFSAPWMIPSLKHNAEIPVERWFQRQDNFEASTTFIWMGLQLSSLSLILSFGGQFRES FTKNKRLLSWVAFCHAFLLYLCLSSPNALTCLFRVNCTDQIDRFWKFEIFGFNIFTIT GGRFQGVGSHNVFPLIWKVEFIFWNYFSIFFMSLIYWWITSNSNFKKVKFNVY cubi_00800 MKESKESEDFRAKYGKGFDIIRKMGFKGGGLGVDGTGISEPIQV QLRPTNLGIVSETNINSNQSEKSLNVLPGSKNLEKRNKKQKTSIEVEKAKEIIENSEP GIIQVFPKKAHLDDIMESVSVQREIYFQKKKDLEEYLNEKQLEIEDQNNSLDNLKEKK SIMENLSIVTKQIQRAFLKFHYDLEKIMEDEIMSLFEEQKDESLNENEDYQDQMDSLE KVVDEFSTSFNGILNSCTDKSSREVSKSYLPYCSMIRDILRNSLKKLYKKDRDMKLDP DFGITTWISIKTLFVSLQTSRKEKEYDSKFCFEQLVLETVGKYLEVYFMCSWDPIKED EYGISLLKIWINLIPFEFIKTNLMQVIWNKQIHHLQINKIELKSVGDVSENTKYKWIF KWLPYYYKFGMGYQVSKVISKYIYEALDEWEPPEEWPLSLLTVWKPILETQKENETEE FENIGLFEHETHHNHLIKQELDNIILSSIYPKLLSFFNINFQVEQDHKTQQLDSIDHL ELWFEKDLIDRSLVSQIFMEVIGPKWIKALESKLSNIRYEYNYIQSSKKNTPNFINNQ EFIALYKDLLEWYQFWRQIFSTGAIASAKSKAFLAKGLIYMDYHINFYSDLDPEIKKK TSCWINYDSFEDEDEDIPELQDLKDDTLILNVLEWISDETGLVLKQSGRKNTHGRQIY SIEYGEYHKESRNKTQSSYFKSNPIFSKLFFYIYQGVIFIREPTLQESNNSEDWTPVS IPKMLAKLGIEEINR cubi_00801 MKLNIANPTTGLQKCIEIDDEKLLLPFFERRIGSELDCSFLGDE FKGYIMKITGGNDKQGFPMMQGVLTSNRVRLLFRKGMKCYRPRRTGEMKRKSVRGCIV SHDLSVLSLVVVERGEQDIPGLTDGEKPRRLGPKRASKIRKLFNLSPSDDVRKFVVRR KIEGKEKTKAPKIQRLVTKERLQRKKKYRRTLKERSEASANAKKEYQKLLETLKNKTN TK cubi_00802 MHIKEVNLWLVVIFCLSLFKGEGIWNHKQLNLQWRDYAVESISH LQLGLQNQGKITSMLNPLRIKANQLNSLEQQFSSQVKPKLYTTSKGIKVLLVSDNTML ESAFSFGVGCGYYQDPDNLAGLAHLMEHVVFLGSQENPNPVGWDEFLLKKGGASNAYT SADLTVFYVLSSPRELESVMSYFTNMFVNPVIDERSSVSEIDAVNQEHEKNIPNKVRV IIELAMYLAPKECPARKFGTGSKETLYINSKKNNINLKDALKEYHESCYTSDNVSIVI MGPQSNEQLAKIADNIDKLFTSSRKATKGISKITNTVNSRSVSRGAFKNQHSLAPSIS SIFESSGSKKSNIGITSAGGITSKDGDSISKETEQNLDKNTSANIEIEVVRMPRGNSS PPFVVIYWDSVSESLSILKENAEWQMLKLIQYFFEDQSQNSIASELKKKNLATALEYF DNTSTQYSIYGLLFTAIDDSDETTSEIVKLTSAYMSSLIEQINSEDERWIQSFYKNYT NMANIKYEFDEERDTAGIVSQAAESLLIFPDEPEMALSAFVKPVSLNKDSGLSSAQME ALKKFVKHLEPSKIKVIKLSDSIDKSSESKSTFEPYKTEYSISKISVEDPSKTSDNTK VIESTNKIADLLTCVPEDLEIISFSRDKCPKYKRFENEIKERQIGNELQPCPILEEEG LSIFWKGPIHMVPTINLTLVQRLANKDISNNARIALLGKLHAQLQTSRMEYILSSFKL CGLEADINYSRGRFIIKVQSYSSNFEDIIKNLSNYLISESRLPTKAEFEGALSNLKSE ILNLSNFMAYDIASEVAQSAYLSNYYSKLQLRDSLQKTEISYDEYLEKTKSVFLIGYF DALIVGNIGSEKAIKLGLNLIESLANKKISYSDSIHDGILDISGDIHIKTNNPISSDK NNAVVAHYLTPPVDLIDVSVYSSIGEILNSPFYDILRTEWQDGYVAFATTKYETPIIS LIGAVQSAEKLSETLVCHLFSALEKVSKDVEEDLKEISKSEFEDKIRWFGLSKYSSQK LNSFIQYVEHYGKMIASHELCFEKNKLIENATQVFVSEPNVYIEKLNKLIKPSSSRKL VIVELIGNTNPGEKGNDGKLVSIANVDEPPTNEECKEILKSEMGKVTLMDAISLQLNS SGSSNGKLRASRNNNSKDETKYRIYGSDIQCNVSEDNASSASGKDSKEVKSMLSLFGR RLSSMGSYSQSKANISIESSIGNKKNSNSAGTNKRSSCNYSKL cubi_00803 MKSNLQFHYCYFLLSETKKKASYIGYSVNPCRRLRQHNGEIKKG AKKTKNGVPWNLGICVGGFPDRVSALRFEWAWQHPNICKATRENIESWRIVKTKKTPE NKRILNKRQWSIQQRVGILLCMTTLEPWKNMNLTVFVFKDELENIIKDVLKSTKKDKV TASFISPNILNKDCLLMFLYFGEDSFYETGIKFFRCDYDTFREIQKPSFDCDSDSGIE DNSNILNPEEISSESSYLIKCSLCQKDIDCGRNYLEFPCCKEMSVHISCIQLWGEPSN CLEAVFDGLFPLKDLVAPLVPHSISCPCCFKVFDWENVKKSYIKATSISNKSYEKVED SLVQKKLSEKENHDFEKNSQTGILKEEDLEMRIEKCGNKLSGLHENRQKDTLFSDDEF SEISQKCEFIDLTVDSD cubi_00804 MSSLKDSVISFQKNTGEIVEEHFEKLKSQVDNKILDINNSKVSQ TGTIVRYKSKESIYSSCDFLDLESLSENGTNLGELNKGTKEAKLVSNCSSNLDEYLDT CSKSIISRNSSLLSVESITFEENSECALQEYRKNCVKSIDSAELDDLSSMNVKVCREN EIFNDNLNRKFSGDQDPTKPGFLSKLSTKFWNFEESLSLSKFDSISGIKTFLLGKTGY QNTFGSEQNTPNYVFSGPELEDGHVFFANSRDGYDSQRSESDTSEESVRYPLINFDTI N cubi_00805 MYENCNFQKDYKIVFGTTSESRKMVFSKANIKLSEFVSADIDER RIIDNDPNKLVMKLSEAKMDAVLEKLPYKNGVDKVIVICADSIALKDGEVRNKPRNDE ERLRYLRSYSGSYVDCITGVTVYNYYTGRKLTNVTTSRVHYKKMPEEAVQKIFEKSEI IRYSCGGFAIDCPFMGKFVDEIQGDVDNIMGISVCNTVELMEKSIALGTVH cubi_00806 MSSFFHGYLSDSDDGCNTVKTPIVNKGGANEIDSDFGENLHKLQ SEKSDHAIACFENNNETKEVDHIISKTHTELGLNNQASHKIGVRKKNKKKKNKYLNEF LEISSLESVKTLNADSIRRTTQEVKLLDPAPRIGKLSGDRELKQSVNQKRKHQITWLL NEARQIKSEFNSMS cubi_00807 MKFTLITVLVLLLMKKAKTVMADLKFMYDLVVVGGGSGGMAAAK EAAKYGKKVVLFDYVKPSTQGTKWGLGGTCVNVGCVPKKLMHYSALIASSIHHDAPVY GHKISSNFEWEKLIETVRNHVRMLNFSYRTGLRVGNVEYINALAKLIDAHSVEYEING QKNVITSKYILLATGGRPNVPETVPGAIQYAITSDDIFYLPKGPEKTLIVGASYIGLE TAGFLNELGFDTTVAMRSIPLRGFDRQCSEKIVEYMKSIGTKFLVGVVPINIEKTDEG NLKVSFSDGSTEEFNTVLYATGRSPDVKGLNLGAVGVEVSDSGKIVAPEDATSVPSIF AVGDIVEGRPELTPVAIKAGVLLSRRLFAESDEFIDYDFIPTTVFTPIEYGHVGLSSE AAIAKYGEDDVEEYLSEFSTLEIAAAHREKPEHLRENDMDFALPLNCLAKLITVKSQG EKVVGFHFVGPNAGEITQGFSLAIKLGATKKDFDDMIGIHPTDAEAFGILEVTKRSGE SFVASGGCGGGKCG cubi_00808 MYYSLYINNKNGSLIYQRDFSDIPLTANDRIRLASTFHGLCTIA RQISPIKTKRSDEYIQTLNGISSISTELFRLECFETLTGLRFFLIASKDTQGLNELLH KVYQGYTDYVLKNPFHDLDMPIRSILFDKEIDRIFSN cubi_00809 MMKCPDGYHMKVINTVGERSNLMNEDSMNKINSNVSGIMGEKQT RVVQIDNAKVTGVRMESEIKSELDCNGGYTSVNCTNLAGGKKQVSKEVTQNRPVERSG PNNSDFRNCKSTSTTASSLSSSSLSHPHNKWSIPSRYQVRHLIGTGSYGHVCEAYDSK ENRLVAIKKIHRVFEDLVDCKRILREIAILSRLNHDHIVKILDICAPEDTEHFDEIYL VLEIADSDFKKLFRTPVYLSELHVKTLLYNLLVGLKYIHSAGIYHRDLKPANCLVNQD CGVKICDFGLARTVKKPLEMLNCNSNINNNDNSMVSRKSTLGSADIKNDNSQDNEGFK QGGRFLKRQLTGHVVTRWYRAPELILLQENYTEAIDMWSVGCIFAELLNMLKENVAYY SDRSPLFPGSSCFPLSPDNKQQSEDYRFKIRGNRDQLNMIFNVLGSPSDDDIEVLEKD DAKRYIRIFSKRSGIDLQTRFPGASVQSIDLLKKMLVFNPNKRITVDEALSHSLFKNI RNEMLEIISHEKITLPFDDWSSMTERELRYFFLKEIQRYSPDLVIPDSIKMGLE cubi_00810 MRKGRHDQNLSFNTSKEPNKDKDAVVEQIREIEIEREYLKESER IAEEVKSIRVKKNDLEKEKRSKVSMKKVGIFLRQLANVQPDIVDFIATRFGILGGIIY ENPWYFILGSLLLTLICCIGFIPGIPGWVDSAEQLYSLPYSLARDHGKLHDQLFSNTK SRSQLIVVTSQTPGENVFTWEFLEIVSQINKLIRGEALDAVGGRRVGVKVGPNQSGDK NLDENHSEDGISRTGEKDFSDVSEDKNYNSNEVDPFRLSHETFLTYNNICTMTSFGTC SVQNVIEAGALEMKALLGDGDPELYILDGLVFNLRKQAFLPDYILGKIKSKPCIRTLP TDLISKILSPDKYSSSAKGPGFSDAKIDCIIGAEAFLMVYDIFDDGTPENLARNLLWE QSLVSILKDNRDWGRARISFSAFRSRDDELKASTSENSDILLVGLTFTLLFFYVGMAN FSFDLYKMKTYSGLAGLFAALLGLASGMGTMSILGVSFVPTVLVTPFLIMGAAVNYLF VIVNAYSTGYTIPSTKERCRLTLQDSVIGITITMCTGLVSFSIGAVGEPYLSIRNFCL FSAASIIFTYIYVFFFMFPVLCLDAKREASRRVHFFGLPKLTSSDIKATREFSLGRSI PVDNISSFDVVSYNLSRALKEYNIQLNPFGDYNNGENYQEFLDLEEDNNEKLDFSSIQ ESKMDFQLEQGSRMNNSNQMGFFTKLKKRLFHIPIMTKYQYSDRKVVSETTIPSISQL RQEIRLSDFDLVSRELDSNILETIKNNNQIIQRKNHSSSIQILQNISIEKLNREYDLN HVDDLLQILLAEPLGNVGRTTRRVMLHYIGPIMATPIVKLIIIIIWLGFLGISIYGFT KMESGLDLRDLSPPSSYLQTFDQDFTKYFSKYDLPTDVYFPEKLEWWRRAVQDRIFDF VERLERLESTQRVIDPLYNLMKNPELAAALRSGNKKIFQETLYQELYNNPESNYKQFS FDFVWKERELVTYRIKLLAKGMPTSQQKADWMTSIRKLCNDEEKREKIPFKVVAYNYM MLFYESDLSILAECFSNMLSCGIAIELITLMLIPELMSGIFVIILMACIDICLFGFMY YWNVKLNMVSMINLLLSMGFAVDYSTLMTHTFSHCCGKSRNHRMIESLGLMGAPVCHG AMSTFLGIVVLSGSSSYIFTVFFKMMLMVVGFGIFHGAVVLPILLSLVGRMPNHSSNI LYEVIEWIRSGHSYSPNCISKGNLPMIKAAPEVSIELDDHMMPADLKFDKNLIQNNTV DFLKLSKDSKSEYKF cubi_00811 MRYKGSSFGSSEFTTIENDQSVLSHNSAEKNVIDSLPILNRDGF GPLTIVATSLNNKFQKRVYSGTFEIPIYGRNSHIVFYEIETKNFILIWIEKHFVGDVI ANSGTVFIESGNDIFCLNDLELRKKNGDIISTECPWGAQIFLENDISNFKFSPESIRC FKPQEPPADWNPITSTIFPSNKNYVELIKLLKSNVSRSDEAAFSNIQEDGTPTALLFI IDDKYMTLFLPENFSYSYDKETFTVFRSDGSYYDVHKGIFRTESGLEIVTNAPWGKKL NFDENLVRISNNINEILNSFVIPSIVVGNYGDFPVKKREKFLSKKIKSAYQRLKGSN cubi_00812 MLRLVYLFISIYSLIHFNSGTSTSIHGKSFLTLQSSIFPPRKTN RPVNLSLEEKHRLCLAELSTARSSLSNSIEQHESCTNALVKLQSDHSLKGSVCDGLKG DYDKYCKGRHKSPSFDKELCRKMWNNFLACLAEKRQLAREVSQQSKICDKTDDQVRKD TDSYQRIEEKCSKMEHSIYNSRHQTELSVIQDDAILEKPTTSITRNDISALNSAVQRA QVELKQCIDELAKLSERLAEKNEQISDLSEKISQFEVKKVGKKGIFGKKVLDESISSQ ISELNSRLSELNTHEKGLKKLLLSQEKECRVLETKLEVATERLSLAKSSTSTSHGTRG STGCFGRFTRFVLRTCRRVANRFTRNVDKDCNNMRRTRSRTSETQF cubi_01243 MLRLFGFQLVISSLLLFSLYNPRETLYHSGFDLTEVSFIKVKSP CCSALKRIRNRICCCCCCSSSSCNSDSEDEQSSGEDLPQEPNQPVILLKSEAFDPDNI PPKPSYPAPKPPMGTIEQSPPTFPEPPSPEELESMRSPTPDPKLLSSLPQLPPPPPPV LPKPNKSKVRRVLRGQKCN cubi_01244 MRKRSIFFSELFFHLIVLVLINSEANAETIINRVENKKNNNYKR PSYLVLGKNNVFTPDYSKEVNCLGPPIYFFDVINRKIMSLEVDCKDTFLLINNNGELT VRLTDETYYVNDRKTKKHLFFDYNLNPATFILKEYDSAEIEYKSDDLLKNNVYAIPKD YNTSKDGEYLRWIVETEFLGVSTISDKMVKLANYTFDQPENTFEINISVKEKSFLIFI IKTNMAGIKNTYFAAFPIFFISNIIYDIRKPLLTFQFDQIDSKNIETYDVKRGVHFYK GLKLKTNKIHGEKILFSESFFELEKLIKDRFERLRIFSDSKHNFGTINLLNSVNNNEN NEFLMQPNSLDNAFNQFYNSSLDNLQMTDKTVLITTTTTTTTTTTTTTTTTTTTTTTM ATNTKSLSEVNFLVDSNFYPIYIGINDILLRYERNDNQ cubi_01245 MNAFNFSKLAFIFIIFAFFGNFENTGNEISKTTFEQSFVRLKLR KMSSSEKKSLQNQMKILEQKVKDAKLAGNEEKASYFQGKIDSAKKKLAE cubi_01246 MGSGGSSADDKTTTTTTTTTTTTTTTTTRKTETSTTSTTTETTT STAAVSTTTRAPLSLTGSKFLIPRDKNDIDDNGKLSFIFPIYGLKETNMKIEILNENN ITITTLDFKRCCAKIINAVTGYFDTFQYPSNWESITSLNLTIAWTKVMLYLIVSSTLV PLGGIVSYENPSPYSLVFTDNNKNEVSTTWNFNFNFDNIISNSCTITTPGNKCKSGSV KLALDKPFAPEKQYLRYAFAAPPEYLYPVTITLYSSASSLVSIKFSSAMVEIKSETKT NTITIPSPIESGQWIAGDIFLLTNSGYNFLKNNSNNYQCLFITTASCFATQSTQKWRQ YDQNLDLLRYAYIKGTEYRTKSSEYIINSSSDSGNLEDDSESDIVYLFVSYNNVIIGG VPIPSSSDITELRITSSKDLVPIAYWRLSQGMTPSINVSSEILKGLIPQIKSG cubi_01247 MGANFSNCQFTKSGDSCYSPVSMINSTLNETATNNFILNLEKSK FGSESNDYWFTCMEGSVPYNGTLNCDIERYATIVCGSNLQKPLLAFPLPAKEMIVATQ IYDGNFQEKWVLRSGYSGYVSNIGIISNETINSPKSQKTPFDSFSNYDISDKGIKITG FEIGNQSKIEGGPTVEVGSAILGGSTVLGGPTTEGSKLNGEFTVEGGSTILGGPTTEG TSTTSGGSTTLEGSTVLGGSTTEGTSTTLGGSTTEGTSTTSGGSTTLGGSTVLGGSTT EGTSTTLGGSTVLEGPTTEGTSTTSGGSTTLGGSTTLGGSTILGGSTTEGGSTTLEGS TVLGGSTTEGTSTTLGGSTTEGTSTTSGGSTTLGGSTVLGGSTTEGTSTASGGSTTLG GSTTLGGPTVLGGSTTEGTSTTLGGSTVLGGPTTEGTSTTSGGSTVVGGSTTLGTSTT EGASTTLGASTVVGGSTTLGASTTEGASTTSGGSTVLGGSTTLGASTTEGASTTSGTS TTSGASTVLGGTTTGSKSEGESKIEESKIEESKIEEVTVTNNTYIIPVDQKNVESGGT LSFTIPINKTKESDIKININDNKGGNIIGLEISKCCGKLIDLSNKKYDRFSYPEKLPE SGNLDLSLTWLSSIYTLNIKSSNNSIATIPTENANIPYKAVLMVSSTNEKLNPNWSYK TGVVSTGLTSCSISALNGKCKSSSVIISKNVNPNMEFLRFGFLFSKTILSPFEMVISQ DSTNLVTLQISSNLIKVNSNTGEQEINLSKEITTGEWVSGDIFMVSDQIHNLIEKDNT IT cubi_00517 MINRDSWGHLYLTVRGEILRFVKDKLVRKHLPRIDWRLFLTPSA PYEKSKFLGSGGSMVARLKLKGIDGRAPPGVEPAA cubi_00518 MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSCNFHRSKGS IGHAFTVCIRTENQNQVSFSPFGLHEISVLIELTLGHLRYLLTDVPPQPNSPSDNVFR EDQQ cubi_03347 MDSRSKKKNIESLKLNIDNNKEDNKSKKYDSDNDSNDEDYNENY MNGHVRILNIIQRKTSKSLLRTKYKQDKVQVVLFFMGFFGFPIISWGISWVIGRTCVK PKSHRGKKLRQLNGILALIFIFVPAIFSYFYYQSLVFSSYDTNSIFISTDDNYETNIK SQISTIKKNTKSVVSRNTQILSCSSELDIEIVFWKQDQSEEKGLVLFIGKLLADTWLK SKALDEAYNNGFTIAAVSPPGYGKSGKLTNLKDGAESKNISSSSFLLWLINNCLKFDT RKIVLVTHSNSITQKYVIPLIMSHPIAGIVFFNTNMGIKWFNAKNPLINDHFYYQPIN FNTTMVRYIGDKEPLVVSSSSIENQFGNPNLNNNNKVSDVNDEKVHISNQINISTKKK QTFSKNQSIITTDTTTSNSSSTTTTTTTXXXXXXXXXXXXXXXXXXXXXLPLLPLLIL PLVLVSPIMKKNIL cubi_03348 MNTENRDEGNLDLSSNRIDRISAHEQILATKYLSRYKSFYGRLH SSSHNGLQLKRGVFIHLDEEREETENQNSGFSLYKSTESEHKILDNIVKLKEQLLKIK ENISTCIDYESMERNKKNIEEIMKKCNEIALKKEKLKDNEQSLTRKLALIKNSFKTSV IQYKKIERELNRYQLFWQESQVGLAPAVNSNILKKKRQIILEEQERSGNGKRSQQENL NKRGRDSSENQEYDANSYIKRSILSESMALSKRSSINSMNNSCYTLKELQRSDIEESQ LLSTENIHYNTMVSNSTYNKCNKNENHVSQINLGYIYDEIYRDGLNFQNLMNLKNRLQ GYINQTPYQVLNCIEMMEKNHTTNLENMAISILNKRQDYVLEFTRKLELSGINLDIER SLYVKKSRLFRHQQNSRYNNLSTLSVSQAYTLLNMIGKGGFAEVWEVLNMNTWNITAA KIHELTPDMTEHERMVCVERVSNEIKLHRDLKHPNIVNMLHCFEVDNDRLVTIMELCE GPDLDTFIKTNGSVPEELAIIWIRQILEGILYLNNLNENGEKISPDRKPIIHYDLKPG NIILHRGCCKIADFGLSKQSDNESGNIIIERIGGGTVWYQPPEILLRPGDSLDNPRVV SHKVDIWAIGCIFFEILHLRRPFGLKSNSIAEAFSLIPLEAEKGAIFENHVSPEFKSY INWLLTYDPEKRPNIFQAFSHPLIQNVVNI cubi_03349 MKRSKTYKENWRRKFDGKSERKKSRFDLDGFSSIDKKFGINLQK IGPNYREVGYLYNVQVSSELISETGTSLKGEDLGNSDSPELIESYKERSCLNLYFINK QGLTYKTPFFFRPYFYLEANKGVDVHWMLTNLENKYRGTSVEFINILKEDLTLDNHLI GEKRELIKASFDNVKELVITRNEILDHIRKNKMEKSKNEDFELYYEQDHQIHENKSID VLDLVSEIYEYDVQYETRVMIDNSISIGMWYDFVRWTHELSELNCLENDTSAPDLRSL AWDIETTKDPLKFPNVEKDQIMMISCMFEGQGYLITNREIVSSDISDFEYSPKKDFES FFKCINYKDEKELIWGFLKLIQTLRPHIIASYNGDNFDFPFLYERAKKYDIDVSKEWG IRMEIDHSSTGGPQSTSKTIFSGTSIIHLDCFRWVERDSYLPCGSRGLKSVTKAKLRY NPVELDPELMVPYARSNPQVLAEYSVSDAVATYFLYKTYVHRFIFALATIIPLSGEDL LRKGSGTLCEHLLMKEAFNKNILFPNKKVQETMEFYQNRPILNSTYVGGTVESLCSGV FRADIPESFSLDINTLRNLLERLDSTLKFGIKDAGTTLENIENYEEVRNGILEKLEGL IKSPKIKIEPLIYHLDVAAMYPNIILTNRLQPTAVIEETTCMKCPFSSESELCQRKMN WRWKGDVFPISKGEMERMLNHMQIETFENIKYEIGVNKKKKYGDHESDSENDEKIEDN VENVNLNSKEKIKWASLTPKQQSEILIQRMKAYCNKIYRKQTENIEETRSSIVCQREN PFYIDTVRRFRDRRYVYKGKKKEAEEKLKKAESMGDLLAVQEAQNEEVLYESLQLAHK CILNSFYGYVMRKGSRWFSMEMAAIVTWVGGNVIREARSLMEGIGRPLELDTDGIWAI LPKGFPEEVTFRLKNGGKKKINYICTLLNQQVHKTWTNNQYLEYDANLGKYNVKVENS IYFEVDGPYKCFFIPASDKQNKLLKKRYAVFDFENRLRELKGFELKRRGELQLIKILQ EELFPAFLKGNSKKEAYESVASISKKWLNLIDTKGKGILDDEQLFFLISESKNMSKSV QQMGNAKSMSITTARRLFEFLQNPSYIQDKNIVCKFIVSEFPKGEDKSQRAIPLSIFS TPLETRISWLSKWLRISKSGERSKEDQKQEGNIINKENEINKWNVRNILDWEYYKKRL TSTILKIVIIPAINQGVPNPLPELEVPNWVKYHSEIVYTNQQKISEYFTVVKKNNDSS ELQTNIPKLSDPGPVEEVNDRTNPDIDLQTSNIVETDNGKLPEPSSNDQITKLDRKLT KRERIELFKEKGLKFWIEYHKKFWLESFHAFNLRQSELENKLKNIDKAKLEHFGKGNK TKGIEFFSEYQFLTRGKFHILDIYPLRVKERNRGDQDEDLLGFYNVVMYSEQTGGCFI VTFEFLRRFYIATNVQIQVMDNDSDGEFITKSIATGKELPRGVPYDYLTEIIISERRF QKSKSTLICDNNTVGIYEANIPLEFSILERFGNMIESNTPNVEKLLLKNGAVSDKFWS SAGLKQKILNYGDIIHWLFLSICTFEDRKCFSLVDLSKRRIYFLLGSGPPVPHNILKS ELERHVREDRRLAKITQIHVNYLKTIKESLEELDQLLENLIQEFPKEPVVLLVNSMVG LEELKAHNSNLSLYGTILRGYVSGLEHEKKIIETRMVTLWEEKRDIDQNNLFSKFNWY KDSVKYSIKTLKEGISKLQLALELSKALRLPVLYLLQFEDNLTNFLYDIIYSRELHSM GLLSWGSLSSTPDLGNPRLIQLSKSDWDLRRLGYLMSTKDLNYGGYNNGTGNLSNGLD NFELDILEVVRPGIYRNYGVKLDFKQWLIIESVRNCKKLSEKYKLDDFEKGEKKILGA ECKRKNTEKDDKKDILIYNLGKKKHLSNPNNKQGLLISQPLYNHSSLSTSSAFLCLEK TINKMRSVIESHENIGSIFRIYCGQKRFKSEGSDGENDRSRKEEDNDKDEKYEDGNEE RDDEDEDEDEEEETSNLLFEFMVTLQERFYSWLSSPSSLLYDPALIEMTKNYSHKYFK SLEADMREMGILVVYGNIRKMVLTFNVEELKNNSIKNTGDLRAHFSKLMHILNSRELY SGISLDPSLEYKSMIQIDRTNYIRRTMGRGGLGEGLEDPYEMNLNLLNYFPVNSRKYI VSEISNFLMEPLRELRNYLKKNPNISIRESFKVLGDIVLEQFGPLSENFQNFLQAISN PGAYIASKYGIQQFEDPLNDIGWNENIREEDKWDYEKNMDIYTSGSKNQTLEENNTLL LSKNLENLDFNKGDDVPFAIYPSSKKKKGSNSYRVGASLKTIREKVNKKRTNSKDLFE LPPCIGKVFSGSKSSLSLELIKLFIYIWGLDRSFFMDENKEKQYEQIIYMVCQTVEIS EFNPIVTEKWKSPLIPYIIKDFVCPNCLEIEDFDFTGNAIQDEDDIEFLNNGNNNIPR QKFTWYCNHCNFSIDNEILEEKLLLEFFERLEVIQSQDILCSKCNSVQIGHMQKHCHE CTGELTTRLGNHKGFHNFFSLFNSVAHYYSDLNNGSNKKPEFQGLIEFKNMYENILYI cubi_03350 MRVYPNFNTSNYSQNGNTLPINNTPNQANNSSAIQNASSQQSMP QYAQNYPVINNKYGSSQTNNYLQNTNNQQMNNNQHNQGNNNATINPNQSYNYNIYNNS TNLQPQSVHQGSHPSLNYSNIQTTGSSYNSASGMNFPPQPESRINTPPMQQNMTSAPH SGSSTIFQPGQYQTNLQAQERQYHQQQQQQQHQQQYQQQQYQQQQQQQQQQQQYQQQQ QQQQQQQQQQQQYMTENSTNFVTSLTKPVQSRVDPSQIPRPIFGNITEKDCNIYESDK YSFPPNSLVEPHSMLIDRGNATSKFYKLTLNQIPSQHSILQSMKLPCAAIIQPFPLLN EFEKPVPIVNSSSNIEPIRCIRCRAYANPFTCVSQSGSQCLCNFCGHTFDIPMDYLRL LQSQVKTQSYQFPSEDQNNYPEIYHGVVDYYAPASLGVTTTPELPCYCFVIEASSMAL QGNVTNLILYCLKHIFTQLAQSSPESNVILILYSREILLFPYQKLNEDSKPPIKMCVI SDIMEPFLPCPLSELCVPVAQGLEFLHELIDRIPSLIISHSTPHNAYFSAVSLATQIF KTRNSTGSIFSFVNSVPNIGFAAINTKETNVAPKSPPRRASKGMKPSLGFVSGYLVHN IQQLDTIIQACRENNVSLDTFIVTDIGESGSSTREQIQGASSPSGGMGAIFGANSVPT STFAYLSQHTGGKTRLFAEFPFEKIYRDIYQTLCSIFFLQNVAYDCTFKLRCSKGIAV SKIYAPWTAGSPTPDLSAFQIPKLDSSTAIAFLLRHEENLEQRKSVFLQAACLYTCKD KRQRLLRVLTISIPVTTSITTAFRYASIEPIINIYARMAAHHIVSKGQRGFSGSSGSS SVGSSGAIAAATSVVPGLPFSTNKNSSAILTAWKQETLNSIIDMLFSYREHCANTSST GQLILPDSLKLTLIYISSLFKYPSLMPLNTVLEFNVSEQLVGLYELLHASVSFTTAML YPRLYPLHHSIILSESTNDLQKLESVGLPYQISLQNDLSNNTGNNPNLMSISNSISGS TLPSEATKITRYWLNHSIACSGERILSDGIYVLENGREIYLYVGPQVDSGVISTLFGA SSINFENFNRLSFPPEDYSPTMETNIDISKRISNVIQQIRNDRFSVNCYIPVILVSPV SQKVEAKFKAMLVEDSYGFETTYVDFLCNVHKLVQSKIVDS cubi_03351 MLNEKNKKYIHSKLHEKNGKNNIYMKNIVYGDENLSEINNMEYP VNTLLRNKGLPEKLEKHLNNEKERELESLYEENRQLLVEISKLRKTNQLLVKDVNKKN EIIDNLDSNLVEVEEKLENAKKKNWNMYNEILNREKKNQQQDYNKDQSIDSDHIDNET YKLKESKIGTFNTVNIIKPSSKKEFNNRPKSTSISTSTENTKWLQDMILQSLSEKHAE KKAYDNLKYEVEKLQKEIYSMQTDLLKNNQQDEIIQEPIIDQSNGKLSVFHSTNSSNN NSTTIIGTSVSGFNTINSTFHSTSLAQELKEINCTTNNSSINSLQESEDTQEKLEKEN DQENLESIPPRSVHILMGKTFSRENNIDRKINKYKNGSRYNSENLNGENLIQPMSNHY NSYIKQLQTQVNHQYQQPSITNTNNNNYYYSSNMNNNHQNYHNPHSNNYFQTYTNNTN PFLSISANDNNNTNGHLYNFQHNSYYNSVRRPVNFPKYENNNINSLYMDNLADRPSLA NSIINSSLAPKHLNQGHTYYQYSKHNVPESKFNLIPTQTSTPMNIPSSNPFYTQTLNQ NSYSNIPFPPFKIKITQRFRRNRNNSVPPPRPQNDFSSEKMVTDENQPVPGQIESLNS NNDVEKELPQGYIRFKTSISSPLSQDDFFSPISANINNICPCDKAIDSCAFIQKKPIQ HNEDKNKTRSLSTSLPLPISLIAKNNQFQGTSGLLKFAKY cubi_03352 MQSKGGVPIITNVLANLEELGINSSCFRFGSLTLEGDKYVGVKE TSVDGGSQIVVIDTQSKGVNRKPMKAESALIHPIENILVVRGRYEDNGCTVQIFNLDS KEKLGAFLFPESVVFWRWLSPRILAIVGDKGIYHWTIESGNPNSIPVRIFERAGKLAE QSTQIVGYQTDSSQRWCLLMGLCPVTNETTGSISVKGQLQLFSVEKRQQQLIEGFSGN FGELVVDDFLHSPASVVCFVEKKQENLNARLHVMDISGQRAGSGDFGSPSSLPTPFKA VIELPSLDESSTGSAQGFDFPIYTYVSSYFGVIFIITRGGILYIVEPTSNTVLYCNKV CQDSVFLGSPSRFHGICMANKRGLVLHITLNSSSVLSYIQSNPELSMNSNLLRWTQRY GYQGTDEFSIKMFNETVKRQDYQNACRVVSLNKNGSLRTPATINHFKMLDSSHKLLFQ YFTAVFKFHTLNQFESTEFCRLLLSPNSANLISEFTSITQPIVFLRVLINEEKLTFSE ELGDTLLLNGEKKLALKIFNKCTPQNPTKILQTLIEIGNFSHVSEFIREQKASNWPTI TTDIRSLLNSLLIANNIDATVEFVKTVLLPPASTSQSDLSSSVPAEPLDLNIDKPSIV EIFVSHSRFKEITSILLDHLKANKPEDSALQTKLLEVNLLHAPQVAEALFQMDLFTYY DKHAIATLCEKAGLFERALENFSDMRDIRRILGIACGSLNTDWLANYLSKLSPRTRFD CLKELLLVCKNSGGTGGIVSMGAGLSSSNGALPSTGQGGLIGNSSSNSITLSNNNTVL QSVIQVCIKNVDSIGIENIITLFEQQGIWEGIYYVIGSNLTQYLSGNSLSTSGSSESL NISGENLIGDSGIQNKGSGVILAGSGRLPSSNTGSVSGGAGSFSTFIVFKYIEASVHL GQIQEAERICRDFPQSYDPDQVIEYFKSIKMSDLRPLIWVCDLHHRVEELISYLYHMS LYKYIQVYTLKINPSQTPLVIGTLIDLDGSEDLVKSLLQEIKTLGSSFSFGELIQQAE NRNRLKLLLSWLEERVQEGYQDPALHNALAKIYIDMNKDSENFLKTNPHYDAKTIGDY CEDRDPYLAYIAYRKAWGQCDDEIIQVTYKNDLYRLLARYLVERQDLELWNKVLGNSS NSTESVVNEQCRQAIIDQVTSSILPEFYDKPEEISCVIRAFINAEVPNSLLEVLEKII FHVNNTEFSQNKNLQNLLLLTSIKIDIRRLDDYVLRLDNYDAKEVAKVAIEHGLYSQA FQIYKKFSFNNEAVETLLMISKLELLKSEGIDVDGKPGEFLENLDSMIYREKLVNLDL SKVRDFASYCNDNSVWDILGQQYLKISRTKDAVDCFIKSENTRDYRPIIEHCLSVKAY QDLLGYLQMVRRLKDSRTSKDPIVDTELAYCMSKLELVQELQNFLQGINTVQLQKIGD RLMDEQDYRYSIIFYQAIPNYSRLTSCYIHLGEYNNALETAKKANSPKTWKELLQICM QIGESELAHQAGLNIIVYPDYCEDVVSEYEKKGLTAELLTLLEGAIQNTDRANGSLFT ELGILYAKYTPEKLMDYCASYSGRINIPKLTRICEQRQLWNEVVYLYLQYQEFDQAVL TVISHPKEAWKNDQFLNILQNVSNVDILYKSMTFYLQEHPELLNSLLMLILKPRKSLE VPKSNSSDISDYSPSRSTNAVLNQAGTGGSIRSANKFDLSSITTRFIQQYFNQGLSSS GNLNTISPVASISPKPSAGEPELQSRPNYDISIIQEFLESISEENIQVVNEALINLYI EKMEVEKLMKLILTCDNYDQANLSARLENHPVNDLRKLAVKILDKNSNYQQALSICQK EMLIDEAILVVYKSGNVALIEELLEFLLSNNKKENFVACLYTCYEFLRPDTVMEMAWK HNCLDATMPFFIQSLRDMSNRIEVLEKKLETLQITEIATTASSGHPSVSNSQNISSCV DIGANSSISPGIISSHHNKNNNVNSNIGNAGTINNHNLIPNNQLIFQ cubi_03353 MKRRTSPTRKRGNEWPGLTDDEIEEIRKTFNLFDTDGSGTIDPK EMKAAMQSLGFESQNPTMYQLIADLDREGSSAIDFEEFLDAIASKLGDKDSREGIQKI FAMFDDDKTGSITLKNLKRVAHELGETMTDDELREMIERADSNGDGEISFEDFYSIMT RKTFV cubi_03354 MDFILICFIIFYNLIAFFINLRLIYIFEDPEDSKGFFNQALVTR IVAILGLQLIWVNFMLVPVNIINEYPIIGSQEVRTVSIRAFFMTIMYLNIFFTSLVIP FSIFYYETQFDTKIGLNRSYTPYLASFLSTLACWIFIGGNYGIFREINLGRISKETCT RLSIMGYINETDCTGSDIILNGTFSIATAGLLLFIGYAFNILFIGVGSVLIPINYLFS IIKRPKPIDIHLYQKKKQEIYLISQALSNKGEDLKRRYDESSKGLESEFYINTYFRTW HGNRVIKQKIYKYKSEVLALSSYFENLEERFRTKGQNIIFIVTKLTLMFFTLLLTVSI YATLIIHIVLKNPPNYTGNQFINMVFIAFSLVLPVYIGSCICYTWNVMAKKVCYCLPI HVLIKSQTPMNSIIFIIGIVLIPISNIIYITNLSNSWLFKSDLYYIFLLASNTKLNYK LIPNQVLIYSFFGVSIFSIIIHLLSFLWDNSISVQEEVPKILTKYLDTQTSSDSKK cubi_03355 MTRLSKKGRVRNDVRESEYDNEEESIIKNNQESEQLGNGTLISI ELENWMNIKGPTKYCFNNGVNIVTGLNGSGKSSVACGIAVSLGYDTHILARGHYLSSY IRNGSTSCKLNIALRNVKEQENRYVSEIERVITIVESNKTNRLNSQTEIRSSWKLNGK KCLERDIIALRKGLNIQLDNMIAFLAQQRVSQFASQSPQEIFIDILRIISNDSIKSRN PQNENKRIDITACWSEFQENNLLSVYYQFLDIFKDSKDCKLRFKDNETRLSQLSNEIS KSKENEIKYIQYLLHKMGIYLIHFFQSLNDIANDKVNLINLNERKKKVIKELTEAKKE LRKQESESSKVFKELDFAKKNNEEFLKNIQFEKLDKQYKSLINNLDGITNKLQENYRD PKLLFERHRIKIVAVQNKITDTENKIQYYIDQLEKEWKPKLIRCKLYEEDLPQNFDKV TAEKKRKILGESISDISSKKVSVQKNIKEFEFMISKLIEKKNSIKSINFLSNRENILR QKYLRNVNQKALSDSRRENILNYFQKLHEHSRFENYLPKKKVIGPIGSYISVKNLKLQ VLVEAFLQQFHYYFVSEREDVKLLTERYRLNVLTLSNTKTPIYPKLDDELRSLGITGF LHEHLEFEDENMKNILYQISAQFFTCVIIDNPSLTEEIQEDEILYKLSDWFKRKYDQP GNKVSNNVHLFITNQNAEDNIHSKNGVLFKIITSIYNPNSKTISMVNLGDKMNTILLD KEIIKEENFEMDQINQQEKSIQKEIEQLELKIQESNKKLVELKEQYDGEIMLLNMITE CISNIPSLYEMISKLKKQLNSLEKDLKENTLNESEIKSKNQKLIRSAFMGQDPMDSSE FDTFQTSIFKELSKVKILFEEISTHDFLKNKELSGKVFELSTNYSSIQKKITQNKDEI RQFELKLNQVNGDYDKIISTLEMKRKASIDSYLQYYSTYCQYYKRLKYNEFNKDSNHN SDKTIYEFFYKANRFENNESKDQYDTINGLDDDQSIINVPGVEFFSSIISGNKPLTSN GEKIPSYCIEMMNQVSSEFGLVKCDHSQFEEILNNTFRNSLSSSNNNIQLVGERKEME VDNTENEANVGRKGFKKVSKSNHSKNLTLFDILLENEEYNTKYNNLFNIITGLEAKTH NTNLERMADLEQEFEILSKENQDLNKRISEYEEFIGKNYNKWLEKLKIIEDVTSHCFG VFMRFIDDRHNGKIIIPFINNFMLFMSNTMDTNNEAIFNYLVENFENDSKLNIMVRFS PDEDLRLFSSNSISGGEQSLCTILFILSLQALCNGNSFKLFDEINQGLDNSREIKLME LLDILTNKEKTKKLLKVKESEINYKKIEEKIEKSINYKESQIIIITPHIFPGVIFENF SIHFVLNGPGFIVN cubi_03356 MKLYTRDSVIKHMSEIFSDIPDVIIGDIFDYLVKENLKLCKKSN HAKGNSYENEMIEICNHLYEKLSEISIKESYNSEYIHFQESSNSCDFDRYNLDLDNNI NFNRYLNLNVYFNQIQNHGLDFYENEFFDQDNKMSYLSVCSQNKQITNNNLNSLKNTN LQRELLILKKRPWNIFQIQSELISHEMNMFSNILNRIFNSPRLFKVKESKNKKWPPEG PGNESSLIPIKEININSKSKESIEQIEFMQNQIRDLNLSLELKHSELNRIINQSNISE YKSIKIQEFKKKIQSIKIERLNLQKSLFNLVFNSQNSSFIDFFSTKSNHDSKVVIDLH NFNRNEAISLVIFSIIMILKYRFQTDLEKVFSKNSSNFEVNQQELESSQKSFKGNFID IYFCVGIGNHNHLNGNIDPPKLASLIRRISFALNLTWRFGSIGFIILRIQDNINWYRF IQKFLE cubi_03357 MLITKLFKKKSGSSLNSLENVNSKIDHLFELKKMVMEKYKSMMK LKKNEQDQMESRILIESSFINDSCFLPPLITNKKTGTKITKLTKLDHNQVQFLLLMIL YNQPLSFQENIIERNNEICLKGQYILIVEQMVKSLLLSKKQKKMVVRWKKLNSSVELF PNNHFLHFTSSNIIQGFIGDCSFIVVLSLLLEHEKKFDSNLLSSIISPIQFSIKNQNQ SSHHIDNQSIHCTNNFNELDDLLYLYTCKLLINGVWRNIFVDSLIPLDSQNNCLLSHF DNNKYYGITLIEKAYLKVMANRYDSIESNPSIDLYYLTGWIPETISIKSKYEKKNKNY FLKLWNRIKKPIKNGYCLMALGTNEINSNTENLTRLNATESESYQNQLVYKFGNEIDD NSQSEYKFITKEEISKKTGLVTKHAYQVLRIVELVNQENNSIWRLLLLRNPWGKISWK GKFSKYDIETWNKELEEILENEFTYKVNHDNGIFWIEWSDILKWFSHIYLAWDPEKIS KYLKKVHGKWSPCSHNSILKDDLHLLYFYPQYKLSIDCKLLNKLKMNNCNLFDEKEDQ SSDFNKINQKLTQLNINYSKKLKLKFDSNNYHEIDDHSKNNLFSKIWKKSDVFDHENF SHIGDIWIVLNRHIDYLRMDEFDNYNQSNTNNIPFMSISIFRGRNRIITQERLPIIQG IYSNGNVIMFKTNIFQLLREYDHFDLQEDKDFILVITQYQSNKSFNYTLNIYSNVEIN IKLLDSYFQNIMNPIYNNNYNNYSFTEINGKILNCNFSEKYYISLLKNSKLKLTPAVR NKQFNSHNIASKVNIFNSSRAEFQDEQFRLLILLEIKEKCSNFKLRLFPETFDEQDKI IKLSSSNDSYNNSNCKYITSICNIGNYFILIDFKEKQQDFIKGNFFKLVIYYQKI cubi_03358 MKYFTNITTKLLILLFLIECTILIDLINGLRNNVFGTLDSNILA NIGLVPTQSSSNSTIVLTTTTTTTQATTIAITSTSTSTSTISTVNPTQTVGVATTGTS FLSEVFQKPSLEQINKDIKDIMQKESSNNNLGICIFTSNPYLDLGYRETNYSKCTKFL LSFETWLDSNVINTLIQEEMTKFGMTIPSKKKFNVPSLEVLFNESFLQVCVNFFVELV NSGSLYINNNVCTGTNCHDQFNSICSTVSNSYGKSAFVLGSSVVTEVVNRICQESRRQ ISAKYPTLIASPSDIRPDNVAVEVTEPIPT cubi_03359 MNSGYSRSQELNNFGGATGISTTSHSGTLNGNNLGHSQHLYPVS NNNFEIGAGNIYSSTNIHSQHYQYPKQQQNSQQHPQYQKQQHQQQHQHQQQFYSQRHQ TQQSQYQQQRYSQNQNLLHYNTNNVNIRPVHTTGGSAHPVTGASGSLQGGNMTGRVQT AGGRGNLALVDNHILSNHQNNHDQYHTQTQTDLAPAGHTSYLMQNFQGQKGGVVNVGN IDRYITESGYSGEVTGSQYYGSQYHHIQDHNQINIGGGGGGGGGGGGGYRAGINIQDQ QSQQGQIHMNGNNISDIRGLDYNYGNYTQ cubi_03360 MRLTNEETEEFQKSFAPISYGHLSRQLVEHSYSEFLDLLGRFTS DPNVQEEAKTCLWEYSAEVREVFLRMLAIGSLSRIVEDINRTIQLRESLVSMRFKQRR LAYDFYPALTMVGTPSPNVTAAMDMILLKDFCQMPNLLEELVSQHGKPVIVPKMSEMQ EKLIERQLRDEFFIKYANSGIVKSKIIHFDVIKGRIILEKKSRFSLTLISDLKQWQVI DAKITIPEILSLYTVTKEQNLSFKEILQARIYYMFNCREDEIIEPIQDTIKTEQKGSE RDLDNTNLREKVDKFADVKDYEQKIDVLGELYKTSNIITGDVILEMFLEQSILSIKDR GIAGIYHSERNEYKYNNEIYKYIDIYLYKNVDITNLTNLGDKKGNFDFSKYSLTGIGS SKTNPGQAIIRSMKYGKNGKDIILRFLMVPNGDIKCILWPFSLLFQHKSELSEDVNLV LDIISEDESWLYLCNWEKTNYNNILDLSKILNHVSNVLRCYLLELYSLKLQKYLAKNA IIEKDKYSITIRLLDYNEYVVSMDIFTGSLLIRDSSSGNNSIWKNYPTYYENLQKALQ SNTNSLFQLLPCLLNLTKFQIIRDEFSSNYGWRPICSIPTPIQESILTERKLKMKEFS KIKDEQENEDERRMNKFDGLLINSTASGSSVIVNTVIDNVLTMTNCMSKPTQKISYTD ILMEKKEFDYFFYPKKRPDNSRIIYVQIDTEFCVIQALKVFFTKNCHYTPEIDKELSI NNRIYKFLGNHNFENSNRSDYYKGKVILAEFDINFNSPSKNDLLSSGADDTLSGKTAK LHVPNLQVGGKLHAGNADDKDCVLKYVGFDEIEVKKNVGEVLDFYSGSFEAKHGEIIE LLESLQESSNDGYFPFKLYSRLNKNGQLELKSSSFCLGIKEYRLFFQPYKDFEKDQYL LGLQEGLYSDRIQFKIQVCKIGSGKLPILNRSKGYTGIQKEENLFYRFIMETNQKRGN LTLFIDGEVPVPLICSLNSENEVLLFDENYKMEFSVCEEEYSKKLMIPKEWRLVETIS LTICNNALAEEGFMKLFIDYWYRILRFLHKSLETIYMAYYSKPNYSRKAINEIVSRKD FVLRRILPWEIELEIIPWSVLFGDSLSNKLFQRLNYKGSLVKIQLIGTSRDDQIGYAN SENPSKMENEKEREFDGINFIGGLGGAEYLNEAHNDNNVDSNGNKNIDNLKASHKSLE SKEFVIVDIIDSLLTNSHKEIYQKDLKDAFYNDTFGEDDKNMLSKAFWRMLRSYEISV SVSLIESKSNPKIQKILLNDVNGLYDSLNTLSLKKIDGIGKFLISFGLRKIGMHIMFL FELDPKVHYKLRITLFDVRNLQLGGNTTQQSIEVINHTRRLLGEFIRVVSCQIIDFET LDQHGMYLLSIYDLNNYDRLEHIKRNIQYYQSTFNSEGEIYYYPGYFFQKVICSLSQF FTSSFYVSIASGVTQRKLNNMMSYLANNAEGGSNSASVSQLKQPILNWSGGNSSNNNF SNNKGGVNTNIQNKIKFSKFDTFPIKQPIIEFTWFYNKMASFDVDRKHILSFNKKWEK PSKYHKVPEFISYKLPTIENKSNIMGNSDIDSLKTKEEIKKENVNREFTEKSNKADST ISNTLKDEVVCRDSKEEIGKLFKQLDGKDVNKHEIFTWPLEYDVPFFITTNLSNNQIH LSTYNANSSIKENKRSFSELELDERNSMDITNFEKSGIKSSSSFNSTCWYNNNNNNYN GDREDNDNIYIKRKKLGINEFQEISNSENFYSISELEKYKEESIKSVDLDIKKSNLDD EKDLFMSYLAFPLVCFPDYVRSETNKDHIMIMDMSYSLELFTSPISSEFDILGQILKY FGNLSQSRTLVRIVTIALCSSLMVIRDVSVLLKYPLLSIQGKLPIYIDLVCQYEDLLV PIDKEEKTYCLRGFLYRIWKYNKIKELNGFTSIVDEQPNNDSNKEEFIKESCYIDIYV SVRNIPVNSIEDIEINGCKANQIFKSFMQQNLSHMSVLSNLLDRLFNSPFEELQKFHS PNAIQLLDSSQLRKTGSNLAKGISSNHYPSKSTSNLGMGIGVEMNMNVNMNQKPGSEK PSDNKMNYLSSASTTSLPLNNSPLSSPVFNSSNVINTANYSRNMNNQSQNMNSMINFP TNQNQIRGGQNYKSNSTGQISVNNLIDGNKYLTIPSGKQIPYSNNNNSSNNNNNISSS NNCNSNNNTSSASSTTSTTSATSTASAKPTSATPAAPTASTTPTTPTTSTKSATITST STTSINTATATTPSTATTATAPTPTTPTTPTTPTTPTPTPTPTPTPAASTTAASTTAA TTTTTSAIPTTSTTILQPKTPKSPSFEFSADTVSTTPPEPTSTSKSASESSPKPATST TTTIPSKPTSTIPTTKLQPKPTSAAASSTTFISSATAAAATTTTTTTTTTSTTPKTTS VVSTACPKPKPFPTTVSSNVFSK cubi_03361 MVKEWRNLFEKEESMGKLSILDSDEKGIISRLERSVCDVLRELI DGNKRGQIYSSTCLVSVMNILHRNVKEGFHSTLRDIYYNNPSLYTKQSISDKYIAQVT HLVHTPRELLNVVSTARGRIRGPLVIQGSEFGLSAGRSERREVRLDCMSVFESMGHSI SPYLFKRMNGLKFTYYSKIKFVLVVEKDTIFQRLLEFGFHKEFDNSCILITARGFSDL PTRTLLYRLSQDLPEDTKFWILCDYDCFGLSIAATYVMGGKSDTWYDSSFNISKLLPI RVPPVSKLIESKLITNDNVFNMTEDEISRIVNTRNRLISSKEISEESKLEWNLVCQQM QEDQKRFEIDCIVGIEEWLVRLIKSTLQE cubi_03362 MWQNISNNSFTNSCLHRLDDYFHHGSSPGSPQIIQSDTIQSDFG ANALNKTCSFPINTQFYPGRDIDYINAPRDQERIHNSYKSFLNMDRVMPWMSTIESFN LPNNNQLSSRPHSPPNIMNQSHQFSLNSSSSQNPSLNSTPNANNQYQNPQQQIIQNYS RVDDFKHLDKINLFTERHTQFGLNNVFNTSPVKSDTSTATPSSMRSIINDGFFNNYQD DRKSSIQSYFSSGGSNHHGYGLSIGGGNNNGISCNGNNVAFTSNLGGLSYGIMEHYRS GDVPPLSFSCFDKNRNEAYYTQASNLLKILSPSWILCIDIAAILLTNTDNKMALSNIG PKLSCFARDALRQIKQKKLSRFIKEHSNFFDIRRPSPTHSALYVTLKFPLPNIVQQFL PSIVQLRKYELERTQMNNHFQEMGEFSENDLEHSQNINSTASVLLSEERVNATSKGGN ACNLSEDTDNKLECTSVSNKQQLEQNLTQKKNKEENFGVNDQIPSSVKSYQAYECWLC VREFANVLIHQPTHTMELREIGVLMSQKAKIQAKRNSSKKNYKSFLLSYPKLFRIFGK DDNHSIQWIGSSQDFIKLNSIKYHPSQSDDELFNVPTLNQSHLQFLFNLNNSSMSLS cubi_03363 MELSLKELSPERVGGNFLVNFQENYELDRDLEIMSCYENNNTEN CDLNKKFINTGEFLIIEHKNLYISRDIPKEFNKKVSELKGTEEEVNKCSETLKTTIVE ESVKSIVFAILIYMFVSISIVFLNHKIFSGIIQFPIFVSWFQQLVGLVIFYLLKINQN YLTFGFDEFTHLNNFEWDTGKSMIPLVLCFVGMVSLSNTCLKHVLVSTYQVARSTTII FNLFLSYIILKQKSSIMTIISCIVVMTGFIVGAFDSNTLNLNGVIFGVLSSILQSFYS VLVKKQLHFVNNNQIQLLYYQLYLSSIMFIPILIITGEIRYFYTLFNFDQGIIKICLI LNCLIISGVLSILINFSTFQLIKKTNSLTFNIIALLKSCIQSIGGILLFNEIFTFQSI FGILITLFGTFMYSFDSSSKKSTESGEYQDLENRG cubi_03364 MLLCNAIVGNYDESNFRTIPERLLMERRMIMSGSSDSNSIYILF RVIPSEKEAVPRENQGLVINYHAINKSEENNSDDNNKKCHYLVPIYNDYNVFCYWISS KYLKIRKKEFCFTISDFIKEYWKQPILKEEFTNDGFVSNNNILCFGSISSYYLRYNRI INIEREENVQIVCINLKYRNRDFIIIENLIESTKALLAPSSLFNGNNTIKDFCISEEQ DLEKESENGLKMKQEQKRKQKQKEEQGQEQEQEQEQEQEQEQEQKQEQEQKKEQDMGG EYENFEMRNKINQIQEISYKEEDPKICFDDYTVKEEQKVLQKNTNYELKCIPAQKNYN KSSTFTVCTEANVNIIQYENQSSESPNSLGVSRKEVVITQVFEHDKMKNPKSNNNEYQ LTNSTLTPETVITPVTRNYDNWTKYKDNEDFRETHSKTDLIQRKHSAVPLNDHYLSTN NFNTCKTRNQTNSFLPPGMQINTGIGNINQVNREQLILNRIESARKNVNFSHKIHCGR NFFREYIHGNQTKIPGNGGICRGISNYSICTNNHAGGTMLNNNCRINGNYNSSFDNNY NIDLLSKKFNRIIGKADYLLEQLNCSGDSNIKFQRNKSETESYSESNLSRKSILTSSS SSSMLSCFQNHSLGIKECTNNQLVFDDVFNIKNDIVEQQAQTLYNVNKEQINKRNSRN FNYTRESNLSIINNNVDIKKVLVDVQIGTQLDKEFDNSDDQTKQKDDLEISQKKLNQK DLIQEVGLKKIKKPEKELETVNFNGKLKGSDSYEEVEEVEMRRRTIIDPELVEIDFYV NGGKLKSSFSILNIILSRWSGIPTVSKL cubi_03365 MLKTALLVYENNNGQVSKEESIALKWNSWYFFPEQLIDISLVNV QDFLNLDKNLVNSQVNNVYEKLKEISLKMWKIPNTGSYSITVTYDIDPGHYLIIILNG TKDYMNIYNMVENGLVSKPIIINQQPTKEQRIILNYPKSSRPFGFSDIINVNYRILIE NDEIKDKKNKVSIHIYSVNPNEPSLVEPKQYFETINNEISILGLGLEKCPAYYKITAK MLEYPFHQDSSQYIWILPKNPPSLSLSNTDLFSKKQLCIRQNNKFGMFPTIVEFGTLL VITVINIIGLIILGLLINQLNKRKKKLNFR cubi_03366 MMEEQTMTSIRNSSTPKWLRLIATIYTYLMIFLSIIFGLITQIS LWILLFPYLLYNEKFKIIIMGQVFRFWNALLVIWTNPFWSVKVTKKPRKNYTPSNTLL MTNHLSTADPWIVSSSHFPWEFKYVYKADLLKVPIINWSISLSYDIPIYFTKEKGGWG VKSGTIKPMFNRCAELQKLGIGQVIYPEGTRSRLRRLQPFKDGFFKFAIENECEILPV VSHNNWSLWPLGESLLDLGTAYVAYGEPIQVTKDMDLEQLKLKVQSAMMELFKYCPTH NPELEQPLSASSSTRGHGITGSN cubi_03367 MLLGSHLRKNPVEIRNIQRTFKNKNNIEYDQNSYNENVFQECCY VLSTYDDTSLFSLNLNSLSINIFREYITDRLAILHLIDVKSKLEDNTKTLTDSTNRSL LEKLLSEKGFKLFDSLTPINKTSPSHLENCWRKDLLSHFLLKLCFINNKDKQEWFIEQ EIKLLLFKLSTIISSNLFNQKRDNIKSSKSFIIEKLLKMYGVNYPIWNYDQIKNCNDN NNNNINEQLKLWEDAICAIPNGHLIKRLIKIPFWPDGFKYIKNRKYFIKDGICFVPDT EIESLLIHKYRKELIHSFINLKENEILLEKTILSDQRVSSLIREISEYYFTTNDFAPS FNSLNENQAVNNFKLDTLNINQVYISSFPLCMRHLFESLKKDRHLKHWSRLQLWLFLK GCGMKLEEQLSLWKSLWTDNNSFDKEIKYNIRHAYGQEGKRSNYSPYPCNKIINRLPL PGNGQNHGCPFKTYDTYPLQKLLQIYYGHLITNEDIKNITQLSKSGHYQLSCIQLFKS LHLKSDSEGIGNHPNSYFKKSIQNYIENNSNL cubi_03368 MESAFCSRYRKFTEYISRETSEKGSNQKEHAFNSIWSVSPNNLE FFKNCKLNPKKTKFDSKDSEECSTIYFELKNTHHPQIPHLKCNVFIYKLGLFRLQIDD TGTGLSDYKRYKVGKEVIFKDNLVKKYLVSKESSDVNIDESENRITITLVNENDECKY TFVMYFKPFKIETFLCDKKIATVNGNQFFNFERSGRTYRRNNNLNAKFTQKSYKISIK DLVQEKNQRNVIFKWLKSHYNAIRDIIKLFNYNKTISEIVDSVDIYPKGIWSELFNNF IDFNQNGPIAIGTDIQIHSCNDTYGLAEKTASLNLQDFDEPYRFYNVDNFKYELNSTD PLYGSSPNLISLSDFYFENKKKVIFSNILWLNPSDTYVKLNKIRNDHSEKYLDTWWVS ETGVLDLVIMVSTRLEQLYYNLGIITGFPYFAPRFSLGYHYSKWEHTSEERVYNIQNL LKKNDIPYDSIWLDIEHTFNKQYFTWNKTAFPNMNEMIKNLDKDNKYLVVISDPHISI NKSYSMYSSFEKLKYCGKGFYKISVNYKKMLKYLFITKIIPKIHLRINCKIELIIHKD NISNSKLVAKTINSPWVKIPNYNNEINDFVGKCWPGSSKYLNFFSNEVGNYYSRYFEK MYKMHKNLGYWLDMNEPSVFELPELSFPKQVEFGNDGLDNRKVHSLYSFNHARYAFNG LVRKFQGQRRPFLLTRSFWIGSHRYSNIWTGDTESNWNYYYYTIITNLRNAICGFSLT GSDVGGYESFNDDVYLLIRWYQLGIWFPFYRSHSSINTLSRDYIFSYPIIKKYIKLRY SLIPYWYTLLAKYSFYSIPMIKPLFWLNPTDYNLRSIDNSFLVGDSFMIKSIEKGLHS LLILHYYLCNFNYANKILNHNKDYLYKIWYSVYGKTIYIDTKEQYYYNNNINNTPDFV KGGSIIPFSSESSLLSSKEQLKYPIKLVIYLTGELLNSNKLKNQILFPEMKYYNYTNE NYYNDYMIDILTLHSEGSIYLDDGETYSYLNNEYIFDDIIFTLSCNNYVFNNTNEDLG YTPNIIDNVLEKNLLKSTFNEKYQRDKLRLFKENFGYEIYIKQRNDKLMYKMNNNNDN YYNHHILSLLEEDIGDLIINNNNNNNNNNNIQDKNVNKYNKQISLIQINGLIIKPKKI LLVKRKNNNSLVFQRLKYKLIKSKYFGNNHLIKGNLYSIEINLDNNDVNFGNYNWRIK IIL cubi_03369 MLKNENERIFVESKECEAGIRLLKSLSRELAKQNGSVQRKKEGI ILAMMGILERNRQKLIRESRDQLLNLKTKIICENEKQFTKKRTEKSNGIKNNVEEKEK KKEIRKKLSESKLVYAEVYDENNCQERIIIEIENGRNEAGSWEDPIPSNNGVPYDHFE RRIFDKYIDYWRNSKLLVPFSTWLGEERIERRSTSIMNFELIEENNNVSCKSIQEAIY RIRRFEQDFQAIPKEIVFPLIEKGILINNSKNMLNNCYGNNRSDYYNVSNRSEFNRAK IKSQDNIEVNMLSSLSSFKVPQSLFFSMVINDHENRIHDKSNKLEEINSEKITSLVSN DNDSPPEKDMIGKKQCNCDFYKLRQKFIEEYNLYNLIGTNSNFEKLDHSLESNLRLWM GAYYLPRNDKRTRGGEDGWFVSEDLQSMGVADGVGEWEDLSGKSARMFSNSIMRNSLQ YIKNNRDKKLGNPSVLAKNSLKEGLGNCQKNGVHGASTALVACFDHYSGTIGFANMGD SGALVLRRLQFDTGKLEIVRRVKEMQHEFNCPYQFATLPPENEWPELLEKGYHDIVRL AKIEKKNKEEPNNINFEDSIQLACDDPELSQLLEVPLKEGDMVILGTDGLFDNLFDFE ITSISGLSFSPIESKLFYNCLDYTTTPMVIAKSIALSAYYKSLDPYSKTPFANQAKRF YSGGRNSLFDIQSFSGGKEDDISVLVAWVVHKNDFETLTKNSPHYCDISKKL cubi_03370 MNFIRNLIKERKGIFQIKWKKEALFEPKSEDKDENESNEKDDIE FCRKSNYPRNSCEAQWMLLYSCITSMEDYPCEANLKSAKDFIRNIPDQCKFTQIGDTF SQGLKDFDNAISKASSKRELLLMLCTIENKCRHKLGKPLVLCNYSEIMKRWYKD cubi_03371 MEEGEKNKVFHFNKTLSLVLYGFVSVTLVFLNKKIFISSFSYPL FTTWIQQVCGVFCYLIAYTILKNIFGKDNIISKPSIQHEKIKCCLPMSLACTSFILLS NLCLKYVPMSSYAITRSLTLFFNIIFSILMLKQQISGICIFGCGIVIMGFIVGSFDSS SLGLYGIFAGAISSFFQSIYTVQIKSVSKKINDEFQVYWYNVLITSFLAIIPVFIFGE HEAFIELSNLDFREFIMKFGPILISGILNFFLGVIIIWCIHVTSPIAYNLTGYIKSGI QTLIGIILNNEELKYSTIFGLTMTIGGSAIYSFGNLIKFTPDKNIHLNECDNTPEEAI HYKNNYDHNSIASGTSFEYDSNKQLKMIDSFYGVESKNPEANCDQFIKNSKYRKISQI ESLDENLISFCKPNEKTQEIYSSS cubi_03372 MVKSYLRYVPESSYGVLLTSAFQNGVKILQKGLKLENEQDSTRY GASISGEKVLIFDLKTGVIARTLSVETVGRVYSGEGIPSIVHYVTAICQHPKKPEVIA VGYSDGSVRVWDISSNSVIHTFHGHGKNITALKFCRTGNYLSCGSFDTEVTLWDTFSG NGLFRFSGHLNEITDIEFVHKVKLWTKEDNESSKSEADINNNKNTNFNYRFNVILEDT PHIMITSSKDCTVKIWNIQNQICLQTLTKHKGEIYSILLNPLCNRLFTAGSSGEISIY RLTHHVTGLGRDSLLPNDQAVENCDLFKENTEKESKLAIYYGGIPRFQGGKSSKVIKL LSKWSDFFTDNQLELLDDFYGTIFAFTNSNSIESFSYLDSEKISQRIKKLNKKLNRDS KTESHDSNIFAGNYETLSLGKRLLIPEEEMIGTRLLGIDFDPSSNTFIAGLGNNSLRY ISLCDNFMDEIEHKEKSKENVSNSQNEESGLSSQVSACKVLRRIENEGHRGIPRAIDI SQDDKFVATFGDDTIKIWNMKGFGCVRTIIAESPVCGFIVPGGDYTISGTKQGSIQLH DIANCKLIQSLDNSHENAVQSLALCPDNTSFVSVAQDKSLNMYNFGLEDNPENDENKV TIKLSKTLPLLEEGLAVKFTPNGKYLIVSLLDSTVLVLHSDTLKQFLVLYGHSLPVAC LDISHDNTIVATGSADKTIKIWGLDFGNIQRSFHAHNECVTQVCFVRDTHYLVSTARD GSLKLWDTDKGELITILHQKSTYGQVPILCLGLTFDGDRIICGFLDRTIRVWFRTEQQ LFLEEEREKEQEEQLEKEILQGNTNDYTENMASVSVMSRPTRKTFESLKSTEKLMEVI EIAYNYYIELLKHDLVLNEIKKEKKLEDSNSFPPPPPSPLEIGEYSVQEYILLSIQKI SSNLLSEVVWSLPLLYAEKLLILVESVLKLMYDHSILYSKQQSKAFIDNKNLSFYGLE PLTRTVLCLVQTHFIHWISSINTISPIIGQNDSSGGYLNIWAKSSDPRNDRIPKYNIR SVLSNLKIYIHYLLKNQMEVVSLNNGILKILEQDAASNSSIKLITFDEINEQRKNKQS KKRRTK cubi_03373 MEMNNLQDEIEEEYEVILSHINDVSFHIFQFPMTSRDSATEKVW QSAFIRPDGGSFQLSYYSSESSELSPNSNEEMTDHNISRQGASEKTDNTNKDHLYIVN SKSCLSSANCLVAGYFDHENKKLYITPISSVQQFRPNFSSIDQKRPVSMNVGMVERLS ESADKDERNQSLDDLVLNHGNNSLDHDISNSNNNNPLYSGSFSLDNGKLYTTGSENWI KIPCIYPSNSYESIEMIKTLMDVYFDDFISWKNLNSQISEENSMVNQLLSIKHSRNRA HYFDGDINKYFKIISGIASDGNSEFNLPFGGDGQVLPTLRTAGLPKIYTAYQYPPSCP NSSLGGSMSYNFGGIDDSSSMVLSRSNSNKNIDYLPFHGISGKLLIYDDWLYFGPLSV QELYRMKLSDQIQRITLVYQVIPFNGIKNILKRIYETKFETNLDSELSVDLLKTQNDG NIFEQILSKNKLEEFPSDKELIKVLKKFCVLVSGNWVYKSELMYNEYESCCRDLILVL LQRDENAGLNREPIRVATDLPQIKVTNILQEVAVYRSTAWYPKFSFDKKFIEENIEEA TFWKNYWAEREKYVVQYIRDNRDTSICTSTYLNNLSAVSTSVSNSQLQLLLIFILKIY GANNVSELLNLCSYHLTLMSVGNASLASVPSNIGGSPSGKPNDSSSQRMDSSSLSYGK VGSNPKLSHKGTPNISGSMLSGPSFCQNFIAPTYSPFCSSNPRHNMKQIPFINVNSTI SSSIKINKEDINKSLEIIATKIFDDLWVLKSTGDPRIDAIRTIVIGYFNNGDREDIFT ITSFIDNIKHCIVKNCETLSQKNKIWHKFDSDEDITQFNNGINNNANISVYSSQLLHL LNNVPEFIWRKIIHEFAFPINETATVWKLKSK cubi_03374 MKKTGFLKKLKLLKKDDPERIKLLSKLVKELKEPNIYLLERIVF YIPNDLVYKVFNETRIIIRNGGYPTEDSTRLKSAGGIFFRLIRNQIPHEEYKRIWNIQ RRKKKKERDSKLLLHDINKNIKGDKDDEYEEGELSEEAILMDDLKCMYL cubi_03375 MSSFEELLKITGNDPLSWLWADLVETNYQDTENQIDGFMVSYNK YLEEIKKNYDENLDIYDQFSSPIESLSSELSCERMPNSLEKNYTKLLDNLTTKRMKIN EVMTFVVDYSDLHSVQMIELLIQKFPNSTFEMKLSILYCISDILYNSRSSKTGAWKLR NCIMNMFPYLISHISFQSNRGNSSYMDLIDKTKSIIDIWVDWKIFPLEYIKGLNSTLY FDKMLEEMKSDKKTILTSNFNDEVSNGTLLDKDIISILSIWPIKTRPIVWKVWKEMND LLINKSLHEPKLRQDWIINYGIVIAPLKLFGLTNFLHRISNFYIYNN cubi_03376 MFLSRVPYKLKPFIRIENSEEISFEKGVQTFVESQLEKYNEKEK NWYSYGYEYYANILLGKRILLDVVPNIRDVSQFVKDVSIRRHILYRGGRPGGIEPDKI KYIIRDTLGVKTIIDLRGRFIFEDSYSTKDEILSRSTLWKYYCPIFENEGIDSYIEER KDSENNKKEVDREQVSNDIRTLILLNSLNYREPLKLENESSQMSSSDNSQSSLPFCLN CVKRYKGRGFELNEKRPKNEEILLRKRKEVMIPRMICYHYYLLNLASPKFAEKWYIKK YVELRKMGGIYYDIAVFDSGTVCRALKVITVSIPPILLHGNLGKDRVSVVIAILLSIL GVEEKFIIRDYCASEAGLLSIKDVIDTEMEFFPDSVKDSNPEYMIYFFSKMKEEFGNI NSYLDIIGFDACWRSKLCNKFQCQI cubi_03377 MESGSANKNSPGKSIRDLDEEALSILLKIVDLNPNSDEILETIR ISGFEPDKVRELLTKQHPELCKRVQTNVEVTASKKEEDKEIDSTNKNENENLQADKTN IPPACNASSEKVIEDKVKAEVIPDRPIAKGKPPGPPPPLKSKSMESLKPDYNIGSESA SISKGSGSSAKRAPPPKAPPPLKLSLKRAATSKGFGGPTSKTLEHEISNGVKLADIDF GPAPPLGFEPKRLHWSVIPPNKIIGTIWEDILIKKGENSQDIKDCTESDPNELKFDMN SILEQFFEDKSTLMQKLTIDCNSNNSSTGGPSKKYRVVLDSKRSQNIEIALKALQLFD CNNELDLSPIKDMLGYTKQGLMGGIDAFLKGVSKERLKILLDLYPTPDEIQLLNSIKE EGDNSALPLRSSELFIMNILSLNRFKIRAQCVLAMKTFEEEYQSCLERLIKLEDAAIH IRSSLEKGGILRQILQLILKIGNFLNHGTNRGNSCGFRFHSIELLKNVKSNNSKSNLL KYISKVVYEHSEDMKQKVEVISQTCSEAAPIDIADVYRDMEELGKSVNLIQDELNLFS SIQSDSSKQNTEPKNKECKNKDENQELKISIKNELKIENSLEISVFGVENRVEKTVEE LYLEIVETFVNNSSQKLEITKKQLNEIISKLNDLQCYAGEAQATGNNSSKQGASISTS CGEIIKRCDMFFRLVKYEFNEFKAIEKKKKERDLRKLMGVRGTKSSESLPAMKTSDHN YSLLKSSPSLMEKQSQAISEFSPVHEIENLSPSNNINENSSQLLLEVSNITLTSNSST FSSSDSSFFQDQSRTRSKSGQITPTANLDQTPLNKNRQKPDILSSSPYNLHSGYDPIS SSIIRYSNCFNKQSFCENNFAEVCDNTNNIYDLNIVHSDPQLYFSSSSSSDCLENPIM QNCIQHKPGIHNNHQPMHLKSFFDKNSNTANIAAKVYNSQVNQSSNRSRNPKCDN cubi_03378 MTYDEHLELRNDLYQTSLKLFHSDIKWFSEYIPSVSKWRVKVML REELKNFTNENDQNYVNKSTTNGRNSPQNNMTNSFQIEENSININNSEDSPRNTNLKQ NVFGINDAVIGGEEISIKLLPTPNHSEAFNLHYSNELCGMHEKPDSSINNLNNACKIN VENNKELPVYNNLHVDNSKNELSEPPCTKDEEKKNEIKFNINFENDEKRDSEDFNENK DSDKMKNDFSIYNILTGEDGKYFREKCGNISSFGLTLWEYLPLVAILSGCTGIFIILR LSSAMYRYSILSCTISHLVTFMLIGIPLSQLEFSMRKRGQRYGFLEAMQYFNPKYVGI TILSLVTVVVIFLYSVQIISTISVILYNIPKKLWRVTLEDELICSRINNSIYAQEGNF IDQIKSGNLTAQKINYGYSLCSFSSICNVVAPFFQDSNEVMDAVNSYTNIGSFNSGNT VNSSRLLGEVGLQLFMKAVQSDNSTNDSSTPINYPTWCIPSPLKKLRNLFVMQQSGYQ EAIYFSLNNAIINTENIIKTRVFPIKKPLRWLNSNLYARICGLSISLIFIIFSINGGG KLYRMVSFLSFLSLITLFLTASIQIVANDIQLSIFISILVTQEGIENLFSPAIWYCSI NNTLLFFGISFLITMFPLKEIIKEDYNSSTSNPLSYKNDGFYDGIIGNNLNSSSKAKN CPILNMFDNEKEQTEKVPMSFKEPRIGEITLRKHVSRMYKSVYNQEMEKNESKYRNDE VSKNNTIPSISIDSHTQSIETQNNHTEQNMALKLHQINQEHTNFPSLMSAANSAVFFL ACVIISSMVVLISNAAFISLTESPNTTLLLPFNRHFGKTSITAIIKDNINQNKVNESS NEKSLKIDQDSYNIEDIKSKQYNYFTIPKYFHGNNWLMHDMFEPDSGHFQEIFQFFNG ESASTEEINFLKNHMTSWFKWNFIQIKFQSLILGEYMVIYLIMIGIEVSKRIPSGVII FFILVVFSFAISSACICTSIISKHIFVIFNYWILPIIGPKFGILNQDSNLNNSNKKNI KNIRRLKSCSHSKHTIDNLNYCVNSSNFEIEENKESKKKKGEKSAGLKKLSVIYKIKC FLKLIFCILSSFCLLIKKEILKFFGISYRNKKNRNAEKMTFFIQFAFITLLMVTVLLT NIVTAFIPRFPLDNQNVNDKVLKEQLELNKMAFLNFSDKNELSKYYKNELYISKTFNI IFGSLFDIQIISNAANFMLALTAGLETIILTWYMYRDIQEEIVGKNALKCQAIGYIIS TILLSIGFLFGTKYIFVLFILLSSINYLITFIIARYFAKKNFKSNHEQVIKRQKYSPT WWLYISNIDFLRRKLNSKELEDAGSLNYYSEKSTIQVSNLNSNHNHNHNNSNYNHNNN SNNNNNDNNNNNNNNSNNNNNNNSFYGLNKMKISQNKYFAKNEELIQSISNFNLYCNV RSRQPLFISFWFIMAKYFSSILIFSTIPLNIANTISMLFLRLECLEGIIPEIFLMNYI SNMKTNTINIFNRVSIFQPIQVLVITLLMIFGISTALIITIYIKLKGDKLKKLSNSHT KNSSFAYIQGNPEENQEINLNNILDDVYESLKVPKCAELYLNNKIENLGKMPQKLIIS LAMHENYKLLNRQY cubi_03379 MLKKIFGKKNEEGEDGVKPVKANLGEENKFYYNKELKSWVVRGE EHLVEQKQNLPPPPPKRPTTTDSGTRTESMASFSSGSQSTGIRKNLYTSTPGLNIMKN SKEQIGGIIPTAGFNCVNSSATLFEPVGTSGANSFTDNSDKQTRIVEFGRQEQSQFEN DNNTVGSMSIQEKEERDHLELSPSNFEGYSSEISGSEQHFREDQSISFPSSVYTNYTN QCSTNKTSITNRTLPPIPSLPNAAISNRELIGGVSQRRASMQDSPISFISTPNVIQNP KDALGERIEGGLRHQSHYIGQEITEKEIGDGFSQNNTEITMNGIGEYLDHQMMGRVRN EIEDKMNSTISNSESDQTEVLYTQNDMRQRQRIRPLSQRSVASNSLPGVSEKNEQMEI MDKKLDMTWNLASGREVVDPKENISPKIESSMLEFISFFGFRYDEKSQKLIDDFEYNE NMSINGQNIEQKEGRKSLSQVCIEIKENKLKQMDFLVGKVFKGLQDSSSEYDSLMEMI LNIGNVTNKTFQEISGTINTVMSNSDEIEKELIKTRGMYVDLVKKYKAIYKQYETDMV TLRSELENEKNMNISNSKEFEERLKKLQDEFSSNEASNNDLLHQYYSYIQNLQQQFEE MQLNIQNRELKLKISEEEIPRLKSEVDELKRKLDEKQQELKLVTEKFGDYEQDNHKLK ETNQELISLSKVNEEKIRQEMMMLIEEHKQQIELMEKFTCEQDEQINQLQKSISDLSQ EKNDLLNWNEQHRGEITIIKTQIENLVEEKCKIEEEVLALNSQNQDKSSKILELETIM EAEGQRMENTSRELQELRNLKTQLEEEMEKIKEEKNQMESELRLDKEKLTENCERLSK ELKDMTLKNQSLVEASRDEIESEQRKLREELESKSEEYNKHVSWLEGEISRIDDEWRT RQTHLEANYEQLYSQYMNLSSKESEFASLKERINELESEKISRNEYIFELETELNENQ KNKEIISDLEKKLEEIESQTKKNSERLKESEEEILRGKSSINELETSLESEICRSKAL SSEVDNLQNINKTHLARIQELEQKLEGSEQCREYMSKLERNMTELETSLEEKCKDYNQ LEEQYAQLLTQSKELEDSNSKEINQKMKLENEKLKETNEAVYIKLEAFSRDIDMLNNQ LEWIRRYSPQVYEAMLENSYHYPDPSFGSHYNHSSGNDHEISDIAL cubi_03380 MHTGRNVERPSMEGFSSRERQYDYSSIPGRLQNELEFKVEGFRR KIMGMMNSTEGGPKNRLYSNIHSYKNFNFRLMILPKVKLTSGGSLEGHISAYLEAIPS QNWPSNWIWLNTRYSVTLINQKDYRKSHFMSDVFSFKGENDPKKKISLEERNFDYSSA GPEADRGWSDFFSLKTLLDPKTGFVDPETETVIFRAGVFPVLCDPVSYGKSNLDSLGT DRSLTGYVGIRNLGATCYMNSLLQSLYHIGRFRKAVYTIPLNPNKVTTASSCSNTPLS RETRPELTPVSACFPKTDEFGEFTPQSINSESNGLTISLLGDATEDAVEWTSAMAKSL FEYENDGIASLESIMSSNACQDYGQNTGVVSGNVSGPGSIVGGNCSASEAGNDQTKIS SALQTLFYELQTCSEPVNCRELMRSFGWDAADAFTQHDAQELNRLLCDRLEEEMKNTA VDGSIKALFEGEYENYIECLDVDCTSRRRENFYDIQVDVEGVKSLEESLQRFVEEEVL DGENLYEAEGFGKQRAKKGVRFQRFPPVVQFHLKRFQFNIQSMDMVKLNDYFTFPEKL DLSSFVNHNNKSEDDQTQAGSAEKYILHTVVIHQGDVHSGHYYAYIRPKPDSDWFKFD DEKVTLVSSSTAIEDNFGGYEYEVWDYLGNPDSDIPKRSKTHSAYILVYVREDQAQDL LSEPIPQEVNPDLVSKYNREIEMMNIRKKLRQDLNEHVRIQLLLSTSYNISPLCRPRS MGLHQSISSFPWNYIFKCSRDFSLSQFHSELERRFLDKRVLQTDMVAANKAFGSHLFL LETSSDGNHSSGMRGMTGSHHHLVHANTGSSSSPSLSFYTCISSPQGEGIGLGSRGTD SQRGSGGIIGRAIGFGGVQSSGTRSGDEILMSDLCRRYHRAGLWDSSCPTLYMCLFLD DVSSLLIDRIPPDLPPNADGPYLEKRQKIENKLRKFNENRGGEVLLLLRYFDISDPKM GEFCSKYSRTQEIPNLYNLGLVMVSQSTTLKDLNEYVMMEIEKEKDTKPTGSVGNFEF GLRVCLETSSDHENPFPLLDPRCTVQQLQLINGSSLIFSINLDDSVINRKREELRKSL EEIITFPNQNLWLQEEKTLENIENGDEERSSSLSADSNFKLPVELEMVKCPEFPVLDF HHWFENFQNSVNLSLYLWDPLDGEYHHPGGSTYLGLSNTNTNVNTINHGIMEAMQASN NSIIRSFSRYQIKGIHHIHLDLRWPAIKAWIYVCNQIKVDPRSIALARNALNPLDASL LTLFSLIQTQRHDDSISSVIRSLSVSHYYGKIPRSIVIMALCLKGHSFVNLNRKDKHV LTVTNTVSCINIPKGRYILQVESSDTVDLLIQKLKKRENIISYRSFGSTNNAIEVGEN LEGRTLCYSEGSNLRLFEASNQNDHVGVYRGGEMMTRILNTDGMRNASTFRGLTLGSF IDCFRIEQDYSQKELDLLRKGELVDVLVIQDSRSGFSGNHGDHHHNDDHSNQPGGTSY LVTVPVTCRLSDLKSKLQDRFNLDERIISKWKFYRFESKNLQGIKDNDLIVNSIKNNS NTGNNYHPTNAKNNVDINIYGFEPHTILLAQQVFPVLQKTGSRPLRI cubi_03381 MNNEVRKLVNLYIGNTSRFYQFQVVKTHYNEDDYIDNPSNKKST NYKLIEAQTKIKERYQLLLGSNNVYQADNGFKLYGEDRLLTILRTLGLVLAENGTIHI PNHFLVNTETGNNSQVSNSLTFTTNNHLLSNLKKQIKQELKKFDSDFQIIYGRTPSKT DKEPLKPLYLLYKQLKDSASQVRESTIQDSTSELELLKQKKNQLREFLETYQNEFFNE NHRKVLYHKDLLPIEKEYLEYKSIKNKIKQLEGLYSSSR cubi_03382 MQNVSALCTVCGYKLESTSQLRDHYKTEWHNYNQKRKLANKEPV SEVVFKRKLELLEYTKVKVDKGNSHIKNKQKIEAQENEVLNEKEIKMNDRPKQSDTYE LTYCYFDNTIHPSMDECFEYMRKKYSFIIPDRDYLVDYEGLAQYLGEKLFEGHICLYC DRIFSSLNAVRDHMISLGHTMLGTHLDIQKEELESFYNYSSSYKELIPNLNKLSISDH DVKLEDDDEWEYIDEDEDKIDRSNREEEVFLDEILSSYNLKKPMITEFGDLRLPNGKE VVHRNLAYIYKQRIPKKQDEIRDGNHSLVLKNKYHNKKINVYDTSKLGLNIQFKNKSH LKQNLLLSKRIKNINLKVGVNNNKLQKYFVRRDVVW cubi_03383 MIKDPELRPQRHKDNPVVFLDICLSDETNKIGRLVIELFEDIVP KTSENFRQFCTGEYKKNLKSVGYKGSIIHRVIKDFVIQGGDFVNGDGTGSMSIYNSSY FNDENFEIKHTKPGLLSMANNGSPNTNGCQFFITCNSCSWLDNKHVVFGQLLGHDSFA TLKKIENATVIPPNSKPKIPIIIYECGQL cubi_03384 MSNRRPCQFFLRGSCRFGDNCRDYHPNGSMFEQGMGSNSSGNKT SITSGFGTSVGQFNPFSGSAVKSSGDMNNVFASGGVSGSGVGPFSNYGGPFGGSESSP FGGKGPFGGAGQSPFGGVGSGGGVPVSGTGTTFGSTPFGSSPFGSGSSPFGTGGGSVF GSSPFGSGSGIASGSASTLASSSSASGKPAKSDNPVLQTLELIGVVVNSGVWPFGRIG LLNQSQDLSQTQTQAFLSLDLSIEEYRWRFYQSDPSNWNNLHLETLRLLTQQYNAFIE QGKMQSKLPVSHHLYNLDFSLYSKIGNWYLPNLGKDFVNKPSNSGGTLESMANPFGGS TIGLSQGTGAGTGTALGNINSTSSPFGVIEGGSSISFGASNTPFSTSNNAPSNPFGSS NMVSSVFGTTNTSTQQPFGGNNSASMNPVFSNVNNIFGSKASTNNSSTTGTSSISSNL PNNSNVFGNTTSQLSLNSNGANSKYSYSNPDVNDDNNVFNCQNLKDWELNAFKASAFE ENKIPEKIPPKYLRMYS cubi_03385 MKSINNNSQVETDFASEFISETDSDADSKSECGKETVIKKASNE EEKEEENEDEEKEEEEEEEEEVEEEVEDEEEDEEEEEGEEPVIKIGLWDFCQCDIARC SGRKLLRFNYARKLQYSSNYARKWPGIILSPRAKKKISMEDLPLILKGGVGVIDCSWN KIDQVPFHKIHNGNERLLPFLVAANSTHYGRPYELSCAEAISACLFFFGFPKQAEKVL GIFKGGHHFLELNKEAFDLYRSKGINSQSIINAEQDFIDKYMSKQQQKESRDYDSLFS SSYEEDDDDDDEEEEEEEEEEELNL cubi_03386 MRENIFQYPLRSSKVVWRHKAPNITTLLTNTILPKDRSYSPSHL CYNVYSTRIIDNEIESSLKSCVGVDIGINSGPILVQRYGSEENGLEVLILDQFKDSED FYFKRLMVLPNMLESSIKDIKLQTSYDFYYSSSNGINIFARSLDEVVIVNLKASEEEG LEYSILLKSKVIGLINRFKEVESFSNRVCEKADSGITIYSMISSLYDFLEFTLLLSNR LIMRISLRDGEFKKKMLLDLNEIYEFGNEVLQCIVYGGNPNTYLLGGKGLYMLRKDSK DKYQVRMLFPCKDNNLKECEDNELEENTLRNLVSYKDRLMKLQILRKKNVSYSNITGI SVHPVYSNIVAMIDSRSSKVVVIDLYQNSRIPLGEFSIPFTESLGNHTSRFRYIEWVN PEIIKKEKGRNTCKCGLMLYCSSYHRVIYSLLVLEYDEMDSNQYYKMNLKGVKELTMG KWDLEQDRNYYSPKRTQLLESNVDLLDMILESYSIDDNILSQIFHGLSGLTVFILKRV NFEYFDEKKRGEDVRKGYLVIPPSTSRHNINILSNDFASFRDNSQEEDLFDEGLIFLS LSTCGRLLCKNVYVAKEASIGSREGKESNEGDERVERVRRLLYENTFENELNRVFLSK RMKESVEEFYQFILRKEDNLGAGLCKIIRLPQIMEPSVKYRTGKVESKVKLITQVGLQ EFHEEIGNEDIHLKKKKGKKGEKVGRGARKEIDVGENTDYEEGENNELEVINEQLTKR QYYNSNVYNGLELIDWLLECDEDWDEFNCGEECTLGGNENAESNKDGDTPILSEINET PTVTFDSQVSETPEYIVIGGKDDNQDEEERIMGGGNVCGFKTKKRGFSLGSKFNVYSE DGNWSMEDIMNSTKVRLPLAITNGFDYHKEFKKVPGVLWSEESMIYKSFNGECLHIPS LETQPFPLCSCRYLEDNDNIDENMNVENDEHYIGGGDGIIEDYGQSIERMIEISRTRN KSRPIFDVIGAKDYEFNSTLEEYLSFVNEDSDEFLEDGNTNEKGFLEENLQECQVGDD VEGEEENGVYILKETLKLLSDGINGLPLTPVSDKIAKNYLKYNKYLGGKLNDKRMLKK KWKISQSCSRMRQPGCLLKYLIINELEVKKAFCQEEILREKEKEEEMDEIEAGDENMT ALDEEELEKRLEVEMGELEEEQEEEGEGEGEGXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXGEGEGEGEGEGEGEGEGEEEEKERGFEGGKEGETEGEEYERVSEIKKNMLPNR GIYISKKLISTLKVFWDESCDFYGLSKIGQEHENNYGEREELREKEPTIDLLRDDLSQ WHNYPYISFESVDANIEEKEQLRLSLMSYVDTQLSNV cubi_03387 MDNNLICSINCEGEMNVLDEGLYTKLKLYCPDIPSLTLGILGAM KQSKEKMEQDESLRRMVINLSSVSLSVIVKDSLIYIYLM cubi_03388 MEELRLNNVNANNYCEEEIDLSASDELGLFERFEYLDKYENGDD SMNSTSTAFPSCDGSSKSSRNFNSIDNLCMLMGNGGNAIKSGIVGGPGDECPKMKSLE NISMENIIGSKKKFEHLRYEWFINKLMDLTMMKKLLPNMSRDEYNKFFLAYLSYLVLY STRKPFSVVKLQIQEDLSLSTSVLGWIDTTFLGSYAFGQLIIPTMFETFKVNEYICVS FICSAIASLIFGISKSPSSFLLCWFFNGLFHAGVYPMLVKYLIACFGVSERGRILGVW TTSQQVGAIVSTAFSALICLKLGWRSVFYIPSIFVFFFGLIIFKYLKKPRNLYIYDNV VNKELNENSMVLVMMEDSNNCDTVENETNFNRVLETFESEKSIQVEKIERGESEGELR NMEEIIGEEELDDGNTVYRSILDSRCNSLLISSSQTINKREYEISLNELDDLGYENHQ TQDMSANSSSNGGSSSQLLIQTTLSENIKKESGSKTKLHIEGRDNCTNDGLGTLKIEK PDFNDHINYVSEFSPTKKNRKHFNDKSRSSGNGGYCMQNSNLDDSNIKENSIQNDSYS SFHIQNMDDVSFLEKLIILLKIPNITNIAISYYFIKLIRYSMLFWLPYYLIRELDYSP SIAGYSSILFDVGGVIGAISAGAIADTYFGGKRILVACYMTIFVSLSLLYFIIITKTG LNLPILFGITFMGFCLSGPDSILGSTAAQDVFDKSGITTKSIDSMATGIVNGLGAFGA VTQGTLTAYISEYYGWSALFLCLLVFSTFSFLILIPASYTKKQKPVV cubi_03389 MVHNDQAKEHIEEIRQNLFVSNKSQRDRQDRSIGILSNELYSSF GRVLLELLQNADDSNFDMDKVDENTPQVYILLRWDCLVFHVNEKGMTRENIESVCDIG NSSKKNTQSRYFTGEKGIGYRSLFKITDNPGIYSGGYSIKFSSKPDKEIELSYIVPKW EEPSDFPEVVRTIHSNISLYGTPWKTDNIGFEFGDKFEKNSNNLGTLHYLPFGKNMKQ KFSSILSAIHDTFVHDILLFLRNIKSIVIDVEPRKGSEFCSNKNNTTFPLLRRRLTSI ELVSVPDVSKMLGETFSLDKFKDDIFSSIKSFKFVRIEVSQFDDDDLDFNKEKLLRES LISSKYLIVDWEIPISDEQKLENATLRDRVTRSSTIWSIALPLFHSNSKLEINKDERI ISSSSFSLGSSTFGKHKRLAGKLFCTFPLSNRIHLPFHVNIQDLILTANREDIIADNV WNSTILDVIFRRQIPQFVANMINPVLSSSTKICLINQRYSLFMDNLLTAKSLPLLTMQ ESSFSDNLKHFILKTSELIKVSWIPPRLDEQNQTQSCSLSSICANELVKEPIFIKIDG SFCHLDKLSINIQLSDLCSKKNTNNEKLCIFCNLHWNFLYMLNVHMGPVLHPTFLGGN ICMHYVCMRPLQMLQVLVVQIISSYSVKNVNIFEFFSKLNPLDFLKSISDEALLILYS YLFFQKGDADIFEGNEKFLSLPIIPTRGNGRIPMEKMNMMRIYISDESFDNYFREHEL QKYSAIIGKVCPFEFFSDTVYRLAPVKIRNLFVEAFKIEKLTPSAFFKLIAEQIDVLL EKGWENAINIDIHKLLLKITPLAIEEASILGSDNRFLIRLPIVVQTYSPSFLKALLYN KDLPKSYASEEELLNKNKLARCSNIITSNWKCSRCKKVPNKEEDMVHPTSVALRMSQV SKSLTALILLHSNWERSNKFRSKPNLNTAEVKAANNSKNNVQDKALIGGSEKSFEYKG LTIVPPLWPPLVEWVFPKVSDRFHFVEISSDYIVHDPGNSSENDKGLAFRLFINKFIN LLEQWYVGCNFDRLPPKTDASPLSWMVNKNTYPISQSHCFYCKHLIYLMRAGAVIQIA NEYSRRSYSSSFLNKILSSYPWIPVSLNNDKYFSYYMSQVNKEQIENCDMADFNEDLL LSRPNELFIPKINKNLDGIIKHISQVVLNLLPVTLRNGYKNQKSRENNEYYSAHYTLN RTEEDIGKESKLISETLEEIGVTTQISSISLIKILRDYKRVMGFRDGEKVQKNIQVML RPSYGPDLRHIVELAIFGFGITQENLFNSDWWPSLVDEWSNFDDNSQEKNHQSPSDIE IISDIYYMLGHQTDFDISMDIKKVFYNESLLYIPLMKSTLYNWVPVDYNNMVWSDPYD FFHGTFIVLSKFINKSRLKNLRPFFLSLVSEQPVHHHYANLWLRECPNLLKDESREGK IKFESFINISISKFLPVFQKMNQSRKNSWWNEFLKNARVPIKGMHKFLKREDCCVEDF PIGNLRSDSFQIPVAISYSPSSQFFYKDVLKLKTLSECYRATYKVNCKHNTTDFLRFV NKGTNKIWLNLEFWGVLMLLFKHNSLDIYLSIMRILEEKKIYDNQQHSPDNNSNHSVT NCEFCVSENEIFNQISNSYDCKPLSVSEKFSNITEGVAYTIANWLISFVNTYEVEVDD IELNFDLNLRDLNLPKNSRKKIGVLYRQIPNKNSASILFVNKSMDKTNQLKDLYTLLL DPLKDLTNLNNIINIDLYELLILSSSQRLLLLSKHIQTVDDMSNRKGECFTMENVVKE GLELLKKCCRDECLSRKNTNNSQNSLYIEANNLLSELSNTRGKHKAEHFTCIKGNNNT VNKEEMSENKNETDCNLDSIIKEYIKQNPLSLASITEERMNIEKITKVVGFWGEKVSF DVIIPNAISRKLALKSIDHSLIEDYLSRNFVSSYFENDVIDMEDYKNWTGIEDMKIED KNALQDHRRDSFIKCKIKCFKESETTTIKLIWVNSLSESFLPYDFILLREHNSGEDLS IPQNHKQQVLALIEVKTTKLPIWQFNISGNELSSARSAGNKFKLLLIKDAGSSESQWT LIDNPEKFIQESCNLISGVFEANFNDECDFNSNTSGVLDNMY cubi_03390 MKLEKEIKGLERPEVNIKNECERFRLKEYSFPYQYCHLCFSRFM SLRPFLLKTIQKKWKCNLSIEQVKSEEETGSHNPKDNSVPILNQLKDIKMESECIIMG LITKEMKNRITILDEYLQDIEEFAKNNQLSNYTSDDDKLYIEDSSSRIPLVLKEEVLS VSSLISGTVVAVRGVQNSGGAFLVSDLTFASPPEEIIRKEHSLVKISQPTYLGFVSGL NIGSDVQDSLSLQLFRDFIMGISSYSDEHRLLSSRIAYLIIAGNTIRLLDTAKETSTG TGTKLVVNNELLSSRLEMIDSFISQLASSISFAIMPGENDPVPISLPQPPFQPYIFKN SKSYQSFNSFTNPCLFSVNDIRVTGVSGECISKISLFSSYSKPIDALKFCVESRNIAP TCPDAVPSHPFFDKDPFSLDYIDESFPQVIFAGNQLEFESYKFPNNGPVCFTIPEFSN NPTLVLLDINTFEFKTISFKLN cubi_03391 MDYTEKEHSPLEKENLGINLINNDLEYIDNIYSSKNFGLTDDSH VKNLKESQEILLMSWINEVVSPELLTYCESSIQTLCYSIFKEKEKLRFIEGERGIPDI EDKIEQDLINLHINRSTNMLKMYLKQRIMKISEFPDFSIANSRTKSDVDSESNISQEE AVFALKLSKLQWKYLDEVISKMKNTLATDSSVPIPAPSFHRSVRFKCIDSIGRVQLQS FATPENTSFTQFDDQDHFSQNESEKVINIEKGKIYTCKYEDVRALLDRNTIQLWPWPP VTSNKNNSN cubi_03392 MYREQSSTVLDSPVNGVGIGLGGSGSSSMSSNFSRMESINRSGS KTGAVNPKYSEYGPTVMQILETYENLKLKAQSISKRKLRSWEGDFFCLSSFQKVSQPK DITDRLEKNLRYFLLNYIVIILGMTILSLILNPISLIIIIISTFSSAFVASRPTDTVL LPGDNVISKKVALYMIAGISTVVILVFSGALLFTSLAVSLILVCIHAIIHIGKTSYDQ VANLESEV cubi_03393 MGEVKNMDMDMEERRTEGPFKPLTGTEVDRSNEIRRVMVPENRM TPLKNQWINIVTPLVEHMGLHVRMNVKRRCVELKYGPDCTDIGSLQKGVDFIKAFLLG FELQDAIALLRLDDLYIESFEIKDVKRLNGAHLSRCIGRISGRDGKTKYAIENSTRTR IVLAGQKLHLMGAFHNIKLARDALCSLILGTPPGKVYNHLRIVSKRVQEKL cubi_03394 MLTKGGDYSEYSELYTQNVEKSTGDDICETVRKHVREYLEKESR INFYFFEKNSCLINNKFVDLQVQLNSQLCPKIKLWKEIKEMNFQEFIKSENEPLQNLF AIKIYSKPQLIRRNIVYSTNGKIRTQMHRVINEIKILQFLAETNPSDYYIIKLHKVLE SSPSALSSGKLYLIFDFFLNGPTMAPDPVYKPGPALKRMEGNVKRMAYCVGVALSRLH ELGIAHRDVKPDNILLANTGDVFLNDFNSAEFLIQGKYVQGTEGTYAFFPPEYCNISS NFDKTANEKDGTSEANELEGVQSTLQLGCPADMWALGVTIWCWFYGTLPFQGESILEL FDNITKCKIEFPKHPTLSQQCIHALTHLLDPDPNSRWTAQQFLGSDWFSAN cubi_03395 MVTSSQACEANVAAVTRNYNIAPRMEYRTVSGVQGPLVILDNVK FPKYNEIVRITLGDGSVREGQVLEVKGSRAVVQVFEGTTGIDNQSCRVEFSGDVLCMP ITEDMLGRTFNGSGKPIDNGPPIFAEDYIDINGSPINPYCRVHPREMIQTGISAIDVM NSVVRGQKIPLFSAAGLPHNDIGAQICRQASLVSGKDVMDHSDDNFAVVFAAMGVNME TARFFRRDFEENGSMERVCLFLNLANDPTIERILTPKLALTTAEYLAYERDLHVFVVM TDMSSYADALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRIEGRNGSITQFPILT MPNDDITHPIPDLTGYITEGQIFVDRALYNRQIYPPINVLPSLSRLMKSGIGAGLTRE DHPSVSDQLYANYAIGQDTRAMVAVVGEEALSSDDSLYLEFTSKFESKFLSQGPYEKR DIFESLDLAWELLRTFPEDMLKKIKHDLLQKYYPRDSYIQHSK cubi_03396 MPPKSKGQTIQLRDLQRMAYENGEVMEGILPTQSLGIERTDSYN SNRSGGGGRMSSRYDNDEKVAQMLETDWRKGRDGGDLQRTTSKSGRDRFNRPDIDDTI CNTNWRDDSGRSTEKPKPELDFRRGASRNHDNSAEPSSINFNWRDNSNSSQNAWGSSN FGSRFGRENSSRVHFDDRPDYLKNRFKKKTDVESSTQSNGDNVCNNSNMDISSSLSQK LNVSDSKPVSNTGNSSSLNGILNLNNKPQQSNNTQVASKVEKKPKQIKKVQPVKEAKK IDDSETNISLWQVSDPVVLNTFEKELFACLTGEIPTEKSVPASSFEPNTYSPPDSDKI LGFISNFIDKSISPEDKQSLSMIAKLSHVFTLFSSALRCIDDVILLSKSLSPIFNYFI TKNKAGEATAKLYILIEIQRMASVIGNPKIDNVTSILESLWISLLKSKIVSRDTFNLW LNKNDLLGDESKFGRKNALFELTAFFDWLNYDEETLSEEENEDDEDF cubi_03397 MADPSAMLNYNAELMKCLEDLKEKRDEVNRQIKREEEDKAKIQR ELGILNERLKRVSESLQRKTIARAEYDKTIQEIEGAYTKILESSQTLLHVLKRESVNL SNPKKRV cubi_03398 MLILTPLIYYNMDSFRDVISDLVESNVGKIILVFSLAVKSQAMR ARSIIKENGIQIEICELCESDADALSSILLQMKSYKPDCIIGMGGGHCMDIAKVLRVL YEDPGTTLRSLAMGTNNNDEEKSLKKAMIHRRGSLIKKLVCIPTTCGSGSEVTSTAVL RNDDGRQMIVSGVAFLPDISIVDSSFILTIPMFVASITGLRALLHGLESYISNSSNHY SSCMAMQSLKILFDSLTKAIIEKDTRLLQDIHKAASISGVAISATDVGLGSIISRSIS EVFTLPHGLIDSIVITQVLNFNISRSQKVESLIANLSVDLGISSPKNSASIRAQALLD RIKEIRKALLLPDYLRCIHSSLSEFKSKGWFDPMNLKLPTFSESESTNTNFLINRTSG PLGSNKKTDYYVSEDCALKAIPILSKKNVMENLNANTFQMNLDRMVSRALSDGAIRTN PVAVTKEDLVAILKESWG cubi_03399 MTEIEVVLSFISLFTFILTNNISNLYRNIGLLLFESVSCIFVSI VLILRSKVNNSLLPTVALISYSVITGFIGSIITMFTAFFLGANIIDFGTIVLSLYFGL VTFRTCIFTGFKSLLVTIIKSPTLKPKDNNIAPIFYSALLAYFSTFLSIIDSNSKIMK WPNFTLLGAILGRFIGTLYKLFTKRSQSLNNKKESLETIKTNQRHKL cubi_03400 MSGYIPEVSQSIGKLVDSVESLDELLKKKEFMNFSQEKVDYIFQ RASLAANCARYELAALAIEDGGMGLLEDKIIKNHFSSENIYNKYRNTKTVGVIEHDEF GGIDIVAEPLGILAGISPCTNPTSTTIFKSLISLKTRNCIVFSPHPRTARSSIRAAKI IRDAAIEAGAPENCIGWIDVGSISLCNSLMNHSSVAAILATGSVALVKAAYSCGKPAL GGGAGNSPVLVDELSDLDMVVNSVILSKTFDNSLICASEQCLVVLDSVYDQLISKFKN RGVHIVSSKDELQRLGDLLIDENGNMNPKSVGISAVEIAKMASIEVPKDTVMILAEIN EIGRGEKLSHEKLCPVLSIIKASDFNDGVSKAKALVEFGGLGHTSCIYTDPNSDEGKR RITEYQRSIPTGRVLVCMPAAQGAMGEMFNFRQTPSLTLGCGSWGHTSTAEGLGVKHL LNYKQVVQRRDHISWFKVPSSIYFNRGCLEEALQDLKEINLKKAFIITDRVMVNLGFV DNLTEGLKSVGVTSEIFAEVPPEPDVETVKEIVKRLNISKPDCLIGFGGGSPMDASKL VRLMYEHPSVKWNEVVTRFMDIRKRIVKLPPSGGKIQRFICIPTTSGTGAEMTPFAVI TDNSTGIKYPIASYKLTPDIAIVDANFVLSMPRFLAAATGLDALTHALEAYVAIYASE YTDGLCIQALRLIFDHLANSVLNGDANAREYIHNASSIAGMAFSNAFLGICHALAHQL GAQMHIPHGIANAILLPHIIAYNASNRPTKQSILSQYKYPVAKSRYAKLADILNFKSN EKFDSGLDQESINIRILVEAIQNLKKTLNVPMCVKDHGIEEEHYMSRVDSMSLHALDD QCVGANPRFPLLNEIKQLYIDAYSGFVRYPEY cubi_03401 MNNQYKSFEKNIDPANCKVLLVGVGGIGTEILKCLVLSGFRRID IVDYDFVEISNVSRQLFFRLGDEGKSKVHVLAANATKHFKSAKGLEIRPFHSDISDFF SKFKVSPADYNIVLSALDNINARRCLNAIIMTYSNPKDSPVLIDSGTEGFNGHCRLII PKKTSCYECTMGLNVQDTNFPLCEIKEFPRTPIHCIAYANFIYEENKNDGQESKNQRI SKIYNLALEHAKYFGIQGVTLELTQQIIGHIFPTLLSTNTIIASCVVSQAIKYLKGFE KEKDFKSYFMYYAQTGIYSSSFEIQKDENCIFCKI cubi_03402 MLLRRSPCLLLILIAQISWFKNSLVLSQLMPESYTINPMNSNAT LAQGAWGMDIKIDDLANQPRYDLSLWSTIGFPALLTPCSYMYPIVISLKNINHIFESN PISFSCNHAGLTGPIWISTAFGSISVNQTSSYYVACNIIVDYLNGFEPTMLQSTSATN FTFLIPGTLIGEALESIVEEGFSTAPSPDPVLTWLQQHYYSENWVNVASILNLANSFS DMVVLPFKYKVELPSEVLSGSSIQGSNPPDHSYVDLFNMTLSYGRYDPDLSSSLWQPK ERYAGFQPPVINSAQGAFINIQNNVTIHEYNSAVPFGWTNVPLGVSPIRVVMPSNLPS NSSSSCMSNYLFYYPMDPFWNTVSNSSTPFNISYLKDSSPRKFISKYSKNDSIISRNN VLSSMYFSKRPFLNTKDYPGQLQNTLSTYLTFANNFLGGCYYNGTFPYSPEPSYTGVH AGVGLCGTHLRNSNTQFKSPFYLPPIKYLSGPSPINYFFVLTSLVQYVSYTHQGTFRS DIYDETRTYKRIYPGNGLLHSLLLATVSNQPFILYSLPSFVNQDTSVDLGSEFYQFGE RYVNRNYGNYALPGSGMTCRAARFVPMKRDMYSLKTAWQCKTKKNASANTWSNMIEVP REEITSCKLNNEFVTCLNTADSQNQFIGMVNTSPKEHVTVLKGSSFKTNDYLAVTVTI FTDTIAYTSPSKGISVKLFSPPISGFVSPEYCSKIPMIPMIPTTVQQVFIIYPTVKAW DHLHNTKYSFLIPFNKGLQIRHKVVLGNTSGVSINKEFYVSAYLYCESLRRISGTPSL SKVSIADFSNLGGSMIITTKFPALQNSKFSNCIHELIISESNSPSTISVSYEALIQSN PNPIANEFVSIEFGAVDFPPTVGKLSLYQPDEEGASIGDSIFLTLSNLAFSNNEQDDG FSSFGIIASSSQIIGQNSTVILLGDSLTQLPPSIRLPATSKEWFVYVRAQTVTFSGCY IPCQFPLKDSFYSHWCSNDLSLLNAFPCPFSKVSMKNEDSKLEIEDAIAVVTHPLAYT SPIETIQMVSALFEQSKDNQMVWKNYFESIYSTTFELQNVPRAYDFASFKLISLDILR KISKVAYDNPTLNLDILRPFEIFMNITLNAECTSSPGVSSLVLETLDMLIASNGWKRI LQDRNLGTIVSHMVEAVANRFSFWTFNEDSLDWKGTESGLSFSIVTLDEVYTRKSINL NGMAISGFKFSEIKRLSPVESIYFHAYPEEYDSEMLEFASTSCPNVSATISSYTYPRK EISNMLIENAIRKISRPIPIGGGALYLCSIDYYAYGIPTVTFSLPLSFEIPVNMTEIG CSMLSDSVWREDLCTSNISPSKQESKQFVVECSCQGLAPYALIATVNSTEIDNGNFGD SNGNNGRAPIPPMNNRWDGISGNLTNLGISKDLALELINSSYSGFIYLDEKMRTSYIP PKAQKALEGSQGIAGLYTEMGRSEYITNVSSTWGLNAGEAWFNSSVAKGGDANVESFL SWEPINNTKKNN cubi_03403 MNFPEFFLVIVFSILISKVHLETLMSNDDPKMDLSIPENMDSSL MNNGESEGMGNLSPNMDFSPGLDHQDGAHDHLNLSIDNQPNELDNEKLSFGDLDTNNE LEERGDLNFEDKLDDSDSNINHSVGNAVDSEEGILNASFDSRSADLKDIDEASVGNNL SDEFGNSDFLDKNDMEHKEEANNHFGEEVENQGDTSDGSHEFYLLNSESGENHPSRDY GSATVEDRMNTNEEASQPEIHVESRATLPAPPSQTSPDSSGNGGDGNGGGFPNTSTSG TTETSGTSEPTTNTGGDGQGTGNTGGNGSGDGSGNVGDDSNLVEQKSDNGAIIGGSVV GALLGAGAISGGLSWFFINKKKEEERKKRLRAIRERRARLAALRNSISESGATSEESA SGIGGRNAVARARASSGGGLGSSSLVTIDTSSSSSQNLDSSAFSLPVIDIHTGNKGGR KMTAGAQNQNLRGSGNQSGNGKSRLSLATELSNYE cubi_03404 MRWLHLIVIFVQLSWVFGTENKNVKHRISVLFEDTGSEVRLSGS PKTTLGQALVSFLQSNMGNEKSLSSYNYFLKSTGLEIPTETPISVILSDDLVMAIPNK GVISESGDLNEVNSGGVKGGKSGQNSSNYIELSPDDVHLRVTMPDGIVENVIAKRQQT IAQLREKVMKEFDITGNYDISDGEKILPKSSTIDELGLEDRESSLFLVNSNSSKKGDK QRRERAQIVSNESSMLDDDDPYNMGTTGGRTTVYQSPTIGGFGGGIPGEYILKTVKIK LYFLAKRVNVAYSTLYSRTGSDLIYDINMQKNIPVNNIRLGIMREGNPHPVSIGIDSP EAELSLYELGIRNGDVVIVAGPETNFSRTELPFRKGSRFKIYLSCQDAPHSQKRFSVE VWENTSIDSIRVALSGPLRVPFSEIDLEIEDIDINGQVVMWRRLRGYNLSQLNIVADT ELFVRTGPYKYVTLQPSYFNRLTGKHEIPMDVRIRFDDFSGFDLLLGVYPSTTIKQLK RLIAWRRSYIPSSIQLEIIGIDRRMMPTSIIPSNDLLTLRRLRIIPGIIFRVKLTGSP IEPSTIPIDDIHDREYVDGSQKVAEGGKPTMTLNIMIINRDLAPVQIVCFPTTKIRSI IASISKRRNIPQGIIRLYSEKQLDNGALYRRYYEKLPEKTLEESRITRGMELFVEVME EIKKDDTGNIMRDGMVNLIVKWDDGTTCNIPVPLSSKLSQFRGTLAGLKGTNPESVTL SRKLGGDKAHKMINVDASIDSYKLKDGDMIEVAIDSNGEVDSNTSGGESSDVGSLRLT GLRFSWPDGTTVVTSGRGSKKLGDVKTFLAERRGNDPKTVAIYFSSGRKLTGDDKTLS DLGVQSDMQFWVETDALHWENPNDPVRFVIRNTDTLWSTVVFISPDVYVTATIKDLID EYKSKSMIPREANVQILGFEGIQETDLLSKYEDDERIRHLLVEVKLPSESGLMGAITG EPENPTQPIDKLKQIINWDGPIKFTPTQRRGPFSAKADTTEIQVMMPVAAFIRPRLVV DEALLQAFGEIADSELNERVYGPAGKKISSKNKEQLIARMASTRRAAYILHVICSLNN LSTDKFMMITQSKPPYEARKCTKHLALGLDKHYRYARGVLGKRPIRYKKAIKALSNAK KEFSKGYSYVVKNSSKMARIIKRKSKKSGKIPDQDFLNALALSGKMKYEKKQQVDVNM QNLYAVLRPVATQQDIDESLRREKETSRILHTGE cubi_03405 MNRRITRILSLVLAFCFLVATCQVNGEAASLNSSDQKPRLNTEW KYFINVLELLLFQPGRAMGTPWPEDAPFEFMKLFSGKPDFCGRCVRLMENWESSEPHM ILYRAPKYFSRFPDVFYVVCGSAELKFLHSEDSDNKDESECVKISKKFSLQKYYEGFS SAPTLRRRAAFPATHTDHRPFKPLYDPLYKAPIKTQIRKPTTINYSKHSYVPIIPKNT NNRPRASYPKSHTSVMVESKHKYVPIIHKVPGSDGPSYVGKYQNITHHNYIPITKPIK SEDNAAFPASRTNFPVDPNHKFIPQINKQAPNYDTSSLPVSRTGIPIDPSHGYIPLFV SSNYSEIAAFPASRTSFPTDPNHNFVPLYIIDNSTGTAAFPASRTSFPTDPNHNFVPL YIIDNLTGTAAFPASRTSFPSDPNHNFVPLYIVDNSTGTASFPASRTNYPSDSSHKYI PLFMPSNYDENAASPASRTSFPANTKHGFIPLYVVSNYHETASLPASRTQYPVEQNHG FIPLYQKVQYYNITASLPASRTGQPVESKHMFIPIFESYNRTEGSSLPVSRVGAIIDT KHGYIPIFQRTTNNDTASFPASRTNYPSDPNHRYIPLFKVMDNNETASLPASRTSLPT DPNHGYVPILEKIYYNGTVALPISRVSVPMDTKHNYIPAFTNLEYSGGNASFPASRTQ YPTDPNHRFIPFFVMVNSTETAALPASRTNFPTNKDHGFVPMFEPLPYEGRNASLPAS RTLFKLDSSHGFIPLFEPLPQEGRNASFPASRTHYTVDPNHGYIPLFVSSNYSEIAAF PASRTSFPTDPNHNFVPLYIIDNSTGTAAFPASRTSFPTDPNHNFVPLYIIDNSTGTA AFPASRTSFPSDPNHNFIPLYYVNNSTGTASFPASRTSFPADEDHGFIPIFDTLPQEG RNASFPASRTHYPTDPNHNFVPLFTKLYLYNDSAALPASRTGQPTERNHGFVPIFESK EINETLSFPASRVKVPLDPSHSYIPFVPERVDLEHAAKPATRTGTPAPGAPAGNLPYT ILVSIPKAALPVSRTDILSENHSFVPLVKEKEDIGPRAAFPATHTSVEAPGPGSQPRG IEWEHFTEVLKLDSEGNPYVDTKHGRIYGFGDLSAILSQLDQAEAIENGPQQIIEDH cubi_03406 MKKIFSLFLFVFAICQKGLGVGGNNSLPDQTRARINLMIQRQIR DFNVYIPSKVVRDISKILNDSISLSLEKINTPVLEYCNQKIEEVLETYKETLPDHFKK DLCYNVIVKHLQLVVNRQHFAENIYKVSSENICESLNTSSPFNKFKQNECSPSLEKSK IDDKSETDSNHKNRIVVTPELAYLISDLIPLEPTHEDCVVAFMRVLSLPFGIEKENVD KLCKSVLEMGKTQYFYRGDLVPNYKESSNYPHKIFPTSKLTPFKRAPPFVEITHPHGI GGIMPSSEKVSETKKSIQIFGKFVTLPFFNSPVQSITHSEKHLTYSQDHSKLSKHEIP KLNTREEIIFNDDIFSDLIFPCKTLNYEAKILASILFYYANLLKMPITTEDACIVCMR SVLVPAISVPKIESKEKKRGITPSEVINPFIPTCVNALMTMSMFSPANKLTLEYIPLL KTSENASKAFGKLCSKTMNKYIQIFGMIGIGNHGISCNPAQILLINSLQTSIWSRFNA LFASNELAQVACRVNLDSHASQASGECINLMKKITLGMSYDDIKAVCQSTIKYLQPQP LVLNPILYTISSIFQSVTELVTYNNSESLHISNFIKLSKKILYFSRPKMDLQEYINSC KALVESNINIKLKSKETYKICKSTSKVILNVVAHYHVPLELQVFHKKQFNPRVVFIKK TIENYRDLVKREFSIHSSQ cubi_03407 MVISNAIEEKTSRIKLGKTVLVAPLRLELLENDNYYDRNQSLST TNLSFNELSHRGISSAESLEYQDKYVTPPVLTDDESDIERAKIVEEAALVAEKLMSSQ LSKITYESELRTMETRFPTRVNSPRYYSGKKEVMDRANRMLMNKGSNCSIPGFLQDKF GWFNCVENFFSACNDEQIIEENESNGVIEASPYFSPKKRSSMDKNMIILEGLKALNKK EEKIIHSKVDGRKLVKKISNTDDQMKDEGNGAKTQSSKRLVNSDKDSTVIKEKTNNNS VDTKKTQVKELNKSSKPKNEISKYKEVISESNSYDIENDVFYLDDGAGYITFNASKLD LSEMEKRFKPQKVGNKSEKNSNKMKSSNSSPKNSDAENQKVSTSSIVNMNSETVKKSP TRCKAHLSKNKQTNSMVSETVQNQISSSSSKKECKKKVKAQKIENYLEFGGAKGENSY FNSEQNILNYSTNCIRGRMDYISTDVFV cubi_03408 MNFRLISVIVALLAIVQLGVSTSVREVLEGFTKYFYNLSYDRKQ SIVSEAVQELQNVFPQGIDTISLPESVDLETCKATLQKAIKQNHEWYLLAMGQFTQLK FYNSFLNEKVSEDLQEAISKASLGNNGQGSSDSIVVNGVLYNSQQLSQVLTDAQALRE ENVQLRNALSSVRDDLEKSATTIEELKLEAQSSLQEAVRAKTDISKFEKKLSICRRNE YNLKKIVYESKRGKLSKFKSAISRPFRSYRLKKALGKEGYKAHKQKKQADSKKRKEMV KKIKKEMKQSKSEKKKKLLNKSIKPGEDTPIPSSIESSLKSENIGKSEASNSYSMENS ENSNSHGGPFSDSEGSKHEKSDSSLGSIFEGSS cubi_03409 MTANIWKELGSFSTILGYIPYNILLASENVQNEKDLSSQNRREK FGIRNLLPTFGHVRDYFNVNKNKNGNKDQSVSNTQKASSEMSNSKLTRNDLEQRLANK IQELRQNNVNSKRNKQNSKKTTVKTSQKGIEKTLKYKPDENQQLESSLEFGRIVDSNL STPSTADYLQKKESKIKKINDALNSLKKEEELINSLPEEEKKIKIKEIAMEKAMKKAQ GIKVKDNKSKLIKTKKTILAKKRKSRQKWAEISKNQKK cubi_03410 MKTERLSNDMKDLNIPPKDLRFKTDDVTKTKGSDFEDYFLKREL LMGIYEKGFERPSPIQEESIPVALAGKDILARAKNGTGKTAAFVIPLLEKINTKKNII QGLILVPTRELALQTSSIVKQLGKHINVQCMVSTGGTSLRDDILRLNNPVHVLVGTPG RILDLSNKKVCNLGGCFMFIMDEADKLLSPEFQPIIEELIEFLPKERQILLYSATFPV TVKGFKDKYLANAHEINLMDELTLKGVTQYYAFVEEKQKLHCLNTLFSKLQINQAIIF CNSVTRVELLAKKITELGSSCFYIHARMLQSHRNRVFHDFRNGACRCLVSSDLITRGI DIQSVNVVINFDFPKYSETYLHRIGRSGRFGHLGLAINLITYEDRYNLYRIEKELATE ISPIPAQIDSALYT cubi_03411 MKLLKSKNSGNGFGYVKLKIESEDDIWELYNLILSGDSVRSITY RKTHKENTSGAVSVKVHKLVMTIIVKNTDYGGQTLRVSGFNAIDNEYVKMGQHHTMEL RVGSELILYKRSWDWLARTRLEESCKKKIGAGDDILILLIGNGVANMFLVSSQKTVNL FTVNHNITRGQNNNHPYKESKNKFFQMITQNLISHLNFEDLDNIILGGPGFYKNDFFK YLMDSDNQKNKDLTGILKNKRHVFIIASTSSVFQSSIDEILLNKDFQEKLKDTKAFQQ VKLIQHFQYLLATNPDLVCYGLKNTENALESNAIETLMVSDTLIRSDSLKIRSKLANI TAMNNNLGGKTCVFSSTHNSGKTLENMSGIAAILRFPVDHLQDYEADDSNQGWETGDE RGKDGQDEDFDWFKKEKDEIKKHQQSQQDDNCIEKQLQQVSMGDDHFLTNLKNDKEIN DISSDN cubi_03412 MVKSYTNHSKTSRQPKRPFEKERLDAEMKLIGEYGLKNKREVWR VQYTLAKIRAAARHLLTLDEKDPIRVFQDLLLTLQLNIVGEALLRRMERLGLLSEDER KLDYVLGLTVSKFLERRLQTRVFKLGLAKSIHHARVLIRQNHIRVGRQIVDVPSFMVR LDSEKHIDFALTSPFGGGRPGRVRRRTLKNQSGGGEDEE cubi_03413 MNNANLGAGGFVPSGYSQHPVSVGGGIEQHHPGQFKMPQGNSAG GTSEQLVSADHSSLPHLSLLPQHHLSGTGTNAGGAGSGAGGVPEYGGTPSQMRNRCKL GVFSHRVCEKVSAIKVASYHEMTEHLINMELGDGPGRSKNPEAKNIRRRVYDVLNVLL AIGVVRRSVGVGKNLIWAGLNPDRPLIDQLVERSRMDHTGRLSSGPDELRSEEQARAE VETAKIAYLTAFEAQVNALQETVRQRISTCNDKDVINVADYIGLNQLLQPVQHPHLNA PSANNNANNPISDELKN cubi_03414 MKIHLTSDEINLLVYRYLIENGFVHTAFSFNSEANVPKNPFFTT QMERVPPNALVGFLQKALLYIYLEYHTSDETGEEIRCEEPFSIFKRHECWCRPLEQIG SNTEITEAQPSCSNLESTGGVEENMGVDASSSIADKNTTAVEDLVDTTVQPPTKKSRK SRSISSNLALDSVVQTNTLEVQHTLDETLMPNKDVPESFQDNLIKTGSTVASKYGSAV KARTDGEAKDNQNLPVSIKGEDPNDIESKQIKSEKSSITMVDDSSEVAMEEKNIGSDK VNITDINTNINGDCLNTVDRQTAGSVTLPLSDKFLSDIPHFKLFRKGDCSNGIREVQF SKRQEFPNKLVITWEEGCPELWEIDPNSQKRDLESSIMLPVSEGGNMIAGTVVTMSND YIVIGYENGRVTLFSYSGKELTTIRSSHDQESPIVSLKLSGSSEYLAIGDATGNVMVL RISFEESLGCYKTQIINEHQHNSAIFGLCWCSNDSFLLSGCLDRQITVLDIRNNMVKS FSQEGPILSINQVSQESPFVTCMLEGVSTIPVLKISRDDDDINLQCTAKISIDNDHLG LKEDGQNTQTSSQINFIESNIMDGEVFHIVATPYNIYLFDKLCNLLSCQKITNKESEP KEIVSICVDNKSKTILVGINDGSVTLLELSSLDIKSKFLEKNSLMKPISSTGVGLVSI NSSGNLIFVGGPNLPAIYIFGY cubi_03415 MDLNPSLANEDFYEKYPTYSSLVSSIEIPKGLKAFEVVCPKRKF TREGEATKNNYELSESILSTVTTLGENDLYSDFNCLICFSILQRTMVVKDCLHRFCGE CIEKCVRIGLRECPQCRLHIASRRSLRNDSIMDTLTFRLFPEANEFEKKHQEILISNN IKQFKNILDYQKEPVDSKSEDQEPITDSDSKDFEIIGVLLKPIEGNAEQFRLKSNILT FNLRLPIHVKVNYLKLFLLGKLRKERPNSKLEVQFQSVENYKNPEEILNLFEKDTSIG ELAKKDQGKQEYKDIISILFKIIRTD cubi_03416 MNDVKKKEMKSMEYSDSMAGSPNDFCRTDLDGIECNHESPELGV EIGTSGDLVNSRTCEGLEIKAGSERGSSDSVESQQTRSIAPYNRFLILLKDKTCSEIV FLIAKFVQQYPRLDEGLLDLIYGESREKFDLEKIINGNEDIGTKALANMLHSFVDFCG NLLKETKIFEKVGKEEEERIFIIEGLEKLVTTKLYNVLFDAVSFENDDVDHYLFRKLK VLKTFVKLDHFDISKQYVETLQSDSLWLDICKNELYKFIRVKSPKDKVVLIVNICKIL LSYMNNINKKSFEKDPNSREGGIPSPPAADDLLPLLIFCIIQSNPTKIKTHIEFISLF RNSILLVSEDLYFFTHFFSAVTFLEKLDGRQIQLNIETTQFEEQFKLSEKKLFGNNLM HLLSPYSDSRVNYQEKQNKFEEITNQISSLKFNFQNYTSSDELRIADIPKLFQEYKQL LGLFKELKQNTE cubi_03417 MELDDVNNSNVKFDSNKPIYRLDYKKSSDDSANINDGNNLLLTS YEVGGIVPTNIRLIFNNKPYIYSENYVEDTNESHNNQNSLYSTKIHSAESTIPQDFNL NEGCFLSQVQIPILNNDERFSAYYMIQIICSDEFLYNSEYLNNNARINTQENIKLLNN ESQTFGNNRCCPKKVTFSKSLPWVIFQNDKQFSIGKSSIRKTRASIALSEQYYHIPVP KLFFRQPISQMAKSTRRILRKPCEIELLVNVEPINPYFIEQYLIKSRKIYSANPKLVT DLLLSDINNSLIDKCVETIIQVKMNRIIDIINFREKLRLNLKYIHNATKSRYLVNLLA KLNFDPEKQSHNDLLNTLWRCYFSKETNIKWELLGFQRCDQPYSDFRGVGILALVCLL YFSLAHPFESKLIHRESSNSKYWYSFAVTGINITSWLRDWLNQRDHRIIQFFYKTEND VNMLVIFCELFSFIFLKFHSFWMERKPKNILEFPIIAQIFKQKIQFPLSDKI cubi_03418 MIGKVKRKSDVKDYETPRRHSIGKRMESSEIRTPIILSSRTKSI EKPAPKSNYENNAVKNEHTGVGRVIKYLHARDACMQNDVSKVMQTCELKKYAAISDGC GKLIKLFFRGFTYFVFILFILLSILSLNTLIENKYSKLVEISELITSVTIPSVEDIYY FSKESKESVKEAFNYLSRNKLGSVKEKKKAEKRKNQQISVLSKNQRDYSKLGFQNSSS FNLDHATLSVEQHHSINNPNENYETPWRKELIENSKNSSNYKSGIQGKKQVKNYSRNY NQQQETSNQINNQFHLTKLVEIILDQNLLENYLDGENLSILELLCYKNFSFQEGILGM YYREKDEPLSFQKYFGEFEKKKNSELINKLENKRIFDLLGFEKQYELYISSNNNYFMN SIKNQTHLGNIFRVSRKVIVSSGYGNEEIRDFCQLKNNWICTKYEMLVFKLLLEYYKG TGDTFISFFLWQYKRKIVEKNLLDNIPFFTKNQIMVVNHLFPGLFESFQNLTNSIYNK IDEFEKRRKYNKSNQSRYYFENITEEEINWIISLVLSHSLQDKDGNIAFVPYFNIIPH NSQKFSDCEKGQTILHHPNELSFDWKFSQNLTHRCNHNHSNHLKKDYTMIHSFYGHLN NIKSVVQYGKLLDNNEYSTVWFIRKDKKDINGFKILFGTSNILNSMDSQAYRDYLPTW LLDLSETNNSKIRVNMENQFVHYISKTKKIPIIKNKHESKLKYIDCNEYIFYNGVGLG FGTVQFLKSSSVGGINGSVYVCLREIIFRNYPEKKEKLKTFTSSNINTLASIPMEKKE EILANIMHSNCGKRYTQLEDVKNLLIHQLNQKLPKELKEEDFNKFPSQTKQEIRINIK LLYSTIEELKTMKECVSYFERLIQIISRNRSV cubi_03419 MELNDVGRLLMSMTKKVKKTASQIVHPLTQLEKWLKEATSNTNW GCSSTIMNEIARSMTDYHDYVVVQKCIGECLSEKPTKWRKIFKTLVLVEYLLKNGIDR FVDDFKEYMYKVRHLQDFCYTEEGKDKGVGIREKSKYILNLMNDPVLLKTERKKAKDN RGKYIGINGKTGIIGTGGRVGGGGGGGGLISNISSNSARFNNSSISSLSSVTTSVYGS KMEELYDPYCMNYSGRDGTKDKDYSPSQCGFNIRDGLDVVKNAGISCRETTSFSDTSL KLPGPPSYSVGTYRGSGVKRTPIGGGIRRIEVTREVARTGALSGVSGANYGAIGIPDE SILNAAQKLAKDGVGEGNMFIVENEGKGGDEEEQELDDLQLSDWSTFVAAESVKEETE EISQTQFKDQKNITNNKGDERPSLNPFESKNFVTGPHLEDIERRKKIINESIGDLLDF GSWNGGTGNGDRASFNKTPINEEYNSYNDRMQYNDYSNFQQQENNGITTGNNNLHIPY GF cubi_03420 MDNFSVEEIDFVEIIPIVKKYEWGKKEENALTKVFYRNLHEIKL LELNHFSSTLSRENGNTSNSSEFGSKEITETKKKETFSVDKKIGLLEANQQEDHEQPY AELWYGSHISSPSKVSFNGNILENMTLDDIISSIFSRKNSSFVSEYNQKNQNPNSLDL TINPTSVTTYTGKSTHHNKIPFLLKILSISKPLSLQVHPDKTLAKELHSSFPSIYSDD NHKPEIAIALSEFETLCGFKDTLEILSLLEGFPEILPIFGISLEFIFETINSYNGDKL PSTDQNQDPLFTIKKELFINMLNSKPETINDALSRLVARLTKNKSHLSSNSNTNTTTV ASIESLILRLHYHFPLDIGIISPLLLNYYKLNPGDAIFIGAGTIHSYISGECLECMAN SDNVIRCGLTPKLKDIPNLIKAVNFQSNNSKLILNHIAFSSLPILGGRDLPFIIDYFV DSIKEYHVQILSLDFQSSNSIAFSTPTTSPYSLLLCLSGNCTISFGKERTNNHSLSKV FQGKAIFVNFNTEIYFHPLSYDEKSSESKDDVKFALVTLPPPLSSL cubi_03421 MESETDFIQNENLLENGENIKNIPDFKIFELDKKEETLKKAVAE IQILRDQANLTQNKLYRNIWSKFLGKFGVWLRKHDDSVFLECFLSLSSKFNTLTAGLW LLEGFLSLKDRELHEASKKQILEILRSIYLKHSNSLYSLKRREYNLIVMLFSEFFELH SPNTWKEWVLVELFGMNSSQKLNFQQKLIFDIVLYYIENIHSDKTLTNELIMGIVNSF SSIVASDVDSYYKYSLGSMIKTLPNHDQELKPFISALLRQLKRYSSMPWNQINLLKVF IHPNIVGHFQMEDVLKDIISILSETISTSIVSIISNNSKADQNPEDIQIIQNSLNICF QLIPSHFNLQEWLEETIIAISSSKTTDQSKVSLLLIVGSVHESLSRHRLEGNPVNYNL DNKTQDLLLSLIDIKLPMISSQSSDHFKSLTVHICSLSYVLLNGSKNHPFSEKFFGKV QSLIKDPSINETCKLLIISSFTNSIILLKELGITKDTDLFDKSKVEQIIISNSNISGI FGSTLNKTINTKRLSMIVLGQIISIKDILGETNIFKSLGIVPNIKTSPVLFVKLETFL NGNYGFFPYNINNFEVNILLVLMEILFNENSNLNFNIDFQKSQEESMDLKSYILTLSN PNKIQSANYLISFLIQMSRVLSFLPEQEDLNSTIFRYSAVNMEAFQASLMFPGLKRPV MNKLCNFDCPNKQKNLFSLSNLSGISSFFNEKSFCQNLILAFGTILSSVNSLINKNKE EGKLEDVIYNEFMLRRIDLFKVWVSLGNLEIFSKRDPSIIGYPYYLVFLLCSYHPLLY SRSNVLIMESKGVLNKKNALLHQNIIKNLVRNWDKSEEIKETMNKKLIELYKDLTYLP INDTSGFRSAGMNLLNIITFTNDSKLTLSLIEKLKVQFKNSLEFLNSLPTEFGDFIIS DRNELFEFDINVTGSQGSDQGFIQDLQSNISTKLKSFTSNEQKFCEFSEIYSLLTNSS CWIDKILSNLENNSFINVSSKESNDFPLSRNKDLVSDNNTKNLGLNTVPSTIKANTSA SANTTTTSAFALAKLRKSQNDNKSKTGKTTKTPALHANNTNARIHASSNTGSNLSTCN SNLGVNNSSGGNNLTKGEILKQKLLEQNNLRRDVDKIISNIKNEVEVIIGVLMNWIST AGEDNEAISIMDLELSEVLYSVCSKMLEFKPLRQYGLDVLERLFKRLILENNRLGRSM VDYLSKQSDESRNLCLQEILDSINFKRPSSAQVYLKNSSCASILVYIISRVLYSNCKD RGTNSDKQIQIVSQLIEYLSGSMKHSGLKISMIQLSNMLYLYVLALGDLVDLEQYLHP LRIVLSELRPVNIVEVDLVSSLLVFANPQVRLLVLESLKRALEDSKIEISYFTFMNLS IAREIQVFNSIPNNGSLLEDLSLISNISEGLIERYYDLTKGKDGLNLKDQNRRLVDDL IEIQMVPVISTLQQTNFSKSILRVSTGNSCSETVEKVIESSKKVFNNFEKRKIFSSKD WPNTLTRSLISGMVPSTIRHFESIMPNLIDNDLVKIVSRIQARHALKGLVLILSDLVV KLDGPDSSFIDISIRFILTQVVDSVVFEDYISEEERSLSEEANENMKSKEKKTVKNLV GNFGNKQDSPEKIKIQGYDLSSKEDLQKVVIDFFVSLSSREDVQYLSSNILIILRDLS SQYKPRINPKEFHNCLAFAIGSIASCCSPSDPVVYKVTCKIMNELLSGSLVRKNIKNV VLDSNSKLQLPVLTDIPQEYSRILPRLFKMLYSSQENEQELRISRFEDLDKNKYLEYN IDSEDSFKGERLSVYELYSISICKALYSENPGERIGAAHIFGSLSKGISVRRLRDFGI LEAMESALKFQDGQKSSEMNSLEGLLLCIGSISLYLEYIIEPYTIQFLKSIMHLFSGN DQRIRFYSEKSAEIIIKNLSRYGARLILPIITEGIEEKQWRIKLTSLQLLGIMALNSP HQLSSYLPKAIQTIYQTTSDSHPKVSDAARETLFKMASLIKNPEVNFISQDLITSLID PTELNFKKALLSLKSVTFVHAIDITTLSLIFPVLLKTIQERGGTELKKDAIQILTSLL LLLADKTDVDPFLPLIENSIHNTLTDPIPEIRLLTAKLCKALVSVTGQEKASSLLSWL FKTLSMEVGQTLKSGVSASLAEVLSAFGIEKFSKILPFIISQIQKNVDTNLSPSNEAQ EHLKNQENQDDALSSDSAVESVSTSVAAAASVREGYIGLFVYLPQSFGDELGPLMPKI LPVLLSKLGDESDSVREVALKACKALVVQFGGDHAAYILQPLEEGLGNDSWRVRLSSC SLLGTLLNRLIKGQLDSTGRSLSTNSSALGDAGFSMQRRSYILAAIYMARSDENTSVK NSATNLWKSLVQNTPQTLKDILTILIRRIINALSISSTGNIHYIAVQSLKDLLDKFGS ALYNKLLPIFYQNLGGSLNEDGTISLNSNSAEGVHNTAENVLPNRSVRIGSCIGVLEI LKTIKKSDLKGLISSFLPIIKKGLCDQDLTVRTYSVECLDIFVAENTEILFSIINWLM DEILTNDNLRDNNKKDQDEDDPKISTIELIIQLSHSGIISNILPRVISDPMTINKIRI IKSMSKIPSQNRLRSSLFEIIPKLIETSIDFDGKYDDLVRNSSKEAMMSIVQSLEGQS METFATILLDIIRENTPSTNLSISGRYQIENLKIKDFNELFKEKETSIRIKAIDFLTL SLFPSSPIYDTSFSILIKYLLPLAMCDISEQVRISTSKAFHLFSVSVPRKYIIQVCNV MKESIGALVHDPIDNQKHFTENKLIGYSWKISDGKVVHESMDEILTRSKDDQLLTSSN KLIDSISAIYIQGISQGSPDSKEECAIGLREVIQLTNNECLRPITVKLVGPLIRSISD RTTSSLVRGALLSNLVIFLESCGLQLRPLLPQIQTILVKFLLDPNENVRKQCSHGIGF LSKLLGNRAEVLLSDLCSLASKQSQSGESMNALLSSINLSLLTNDKLEDNRTKPVISE VLRNKLIGMALFYMEESKDLVIKDISGQILSSILMNYCQEEEIKDILLPLLIENQSGS QLNQDKNILNIFNSCCEFNGWNKIYSSLKNLDKDITFNLSEDDSNCDNNGDDYSNSNN NNNIYPNENIKGNELKENVDRYLSIKNKEYIIGGRRIPNEILNSQSWWIYKKIVVILY KSLVSDYYKDQGTSGYSQLRKLSLNLLFNLTKIAVSNNDIFSASIILQILPPMFEKNV LTMFPNFSDIHMILEIQRICETIMVSEASRELFQQEIYSNLTCYSFGNYIQQSDIDFK YETSGRITSQDILFSIISLPSIQSLSSKFPAIKLKAEQFLISLIKLIIHLRDNKNQDQ NNDNYIYDEESSQFELIISEIINVIEKIYPSHLNQQKANFIKEYSKRVLSKVNN cubi_03422 MDLTTKLSRFVINYDPSSNDVEPLPLACDSQQVVLKPFRDYSSD LKLKLDHDKRPIWVFPDGLIIIETFHQSSKAACEFLVTISEPLSRPELIHEYQLTIFS LYAAVSLGITVDSIIETLGKFSKNEIPDALVNAIRGHCKLFGKLKIVLLDGRYFVEAT SRQELSYLLSDERIKSARIIKKVNSSSSAAPSTTGTNAGNRPEEDDINKSDFNVAQAP SLNPNDLAFKISENPNKNKDNNENTASSLSLTDQTSNNNSNSNSNNMIYSFEISGDKV DIVTMASFVTLHRPLLSEYDFRSDSKNPNLDVSLRHTTQIRYYQEQALRMMFSNGRAR SGIIVLPCGAGKTLTGITAACTMRKSVLILTTSAVAVSQWKFQFEQYTTVDPNKVHCL TSSNKEPLGPTSEAVVLVTTYTMMAFTGKRSTSSSQIISQIQEREWGLLIFDEVQFAP APAFRRINGIVKAHCKLGLTATLVREDDLIQDLQWLIGPKLYEANWMELQDRGYLARA LCSEVWCPMTASYYREYLDCSHAKKRKLWVCNPNKLRVCEFLIRWHEQRGDKILVFSD SLFALINIAVALKKPFVCGSVDTLERIKILQQFKENPNFNTIFLSKVGDNAIDIPLAN VVIQISFNFASRRQEAQRLGRILRPKPYSGMKSGKVINKTSENTDISEQPYNAFFYSL LSKDTEEMEYADKRQQFIIDQGYSYRVMTMDSFPLENENLQYSDVETQEKMLELIKQS DDSLDDDELGVNIDEIGKISNNSDILSSTITKVSNIDQISSTASTSFSLNLSKNIGKD SLENPNQTIRRPALSLKKNTSKAKINEMHSLFRQFHKNR cubi_03423 MSGYAVNRYTIESRKVHKSDQRGHLTLKYRRDNTGTKKAESVIK VEREIENSSLSEPVDNIINDSLSSEVNNNNLNIKKGAESDGEFELELEDEGEENELDD DEDLLRELEKIKKERAEKEKEKKNNDIKLMMQNNPLLEEYHENGRDEGDSDKEEYILK KRWTEDTVFRNQNRVSQPKKRFINDILHSDQHRKFMKKYIL cubi_03424 MKGSEIGFIEDEKNEIVIIIDSQDQEDFAVVEEIVNEENILIEG LLWDDKSILNQIDQINQITSKNFESIKEEEISVEKMDEEFEYEELKYEIINNLEGIEL ENEIVNEEYLKAIRENENENKNENKNEHENEHEHEKEKEKENEKEQCFDIANTKDLTI CLPEFLKMTEPKVDVGAENHLIDYVLNKNLLKVLFLKYQASNQTQGLRAINYLNKVQN IVKDHFQKSRKYNSMVILDLQKKSLKSNSKIKSIMMNQMDYLLKYEKNSKKYLQLESL TIQKEVEENNSLTKDVISVLRPSTFNQTFKKNHLNCNTEKSLLYIEIKDIEQLEADYS TENQIFEVIEETDGEFCNSLESVNPKLEGENFELNANLESGSHEHLEVEENRMIKVLE SESGFELDLNIELELEPKSESEIRTKSGLESVLKLEELNDEFEVGSSNSILNVECKEN PEFLICKGFEKTKDELESLGCRITTIEISEPKLENQSMEEEIKLKEMYSNQSLVDSNS IWGQNGSVEKKKDTPKSIEEGNDDILVELIVSQDENLSENKKDDQTENLTKFESIENF EISRKNSQMLPNLVGIMGFEESNDYSEIEKKQSHIEAENIKTERIATTNQENINADNF QTDQNMQFKMDISETKQDDREKLSKSNHEKDIFKLIQGFQSSKESDCSPFREKSETHH NKKPNRSSPYYCSHGKNNKSFGVFDQLISLAKNRNIARTVSKDLSRESIRAIEIIKKS RKPK cubi_03425 MAGADEFMYGDNTCRFSKTPQNILIIKRPRSPNSTILAVELAIT LTKVYDAVVYCEDEAIHDMKAINPDLELNSISQTQVDLGEIIDLAISLGGDGTLLWLS HLFQTSVPPVISIAMGSLGYMSLFHYSKANDIVDRIMRKQTFAVSLRSRLTLYVPQEN GDVLQTSCLNECVFERGNRHCLASIDVYCSGSYFTRVFADGLILATPSGSTAYSMSAG GSIVHPKVSGILFTPICPHTLSFRPVILPGSTELLIHVPESSRNGVQVALDGRRVAEL KIGQFAAVTMCSYPLPLVICPQIFDFNECLTPEFELKSKRRTIDSTYTYQDILQSIRE VKTMNSLGTDEHCIYSNENQIFVCPCCRKPDNCYCGIEKDANIPQEDYVKKKDPVYKE DYWLDSLNNYLDWNSQRTAQIPIDLQETVSGSKYSEFQSSLRKKSAGSSPRNLNTDRK IAPPETLKFLEQVRVIWKHDNEFKHECLPDFNNSVTCLNSKLRYSSPSAQKTTENTIS PTTSGDKLENTVSIHLNADFDSSNWSQKSNNTDSTVKSNKSKTPFFTPVKTPSVIILD RKL cubi_03426 MKLLIDKQLETSVTGVSFHPSWKYVSLVCDKRSIQIYQLNNLHP SNFLIKLSKHRNQIVSIDWSLLPVKYSKDSSYSQLVSAGEDRLVIVWLLKAEAEKDGF PEKLRVVFSAVNCIQSDCYPIFCRFSPFREFCSFAVSTTSGELFFFTSNRSPSLGSEK NILSETGLKDLYIFEQLKIKLSELPLSCLAWSNNGKFIACGGLENKGIILGVSIESSN LPDENLNGNFSELLSLQSLLSFESQNAILACDISPEGNQIVFTDKDSFLYFTQVDKKL EFLNSSLKWNGLPLINLKFVHEKLLAAVGHDCIPVLFMQEKSCMWIHATQLNGAILPN YLDPVWQMNFDPLKHYTQNSVHKRPIMKVFIPNSSTIICYGFDGKYSIWELDSLE cubi_03427 MKKLVDSRLKLLLEECREKRVRCMFVIVGDNSRYQICNLYYILS NIHSKKPSILWCYKKELGFSSHRKVRQKQRNKKAKQGKLDEQLEDPFELFVTNAEIRY CYYKDSHSILGRTFGMCILQDFEAITPNILCRTIETVSGGGVICITLQTMSSLRNLYE TVMDFHGKLKCNEDLYSGSIISRFIPRFILSLSSCGNCVVVDDELNILPISSHILEMK TFKKKEEDYIGRKGSENSNSIRNHALLYKKTPEHLQLEENVKDTEIGKVISNCITFDQ AQTVLRMTEVIIQKNMNAIISLTAGRGRGKSAALGLSLACAVTQGYSNIFITAPSAEN VLTVFEFIEIGLQSLGYLEHKHFELVRSKTIDSRVGGDFSHSVSRLIRVNIFKEHRQT IQYIKPEDFHLVSQAEIVVMDEAAAIPLPIVKKFLGNHLFIFSSTINGYEGTGRALSL KLINDLKKKSSNNNCNLSNSSSTTNQSCFSGNFFSELSLEEPIRYGRNDPIESWLHTL LCLDSTNPPQLVGEEISGDIGSPSKSLSKTLAPPSLCTLYHVDRDALFSYHSASEKFL HNLMSLFVSSHYKNTPNDLMLLSDAPTHRIFVLLPPFDPNTKSIPPILVAIQVAVEGS ITSEHIKASLSRGLKPSGDLIPWTLCNHLARDDFGKLTGIRIVRIATHPSAQRMGYGT YAIKQLITKLENLRENNQNSYSDPTQYIQMGDLPKSQVKIRKEIIPSIRSESGSSDLR SEILEREKEIPPLLEPVEDIQYILKENERIDYIGTAFGITSELLKFWSKLGFLPVYIR QQVSEVTGEHSVILLKSIGDCWLSPLVQDFSSRTIQYVSSPQFKQMPVVLALSLSMSY KNLINKHNSVPSESHSSRDICQLKPLLNESNLHCFLTDNDCTRLTRYARQMADYSSIS DLIPTISQLYFENRLPNISISFLQSAVLFGLGSQRKSIDEISLELSTPSTQLLALFNK AIYKINSHILNLYLAVNSKEDGESESTSCSSSQQTKSELDLGFSLGHSKKNEIRDSIK TSNCKKSLESNSNKNKKRKHDSNPSA cubi_03428 MTTISSAFPPELKNPARCSRVHDIYQNWKQESPLKNPIIDFRRV IPEDVTQLEELHKELFPIIYDKQFYEGIASGITHGWVAVWRLRESSESSYFESDELII GFVTTSQDCRIIKDNDYKHVIKSIPDQFLLQELREKIQDESFEISPFPFGANVYKYLV YVLTMGVIEEFRFLGIGKQLLNIVIGYYQKFHPTVNAIFLHVVDYNSSAINLYKRLKF EEILHWDNFYKILDGFYGSYLFSYYYDRSDSRNMFDSYINLGSNYPVNQGKKYGIFNY INRFISNSSKLLKN cubi_03429 MNQIKFESLNISKEELRISNCLPAGQSFSWRKVSNSSFVGILGH RVFELKELENDTLFRCLHDGCSQAIKDEQLDNKEIENIPLKKNRVIQDDEKVPVSNLD NSLLKKEGLGSISTFYCCKSTPNEHIRHYLNLDFNWENESKIAELENSIHSLSEAYIN WNKADSCISSSPKGIRLLNIDPIEALFVGVITANNNISRITSIVKNIRRNFGTFLCNM SDSEIVSSDEIESKIDKDYQYFSFPSSLQILNNASEEILKEQCGVGYRAKSIILISKE LNQMFKNDGLFVDYIKSLDYSKATLFLQRFHGIGQKVADFVLLSGFGFSAAVPVDTHI LKYICKHMKCLNNSSSLSKNKYVMGAQFFRERFRLLPGWAQLVLFSSSVLK cubi_03430 MSDDILSSLFDPPLTPSSASRSNSTSFLYDMALMSDNSVENDKI IEFSNTIIEKSSEIVESTIRNETITPEIQNIIASVHLQCELDLRMIAISARNAEYNPK KVNAVVMRLRDPKCTGLLFRSGRLMITGARLENDARLGGKKMAKICQKSGFPKVKFTN FKMENIIATADCKFPIRLEGLAYDHRDFCNYEPELFPGLVYRYHPDNSPTKAVLLLFV SGKVIVTGCKNYQEICNVFDNIYPVLCQYRK cubi_03431 MNEKRIKLNVGGMFYETTNTTLLSVNGDSNYFSAYLSRTEKNSD KNLKEEIIELFIDRNGFLFQYVLDYLRTGTIVAVPDKDHIIRGLLVEADFYLLESLSS SLSNKLQEQNMNKLNSNINFMVSQSQSQSQSQSQIFSQSQSQSMMSDISESSVPMINN ISKKEHSSNSYIINNLYSCSYNAGNYMLQESVAEVENNYLRFEFSLDEDF cubi_03432 MSRKRNLNNLHSDIEEVEDVEHGDFIGIKSKNLKNIISFTNIEQ KDLKLGTLLFGVIDDVTEKELRISFPGSNTAILSVENTLEESEAISFELLENLRKKSL KDRFSVGQFVNGAVISNNKIKNNVTLKPSILNAGLNSNSKCLDVFGYVISALIISKEN HGFNLYTGIQGLKTVFMKVEESRSKDYQLGQILPVNVNKYFKEKSLLVCTPIYEETND SKIGKKEILSVHEVKPGLIVECLIHAYGNDNQKEKRSYMQSPNKKKKISDKIGVQPNT KVLQLKKIDRQYINDQEFESIQDYLQDDHSLQVSFCMGTMLGLIPNEHSIHPLSCFYP QHFESSLNPQVIRKSPKSMFLTARIIAVLSGTENKIILSALPHNINLTKGDQKALNEI PIGSVISPSVLQNKDTAPLINSLSGITLFNSQNQKKSPSKISFLARIADNWVSFFTNQ FTKDYEKLDQTIKTQPFRVISRSRLENSLFVSFDQDLVQEKYFLSSDVLPGQIVKATV TEIHNWGISIRLSKYFSGRIYAEHLFNSTSSGSVLGIKSSFNSSKSMSKEQMNYVKKH YQIGRSYQFKVLRYEYSDNSWNPLLLLTAKHLLINDQLPLVRSIDESLKVGQKITGYI SRICLDSTQKYNSDSNLNSFGNNDYIIIRFYGEAYGSISYKEYLEYHEFDNIEGISKK PSIGEIVTVQIKSIDLTNKSLKLTLRAENDNTENPIMNSNTPLNRQFLPQLKNCKYNF YEKLFDQKNNNSKGFTLLAVTTQGLLFSQSLNDLLYVSKFSISDSKENSEKIYNLLKE VYHNSESVSDLNSKISNLNLSPQTLSDSVSRQTLEMDLVGNSGSNTKFESTSVTLNLN VKIAYLKHSILNREVFIKNFKNLSNNTLCLGYINHIDHYGLLVSILCSEPLTGIVPRH LISSKVFFDGKEQLMRHFSIGETLLLKVVKLDEINKKVTLSLKDLEDGTAFIRKPSVT KIIIKERLTGLEEDDQVIQNLLNKSLGLKKEKNLFSGSSSLIGKVLKLKIQNISESDE VYMGIFDLDKVKKNIYFKLVSREKDKGFSKKLTKGEFVNGLVLGGGYLSPNKYKNYTE TSSDTNYVYYICCEDQIVSSFSGVLEERKKTESIKFNKKYTKFLKTIVDETRSVKVNN DQDIIYKTELFTISILMIEDGDNVKLPVVVLSPNRSEEENGISKDLSCIILGHTSNLP ILLGALYLNKESNFSPKDGSKKEIGLLVNEKVQCKIVEHMKSYQGLIVQLPNKSFGRI SVLELDDNKIDNPLELEKFKVGNKIQGIIIDKLTNKSKKSRRVNLVGGKSSKLKYVIL DFEISSCISRIRDIQDENDQLKEIKKFSQVKIGSILSGYVCNSGKEGVFVRIGRELVG RIKLRELTSGTITPEEASKKFFIGKFIHQMIVVNINKEEKKIDLSISKLDSDDIKLRL SQINDNVETEEGEETEEDEVKEDLNMDVNMEIDEEEDLGTGKKVLPSLGNINEKLSFE DLYIGRVLAGVVKNVSRKYGLFIRLNDLKDNLTAFCKLSECLDGKSNKNKISTVFNIG DNVLCKVLKLDSENRRVWVGIKPSYFSDFKGEDSSPVYLGNNHYEDIDTDETREGEEE IERRGEKKEEKEEEMDGDVEMTIEINDEGLEYRESDQIDFEDVSGEENFRGKSKISVL EDYKAQESEPKLEIKNLNRKQKVQKQLEQEHKIRDEEEKGMRSHLNPSTIDDFERLLV THKDVSSLWIRYMSYYLDLGDLDKARMVAERSLKQISVKEEMERWNIWIAYINMEIVY GKNELSASKGENNSSSLGKDGIPKNVREILDRALMNVTNQKKLYIQIFSSLKKYSKDE EGLALLEEGLKKFQTSRKLWVTYLTCLYESDNQRKARDEIIQKSLKSVSKHKVVRLIT DIGRLEFEYGNINRGRTIFENLLEENSKRMDLWSQYFDILTKICIKSSSKSQSSIDDH IEMVRSIFNSCLEKNFKPRSMKMIFTRWLSFEKQFGSSQSQKHVQDLAISYVNKVESS L cubi_03433 MIIKYKTKLLVLIFTVIWKVGIIENAIYRKKERFVLPKFWNVKE RFTYIRRNNTRSFDPIMEETNLSKVDSKNDNYFYQQDLFTKEEKKNYLENKYQHLETI REDLIRNWAFNIKYGSSKVVKETHFRIIMYFVKKTTGSKIIKKNQVIDEIDKKNILNR QDPYEDPVFFKLDRTEKTDEFFKELSKPIKSIQNCWDIYSTITKNYSYELFEKEFDSF MDSETQDSTSNDEEKDNFQEIPKIEKRKFEYSKEIFEKMGIKDAVKLVESVSNIPKLP KTSKILSKHQDKRKFLLRLTCEFYMKAMKEIEDYLRDEYEQYDFELLQVKSKIYNTWQ KLYLRSPSNGMWYLFKQIIPIRGDLTVFGLSNGDLPLLPTKEKMSIPDIPPDFFEYTT MPKSCSNNLVALSLNNGYRIQLGISKTPKYIQFGKLYRYFEHSLTISYTSLNLCMRTI KLQTFFQAYYYQTVNEYRPDPSSSFYWQTPDWDSLSGYISYIPPGNTTLFPSRNQFVW CLLWTTTEYTRTFARFRVNPIKVNVKDILHIPMKMQKIGTKPDLEACFILIRYFWLAK LIRINKRKYRPLRKDSPHDVIMDYSSIYYICVAILKCRVTFHRSYLKHFKQEDINPAI EKVYDTQDPHGEYNNLNNRFLILSIPKLKSLKKVKVILYGVVSTVLFGALTGITLLNI ITNNQIPSNQIMRSGYHNSLQDLNIINNLRL cubi_03434 MKKLNRSIIALNMVVLVIGGLFNLGISGEFKKCELEMGSNMDKI SCLTEKINTNIYTRRIGNDYENFQLKEEKEESQNKVTESTELIRVNISQDIIQVLRVN WELGNESLKINIHWVLLLLILKVEKYKERPKEMRQLNDYNDCLIFIKNHHKLLLKSNL LREEKIQSTQRACVALFDNLFKIKSKWNNISSEEYLNLSKKITSSQLYVKWMELFKVD PPRSQWLILRKLAINQFDEILRLLKILTKNIKEYKSIENILIEFMTQFNSYYEDYELN EKIKKKEMNKYLKDSNSKSFQDTINMIEIGLKKRNKKKNKRNFLIYLPDEMPDLYVYH HQVNTCVLNLSIMSLFPELRVFFYDIFKRNPTYYLFHRKSITISRKTLLRSNQLCNIM LELTKRLERSYYLLNIKQKKFLHSQDIEEKSNSTFPFIENFNLNNYVLKKGLLKPLPF HSYYYSSPLDTEIQTLFTNWFFNSKFDKQSLIKSLKDYTKQVKKKHKDLFLNAKLDLI KKLISEDEETLKADISKEEKKIKDGLFLLYFHKRANKLKNNTHKMIEHLNLLESISGD GKLIQFIDQEDPSPSVFSLIPLLYLNSKIWSVQKFLYKYPVSVKVSLEKLVDLSETIK IGEKRLGDIKVGDISTCIKVTTIFWKNQAIKLNNRNFRIIDSDNLSELYEKSDNLTEI YMDYATLYLICVKSVSQSLIYYRRILKYYFEDNFDNYWKLNMLLDMNNEDSSNSFQYI NKKNINLHSLPNDYIMPILTKGVYISILSAYLLLTVGAICIQSLITIIF cubi_03435 MFPKDKQEMKILDENLGELDATVKHLENEIFMKKKQFFSQTDLK PVDISQVSEINNQEMIKSPIKETRIVPKSELDNENADSSKDEFQINENLENENFIPEE YIQNDDGVKKAISTNISPKNSVIIDQNTESSFSYSNVCESSTNLKLIHEKEEIPLDLQ SSIPIQLQQPPQIPILQPQPNSQPHINPFMIQPMQMPPHAHGGVQLKPIPIHKQSHDH FHLAPQATAFQPGQSQVHLNPRIQSIPGMIQSQHPFPLHPHHNAPMPPVPSTNMQIPL PVPMQMPIPVPIPMQMSVPVQVPMQVPMQGPMQVPMQAPMQVPLQAPIQIPMKAPMQV PLQAPALGSMQIPNMNSPYLLTDSNMQIQLDPTAPNSVGTPPPNMGPPSHIQTPISSE IAPGFPSGITGSVGVTGSFPAAGSGPNIPNPLGMDSQMLAKYLLTAMAEECLNKKKST KVEDGNLASKNQTYVSESGPSSVSSTLFPAPNIRPLIQPPKVEIDFNSPTCFSQDVFK KKCKRKPKVTSNNSIPKIDGQFLFSDQNPMVNNHGLNPELEANPDQQSQISPPSSPTC FSFKASVPVSILGDNPFSESITKMVTNKVSQCHPHQYMPNSSFNIKSGYNLPIHLQ cubi_03436 MQNKSNLSAKEYVTFGGINNCIVYSVVSQNANVNNKVHEESHNF DFPVYITPISRRLYLEKVYLIIQIFTFGIISIFSHWFPNFYRWINFKASTIIECDSFL VITKESYYAVVKKNKFYSSNKNFSKDEMNLLKFLNILPSQYKFNDLKVQKNSNHNISS SNRASIINCNNNLICHQNSLFFNPDIEITFFTFCGSIYVFDSVLNKFNITIPSLDNNP YSVIRNRFGKILGSLSKIENTDDSPYTDSIEHIQEDSIRLNLQNIFGKCSCDIPIVPI ADLIKNEILHPFFIFQVCAIFIWFRNSYVEYAVLIILITIISLINSVYDTRCSHIKIN EISKLDYKVSIISRNPNTKEPYERIINSSDLLPGDLIILKPGMILPCDAIILTSNIIV NEAALTGESVPVLKSPIPKYSSELFDAEKDHKHIVYSRTVVMGVQGANNIQGIALVLK IGFSTLQGRFLQSMYCDVITNRLHYQNDKLYQDSMKFVKFCFFIGIIGSIITAVTGFT IGIEPIVIILRSFDLFTIVAPPALPATIAAGSTVAIRKLKNKKISCSNPSSVNIAGQV NTVVFDKTGTLTSDGLDAVGCISSIPGSIPYLDGLCTSGSQATHLMSQALATCHTVSY IDNEHSQNFGKKHYQNEGNISEQPLNSRVIVGEPLEMCMFQFSGWILCDDCSNSYYHN LNENYESNLDYLANNNFNNLKFERSRSESSIQDSIQKNTNNNLGNDSLPFQLSNNFEI DNSCVSSENFDFSELPPPRTVRRYFERVEGSHIFGSQDFEELEILKVYEFCSKLRRMT VVCRNPAKPYEFLVFCKGSPEQLKSICQTESIPSNFDECVMNYSRCGMRILGFAVGYI NCSNENPTSFLETLSRNEAEERLNFIGLMVFANKLRPNTTDVISTLKESNINCIMSTG DHIFTSIAVAQECGIFSSFNSLGPLNKKIVIGDIIGSHSGEECIRWTMMNSNYKNIKV FNSIQEILEKYPLENINWVITGQCLRLLNKLHNMFELKYLDLSNDPEKPPNHNNHTFS FLNYNKQAEKFYRQIKTNHQSLPCWITLRSNTRESSSNRLERIIIDTDDGEEFIKSDY VPYNLDCTRYQKKSNIVLENSIGITNELEKSMILSFSAMVHDIPLTLRISALEFIIRY CHVYSRMTPEDKAMHINLLQKLKPNPMVGMCGDGNNDILAIQSANIGIAITDHEASVA ASFVSNERDIAAVPDIIMEGRAALTSTIQSFQFFVLYIFIQFTSVLYLYSKGTNFTDH QFIWNDVVTFLPISVLATLTKSAESFPPEIPAYKDILSSNVIVGIISQCIIQLAFLIL NILIVSGQPGFIPYVSGRSYEDNNQNVHLQMCVENTVTFLVSCIQYVATGIAIHKTKP FRLSLFTNKLFVIQIIFIIVSTIAIILAPNSFLSNLLNIVTLPGSSSYILLTTFALDL IISIVTMNLIIKAVHLKEIHSNGPAYFPHFHPLRVPKSKLEWKINTNPI cubi_03437 MSKRAADFQLTSENYDLEERRLRTSISSKENDKDEQEGDVLENK EEIGARKMVRIRKRYDDFGSVGNILTPDTITNSEAVSTSTYGGDSKDEKLGVSEDKDC LTQKQNKGQKMGEEEVERLKVEIDKKEEMENQKEGENQKEGENQKEGENQKEGENQKE GENQKEGVNQKREEQKEKEDQKKGEDKEEVLPFGNSGFSSSFKNPFISLAESNENSNF LFSGFSGSDKNNGMAMFGACCEEKNTIVGAGGEEGEEEGTNEEPELESKAFTGEEHEE TVYQCKNADLFMLKVLENEEKSFKKLATGIIHLNIPKDREISEQSGTSDEKEVFDTET NSKSTDQVSNSTQPRMIFRQKGIYKVLLNSPLNLEISKTFQRNSNIRKGYAVNFIAIG QDSEIQQCMLRFQNESDLTELIDKVHQIVDKYCSRTE cubi_03438 METERFKIEMLDYYNSSQGMVYRINIYNKKNNESWDIERSYTDF EQVDHLFQYRYPNIPKLPSRGWWWQKNKEFYENRFQGLKEYLYSVLESDFNIENMVLA YFLDLNLVVSETGTIANTSIEELDVDVLEYFRAKLLNIANANERSLATMERKAREKQY HSFLGNSKFFSEFYDTEFMLALDDKKNLPTSILDFKDMENMESKLRQISSQDKEMILI MGKICREISGSLESKIKPFEVKELKLNFQPINKS cubi_03439 MLGLIARLMVVFLGLVLSKAYSQENDLVTYGSTISVLHQNTKCH LFTTKITWANGNQAVTCSKESESESYFYIREADSPYKGAGFPVLCGESIRLLHSTTGK FVQSNKSSKSMISRQIEMFGGSGESSSYFKVECEKKNAGQTIDVKDKVRFYNIEAKGY LSVSKRHIFDNRNCPRCPIIGQYEVTIVNKSNNDGLWSFNPIMMLLHSSSEDHQANNH RESKDEL cubi_03440 MKMEDEKMENTTINSDSEDLGESCESMFNNAKELKDVGNESYKN GDYSEAREKYEKGLDLLEKIDQKDEEFGGDELSELRQSLQLNLAMLYIKIQEWSKAIQ VTGQVLKKNTKNIKALYRRGLARQGFGMYEESKEDFQMVLKLDPSNVDAHKQLKILRQ KIQEDNEKNKSGFSKMFHGGLYSDRQQDVEKRKKEERERRVEKYSRYLAEVKSKNSEI GSDEEEEEVMPFEEWEKKEIEREKELEKEKTRENERKAKESTEKKPTTIISKKNTSND DVDLDEEDLKILQETKKMGYCYFKRELTEQEKELNRQFTPTLINNRDTSSRDASQQND LSSADLDKSRVGISSWNSKGTTFEDKDVSEAAKTTLRGILLKGGSRFSGKVTEGGDLD ISVSIESIENLSGEASVAMIRGTRRFLFDFSITMKCEYILENNENEKYSFNINIPSLT SMCNEDEVFGIKDCNIQFSGKHKHNHDLALIKDFIFKSFCLVNLKDRINLLTIEINSQ Y cubi_03441 MKENKTREESYPVVPTDRILRNFKQLSHHNDSISQMASWLSFYR SSGEQLCSLFGEAFVDKSLREEERLAIFYVFHEMVTRTITIDDESRKFFLIGYEQFLL TAAPIISRLTFEQRSPYLQVVRLWQAHQRFPQNVCERLRLLFNSQSGNQESLRNPSIL DKRDKKFTLDIIKSLNRIKEGYELAVKAGSNREIATNDGGNTNNAETKTVTDDANSDT IIIDTSFSTEPRSLAPEETEKIMRQSIEQYKESLDKITDASILLSQCLQDELKSFNDI KRILEEISTEDRED cubi_03442 MFEARLSNGGILRKVFEAITNLVSDVNLECNESGVTIQAMDNSH VSLVGLYLKDTAFERYRCDKNRTLGLNTQNVVKLLKLCSNDDQVLLRHDDDSESLIFI FETPGGDRVSEFELTLISIDQDSLQIPETSFSSVVTMPSNEFQRLCRDMAQFSDSLSI DVTSKNVRFSTKGSLGSGSIILRPKEGADSEAVALDVSEPCQLVFSLRYLNNFAKATP LSNSVKLSMSENQPLELEYPLEGSGSGHLRFYLARKITEDDEQE cubi_03443 MNRIRYIFNEQGLWGSITSFISAKHTTVRSSATLRSFVKELGTA TNDRNIISSTSSVASGIQAAFSNADSTQSSRFFSSTFSVLSQHLVKLTDNLTDNVLPI DIILPKANAIQMFTRKFIVLVIFAGFLGLFPNYIFHSRTPGLYFGNFFSGSGASGQQL SCILSYFNIMANKISSNDQLTLDLVVFERHYRHPSPESFFTSSTLNIQQTVLIKGFME THNPGGHVVEAIFGNKVVGSAVLSNVMHQEEIMMTVAPETLISRIFHTDLNDEDVISF RGLMKFSKYNGYGSSFSYAPANEEDMYKPLTRVYGSIDALNKGYPQKQFTVQYALREL NKLLPLLCSDFYGESEEKHRSPFVTGYWGGGVFGGDIPYKFVIQLLGSCVCNRPMVYS DASNKLDLNQIRRLESKYMTCGELAKAFFQLQNAGRITFNNAIGELLK cubi_03444 MSGKVTSSGGRGGGKKTTRKTMSNSAKAGLQFPVGRVARYLKRG RYAKRIGAAAPVYLAAVLEYLCAELLELAGNAARDAKKTRITPRQIQLAVRNDEELSK FLGNVTIASGGVLPNIPTVLLPKKSKSKQGNSQEF cubi_03445 MDKYYVTNHIGEGGFGECYLIETKKGELKQEKLVAKVVNMSRMT EIEKKKSIQEIKILNIINHINLIKLVESYTTKNLLCIIMELGEYGSLDGEIEARKKGG KDNICFAEDEILFIFLQIVLGVKYLHDNNIIHCDIKSNNVILFSNGMVKLSDFGISNI LSSNKDEFNKSERFSNLLIQGSIYYLAPEIYSNMQHDKKSDYWSIGCLLLELCSLERV FRKYSMQEMIIFSVKNEDQFKKEVNNHIEMYGVNKRYSQDLVNIIKGLLNPNPEERLN IDKLFQSNKYILNFINMFLNTCKHKHWNQFNSICKELSTYTTQFTNERDKVDILKHPF WIKQDVDTENKNSKFFLKQSLDEWFKSQEVLTYHNFSKSKSSIISNQSSNNKDSETNP NKYKEIIDYFRQKEKNKQNGRRLSRKEILLQLETTVEFFVTQLSAFNSKKVTTEEIIK RNLASKLFDNNGTKKSTNSIRNSCKQRNSQKLPSTINKTNSNASLRKNLRVKYDKERE QLREIMRKGRAEAKLKGDTEINTVK cubi_03446 MDELHPLFRSDVPSTNELRSNDIYSALVEISTQKSQNTSKNNAR FHSSKADKNLSEVSVISKSINKKYNCEKHIKSRYKRHLNKIKATKLNMEQEKRQEIPD NISTEDHSNTNDINNDKEEREFAEMELCMSIWSSKNVLSG cubi_03447 MSGKETFIGGDDVGAIIVDVGSYMTKIGYGGEDCPRQVWPSVVG VRENAEKRFPLNFLSYLEEVSVEPCLNFVDGSLTLNENVFEEIIRKTTGKGGLSTDLR EHPVLLTEPSKHNRQIREKEVEIMFEKFDVPAVYTAKKAILSAFSVGRSSGLVVDIGA TTTSIVPILDGYTLQKPLCEYPIGGDFLDDQIARHLLKEKNLSISPNFSVRKIIHKDK PLSYEQVSLEKVSSSYIEYGRREVLRNIKESICRCAEHEEIAKTSSALATSTYELPDG TLIDSEPIGIRVPECLLNPDMIISDSDLPAINTNGFPGLCSAISNTIIACDIDIRKDL IGAVILTGGTSVIPGLADRVSKSLAEDDKLSGGGPKLKIISPNSTIERKFSSWIGGSI LASLGSFQQMWFSKEEYTEHGVKLVERKCSN cubi_03448 MYSNVQNQNTKNEYLITTSDYLLDLSRPQNKKTTISVYRGINNI ETIHTLGKKNIIQVSSGLNHVLFLTLDSEVYSYGTGLFGQLGHGESIQKLKEPKKIQN IEGIKKITTGTYSSIALTNRGEIYRWGLYDLKSPIVFKPKLETFFGGRNKVVEVESYK EKTCIALDNGEIYAWDFHNPRIELICRLSDTIVQQISIGAYFTLVLDSDSQLFVWGDN TFGEFCESDMYIYNSNFPNFSRLRIESWGLSTKNSGLRNEKENESPSNVHENEKNEKI LGGNSREIYIEKISCGEKHCLILTSINSILCMGDNTYGQCGILDDKKNIYPPITLKKF SELDFLKPFISCGNRSSAIISPTGKLFLCGQLFENSKSNKRVGVSRYAEKIENPYRLS TEYSIIHRNSSVINFNDKNFIVISN cubi_03449 MYILLFSIFCLVGNVISQLIVSEQEMCMNGQCKKMLNGHLVIQN NQDVSLNFGSSEVNGKNAKESDPGSSGFKITIKKSPILIAYDLSYASTLYHDFQEDIK LFSFSQVEGDPYRFCDHELRSCQKVRDEYLYGTPNLPPGQKNWTQETPIPPGSQGSCC WCPELYVTINTNAPFSRATLHCSWIQQRMWWNVYLSKSCPVWIPPWWTAFRIGGWNWQ YSLEVELSWFSPTESSINKLSSTELENMEDECKKENKDTTIDCSRIRHKESGIQTSVH TLNSSSPSFYDPNFGASVQVISSGPPFGSANAKDLNGYYMLQPTFSPKGMPTSMAIPP LRNGCGKSSKNQTEEESNDCLKPTLIIPPENADFTGVSCDKIGTSVHTWSSINGRFCY HPPGTCQRAQVAHFYKKVIEDHSLGKISQYSVNAQNSGSPQLILDSLGEIGQEEVTQN DMENITNIQLRRFFLGYTFDSIFDTEIMFSVEASSVSWVATSSPGIITYIEPPPLEAC TAMSSYGCPLKVYVKNSGEIDSGFVVQIPYCTKSGVQTSEVDPIMAQTRKIKANSIGI FTFVLGVSVLTGSEYKCVVVLYNSFSIQLDQNMFTFSTTSMTISIASSDSVISDVVVN QTSLTNKKFESMFTGNKCLSCGLNFWCLIANVGDCIKLGVKCIGILLGTIIGLVILLK LIKIILQLRKSKRIETKIITSQQEILGEKRNN cubi_03450 MFQVKAVLKKFVFQKNNKNLDFKKIAFPFLSKIPTNFTSNEKQC SDIINSPKTNNKNIITVHCIRHAESTMNALRNHNIKTLNIHELLSGKDPGIFDAPLSK NGIENANHFGNKGGPIYKGKPIMERASMILTSNLRRAMETSNLISKRSSDNCKICVLD FVREKALYVSDVPSLSRQEIIKNYPKADVSFLPDTNFKDFIVLPENYDQVDQRIQQFI NFIKNYEGLENDEIVLVSHYYFLKRLLKGSVFWQLPNLGVVTIHIDKSTGKIVGNNIT RYTDNC cubi_03451 MGVPTFYRWLCNRYPLIVKELKDAVDEETCLNDLELTEPNINGE FDCLYLDMNGIIHPCCNPSGGDKPKDEAEMFTRVCDYIDRLYAMIRPRRLIYMAIDGV APRAKMNQQRSRRYKTALEMDYNRRAYEIAQEEFCRIGYKCPEYIEKWDSNVITPGTP FMERLTMCLHAYIRRKFETDESWKSISVIFSDSNIPGEGEHKILEFIRTQRSNEDYDP NTKHVLYGSDADLIMLGLSTHETHFYIIREAVRDFKVDSPSSISIVYNAWIKKIKDLE MENDNGGLSIGSFEKGPNIGKKLRIYSWWSDIEIVDLSMLREYLNFDFNEIKEQIEYL KSVKESQVKTKEDFHYDFERCIDDFVFLCFFIGNDFLPNLPVFSIYKGSLDQILGIYI RVLPRIGDYLTLEGNIIPNSIIQFFSYLRDLEYEIIIQESKFNQRAGGSARGRLDQNS NIEGDQKNNLEEDQIGAMEKGQNSVALSSSLQTLSSSSSSSSSSSSSSKAPQSTNTGR PTVSASEIELLFGEDATSGVESTVTHNKPVKTEEEKKEENEYFSEKNFGKKRRFDSIE SYFQEHLSKLVKYLSEVEDYNEENILMDSNSLNSNDKGANTEGDNRMGRIPINIDDPE SIFKYRLNYYNGKFRAQINFQGEENNGNEGKREEEAKSKLNEISDQVCINYLRGLSWV LSYYYHGVPSWDWYYPYHYAPYVIDIVEAINNVKNENKIKESLIGEFELGKPFTQFEQ LMSVLPPKSGKICLPKEFYNMMIDKNNPLSEFYPIKFKQDPNGNKQRWKWVALLPFID QKILLETVIPLEKEMDTLSNYRNKLGCDILYSFKFSPIKNILEENNQNSSNNDKFSIK IYGMPIFGYIQNYCHPDLKIQDHDLEQVTVPIIETCENIQQGKEIIKKMLNDDRNNRN WTSILESSFNHRIDRPSYVIGYFKLEKLEKKIIWKDPTNDHFQMFKVGFGSKILPNSN TFLNSISEFDLKNQERKFKGFKANQSQKILFKLFNYWNLNVDFNQNSQVINLEAKSFY NQGNYHESNLFGNKRFRDQFDTNNYSSSNYSFYSGSHNHHQQNSYRHQHLNYEHRNSN NNHRNHHYHNNNNNYYSSYSSSSRQTPHNHSIRTNHFENRLYDHEYFLKNKERIFSSH DGAEYKDPGYNQHNNSDRYLTPHFPSSGSGYRPHDEQLHSQNLQSGMRVTPRANSYNQ HNRDSNSYLSPQNPSSHSNHKKSRWH cubi_03452 MFRQVLIVTYLIVFFLGGGLFFIGFNGERKSFEQGNSYKDVKLL EIEFFKIEPIYDKVLYFIVDALRVDYLNIETKSPRNYIHNKLENLNALMRNVELSKHL RFYNYKADFPTLTTFRVKSMMSGENPGLMELLSVLRPKSNVDSSTILRNLYTHNMKSV VLGDDTWSLLYDQLIHYEYKYESLNIRNFDNLDDYVEEKSHLFLDSNNSDYKKYNDWR FMVMHFIGVDHIGHYIGINNQFMSNKLSQMDQLAKQTLQMLLKIDDEKNLTPAEFSQK VQKFSENLLKTNHTDYTKHEKILFLFFGDHGQNESGGHGGPCITETSAGLFAFSTIPF IEPMEKIPKWDLGMDNEKRPESLTFTERIKEIKVLNQIDMVPFVSSVLGIPIPENNLG IFNSDLKVNLTGTEYNHNNHVYSDQNGFQEYLQEMTYAKIMHNNALQMFNRLLKVIES TQRLEDDIKSKYYEFGNSYNFIKDLDERLRKNKEEIIFDSEFEKQSNYTSELKMFKNH YKVSREFASLIQRKLFKDRSKFDWVSITQGFMIMIALLVFVSVIIIPTFNLSMSKFMF NNKKGFLSLSTESPSNYSKNTLPINLRPGPLFTFYLIIILITSTINLIGLYLSNQKLM IPEIVMSRINTFTSVLITLIMMHILLRFRYEIKSFYSNMFTFNLSSKELRWNVYWCIM TLLFLLQFGSYSASFAENEGKVVKLLMVSYQIIILFSGSKNNLKKIILPLIQLILIRF TFIFEKDNHEILDGFTGIGLLLTSNTTRLLIFMIYLFLLLLVYKNILNKWNMFLICIA PIYIWFNWVNNNNIIRLIGLINLIYIINSSLIKRIKRYRIRSKESSNMIMVNSKLVIN RWISNHLILIFLLKNDLIIPYAITSIIQLISIESLRSDGETKKDIMPAKGNKLKLDSI PEYVGLLGLNEFYPEISYLMVLYFLTSHLFSLSALLKLPSLGFRYPRIMVMGILLSKF LFAALGIIILRENIMVWQILSPKLIYEFVFCVSFSIYSLLM cubi_03453 MQFNYDDQYDKKIDGFAEFESELAKLREETSLLYKNEYVKDFLE NYHLEYNQAKENRKDQKNHKKGNFQTRSSKSTTNKKANNHQPYSYDIECNNEYEDNQY IGSEHEEMDSKRNITKSSKERNRGKIRQRKSEKEDLVDGTNHNNAIAIFSRKYASEDA HDENKYITLDLSEIKGDIVKSPKKNVKSKVSETPKISRKKMNSSLSKQNSKSSFSKEA KKQTVSFSDKKLLTQSRSPSPSSLLPSSLESSEDEYTNQINKYKKHHYTKSDEKIKYY LDRSSFEDDNEENVESNDQNSGLTVSNNFLSRLPSSNQAQIIMASQKYDRNERDLLMK RIQSLEVIESSQKMLILETQNKEQQYRIRSEELQKQLEYKDTKLLLLNQDYDILKRDF AFIKDEKEMLKKKYTEMETHWNEEYGHLYKYKIDYEILSKQNETLMIEKNSFINLIEE DKERYRKMELNNTSLMLNVDNLEKRNHEIVERCSKLQEDNDSLSREYNKLYQKYTALL SEYSASKKNEENLNATVVELQDTNKRLDIENKDMREKYKTLSATERVLEQLQESNAGL IGEISALKEERDILSTDYKILEKKHKTSEESIHEMKNQLLDFTLENQKLKKLIKQTII PLIPDAEYNSTIGHVLTVLNAKENSRMSIKVLEEEYLNNTNNGKVKNKEELQLEVSKT WKGIESPFSPKKMTYMMNRLPIDNQNNTGKISLSPKLVKTASLEKEPLSPSSSPKSSK NKFKNVNKNKQSPKSAKYNLNLNRFEKLSPNNQHNKDELKTRSLPVIDGRNGDENVLV IPKLYNTCPDLGHEENEKRKNGKQEENKEESFKKGVQVLTPRPLAADGNDHLIPVVLP KRLEGKIKDVLENENKVIPVIPESISSMNEKDQYDDDIILEKSNDQSSLESTSEKEKK DHGLVDTKDKDQSNINEIVRMIDNYEKQILLLNVEKVQLEAELTTLPKDNWLKNAKEK ENKDNIERRILEIQNSIIKASSNIKNLKEKQLKNKDSTISNHKQLHHNNNHRNNHNHH NHHNHHNHHRHNNNNHSNGNNNKNQN cubi_03454 MYSQKVKHPFGGFSKFYLAIVTFLVISLWILSLFNNSWQNYVYE TKGLGYINFTLSLRGFSIKSRCNRTRYLWSPSFNSSSQNRLSKNIVSGVSLEEFVCSR LNYFNVSTFGEAAAAICSIDKYQFPNAQTACYNFRMLNIGSLTMIAGIIGCLVCVIFG FITFVLSYRNLSIPLTYAYTTLFGCSNLIAMITLPIYYVNAGGSWIAINSFFSVIHFG YPQFTFRSPSVSDIQFFWGYSLAILAFLTSILLPLFGCYFASLASSYHELLEKESKEH QKFIQQYNNIY cubi_03455 MVEVEEIGVKCNNLECNQIQFLSFECLICGKKFCIEHVGFGKHI CKNEGEDARQFTSFERRLVEEKGEKVSVNCSIEGCSKVGVTCRKCDKMLCSEHIYESE HECVVKSRIIIAKGRAQDLRRRYSGGEILSGSKLPEDNKSSKILRKIMIKSKSIGDSS IPVRNRVAVALYVGRDVECLKYVNKELPICIWLNGEKTVGWNLDYISERLKVLREGSN SDTVKDKIKINFSGLILYKNKDIYGKEFSEKKALEMSAEFGKHVLDGESLLLDYGFI cubi_03456 MSEGSNKIMNNEIYGIGSQNPPLGGGHMMQSGVSGGMIANQKEG TVSGGAVVGRSGGGNGGGGSGGIGTGVSGTGYMMKPSMDIINDATSGKQSCLSGNTTF THAWYPEISKILHDVSKSNLSIQDKRVRILLLIFQWIKKQQCELVDPSVLFSDHNIEI LENQLKAYKHFLTSDLPLSEDILEKSLGGRAIGNKRQRLEGSQGGMLVHSGMQVCSKE ENNGVSNANIETEWFQPDWNFINSVIRDSNSFNYKVPLSRQGSSIVVRNPNQWIEYNR KVEQNRMALLMNKNIANNNNNNCNVNSERSNHILSSSSSKISETTSTEENTKHVAEFE ANHSRTIEDKEGDSMIIENNLTKLEVRNEKIESEMGDKSTERQNYSNNSYPNQGMMKR STEDSSGNLDNKDNSNNGGNTTKGGVGILSDKESKPEARDEDLLFIHRITKLLNFQQF IRNQIIVSRYMEEMDPPLPQLKFVTARDARKNKALYQARMDGLKHIKFVDDIKRQRRQ FISEILKHSKRFQDVHRENQRSIRRVCSHVLRHSTNKERRDQNMEQQMQRARLNALRA QDEEAYLRLLNEAKNERLLELVHQTEDYINKLGALVMEHRKQAGSALDFDELYLEDNQ KEGEGEEEDEAGTGEKDRLHGDKHICVTCGCSGKPDESHQEATKLGTIQGSSETILSN ERTEGDRKVVCGCNSSNCTCSVSICKCDCKSETCCSKIKKKRSAPLIRAKERYFQVTH MIQEHITKQPECLKGGQLREYQMKGLEWLVSLYNNNLNGILADAMGLGKTVQTVSVLA HIYEKKGNRGPHLIIAPLSTLHGNWENEFNRWLPDFVKVIYEGNKEIRKQIRSKYMTG EAKFHVLLTTDAFIMKDKHYLRKFDWEYIIVDEAHRLKNPKSKLVQILNNGFRAKHRL ALTGTPLQNDLQEVWALLNYLMPSIFNSSETFQQWFNEPLSSIKSSGKASGSDNGIVP LDISEEEQLLIVDRLHKVLRPFLLRREKIQVANEVPPKLEEILWCPLSGLQQYLYKEL ENNENSGPNVLMQLRKVCNHPFLFSTEIQYPSDESIVRVCGKFVMLDSILPKLRAAGH RVLIFSQMTKLLTLLEVFLSLRNMPFLRLDGTTLSEDRQESLKLFNAENSPYFVFLLS TKAGGFGINLQSADTVILFDSDWNPQNDEQAQSRAHRIGQKKEVLTLRFVTPDTVEER IMTTAGIKLDKDALIIKSGMYHDLYDGDDLEQKRKEKIQEILRKQRQKEVVNCYYDSD RLNRILARSSRDLEIFERVDRMRKMCHIPGLIMDPTLPPCLFDWKKQAKISKVTIENT QKAEELWKLCYTFGDPWVVHPKNKSWRKMLNLGNTGFSSSKPTNKDEGNFSQEFELAG KSTRTSSNFFNKSLGTQSKDVEMRNEELEVDSVVSLGERSDKELSKNRQDFEYLKNSV LEVATTTPGKISNDMDRFIQCESDTGTGRKSEGTITTTLSNELEDLTEEQITPEEIEY RKDSLNDAILQACDMAMKIPEYEAYIALPSQNIFPDYYQIISKPVCLQHIRQFAKKKE FTSLHKLEKYLERMASNAKTYNGVTHFLYYSALHLTNTIMMEVRKRVAVAFYTYKLPE GHVRDHEAKQLLDGLNSVSETDLNRKHMLVPDVGDEEEEEDEEEDDDDDEDDEEIENE DEDISVNKKTGKK cubi_03457 MFETVVLVAILTILFTGLLLAGYIQYYENAPIEDIRKLLKESYI TLALLELLFGLFGLFSFKTQLILQFLDWWLFYQASNKYPYIFSMDSFFPVKMILVTLF KAIISIRSSFNIPFRSLIFPYIMSTVCILPLLFAFSYPYEDSKLAHVVWDKSNDEDII TRFFKIAISERSRNDYLVHLKLQIQRSNNLQNKMEFININGELRVPLKHELDESPQDL TSVPEIIEIKLILDAAGICQCIGMLY cubi_03458 MTVSKRELRSFFRNLHPLDLGLVFKVYKKSNYDDILFDKLSGKR NKCITKNLYIGNKFVRNQKNNKIRVKYLEFIKFLAENLFEMNIRDLGYLQSSELQEIF QYIKENESSIDKIYTSHKLEGILFSVLKTHIIYILESSILLSEISEIKFLIEGLWSLL LQLEVNIEDLFHNINKDELIQIFNLICYFSDLKQKIVLDENLDKLLIKILNILKVKIK NHFNVLLQYSLDITETIGKPNQSEWINLLSSEDIVISNEDLLLYFILKNFNGNYNDNL KRVLQIIRFNLLSDSAKGIYSRIQRPILNSSNLSRNKYFANNTQIASCVTNKPKTIIL NNISDNFQLPILNKHLIIDNNFKVDGCFSYEIRMISRSKLKFPGDFNDLVKTWKLFLG FSIKTGKKYQPFAFNLFSLLGENSDKNDTSKLFHHRSILKSSKFNHISGNISKNEIML VPAPYYEEENCLQGKVVIQWEGIVLANSNGDEILEDDNRVIFAVNWREKFILVEIGSL FSVYVEILDGLLEENGLEIQNDLVFPLISYNDEYFNATVNYYSCNLGINERQISLKER LDQECKKRFIGSKTRRKLFTDVSKKQSGAPKRVTRLRPSGSTNMVISFPSGSLNSPQL SASSPANKRGRPRKNTTTTCIKELVPMNCKEYYPSLLSKVSKKNDSKNISKSTRAQGS NSLVIKKIKKKIKVNTDHYYSYNNSFLGRFFANSFKKLLSFFRGRLI cubi_03459 MCRGKNAWMLKNSTSLILGICLLFLVLQNVNCEDVPIRLKTSNN LRGGPSTNRESNILVPAFEPSKEYIDTYFSYIEDFLKNVNYLSTLSVSLEVFSSICFV LCLRGLSTQETAKRGNSLGIVGIISAIAATFLAPTFSMNWIMFIVPFAVAIFIGIPMS HFVSMVNIPQLVALFHSFVGLAAMLISFANLWTPFQSEDGEFSSVHAVEMFIGEAISA VTFTGSIVAAGKLHEIFPTGALKLPGRHFLNAFMVAGLIALGSVFIAITDYANRTYLM YGNSLLSMLLGIHLVASIGGADMPVAISMLNSYSGWATSFTGFLINSKILIICGALIG SSGAILSHIMCIGMNRSLLNVMIGGWEGTGDSNDGQTLGDQSDVNQTNAMKVARDLLS AKKVLIVPGYGMAVSRSQQDVASIVNALRLRDIHVEFAIHPVAGRMPGHMNVLLAEAD VPYSIVKEMEDVNPNMESFDVVLVIGANDTVNPLALEKNSKINGMPVIEVWRASKVIV SKRSLGKGYAAIENPLFFKSNVEMIFGNAKDSMINILQNIVSISPEQKRANLNDDEET IDNAATDQADKEEYPAPTMTIGILREDLPSEKLVSIAPNYVKKLRRLGFRVLVETGAG LKSQFDDQKYEDASCTIMATRQDVVSRSDIIVKVQKPTEEEISQMKSGQTLISYIWPA QNPSLLESLASKGVTTIALDEVPRTTRAQKLDIRSSMSNLAGYRAVIEAFVHLPKLSK SSITAAGRVDAARVFVIGAGVAGLQAIATAKNLGADVFASDTRTATKEEVESLGAKFV AVEIKEEGDSGSGYSKLMSKEYLRAQKNLYSKMIKTCDVIITTALIPGRPSPKIITRE MVNSMKPGSVIVDMAAEMADTASGWGGNCEITKKDQVYLDEKSGVTIIGLTNLPSTMP SQASELFSMNIVHLLEELGGAEHFSVDMEDDLLKEMVVTINEEVSYVPVDKRPPPPEN ENSTPSSSSSSTEASTGSFLRTMEKIIYSNVAFGFLVLLSVLISVGLGYVIDHNTLGN ILVFSLSVIVGYYCIWNVTPSLHTPLMSVTNALSGIIIIGAMLECGPVILFTDFQVYS LLLFFAMLLSSINIIGGFYVTTRMLYMFTDHQVGSCTEEDVQGTRHTTII cubi_03460 MPLSGVCKWFDSTKGFGFITPDDGSEDIFVHQQNIKVEGFRSLA QDERVEYEIETDDKGRRKAVNVSGPNGVPVKGDRRRGRGRGRGRGMRGRGRGGRGRGF YQNQNQSQPQSQQQPASTQAQPATH cubi_03461 MSVLIETTLGDLVVDLNVNLSPKTCENFLKLCKLKFYNNCEFYK IEKDFIARTGRSKKYGNSSLLQVMGNQEKVNTFFESEITPKLRHKKKGVLGMVNDTSK SSLGSEFYFTLKDDLTYLDGHHVIFAQVEEGTQVLSRLNEVITDEDSIPLQKVMILHT YILDDPFEDSPELLDIIPPDSPPEETDPLTKICQQDQVKVLNSISEREARSRAITLEI LGDIPDADMKPPENVLFVCKLNPVTRDDDLELIFSRFGEVKSCDIIRDYKTGESLQYA FIEFETKQQCELAFMKMQNAVIDDRR cubi_03462 MGVKTFYNRLFTLYSFPILQKGAIIGSIFGGINGMYQALKYRNY MAIPVFAIGGAISFGFFLGCGMIVISQIYSKQAQKDSYKNFNIYDYFRSSHY cubi_03463 MTEKRIFGMCNPILDIVLKTTSDRVKDLGLKMGSTTLGEDEKVF KLIENIISNNEDANFVAGGSLLNSFRVCKELSNKDGKDKGDSISVFFSGGINDDSGGI LLQELLTKLGINYEFHITNKPNLETAKCVVFVTGEERTLLAGLGAAKDYSIDTFESEK IQQALKTANIFATSGFFVEVCFQAVLRSAQYIHQSKSDECSFVFGLSATYIPEKYMNE IFQLLPMIDYIVGNQEEFVSLYKNINSTLQIENHDQLLYSQACINQPENNTLERILTE VHTHLKPTCIMLCTRAHLPVISFNSKDPNGCIRYHECIHVPKEKLVDVNGCGDAFKGG FIYGLSNSYPLDASIYMGHYAASTVAQNIGCDFDFSNKPTLSEIMQLVSSKNN cubi_03464 MPIIPAVDFVSQYYLPFIEKTQINNNGHANQVFPKVWIFSSNDV DSLCTSNILINQFFKHDEIQCMLNCVTHYNEISKILQEYKDYPNQREFVDICVLVNLG AMVNILHSLKLWLGQDLEIWIFDFHRPVIDELMLSIGGEYNNNVLILSAQEYNFLVNS KSGRKKSRRNNSSLTDEQINEPCSSTFSSNNPFASLSQTIDDLDMLMGTSNADSQSYN EQIEDEVDELFGEYCGDPSSLVVLQTLDNSITSERIDGNVLWYSSIGISWCYINRYIE LLDYEIYFDLLNGILSKYTGEMSNLNSNKSSSRKYWPKYIKNDLSIPLYRHWNLLDSV CHSEYLYSRMGLWKTENSRDSIMNRRVASGISLKDFTSKFYLLERELSKKVVQDFPKG FSGFESGSETTSLSLQIPVFLKYLPSLVLDSELHPHLSSLDMAQVLHTILTNDCRLVD NVESFMDAIVSSGALNRMDLKNNTGTEKSINEEKNNEKENFQLNQILGKDQKMQLLFR DSFRVGLQLLDLSGTDLNQINVFQGHSWKQLKCYVEETKILISLKLKYVKMLLSSSIN GGTLIKHNYFTLAELNDIEISHPLNLRTIGHSIIDIISRNRDLQDKLVIISKNSSNKV ATIVGITPGYDSNSPNLFGAIFSKVIDIILEEGQGLLGEDHFFVQDEFDFSILRIHSN FLDQFTSNLFKYIENSKHILSNTI cubi_03465 MHIRAFEMEKEQSKMKSKQDSKNESIFIQNEINNCEFCGANTSI SYFREYIGNPLAFIYLFYNKLSGGNIETYFTKYLKEIVLDVDGIITHMNFVDMISDME FGINRVKNSLKITNKDVCIVGVGGDGSFSTLVNTFLETIPEHKDRLIFAVLPFGTGND WARSFGWSSYGNMKFMKDDFSPLIDLARGIFTSNLINFDTWMVEVEIYDREDSSFQRV NPNTQELERVNNSNDENKKLLVLKKRCINYFSFGEESRVGITFDTYRKKNVLLNRALY GLAGSMFTVNNKYQSNIPLSHSTRKIYLVDPKSSNQETCNQECGLCPKGTIHSSEDAQ ENSICPTLLSSVSLVFLNIETFGGGVKLWKNSKNIAPSIIKASSNLNFGGMVGNLSSF LINPISLDFDTFKQVKSRELREDTESSSESESYTNHKFDSESEINFDSDKSILDPKES DINSLSEVQNNDVINSNQGDQIQKTIEDEILKIVPDSSDRKLEIMSFSGLMDFSSIFL PYMSTAKKVGQFSPFQTGSPHSCEDKLSPKVSDLKVQNSTESSNYCKNQGKTLKMEFY DKNNSEYQSSLIEVYFQIDGEYYFAKEPKQCSVNYDQKIKVLKCIIPYSPFKNIH cubi_03466 MFDIFLCCSHSSFNEFSQEEKEEIRIECATEKVWQESSPKETFQ NSINDLRRAEREALEIVEKAKKNRREYLRQAEAAAREIIKPYYDLADQEFKEIEISLN KELHIKSLENALDIQKDSDHMDEIELEERKNEVIEYIYSKISDVKLVLENPKFVKSQL ERHKISKTHHKKHHIRNKFMSWRSRDDTEKKKKASKSKNKYKKISKEKVISFCVEKND SSMSTIELDEDYSKIDNLYGASRVVNSFNTIHLN cubi_03467 MRQIIDYDCNFKEEVGEVLKDISGSQNTLRFNVISILGCQSTGK STLLNTLFGTHFKVLDKLISGYCQTTKGLWLGYGTQHFNSPILVWDVEGTDSLERGED RATFENRAALFSLAISDCMILNIPLMNLTTYSSSNFALLKTILNSWFSLKLDQNGISK GSIRKTTLLFAVRDITINDNDEMLGRKVVQILDLLWKQVAESQNLHGNQIPASFSDIF EVKIYGIPSLPNNFDGFKQVVNGIRSDLTNFILPQDYSRHIPLEGFEMYCSAVWKCIV ECQELNIPSQIKLVSKFRCEQTKDNVLNEYKNSIKDLQKKMERREFGFLEFSDYTLLV LEGSLTKYFEVASKYEHEMSTNTSISLLLFIFHEFQNAVNSRMSLERQDLRQYKNILD YYKKGIEDHQGHYDTQSTAGEGRNVLFDSSSWINKELLKFDSLSLKWKTEFPGVISKQ NLISKIKDKCVPEILNEISSSYQGKEVFLATYNTQEQRKLLSETLEIHSKKIYEKLVE EFFESLIKDILKEISPFFGGHFLSDPNIKLDDFWKQTDSYLVNIHGLLVTRYEQQWTT LFRSSNISEFTLSSLEEEIALQLLLKFIQLLQQQSKYFHINIVERFKSEFELDQDGIP RQWIGEDAKTMKELFIKAKTNSLLITGVFHPRRDQIIPFSGRFSNLFNKIIENSEDLS EILALNSGNETGKFSDSLLLIPESSIKEIESKASQEIANIFSKAQLIQSTGKQPQNIP WWIYLLIIVLGFDEITYVLTSPILVTLLLVVISFVYSYFTGNFSLFCNYSQQFVIVSS KILHYISGAIHNSLDNRK cubi_03468 MELSLCFENQMIIKLPSSVMEKIRERRSGEVVGSLNYDEDGKRE WEILNSKFSENKTGNTETDSINQNEKSGYLFLSTPDKQNKISMYPSQIHTLVRKGNFV TDDIRRRIQEKTKKSSTIEDTKRIVGAMYESSSQPFMYYDIKTDQMSDEPRNSSMISI SRKKLATSSSQSSGNIDSNTNYSLGSNSNINNISSSSLESLKENIFKIFEEKGSEGVS LKEIEDKTMKPKHILKKIVEEIAVQAGRGGRRHIWHLRPQFIGK cubi_03469 MRRALEVFQTFWNGILELLKNDFGTNEVNRNNLSNVINWESNKT TLETEIEELDGEKEEKCEEEGSSTENVLLYSNCDAYAGEFQMSKRNGWGVYLKYTGQK FEGLWKDNVRNGFGMQTIGNSIKFIGQFKNNECDGLGIIFHQSGLMYSSNWSKGELIN RRLLFENWKDELLDLFPENSEEDVDEIISQITSNKSMEVSKGIITRQKIKYELENLHH KNNFRQFSSEEKSETTIRMRFKANFTEDIICKECDFQSINSRINNYNAMKTVSNDIES KALNCYNTENSAECCLSWNMYQVAYFLKCIGLSKYVKIFILNEIDGCTIPYIGSEELK EMGIKEVSHIKYIRMSFALLLKLRLKCIRKQTFTPQKLIDDEYLKGFEIPANELNLKC RIGEGGYGKVYKAVWITRGITVAVKAFRRRDKNALAREFYSELSIISRIRHPNVTLFL GVVMSPLYCLVTELVPNGSLFDLLHTKNSYLTSTQLLKISRDICCGMAYLHENGVLHC DLKSSNILLSGNFNVKIGDFGLSTLMESPLETRKMLGCVGTHHWMAPEILRGEGFTRS ADVYSFGIILWEMITKKIPHEDLNINHIVASVGYGHKKLIIPENTPSVIKTIIKKTWR RNVRNRPNFKQLTNIFEQLYQSSILDIEENLLTFFGQ cubi_03470 MFSSLISKLFNDLPSNFGYTIGEKVKGDDYSIWTHYRGYRKNKP EQTCSVFFFDKNRSSGNENVLGFIPIETAKKLAKNQFQRCKSLIHPCILKVYDTLEVS NSYYIITEPCVSLYNLSLSINHTFVTYTDDSKESEYEIGFPKDCISGLYEIIKGLKFL HNDGKLLHGNISPLTVYVNFDGSWKLGGFENTILMSDTSSYYMDEISKQSTAYNIMGW KFAKVSRNPKSLDLWNLGCLIYWSYQVSSNLNPSEIYIEITDQSKISQRKKIVESLKL PYMNGDVLTMENDLIPRWLYDWLSDLLTCMNTNKEISIENGLALINNNSPFVELMNQL NDFMLKSSEDKQIFCTQYLPHLLTSIKVHGDSSTNIIVIQRILEKLLLNFSQCTPSIF PLVLTLLEPYKEIRTCPKFILKSFYTVTKFLLCQNDRSIRYTLLKNISKYERFLLKDI FQSCIDSILIGFQDTTNVIRETTLQSMIVIIPLLLKEDTEKSLSNEDEIQISKSNNSK SNASSDFMCSIKEGWKKNSAAFLNAFGGNSGSQIAVDKLVCAMFSLSKDPEVIIRSNT VICFAKLMGVLPEQYHSTILNKVYLSVIKDPFPICRRAVIQALLSTIQFYSPTVITQQ ILPVVSVNGFIEGQPEIMEISLTFVKNAINILSPYIEEQVKLKQEQDRIKLEEEKLES QKESKHNAALNNNAKSSNNIFDQQSANLQNKHITNSNLSLEKNNNLHKDKDISTNNTN YVPVDSRIHVYAQNMQLKPTFNADMGVGTLVGGKPNEKKDVDWNEFESDLFDFGISSG HNSGNNESLSSESNISEESKSRFKSNVGSFTLSNYQRNCAFKTESSGVKNSPSYNNNN NSDNKKEIIGLPLIKVDQFSTSKNSKVEKIPGKKLSTKLDLDSDDFWKEFE cubi_03471 MITSLVSRILGSSGTGSSSGPNIGNDSSLKISEERSIYCASSLT TFYRPKRLKIAILDHIPCNIDSLSIELFTIQKSGNFKEFIMSHIHDMKKSKVPRQTLI MNGYSFYSVISSSRTENCQECANNVCSSLVVDFTRNADNGESTYLIFQGEMILRIYDR KNGLNIYSLARGGNPDDVIHITKDDIILSIPNSFAPSQCFKLDLIMYSAIGWARHDEI NRHCPTYINCSLPKNENINQNKQFSVSLSQNLTICSKEESPKRGSTTNKDDSNIEKIS CTNTSTKNDSNKGTVHSNKERNIHPLAKAFGSFKTAELSNQPDSSDNPESNEDPKPEY ETESVPEQTSGSKPGTSQLVALLRAKAPPLPLNIKSPGKPKAFPLKGSEKDSKGKSIQ DKKKVLPLGRRIHWKPLTEEMAQKTIFREILYTSLNSHTQSPPVSPSDSSNLSSPVNS SIEDLQLNIMDESKTGTASPEPDSVSPMAITDIHMANTLVHMETLSRVFTKTGNTMGA QGGTLERLNTGEYKEVSNKISSPGSFNSPDLLNQDKCSDLSSQTKKSYDNKNGRSSKS SKDEQISQSSNTSSQKQLPLTFLSQKRAQNMAIVLARLSVPTDYIIEILNSFNISSLT LEDYERIEQVLPTEMELEKIKSNSKQELHQLEQFLSQFSHISNPMTRLRFLKFEHILD ASEFDIQRNLNTLYSASVQIRNSNKLRLILKAFLLLGNYVNHGINFSTMSNISSISHV ANNGSINWSLLETKGFSFSSLLRLVEFKTTIDPSFTALHYIIANLSLTNPKLNLNQFS TDLHAVSEASKISVEALFSCINDMRKELAILEVEKQKFTNDRVENLFESYSKRLDALV EGYHRIVEKVVDTALYFGQNLPEGNRASIIQPFFETMNIFILQFSSCCKDIREKPSRF SPLLVDSSLVFPNDKSLNSSSLSLIHAPSKINSTNTSSISSTPLVENSPNESLVKIPD ITDSNCPEKTENKVLDKKEIQPQYNSNSKNSKYKESFSSNMNNKSKKEAENLIIIHSS RNNAIKLPIRPPPPITLKNPKQANYPL cubi_03472 MSGNYHQERSRLIQQHKEEIKSIEKEIKSKRGVLKEQYQRHLEE AQKRHQEEMKEFETKYGNEEEKVKKGNKPVALSSSSKEAEGCNSGKTVLYEERQWNSL SKSELEIECKLRGLNSKGGKEDLVTRLFIFTADQKSKLSKIPQGEQKGMEVVKSRDKS SGNLESSSIKGFTVVSSTNKFAPPEINYSEAGGTGRRKGRSQNRKIDHRKNVKPGHNS WAAFCARNDIPRKNTGLNIHEDLNSESSDDEKNEEEEQSLKDSHSENDSIESDENELS GSESEDIDEEELARRNKRRAVMVKVLEKMFSSITISEKKNGLKLSEIEGQLTRLNVKN FKPELLGYKSLEEWLSCQSKTVLTYDEHNQLVFPPGHKIPDSKNNKKDDAYYVDSLSE QEEKKNSDDDDFFI cubi_03473 MFLSTINRLREEQIKDNSLRVCGGGNVTKSKILNIGEKTGGRGI CKEINKCASKTCKKFNGGRNRPTNVNNDNELKISNISQKKFLNKIGNANKEKISSFQQ ILNIATSSNGIQILDRKPIKNTEFHLNQLIPSSSYYDSLPGSPNSDYKSDDQRSRKES NQAIEDTDDEGDSPPKINFVRIICSGKGSESGISNINKTVSSKNDRKKDKENNNKNFK LIKRLKCNDLDNTQNFRSASKPLKAKKTTKKDDEQTGKVSGQVLDNEENKNNDEYKSQ DSGQSKENLKKKKAIEAKVKKPENTILKDIEEKGNSKPKNSHFDSEEKSETYKQNILT LKAKNNLIKKRFKDQTDDDFFNNILVSTPTNSSSRKTRKSLNLELSPNLSIFSPDTLD IKLLKTGLTPVNSNREEPASSVPNNKAYKRKELKRKGLGSFHLSPLSSCLKEIASGED TNRNSSYSKSSASKSLGDILGSLENNTGSKSGKLGKLSCEKQNKFVMQIHEKLTNRSS NDESELEKNPYSQIKKKSGITTDKLKVTKGSLDLKINLDSMLDQEMEINTRIANQEKQ QELLWSITEDLSIQNEDRNLLMEELIAHTTQQN cubi_03474 MCSFGSSGLYSVPPSEKCRKYARQLKFLLIIEFMTLIILFVLVP TGGTVWVLLQVFSIILAYISVKDANAYRPPILQMYIFISALGTLFCTIYFAVSLSIAG SALSIAACTLFAVNALVLAACTRISWQLFKELMLCSPLITGTTGAYIFGTAASNTNNG PSSRSNMNNGPIEMTNDRTNVSNPFTGSNIGSSGGFVPFGGEGYKLSASKPSVSNEKP VTLGSNSKSIVKGDSTNNSNNDIKSLV cubi_03475 MKGKVLLLCFIIVLLAVLVSGGSLGSLDVALIAGAGVTLFGSLA GTIGENIYLSMTTMKRGTVPQVLSSLFYPLNPEDPNYTNGVPTSTSIDLTGSDGETVT MASVMLYFFSLSSMRNFNGEPKDLDNQPLFPWGPLYSYQHPVVTVSQIRSWIMRQENK VISIPLDKVALYDLYPLAVIRKYIEFRGRRKGYGGKSTLSAFQKKYYCPNCEIKPFLR DFFQGRCFSKERCKGIGNPNVVYCEPGWKIHSESTTLPPEESNNENAINLERIPSSIE YLGNIYEHIELQKYELSSEALEKLSPNLLLSPEGLFGLSVILYERQLQYLLGNVERSN YKENGFKKWFRDLKQKIFRFLDFGKQTYGGEKKKKPMTRAAWKQYPDSLWKKSPLKTW VHSVEKTRYCTLTNIKYNTRKWNEMDYVIAAVYYYFIRWQGIPQVYGQFVIEKSNLEK LSKATQRLVNSTVVETNLEPSVTLTSTQSPDETFITEPNAGYESGTLSTSATHDTLTF TAQVSSRLSSRERKSLKGSTSDNVQDGKLIYDDAQNIDESELSGDDRLESSGNKYFAY KDSNEASIKTVGIAE cubi_03476 MKLKALIIIQILFGWFSFSHGFASVSVSASIGLLGGTAVYYMLT QTNRGTLGQILASRRCPILENGTKDKLVYIDTCNWDGETKTTMAGILYFYSLLVSEFT DKVLDLDSETPILPWGNFDIENDPINEVGKIRDYLTSISGGFVRLNITEVDPWNLYPL GTARFISENRDKTINSVEESISNTINKASNAMESSKKNKKKNEQVKEEGKKQNSIEGG LSDMTSVSHRRAISSRSPVHAKKNSDKVHYEATPWTPEDDTSWGELTAIYKYLEEIMK NKYVVSKENVTYKNRKIEKLALALILYWKERQYDISSIDPINYDASKFRKSFGAFERS YAAITDIASLGHGKRNGIRIAPWKMIPDSMWKNNPFQSFERVVYAVYSDEIKKSKYNS LNWNVYDYSIGVVLNTFSRDIGIHQVFGQYQEKVHKLSKLYSFNPLKIKKYSQENGSF VEDKKRSRKESQPQVDTKTPIENEHKNPESNTDQITNQDKVENTRGLSEEPEANSNQP EVQDQSNNDKKKNKGKKRKSDEGRNEGKAKKRKVFAKKKTE cubi_03477 MEREDRSFDDSPQTQKKARINNEKSVSSRDFLDEKDFVVSSYTR FDESMKVEHIIYESGTNCVHECVRPCGYVAPTDSKLRIQYDENGKKIPAKEYSFKLDT FQAVSIGCLEIGESVLISAHTSAGKTCVAEYAIAMALKSNQRVVYTSPIKALSNQKYR DLRTTFGDNNVGLLTGDVTVNPLGSIMVMTTEILRSMLYRGSELVREIAWVIFDEIHY MRDKERGVVWEESIILLPDTVRLVFLSATIPNHLEFAEWICRTKHQPCHVVYTDYRPV PLQHFVFPAGGNGVYLVMDENKVFKQDNYMKALSALKIAAESNSSQKEQKKHAGKAQL RIDLESIVNMCQERSYLPIIVFSFSKKDCELNALSLKNIDLSTEEEKESIDFIFNSAL ATLAEEDRNIPQVVGMLPLLRRGIGIHHGGLLPVVKEIVELLFGESFIKVLFSTETFS MGINMPAKTVIFTSLRKFDGKEYRIVNSGEFIQMSGRAGRRGLDDRGITITMIDELAD PWAIKSMLTGQPLRIDSQFYIGYNMLLNLLRLEGADPEYMINRSFSQFLKRKKTVSLH EEINRIQSILDNYKVSEPIIKIFSLNQEQDNLEASSKKSRPSIDRSNTQGNQFVIMRR KVEGVDDIYGSFKESLILPKFSNFSELLATYAYFLHISGFKVICKNDSSFDSKDFYSK NPCSPYLLEIELPHEKDFFMNKTLINEKISYFVDQCIENNGLETQENQVDIEIIAKER TSGYHIDSEKALIRIGETLFNHFCEMIELNKYIKKFIINSKIIHRFLNPGRLVYIRLP FLEREEANSLSIQDSEAQNEGGTSEMGSRDSNYIFYTKVFNGFSQNQYLDLGWGVLLS NPNPRNKKVDTGFLIEPIRNNNQTNQSGKEDNKQENETTKLSYSSVDMEDSDKYYIRV LLNKKRFPKLIYDKIVKEFEKNVEDSMNENSDYCIFNCTVSSIQEISTVRLNIPQNRT NITNKNTPGLMSLEISLNKVLDHLGKDKIPLINYYGDEMINIGNASSDSQICAKLERF KDLQKKIQQESILIRKCSFLAFLLLYISSHRYYLKERVDEISNDLRENEYDTIMQKEL LGMRKVLKKLDYTDENSIVQLKGRIACEISTSDELLITELLFNNVFFQELKIEYIVAI LSSLLYDEKCPDIKLEDSTLATGYDNILDVAKIIVKISSESGLNIDSTQYISKFRPQI MPIILKWSKGESFASILENTSFYEGSVIRCLRRLEELLRQVASATKSIGNDELEKKLK EGIALIKRGILFTLCQISKRAQAIIQSKPNGLLSEQEWRMLGVQQSRGWQHYLVHNAS ASPSVSTSLTAYSVASSTVSIYYMLTQTKRGPIGKILASIYLPIQKDRMGEYTFNIRT EKWDGDTMMVSSCMLYYFSLAVNPKLNNKYSIYSSNEMPILPWGEVQLNEDPLRAMTR IYDYVLSLKGGYIRIPLNNVDIWQLYLLGVIKKYSSMRNGKFKFKGKYPKLKKGSKNK TKTKGSDKEENVYDDIKLKLLENESPDEAKRDQNRLVDGKDINATSGIQSPNSENYST DSAKVGQRATLDSYLQTKELKSSSSVISDFRIRRKHCIGGLSVVCKNKLNPKVSYISV PWIPGERTAFEEFRVINEYTSTLEGSSINGICKKNKLSEKLVNFSLALILYLRERQYD INYIDVINYKKCSFAKFKDKVVKFALCFFDFFGLAHGKNSVNRAPWKRILEEHWKLNP SKTLEYLIIGSHQQEVRSDIYSSSEWSEFDFAIAAVVYFFSIVLGIPILYGQYISKVS RRHFLVEREALNLQKYNVPVPHSAKYESE cubi_03478 MISKIRYYFILICIFLIVCELPYNSKCESSESEELPTGDDEFGE VDSGIVSQKEFRKVMLANSNDAKVVVQSDSKSGESKLESNEPNNNKTNEFKSEQTKPE ETKSEEVSPEETKEEETKPVGAKLQRNDSEELSQVNNKFEENKSDENKNVESKPLNSI ESYKENENDLNDGSKKENTADGDNQKNSMEDNSTKNLDSEDEANNKDSSSSEVAQEEE LASESTENLNDQSGDNKENMNGKNSDEKEEDKLQSTNKMDEKQLAADVIDKSNIDDTK NDSKISDVSTDNSKKDDDLENKVKSDNKLEENVEKAIQEMKDKLGANSEDETIKRVDD RFIVIVKKLKQKTNEIPTNTKDAEVRAYNKNEINLSYGKNEEEKSLPEEKPSSEEKSS PKEKLSSEEKPSPHENPSTEEKLSLEKKSSSEEKSLSKEKPSSEENKTESVNQAEEKK IAEANRIDKEEAETESREEKSNKELIEKLKSLSADNKKEEEPANQKIKKINNLLEIGE SDEKMERLIQEKKDYDAKKQEKQKLPLQKKKKWYEKRDPITGELLFDAAALSRNITST ITAKRRKGMFSKCVQFHGQKENCESSKNCFYDSVYEMCLFDCSLLNKKDACEEYLECR FDFIVPRKACVNDCFQSRNFAKQEINGIMRGCMWCRQEVMCNTLSSLQKKKFPEVNNR EFDCNWQLQNGLENDENTENSLCVDRNLGRSMTDKDLVAATYISSQTKLVHEAAEKIK DQMVNNGETEESAKLHVQNINLLKMNICYPPNIPYSQIKPEKKYYLNEEIIEIDCEDG YKITGANNQLRCENGLFSPKVYCIAIREIERQKSSISRHFNKILNLLINSITGNGEWI NVGIEKINESKDQKRKESPDALIDENEVKTGEKEKKSTDDDSSITSSIDTNTTTTTTT AITTNTNQNKENIGKEKTNSKDKEKSKKGKDKNKKSTENENNEPKDFKSKELDGADKN DSELSEESSESNLKLGKNILLDRVTGTPILVQNN cubi_03479 MDGNISSAKQSGSGLPPMSFSPEIQGFIVTKFDPLLSIVKELND HLQSSDKERLRLEYRVSRIERTLESLIKIVTDLGYKLPEEDEIEKNIPELKNELSLLL SGEESITAPWLFSVQSGTPISSLQILLEFSQPNTEVLLADTWRREEDMWIGFLDDLPD EFSTRRPESLSLVDLRRAARKALLEVIRGELLLVIRNVPGKQEVPHNPLPVTLVAILA AAFSEAWERVESSDPNSLGRLALVLEGDNIGSRLRAGKKKFAIEALN cubi_03480 MIDPLGIGKIINDKFTQIGENVLGTVIDQIVGNSSAHLSSLNDF QSLLRKVAGSKFLNKQPIVEVEPNIYAVKDEQLNIFKSVQNSKSLGVLTISVERAIIS PMGFNRNIRNFIIRENAEWVFELALQGQMLVSRPVSTKDFILEIPVNSPVLLSPNKNS VNSTLVFLDDKQESRSSIGVDSKNSSAEREKDRVSGVGAREVFFNFKESFEVTDIFSD MNLDLICRVPIQCKAIVNNKSIEEIVDEDCYFSKNSGSSLANECDEDILPISEDINAT IPANPDSTPNLGSNPPSNNTSHSVSFRINQEQNNSSGSSFKQYKIIRIEKIKPNCKEI LDKLDGENHTVQEVASQNQEWEVLYECIHFGKVTIPISCVFNDKLSKLPILDCSFYKK SLYKANENLAYKMLWKSKNPSSVQNYENNLSKSNTMEEWTVIHGKKANSSDSLIINKP NNSDLEEDSRVFPKDTDIERDSTLRSRFKGETRIHDGLNHSSNEKETKESNFMLEHQD GMYNDNFQFEVRSDSKHLSWYHLYPKTSEMAKYVRPVPGITEYGLSNPIKTLGFIQIG LNFDTECCSKISLLISSYLNMITKKPITRWILPVGFEPQYFQMYAESLKMLLEHYPRW VPKFFFLLNFRIPRSRFDRIMVSLFWAVVTFGALQINSFFSFSWIFMVILPLLISLTY RFGSQIASRIHLDFLTKINLIEQERKGIASTFSQKSNANIEQKNNNNQNSKTLNLINS TPGNIWKMLQPILNIKYHSSLATGDGDFIEENIDIRPFSSSIGKSEKDSSLKIINGVS DKKDLSKIIPKEVYYGDKITSREYCTQYDLCNGDGYICLARTINNSLLVNKEKDREEE LRRLNLLSNDGPDFQPQQEKQRWERTQEFKEDSRPKKLVEDRNKDEERPHQNLKDSGG IVETPFGELRLGLRIPYDNKVVSIFFDDQDLEPIQTQLSNLLAIVELVQQSFASFSTF LMKLKNSLNFEDPLIPFLTLSILFGLTIMLNLSLYLFVLISRSQKMLFLLRGLLLIKF IHWILMKDPFNHVQLEILNNQQRIDPNIFGQNRVIRSFLNRYFKLISSEDRTMLILLN SIKIHDYYPDRFVHMKESFSKPDHLIEKNKERRRGTAGPIRILSISMEEYLFFYAVKF LCIQILLLGSFFNALQYIFISRFSSIFRRIFLFWWYSIPDIREIQHRCIASTQLIGNL DDLLNDHEDNLINFKVINGNSYGIGGSKKVEDNRLEASGIKADLFLKQFYFGKNSNYI KKSTITSSMNQGGQLDQESCGLESSGNPSNNCRCPEVDKSSATSSKKSLIDYILPINK EQNLGTKQESNPKGEKSSTQSSYEVLESFLEGIYPINKSKKQD cubi_03481 MIITNAGLLVLYFLGKLVLNIFVGRLRDIELEEIIDQGRMFLLD TIFFLLFSSPTVDNVEVGAMVLSRYITLVLVLKLLHLISHIRINHMFELDRPKTITII RMAALLNILLVIDVSLVFKYYGLLSRDSTLRLWVFFESVSLFVSCSTSIGKYLVHIVD LKLQAIQLAIRQEEDEAIEQGNTNPPGMQAHNFVWSNKNAILFYMEVFGDMCSLFTYL LFIILFLVLNPSRIPLYIMGDIFHVLKALYSKLSSFRRYRKLTKNIENRLQEATIEEI ERIDTCIVCRDILYIGSKKIPCGHVFHLDCLKSWFIQQQTCPICRSPITIQDEEAESS TFATGEDSNPPEVSENHLEANGNAVYDPVSSNQAGTTLNPDSVTALGSSSLPNSSGTR SSATLAYSSSGEENSRSVKRILKNWLTSERRTTVIEEPISKKVAKHFEGTKFKISNHH LFKRKCGISTPNISKAANRVGDYTNSLPPQILALQPQVLLELNRALNQGTFRNEYESQ EFSEIMSEMYKRNADIWLNRINELINTKSPNFIQYLMSCEQKGNNHTKGESLSNIYES RSCRADPLRNLMDAVNNQLKETNLNSKK cubi_03482 MSILIVFDIDFDSECSILRLEYFKNTLKQLFLDDEFNKEYSECL ISVYFVLDEKKKKLVFTSPRFKEVSWHPVGSYSSMESLLIIDRLIEQITSNCIELKVE QDSVLIKSHLDNSASREELRPISDCFVDFLKEGVMIERNNMQKEIDNSIGILGSNCIN WTEEHQNIGKERQLLVIWLMDSSVCFKRLDNGGFPRFQEMSESSFTPNVEVKYSLLNY GESYSNEHKFSKNCFLEAQVEAVSLLPLSSADKILKNFIFDKINNFYYSEKYISIFSP DSPLSDLEYFNDLSVIGFYLYPSLTRFPLFIQDTLQVLSLPYSSTFIGIISITKYQPL PVITRRLIELKNSSEGKEMAMQALILALHKEKFAMALELNPNWFALLISIIIGSSIHL AMDILPPGYIITA cubi_03483 MNATIESDQELYNIINKEKDFQNSHLNLHPKENVMINAARKVLG SVLTNKYSEGFPGARYYGGTHVIDKIEILCTSRLKQLLKLDKRSDDEWLFNIQCYSGS HAELAICMGILNKGDRIMRIKTDSDTALENYYQVEYYNLDKNGKEFDLNDLREKCKVL KPKLLLVPSDVLTVLINYRLLSEICSEFKILLAADISEIALLVSFERYGKEENDPFKY CDIIYSNTQSSLGGPKGGFLMVNNSKNPGTFQKVNSAVFPGLQGGPHNHQIGSFTVQI QGLLTSRTDEFITSALNNSEVLAQTMSEAGIPLLGDGTETHLVAVDCGRLGIPCELIS KILTECRIRHTYKVFGENKSSIMFGTLVYTFREGSASKMAILGNLISHCIHVGVEIFN KVEKLDVSVEAKQIIISEEMDKNEKLKLIFDKVLGMVSELETINFD cubi_03484 MNNGNCSLLKTEFENFKNSIINEGMDEIVGKCFNQVKENINYLN ELSFEENISSLNNESEFVERNFKIKETQHLFKYCIMLLEKENNLNPHYKLLLENLLFH FQNIFSSERYEIDDSLRDQPDKNWMSKTSSHFSSISSFIPTSQYIPLPGLEELEKQLL YEQNQELIKLKESANELMDIQKILFNEIVSKQATIDDIQDKIKETTVNTDTFHLMHEI NIISEGERRRRVSKVVLYMIVFLILVIIIFRIIF cubi_03485 MQNWEIIDDYDIEKENEHKKNSNILSDLLNDYELENDFMKKNID QQLEEINQLIKEVNQEKVDILNSFPSCSLAIIKSLIRNDDLDDENMDIDQMSLSSSEL HSSNDSPLFEAQTNMDLHQSLTDSPGSMNISDFL cubi_03486 MVFLQEKSLKELDPIMYELINEEYNRQISGLELIASENFVSEGV LEGLSSTFSMFNNDNKTELNSKVTQELVELTNKRALKAYGLDPELWDVNVKPHSGSPA NFAVLNAVLKPNDRIMGLSLQHGGHLTHGHYTNLKRVNCSSHYFESLPYVTDLEGVID YDKLEENAILYRPKMIIAGASGYPRKIDFKRFRSICDKVKAFLMVDIAHYSGLVVAGK YPSPKDYADFITTTSHKTLRGPRSAIIFYKKNIESKLRIKIDESVSREIQSSIHYNQV AALCFQLKQVISDAWVKYAGKVLENSQLLCKSLEESGVSILTNGSDSHKVLIDVRSLN ISGAKAEKALEACEISISRSSLPCDGRTMNCSGIRLGTAALTSRGMGLDDFKFISKII TEVLTVARDIQKDGETLSEFTSNIRASPAIENIKQAVKNFASSFEFVSIKNKF cubi_03487 MLRLEEIKFSGTSIGTGSFSNNLLGRPYSRYSQSGLYVITLKEY PEFLKEHYLEIYNSISGFSYIKTFRNGVKFVRNVSLVHHKTPQENENCNREINFGDLI FIEDKKGGVEIYCLNNRRSLLFSCMILVGKCIKSTCAIKINKSILIVAVMTDSEVFLF SNFLYNSFEDIYRISEFHNSTENHMNDDFTDCVLRYYKRIAPDFNANLGACCITSLGR FYFSCSNEIFKLEFPSGEREQSSDFQLEQVYMLDLDGKKSETPPKFSINYLMSHETFV DVDKFELIYIIHDDEKHIGLKKLSLLRVRIDSSFYEYNFDKLSTIGSVGKCCNILSCK VFENGQFGVIWKSDDQIYVNIFNHFLNGFQKSSLNYSSCLTSDICAKKPANRANYRDL ASLLYGDAPLIYINESEFSSSNQRTNEILKIHSRVFSESLKVRDIEKEEQIIKNVVDS TFLNENTLIRHGDSYSKTGFNYKQEEPVSDNFPLRNMDQFVFFGLPLFFSPVLGIQPN KNITNFPDDWYSCMSFAIHTFTCNSNGDQNIVRVIYTCWYEGIFNYLNNNLHSILKTP QMHLHVLKKLTLLLPESKFSKPTSLWIALNSIKTFQEFQSLDKSDVNLFFNIIDVYIK NGMERQLIDFTKNNSPNFSNVLYSWSIHQSIEVLSFLKKMIKKNKSDLYKKIFRFKEY DEFLGILLGLPFLNNGSNLLTAFVSSNIIPLKSALKILEFALFTERNPNTERNESLFA FGCLVYSLLEWNSIFGLIGNFKSYVESCNSQTEHCSFQFPTSGLISLAIENINEQSIL ERVISEEILIDSNFTWESLLTLSLLLESFNACKVSKNAISGLCSNQLNLVSKLDKFFS GLLPVQNSCHIFSRIVSNSIIIQDFIDFY cubi_03488 MESIKHRLADQFRSVIGNFIPINNSNSKFESDGFLTPKEFVDSG DYLVLQFPNWFWRSASKEYLVGWLPINKQYLHIDNIPCRRRLKSSGLCISKDSSGGIT DDREDEWIFPTKKNEKKTDSFSINEDSRYYDISVTYDKFFQTPRIWLFGYDKDGSPLS TEEMVEDIINEYATKTITLDPHPFTGILCISIHPCNHSNLLKKMAKNHPPHLSIVILL KFITSVIPSIELDNTIDIDIKFDI cubi_03489 MDNILRNYLNSLNELSIKCDTLLEKYKLSENLNVDGRQKLYELF VSFEEMKLWSKSVLYGGNDRPYEKFKALNTDFEYFREMLIARLELTDVGQQKSKDQVS IISRKVLPKVCCLTREVFRDPVSHRYETSEKIENMCKNHIYEKDALLKYLGNSKKKIC PIAGCNFLVIKRFLKRDKEVLDQIQNGSSIKTEGQENIQSLLD cubi_03490 MDSAEILFCLLDSLDNCDLVTEASVYSTVVDFAKSTTTSSNCVG LVSNAITSFLQVSHTSVNHQLSLLRLLSLIISSYAISGDAVLSNGEGDMEGIGIINAT NFSINNDISTEDIEFFEGLTKFVLNEYIFLKSNDPRRVALLQVLVSLVFLIPDKLVEI LLFVAEESRTNYSSGGISSVLVAISAISKIFPELVCRKGHDIIVELLSLLHKAASGDS GSKSTSANFISKVELVRCLADVADALRNSSIERTAFAGLIGTAFDALLNDWVPAIQKD KEVFFLSFPTLETLGHLAQVIDDEQLKQHAPKLLSVYLQILNSLLSKKNKYFPSFVSV FSEPLREDNKCKDLLQSNIYTVRWRQYHAVATSALTPLLNSKSETIAISLHYSILSGF KLFLDRCHSIFSNVFQSQDTTSSLLSVLTQYCAIFHRLYAPALLPGHTAYTDTSNDAM AIEKELFELKNGLKYFCWDIEAVKEIITTHHELILCWKSLIKQDNTREAVIKFLFENI DIKSANRLSTMFILSNIIEDIPFEKVHKLGNVEITVTENSDSPLGCLMFRLARTTLEQ GLDYSIAFILCRIICELSQHGFLHIGATSEHSFNAELENGTDSKTENAINESTRESIQ FSNNNGNKDIWVSPSPSSAEMLTYFLRLLAVPEQKAKNEHLRHLQRQKADYLKAIQGS ESTKIPVIYPPSLWDLRCNAQFILSEELTTLVPDLLWPLLIDAVNHLKLGSAMPTVCE SLSKSCQNLYQKTTPEVFFNAFQFQSTKYLTLSDPFKIFIWLCMYAHDPHIYNGVLAY WSLQCLQWISPMVVSTPSCIWACIPSQRLQSLIEIADTIISPVKIKESQNFTSVSKSS NSLLDNNVVSNLSSKIDIDCWFPLVDECISYILSGISGGVVSSSPLNQIERQDLVVKK TDSSSGEFEKEPVNGIKSSDIVSDALTNLLLALFSACKDIRSDKVQKSSETPTELHRA GMYSILGLLLREISSQEFYDSDQQERKNLNFLRGIFISNILDGLITPDINSIDSNGSN AASVSGASLAGLQGRNGGELNKDLLSQNEIQIVKDLSKRFSDLQHFFHAIGINSTVLQ RSCGRSIGYASSHKNHFIHISEYLLDLIKSDAANKRSGAFSFFGKSLAVVQAEQLRST LIVSFGHSIGEVPVSLFNSATETVRKILQVLETAIKEEKELQLQCSTLKAIQIAFQAL SSSESNPELTLSSRINSVLSRFHSGEGNVKLKKDVYGFDRGDISLELFSSFRDRIFPY LLTIIVFSASIELPPAPLNSMLLLKAASGMPYSTSSSISSQRIHTDPSGSYIRSMVRY RDISIGSFESLEYSHEDLGTISTTINDYDTTAKDISSSAKVGRCSNSYSESEDAKFGP FNLTLSVLSVLSENPYMISDSVNGNFLEAFCTTLDISPNLGSVISANPALRGVISNLS YAKNKNGSGLNGMNGYFGDTSSIEISPSGVKRTMLKGHFEGNKVVSDTFVQSSSFSGG SSTEMNGFTPVDSKITSNTSLAQTTSGTSNTFSSSVGTLNQTKNPSNINLSTQSCNSN TGNVNIGSANTTSSANTSNSNTSSVNTTGNSTTIGTAGGLISSSGSSTNNNNKPGTGN TNNSPNSVVFNKLLLDALDASISLISFPSPLLPQHIPLVVSSCLTILVTVSSRIQFRR LTEEDFSIFYTRNIEKFNLPSPSLNIIDGGYRLEEMMSIIEDSSLPTSNSEVSVFKIL DSLDRLYLSIYKSHMPSWAGLTHLLEGLLGLAATSTSSVLRCICIQVVYCLLCEAPLV EIFQQSQIIQRPENNDSNLMNSKNYEGVPPKGTWVSWMHCIALVLGRTCDSCLFVRLI AFECIKECMKRCIWTQKIDLSQIMAIDFGTDDSLKYSGNRVPWISLSGNFEVECRSDQ NEIIGVIPKEQEKILSDIQSLILPPTYLNLLCNLANCIPAYCLRPLCQHILPSFHDTD KITAHSGVETVRVLFSINKNILENESFACKIVSSLFEEISAIKDLELQHFVYLLIRNV VSFRFQAAISEIFRKCIQPQKYINHIVTGDSFSGDFIENIVVNNCGGQNSEWTAVDIP SDNGKITKNNAQGSVNSKLGIGLKNMINIIFVVDPIYSKAISYIGKDKSLLLESFRYL TDVLNNTEQNYLDIVEMNERLCSKICSSSNINFSSMASSNQATINTAISPTHNSVANL IGTGIVVGGTLSMGITANVAGPSAGSFSLVNKSLMFPFSTFSILPSEHINIRSSTLAL DILLQTNDSAIKILAKKHFPELISTILLRCCSTLGYINMGALESSNALRSLFLALHDS DTILTFFDANQLKLSLIKPNEFDYAVMKLLCYLFINNPNFTTSIISFVRPFLRRVNSI YSMSALILLAPTPLGIYYKEYIKYPKVCEKVYGELRTEANISSEKDGLENVYSLEFLD SILSIIKTSNNPYSKKSGLIFFQWYFWYCLESRKYPSGEFLLKFLNVCFTNVIGSSLI RTGVNNNTREVLNPKGFRLEEKNEQECNEDRGELNGSRDFDASGEYKSRNGTDDEYNV QFRSKIKQVINEYKEENSEESIHEDTDEDVAVSNSFTDLSEGEISSEINSEEELKGRK ANLNRSDVVEVPIKIKNRLVATEYLKECLVCLRYLVCLISRISTGLSLQFVPEHPTIY LNFNEDKKVSACLEKNSLYSEFVELLFLSQGETHGGQLQVESFQTAIFESEDLCKALV GENRISDIFIDFITNSDMDLNITYQKMYLLLDILILIRQNWKERRPNFEEIEPLRSSI KKLLIPLLVRLEGPTLELSRSVWRAVQLILSIILDENEWLKVLNEIHRKMILDDLMVQ NSSSLSFMGYRNSIGSPISTNMSPTSINRIRTSKNISRMNAEKPSSNDSLRKIDTRQH ADERSNEDNIQVINVDNFTLSYKNELSDGDKIYHYILPSKYRKISKTIVGDGVNNIMD VFHNGGRNSNFSSEVNPSNKFVQIKYSGECEVMNEYLLPIVNPIESNSYQPFLNCIIP FIIYSEILKDSSLRLKSEYQKSDLHFRKGSSKEINISGTEYSNKNEKDITTFGAKLSN STLRELGRKIDDCHMYLNKCRYYFPREVWEITETAFSTIPKELDRIRKEAETQHLLFN RNIFGEMVNDNFDSNNGLGFLGQKSFLNKDIEIADLNSSTYFDSQSHSFSFSSYSSSS GMISIPSFARTALSRFNILWNTNRALGTIKEDFDGSGAVINHGDFTTDPELFKEKTDQ ITGISMKNKARQKLSNSDSFDRLNECEQSKEDTSLDERIDMNSSNEFIAEDVSENAIR DSNSPVYSKFIRKDNRLPETLEQQLNIKKMELISEYIKYPAIFKNLEKYREVNGDMRA VIEGIAAILASTFIKYISTELFQHLYTSEVFMFMAYKEISETDVILCKSSSLDSDFNS EEHLRREHTSKCLDNEELMAIIDKLLSLESLVAAICKQISTFVAHSQSPSRHRFAKAL ANLVYISFKP cubi_03491 MRQYSPIDVALDDDLFYSYRLSMGVFDSLISKDEEEKIKNVFQI KKSSKNNDIDLYRQEFLRKFNSNENGLASEVFTETNNFLSCIGKLNNQSIHLLFQFNE IITSTKASSKLIFAGNESVLYNLGTDENCECKVLPIDSSIIGFVDYIDTRNDTMKSFL SKFNKKISDLSPFLDNKHKNNKNEFITYIKLLLRVSFIKSICLLKMYGKILPIYLFDG SQLLIKIEPMFNFLNDGCMISYKVINEQIDSSIMKVDLNYHQFKWFYSWKKIFKISNN FFLFQNDYPYQLSPNKKLCMQNINSESSLTPPRKVINILNDENPKGNLADEKPNKKMS SPGKIQESQKDIFSEEENEASSRTNDESSPAFSSLSKTTNDVINELLNKPNSNILSSK QKKKRSKQSESSDIENSQDYVDKLIQQQTMQLFDL cubi_03492 MNTKHFILSICISIIILSINSVRCKLYYEDSFLVTDEKYDVIII GAGVSGCSMANVYAKNGKKVLLLERGGPREKHPKTLVTKGAPGVITDESISEAITTSN GTLMNVANIVGGGASINGGFYVEPSAQFMRKTIESSGAKFDEEKYLNAKEIIRSSGIL NTDNRLESNTFPQAMFEAMRNIREYSGNVSETPIYDPEGNNTFVTVSLFNETNRSSAD ILLSHENIQIYPYAVVESIQFSSTDESRDPYSLKKKVTAQCILGKMRSESDGFISVSS GMTQRTIYNNNGPKFKICLNKPDAFIVLTSGAIYSPAILMRSGIGPIEVLRRHGILPV KVLEQVGKNYHDHLQFGLFGILKEKQPMSIQKVYSYSNCSKYHPDPPNIYDELSLFHY KESEQENLNSTLSKNSFDLLLEPILTNPESPLFVPPEPTSPQYKEGNCYSTILNEVSG ELWIPFAISQIVYRKSKVLMNPLLNYLALSLRTKVLNAMENNSTRISDDGFNKMLQPM NDCFENGSAALSFLTIPKSRGSITLDDMGLPEINSSDFSDPRDIEAAIVGMKHLIQLM TSQSVSPVLESELNSCIASIRSIWDSFPLFGGVDENNVIGFSSREQNVPALIPPLPKI INDKTAFDMVKESYSTIWHPTGTCSLGSVVDEDFNVRGTTNLKVGDASVFPEVSDVSP LGSVFLLATYIALVTS cubi_03493 MKNWKHKFFYLLITITFLFLSKVKGQHIFYFNENVTKLKSNETE PLTNIIIPYFSNMISNDTLNNTQGNIIKAIVGFDNFIFDIARNITSGMRFAQFLFLNK TKTFILPFIMFPDQKSFKNSILNSTELDSSNLGNLLPINVNLTNPEIGNFTNSTLENV FIKPELSIPYLRGSNKIIRYNDTTNEIAKNFTDLYTRNESGKIVNSTMVRLNKFLVQS NNDTVNFKNKTATNRFLQFTLSFGLGELGNEYTDPSLINGDGIPDVGNSPFFIPGYNP WETTFNDYDSIYDNGFGLDYE cubi_03494 MCKTKRSETEIPIDDNICVICGIKTGKYKFRCCVRNFCSVGCFQ IHSKEECKLKSNQLNEFTKLDQNIGDRYKEIKMENSLEETEQFELTEKEKQALNSNEK LSCLLRRNPQLVEMIKFIDTSENKIEALACIMDEDSRGKNKLFAEFTELVAESLGEEF KTYKSAYDSIVSDITIRKR cubi_03495 MKIKPYKPIYKLCIVGAGPSGCYLAKSLLIQSKKENIYINIDLL DSLDKPFGLLRYGIAPDRHGLKKTIYSIENSLFKKYSDNVKFYGNVTLGHDIKIEELK VKYDVVILAVGGSQSFHTLPVKYMSKDLLNTIIGGVFSSRDWVFYYNNHPAFKNMLIP SKNELFNSINEKCINDKKIDMKMELNYLEKKNNQFFEYKSPVSSTEISIPYKNGNGDF KHGYSSDLLKSYILNSSERNAVIVGNGNVSLDIIRLLSFYSYDQLSKNRYLNPDFLNL INTSDKYSNFSMGRPLFKNIFIVGRRGWIQNSFKYPLLKEFIEKSRKSKCNLKNGTNV RVMMSQEDFELSQDQNSLFELNRSSPDVKRRYLKMKQIFQEMVNNHQEYINNNIFYND RTVNIHFKNLQIPINIKTEQVDIPGNNGKEKSMPFIKGIEFARNIYDSDLLSNRIKFN EKERYYFPCQLLITSLGFKPKYDYIFGERRDNSTEKNSDPCPVFKTGWMETNSKGDLN TIIQNSFILSHEIFNLIKKIQPKNVNM cubi_03496 MDEARNLLKEKLECSNISELIGDVAKKNFSFLNYIKISNVGILE LYKHQTGVILAFMKTFNSSGPSFIHCKLSFKTVPKNNKGIPHALEHVIFNGSKNFPYN DSIDKISNKLCCPYTNAFTLDDSTTFEFECTSEWSLLQMLKVWLDHLFYPLLSEESFL TEVVHLNGNAEYHGVVYSEVSSFERDYDEIVATLSKFHVLCESPVEKKKLKLQSTWEL YDKIIEKYNGKYSYIFSCIGRTTGLEKLTLNELKEFHANFYNLSNMLIFISGNDNINI NNIFGVIESFIKEIEPISGHKDFKKIKISHTPVGQRLLSNEKCCFSETLSEQTFPWYL EIPFPSEEEDLGTISFSWRFGSFSNFKEMLSITVLLHYLSVGSDSPLMKELVEDKSYC SNVDMLNVCEKNKYHQILLQGVETGKFDKTVDLFERIISEFQTGERKINLNDLKCKIE GLYYGHLISLENKTIDIVFQQLSGFINYRVDNFDEFGLFCNLHIVLKELLSENLEYWK NLLNEAFCLKKMNVLEFFPSKRLSKEITRKFKQDRKERLDKKGQSFFQNIKAKLEEAV KTNKRRSITLETLNYFNITFNLNNINLNKASITTNVNYSESKLAEINEGNDIIFKKLK VDSNPELQIMENCLYFFLENSNTEFVHAQLRMNLDENLSNIDKLLSSFLVELLLQTSV KIINPIGSKVSESYSKLRESKGFLPDISWSDGEIINYYDFDKLLNTFCIDYYAEFSDG WILDSNTIPNQIKINWTTPREYAEFSSVLIMSGICGMKLSIERISTIARNIQGTICEL KLSEENLITCVSNSLCFCDRSIFNISNIPNFDHILKILIREPKKYLIELERIHSILIK PFTFGISDNTSYNNTQFSLFIIGTEKVNKMNIFKYFYLNKNTKNQNLFDSKIPNPVDS GLLPWEALSKALPLKLGIDFNSNNSCKFRVPEKYGYSIYLQVPSSSTACFVQSINLNT FGSFSPKGSHFYNPDVPALLVLSNYLWDPCSLLFESIRGNGLAYSGCLDLCMSTGQFS NCVYESTSIGESLVKTWEIFREISMDENEIAEEIKKNNKLPKYYESLYKNLDLDEAKR ISAYKFISKHKKFNNWAKQLNFDLNVGISPNFDKFIIGEISKVTVEDIKRVSNKYLKH FLPNTSNLAPSSCIAFCGGENSAIDAMEVLKNYQNFRFSVISYSEFSKQTLKNIKI cubi_03497 MTIDSAKNSKRKGINFTYGDREILTENKKRKSSCSTSTRDSNVR PDSTQLRSKKNESCMDIFVQANDNDEKELIKLDTIEIKNLKDGFKYLGYRCRVVVSRQ KVKEPKNNLPDAFNWVEGIIKYWDPKFKLFFIHFLLSPSMNSFSNQNNISKHEWKYLA TQNNSPPAMTELSNLVLSPFAIDRGWFDPNPITLRVYGNSPVIDLSSILFENRLEQTK YKESNNEICTRCKLSIVKDLSQSCEICSRKFHSSCVTEGKSDIQDLKDLDITSLRRYN SPSNLELLVIANEHNQYIRERRKLWKRNKDIYDKEGNLSLNNKFFHGNQLPYDLVDVE ELEKALPAEVKNVILENYNMSHTGIRKLLSKLPNKIGCIIGDHQVKLLCRNYRYNGRN KSTILTKSFKEEEDLSKNTSLELPPSKIYSTDELTVQKSDLIDDINLNLNLTKNESNF ELPIIETRELDYTDLANSRNNSIQILVGAGLNNGLAQKNECKYRCKECMPCIYCKEPL IRVPMILKPNELPNRSVVYSQIPTKLENFVVCSTCGICYHGSCGNSFIPPLIFGGNNF NCSNCCKCIHCGYRDDGFMDYASWDSTFSSCIRCCKGFERGQFCSICRKIWTSSWEGE WLQCDICKFWVHYDCDKGLSKPMEFYSNVNNCYNCPACRSNDNSVKYKRILEHFICLD KNKDFVSIPLPSYQNYWKVVKIPMDIITITKNLENKKYESDDYSFIKDIFRILYNAQI SHMPNHRIFKLAANILKKITHLFRLLFGENILFNFFRMVKDEESSMSILLDQVNGDNS KSKIDGEVLENTNSFKSLEHSSGYKINYLENEDKYENEFAAILTNNVCMSDRMKLNTE INWSLRKTISREKFVYLEEYDCLNSAFGKLMIEFQKCIICQQKSGHLVQCLKCGLGVH TKCSEEANSSFICNSCTTCNICSELMIEASIPVISCHTCTKRAHYTCIWQDYEEFISQ SKYSGVSSRMSKRNEGRHTFINLSRALKDNRNYICLWKIFSSASSPNKPRVLISSPLT AVFGKGYYQYLINEIYLCTECFEAKTYLHSNLFMKKYADEYNRFLFDKVNNFQKLIKE ILNKDYSEKHSNEEGTKQKEINRILKKISNVKLKPFMHCEQKNVIICNLCSERFFCED FEDLADIANDKLICSVHLNFICNNCSSFGTKHSRNRDNKINDFDVQNFDTKVEENSKK SKSQVNVFPLILNTLISTSQFRMTLSKDIYLLLKIILRPFIDQKILEVIEKYNSYLDA DIEKIRNEIFIKYSNSELFAKLISQTLNSSLLQWYLFYIHQSGSLDLSRLRKNYLQHF YRRNNISLDDLIKSNTLYIIIGSIEKMVLSNEISSTTDQNKSIQNSLNDPDYLSFLGL YSNLLFLRGNFHLFGLGSLNTMNCQAIVDTKMYFDVNDSFKKYLLNNQNSRKNSISVT KQRALKNEIIQGLTLIKNQIEKDRKDFELPKLSFFVLIYNLILTKLNKIDNCLDNIYS NKCRYCGKTQNILLGDYFIIVGENINLHKECVLWSLPFVLEPILNHLDMGYESKFETN KKKSDIYTPKYQTFENISWPIIRRPIRVDINDIILTLNDLDVLKCFFCGQTGATIKCS GNDTCFKYYHIDCIFGNFQYVYLNSHSNSQFNKECGKVINNSVSNINLVEQSIVHIRL KYRRVWCKECWEIYKSMIEPEPSFSEGLTGGILNTFVSMLSVDIIIATNTTIQEKMQT VNKNKVFDLFLEQLISITSGLEKRSCSKVKILIQRLKRIRDSISFKNFEFEPNILNNS IILLDPGVIIDNKNLLNEKKTILFPTNYRSLRIWKSSGIINSIFKIDNYLSLYLCSIF EVNGDLEFQIDWVPSSLDEVKKVKELLNTKGNIRDEELLREIHINGILHRLFCIPLLR DSNLKNLFNSFSELLSSSSLKDISRYTLQCIIYPEYFTDDSFLDHINTKESPMVSDCD TQSQIFFGFREEFIYNFIKREIDKFCLFELISAFYSKKIFADIIHPELWSSHRQHPYS RKLSNYEGFDFEYSKLGRNTLRGSRIDEELFNVLYDGNNQDPGNVELMNSTHQNLQES SRRSRIKLEDMAPSKLYRYLDSLPYDKRLSIKKSSIHGFGLFAKELIKAGEPIIEYVG EVIRNSVADKRENFYKSDGNRDGSCYMFRLDESSVIDATNTGNHARFMNHCCDPNSIC KVISIDPHNKHIVIFSKKTIDKDEEITYDYQFNVEEASEKIICHCGVSNCLGRMN cubi_03498 MHYLNDNTAYREDEYLIILHKDEFNDKELEETIKLNINGNYYMY QRTEFLGDSASYGRFSSEVLFKKINALKTYIESSDLKVTLNFNKFKEMINEVLSLIDI NIFQKKDLMIKEIENLGLIITSNFEKNIKRAKVSIEGDKRIKKSIEFIYWLLKKNTGL NRAERANEVRNFNANNNQSEIYKECKENLSKIDSKVNYEQKMGILGTNDDKITELVIS TEIQGNQRITNFGKDKNNSNLSDESSLSSEIENEQIFTETEGDSSENSNMDDIDSDIS QKSEPIINKNRMHKLYRRAGTVINGNDSSNISDTKVDKNKYLKLIESYKNFKKTAEAK ENISTNHD cubi_03499 MNVIFELIKNGIRVFGIKIGSFSNDNFEELSSLIYDEYSGFHIV EGFNIAESESFLIVSKESFFQNYEVKSNSFNLSECNGEIKKDKGRTNLNQNNENLFKT PKFSDLKSTQTHEIGSAIACNTPTKTSCNADINIVEKEGFFINSLFEDTNESYFLKEL IGLDREKCELTDYEESLFKIQDYSATPKFPDSKYTQKFKNDGIYLTSDSFDFYFDDKI RLNDDFKKSDLLLLLRLKRKKLAMLETQLKRNLKLLDFSDSSDTRSLFIDNFSSIEKI AKCPAQLYFIKRRIDNIKENIENTERDIAMLKENTSETY cubi_03500 MSELITWSNEEIEELFNLVVKLFNGCTTRNKLIDKIQKRTGVRS NFYHSDSSCNTGNQSSTGIRNFSQSSEDKVGNLIDRYLNKKFIIMLLPMAIKKAFFAF SSLKKTFIRKPNNGRKENELDIIEYSLMITVFTESMVYYSVNKIMNEVKNNYSSSTND ISRWASPYIIKDLVQKIDNQILYEFNEYGISMKSTWLGEDVRNNVNRRIVKMDYQGLF TNNLGAKSNFGTNFRYITLEGHEIQDEMLFYFETIEHLPNELNAKLGRNLNSLSKSIY ILSIEPEKTAFILDRKSESFIPGSKIGIIYFPCKDKISINCQSAKTKKSVELELSDDK LVILDLNKNKYTFRNTDSGIVTYCILTYIFGPK cubi_03501 MIGKTCSEDGSSSPMSLEDPDEPSPSPINPITLKHTLRLASRVS ISNNANIEEKYFEVYPNSSLLTELGETLEAISVNDFSFSPSPLKLSKIPKNMKANFLK STIKKNKRKKAKKSQKQRKNNNQVTKFDQKTKQENIREKLSNENIEESCEISDLDVRG IVVEVEPQSDNKILSSEMINNIQLIDEQKPDASEKISATPSFLIKKSFSYSKWIRKES IKNFINRYIPRFLIPAFKAIGNLRIRFATPTKKIETQQEIRSKKEKVRKKMKLKGK cubi_03502 MSNLVEKTGFNHLGLSSCQKEKNVEKNEYLEKNNSNEEIYGNKI QDDGVNSKTVSAPLNNDLLITNDNEKVFTDTLEQSELEMKLKKLLEATNQYSYLLSGE TKNSKHLGSNSKPGSSGRQHFISEKEEDDILIKEVEDDGSQNEDREINYSLEKVTEQP DCITGKMKFYQLEGLNWLFQLYKHNINGILADEMGLGKTLQTISILGFLKSTFKVEGP HIILTPRSTLDNWFCELNRWCPSLRVVKLHGDRQLRDEIFSKLLFPGSKVYASTVYFN ESNELVEDEENDVDLYRNSEDRPTFANFEFTDGNLQYNICLTTFEMAIKEKWRLQKIN WKYCILDEAHRIKNEKSLLSEVVRLLKSKNRLLITGTPLQNNLKELWSLLNFLMPNLF SSSEDFESLFDFSKLDSDDKQKCVIKTLHRILRPFMLRRLKVDVERDLPPKRELYVYI GLSKLQKKIYSELLTRNLDVLNSASSNKTQMLNLLMQLRKTCNHPYLFDGVEPGPPYV EGFHMVEASGKMVLLHKLLPKLFSQGSRVLLFSQMTRLLDIIDDYLRWCGYPYCRIDG STPGIERQERIDIFNKEGSDKIIFLLSTRAGGIGINLATADVVILFDSDFNPQMDLQA MDRAHRIGQKKPVTVYRFVTEKTVEERIVERAAKKLKLDSLIIQQGLISKASNSAPDN RELHEMIQFGAQEVYHTRDSSSVTDEDIDTILAAAQERTNEMNAKMKKLSSELDLQNL RLDGGIRTSMHPEVKDNELKSIEDPKAKDMPLIQTDITWFDLGERKTKWKLETSSNNT ATVRKQPKPKLIGWRAQVGGGYDHQFFNAKRLDELEEIEKKWNEYLKENETKHNTNSS DENLEDIFSVPPELKELNQNQLVRLLDVAEEHMGVSIDKSSWPKKKLFSRAKELFSSG FVLPRCNFKEALTDLRLMFSDGRAWDIHIPCARQRSKKKTNKDCNSKNNKTPKVFTEL MKQEKMELISQGFPNWTKGDFQRFCRAAELYGSDLHSIAELMENKSYEEVSRYYHVFF KRYKELPSGERIMGRIKMTEKIQNWFSDANNAIKYIVHEQIKKNKIKKLSLDNIFFPF KVKNTSNKFESFNHLQDNALLIAMYKLGVQSYNDVAISFKYLLNSITSVNSLYSSHYS IEFITERCNEISKAAINYYKKCSQKQIKPNKSAISKSDTQKKKAKNSNKVSNFNKKKI KKIQDTESDNTDSNYNSEESLEESSEKSSEIDFEDQEEFNTDDEKVQHNSRKKRSNDT KRKNNKFSKRKKLN cubi_03503 MYLNKKILYWCTIPIVVLVFCGVILRTIIQTSSQGKKKKKLPFK NEQNLNDCLISTQRVSLRNQEFQNHLNRSALIRSRRFLIPEDSFLKRKVFYCDPETGY FQNSPESPSPLAALSNPDHSALTDMMKNQFGFLILNGGMGYLVSTLFSGFFVAYIPFP LSYSFKGMLQRGIEINPNISASFLSALSFYFIVLLGSGEVIHLFLSIIGFEGVSSSQI DLPMMNQQASPLGSQTDYSKLFKEEIESLQITPIESALRQIEEMAIKQLKS cubi_03504 MSDHLQPENVNQDSSPRESRFTEIKINSSENPVQIKKDWLLEYR NGLSCEEMQQRQIEGKSYTMPLLKAMLLSHYNRTAWNDAESQVKYSNIKNGQTTDELR EISQRLMVDEDNSARVLLERKYCMKKVGLLGKFSPRWFIFKGTNLAVFHSAHEETQPK FYSLVGAAYEVEDRDSSQNKISWTTPIEIISEDGNIVHTDAKYRLSVVTKEPKVRHFC LYSNKKEEIADWVKVFHVANLNLDPSQAALISNVITRIAQRDVPSAWEALVYEAENRA HRETMLRCFVNRLRYLKATRVLNKWQTIYKVQNKDSNEGLKLLGREVMLGRLYRRDKL LVRTSQQREAIIELIQEGYRREKSKSQAQMKASAESFETTDSDAAAIAATSEGAQIKQ GQQGRDFPTVFYVNPSIEKYHSRILDGKEQSIKSKYSKHTIIPTYQEDANVRISSDLS RVIWAPKKWESVGNKNMFIDVDSISSIIVNASKPSYASKGLYSAPTIVSEYDIAECVD EYVYSMTDSCFSHITNKEKRERDPQNKITTGNWLAICGPRLGFNPAYSPDFLIRDTPV VVMKVNINVKSISLNERFSRLYTEYYQYYADELQEMAPYEKTTTMAALTYGDNSQTTR LNTIKSLVSNAQTNEEIVRDYGTMTSSISSIRRLFTAASNIDNEGIENNLKESGSSNF ENQIKPSNSTIASIRNLFTRSISNVKDFSSPRSSPVRSQTKSATVTGTKSARKVDGLV LPPELSVVDPFKTVQVERNLEIRDSTVQTYLRITAFHQSYTSAHAVGVNPTYDLNFCV DVPLMTSNASKEMINEARNKAMEDAICTVDLWVKSPIECVPDELIASIDIPLRELKNS DRLSRQRSQFIAADSFILKQPVPDLKSSASGNSRAIQILKYLGLLDPNSENLEDLPLG QLDISADSVIETTIMGETPISPETICLGGLQGLSSYSIDQLPNQNIRQKNGDCVELYI GQVKLDPNWTPGLYFVEVIMGDVSCMTHLVQTRYDSIYADFRSHLYIPRYDNQLGVDP KCLQILIRRALGTEDRCSSLARLLQDSVIMGELIVDVTKLTINEPHSSNGLYAVFKPT TSALYGSKGLDYALEIAQLGPKSDELQEAKQHMLDVQIRMMVTLRRKTDVTLKKVIDQ SDDFKLVGDTALLVVEEELKYPISQKEFHVKCCPGNFDTLKAGTLGLPLRSVGNYIEY EIGGFQENSDQQINDLNTLNKFENDSILNEELEAIDAQPVPLYASDPVPAQFYLPEDP RTFRNRKLPGIWHRILADGFRPGERVTRLTHRVRYVPVTIIAIYKNRTALCRWAENVS INPTEFPEGCVFIPEQRLVMGVPLSFLSTPHKAGIHVYDSTITRLPDNSITLLSDITN YGGYMPLSVNPIFCEYEWVLWIKAETPQQMTLWATIIRNTVRERAFRRVRAYERTRDI MNNEEETENNDCKASNSTISRHSNTQSHLEKRYDSGELEVVVHSSKILCKSNKSLNFY AVFQWARIPRQLYEMIENEGNYDVKSSIRQAVKRIIEESILGFNMKNRGSVTDQLTPQ ELRPAPIDMNTAKLHASYIPFSLSTQIKETKLYSVDKIRDDFLILVTKITFSSYYLSN LCRNVLPDETSPYVKNSKEAKLLDKISGELVGVIPDKLDKKKLTNEVYNTYRCRFYNN KDTCERGGSVFSTTHIDTSDEVPLLIIKLFQETNNDTDTFIGHVTLNTVDYLTPENPF QIKYKKINPRYTYIVSASGAGGKMYNFDTVPDALNFVMSQNKEITVEEFYRALYGETN QARRIHGPWRVRVQENIEYHGDVCLMVRYGPTDIRLLTEFQPTANDYKEHILDYHRKQ FDDSGIPYIHTVYDPNTPFAVKMARIFGNKFGQLNGNVFDLLKKYSDDTKMLKLIQMY LLRPAEQWIYERSLYEQMLFLEPLTDLIEKQNMTRWKYLLADSNNRGLQQWEQLYRQG LLLHKVDELSYDSWSILVNANVRDRLPSILLELWNGEEYLGEYLLPSLSSLLLSKINT TVRLHTSALARENDKKTRYDIRKENLTSAKLSTFINMEISWRIKSTTEGIFGLIVRDV RNVVSELTDDLNEIKPQIHIYQYFSDNDQWRLMEITKPSIATRTILGIETPEKLKKQN IEWNNVFVYSRDRIVDLQFPISIPAMVESVPIVFNFSELLLSDNNNNKLDRDESNEIV EKIWSLLKSGVPNYYRPYIWMQLSGANDLKNSIQKKWQSLNDSNKCGHDTLFSYLIEY ADTCNSCVLRQLDEDIESARIRYHMCESILGIDQVHTFWENVRYIMRALIVFSLRKKY NIYNCEKFHVGLPLQYAFGLLSLTIELLMNFGGVCLTPEDVFYLVYSVCGSRHSIYLK PKTEEDNKDENRSIKNKKLIKGALLPYYTTEEQEDDLNNDMPAQINDIIFLKSALELL HPYEYDNMLASGFQLEEILYGCLTSIFAGSLPSSTITQLYDLLFNVYFQPQDIFIRKR EEHSNKRDHKNEIDYNDNKINISLSRRILICLSYYIITESYREININPLISSKCIRET IKSTMATMRDPLEMVHGIERADFIIFGNKKIYETILKLYETYIISYQESLWFSKKQNS VLEKMINMTPLQNQHGSDAPSHLGMRFIGGRYVPKTVVSPGLSLKDLTESLYPLIKFQ ALYAGRNLHQLPPGIAGYVIIKIDEVREFAKYNEPISPVVCVRMDQYKVATDPATERC SRSFIWKSNNVFMFPIPIWKSPAQKKNIHGGRNTYQLQRESSESEMPMTVEIFIEVLD SEALDDENKLLCSAQLSLDRWSTDGGSLSLNGDDGTLAIYNDCNKMSLLFSWYTYVPN NELDTSALLPFSFGKQQWGDYGGYHCIYAPVNVESYRNELHSDLKEEKLKEWESEPRQ SVLDIIRGRRKMDESGGNLDSLPTMRSMYPGKLEISTGKYGPTRSQLQQLFFFSAPSL LPYVDEIVESFAKISMNSSEEKVTLTPVPLRELIASIILCSRGTLSEKANLLFDLFGY DDANRSSVAFHSMYYSHTPQYPAAIQIGSQLNTHLPVEVSDKLGVAPSNVISLAGVAA IVQTACIRSNIPLDNVGIYQITASVFDHYFDVPSVLKALIIPPKGYDGRVSKEYITHN YRNLKYDTSSISNTEDITIDNMNIFNDCRGNKSIFNSINCMNSKNTKENVYWYNIDHM IDSKYILDVSRSITHHIRKNASLEGLFGIDFSVHTCLRHFDINDPFPGLNKTLRIIIS GKRSKHEIRIIDLTIDEKGHFIDSRVQYGTETSEDLHTTDVRGANQFRQAFESGLATV DFPRLLYSDGAANGKERVPTASFVFNLHNILIDKYSFVTKFCDFTLVTEAIRRITAND RTCEPNQYLKLNVEVNLTLEENKSYPHYSVDEILGVPLKVINNNDELANQLSQSLVIS GSNNIGNQSQRSIGSINASTLINDDGYMTQRSMISTNSKQVFEPSLSVHSSMSLLNNS SNINEDVANQSSQAEVFNSNSSFVNNSIAEGDIEQILSKKSTRGDENPENSNEGHKNG NIEKVPTRTESNGGAVLKQASTATSKIAALFMTRESSLFKSLSSTFNNGDNNKEAGNL DSRVNSGAKASKISDNEEIIRVESDFNSRKKSSNIDEDDEELKVDEEEEMLLQQQQLS SAINSFNSKRINSQTSLLSAVSRGASTVNLCRTFTRGNFGSYYEPEGKTEYQVDIPFR PPGQVEERHNIRVYLADSIRVLKNKVMEACKSIAQRLQESGIDNGLYKEIVLGPYHVV ELVVTDFDGHIRYVPLMNETNSIEDYILSNEDLLQEFITSNMNSILNIRITPPKNLQT EKSTKIVNEMEHESGGACITSMYLANELANHEILEDGLLVRNPLGFRTYFHRAHYEIR NKMIEIEPGKVVSLDNLCFVRKSNTVLNNLAACINLDWNVDEWVPAVAIRGINTFLDE LEGIHSDSVRGRTSRIPQAVLSASSIIGGFMPVTLGAYNPISAAFDYGYGARNGSITV SNNHRNFGNGASTKRYKTGTNLNTKKFGTTTTGINNSNQSSNSVSSKIKPEDMITSVY GWDLCYEVILLDFHDLMIEGGINTRESTCVTENDVIFCFRNPSVRQQFEITQLTTDEK IRIMSLAESGMCPQRIALELSEDRVAALKSGSYSMLYNNQPRAVVVPHQLVSEFLRRF RAAIEEDKHIF cubi_03505 MKGILLLSYLIVVLGIGVSLQSDDSSEKLNVIPIRSNLINVVPI KMSNQVFNNRNNITVLDKLRQKFPNCPLSCEESWISDGWCDKECFSDECGNDGGDCVG WCAPECRPTWLGDGQCDIDCFNSKCDWDNGDCKDHKFELNKEIVLNFEKNGVNTTNID FNYSKCDCNKELLGNNICDTECNKIECNMDKGDCLHRCNSRCINMWLGDGQCDPNCDT EECFFDKGDCKTCSGNCRTWMIGNGICDNGCNNKDCNYDGGDCANVCSVSKVDYNNQP LIYKFCLNSWVGDGYCDEDCNNENCDFDKGDCSSKKSDDILLNSLYSYEASLNPPRKI KDIKNKEIGGNIKRASSDINSQNDLGISSSSNNDVTTTTTATTXXXXXXXXXXXXXXX XXXXXXXXXXXXXLPLLLLLPLLILIAMVTNLNVMNYNHNANKLNLLEYPGYSNQPTQ YLTQNRNFQYNQYYNTYNSQSGYYNNGISNHYNSPDNTDQNYLYSNNNNHYQDLGNNG NNYQYYNNDQGYRNNYISSRNNQNNNFRYFNNRRN cubi_03506 MSDISLERMISKDIYYSDQAETPSGDSNVFVIAVAGGSASGKTS VCTRIFSELGDKRVAVIETDSFYKNPVLEEGQTIADYNFDHPNSVDFELLYNVLRSLK NGEGVHIPNYCFKQHKRLTTGRQVSPASIIIVEGIFILFHPKIRHLINMSIFVDTDDD IRLIRRIRRDTVERGRQIDDILNQYEKMVKPSYDEYIYPTRRYADIVIPHYPNEVAVD LVVQHLRNKLKMDDLRKIYSNLHIIPSNCQIRQMHSIIRNKNTSAVDFVFWSDRLIRL VVENALGHLSFTGQTIETPIGELYDGVQCNYKDKLCAVSIVRGGESMEIGLSAVCKDI PIGKILLEFQNPKTELDAQFDKPKIIYCKLPDDIASRNVFILDPILGNGFGVFSAIKY LLSKGVLQRNIIVLSLIVAHNAIHRICRDFPEATLITTEIDRDVNSDGFVVPGLGCFA DRYFGTE cubi_03507 MIDDQLIIYTLVISPVVGWLTSTKRAWNKKNQVNGVILALIVLV IACVLQNVRVSQNYYQVLGISSNASRSEVTGAYRKLALKFHPDKNSDPNAREIYSKIR NANEILSNDLSRNWYRRFGTVENNLNSYKVSEDEEFIDYPLHLTLVPFITAVIPICLS LLMWNDQENLRIIILCFIVWTLSCGILLRFDKNERDFLTFIPIFRNFLPFEKIRVLEG LYITVMNSLQLILPFFTKRLYKNELAEFYQSLLRKKLRLVIYIEDFYCGLKSESNKIN EGNNISTYNFSIVTPDFQSDALKAEVIKHMEQTSLEFNQLLIEEPYLNETWDSSFQNV YHLLEDNLDLEEKSGFSIGTVIFILFWVYKIYSALA cubi_03508 MITKSQHGECSTNQIVKVMKIQLGNRVSHLEDERIGTVCSIKVN ERGEELFEVRWDSDSNYNLVKDVENASSCFINRNTVDKKFEFLKINELSPGISFQEAI RDRYLCDEEVEYFVGLKKAQEYCKKISTLSLEKMKVFSCGCKELINCLEPPKELSLYY NNITSLCLNNNLLTDWNSLFCILSHLPKLECLTLNGNRFKKISYFNHFQFDNIKVLSM SKTFVEFEQLMLLFKKDSALPNVNYINLSSNNYSFISINYSNTTIQKLDLSLNSLSDW EVIKNLLIHLSGLSSLNISNNQFSKLPISKINTNINIEFPNILELNLDNCGIVELGTI VYLRKVFPNLEHISVRNNKIMDNSKIDMRSIVISILPNLKTFNKSIINKQDIISYQRY YISQYTVGKNDLLKEVDPNGDILCEFVIKNDIIIHQDPTPNSDNSQKDEKYLDICFIP YFKSCLNCTPKRIKINKNMAISDVKVLISKIYKIKENESLEFIFG cubi_03509 MNQLSEMNANERTDPGASTLIEQSFERAGTNSSTACVSPILEGK SLTNNYIESLLLNAGQNTNQVIAASLGQNLGVGLNLGFGLNMNIGVNELNSSFPLILP SQLCQVNNVLGAVQAALPDCYNTMPRGYNLNNPIIPQNVIPVAGIPITNFSGIESVLC TQSNLLSKEENQPDINPMKLDSQQSIINPLLQSPLLSSIGSQPSIINPNIIPRISPII SPCPGSIYQNPLVSGVDNCNINKIKKPVFPLKKETTNITEENDKLNSLLNSYFNNIAS LADAHERVKNYLNANSGFDKSASIVCGDSTKLLGNVGVPDYGLSNVQLEHISMNNLTS GISQRIISSNTEQCIPGEPNDVFKISHTRKYRLGDQGRALLKSELSAYLRNHPEKRVE ASKIADIRNATTKQLWQIAAMCGLEERFINLHAQSIAQSKGKVGLRGAKRKLNASINE LSKTAEQTTSGDSTLPTNVTDSPELDPKANATIEISVAKSSDSNQISSSEENAVNIEV NIQTEIIEDKSVNIEGEINVLDTANEHLNSGWSEMKENSIIFADNDVKRMRVDT cubi_03510 MGIYISDDELESNYNVLPNCKFSLCYLSLALSSHKFIERGGEFE SKSFEFFINLSKKNLLTNKEVQIGNISILPLNYCSPFERNYCTIYFNFFSIDELTKFL EKNKIIATLNFSFGVDSFEVIYNEVINYFIKPVTSIEKRLILSNKNNDFKVNFKINVE WYAIIPKKTTKNIIKNFEKIEKDTSITSSCSHIQNKTFNISISKHINIYLKYEQTICN DPIITQKIIDLHPKLENLSNQVIYKPSDIKSDFYATNIRPLNKMGNWIIHIKDVLLSE KGFIFLHDMFPIYRQSKSFKIVIQHCIKNEDGKVLTQIRRHWTIMNFFKRKSNYTMNY RIPNKTYYIAKASVYSRIPETITDTIQEKFFYISCSIPTFDKTNEARVDQGDTIFCGI LNPNEISLTETSVVKLYSELNKKDCLGYALVSFSDIKEKPHIKFHDKDNTILETNNSL SKKKQSVTNYLEGISIVYLYIYWHIQARSKNENKTPESILIEFYSRISDSKTIQGGIN IIGINKCIDAFIPSNSEISQNLKIYTSSIPEDLILFNNIQELKYYLQFPHLEIFENSY SDIFSNKNLKVLEDSEFIYKCNELGIRECISITWREMMNSLFANISMETYELCLKLYK TANIFL cubi_03511 MTHSKPKHDKDTRLDRHSMNPKSSAYGNKSGVKRGSGQYNWGKE GSICEQELSIDSGDPMYNDEKKDTIIKN cubi_03512 MEFIKKSISAFSADSIKKYNYDEYIFYSIQYANLFGEYDEPEQF NFVATKKKINYRSVSLKQIKEIIPKYKFCCCYSKACICEFIVRYKSRDNNGIYPWIWC DLVSDHDTATPYNSKIILKILVFANFSSFNNADCYLEPTNIKCNKKIENDRMQHETNK TEDINDQSNTEVRKNTFQTSNTYEFDNLMSNSSFININYNEQIKSVSHEESSPSPLKL ESETLESSTDEEIKNSGCEDLVEEIGRWSRKPDGSYKDIRVLLSSLQQVLWKDAQWEP VEFSKLMSDMELVKKVYRKAIILCHPDKHHKESKKHKSRVHLIFMAIKESHNKCNTFC VQPYKHI cubi_03513 MSGKIASETIRRAIAEILEGSKAKPRKFVETVELQIGLKDYDTQ RDKRFAGTVRLPNVPRPNARVCVMGDAADCEKAQKLGFDVMDIEEMKKINKNKKVVKK LCKKYDLFLASQVLLPQIPRLLGPGLNKAGKFPTVITPNDKIDEKANELKASIKFQLK KVLCLGVAIGNVNMTEEEIRQNLTLAINFLVSLLKKNWHNIKSLTVKSTMGKSIRIYG cubi_03514 MYTSHISEEKHSKKKVAPKREATKKMNILNRKSGAGMKKSDSFK FNASKYDDFGRVSKHHTANCQKKSEARDLIIGEDEKKDLKQENIAGSEKYKVENISSL SESEIIKEMFGIEKFETSKNKNHSKSSLSCSNIKSRRKYRQYMNRPGGFNRPLSPIQ cubi_03515 MEIKQREVIKEIYTVEYCKSCGLPTDYCEYGQCIKNKTTEYNET LETNKLDSQSNLSLKADETQITREGIQKKHEDLNLVKKRKNKQNIITIKVESRARRKN VTVVCGLELFDIQLNEAAKKFAKQFSCGASVVKGTNGKPDHIDVQGDFDITIAEFILK IYPNIEASNIVIQT cubi_03516 MKQFDAFSKPIAEFRIKTAFGGYLTILSIIAMIVLFYSELKYYL SITRKDEVTVDQLSSNKNINLKMQLEFPKLPCDIVGVRLINLQENTEIYLPDGGIEYI GIGSKGKNMNSSSECGHCYDASINDGFGSVKCCNTCNDVFNEYDKRGIKLPHKISFKQ CDYDRSKMISNALSSNLNLEGCRIKVNGYIPKVKGKIEISHKRWIKYKEMTDLEIAES HLFNFSYKINYLDFGEELPGIPNKWKNQEHIQSSKFEKLGYTQDLVFDDAYIDFDMHC IPTQYNTINNKSINSHQFSVRSQYKKVVVSSANGRFIPDTSIPGIHINYDFTPFLVKM TESRRSFLSFVTECCAIIGGIFAFSGMIDIFFFKFLSSINKYRQKNNTSLIQNY cubi_03517 MLQPTTEYSIRIDIHELRDLKFNDGTSNITPNPYIEIKVCNQIK TTPKQTAVSSALVQASYNFTETLNKCDFDCSIIEINVMHAYVLSSAPIGSYVLSFNYV YSKSQHWIYRNWVPLILGEKPSEIMGFALITVGIFAPGDSIPAVDDSNNIVSNDGDQG TRISSSPEINLTQYNLNVCIFKGQDIVPIVGMYNTLEPFVTVRHGVSKISTVCIKNES NPIWKSSINLPAHFPCSDSNLLFELWNGESKPTLIGKFKLDVFNIFKEPFPISWVNLY WTQPPYGGAAELFSSSNSSVTSYVPSSYAGRLLISASSQKTQACSIKSIQSIPLSNEP PMTRYLLWIDIYEVSCRPSFDGEIVLEFCIGPHTATTIAMPQSGESIIFDEQTGRIDE TYFYLSDGQDPWDLFIYSQTGSGATFWSSSKFTRTSFTRILLSEISNDTNGNPNWYTL KPIYDSSTGYIFNVLANIVILPANTASERPDRLVYSLQSYYFRSFIYEGMNLRGVRSS LPNPYVKVSIGDQTIQSRSIESSIVPQWYEAYEVQISLPSNLSLGSDILIEVFSQSHG YLSSDCRIGFTSYKLTQIPKAWKSSPVWLHLKPSDTKNPKEDTNARILCSFELISVDE VKQYPFYDDIRPTTFPADIRLFVIGVRMFKSLNNPTVSVSYGRELEESNAPLWHDSTP SPSCGDEGNWNYLHDFAITAELPKRDVFQSYFEITVNERVSGYSGESSISSGFAILYF NSEIPWYDDNSRKFNKDFFNLTTLDELINGSVECENNNPINITELNQEEEEDSSGNDQ RVLNTMKSISAPTNIVSKSSSAYDAEASNSFFYPAIDLMKTEEKKRDDGNVYINMNFN SKEYDQANLALKLKEMGTLFGFDARLLNFDLKIFSEEDETEQRFEIPYELEQDLDPTE LPYRTLPIVSINEKGLYYIVGYIKYTLCIIQQPDSETNIIVDTKEQEEVLQTFSDNRM WIANQYNNIKPIVARCYILSARGLLPPSGDLNPSVYIYIRSRENNGDSVMVDGNGKKK KSVYPNNIKDTGFVRHQGYKPEFNQVYEIGCILPHNALVRVSLIGTGTMSEETIGSTY IDIEDRWFHPKVQSMLENDTTPIEMRTLRIENSIVSHGTLRAWYEIMSEQAAKATPIT ELASVDPQSYQLRVVIWRVRHVPIEPNTTISLYVSGIYSIDESNSDTQSTETHYNSKD GTGIFNWRFVFDIKIPTQYPIFKLQLWSYGLIQSDNIGECSIDLSNDFGKAKKHSSQT TKIPKTWFGFSHPTKQNSPQGQVEIEISIVPGKISDSNPVGKGREPPNVDPVLEDVTE NRTYVDWKGIGEAISSATSSIWSSAKKGFIIAIIIGIIALILFLMIMLK cubi_03518 MGTNSIFPKVKDIGEWQRLCSSYSFHTQEEYKLEWWLGVIEKFC DKKQSFLIEIDSLKAVAQEEFKCWPIEVLCLPNIFKGLLRRKKIVNLNYINKYMIKIW ENAIEVEKRQSRSKVSIGFGWVRSIIGVFFNDVYFFETSENTLESDAFVCIPSLDKLS NKLLNDINRGLLGTISKITGMEELLILNNKFKDYLIRNFELCETAKNVLNDIIIWYFI TYSPGEWLLKPFVVNKGNHIYVNAIKFSRSHSSFSKKEVEVYDTDIADILLKITEEDL QKSLKNLEEKFLFHDNKCKEYALNDQKQLAINHLKQRHQIEKALNEINEQLLVLSQSK VTLDTSNARISLLNALETSTNATRSIFDENEILKKLENINLIREEVEVTQESINSMIK SCVRDVSKNIETIPDDLEKELDEILQRNTYTPNIESNSKSQEFHSELETEKYQAINI cubi_03519 MVNFTVEQIREIMGKPHNIRNMSVIAHVDHGKSTLTDSLVCKAG IIASKAAGDARFTDTRADEQERCITIKSTGISLFFEHDLEDGKGRQPFLINLIDSPGH VDFSSEVTAALRVTDGALVVVDAVDGVCIQTETVLRQALNERIKPVLHVNKVDRALLE LQWEAEDIYQNFTRVIENVNVIISTYSDELMGDVQVFPEKGTVSFGSGLHGWAFTIEK FARIYAKKFGVEKSKMMQRLWGDNFFNPETKKFTKTQEPGSKRAFCQFIMEPICQLFS SIMNGDKAKYEKMLVNLGVELKGDDKALVDKPLLKKVMQLWLSAGDTLLEMIVTHLPS PAAAQKYRVENLYEGPQDDETAKGIRDCDPDAPLCMFVSKMVPTSDKGRFYAFGRVFS GTVATGQKVRIQGPRYLPGGKEDLNIKNIQRTVLMMGRYVEQIPDVPAGNTVGLVGID QYLLKSGTITTSETAYNIASMKYSVSPVVRVAVRPKDNKELPKLVEGLKKLSKSDPLV VCSKEETGEHIIAGCGELHVEICLQDLQQEYAQIEIVASDPIVSYRETVINLSSQTCL SKSPNKHNRLYMTAEPLPDGLTDDIEEGKVSPRDDPKERSNLLHDKYGFDKNAAMKIW CFGPETTGPNIMVDVTTGIQYLTEIKDHCNSAFQWATKEGILCEEDMRGIRFNLLDVT LHADAIHRGAGQITPTCRRVMYAAALTASPRLLEPMFLVEISAPQEVVGGIYATLNQR RGHVFHEEPKSGTPQVEIKAYLPVADSFKFTTVLRAATSGKAFPQCVFDHWELINGDP LERGSKTEELVKAIRRRKNIKEDIPALDNYLDKL cubi_03520 MNNLWVEKYRPKNVLDISHQKDVVSMLNHVLESGNMPHLLFYGP PGTGKTSAVLALSRELFGPNEYKNRILELNASDERGINIVREKIKSWTRQIVQCNKAH ELTGKPLPSWKIVILDEAEMMTADAQSALRRIIEVSAKNTRFVIICNYINKIIEPLAS RCAKFRFQPISTKSQISRLNYICYQEGISCEDGILEMVVNLSQGDLRRGINILQSASE LFGKDAKIEINSILDIAGVPPKKIIERVVNSCKIAGTESILIETGKLTNEGWSVGLIL KNLVEFIVECDKIDDSKKAFLMLRISEADASVTDGSNEYLTLLNVCSSIQTIFAN cubi_03521 MSIDYSSSLIKIQLFLVCFLTFGALTYSFSFDGIRIPPSKSIII HPYQFFMFEKDESYKNLFVPKVAEYSTIYFNNLKVKSSNGIIQNNESIEIIIAPSKDL ESLIKNDQLGVCCNKESLLSGNCQVENTFIKPNIDGIIYVGANLNNSNYSSNIKRGGA YSLMISNCGNSNDGYLYGELVIKNVYGFLPAIEFMKINLYFFGIVLYAFLTIYWIYKC IKNNKQLINMQYFILAELLLSIISSFLWLQYFRQWNLTGSSSIFLFGVSSTINILKLT IVVILTLIASHGVGISIISINSRKKIIAISTTGVMYFLNTLFKEYVIYLRSRNLNINS SLLLYSILPIGILNGIVFFWVFHELVNLLNRLEDDKQTEKLSVYKRFTYILFFSVTIA FIYLMLEVRFYLWDIVERWRYQWIFQDAIPFFFVAILKLNLLLLWVPKENSKKYLVAA EVPIEVTIELETHELKKMSFNNEKDLDCFENTNETQNEDFCFNIENFLSYPDSDYEIK KSEHLNQSNNLVISDNINYVKENRGNLNKYIGEKFEVNKENSMHLINLSTRNS cubi_03522 MTRNPDEFTDSKTRICNVYIPPFKKKNLEASHNLLNSREHQEKL WNKLEKNIRGEINKLNFSNIEHVLVNILKNNIIRGRGILVNCVIRAQLSSQSYTSVIC YFSAIINCNIPDFGSLLLKRLINQFRISYSKGDKFVCKHTLMFLAQLINQKVVHELTA LQICLFLIEKLTDDSIEVCIDFILECGEFLLENSPQGLNTVMNKFRRILQEGKLRKRT NFLIERVLNERRINFKNYPANKPENELFDTNDQITHFIDILDEEIDIQEELDHFIETE PNVFEEENKKWEEISKELLSGLEDVNIDNNDEPLIENNFTLDLSEKNFVILRKKIYLC IMNSLNFEECTHRLLKMNMKKEQIREACTMILDCCSMERTYQKFFSLVAERLCIIREE FQDSFKQLFSESFENIHHLETTRLRHITKFYSYLLSKDAIPWSVLFIIVLSEKDTASS SRIFIKILFQELSNNMGIQNLDIKLNSPEVLPFIEGIFPKENIYKIRFSINFFTAIGL SALTQKMRDKLSDIEEKQTNKVNELCFGSEIHGIQIDTSNSENITESTEVKNTKFKTI NSSKIKLSEQINSNLRKRLKRHSNSCSTDLQDGFKYSYNIDNYKRRDRSFSREF cubi_03523 MNNIGAGTTASPKNIATKVSSELNEIYSPKMSNLIRSNAPCRLT SNRVMIPSKSTYRVILPVRDIGDLSVITYEHEIYLGNGGSLRFFLLGKQVRHRFINVP LDEENPIPSYIDSDKVPLGDLPIVKLGDLVIFDEIPCLRYLAKKLGEYGRNYYIDFVI DDVIFRCSKWRDVLMDLISRNYSELSNGNINTNKELESSISNYKLLREQLYCEFETLI ASIGDKGPFIAEKNKPMICDFILFSILFDDISLIEFSETEKFNRVTLLPERSIIHKFP RLKMLFESVAILPLIDQWIKGKYFAIQIEGESSELVTPPTSLTTQDHGTNFVVGTNSF IGCPNSFGYQPPVFQQLPNQLFAHVNAGIRFFPQNMAMPINQPIFSPNNSFVSQPITN YYPFLNNQIQNHGYLGGVSSPFVQRISPSQSFKLKF cubi_03524 MKINIIKWEDSDLNLFSNEYGEIVREKTVIPGDLIQTEPNYIRS SRLISTACGYLESLNKLIYTKPLGGRYSAEVGDIVVGRVVEVSNKKWLIDICSTQFAQ LSLAAISLPGSVQRRRTEEDSLYMKSILDEGDVICTEVQRIQSEGICHLHTRSAKYGR LANGMLVKVPNKLIQRQAQHIVKLKYGIQLILGLNGYVWISLPFEHSHTDTLNYSSSS VKPEVVNTNIRKSMVILAFIIKLFGSNNIQITPERICKVFDHFSVLNLSFK cubi_03525 METDSSNASISNSFYFLLNNLAGISFVSYFFWSGVSLLIGAIVL NSFFLNYSPKINSQVVCSASSNVIGIVSLWVVEGLSFMSMCIAYSVVESTCQTFDNLT FSVQTMGVIVKYLPTWIRLVHVFTFCQINTLFAQLSFLPECNSSGLQTTLILTSVTWW FVTIFGLICKKRIAIPPHIFDPLRPKTSFMTEIHLVLKIMGP cubi_03526 MKFADRIESFESTKWGGKFINYRAHARNLNLCYSEFLSSIGKES NLATLKGSTNHIEVPYKSLNKDVYECFIPKLEQFHHSLKKNIDIVISNYNRVLQDNSD HLECISNLLEDNSAQINSKLHLPNLILEIWKTFDDLQEYIAVNKLAVMKLCLRRDELF EFYLDGIPSNDSLISCFEELRNGLVSRGKLIRLYSKSKELIENSSEGDSIKNNSHKEQ PNTNIVFNKIGVQEFDFLYKSSVFELEALMEYGLKSGKMIGKPLFNPDFTMSFLIGIC IVLLFNLFVICRLPIINSEYSIQGTLALFPLFRLVLMGIFVMWGSGISISIMEYYGVN YKYMTGMDPNSRITATTIFSFAALQTIVWIIIFTLFITDYRLGISLFSYFNIEHYPLW IYPALLMVIELLLLFIPSKTFTYEYRKAIFHSILEVFSHGVVPKVVNVTLRANIIGDI FTTLSKPFGDIEYTITFFVFVIKNKGDVLPTLLFNFLSNYRWMQTIALALPYEIRFFQ CGMRYLTDESPSRRNHLYNMGKYTTGLAIAIVATVPWTTMTSMSPFTARLLWFTCYIV GTIYMFIWDIYMDWGLMQEKTSFLRSKSIYPSWYYFLVAFYNLIGRLTWAITLIPITI IDDIQINAALINLCVATIEVFRRALWCTIRLEWEQVHLNSKQPANLWVTSNRNKLSY cubi_03527 MLRKDLVRNFASQHNNNHEMNWRVGQIKRVIVNDIGGHEFIDVS LLPGVNLITGGNGSGKSSLVSAIALLCGWSGRKAGKDTNMNKYIRIGANKGSVRIHFA NNDGEFQRGYLHDIYGDEIIIERIVYLKSTSNYTFKGSKASSPIHKSLNAKKHLSQFR AYANIIINNPVTFLTQMDAKYLIREQNSPKSLYDFFQRAHLFDCSWKHLAEEQRHIEM AEIISKSLNSELKLLENELKEYKEVNEIIQVYKKLQMYEKNLESINIVASIKNLISSI NYLRIQSDELNQAKSALEIEELNKKILKSDREINDSQHELKNLHSKHELNFNEHKKLT IELEQIYSRLLSYSKEIKEIENDISITEKEMKLKEEKYHSNTRKKKSDYKEKLFKEIK TYKEIANNLKIKKENLIVLSINKKNEISTLLEKIGENMAEINLLSDEKSSFDYKLNEI KNALETDRESLKYYNNFNQINNQFNSTNIEYNVDISSEVNSKGKESFEAMYSRLYSYF SDEDFEIIFGYSKTVHYRIIKQLKEVNKVNVIGPIALHIFFKPNVYNNEKIIITIDEI IGGRFERHDIVSGYKIRRNYKYWLVENSKTRKELILLFKNNGIFLDPSLIYIRSSFTQ KYELSGVRKRYPKLGQAVIDLIQIENDEVFNFLVDNFQIETTFIFLSDQEMDLIYDYN FNIRRAHCLTNSSFKYRRGGIVVAPEICIPKQYTPSKIVIRQLNNFFQLKSYDSNLYY NQSEEKKCNLREKIEMKGLLECKILENEIISERIIRNIAEIEEKNKKLELSIVNDRNS LNHFSNEISGFENELNDITKEEHIINMKVGELEIEVRKFDRDENHNYDVASEINELST KLDELKKLLFELVEKVNYEEKLKKNKEDDLEKLKVVLEDEKKLIRLKEQNHKSQKMNK NILLNEKPKVENKINELTNKINQLNTEINSKDNELADLKIKYRIMNGKFCNVEDSDTG LDVMITSDLCSTLEYFNWEYSISEAVNSLPDDLTLELWKSKISKQKDTIYLKIIERSK NFGIDHFEKYSPEHLFDRILDTINKKSRKYREKSDEFQEENKLLNKNKVNLNKRIQQL QKNHIRCGKNVNSLFKYYFSIFWSNTMRPHLKFDHDKSILNIYVIPDTAVVKKPKQFE SNGLSSEKNSDSCKDQYKNFVSREIQSLSGGESSSIGISLLLALSQNNPSPFHLFDEP DVYMDDIRRMTTIKSLIEFDRLCSRGKRISNRQILFVTPHSEIVPHIRENYTDLIHVI ELIKR cubi_03528 MGGSLKRGAGNEKHSDELSHERYRNALEIERSNHANELAKRAEK LRLIYKTRFEEDLRAFMVSHKVHDRCLNSHIFKFNNSKPSKTGNICAQKCRMASKYTQ NNSEVQKSSFIPTWAWPASLRNSEDVKPIALFYTAETNVPISPSQVGGKHNKKLTQIC DYTKTYSKNNTSLLKNFKISKEKGQEKLNNQPIVDTNNYKQNQRQKLRSKYGAPFTWI QNNSRNNAESIPSPLESPSDISTPRVFTEIPKQPSIYPLELERNINTNSNSDISEFIK KSLRMQKALEFLIGHNPENTIRKINAINTSIYSEVK cubi_03529 MILLTKNPNIRYSFLLILIILLFFISKFAFCSEISELSRSEQYP VDEEKNTSRRPEGAIPIPIKRKWFENFSKERVIDKYYTKDGKKVKVILIRRHRPIFGR FIKWKNKRKMKRKAKKKYKMDRNPKNKKGNIFGRLMRRGKKSENNTKEPTLENVNYEK DDESVSKSDIFEDNDENPVEKNEKGSNKMEKAEKQVDYTASIDRFSSYDKNSITGENT DSSDMKSPITDYEATML cubi_03530 MCINFLSIFLLIYLYIYLFFDQEICFFIELSLIKNSNEKSSLFS TEDSEYDEISNVYDTKISEINRPDPQIENKYNPNLISITENHQNQTNDKEKGSPSPGK SYYKSPQKVELSTIKPFKLIKKPKIENLQIDEFAFMVRPNERMIGIENFVINEIERLN VKYYNISAGSEITEGESSIQNYKYNNFGYFSFPGYIKDEIFSKEIYLRTVPYGRYTPL FVEVRSSSYNAALHGIYKREDSMQLSQNDQKYPWYVEPIVRPVYRRIYPEPTLYIFFL NFPIERWCIGDTWPINNPKISVGQIYAEIIGRPYSPAYTRGWYVQPRKFSAHDIIPYV ELDPSTPLRNPLPVKIPKEMESKRVLVFDKDIIVEEARPFTFIPKESIILEHIPLVES PDDLKVFPGCPNPHISKFSGLTKYKTPSCIPPSRGTLDISINGANGYFSNALYSWEGF FKSRPYFVQRGPLRSEEVSLQSSIVEYPGNSLYLWSYLNESNNISWIISRQLGNTNPS QILAIWRRPNTSRVKSDVDWPAERGIELEPDGWSFLKPPFKKKYVHNIPNSDFVEEKV FVRIRGIKPKHVKMVVNGGTDDINGDYFHLGEYMGFPFFRQKRNKKKNHPGYVLYRGI VINNSKDTWVIGTTLGSIHGIKAYAVDYIYNSHSNRFESRSGFRYFGGTRWPHQLPIR SWRIWVPLDENIKINIYSSELRGINSEEVAKSIEFVWKSFESLYITMEYLNPILLFKQ HLSPVIGSTRSDLKQEIINTNTDPKSLDLSLISTNSPDDGLNQVNDNKYQGSDHFNSS SLNYNVINSNTEEDKIIFNKKEKKEKKHISWKIMGILSILGSIFMGIFIWLLIKGKIY RNKLDISQEKILGNPKCIAHPSTNFR cubi_03531 MSNLNNLALQLVQILNQHSSDGGPQSAVSSNQTDTANKFLLEHY NNYFLPYISRIGNEPILSNTCNQNSTSIDQLLVSYPNNGLTINSIMNYDQSGNLNSPS QSVDQEQLNLKQNLDSSAYNSGIKSKQLLLTLQNQTPLIGLQSTPMEVSRAQISNSSP QQVIPLNILNNNLNNWAESALSSLLDKPDMLMNLMRLGAASIMASYYGQTQNPTGVNI FQQSSPLIYNMSLSPKQTETPCINNIGPIEMNSSTMAFTPVKSPSTEKTEHQTPVFTP NASQQFSDELVFYNFLEQSRKLSCDIKNQNEKKNLSTNKSNGQTIRKSCAGRPRLDRS DWCCSLCRCIETAQWRYLRNTIENNQNNICHRGKILVCNACYLRVSKENKIRQKINTF KLEGNVKIDDT cubi_03532 MGQVFVCECCGEQSKCIIDQNEVEIVRPRHCILEAQRRMGYIPV VTCSPMSSRNQTPRSVISSYFHSPRGNELY cubi_03533 MNEENVSNFQFPDLAISRIAKSVVSSNSRISKDACKTINRCATL FSVYLASLSSFSKDGKKSIVRDHNVKSALKFIYSNDNTST cubi_03534 MGTFFCFHSSSERITRKISREINYITKTRKNFDEKANLFISGAL KYDNKKSKILIDMIKIELSNSNAAFEKRYLYLCLLDKLIIGNVVYLQLSYQNNLLSYI AMLSEIVDKKEYFKCSEKEDNVMSLCSLAVSCINRWHEKYSGINDELIELLRKFTNNF ADSSNIENNSQKNEINNFELNNSIINPDDVLLSEESNNLVNLDIQVSTNLSSPISNNS VSLDKYVGFDAKDNLGDLLNEETSRMAANNLYDRTPNQNILIGDKSVEGDIENADENE IYSTSIQPKSTKVNFIENSVVYSEIETIEMKYNLLKEKYLFLIQKNEYLQSRLEYYED FNVFPKKIAQDDCYEKNNFELLGNLSNTTKLSSVFLENFNNLILNNDWILFEDNLIQI GVKFQFSENIGNCSLYFGNKLPTRLEDFKMEFNFSNVHPKALSIVKKDEIEYPSYISG KQQICLIFNAECKDVYFGVPTVVIKFLLTDNTPKTIELPFPIVLSKFSYGTEQYSTDF ITNLWHSEIYLMSQASSQISLKSSVDCISEIINKCKLNESFYLFFENEDYKKDQIIYL HGNVLNHQVIIQVRESTSQSYILRVRSDSGVLSNSILSIILFQTKVDTF cubi_03535 MEGKCILHGLNRYKTICEIGEGAFGVVFKCKDLITNEIVALKEF KAVYSDENENSCVMKNSTYLKEKIDITHNKILREIATLRRLYGQKNIIQLKDFFLHEN RYYLSLEYFPQTLLQYLEKSPKGLPLEIIKSCIYQLLTALKKCHSMGIIHRDVKPENI LIKEGDKNTNLELKLCDFGFARFIQQNKSYSNQYKDSLSKSTDNLYVANRPLTSYVST RWYRAPELLVKSAEYGPGIDVWAVGCIMAELIDGDPLFPGTSDIDQLYLIRNTIGKLD NKNQGILESDKKLYDAYKKHFYNGFVSGSNSRYNTDSNRSSGVIPPYQIISIKERYKK KIDLITLDFLEKSLTIDPKKRPDCQELMLHPFFSSINRAERLTNQEKEYVASLLLNKT NTSKSFSLPIFVGSFSYNSVTKREKNGHKNEINASTNNFPNNVDKSCTEQKFTLSDDK TTAPSTPDEKSTSISHGGIFCNSKIWYEKISLPKLPHIMPPPPPKNINNLKNGSSSGI TESIKQ cubi_03536 MKPKSRFQKSQLMIEIEPPKEIDEDHRDFLIKESRWMYGCFTDE HKWKTKLFKTVAQCAVRYLQTKDQRLKKRKEEEDKRLKLVSKNVSVSIHKFWNNISKI VRHRKLSELNKLLRIKQFEKLDKLVSETEKFYFGITDELLDSRKTLSKDQYKKTETKS ISDSEDDVEIDNWEYIEEADNRLDLEMESSDFDSDEVNAELNELNDDANLNLEELYLK YYGSSSNKKIDLKKSKSDPITIENEIKHKTREYCKKNNEYNLKKHDEELDTEKDINGV NKKDYSNWKDKCDSLKSNVNTSISKVVTNLKDKNHSSSFMKINEIHEKNSGPKTKIPF LLKHNMREYQVAGLEWMVNLYKRGLNGILADEMGLGKTIQTISLLAYLACYMENWGPH LIVVPTSVMLNWEMEFKRWLPSFKVITYFGSPKERQKKRMGWNDPDAFNVCIASYTLI LQDAHIFKRKHWQYLILDEAQNIKNFKSQKWQVMLSFNTERRLLLTGTPLQNNLMELW SLLHFLMPHIFTSHHDFKTWFSDPLTSAIENQQIENEKNLLRRLHTVLRPFLLRRLKK DVEKEMPSKIEHVIKCPLSKRQKELYDEFLESKSTQNTIAGGDYIGLMNVLMQLRKVC NHPDLFEPRLINTPIFEKKLMISYSFNSLIFSPNICITMSSNVPTLGKNILTNNYLFI GKKNNKPNYITLFDQLTNNRFVNLPNIFILYNEIHMSKAQVQSQCELTYKEWSKIQSK DCISSNPLFTTCISGSEHLLDANRFIQLNLNQLASNGTNHLLIGAESQSIQYTKYIDS QYILDQIGNNKVKNKSSNNTMNFNKNVSLFYETDQKSIFEWRPSCDYPHLLDESIQKF HCKPNENTINCKDKPYLKFRMPFKVVYGRDCRNFILNEIQNNLKVIEEETILFSTFPS LFANKRKFHENTSKFRKLAYYNIPYGKNNNSINLRTFPNGLLFVKPYFYRQLPMLSQF SMLLESRVISTNCSISIEGKYSFVKNELFYNSYISLKVYNLINASNTYLHNVSFLKKC LVPPRRIIEDDCGKFQILSRILHKLFNEGHRCIIFTQMSKMLDVLESFINYRGYNYLR LDGSTKVDDRQKLVNRFNRDQRIYLFISSTRSGGVGLNLTGADTVIFYDSDWNPAMDR QAMDRCHRIGQTRDVNIYRLVSEWTIEESIFKKQLQKRLLDDVVVDQGQFTSEFFTKG DIQKMVGSRSQNMLSSENNGIYVTRVLHESSTSELSTLVNANDSQKKEFEEVLAAVED SDDFNALKKSSKEIAAENDDFINEFVEEKTKNRDEVKNALEKNANTRFTQNNTDKNIQ LNKLLKYCIEFFENVSVPLDIQNEVDLMEYQINNIDTQSSSEKSSSGGSQSDSSCN cubi_03537 MNKRPRETVLLNEYSSIPFSSIEIKDSEVKEGCMEFRKELSVQV ALYLRERIPSKIVELNKRMKISDKPGSVLSSEELKPINIDNGKVHSNLQIKELVVYVK QEVSELIEMVSSIKLWVQLNIPQIQDGNNFGVGIQEETIQELGRVEDATFSLYESVCK YYSERARLSSKIVKYPNVEDYLGAIRELDERYWVSLRCSIADMRNNYAWLHDLLTKNW GKLSKPRNSEGTNMVY cubi_03538 MAIDKDKLFADLIKDKPSLKHEMAPTYNPSEVELYWDTIWCESK IYSPNFERARNLPFENKFVIALPPPNVTGRLHLGHTLTAAIQDSLCRYHRLTGKEVLW IPGTDHAGIATQTVVERIIHKTENVTRHDLGRDNFLKKVWEWKEKHGSAICTQFRRLG CSLDWSREFFTLDENMSKAVNKAFIQLFNQGYIFRKTRLVSWCSYLRTALSDIEVDLL EISKPSRIRIPGYEKTVEVGVLWYFSYPLEQQGQTYEWHFMPENNTSIEKITVATTRI ETMLGDVAVAVNPKDNRYKSLIGKYCLHPFIKDRKVIIIADEHVDPEFGTGCVKITPA HDKNDFEIATRYNEKHKNEPLFEELKFISVFTKEGNIGPGFGIFSGIHRFKCRELIEK ELENNKLLVEKTPNTKTMQIPICSRSNDIVELFLIPQWWMNCKPFAERACKAVKNHEL KIEPKFHEQTWFYWLENIQDWCISRQLWWGHRIPAYRVISSKLNSTEEIWIAAENEEV AIEEAIQKYGLKKSDFHVLRDNDVLDTWFSSGLIPFSPLGWPDEINKKTKMNDFSTFF PTTLLETGSDILFFWVARMVMLSFACTDQLPFKTIYLHAMVRDSQGRKMSKSLGNVID PIEIIEGISLDDLNKRLDQGNLPLQEIKKSKENNLKDFPDGIPECGADALRIGLLAYT KQGRNINLDTKRVVSYRYFCNKLWNACKFAFGNIENVSNCMNKNFEAISINQLFSNYN EDLLWEDYYILYRLMECINNVKDSFDNFLFSEVVTATYNFWLYELCDVYLELVKSRFK NIESSFGYAFTAAQVLSICIEYGLILLHSLTPFVTEELYQRFKQVIRSPTITSISTSR FPTVFVHSSLTPTREQEFKKMMNIVTKIRSLTTILGISNKDKINIKVYVVYNGTDPES IDLISNVAPTFVTKLSQIGGTVALFDPSANEIEKLRKNCLLDVVDEKTVYYLRPPELV DLSIALKKIEKQLDSNVKSLESYNKKKSSSSYDKVPESIKKLNDEKIEQLASTIDALK VAHLNIKNLINQ cubi_03539 MEALECQLFIERKNQEIECLAVTPNGYFCAVAQREGNITIFDTI TFHSWLKILSIEQRSLRSLFFLKKTNSNSGLKNAQFIDSNSSLQSENLSETDSDCTNT TACTSPIKSPIKKSYHSTLKNNTNIFELYKYRLIGLGLDGRIFEWDLSNGMVLKTAFS YGGAIFQGILSPSYENLALACADGSVKVFSLLNNELSFMYSLPKHSNRLLSITYLNDN TIFAGSSDGVILEYNLEKRICINKMSVCTGKKSSDKSTSKSVSIWCLICLESDKILFS GDSNGTVILWDLITYTAINTFKHHHGDVLTLSKLANINTKTPDNILVSTGLDGRVVTY IHTGNFNHSEQSGKWLPGSFCYPHSSSIGSVATVAMPHINGPLALSGTWDGKLMLWLS FEYNKKKCRSNFEFLSASPRLKYLTLPIGVLKNPQSIHIAESERLILHQGLSNLELWF ISDPDINQGINSSSEKILINPFQITYNKLNDFCSHIIEGDKKISKLIPVQPIKLLDIK LSNKKNEIIGCSALSKDGNYIIGSFSESGIKAINIDFQSLNINNIQLESCNGIIATSM KFLTNNILIIGGYLDLDYKNNLKIKPKIYFIDIERDIVVSFLELKHFNNEEHSVIGKI VSLNISPDNQWLAVLTSFGNTFIIDLDSFKLEVDLTNLESEVLNPFSQNNYSTPIASI SFNNRDSDIVSVMMSDGKYYFYSISLKKIIPKEYIRNHKNYSDNSSIVYRVPKKIYSP ILHGPILNINWIIPYNHVSKGSECNIQDIIIISTIDYTSYFYLNNYEETSNNCQDNTS SSDFLNSIHMEKNLCGPVYKLPRFKKIDAFTCNYQFFPERSFEFSNYFNNVKFLFGSD LNKDFLRKDSYDDIFLNHKLIYGVFWTNSPKWFSLLKRGFFFEHKNVQESQLNGCLII LSCKSNNKNRSEYHSEITPNNRRKYGD cubi_03540 MFNMKLLFFFELFCIISIFCTTNDYVLSSNVNIIKEINDFSNGE LKDPSYNSSEKLSEAIASIKIIPVNGEETEDENLIYDSGSNYFDIESGINKKKLINFK SFPSFEDEFGSEIKSEENYILIETKKKKQLGPIDETESNRNDRNYKSNDSDSEFNNKF IIYKLEPNFVNNSAASSQETKVLLSDNIITKKELSYGQELLFFEGNNYLPTEKITRKY PSYYPYINPFIPIESRETENKNEIQNIALSPIILKIKPAQTLGNQSNEDETIISNFNK KKINQDKNNLKESFLYSTLKYEQVNSQNGNFVSKFKFISNSFILNNPNNSTTIEKK cubi_03541 MTETNNDLHLKDENTRDLQKKEDLDMNLISQNCNPKMRDTLQGN ANLLSNGKDEIFMQLQTELFDILLEIYNLIPTWGSFKENFYFHWKRIIGACNFKDLHA YRLIFRCLGNLRPSSTPIFILRPIIKQLDEYRKISEPEYSSSNFELNSNIQGNIITSS QTPIVMFQNTQTINIPNVLVEEKQKILLENKIGPNLSPDKYNSNLFHGIINENKECSG LGIAGINEKNFVPFSKFENDTISSVQSKDNLNILFDNIFNSVNKNIYFSDIKKHENFS IDIEKSSEDMKLTNELFNKETTFSDDYNTNEVINNTFMISNYCNSNSKVSSPYGSTCT TSSLSPTECNIAVPIMAAFQHIQAVAVAAAVVNNTKNKLNSVNDASNEHLTIQPKKRP RRNGDIQGVYFDKIRKLWRANWKENGRVKTKGFSVFQFGDEGARQRAIEYRKRMEKEF YIMPHSKFSRSSSNDGTIYINSEKALGSEVSAIKKGTERGSNLNNILNSSRLSSEDMK FSENSNSNLNN cubi_03542 MRALFLTIICIIFSLSFAIPGQIEPVTGTSNIVGDLKSAVNKMR EILVQAPESNLQGELENDIQKVSNIFKNKRLLLIQSSDDIEEDNDEEEDESKDLSQGS ENQEDTPTPEAEELLKQLTTKIWKTTDEILRAHTGIS cubi_03543 MKKISSRIAIFFGGFMFGILFKDSFRNFAKLTISHLKSIFSAIF YIKNNSIDFEYQVYSNSPVENCVGVDSPDAGIADSCSGCPNASICASGQANKRQEKIE NLSKIKNIILVLSGKGGVGKSTISAQISWCLSSKKFNVGLLDIDICGPSAPKMMGVQN NDVHISANGWSPVYVNDYLSVMSTAFLLPQSDDAVIWRGPKKNGLIKQFLSDVVWGEL DFLIIDTPPGTSDEHLSIVSYLKGSNVNGAIIVTTPQEIALQDVRKEINFCKKVDLNI LGVVENMGRIFKNAEHDSSVKDMCDSMQVEYLNKIPWDEELLFVCDLGHSICEKFPQS PSSTEIKKLVDIIISHSINI cubi_03544 MIMHKLDKKAEFSSLTLPLGLNDVYLSSFESNGFDTQKPSGTIE IKKHELIDDGLSVIPAYKLESDLVCKFDNVEIGSKMRDKQSESSSWFNFPDYEKTEED KYELLALQLRSSTGPGRFYKSEKLIKKNSFKFNFGVVIDNNKTRTGISSDSSTFRSNK KMSGTSLLHELINDSETQKWMNKKYFEIHKAKLKGAKKWYRKQVLRRNRY cubi_03545 MNLIEIYIDGIKFSYSLIILIFTILIWFSFSKFKKGENGFSLVA DKNLKCHSDYKMTICIRQGAYKKAIKKYPKMVESWERSGQAKVVLKVSPKELFESERR AKEKNITSCLIKDAGRTQISPGEYTAVAIGPGFYNKEIIMSLNILPFQILFNGKLPKK NPFNQHDQSIKSPAKFGEKVINSNISASFRGRRLNGRMIDISKSNHSILILSKNDSRK ELDINIEKSLNQVTYWNYDDEIKNFDDVPQFLNAIHYFNTLNRET cubi_03546 MELKIKKQTIEFEGEKIRVILIDFGISFYVWIGKHPNIEFLCAT FPVLDNKSASDNFASSSILGEDEICASISSYLCK cubi_03547 MSNFKDNKSASDNFASSSILGEDEICASISSYLCKLFKRPILTS INTDDIEINSRNINEFKHTINKNIVDLVREIKIYSMNQIHIKKKSCIRITEISFYNKS FDEFIITKSYEELRDIFIYCLNSSAIVKNVEGQTFISKVISKLNLDTHNELSEIIKSF VPKLSSNLLICYGKILYMLWIEYISQNDEKKMQNLEEVIQKTFCMGSIKLNPVIALRM RLILHSFHTNRDDVRVNKLLLRLYDPIIWRYLSVANWKVRLNTTALLAMLFPLIDPSL STGVYNSELDNQFSIIQNLLVDSHSEVRIAAIQSVSRILTLYWEITPIERIHEILSTL STKSIKDKNSSSARVAVINGMNAIINNPLSQNIMKGYLPNIFAYLHDLDIEVRYSVAL LILKISRIQGFDYSQIISKKQILSRISKEFIIYQVRQSTYFMKYGKLCENSNILINDI FSNDQDSVFESLKVARVLAELLNPSIFIERAKEQLKNCYFFCDLCPIGMIGYFCSLKI FVDETIGKNIQNSDLLRLAVLIITTTIENYQKNKITYNKAKILLASSKEILSIVFSNQ ISLVESNQEVCYISNYNDKKRRNTTQIAIKYFTNACNDDFLLKIDPNDKIWVSILQLL QHQTVLNSSFYPKFSQKLISELWNHSLAVFRNRLDEKSARTKLGTIILLSKNWKLLDN IIPILVDNACKLLSNKLITDHEIINANLEAKLIPKDIGAFSLNTLLNILIYNEIRVYF SNNSSLISCIEKLANSILNTHINETSLEIELDTKQLTKKLLIYCLAIIKNEKSFYELI LIIFRKINYIANDINHSFDQFLLLFEILGTALSIPNSNLSNEKKTLEEIAKMSEDLLI TMRNILEMSDRSELFEIRVRQGINTYLFALKQIIGSSNFELVSLSKEIESKLDIFPEI SK cubi_03548 MRLIITHILSFYLTVLELIRDGNIIYLFKPLQKNGFRPELRLNS FPTTDELFQDALILQEKMERIITMNSVRIFALDLSPVCIFEKNKRNSCGDIGKCQVMG NESDLSSTLFGIDKAGEINFIDNGNCNEKKTKVDMLKNPPANTQYKGEEIWRKIYYEI EKVNFPLLKKLISGIQSNIAIHASERHRKIRGDYYDYSLSNFMNKFAIFEERGVNLLV TFYYIVRSICILGPSFEKFMENLDNSAENIKLKEEVRKIFDKNLYYSCKPKYQNNVIP PQSLPKLERFFKTFISALGCVECEKCILHGTIKCNTLDLAVKALGGSKNISLDPIYFV TYLNGLYIFSTSITTIDLFTFRVRIFWVFSLFITVIFFFCFKYKIASYLNQKKNFATK KKEQ cubi_03549 MNNKNKIWKPLISDPKILEEYSTGLGVKSQICFVDIYSTEETEL DIYDINPISLIILVPTNDEKICKKRDEFGYKITGYQKVWFMKQYIQNSCGAVALLHSI LNNNLIELEEESIAKTLLNLKSESNDLAKERGLYLINNKKIEYLHEKLSSRDISNDCF VTEFHYISFVNINGNIIELDGRLPCPISHGVFKSGEFLKSSLKVIKEKFIYPLGIDGK VAIIAVCIK cubi_03550 MSKFNEQIKELNRNSLTNFEKDEKIHSMLFGRLTCEQKKAVFMN HESSLLIVAGPGTGKTATLTSRIVRFLLSGYSPILALTFTRKAANELKSRVSTIYTSS AKIMYAKLKNLKSSSQNDKYLSDFISTPEIFIGTIHSFCWKVLKEYGTFIDLPKDITI IDKEMAFKLLKSCISEDFNTVSSKLSDYSSLIFDPINYDEIERDDFDGDNEDDEVGDK TRSVEIEQLKNLNNNLNFLKKETDDDGQSTKNELEKILKIIKLLKIKKYINKNECTID DVNGNHKLFGVYLSYTKKMAMNKPYLLDYTDLIILTLRLLENNIKIREEIQDSYPYIF CDEFQDTSKLQFKILELLTRSQNKKRKFGLEEFLENESLKKGGITVVGDDDQAIYSWR GVDTGVFSQFSSIFKQNFSLVYLTTNFRSSKSIIAVSNYLIKNNKSRIIKSLTSNNGP GIEPAVYYFSKQIDEMQWIASTILLFKIKYNYSWSDFAILARTNDTLFYIEKLFSDKQ FLISCIEMFQDTENINQEKNWFKMISNDLLNFDINQLNEFKSLEIIFPMENTSRSNPK STSDLLSKTEILDILSYCRLIVDETADDCFLRVCNRPKRGIGDNAIKLIEDYGAQGIS LMRKSTQPLNGICELSNGFINEQTNTYKSIMNVCRMLVSNKLEIRSKFDHSLYKKTIN SIKLFLESVDDLKKFVKNKVSVREIINEIVKKMNLDYRDINNKDFKKKKISPSNSFTN LNYKIANSEKANMRKLSIFNSISALLKYSEPYTPNEEQPTGYECLLCFLQDASNGLLQ IKKGEKISLSTIHKAKGLEWKVVFIPKFIDKIFPLYRETEYLELKNEFNEIISEEERR IAYVAFTRAKERLLISVPLNVGKPSPFIQDANLPTYNRVSKKKELIKQCPRIVTGKNW IKFH cubi_03551 MTEIKKIHSRSPSPIRESFESKKIKVDLPVTGEETKYLLIKQLE YYFSDENLRTDSFFQNTMRSDPKNRLLVSYLLNCSKIKNLGITKEEEIIDVLKGHEMI FVEFNEDGKAMIKLNKNLPNLEPKKKTKEKSSSINGKDLHAGGCILKITNLPETASWS TVKDSLKEKLKDLQPESQNLIRYVSQTTQDGTCFILLKPFKNDQLIIKNMELTLGEEN VPISLANQDEARKIINSVFPKHIQKEREKELNKQKMAFTAMPIIVGGQTFASIEHLRR CIKEVLEQSEVNTTFEKDSLTYKVLISILDYHPRREEKIKGIESICIKNHENSSGKDD LCNKCFYIIRKSPDGIEEFEDFSVNKCLQQLSRNPPLSSKSEALDETGTSKCC cubi_03552 MESESRMNNDEAVLFQEQDLNKAEPSVQSPNFESRETFIAEDNF DDNCSSTTGASGYRDTSVSPQRFSNIGNEVRTDGISAAENNIEVEFNSSVSYKDNGSN GIHGICTIEESVKENPKIDTETIDVKINIPNAKIINANCENENIDNSVIRFNNSDVTN NNSPSIEFLSRQRALDRANVFLRWNLTPSKQQIGEFLEMAQGKLNLSMVSEKGYDYCL LYFELLRDGLINAHLWRDTTIEELDSAITEARQTLNGEITPSKNIKKRRVNNTTLQDD HSSLYNSANTENEKIPLHILTKINKHNSEFEDAGSEFQETPVNSFSLEQSIGSDETEG KPEVDNEDIDNSYNAIEWQGVNSNSSKASDISVESNSLNLNKDASPDSNRDGSDSDET GDNTQEHEDNTSSRQDAMNRLLNPKSGCKGVSWSNRQMAWLAFWKEDNQRRSKTFSAR KLGFEEAQRRAIEFLRRKREEVRMKTQAHLYEELPRVGSSTINSSGDSSGIKTPNRRN YSNSNATTTATPATPITPLELDQYSSAVHQFIPGFDDSSRNLIAGANPLFSASGNILA NNIPSMINHGTTVQHNVIQSNIGQPNILTATAAAAAAAAAHQMGLPFHLATLSSIAGG IPIDPSISATVAAATGMNLGVQNSQQNQANPISNFTHAALMAANPFFMFGAAAAAAAA VGSNNTHIGPNNSSSHFSWQQFQNGGIAPLIQNQIQTDIREAPSPSQPNSNNLSSNQP EHKSETKYNSPEINSNSLIDNEDKHEGSDKTLINESKTEVIANYINESLLNNLKSMPK IDEGTSNITVLPTNTRTLEVYRH cubi_03553 MPEKGGVVLKIKHAAENYNEDKKDGRTIAKKQIEVEHNAAKAIM NLRHRRDCNGEVTRFSWCSDPLCRMWYDMAQFFGFLGEDIIDTDIDNAIISVADGGSG IYERLIVLGQRILDATGKEYAVKKYMNSGIDGLPKEINKIIGESNKKLLVFLKMRCLS NKICSIECLSKFAKRYCHYCKAELNSDANKWDDIKLSKYVKFCISFCIYGQGIIDWNN PLNIENKLCQRFPWLEVLIRSMDMSILNPSVQEDGKFLSTEGIRNAKILGILYRHFGY NRGKKDIPPRVLFALNTPEGSQLPEEKTGQMIFSHYISHYFKAVAAEHGFTGIDTLQW VEKEKNILLGSNAVELFALIDLELGEIYSNGVPLKIEEKFSRYRGLFFSLIANMFVLC EDDKNAQKWLQELVTCVRQVQLYIIEFHEFTNSESLQKANNIPQNEVFTISDTSLDHT HVPDIFSDLEPEEITSFIGLLEKCKSPAILDTLKELASNSKTCINAFTEIAAIPNKWI KDSIIKVAKFSNHEMKKKVRECNSFNFLGESCNVESDSSESEHSNINVKKYNDKNKKI KSKDSKHKISSKNIDLNKKLENSTNILCAEALTPILKPVTFKVPNGSLGVEIQPVSLT FINEEGCPIHYTLNPNSSNIPNGIVKPINEIVNENTKDNTMIENGKSTPKSKSLHVFS RNIGRSRSPNQKSFERREHGLFICGDVSHQIEEGNNLIRVYGDKRNYV cubi_03554 MDKLETHKKAYKKTFDDPRRKREEQQAQIRKQQRDEQLSKRRQG NDGENSDISMGINTQAGNMYGIEHIPALSQGIMSQEFNTQFEATQGLRRLLSREHNPP IQAVIDAGVIPRLVHFLSDYDHPNLQFEAAWTLTNISSGTTEQTCEVVRHGSIPKCVE LLNSPKLEVKEQAIWTLGNIAGDSANCRDLVLRAGALPPILQLIALEIGPLDNNEQMI STKSPSTVGGKVSGKTSILRTATWTVNNLCRGRPPPPFEMVSHSLPVLCRLLYFSDLE VMTDACWALSYISDGPNDRVEAVLRSEACPRLVELLGHPSPLVQTPALRCVGNIVTGD DRQTQMVLSCGAARYLLQLLSSPKKVIRKEACWTVSNITAGNKEQIQEIIDNGLIVPL VNLLNTAEFDVKKEAAWAISNATTGGTVEQIEYLVNQGVIKPLCDLLSIEDAKVINVA LEAIENILKTGAIRQHELGLQENPYCALVEQAYGLSRLEKLQEAPSKAIYEKAFHIIV SYFPYEYEDDHEEIFDTINSGEPEFKFNPDSNVDFKFE cubi_03555 MKDVSTISGLMGSYLLKGWIMLGDACPSCEEVPLLEDPSNGLKY CMKCSPPMTSARNSNSKLAETLGNEGKLSSLANELICNRENGKTIFKDALKENISYMI VEISKMGTNALSNADLTIEKR cubi_03556 MEQEQKNVRNDTFLSDVVSSSATEYEKPEERSKSEANIEELPYN QRYSKIEPGSTSFDESTSSWYQLAVQSGTGNISGEDMNSLLYNDEGVLGGLFSTRRPR DAMAGFSSGMKTVGKGVAAGVATLVAAPVVGAATEGVSGFFKGMGAGIVAAVALPVTA VGVAGYQMARGVANTPEAISESNKGRKWDKKNRQWIEYYYSLDDEIQQLKEKEIKSSQ KNDNYESEDCNRKESINSPSKSVADTTYYDLLSVSPNAGADEIRRQYYRKAKQYHPDK NPDDADAKDKFQKLGEAYQILADPERRKRYDEYGIGATYDMPVIDSSLIFTILFGSDS LEKYVGKLKMVSLVEIATTNGSQNGASNSNEMEQAIDNEQSKRTILLAVEMRKKIAPV LEEFDAENSVPIETSEILKDWRESISQEAKSLCNNSFCDAMVEAIGWSYENYGSQYLG KIDTFLGIGGKYAKFQAKTRNVASTWKMASTAIRTAMAAQNLQSSMKKKQCGAKEGEY DNEANMEQNAEDSAKAQQQFEETLPLILDTMLRITIMDIEDTIRTVAKKLVKDMGVDL NVRKQRALALIELGSIFQSVANASNQQREESGDKPDARRKVEEAFIKAAQQRDEK cubi_03557 MESKVSIDPLLECYIDIIRNLTTITNEIVISSTRKDTNNSNELK NGVKEYINLLVNAQSILSDSTLSKVEIPLGFIKHIDEGKSPNTWLMNLFQLLDEQNDR ARGEVLTLSCLHKSICKRLLMKRDLSLDEIAIIGKKTS cubi_03558 MNIRRFRMVLGLLDDGLLSQMIFNAMDIDNNNLLDFYEFGRSLA IMISENFDEKAMFSYRIIKGEDHTDAGDGITFEKLLKSIRAIDNARYMLVGPNILNFS DQEIKNFFNNYSKIKDGENEPKIFFESYKMAIQDSPEFLSFFGIITPNILESYDLDID SRKPPLIFEGINIFNRATVEKVDYIESIDKELTSKLRLQVFNILEDIKNIKQETTDSL NALEKWILNPKENNLLNCMFFNINGNSKKSGIQNFVGINNLINTFTDDMLSLKNVLNN NIEMLDLIYKRSVCSLQNKVSSQIVPCNSSLNLGTIKKVYNRRKMYINKGNVAPNTKN RMSASEMIKKTFMHKSTSNLDYSRNNYTANTRKRISLIHMYRRKSNISGGFQNMGKAS SNIKVKSYETNKLSMKSKHSHLDKESPSVNVINAHMMKRTKGYVVYIGHESWNTVLNM MIGMRLAIGRVYSEPNRNVADYDFIMKEKFFIIPRTQNLSNIKPNIPIQKAIDYKGFV KPVQFIDYNPMVFRKIREICNISPESYVRSVGPEQLLGNMVLGNLSSMNELCSEGKSG AFFYYTTDGRFLIKTVKKKTAVFFRSILNKYFSHIEKNQNSLITRIYGLHALRFKKPL LQPFNTIREQISSNGNSIIRRKSKIHKIFFIVMENIFHTPVEIHRRYDIKGSWVGRST PLILQEDKTIALKELDMKNNKEMLHIDQKNQIDLLKQLEIDCRFFELYNIMDYSLLIG IHDVQDDSQNIKSLIENKNSRKFVGILSSDQKKVYYLGFIDFFSTWSTIKKFERLYKA IIIGSSNGISAVPPDKYSLRFMKFIKSRISE cubi_03559 MRILKVVDSILTFVWLAYWHLKSLLNIAPSFWRFCYNACNSLFT KLQYTSNIDVSFMNYGYAIKTPHSLPENSELDKFIESKLEKLKKESPPNFNDVWNSVQ LYTAVLSTYDDNFKGKSILEVGCGRGGGSVVVCSVAEPMSYAGIDISDQGIELCRQVY KKDLIPAGNKVFYVGSSMELENYFAPESFDIVLNVESAHCYPNFDKFVKGVFDLLKPG GMMLFADISPTIAWPDIKDTITNTGFQIIKQHNITANVIYSLKTEVEPFLSKINSKSS SSYIGRALMWLVNSQTVRIPLNAMIKGEGQYHIIASKKPVQFNKSTTIQDERPSITNR HNTKQLS cubi_03560 MNLSRPGSSKIRTNEHVNINAKNKLNRTKITVVVRKRPLTENEI TRNDVDVVEAAGDENTIYVHELKTKVDCTKYIDKHSYTFDRVYSEQINNKELYEDIIR PLTENIFTPGFKCSCFAYGQTGSGKTYTMMGSENTANSNSLRGRNERELGIFELAVNN IFELLEQSEHENKEVYVSFFEIYCDKLYDLLNNQKLVSAMENSKREVVVKDLTERLIK TREDLLSVISKGLEYRRTAQNSMNDMSSRSHAILQIEIRSRIFSTVKESSLQSPLSPK FITYGKMVFIDLAGSERGADTVHSTRQTQQDGAGINRSLLALKECIRALHDQQSSHVP FRQSELTKVLKDVFVGNAHSVMIANIGPCYSCSEQTLNTLRYAHRVKELRKKSINRSD TFHSDKYVSRYPLSRKTTAPANVSKKDASSSNESPRSCTEEEFEESNADTELREEDFE ICPEITRYEDTTENTVSVLSPNIPTNEDHVSTRTLSPQIKQLFGRNSIFNIPGIGIIN ADTIPEADLNKLKKYLGEYFIKKQEELASNHATHLGVLCECLKEESLILKDLLKKNYS RESSHEYIQELKNLVKRKSESLENLKKELAEIEELLSVMDIIGTS cubi_03561 MSLFQPSNQIKLTNVAVVRFKSHGKRFEVACYKNKILNWRSGVE WDLDEVLQIRSVFTNVSKGQVARTDDLNIVFGTNNIDHICKVILSRGEIQVSETERSY MLDKQYTDICHMLSKMTINPKNNLPLSVKMIESELKKSGFSVSLNKTTKEQALKAFDV LKKRIPDQIERAKMMLKLSVDIMNKQNIIEKLNEFNVSSISSEEKNNIYTITFLCEPR YYREIDQLDCKLLLLDSNVKIMDKNSSFDNVEVIQSESSGKKEILFGSLIEPEIPCNK KLLRTEANIKENNTKMPGKQVFSNPSIEENINDAKSNKFFCRKCNIQLIDHNTFRQHY RSEWHIFNTKRNAREMDPISEKEFLELQQDIKMGFLAVD cubi_03562 MPVVSVSTRLLSSHLNKEVTSEWLDELCFNYGLELDSIEFDEEL KENVAKIEIPANRPDILCLEGLVIALGCFIGSSEIPLFNLKPRKSYQKMIVRQNVVKL RPFILCAVLRDLSFNEDIYKSFIDYQEKLHNNICRKRSLVSIGTHDLDKIEGPFYYDA KPRNEIQFVPLIGTTKVDGSQLLDLLSKHQQLKKYVPLVENEILLPVVTDKNGIVLSV PPLINGNQSKITLDTKNVFIEVTATDYNRAYIVLNQIVSAFSLYSKSKFEIEPVIVEY EHSVYPPFPHKYQILSNGSYQIITPNIERLEFVIKASDASDLLGIKPILDTNVTQGLL RKMMIDSEIINKEDGILKCFVPINRSDILHPVDIIEDIGISYGFNNIQLKKLRFCDLD KLNLMSEQVKRELSLLGISEALNWALCKHSDCFESLFREENIGLKNLPENESHYSLNF PSVVVKDAKTSEFEILRTTLIQSLLKTMASNKSLPLPQKVFEVGDVVILDDNTPSGAR NDKRVAIAYCNSNGSGLEEIHGFLDQLLSKLGLVAKYSLDEPNAIHPNIIGMYSLCEV NDPTFLPTRSVQINIQKVVLKDCFKSIDIEKTMLNKQIVIGIMGVIHPKVLSNFSLTL PTSMLELRLEPIMKWWPDTFFYDE cubi_03563 MPKNKGKGGKNRRRGKNDSEGDKRELVFKEEGQEYGQVQRMLGN GRLDAYCFDGQKRLCHIRGKMRKKVWVNPGDIVLVSLRDFQDSKGDIILKYTPDEARA LKSKGEIPETTKINESDIFEDGYDEVGIEFDETEGVDIEDI cubi_03564 MGIFEQKINFNSIPVYKVRRWISSKTEKILGKEDEIFIDYCINQ LKYKIRKSAAEEELQISPNELVKNIEGFLGEKATEFVTELWDYILRSENNNENSSQIQ SSKNHNSKKNMNLKRNFKHSNDLYNDEYNFIRQRSKNNERAIYTRIYRRNANYTYNRY NPEESSRLFPTIAPRRSKENKRVRSLSRSLSPESYESYGIKEYKYEKTPTAEENFSID KINHLEKNIDKIRETNERISDIRKRKTFEIEKTFSSNTSSLDNRELESPILTNNKIEE HHLRMKALEVLKRKSEVKNCRSESEEILRARAIEKFIKKKPIKTN cubi_03565 MYWIRWFIFLLTIYSSSINVETKPGISASHGKILISSANNQNDH NQIPSASNGINGRTFVHDKGLHVQPRIEPPVSPKLLCPKGYDLVDGNCLFSHIIPANI DCPDGFEVFKTNLSIECIKKNLVSAQLNCKNGASPKIFDNNAYCPTEIIENPSINCPS GTIQKDNECIVIESKPPTLKCSDGYSLDNDSNCKQVIESKPLLNCPPNTILEQTTMTC VTKVIVEPLEVCPPGAVPVKSRDLTDIKGLLNRITRNQDDKLRYLQEIPTLGSLDRYN NNNPSPGKTVSTNNLETQNKFFNNMNEQNYKNLENDGLNSKDKIINSGLGHTNDQIKS PSNISNQEKKSFNSGSNVYVSNIQEQLSEYKKPIGSNVAQADTFYQALYDSSRDLKNS GGIINESLIGDEVICLILQFTNPKVECPPGLSLTKEGICVHSDIFTPKKTCEGGIEPD SDNMCVIEKLLPAEVECPKGYTLEIVTGMCIKKEIEELVCPEGTILNKTSLKCESEPS CPEGFTLNNSTVTCENEKSEPPHQKCPEGTEYDESSSSCKVKDAQLPLIMCPEGYEQR GDDCVLDKRIPASYYCPSDYYQTNKNDCVKWYQDYLLQCPPFLELKEEVFAYRTDLIP PPAAGRGPVLPYMALGRNLQQISYENLDEFSEQRNMEFKRNVNRNGFGDHTNIGDGNH RKRYDYLSSTTPIVIKSSKVRGMVCFGWGKRYKMIVNCPEGTTLLNEKCIETRIMPPQ FFCTKGYTFNEIDSTCLYEEKINPNIECPAYMNLMINKTGAPVCIGNRREPAEVSCPE GFEWLADTLNCQSQEFAQPRITCIKGYSIVKESTGTFCKRSDSIKPTPSCPPEYRYDN SNQICIYEVKLHEVRERDENLRNSITNIRTNLNL cubi_03566 MRSLLNTFIQHLNDGECDINELISNGTIEQSAKGYFVARGMIEY FQEQEVFISKNDFDNEKFPRHGITDAFDYSAQKYKNDKVYNSRSLYRFVPVPFENQLY WDNNLEGRTNHIDYFSDIYKKFDECIIKIYDFTVELPQYICSIEVESREIFSKYINSY ANKSNINKQGNKNDIKINELVEVLTTVELENLNSSDYMSGMEEKLDGNNLKTFISNTQ RRLVLHVISFKRISNYSPIFCPEIGYDGFLGESYSPLKTCEFLGRLVENKYPSLSGIP ELYNISVQYISNEICNGNNLLSEYILMCICSRQKLKFEADTENTQNCITNPPQIVLHI TMCNKEFVEKLKSFLLNHFPRLLWINANTSNLNYGRLTPYFDSENDCFVTGTLQIPLL RNLIVLDETSLEEGELSEKGLENISNISLLTNYGYVNYGFTNYKIPMKTESNYIILTS NKKSIFTSTSSISICMDSKDFEANTDCLNPQNKFGIETSHFSKFNFSSILKLYISIVG SCVEMLDFDKQTQDYIVEEFVNIRQRSNLSSLVHASTLHTWIMLARTQALMNGEEKLS KHRFEKFFRLELERLENLSNKRKNTILN cubi_03567 MDPLSANPRKVFVGNLPPGYAEQDLKDFFSKVGEIKKLELKQRF CFIEYEDETQAEAAHRELDGVEFGGQVIAVQPHDPMVRNKETNVANKPQYNRPLPSDG RGPPRKHFRVCVFNLDDNASWRDLKDYGRQIGEVNYSAVFHYQGQKVGVVEYLTVEEM KRALEEIPNLPFLGKSIRVEEDVGQLDRELAAANGYGTKRRSPPPFTSGPYSTGGRVR GRYPSPPRFRVRSRSPRRDPYDRRERSHHYPDDRYRRGYDDRIPPPREDYYRRDEIRG GYPAERYPPPPYDDPRERYMHGSERFDDRYPGRTVPFDRRSPSRSGVGVGPIGGNSGM NVQRSGHYGNSVRGYYRDDDRDRERDRDRDRERDRRSTNDQYSSSYYLRDDRDPPGSR PSGGYLSSGVPAGNRQNVAY cubi_03568 MDPLVLDLEETLKLSSLKKESYLEAYKSFLVIKESITSRNGFCT VPMLIHLTFEAIEKLLTENKFGDNIYTSKDQKTLAGLLILQKKILGVLDMNVLGINYL AISQVIINRLVGTLVIISKNEHTKIEIFQVVSALKQFCLIFDSIQLSPKVFTTLMEIL LEIRIPKKQKFEIQVCIIDLIKMVPDNSLILLSIHKLALYYLSIYAQRQLDASKGFAS EYNEQILLPINQLYFSLVNFFDQSQIKEYLNTLLQLCTLKQHRFFSKYAFECLKSQAF LLEKVNFESKHIWIPSYTLENLTNDFKEIKDDVLIRAYLETCINCLNYVLLNSVEKDN QPLNMGTSIDIFIHSLKQFMFTTDFVIHSTVLNSLIELVFKFKESILDYPILENSFGK HDLFELLISKLLPLCSSMLDDYRHKPSWSELLRLLVIMIESWDELVIINYYHSNVELL RIKRNIGIELFDEVITKTLNMASVALCGVSDHPSFEYKDLLNLKEELRRIVGSITRAF GPKLVLKKRPLSFDTVELSDRNFAIKSNSWLLPLLRVHITRTELSFFIKDLLPIALKL NTYCSNYQVTEPNYARLYNILEEQVWALLPGFFDEPLDFIETFGSNDGNLRSYMIQLL ERAGMRDHICNALLRISRQTFIGRGLSNDNEDDFYDARKMSNIDNRKYHVAIKTWMKN TEALTLHSNTFLSLLIVKFLNCNSENNKENQANITKEQESQHYLTCIQNLVPFCDESV LQKNLKNFYTVWENLAQGVESSKLPFSCGKIIALLDVAIMMFKRISVDNINSIIFYFL RLLKVLMMRDSKEHLNVRTQLLRRLYKGLKVGLETLRDRTKTCLGFKNQLSELWQIIV LDSGKCPVNSLKHRLSCLRVFIQLLKKIEDKEFVLHFGKSQIINNLIPEILFSIREPN ATVRINAMALLKSLIECYIEYDQFLETIIIKIITLSQINTGQIKNGYIEVSCIISLSK IVFDYGDLMQNGSTIQNNSILQLIVNFIVHSLNNVNPLIYVNSLKCIKICLFRLDNDF MWNYTPNIISNILDNQHCALKFRMHVRKILISIIKKFGAEKTLQVFPTQHYQLYRYLI RKMSKLSRKKTFKNSQDTFDNIFDEVEEFDSKELILNNEEITHSLPYDDEFSSDEELD SKRKRSFGVKVSKSSQMKQIISNNYKDTGKSLTIVDDGYGNVINPIDLLSSEASSRIL CSVPNKIINRSNIISDRTVEFDKVLNKVIINEESKLGNIEAADQDLETDYKPSSTHET VKPYKRTLYSTTRNNQNQKKRPRKQHVTVKSAKEFKSKNAKGDISRNGVQPFAYMRLN PALSKEKHKLSATKSLSTIFNKKSRN cubi_03569 MPKQHGRRKKTRTHTKELVEEELKSIPKCFVLRKGKVIKQLKSL VMDLRYLMSPWSAIKLQENKYNKIKDFVSIAGPLGISHILALSQTTSGAYLRIIVLPS GPTATFKIENFSLMHDIRSSQKRPRSCSSDYLTSPLLVLNGMKNLPSDNSSGSIPLYL LRTMINGMFPAIDLTKIQIRSCKRVVLIEYNKDSQLFELRHYAIIRRPAGVSKSIKKL LLKTRDQKLYSIGRGDDMADYVLSSENGACASDSEVDDEVEVKIPISSGNRDESQMGL SERSGIYTGKVSVSLKELGPRISMRLVKVVDEVCDGAVIYHRFVRKSSDELKKLEKKE ILLKEKRKEENALFNNYNKDGNLREET cubi_03570 MDRKDQIIQYILIRSDLGWSQGSIIAQACHASSAAIFENIEKES VKEYLREINEMRKVVLNCPDEKTLRSVSSDLKAKKILHKLWIELPENIPTCIATMMLV NAFLKCSRQNMLISPHASIFFIKNLNIQLLYKRSYRNVFERLGQKNCALLFKNHSRNF NYASCSGAKLNESRNLSKGLFGMGITICFGYFLIKKKAISVSEFCNKFENFNDWSYNL LLDQINKLIPPDNEPLLPDFDQLGYPENLPTLVVGLRGLICEITHSRKNGWGIVKRPG VDQFFNVLKNYYEIVIWSDESFPIPNEIIEKWNLPIIGILDKNHFSKTDGKLFKNLGC LGRNLNRVILVDNESYSAKIQHENSIVLPVFKGDPYDNELVSIIDLLKAAALQPGDVR EYLKRFRCNNTKIGTKFNEYKKTISEKSQVQRRFSKFFLK cubi_03571 MLAPWIVPVIVTLAVFLLIAVFILMWTLLRTSHRFTPKAKSFAD HEKAINEFQSNVLINANDSSQQYVPPQTYVQPQHFQSHQMSNHPHDEMHIHKCCNHQH SKCSHIYAQNDNHQINDQHCCECHA cubi_03572 MSSLDSYSKSYDDLLVHQLMLQDVERVEAYKRSFEENKELFRGK IVLDVGCGTGILSMLAAKCGAETVFAVDGSNISLLAKKIVEDNDLSKVVQIIHGTIEE IELPVSQVDIIISEWMGFYLLHEGMLDSVIFARDKWLNPKTGLIFPRKASLYVSLVEI EDFWDKNIEGINNIQGFDYTSMGKIIRSSYMKSPLILGVESKNVENFSYEQIFNVDLL KIKTVSELDNIESYFTLQVKKNTDFVHGFIVWFDVEFPSYRSDAVVLSTSPYNKPTHW KQTIILFQDCMEAKAGLKIKCFAKLERCTSEDKRCYTISIEVQDASFE cubi_03573 MGNLFGRCGCKDLTICNLNVLKSTHSQDRIYRKDYKIPNFLIDH VDLDINIRDGVTVVSSVIIMRRNPSSSFRGDLSLDGNCLKLVSVKLNGAILEKNLYKG YFQPDGPDGKLVISSSLLPNKDQQFTLETVVEIFPEKNTKNMGLYYSAGVYSTQCESD GFRNITFFLDRPDVMCKFRVRIEADKTKSPVLLSNGNLLEKGDVQGSDNRHFAIYVDP FPKPCYLFAVVAGVLGRLEDKFVTRSGKSVRLFVYSEPKYVDRLRLAMESLKLAMKWD EDRFGLEYDLEIFNIVAVESFNFGAMENKSLNIFNCSCLLASENVTPDYFFTNILSIV GHEYFHNYTGNRVTCRDWFQLTLKEGLTVYRDQEFSRDCIDRLSEQLGDIEVLRNFQF QEDSGPLAHPIRPDSIVSTNNLYTCTVYRKGAEVVRMYETILGREGFRKGIDLYFARH DGQAVTCDDFRRAMEDANNYDFSQFERWYDQAGTPEVEVISTCYNKVDGTCSITLRQR CSPTPEQPKKRPFYIPVVVGLIGKDSCKEIRQSETLILKEQQQTFVLDGVWETPVASI LRGFSAPVNLRFKTPRSDEELAFLFAFDTDEYNRYDAAQTLFKKILIQAASNSSQEIS PSSIIENILSKTILSCFESFISKIRTSKNPNGTISPMVASYTLKIPSYSQVLASIAEP DFDLIVESFRAFTVLFASKFKVQILDLFQVLTEDLSALPSQSPFVYGKGVNVEAIAIR RLLNVLLDFIAKLDPNLATKLAFEQHNRFNYMTSKLGAISALQIADYKSNECIQALDS LLQATTDDVSTLNQYFSVQASCLIPENVERVINIYHSDPQFIKYRDNPTIFSSLVGTF ASNFVAFNRKDGLGYSFVADAIISMDKVNPMSAASISRAFTKVLKLDEARRNLIKENV LRILQSPGLSKDTSEILNSIDF cubi_03574 MSSSWQCDVAKCQEVLSVLRQADSSDSSVQLQVTNALNSFVTNS PDAPCYFALIFSNMNNEGLDVRQRAGLLLKNYLAQYGLPSPEYIEYLKITSFTALNDP QRLIRSTAGTIVTTFVNTEQGKPLLVESLRHLSQLLDMATNDSIDGAFDCLIKICEDE LESCLGENSGVSDHTRSRLISFLDASRQLILPKLFQISQGEHIILSKNDVAVHLSFKC ITLYAQYHLFSSGNTLHDLFTQYWQVIGVMAKQENKQIRLLSIVGIITVLEDDPEVIL NGAGVNVIIDFVLCCCEESNSDSYNLRLESLEFWPSYLRNEKGINILRPFLPRLLICL LKNSIFTDFDYIEMDPSHFEDKTEDDLHSIGPRFHQGRANHSEPNEDEVELGAWGNQW TVRKASALALDHISVIYGDEILGELLPKIEATLQDPNWEKQESAILVLGAIARGCIKG LSPFLPRVLSFLVKLTSDSKPLIRSISCWCISRFTPWLALQQGQPILNSAFGALLARM LDPNKRVEEAACSATATFIEDSAQSLSLLPFLDDIVSTISGALTVYQYRNLLILCDTI STLCFSIGPNVFSPAFENNLAPLLITKWKSFPIDHPCLVASMDAIAKIFAVVGNKASR FADPVLEHCIQSILLSTLNNLKNPEEVSYSVPDTAECALDLISSVVEAVRAPAIPVLK RCGFSNYILIFCQDESFPNIKQSAFACIGDIAKFGGDNLDILKPSLTTFLQLLVINLS SPNIGIANNAAWAIGEIVMYGNFPEIFTIIEPLLEHIIDVLISRIANSSAHIIDNLAI NACITIGRVAVVAPTKVGGRLGVFAERFFLVLTSVRNDQEKMSAVQGICFAIQTNPTS LNASSINSLLDLLNSMQPALDSKSSFSENILPVLRSTLKSIYLSFADKDTFLRVVNSH NSYVQSLVTSFLSS cubi_03575 MVRYAVKPDTPSKSVICKGTDLRLSYKNTYETATAIKGMYLLDA RQYLLDVIAKKRCVPFRRYNGGVGRTAKANEFKLTQGRFPEKSCRFLLDLLQNAESNC DMKGLDVDRLMLVSAVITHAPFNRRRTFRAHGRITPFKSQPCNIQLIFKEIDDAVEQP KNIEGQKVIKLTKRQLARRKLRVGSKN cubi_03576 MDKKIPTYKNSRDKSYYFTTAINYTNGAPHMGHAYEIVTSDILV RIAKLFGFDVRFQTGTDEHGQKIATTAEKNGFSPKELCDKNVKQFESMNESLQINADR FIRTTDIDHYESCKELWKRCEAKGDIYLGKYDGWYNIREESFMTELEAKMLNYTDPVS GLPLTKMEEPSYFFRISKYIESVKNHIINHPDFIQPESSRESILARLDALGDNLEDLS ISRTSFSWGVPVPNDQEHVMYVWFDALTNYITGLNWHNSDSCDENSLFKKYWGNTIHI VGKDITWFHTVIWPSMLLSVGLSLPKTIFSHGFVTAPDGKKMSKSLGNVVDPLEQIDK MGSDSFRYYLAREGRYGNDIKYQPSSVVDYNNGELADTFGNLISRITNLAHKFCQGVA PSSSTSVFLEKPIDIETVFEEYYLAWRGFRLDECAQIAMNLSRNINKFLTDHAPWNKD NEKSEEERIEIIRIVLEGSYYISILLSPFTTLACKEVFNRLGTQQKTIPEIDTNYSNI KPNTPILIGEPLFRRIATKADKTFEELKAEKKEREKNKRTEKEKKRN cubi_03577 MLIKERINIWCRKYLNIQPLFRAQDNVVISSPVAESSLRSIYGR ATSNVASTNHSDSTSGMNMSSNSHSNDISQQEQASEDSLVQLVCNYPEKAIWFLSCNL LIGMVFFLSFGLISTGITLKSWRSSFNSSPFLCVWLLIHGSLQIIQGIFRCYYKIILN RERPNSTSVRVIQILQAVRRLTTNRAWKIGKIFSFIYYIWFIFGFYWILSVRPNIEVF SNQSRGFSSSLSDSQLADYSLITGHRSYLLLDNNSLYSLKDLIKVDFRSGIWSLYLII MLICTFRIISMVSFFYFIFPNVVSSEYSDNKLRACTINKLESLPVKPYFEWKKLKDDE LILNKHSFLQDNCIICLNDFSSFEMARCLPCNHVFHEDCIDMWLLRNAVCPLCQASLK cubi_03578 MSPRVTYRRKCRYNTPSNRVRVVKTPGGRNLIHNIGKVYSRPKC GDCKKPLAGIPACAPYEMKHLKKRERTVARAYGGTKCSTCVRQRILRAFLLEEQKVVK SVIAEKEQQKKNEEKPKVTKKSTGSKQTSSKKK cubi_03579 MLGYSGLSIGISDVKKVQISQANPVQLSNILLNLRYKAFSELSN TIYTYQSDYQYQYKYYLAKLSRYEDLEHTVTLKLCSMLPEVRQRINSGSTLILQNYSD EEIYYSQYPIPHNIFVDEIPIFREFTFFLSLRRSLLDLRKKIWRLYSIIETKSSAIKL WESEYQEAILEKAENEYIYGLTTNDYGRNIKDYAEKLKQKRSTEFRKAKEMIVLNNLE NRNNEELEKIYSDNENDHTKQRDSCLILPIKRRVSLHCSVKSESPSREAHRRESPNKN LNAGRNSKKVGNIDNEVDSIIPDSNRIKKKLNKLPPKPEKTEL cubi_03580 MSENDNIPDILLTNKSWGSCKFSFERLKEISICLSNLNINIKLP IFEILIQQEDLQKPFSRYKSILLNCILDCIRLALPISTDFFENVKLKNVFNSPNKHKS NLKQSNAEIISEIILCGLIEHIKTILEKYKTIDSKINSSNKSWSKYIYSIERATEKNS KLLIFLIENIHESIRKEQFLLIFTMLLDLFENSVIQNNIEMINICKYAISSILSYSGT ISIKNELTLNFLLKLINLFQSSKTTKIADFFPHISGILSNVGVSGISYITNTILTESI RFLRYKTKFSFDSKGLKGKGNDWWRDDSVLVPDLLRKSRYEKVKDIQTIIIDVIHLLG SINEEYIQSVISQMICELQETDDMLRFETVKMVTKFILLDIKSEYDTTFQGSKIEHQI NTEKESKLKNQLIEFWLSRSNDREDDIRTEVLEGVVEAVNIFLEKSEFGYSCEKYIKF IIQQKNITCPNIRENMIKAVSVWLKNKKKQPNSNKLIDDSIQYLLRHICDRNRNIRIY LIKHLKGYNEIPELSRNLWLLWYVSFKQRDLQMRIIIEDILIEINQLEALELYSPIKK TSETLYGVEKAENKSNATIYKIIKTFNYQRLTLLKSFRLFFCLLFLVQFHKNNNLSKY LESLKLEITTQIEHFFIENDKNSKNNAILIAKNMLTQIQNSLISKDCFIKWAIILGMN IWNKDSQIENQSIIESLIENVGLDQVEEFKKIIRYLSIFKFNPENVNCKVLCTIFSPN FEITKDKLLTEDYTESFVDGLVTLILRLQFKYKVNLVNYILSNPELNNLSGEEIVKLI NGAINIFNQQEIFKVYENFYFSSKNIFRKKLTRYLREINSMSDEMNEVFNRSSANNCF ICDNYQGNHFIAEVIPENEMKIVVKDTIYQIFPKISISSIFCSYSDFQTYLDSNISRK KYKHLNTEKNWEIFILRLQAEKIAQYESLETNDADQILNKLICLIEETLMNSEFKDDR YILLTKLIGIGCLLFFKIKTVDETLFSKFFDIYYNFNKLYKPNMEFNLNKPSSTRLYS ENKLFYIYFTHKIEPSSHGSIGVNLSSFEENIFVFNLYFYFELTNYICLSELKNFFRK NHLRLFELCQEFCNNRPDCLLLLMNSRILNPDKNENIHNILSTALGRSLGISFIPILS QKLSEELESQTNFKEIEKTIERTINIFFGSVIYSKMIKYDQLYCILDCIISVFLYYTS KINHFNLESINCSIHYFVKIIYDQLFEKLKNNKNVSEQLKTIGIYCTELLEEIGLKYN CLPDNENNSEINDFQISDICKGVQFHISYFFASNDDKEVFTQENTDTFGNWNYIKSTR KFKIPSYLFSRVETKSKIDNKFNFKWNIPKKNQCRTIQGKEIRKIFPNKVRKLADSNI RIDYRNYNDQNLVRNDDKYDSDSSNLSENSGDENWIGNVSFKIGTLKELRRSNRLKSH cubi_03581 MFRKTLSIFTLLVVLAGVSASYVSPRASRTSRFPQTKTIEPDHS GVYLTPNVKPSDSELRNRLFGAPGQSPADLSSAVQVILKEEDSGSLTIQTSGSQAFTK NALFYYPLISPTKRGIVGFCEEDSKLIDNIESTLLLEAEPIITSLPDTDAKKVYSEVL GYMKSLCKTQKEKLNNLKASLSDLSLDEEVDESTSTRAFVLAKEDDILVTTTFMLIAH ASPYPIPGKSPKVPRDLEGLNNYLKEQCSMIESQLGYIDMNSGRIDRIPVLYQRQHAQ RIREKLVSDIEQELDLCKAFFKELSTADKVTDELVSKVNSQSQSIDDINANSLSLAYH LDKYSPLLPKWARDQTKDPFIVQSPEGYGIVMSEPNKELLEFHETVVLKRAEEGDKEA ERALFVQRTAVSVLEHRERTTNFAALQFFTTLESKAMGTLSKSSTVVDPNADFSTNEI ESDIEDSSVRESSPVLTPSRKLAEAISEAEEGDDDEMPGLQEVPDPRVLTPEEILSKV AAGQTYTPAQCSSLTCLSGPSCIKNKDGSPKLCYKATCVKKDCSHVAVHTVDCSNAKC DKGSCKCGKCVGGECKTGKCVGGSCQSGSCSSTRCVGCTTEVPRLEGCVARPARCTGG SCSPAKVRGAKCVKREVLQDYHCAKQECSKGECVKGECKRGKCVSGDCSPCRCYSDEC VAPRCQPGSCTPGTCTTGSCNMGESQTGCEKGSCKGGYCIGPKCSGNEYNGFKCKGSE CTPARCHPSCKGCSCTTAKCTGGECTPAKCVGGKCGRVHCDGCSNSLCYLEGAKCVPA RCRGVKVIPARIVGRDGRAVGTCNSCSNGSGCAKKSSYNKPDYCVREKPEEVCGAPSC KKRKCEGSNCYDSKCKGSECTRATTTGFSTTTTTTRPTRYTTTTTTTTRPTRYTTTTT TRATTTTTTTRATTTTTRTTTTTTTTTTTTTTTTTTRATTTTTTTRATTTTTTTTTTT TRATTTTTRTTTTTRTTTTTRTTTTTRTTTTTRTTTTTRAVFTGLRTSTTTVSTSIST GPSRRLLAQVPEDETGYVKRPESRRPESRRPESRRPESRLPESGRPSSKSTNGCGKCS GECCKCKSGKCKSSGCSCGCVVGCAMGCCNGGANGTPDPVRLASEAESRIE cubi_03582 MKNLLLTFLLIIVSVNYLAYVESASINRSPEKLEVSVEVQANSS TTTIKPISTNSSQAADKGDHYLSKNKTLEGRTSTWNRFITLIIENVNIGNNWQDLLSK KASEYSEYNNPQYVLCSDHFEHEKLSEKYFRLESNVPVHFPTEVKDIRIVSSIRKTPN LNMTETLKLSNFPRPKHFRKSLVLLTPEPYQRLMTYAIEQCMIPSTWYLELIHCMYVG MAPKFSGMIFSYSLQDVVGSALMSISYKDERFNLENCFNAISLVVDDYISPYYMDICN NVLACLESKQFEKQFSKQKKEFEKRVEDAYKHIPVKQKFLTPMQFYRYAFLLSMSLVK DKSIDLKIYSERNFLPVRIALASLAYYAISDTLGWAFESEKKVSVFFTKIIMKMIFEI SLISIPGCIKRLSKFSNDITVERSIIFELFCKEIFSAGFIVEATNELPIDKKLHSKAI MPQRILDSSYHLFVPDMTSDLHYSGYDHSWVYAQIEFNSPSLVRQNKVQKAYKSKKLK RTVKTDHFKDGFGPQSGEFSIKKVNTGRRTRIGYLINFNLKNIFIDGTNTSIMRYETT QFFSICLIVIFITINLIQGSKLTGYKLEPGIEADNGWQKMILEVNSAYKNSSGNSLIE PTTTFINDYTNFKESFISFNRDVCIEIPDVISSSKPEFNYPIHIPLERKSVSSFIRRR ISINLSSPNYNSNIQYPRVKHFKYLLPELVIEPYQRLMSFAIEVCMIPSIWYIEIIHC MHMGMAPFFTGALMSYNLQDIIGASIMSMGYKDEKFSIDNCKNSVSIVADDQISPYYE EICKKVESCIISRPFNNGPFSRLKTELQERINRSYRLIKPIDGFLDPKSFARFAILTT ISIIPNNKFLSRVSSEKNFLVIRSMLSSIGYYALSSIAGLKFISEFSVAKFFSKMIPE WLSMTIPKFQKNCISQLAFFSKANAQSYELFKYFCQEVFSSGFIYENNKLYIKLEKMD SVYPQRVLHAHYPYFIPSMAKRKLYTEYDNSWAAASTTESFKIPSIMEKPNEGFSKIY FTKQYRKTRRKAINGQGIGPLENKYKKRTTKYKIKSRLTKVLCSKKTKNHIDIMNHVK K cubi_03583 MTIVKLSTILTLFISNIFFTLAYDLENPKNNIKYYSSIINSGLV KFVHYCDQLLQSDNATGRLAIRKLSDIHSEIDASRSGIQDDELNIAVKTNTIGLSPSY SKGLVHIPKESKAVPLHHRMKLKYENFELGNDIQFHFPRIKYLKKTLPSITIEPYQRV MSFAIEVCMIPSIWYLELIHCMYMGMAQYYTGIVMSYSLQDLVGSAIMSIGYKDESFS IENCYYSVSLVADDQISKNYIEICKRMEKCLKSGPLSEGPFSEFRTEFEERIKKVYSL LKWKSGDLSPIQFSKYSLLYSLYFVKSRMNLKSFHPEKNFLPIRMMISSLGIYCISGL KNDPPKKFKIVETVAKLVSEGIMSSLDSFDINCPFEVLPLLKFDLSMIVAESFCREIF SIGFIDEDIISNKADNFITITKPFRILIPSYSSVIPKMQHNLRFDKYSTDWMDFVSTE EIVFNRGIEGNKRRKVFTSKTLKNTKKMNPNGIRNRFEAYRTGEKKTKLSKRAKYIFT KGKKRSDIISRHVSGQ cubi_03584 MSNLKISTTIIINIILILVIAQITHIVGKGIDVTLKGEEVAYNR QNGLNRLVSLVNSLTTDELKVFVNKQEYVFSSYLDSESQVNSLNNDDFEYKDRIPKKS HMGFEKVCHIPIETKSLISFSEHSQTKLIEDGQIQNYFFPRVKHYKKNLPELTPEPYQ RVMGFAIEVCMLPSVWYLELVHCMYVAMSPYFDGMLMSYSLQDLVGSAIISMEYKNER FSKENCIKYLPLFSDDKISSRFKEICREAESCLESRSFYTNKFSNFKNEFNQRIQEVY SSISPLEGALDPKTFARYSILYSLSILSKKLSLNKVFPERNFLPIRVMAASVGYYALV KKLKTNFLSENHLVSFFTKLISNLLFEKTDTVIEQCSSEIIRFFDITNAHSFELFRAF CKEVFSVGFINESYQSLGEINSIFINSTHPKRILLNSYASVVPEMIQELPLSEYSNSW MNFKNAHDKSLDYIQEFSRNNSSDSSNVEIVGDNVQEPISDKNTNSVKKSKKFSKKKK KSKLCFRSSKKKRKVCNKRKKKNSNNIKYISNPIYDS cubi_03585 MQSSQSFSQGSLENFIQTNSDNYFPINNPQQSLYNDRIFYSMNQ FVHTGCNLNGFSIHVPQEQKNPSVFIRFSRSMSLKPVDLSLTHFPRFKHFNKNLPKLP YEPYQRMMTYAIEICMIPSIWYLELIHCTYMGMAPFFSGMLMSYTLQDVVGSAIKTMG YLDESFSIENCYRSVSSFTDTHISSNYEEICDSMSKCLLSNQFSSEPYLRLKEEFQKR IDNVYSEIESQEKKEQYKNYARHSLLLSLSSKTINLDLEYTAVERNFLVVRAMILSMS YLVNKFIPVDESTISERMSKVTRLISHMIFAQFKSYMKTCMDFFPGILGSNSKKFQNA IELFCKEFVSIGVIDESVELIGGDINAFNKAILPSRVLDPAYASVIPSLIDGSDASGN LSWLKFQSVEKIEHIGSEVDKKKPMKTKYLKAANDRSIHRIKGSRTVNTKKSGITNKV SKLFTRRKQRISGLISSHLNPADS cubi_03586 MRSIISSSIIKTLIILFIVHTIGSNSDSVSRPTKKQRKFTVLNN IFKRKNKQYSIVSKDGSLLFPALKAISNQNIPILKSVNNFDNNYDEDILNRNQVYIIK NGEVPGWDGMKIPIHSTNDYSKLRLKPPEESKSISIFPPVVIERFMLFTLEECLLPSK FFVQVAFCTFDAIYPYLEGVPSGEIMQDIVGAAMYSINKIEGNFSFDLDYCRNAIAMI SDPRINSNADSKCHDISLCMHQDHLPQLSPSLSKLNLNQLIEKSFMLHGLEYQLRINT SVEFILWKIIKFTHKSRRWKKYRNPNRLFTIIRAYILTLYVRHSSQIIPGWYLNQFPP PKKSLSFVYVKNEHYTDQSAYINYCAKKLKCWLDIFQPITSPILPNIDTKYEVSRSAC FQAGRAGFVSSEEFDFSDMIEQGTENVDLLNLQLPVILTKSDKEINYIRNNNLRRVSE NRRWTSYHESYPYSFNEKAPQSSNDNNKFNLQNLAQENPPKQEYQDEDILLDEITDLV RRKNNKELNSEDAHVSDPKSKEIHSKVPLSPKEFLSRLKQDNFISNSHKEKIDGVLYN PRSIIDNQFIRRGNVYSLKRNDNENTEVEINDIQDEEEDMENGEAQQDGFENEYDSL cubi_03587 MIVRIQLNLLLASILAFSIFFNFVNSSSTANAQIKHVESIKDSI FEDILTQMNLTNEKILKDEQSDLYYIYFNETSANEHDKCHNQINTLKFVNKTHTCYIL GDKNSKLFDEISIIMNQDNNSTEKIYLEDLYNIKNISEITDKLEFENNTSNSSELQNQ PKFRSLARFQELNYNEINYRRNNLKRRYFDDGIDESKLSKKERKKRKKRQKMLKKREK ELKEKRKKYKSPLDKLRSTVKWMACIEISLAVCGCCLTLVQAFVLPFISPIPFGSRIQ PINGQQIRGFEDYGFYNGFQQNNGIYNGLPLNNANMNINHVGCNNNYNSNSKLGSLRD TLGVNGNILGGSLLGRIQQLQRNGNA cubi_03588 MRLTFIIIFINTFIPILTKKSDKKQYNPDNILVGVDNQGNDHIY NSQYRIRVRKIDPLFRTVVVKGIEPNFPNDINADGTNPLSENKYSKLPIKLHIPIIPI SKTVKMRRMPVISRSSYRLPLEANERIISYAINVCKLPSLWYLELVYCMHRGVTKFTT GILTSYTLQDIVGASLASMDLPSESFSLSRCKLAISLFSDIAINQRGLEVCNIMLNCL TSKEFLKNNKNQVRKLEKEITNIYKEKPPFFRGQQPRSYAQKIIVGLLMARREKYYDS TSANSKKNKILKIPDKLFYVIRYFNFIMILTEYLIRKGFKDVDAFEISPKAYLKIGDK SLLQPTPIKMIAKCARQIINAHFSTNSVDYLSYLNKAGFCPFSNVMIQHACTELVSMG FVSSEIEIPKNISINELEYVAYPHLVHLKEINKNQQSKKIVKESSLSQMNISNSNLKT KPASRQQPKPSKTTENQPVSRKTGVQITDKRTMTSNNHSKATVSSSTKNSTNFKLEKT HQVLKDKNSNFTSNSEIKKQIDNILSAIEID cubi_03589 MKIMTRYRNNNLFLMSLISFFSACTLLLLLLFQESECNKVHAND FRHVVEAARESNKKKRKLTKFDNTIIGEIKKELELSIENEDTFYKRPENIKEYNTKNN TFVKLWLSDIDLRYSGSLVFGGDISTVKSQKTSEEGQEEFEINKIIEENRLLDKLNLL RQQDVEVTGLGEVSQFDELTRKKILASGILIVPHKSDDHLKSETQKEVTNKIATVPVE LEEEDDDTITLITPKQQITALEILTGNKLIHTIQLNMEVDETVTESNNLIESNASPEY EEKDFLECVEIKKNLIQKIGKLKLENANLSLHAQKLKNKERKTKEYGNVLENIEKNKE SLHNHNIELLKIERRIQQLSAEDRMEDRNPLEEKNEVLQEKEAALRKKERRKVIINAI LEEAKRRKLIEQKNKESKRLIEENEHNEKNDIEKKSAESEEATKPKGKHQDLGTEEIR KIAKMLVWLQENDSKTLASIDTRKERGASAIKSLNKLYISDKQNFHKVAEKIKNNFEE KVYEKNQQKNANKENSPKK cubi_03590 MEMARRNLRIINYLYFLLISPYFLRFAIGESSYLNDAEKIKSKI PGFIEPITKNNIEIDQGYLEKVSQKEDRVETVQNNVGRADYIRVPRPKLISINLEDFD LPKAGVEDQIDLRLEEIKEKISQMKDLVLEYNHGENDKESTPLLGFPTKFDYTGISAE KDISQPSYIDPKLKIWMDNLDLPDETKLQVNNPLLHNTILKDPTESKFLRDKQRSQPN WKGSAKHLIEKGPVKEGLFNKLDEEGRSIPAEFENATKESELEKPDMNFSNEKTNSIR VEPEELSIQENELNSKLILTNEIPSGIIMSEKNFSYEGEQHSNNKKKNSVLLTTPEQI EYLNFEDLDEDGARELREENKTKKTRSKKKKGKKNIKKKTKNRKVVEKQDFSPPELDF IPLKLESSLNIGSEKKFKERKKNSSKKYAKEYPQHGYDDLQFEISENTPFISTLPSIE SAGRISNIPMKKSTEKDTSSSFQSKKKPLKKKEPKGYSELLDIEENSANSKYNDIQII EEIPILQLAQQKMPKRNNKKPTYNLNSQNLSNGSLLEKLEDNSEPKLSHTKKNLHNAP SKTLSNEISIPSKHEILDECNSEIFENCSIANSEETTNPKIRDLLHIPQIDENTEKIN IPKSNLPKRKNK cubi_03590x5 MKIISLDGFQSYGCVGSGSKNAKRDHFKPISTKFFSLNYAIIVL SVLSLLITIFGSLFKTLIKVYFFLVVNRLICALVISSFIRHIRNFDKSSIKVLLPLHF INQANYLGTSITALSTRIPSILFKPQMNSMNYLSVFSAFCFTSILVMEIINLVYTYDI FFKVERNFIILKSAVNNGDLKLNLCVFIIFGYCLFADCIYLLFSILTYIKYTLFHGYY TEIILSSFSGICIFISVTGLLLGVSIIHYLR cubi_03591 MNCYSILEKIPPITIGAGPSDDLWSERLKEELKALIGYTSLLKN SGEEWFNIKPLQNGTRWEGICWYTHNLKKYEFKFHFNIPEKYPITPFEVEIPELDGKT LKMYKGGKICLDSHFIPLWSRNYPKFGIVHVLASGLAPWLAAEVPFLVSTCKI cubi_03592 MTERTCNLEGNSLSSEDSEADLEIPLEKVCNDPNPISEQLKRKN NLLINDDLVHSSETDQNKGLDGKEGIDGQSGNAESSGLKQKNHSNRIFRRIFECVCRN RSRSNSSNGLNQTSSNNYRNGGSIFLSIFKGLHGAYLFDKYTKKIGKHISLVASVYPR SLLIIGNVMGLMFGLVVALGLMKLYPFHLEVNGSPDLFLPTKSIHNTHKEELRRLFGP ETVTVPILYSNKGPPGSSLLDFVTLKQIWRNEMSIQAIKVVDKKGKEWGWEDICHRQP TGSVVTGTPCVVLSLFGLFMINESSGIFSEKDFDEAFTGECCSGVSISKFAAGNLIKQ VYFPYQYKYDEDLPEWPLEIHNTQEMLSIYRLNTTVPEDVRIAWENKVTNHIKSVQRR NQLVSIINTEERSEISQINITNKRYEENNHTGNFKKLITVEQPLYNPDSIINSDNTKD VSIYPNNWGIVHYSLEFVSSETTELANKETPLLLATFALMIIFMAICISGKFPKRSRV LLSSTVVMITVYATVGSFATGMIFGVPISPLTPLFIHMLLGVVVSYMIISVRTYTKTR IYIRVPYHYLPFLNSKYVRISGNEVFLEITQYIYKPWRGFVFSEAENSHFGNEIMHGN NHSYGSTSVELIYGQNYSSNEISSFNSSNSASDSYSNQRDQLEQTEKTNIITECTVLG EGNEVPSNKLPSDGNLYHDCKQEESKFTSEIKDDGDEIHHVINNQIDGSNSDFHIDLE NIDKKMWDNDTLLKIRLSVSGSVVFSSITLTCLTSCAALFLGTVVDFPIVRYYCIHAG FGILYLFIFHWLIFLPSFVLDEKRIYHRKYDVFPLLKFKLSNKKSKYLFIRQEPKFIC SDDNQRMIEISPSINAYTRAASPSNVSLSASNDSRKGTILSIFTKHVYKVRSEVVKNY QRYKTWWGDKSTNQNKQELVHQRKSNIELNEIDSMVFNEKSSVGVSNKIDKPFLPPNN RENTAIQNAINEIDMHDIWIGRLMVESSKADVIMRLMCNFTFRLFAFLFFIVVVILGI VFGKNVNTEFSAVRYLPPNSPLHYFVETLAKSWGSEPRQMYLVFPGSDKVEWNNKLVR EEFINLVDNVLLKDPAVMTPIVSWVHDFEIFHFGTNTPEDPDHIPSFIRECSPDLDNP RPPPNDAPKEEFYDFLNQWRNRKVLKGDESQEDTICVVSQLSSKLTASTVSESAPFIR NIIPEMHDETMLIFKNGNPSEGIQSFRIMTMIKHSPSNSEYNFETVSRLSNLVETVFE KDFPGTYIYSDWFVEAERDLNILYIVWKLLLYITLGVSIMLCIIQNPLTGIFIGLVVG GINIAVILIMYITGLIFDIVAFMVLATAVSFSIEYIVHITHLFLLTKRNSGVERIRDS LLDMGPNVIYAVISTFLGVILLAFSTSESFKVFLWITTIVLCVSAISGIMIAPAILSI ALDFGHFIRDYYNRKRQQELDETILEIKSSNMI cubi_03593 MTSVTNEVLSLKVMSLSHSPIDSQASTLSLLPCDLENREEESLC VDNMFPLLLPTTQCRLYSGESFHAFLSITNSSIIKANGVVLKVELIGTKKRHMLYNNE DNYSDIDIGDSMDIVIKERVDEVGLYSLTCQLFFTSNETKLTQKKSYKFAVLSPFNIS HRVYNLDEDVVDKKTIFVEVSIENISHQSVTLSSITLNPLNIKDLPHLKLELEDVSFQ EQFSEPLFIQSRCRYNKIFKFTSFINYEAECNNPELANGKDFLKLGLKITWTSPTYGD AWLDSYKIDLPILNEQNKLNGERNDIILKASLPSVNNRRDEFKIFLYVTNNLNTTQRG LSVRLDFDQLLPLIVLGNDRLFIQELKGGETISLELYCQALLSGVHNLNGIYVFDDLK DEKVCQLEGINEILIV cubi_03594 MLVFKGGNFLRQRLALSTITGKPIVIKDIRADDTLNPGLNDSET SLLHLLDSVTDGSKIKINDTGTTLNYTPGIIINGGSSEKPLIHECHPSRSLSYYMEFL LMFAIFGRDPLCIKLIGTTDNSGTDHSSDLIRMVTIPVIKKIIPQIGDISLSIIKRSV SENSLGQVILNVPIVKKIEPISMDGPIGRIKRIRGIAWYTGSASAQIAIKMISKARGI MNKFIPDVWIYFDKPKKDSLQSNEEMTGFGMTLVAESIKGAIKGSDCSCIPSLSDVQK MLNIPIELTEEERTLNSENSNENQMSDLSSGSSDEDDNYGGLNKQTSNSLEEIQNNEE FENLTDWEKIGWLTATRLLLEIDSHSIVDTSHQIYPLLFMSLSQDTAISKLKLSKLAP MTIQFMRDLKTFTNVEFAMKEDIEDGMFITLSCTGIGFSNFARKAA cubi_03595 MKILSFVSTFHVLVGLIMKIEISYSKMELLRRDFNKSEKFQRNN QYIPQNKKNWHYFIPIFNSSEEFVPPNRTYEFLELYKEIYAMKNASSNSFKKAYIDGV LIPKTDAKVAKLKAETAYIETKNAEKRKKMNLVKEDFEKNTPFSSIIGNILNSSSQIN GTQILDTIYNETFYYLNNKNLTNSTEEITLRYS cubi_03596 MKKEEEGLPIYSSLEEFIEINGGFNVIKKILVATSGQAAIKCIR SMRHWAYVTFGNEKAFEFVVMATPEDIKSNSESVSEADYYVDIPMGPNYHNYANIEVI VSIAEEHECDAVWPGWGHASENPELPKALLRARRKIIWIGPSAESMETVGQKIQSNII AQSVKVPCIPWSGDGISVSVDKEGRFKGVVSDELLQAACVNSTQECVEVCKRIGFPVM IKASAGGGGKGIRLCNSIEDLESKYRQVINEVKGSQVFVMRAVTKCRHLEVQILGDKY GDVFALSTRDCTIQRRHQKVIEEGPVTMVSQDIVRELELSAERMCKAVGYSSAGTVEF LYDIERSCIAFLEVNARLQVEHVVSEGVANCNIPAAQLQIAMGIPLKKIRDIEEYRKL RKQGNVPPRHIIAARITSEHAEKGFTPTCGDVFEISFRSSQTVWGYFSVASPGHIHQY SDSQFGHIFAFGMNREEARKHLIMGLKGLTIRGEIRTNVEAVCRILENQDFITGNTYT QWLEHSVYFSSPLTKKVSTQYLNAVFAAACFTGLRYFKESEDTFVRMLEQGQAPTSIN IQYEMTLVHKGMKFICESHFIGPENVRIVLNGNSVTAKVRNIYPPSMQNGRDSCYLIS GGFDGRNRKVFFKKDAEDNLLVTFDGTTYTFLKEQDPRQVRAPVSGKLVRWLIQNGGQ GEKGQAYVEIEIMKTYMQLTLSHSGILEHAKPQGASFNVGDILAILELPSEFIPPTLE LYPLPFPKIGGVKPPKLALETFCSGGFRGGPRMVALANFREGKQQLLNALNGFFPAFE DVRSALGMFYHVLDPIMPFIELQEACEVATPLIQVSIRRKITDLIEDVFQTFEQLSRN SWYEQFDRQLDAFIPHNSCLGSDVSDQCLNLLEDNDDIEDEGQSPKVLQDKSLNSDSS EMPSMLFSSKADEQDSKNMSFTQGESKETEKIPFLDESQQKQSFQNPLSFNASSKDCS KNINSVSDLEIYILDCLKLVSDIVEESIQDSDNENISVDEFVTIRNQYEPLIHIIRRN EKGRWMRLMYELHEIILKYIEIEKPFEERSSLVPARIIPSLRTEYELPDLMQMGRSHQ QLRVKNEIMEEIAKEMANNPSLLQCTAPFRDTIHQIAELTSTEYTKVAGAFRYVLLMK ENVTTVTQIQSVAKKIFEYSQFISLTQIHGQHLISIANTPSSPLQYPINTTPSLSTWN GIPNLGGNQQTSLSNIIADLTNFPDFTLLACIGHPNPVIGKLAFEIYIRRHYERCGLS FYAIKSRGEAWDSSVDIGTLNNSSMLMANCPNLKGAVPLRGEVQNCNSILGVWAHNAL TTSSFFQTQCDPLRSNTLNIPAIQSSTDQYDENESNAKTRTLSRLESINTYQNINSKC NIKGAVHNENSRWKSMLNAFSGQSLSSIADDLLEESLPIQTTIGLYFESISDFQERFE QCVDELKILHGFFPQISSSVDSMVMLVILSSFSNGEITTESETASIYDEIDSSSIETQ SNSRDIVEILRSTLNRYQDKLYSNNIHMISFTVAPYSDQNKPESLSASLVYPKTPLHY HFRSIKGIPINKYNSQELESNLSLEDSLNNQVENIETEELENNYFTEEPFIRNISSTL LSTLELKRLRYFTIKPIPTSISGINLYVGTPRELYSGHSVISKRKETENKENNSSLKN GSSNISKSHEVDLEKHIKLAESNVSLEKTNNSGSHIKQIIATKEVENENLANKSNNNL NKKPVISKISGYNKQRRYFMRVLVQHECSESYFEEQERYFIAALSTLESWLSFDQNYS NTSSGSRGIAGLNHLLFTSVGVLNDRNSGYITTSMAEEAARTLVQRYSQRIQQSSLQT IEFRYIQRSVYQKDSNNVVVPVRFVVDNPTGQAIRLRSFVEIKNPTTNGGKVFAAIDS KHSFLNFLSTSKSDKSFSLPGLQQSSSLMEISNVNKDSRILTSNENMVPNLALHQHEH IYDGKPLDIPHPLIGKIDQKRYQAAELNTVYIYDFLDLFEEAIKSLWKKCPKYFVTTP SSVSLSKSNIKSAIAGGSSTTGISTNCESSIEHSSGKAAFLPNKLLEYVELDINRDTG ELECVSREPGNNTCGMVAWCLTMHTPEYPKGRRVILIGNDITYQMGTFGIQEDLLFQR ASEYARSLGIPRIFIAANSGARMGLATEVQRCMKVEFVDPENPVKGYKYLYVTEEDYN KFGLQNSINYEVLEHPKDGKIYKITDVIGSQLGLGVENLSGSGGIAGETSKASKSIMT ITYATTRTVGIGAYLARLGHRVIQKAHGAPIVLTGYQALNKVASKEIYSSNDELGGTE IMAKNGVTHLVVKDDLEGCVEILKWLSYVPECVGGRLPIMVDPTDPIYRSITYSCNSN TEDPRLMLTGCVDSRGRWLSGLCDKDSFKEVMSDWAKSVIVGRGRIGGIPVGFILVET RVTEYVQPADPVMPHTSELKVTRAGQIWFPDSAYKTAQAIRDFNMEELPLIVLANWRG FSGGQKDMFDQVLKFGSYVVDELVNYKQPCFVYIPPKGELRGGAWVVVDSNINPEFIE MYADPTARGSVLEAAGIVEIKFRSKALKEWMMRLDPELLSLQEKDQLLHLKGYPIDSS ERSSIKEKINKRCSLLHPVYHAAAIHFADLHDTSNRMKAKQAIHDIVQWKNARVFFIH RIKRQLLLFSLRSDISQKLGISLTDSQNVVFQWAIQDGIDPNNNTQFIQWICHSANII EQRISQLSSSDIK cubi_03597 MSYMLQHLHNGWSVDQAILSEEERIVCIRFGHDYDADCMLMDEV LYKVAEDVKNFCVIYLVDITEVPDFNTMYELYDPVSVMFFFRNKHIMVDLGTGNNNKI NWPLNNKQEFIDIVETIYRGVQKGKGLVVSPKDYSTKYKY cubi_03598 MIGGEHSIAEEHHDNISKDIQAFNTNDTSIVDNQNPNIVRRGGL SEANLQSSCSEQLSLGSDLRSGCNLFEKKSPKDEQLREKESKQALKSVMKLQNEIFNI NNSIVSQENAYKNSLFSNKYMGNIFSSFSPFITGINKFTIRPRERSPEEVLKNIRKKS QEFQGRCEYIKYSTQWYLWAKRRNAEIFSQNHIGNAEQSSSNMSNVTGSSLSGGSSSA NTSNNANSSVQNNIGQIFQSNQLILQKEIQNIEQSVKFRLALQSDKPLFTFNNNSRSN QLSV cubi_03599 MSDNHSKSVLTKHGRKGTVITFNMKKKESFVNGFQKRKKERREK STERKKLKDKQFRDGVKRDAKDAMKKHIKTIEEIYNSRQEDSNKDNNGQGILETLEIP RGNPKIIKYNGNMSNKNEYHPWSMPCSVKITDSI cubi_03600 MNQLSENDQELKTILDGVMINNCIKPFRQVSEKLEQLDKFAHEY GNNVDSKINQMLISLRKDEINCLKLEMRLEKILELVIKQMRKAGPGEEEDKVQVVETV KQKTNKQEIKKNIDNKEENADERTFGSSINSIESVPVDPLIKRTLEYEKTQRKIYEKP NDTKGYSMYVEEETCDEISVKITTDGSARSLISKYINGENKNENEVREKDPNAIRRER VDNIKRTVKPLVRDGGNKGKCCGFWKKWCCCCLDKGPTKSGKYYKMSTQEWKDFLAAI EPKDKKYKDYCNMLEKKNSSCNIDVDGLPPLVVEYSSLNAQAGGYYNGNQMYNMGQNY QYYYPNNYQGYYNGQYYYDAKSQASNMSYGNNNPNYMNYKKKGRNMQEDIKCKQMLQT KMGIRND cubi_03601 MVNSKIYYKFKSEKKFKDLTHEFPALVSHVRSKLREILDIGEDM DILATPSDKNGRVSNVYLSDYDIIKEGTYVNVVRLPKDLAKSLLEAANSKIKNKPQNT SLQTPNTATSATAPSNTSSLKDSTIIYPSSTIDSVPNSGEFTKGGENKDDIHSSASTS ANLSNNSKNDLSEDAAILSVMQQHGEKFKQTAAQRSEMLEQFNQRGYSKASTATGGRY GVGNATSTNFPGTTSFRSEPYKKPGAVGTGPVNTGASNSYSGGQIYNTNFGSASASTN YNYKNAMGNTSTDVPADYICHMCGERGHHIRVCPKVNEGVSQKKIRPATGIPRSFLRA INFDEEGRLYSEVYCLPDGTFAVLKDAKQLSSTAFLTRTVEDTIGKHMGKSKEDTSLV RDSLTCPICARLFRYAVLTPCCGETYCQECIINFIRNHMDAASGISPNTIKGYCPHCS TVISIGDLEPNNPIRKSVNVALGLQPI cubi_03602 MERIVNAIINISDGICCFNNYILKNEEQHSNEVYQDVSDYHSYL TTKPNVINNDVFKRSLFTFTDNGNEYLEVSRNNKLFGSPVSLKSYFQKSKNDVKQHVF NHLEIAQCDSIAQTSCSFNEPINYYKISEIKKSIVIPKSRFVSHNSNAFANKDFNLSN SNSTPISSESNTLNTKLKTNRIEKECIEEKRTPKSKYLEVPTVNNLQIKSNPPLSKVN NNPIQRTPSMPTIKQYKVATKCPDPLNSDNKSVNNEENKVVLTKYGAYLLGL cubi_03603 MRAFNEEKSLLPSRSTIRESSKESFISRLSEQVLIGMENFIEKR YKKGSMTSSFFALVNTTMGVGILGLPWAYSKNGLLQGIAMTVISGMFSTLACILLSEI SLNEDPKGTMMPVTYYSIAEKTFPKLKSLIDTSILIMSLGSCITYLMVVVAVLQTLFG GFLSPYLSESNIRTAILLFVVIFIIGPASYPTTLAELTIINWIAVFSSLYVAAVVIFS FLIGLPEVSSMLEKVELISTRRPIFMLQTFPIFVFSFTCHHNFLNVANELADKTLYKL IFSSVISLGFCTLIYILMGSSGYMLFGNTLRSSDILSMFDTQSLTIVIAKIVLVSSLV FSFPVSCHSFRKSLAVIIKNGEDAESSSAKDRHLLRTLTFIFLFICTIVTYFVSDLGL TYEFVGLFCSNTVCYFLPAVLHLKLFSGSSWNISKVMAVVLLIFSILVYPLCFSAIIY THFFSDH cubi_03604 MVGLLNRPEDVSPSPAFSSIPLTGPVVDEELLRWVAEAEYLGVS RETAILIATKRRALNLNKSGNNLVVDEQKCIPLASERPPSCSKDISQSPISAIRAEAD VCVKQDSGVASLPGTDHESCSEGCDCEGHLDLGLQEEQPQKPISIGLKNSSSQTSSTQ ETITTKFDGPLDQVINTAIAHINLDESKRKKKKNKKKKKFSQKNIFNTSSHSDNISNV ISSQCTNAQLLKTIVEKDSSSKMVLSDDNNSSVDTTMGVVNSGTNQVDRLTTYCSGLD AVVAAHSNNPFNNNGRNYNIKVKRKKRFYQKNCSNPSSSYNKQNTTQNTQVNSTLNDF PEPSRMNLKHTKRNFNRNRNSLGLNPNSISHKVTDNGNHTTLTNPELRNPTQQHKSNG GNGRRANNSERGRVRPRKSEAVEHVREQLRIAFRSRGVLEI cubi_03605 MRILPKLPVCTLLYILFAIGFSEATVSRSTLNCKYQLIPEFIDG LGYGNHELYYSETRGIRHEPENKILLNIRYESVVSISLERSFDSDLDFSYMQIAQANN ENLVADANLTWGVAGNNTRLFLRTKLIPSQYLIIIGSNFVKNEDDLEKVDNSYCSPIN FEFGLTTTEIINSISNLSLNDPFKKPLINLSSDEKYEYSNQIDDSFIVRTIPQGVNYS SKLYKATILWSRLFVIPPSRLKEEKLLNLYIELGFKFSRMPLQLVIEPLRIDRAHREL ESHQISGNLHNRVFFGTPIYNGQLFKENISQKSFRVVVIAPWMEHTPRFAKFDLKIAI SFQNHSEKFSAEILSNQNSCSLPKLPTKIIQDGLFSGEFQDLRKPNSIPSNHLLFGPK LNLQGPFESLKSQTNHVVHVKISRPSIFYLLSHHDHSDIAIALSKSPEISEEEEDSEF FFDETLESDENYNHNSKSTFCTSRNLNHLGVTSKEMNLIYCKISQPNDYYLHIHSYKI SESQNSVSPCTPFHLQIEVFPISSDANECTNPINARYFNLQISPNPKVALKKSYIAPD ILLLSASSIASGISKIHTQEFRVKDFTQTYLSMQLQIPEHPLSLVFINVLYNDKIWLT LTPHTLHNFFSSIGPLVAGTYKLQLFATLPENFDIYKVECIKFDSKISLVQLPGKLNI PEPGSTNNHYKSSNSNDERMDAEEESLFIKCQTSQMSLPQEIDLTQYLKGEEYILDGT FLVPLEEYEIESSNMHNSDNFLKEASVSYMITFRVSHSSILKASITNYPGLVMIHSKN KNPTKISPNDPNSFFAEFNKGTNYLIFEFDLIEEGVDEKLEEGGCPIFRLHLSIISVD ELPVFPPLILPELYKYITGYKNPKSKNLSEWSHTELFSVSQDYLKKMLPENSLIFDLS KNMISIPNQDLNKHEKNLVHRGDLFEIREIVFWFSPFMHISVPLSSDLEEISLYLTLE VYPAWIPITIRLFNGSETDPEKKSRHNFVKYSNFVQTGNRIEYSFPSIKEGKYKLSIQ SKNQFTKLTMGRSALLKISGYIKPALKNRLFSLRQELISIPDILPFQELPDSLNRIRF LSEPHRGFVSTIMFNLKETKKTKLRVPENETNLLRILSEPTLISGYEFYVTIFKTKEG DKELNNHQIFMSGKYGDTLAILSSGEYEIQFSPTPNNMPYLITFGLIKFNPVEYENFF RNNLSLPKNTKDILESYVSVGVVGTGRIRGSKESVERGISKMTKKEMFGPKQKCHYYL EDFKISLNEENTPYFNSGIINICQGQIMTRSKLSTFSSSIHDLKLNVASSSIVYLQTH SDFLYSFYRIGIIVPEGFWVAEQRGTQSYLEVELTKGQYILRIESLNSFSNYEEHEML LFSIFVEVSPIDGSETALDSSSVIDNSVEFDTSKNKGLSHSNINSKCHIPNGVPLPLD LTSIQGGSTVFGGPLDTNKPMFIFRSRITLTDIHNGRKKVFLELNKKLFPTFIRLSLS PVNFDISESPNMLEVLFTKINSTPINPIYTNVDSLLNSVEKVFKVDVDTIGNEHAGAL NIPFWMTFTHKLDLLNNRISCLAFDMIVHTVSTQNQDIKFLNPPPFSTQTDLWFSSIQ SKLSKALSTNSLPQYIHLPPSDVILSLNSNEFETDLLLDLKKISPGQALLTADLYYNP LLVSSKLTLYSEPDDFQNDENNAEDTSSEVELIKSSSLHFNGNFSTPLYAMERITVIL TPQKHLLECSIKSLPPFNLKNVPIMLGISLIPISHDISKNYPLIVSITPDPSVPIIAG QNLHLTIRLSTPLRDRKTPNRISKSIYIIGKSESDPEEHVYPYHLQIFDAGYTISVSY SYHEFSKIFSESQDQFSKRGFLVIDTNSMSPKNSISFYKLAPWIPVYLEAKNKFLIEI SGYRANAVGWGNVVWDGDWNIFKQKNKQFQKSNIRNQSLIEKDFVQTAKLNSPLSESS KEYSQSIKHESNSNDLDRKVIYHQINSAASPKSGKIEDYNQESQESVYYKRSTTKTFL LLVIIIVCSIAVYCSPIYTLLKNFISYMVSRFTSNYRNKREYNLLGERNDFDFLNNIV SDDEDQDVQDCDNNIDNRHNIQPNYFSSPVSEQKAIGASHSSANPKKSN cubi_03606 MFFSSMPFDMGGGFDGRMGGRMSREVDNKKLYEILEVSQEATLS EIKKAYRRLAIKHHPDKGGDQEKFKEVSRAYEVLSDPEKRKIYDEYGEEGLEGGGGGA DPVDLFDVIFGGGRRSGSKGGGKRRGEDLVTHLKVTLEQIYNGAVRKMAINKDTICAD CEGIGGPKDAIQYCELCQGQGVRVQIRQIGPMVQQTQSPCNPCKGTGKTIPATKQCKK CSGSGSVKERKVLEVNIDKGIPNHHKVTFHGEADEKQGEIPGDVVFVLDEQEHSVFKR RGGDLFVEKDITLVEALTGFRFVITHLDGRKLLVKSNPGDITKPSDIKCVNNEGMPTY KNPFVKGHLFVIINIIFPDKLDSKAQDLVKTLLPAPKALDVDEDDPSIEIHYTSNTEP SDVKDRIQKEAYHEDDEEGHHGGAERVSCRQQ cubi_03607 MERYAPIKDWEKESFSASGSNKNNATKQTKVKDNKRYSRVESDY DFESGLNNWTQGDNLTRTVNADGNINILENAVSRLKSVGYGIQSEINLHLDMLNEMNL NMDSTNRRIRISQKILNRLVDMASTMTLTIIAFLLFILLVLQWIL cubi_03608 MVNSPSSKHPTPHSVSPRHHIHATKEDRLSKYRNGKEKDTTVTK YRPLEVVTFNPPLDAIKHGHGESGMRYIFGDLPLDDFEQGKIKEMHAYLKSRHVSLKN TAFCDNHLLLRYLQGNEYNFVKAWNDIKRHVRWRQNFRMIEDRDKLERLLRKGYCYIH GRDKLLRPIIVFRAKAFSDGEEPDDIIHVVYYWFEFVITNMLAWNRVEQWRVIMDLQD VTLYNAPISLLKDIAINLQRNYRGYLAQMSFINAPIIFWGLWQAISLVLPQSTRDKIT LCTSDYKSEFLKCINPNQLERRYHGIAPDVNSFREPILPPLN cubi_03609 MDSFDDEFYDGKNQSGSEIEQEEIPDISEFSNNNGRLRFRADFN LPNKYAGEKVSLSDFKKFRDREITNNNSNSKFGEESYEYSINDISGFDDNNEDYLNFK TNIQLPDSITKKVDRYKEIEANFSIVDNTKIKDKMLSDKEKGESVKNQRKIWSEILAI RIFIQNVLKLCNKLPPNGLISSLDEESRNSFNDIRKKMLDLTLLNYELQMHLNRNNKR LSSTIHNNSAKFGVFAHTNSKSSNKRIRAELEFWDKYNSLLDNTFEWCIDVCDEWKKN TQIEIQKNFKVLNQSLRSQLEQTLLNKEHALNKSRPNANSLDFVGINFLKDKVDPILM YEMSKDIYDDSDSFVSLLKEIISSKSINDPNLNSVSSSLLNKGKKTTNNVDRRASKGR KIRYVPIPKLENFMASSPSLYSNSHLPGADNEEFVDCIMKSLLVA cubi_03610 MEVNFHSLYSKAANSWSLLQLDSSCVEDIKNGKQLWLKGPNRNG ESCRIPAIICTERETFYLKREKSSNMSYLAIESESIELAEKQGDENSSNNKNCNSSQI SVIGNLNSFITMIKTPALMGSFEDYMANHRNATNNSSSEITFEKLFNISQMSLMELYK YLFDYNSMMYCDIEGNWYSINNDILLFLLSSILQKGMSINKSFKSMNIKDVKYLLKES LSDLEAVGKSSESCINYNVLKHALSFDLTLIQLIKHIMNIPANNNIGNLVFSDFDNEN ITKFLSSPRIQDNVSLKMIEDIKIDLSYKRIQEILALSILKRHQVLKAKDYIEEFQNI LLNYVPLELSELEFESNDTNTMSDLDIETNKEMSTNFYFGFPLIENHPDNIGVRFDII AGQAYYNYEDDKIIYLPSSTLPIDPRNRLSTMFRKKKYWHISELNAYISPVLQPGIKL EAFCLKNCYLCEQKIFNQNYRLFYNKNLPLMHET cubi_03611 MKLILSLILFLVQISYIKIGYGLNVENSLQIQKKFNHSNTSTKD SLKSMESPSSSISIPIDGNNTDSSILNALAAVLPLKSTSTSGSLSEKKDERASDLSYF EPKVLYTTIPVIVVCMVGIAVMAELFGDGFESGKRRLSMIPDSVKRRGRASI cubi_03612 MNREIRKLLYNPLIDLLRLIEVWSKSRLELQKTVQKYSALKIES AYVNQKSCLCLNMFSLNENYIVGSGQAIQDQLAYSKNIVIEKMQAINQVLSGIDEIYL SLSNFTENHNELIYLNIIGSSSSGVSIDIILDFLCEWKDCIESDIKVQSEIFGLIEKL KSYHTRNIQACLSYFQCSPYGNILEGKEIFTNLKRSIREFITQ cubi_03613 MHQTGARGVSSSGITSVQCLSRILREYREIQKEPSSYWCAFPIN MDEPYEWHFTIKGPAGTEFEGGMYHGRILLPHSYPFSPPSLMMLTGNGRFEVGKKVCL SASNYHPELWQPAWGIRTMLDALHAFFPTPGEGAIHSLDWSPEIRKKLAKDSVNWRCN TCQETNMETLSRLSPEDQTTYSRESTTHSENPLIQHINKCISESKTTGNGGNKDKDAE NISDKEDERKSTENNQKFGTEESKSLEVTDRDESYNNLNSKDQPSSQQVSSNQHLRTG EIPPNRLPPRISQQSIAWSLILVKKPSNILEGVATLIDLLIGVLLITIFLLSIRLFST FIL cubi_03614 MLEEEYDRVVQLFLEWFGMTYLENEEFKKSNKDLEMLQQIVIPT IYKTFKKITTTYSELIELCMNSEKSKKIFQKREEIGKLYRQYFRFLVFLTRLFLLLIQ EIGGGTAKENEYGGEYSITSFPVKVIHELLGSPGTKECIIFLMDLALLQGNKLLSDQL FDYLVGFPNSIQKECYLVRKKLTESANHSFNHQLLSITNNFDCSNYFTGLSEKEESIV VILFKIKNLMRNLWKVDKSYIDLMVWWQKNYMEMVNSIKGLELSELYDQLENTRIEDL PISIFFESNEYWELSTLCGMTGYVLYITYQFILDGNEQVEEAVTSFHFENQGYSVSEL VKEAIKFSILSILLDPANYKAAWLLSLLHLYPTEQFSLIYSLKFTRLTLNLNSSFDDS WVLYSLLWTSAIRHDACHSKPSISNSELSSPEGTENEDFVCDQLKKISPISITTKIMS LASKNDNLKIPLKFIYLGYNIFQILRDRESNDDLCYGVISDILELNQWISKRQKIQRE KEAELERSPSMSSVSVSPSNKSKKSKDAKQIYLDQVGRSAPELDSDFSPLINHPLLFK LQLLIWSLKLKQFLKNDGVHICNEFIIKQLNEFLQLQKEILDKDIDILDELHIHTHVR SYIKMQIDRFSLLLNNYKSRESSLSESSYYSNWQEYERYLENLLFSQTQSKSLFETDL IDWRMLLSPIQNLY cubi_03615 MGNLCFLFLLYFIYQINTIVAFNFRFNCNDQANRRQLFTNFTEE IEKAQYLFEEIALRNNNSMIYKLLVPQFEKEGYSPNIIDSNLSDNLKNSSEMICELKE IPEFYYRSKEDKCYVLLLSGGANRGAWQTGVLRGLLGQHITKYNNTLRWDVIGGVSIG GILALAGLFHEPGEEFPYVNHLWSLWSNIRQDYLSDCTLPIWKNVIKFATQYIGGFFG SKKRPRNSICDHTPLYFYLNDTFGHLIEPYKNETYVQMKREMANNSTLKIKRREVLVS AVRYEDGEYISWNSSSTKLPDLINATLASTSVPGAFSPVLINGSHYMDGGIVMNMNIE HSIQACFKLGLAKRQEDVVIDAIETFPDTGSKVNDEEPATETQEITFTKLLSRSFAIL HSQVRGLKLLKNVLCRYPKINLRYYISPSIEEYKQFPKNAFDGNNLTGILYMLRSGLQ KGWNNNFTSINECIFDNNGNPPSTIGSELFPIEE cubi_03616 MFRWKTLIILIVLVLTFVNQDWTRKLNFYVKAIPLKKTELLSTE QCNLVYEKIEISTLSYLFDKNREICKSRDNSDISWQKKLLKAAQPPYLEFHNEIYPSK MNLEMEYLAEKNRSAMLSSMGTGDDHSKCFILGFSGYGNRGMWSAAVAKGLASQFFDN NVPLRWDIVAGISSGGFNALISSHFVPGGNLIETSLQNKSRLFNSTNLAQKFFNNTFI FDDMSSRDDSVCSNGDKDIKFEFWLNFGKKDKKNVKCYSNSNNININEIYLSGDLKKI ENELSFTNYLYEIYMRANPNVVNDDCTVPTSQDSTKWWSTILHTFTNFGKKPISSFCT MRGWKKFYRDSMLKLMSKKREALVSASRLFDGTLIHWSLQYIISQIYNRNISKQKNSN SNASEGNTEWIEISEDDASKLADIATASNAVSGVYMPIGVNNEYFVWGGLRGEANLEA AIERCKEIKPGINEEDIVIDFITGSYYREEIFLSGSYVELLGKEHYFGKIFRKIKNYF HKTNEYARPDPPQLFELFNRAWEFITASSRGMFPIKALKRKYPKVKLRFIIRPKTLRF FPKSSYYFPGYKEKILIMTDGYYMGYNAIIIDSDSIETKNSV cubi_03617 MAVSTNEEISLISSFALVCSASIGTGVLILSYGVMQAGLALGSL FMVLASICGSLTESIIIVSALKLNAKSLTSLIARAVAYSVIRKKRQIMKKRRAHSKAE EGKGSFIIDSNSDSSIIKKNFGRKVVGSRKKLGKSVVEEDQAALLDSSSEKSNQGHAN WNEVSDLESTVSDLDCVRNVLLNNSLLFDDFRQELKKKLMLHSRVLNILVIITIGYCI PTYFILFTDYVEELFVQLIHTLSISFADSSSVLGIISFMDSINNKVALNFLCFILVFY TSYQPDISKIAKLGFLSLFSFIIFLFAVLYRYFIYPFEGVMGTPAENYEIGPHMGILQ AFKVFNYAIYCFYSHLICIQAVTSVKNITYKKGIFIAMGTTALMLFICLSLSTVLNFS FGTALLPNPTLNYSPLDSVIALARFVSALTMLVVIPMHVIPFIDSIFNIYFLDRFAED MVEEVEEAMIICDKNQEKNKNRHSQHSVSSYFTLFDDGFKSKNNKHEKEQVNSCRKGN SSIKSNFTGKLFRSIYFRIVATLIFLLFCILFALLAKNAAQYIELFAGFIDTFIIIVY PLYIYISLWRHKMPLFANIIIIGYLVFYEICALIAGIYTVYEHVFHIH cubi_03618 MSVQNTEELVDYEEEETTTRIEEESRADEGSKVGRGNYVAIHAS GFRDFFLKPELIRAIGDAGFEHPSEVQHETIPHAITGVDILCQAKSGMGKTAVFVLSI LQQLNPDEESKNVECICIGHTRELAFQVKNEFDRFSKYLKNVKPQVVYGGIPIQKDID MLSSSTPNILIGTPGRIIALIRQKKLVTEGIAHFVLDECDKCLESLDMRKDVQEIFMS TPRKKQVMMFSATMTKEIRDVCRKFMQNPVEIFVDDETKLTLHGLLQYYVKLGESEKN RKLNDLLDQLEFNQVIIFVKSVSRAQALHKLLTECSFPSICIHAALSQQERISRYQQF KNFEKRIMVATDLFGRGIDIERVNIVINYDMPENTDSYLHRVGRAGRFGTKGLAITMV SSQTDSQVLNDVQSRFEVNIAEMPNQIDTSSYINQ cubi_03619 MIPETRLEGAMPSTDMLDENPTVSTECSNSETSPIASCNNYVLK KKDLEREDLQDECDYRRNFSDVPNFEYGSNSPLDLKSKQEATAISCISLVSMLMFSIF LCYADRIIMPSCIKSISEEFGFNKSDQGFILGLFYGGYIWTQIIGGYISDTSKLGGKG VLFFGVTFWSLCMIFTSFLSYMGITGFIICRIFLGVGEGVSFPALNSIVGHHIPSKYS STVISIIIASSFIGGGFAAFVTPPMILSLGWRGPFYVFGLIGVFWSIVWLFLDVKSLS WTNKPSIYEFYDTKEKNDLEIQLPDFQFKKKLSFEISPKYQAKTDLLGSFSIGLDCLN KHEDEKRDSSIEYNIENLQEKKSRYWPIFNFVKVLLLNKSIFAIIVAQYCHGWTQFGF VTWMPIYFTDVCKVNSAYLGYYTTPPWVLQAFFVIFFGFLADKLVSSSIKPIIVRKLF QSVSMFVGAGCQLALVLLNNMGLTSASYAIMVISLMFIFNTMSGGGVTVYQFDIAPEF PAVVYAIGNTFGTIAGLFSVSLTGLILNRSEVNLQVIGSELGGSVLIERWKWVLAIYA IHNIIGGLLFVLLADERQISLNKQIKSNEGDAVSQLSIAKGENINEI cubi_03620 MQINTRGCLRIRSFIFILVISICVLSLDAAKKVIEDYNDDTNQK DESLGLSGSQQTESSQDESFALFETYTKSLKFVLEGMFGPEYAQTISNTKNSEGLPLC RENEQMVNKGCNVMIYSCSKHTNGKFSCYFYYWSFCQCSRPWKLKFLNYIIPPLYDCY PSVASEEDFNLALAFQNEDRIFSEKVFSKLSEDLEIPVGKCSTNVIFIIWILLVASFF TWIIYRIYKFGCYIKNQIALSNRKRR cubi_03621 MQDRGKLSFLALKPNEERSNLNASILDEIYGLYNGFKVKGIYMG EKRNKGPGLNKFTGQYEDGIDGDEDEFEDNNEELGLYSISRALNLRVSKLGRKATVMI IGNVSAGKSTLINWLLQENIQKTGMAIETCGISFVVSGKQINEIGGETALMILPELRG IVERNPSLLTSLTVKTFPSSQGRLQNINFIDTPGLIDGDSIYSFDVDNVIKDLALSLC DLVLICIDPSGQALSKRLLSLAKYLAENNPQKTNFLLTKIDEISTEEDRIKVMCQVTQ NLSSSITIKHGFDLIPIYIAGAKDGTYLTLKTLQSLGEVLSNSENQNTSNVRVKSSKS QASDKSSQISNSLPTLNRILEVVNQIERVVDRKVQDNIATLLNDCQTLLECNKCLIYK QTQLEKNMENLSRQRNIYKKSSAFVLFLMLIMAIFQVCNYAEFNIFSNNEDINYNSTI SLDLSPKNLTSVWLFLTASLLASFLLYRNVQKEQRKYSRTSLKSLKKYFSALSSINSK AIDLHNSYINL cubi_03622 MTSTNERFLYSNEFKGVVFAGGSGRMLGPLTKNISKAMIPVCNK PMIWYSISNLIQHRIKDICVFCEEEYEDSIRNYISETFSNEKVIKRFEFEETYQQNIK IIGLKEDECSIESYGTWSILSEYGKEFLRDSDFFVLTCDVIGPLDLLKLANKHRLSQA VCTVLLTENPDLSNIKSTGKQNTNSNNQAQANLIGGISVELQKDKNKSIFVLDEKEEV ILSIRDFYSARQENEAFELSKLQLFWHPNVSLRTDLIDLHVYLFKSSIFKILEIASGS RKIPTIKYPEDGIESIRLELLPFLAKNQHVPGSELWGRSKFDCNHFLDEEITSISNDL PIKFTKIHLPPKIEGTSVSYFLQKSPQNSARVNTILSLHECNLAGTSPTHFPTWLIEE QAGASVGKEVIIGQNSIIGKNVQLRRCVIGSNVEIGDGSKIVNCVILNNVKIGSKCTI QNSVIGDNSEISDTCKISYSVVEHGFKVEPNSKYQGEILEKRDELFEINI cubi_03623 MNSIIDVNFKTQIFCSIVAKQTITEPKLGISLFDVNNGSISVAN IEDNEQLSELESLLVRTQPSNVVYAVQNDCLSLKRLRNILDMSNNWTSEEIGFPKPSS VENFEDIISPLLRRTSKSYGKELESELIRQSLLNLIRHFQLVQSKENNSQCEIKFLVT KSFMRMDTACLQSLRIFPSKGESNKSSTNLFGLLNKTRTKVGARRLEQWLRQPLIDEK QINSRQDVVEFFCKNDFLRQKLYGIHMRKVCDLDQIAVRFRTFASLVASESSKSEREP KFGLEDMVKLYDSVMQSGNIFSDIKDALEENKNSGSSSNFIQSVYDLILAPLESTLNR FKDYVRLVEKTIDLEEAEKGNYLIIPYFTPELEKLSDEKNRIQRKIEAHRKDLDSYLC EERGYNEGSREAVRVIRGEGVDTTLCFRVTRKDIEYFQDKKRFRQVRINKNDYIFRTK ELIELSDLEERVIKDYNIEQEQVLIKALSVASTYWSLVSRLSGILGSIDVLLSFSMTS LCAQIPFVRPKMVSGECNLASDMEMSEDGSTNCNCRFYCKELRHPLIEAQGTVSTTGQ FVANNVDLHRHGNLLSIITGPNMGGKSTYIRQIAICSLLAQIGCYVPAKEAQVPIMDQ LMCRVGASDAQILGISTFFAEMIEASAILRSATERTLVIVDELGRGTSTFDGFGLAWS IANYLVCQKKCYTLFATHFHELSSLASSTPNVTNLRVTASTSKALSVSKPGSGVLKFL YKVEKGFTDKSLGVDVAELSGLPSETVKRSREKAEELTLVEQVYVNPQSCQNKKRLQL TNVASKLKSSLETVLNSAETCSDSNMFKEASLNSIQNLREILNLSVILC cubi_03624 MLEQSKTVLNDGLMTKFKACRVFKDAITPISNMDWSEDGDSLLI CESDTLRVYTISSGDIFRIHHSRKNSMDAIKFAHSNKQCLVASNKTDGDATIRLWDIQ ENRYIRATKLSSSVVPYNGISVHPNKDLFIVSTNDSKVSIYNFKLEAPLAVQSTKNKT PISAFDPEGRTFAVATDDHIITLYDCKTYSPFDTFNLSNYIGKKNYIDHITFSPDGRL ILVKTNLGKIFTISSFRGELFQEYKVVNKSPNHIIKSETRPVFSSDSQYIIHGLQDST ISIWSTTTANHIVNLTGHVGQPKCISFNPKKAFFASGMFI cubi_03625 MELDNECAKEQMIIQRQFDQKKKPIFDERRAIIEKIPKFWADTI SKHPVFQENMHPEDFDVLEHLKDIELEDNLDNEGSYKIKLVFDEAVSEFMEPNVLVKH IIFKDNEEIVNEVTKINWKKESPRSIIEKKFIDGEENEEEYKNNILSFFDFFSEDISG DNIDIGEIIRRDIYHAPLLYYCEYDSISNE cubi_03626 MASLNDNNKDSQGSQLIDLNGSEKNDYIGYMSHLQNSAAQLRYE NNILKNDNANLVSKLDRAVSKSRNGVEIPEKATTAEIAEAFEKLNEENCRLKREMERL IRSNTRLRVAMQNRDPSLIPPEEQDKSYFISSEESGTRLCQCEYILNEILEAVSYKLE TYEKHIWEHRLATLTNERDEIAVQNISLVDRLAEIEAIIKVDHVLAERFNVPLEGCDE KNQILTLHRKLGFALDVNQQLERRLAFETQLSRSWQSNMHTIVSESNGCEIFRSISSS DQTNNIGAGGIVEAPGYRKADRESLKLLRLAKQRIEADTKRLETCNKRIIELENEVAS LRVRNHSYIYMEGAINHDTASKEIMKNILPPPKMSAQDLTGGLIRSFSKARNDNNLFS VNNEGILNVKLDKIYESKDGDDHPEENQGATECEVNGKTSSVSKEKDKSSYEIQDLEE GSVTHRSHHNSHRSHSQSRPLTHRSHKSASSNDNHSKTSKTHS cubi_03627 MKNRNSVFATGTLTSSYSISSTEDGIRYNVYRERIEKLSGWLED QVVSGHVFEKMKEIRNRRITDAMILRPIKDDEVQKDNKDEKKNFRGLGEGEELGAMEI YLNQDAERRARQLIQRSGVVPASKDILDRQARKLSQTGNDKNAILNGNEKRNEVIETW LSSGIMPGDDQIDLPKEYNDWKMQKMVNNLAPDLRIRKKLLENSLKNIKPIPVDGEDL PENMVDNENVLQKNFETSMMFAPPLAMPEIKVKSPKRMMQSRGRSPVKRTSPKKIGID MKEIAKVVVKQVQDTLEATIENSQIEIEDKIDSLNDHLKQLEEKTNMILEKSEQNSPK MEIIKIDSIKENEEIVPKKQNLSFLSPVKKKMEAPIPPKKTTIIPNVVKSTVKEQDSP HEKVSVKFSLYFSMFKKKILKSFNNLNELYHEMKPNSNGDISQENFIAFLKDNKIPGG NSEHTKLFDELKSQNGSITRLSLYKNVHPLYENPDPTLEDFVKLLDEIYEGCEIPVLV STFKDTFLSKGTFLKFGQDQLLLSESSMEYIWKNYMSSGGIGIFNTSEKLIEKIMNIR KKLGLEDLSNEKEKQNSMNEDMTVDKEIARKEKEREEEEREKEKERIRKEEEEKERER IKKEKEEEEKERERIRKEKEEKEREREKERERIRKEKEEEEEREREKERERIRKEKEE EEEREREREREKERERIRKEEELNKKSPSITSILSSSSASSAKSRSTRSLTSSSSSSK NESINSSSISSRENLSETKMSTSRSRKLSKLNSSNSVRNSIIRSKSITSESSDSEMGS KIGSGSKSKEKSRSESKNRSVSVSNSEHSIESESNSESESSSESSSESRSESRSESRS ESKLRSGSRSRSRSDFESGPRSELSTPKSKKKQGLSGSENEMKLNRNLSSSSSINEFD PKTQKDSVIEKDPTKRAILMNLDPDTESLPLDDRLRSRIKEVYKTGGAAYKEMCGGKI DKNATLSEFGDFIEKLNIFLSFSESKEFYGKLTKTQGSLNIGSMYSYLHKLENVKNPD PEIIGNYLKEIYGTVDEAFKKKNLFDHETITEQEFIQVCTDSGFTKSALKTLFKEMTG NIEGAYVEVQDVIQCLQGHITAKEVYEDELNRNSQWAIWRVVPQRKILINEDKRKSEL NIVIQALASDKIKDFKGIGKEELIHIVENFFMREQLSASSQVIAKDEKGYSLSVIVSG AVDSIESLWYGENILETFKVGDIIGIDMFKSTPSKQFLRTNEETVLWKLSPKIWEIKV KNRIEELKDGFLAIDDYFKQDKIFSKLDSKNQKNLYDNAIFTRYPSKTCIFKQGELAK SFILVFEGSVSLIVDGDLTKMPEKYRKKSTGTSIGDKYLINRMKYPYSCYCDSECESV IIITISDEIINMVLNDEIKKELIKMDEKLEKKNPIPIQEKLRYPDLKLIPKSEGEVKS RVKSLKDNKEEKKDNDDINNDENDNNEDSMNENTSRSSNNNQNDELLENEKKIALEYL KADIQSRILRKHISLGDCFNYMYKNCVSKENKEKMKNVPPVTLFDEDSQPSKDLIIKW PKYDKLFIGNWVDTDAFAEYVSEVLHIKATFTDITSIFMNFCSPLDNRLYIGTFYRNF EKISDLSMKDFNRRLVEIDGSVFNTFTTVGGVVPGGSVSTDTFIVIGGRAGFTRPEAT ELFLKQIDVLKTKTISLTTLSKLVSGEITKKEAHEQESGYGLFSMAQDYFGRGGGSVS KLCDNGGVLDYKKLTTKYEDVSCKKEKELKILDNKENIKILSNIDNMEPLAVLNSNQR IWLISLLERKQIKKGSKFINQGDPNAAMVILYKGELSVMQTGFFGYDSQLEEISADGE KDDLKLFGWKEYYSLKQASEVSLVAKKDSIVYLLSRNDIDQIIEMINNRVEKIKNIYL VLLNTPNIRDWPIKVLEKFACCLRIEIFINDETILEMGPCDIENLKFYVVADGEVVIE GVPMGDMEELNDYIVGRSRYFGEWAIIKGFDERTSNVISRGKKTVLLSIPKRDFKRIL SLIGDYAIHRFQDYGLQLYHFSPKHSKRFNSPIRVLNNKS cubi_03628 MAQNGATSLFIGTGGISLENVALISTSDKSEFLLEPKLRENEED LKILEESDCWTGCVEEVTQKAEELSLSEVRALMLTKAVGMAMEGNGTSKKIMIWLLEQ LRNRNLNSVKVKYFSTDELTLTNLLQEYVRLSGLKVSKSDAKAFTKGFSVKVSQLALY LGISSTLKSFGECTLAILVEAMSFPLCFLTHLSSISTGGLAETTRNIRWLLEDSKIQR EIRWKNKDLGVRLSEIICSLGTLQNSIENLTKCIKGFFLKSGNITTSTKGIEHSIDYI EIMPILEPLKTVISSLYYMERSSLEFLDLLLRDTSKEEIISVNDFSKFDLNSEISIHG LNIEQILKSVTPLTMENLGYINLKINELINNSLDLSKVLRVSTMDGFKDLIHGLKELI NHNLEIFSILCILSLQKLADKNYQQYISSIEKAKKKGKNEVSIASHESKNIHEFRQLM ISVITESNLLKKTKNDVENGIYSQVLELFQDGQIFRLDSKLKSITTPQNQSIRRPKIP KGTQDVAPQKMAIKNLVFGVIRQVYRAHGAVEIDTPVFELKDTLLGKYGEDSKLIYDL KDQGGEQLSLRYDLTVPLARYIATSGLDHLKRYQIGKVYRRDEPQMARGRFREFYQCD LDIVGHYDSMVADSEIIKIATQVLSSFSNWIGQFIIKVNHRQLLDGILEISGVPSEKF KTACSSIDKLDKEPWDSVRNEMINIKGISESCVDKIGSIIQLKGNPFNVIEQIKSNKE MMSNENIIKAIDDLQTLFKYTENCSNCLNYLSFDLSLARGLDYYTGVIYEAVLISNDL NVGSIAAGGRYDQLIGMFSQKSIPAVGFSVGVERIMSIIERKFESSNTINYSSKGSFT DILICNVGDSLFEYRFKIASLLWDKNIPCEISLTGNGKLRKQLDYASNNRIPYCIIVG ESEALKNTVQFKFIHNNQTESNSTSSSVSSQEVHLNDLVSHIQSVISEFGSTYSKFSS EFEL cubi_03629 MNPHMDYQFAQNYNDGLLEYHGNYVGNDHYEHDQGEYYDINRGY YEEDEMVNHEINPNFANEEVSCCPMEMIHKKRHSLSYKPNIKKGVRKTDVSKIPKFRN PWDVKGDLQPKSSKDIPLSYDEARFIREIWQDTRAQYEPSDGEIEEEFLFEEEYDDLD AEKRRNRLVESGASLVRYSRHYELPTISTIIKSSNPEQFRSRSFSPVRSQVNGAFNSR FNRANRGSRTQIRGSTQRQYERGVREDFNFENDYRNQYLNDNKFDYRNGNSRMQYMNN AIGRKSVNQNGQFGGEMNELNFTNNGNNETNPNEIRENSSLTTPISSRYRNTVLGVPS EFDHLNDPNNHFLASAGSRRATSPDLLSPTKSTGRGPPPKIGILEPVETSEGLISPVN QRKKSVINTPRIGSLTSRSSACSILGVNRNNIGNSGNNSPENVPIISPSGKQTIVFHM LPDNESNNSKATIFADPDTQNIVTELHIKPGVDINVISTPTGPAIEYEISGGRNAKAH INFSTNTNSDSSISIGDIPKIQTPKEFQEEQFNFDYGTRSSNFKGHDHSYNDRSRSQS RRRSSLEENKTRTYSDNQGSNFTQNQNGMRTGNNNYNHFKQYNSHYPKSQVNQPTESD GKKDEINSLKTNSQILNSTIDEAGKIFSEIERDIQSQVREGVDQTGDVLEYSGYNQEN SGDIESSADFKYIQSSKNESKLLEGDVNCNEVFQDNNHPNLNTSAGGNNNVHGITKSR PNPFLPIKKIQKRSGLSGCILNTLTCSKVESAEMKTFRALNPVEMINRNPEFY cubi_03630 MSLNLAIANLGVELYISGVREFELPNVDSTTNSTVNCICVLWLP YEDPLEIFGLQQGIRWDPILGRRCTGVAVARPCTKPGKLRSLECDFTTYIHALNIAKV KYVHNKNLDSVSDNSENESYEASNSEVPIKNSIGFPKFEDAIKFSVEFPHKYYSNQCF EDFCLFKPNLDEVDRLLCSEDGYEEPIPIFVSLGYISETSQNSRVFRPASKWRRPINA IGDGKYNLQNSNPINWIGTAVLTKDDWIRSYSSGKVFPLKLLPHYQLMSLVADYRRQI LDKNNNKEEKVNSLFITSLMNDIEKLKKSILRSDNRNNQISDNERYDDFGIIQVQLKT FISYPIKTEGALKPLVSVYHRQDLKNQFCLASLCCIDVILLDNVPAWSDIDIWDMAHS KIHICVLWKHERRILHEGGNALELFPYRCVEINGLPENIEFKDIDAIKEKNMRIRCLF SGRVLLTPVPGSEPSIYIYMLLNGKPFSCIQNPISMKDFNPNQLNDHIYYDMDRIEPS VESVLKEFETKGRYSGTLKCKINVWPDKSSFLSKKEYSYLNLRPQKGIVYNILHDYQT KMPDHYSAPTLSPYGVWVGYSVNDKSEEFICSQKFQNLFDEAIYQIDSAKNEEKLLIP IEDYSDDKKVDMDSADDNFNDMYSINKEANEVQKLDPEYIEGNLKRFNQDKKSCKPYV PHMSTLLGSGIRPGVAGEWITFTIQSRDEDGNKSSIGKSKIHIRLRSVGYIKYTFTAE PYGLEYKNPKNDALINVSESEVCKVGVDQMDVPIEWCSVESYYGVYNCRMRCEKSGQY LLRITMDGLPIAGSPYDVIITPSIPESKASSTIGEGTIRCKACPTVNYMVNIEVIKAC NGKIPKYINSFIVILCDEFGNRISTGGHQLKAKFNSSIGKIHAIYDNWDGSYVIYYTV HLEKTIQNFDQKIIDKINSICYKKNNSSQLRSNTSIDPTTTTTTTRAIEQALGINTRV TNTKIDFNSEELGGLFNTRKIQHMSNFEYDETFLDSEEIIVSNICDLEICVYLNNRPI YGSPFTPYIENLVEIQNWYKYVDLNSSESTERKFEKLLESDDFEGAIKLMKELEKKYL HDFKVILEELERKPTEQERIMDKVGKISDEQDQWTLLQKNKQRLEQIIKDNNDRENLI LTFGRFLLKRLESQMIRRQRVDSDLSSYRILKENNLEPLCHSLEVEYNKFQQQRTREL IRLVSLLETNKLNSLEELMELYQLIADELRSLGRGNLANQFDLVNRCLIEEQQLTNIR ESLQRKSEVLAKMDEMVTSREKALEMILSNYNEAIKMEEDHLDHEIDQIKVDVLRKLN FNPSTEVQTEDSLSFTDTIVGPLILDRVLGIRDDYSRNKLNNNHNMNKNIFNKNLSIS PHNDDDYAINVIKMYWKPLMEWDLKCFVKILKQCPRISICLRELFSYFANTRWKNNET QMSIYGDLVNKNDGGNYYLMNRNNNFNNTEMEENMNVNNHNNIMNNILTNSNDNSSLR YFNVNNNSSFKSLKDDIMKPNSKWSVGSPFVTSDDPNMSIYRKAMGITRNGFKRLVIS LNLSPELIKSEKIIEDLFNKYSMERISSNLNYGNRNVRVITQELWIPLLRELAYINAL IRFDVLRQSTLGQSAILRLHKEINDLTGKVDYSVWENNTSSIIVSDKLLINLENDDQN SQNNNNNNLNNRESGNHINHTPSSGVAVTQDNIKDLNRVTAFRHFCELHLIPLYKQVY GNEDFSTMLMLPFSKALFTEQDELLRGLEIIETKDGGIIGGGIISNQLISGQELIENS GEELIKGKKGYLASQSTHERVECKINNCLKIVVSQLKMNIASAIFIQLASKSMKGFEF DITRELVNYKRITNDDDILKNYYVTEFDYIKPLQLYEYLTSTGIIPGHISGNDMHNIV EKVLSSRDEYLPKQLPVTYKYSKYLSFPGYIETLSMCIAKGIVRKLSGSLWSEGDIKT EVIEHLVLFNLYDTVIRI cubi_03631 MFRFFLLISRQGKTRLEKWYSSYQQSERKRFIKEVTQMIINRQG KLCNFIDWKGHTLVVKRYASLYFVACIDKNDNELLALEIIHHYVEVLDRYFGNVCELD LIFNFHKAYFILDEIILAGEIEESSKKAALRVISTQDSMMDENKDHGNIILNGSSVGV SISTPNIALSAVNAAKRAGALF cubi_00813 MRLKTKIFFVFFALIFTNKICNSSQIKETESIPNDHSKVQSSST TESELVSSDEDSNSTDDQSILRNSSIYKDQSLYSNSQIVEYDDSTGYLGLVTVITNKK QTCRIISGNFYRRNEFKNTLYLFFDTTNKSFLGLFVPKPIDFTLRGKLGLVTLTYKDE VFLLNKLLLIKSNGELSNTNSPWNLIIEVNSDYNKLQILPYEFKNRNFINLPPDNWDA NDDSLYPNNIKSIKPLSILAKNMDRIKELKIPHFSVGTSPTALFLKLNTGEYMSIIVA NNTVFFVDIDDCSIHSKDSHYYNVCGGYSVYSNRWFFTNRPWKSRIIIQTNFEELLEI TKSKLQALEDVEQVSVPLRRTENISKHNSINPKKCRKFSCCRN cubi_00814 MTRVNTGYYESLLETDASTLNEADTGLLIADDIFMYNEVNYLNN CLIILLFISHCFHIFLFEGDISPSISRFNMDYNGVFALILNILIPSIFFIQGWLKALE VHNYTSFFTRLHFEYLLPIFIYFMFYLISILFKNDTRLGNLTSEEFATLISQSCSFNP GFLSTFVILFIVNLTLDPIALVVVPDFYNSDVLISFTVDISSTNEFNMDENSILSRKK EKKHFSNLKKFSIYKLIYCLLMVKFCDQFLNFLSGMEPTQILYKPKMHNFWSVLLLFL LCKIFRKTPRTLYYIYLISTSIELMTYPFFEIYINNYFSTSLITTICFLSNIYLSGIL ISCMRLNIFNKWTVSIFFILISALCYFINVNHLRSVISPIICPCILNINSRFLTIFQI YSSVFAIIGLSFLIFKYIKVDSDSKSRSSNKLNFTVLFFYSVISCINLIKLME cubi_00815 MVSQISSPLTNTTVCSIWKIERLIGGGSFGDIYLAVNTETNEEV AIKAESTMSRHPQLIYETKVLKLLQGGVGIANVYHCELDREVNHQIMAMELLGPSLED LFNLCHRRFTMKTILMIADQMLQRVEYIHSKNFIHRDIKPDNFLIGRGRGSRNIYVID FGLAKRFRDPKTLQHIPYRENKNLTGTARYASINAHLGIEQSRRDDLEAIGYVLMYFC RNGTLPWQGIRANNKEEKYKKIMEKKMGTSVETLCKNYPPEFATYLHYCRVLRFEDRP DYTYLRKLFRDLMQREGYADDGDFDWTKLESNGNKKLETSTSIQTNNYVKTTGKSLVP QIQHNISLDKNIFDQKKQSKNHKKYINSSSSRKANNSGVPANSSNIQRECSPLEQKSG VENKGNKNPNDTANSNIYNKLTSANSGKKISDTGDHNQDQTLSLSPNPTLNRNNSKAR NKVSNGYRNTKYQDTVSYEHRGKSKKTQKPSRLKRGFCSCIKIEG cubi_00816 MSLFATVSFALSFGKFRNIDLLQSGFYRLRSRLYFLNDGVAHSA IPKKIIYSKQQKLDLKWEGISEDGSILSRPFQINYIDDIAELNETIIFTSDVKLKVPS TKPFLSFFSDSNQTDSNEIFQSTFANSQLNIAPFFLEVDLLYSENSESILLPDLDSIS NDSNGENQKYDQPQDEEFSSLCYNVYRINNVANGIVEYLPIIFDEFHFCNTNCLILSQ ITRIFASCDSHYSENKTKYIPRFSSTKPLPVIPLFLLSTNKSEENLELNVKNQLKSVN KNFKQDENKGLYPKNTIVKPQVGSFTIRGILIQKMAQIFTESLKELDNQDNTKGSDEL QTNKNVVLEEKQISQPSESTEYEKNINNKLPSGCDNIQSTEEKSKCSNQKASIGVDEV FPSTVTRDPMFIEASKLRLELIASLTNVYLQLAANMYYITKKCCSAQRAKLMGSFLVI PSLKLPGGSRLNVEPLIDLSEVIYEENGASVPFYVVREQIDQIDEHQISRISSEIQLK EANEFKHSLTIASDGSSIGVLPEQRLSDSALCLVKLPTTIWALTPLNWQLNYIRGITP PIFKRSPASQIITPDPLISHESILPFQNVVEAPFGIAQYSQKINLELEQISFQIFETW NRLVAVLPFVLHKLEQTCKMEHIRKTMFLWNETIFFETLSANELYSPSLKPEPSVKFP EFLGNRSFPITPPVMLSVNSSPPPNNLPNHGQLNKKSSGFFSIFPLLTGGVGLSSQNS TIFSLKPIDYYSRVADLLRNDIVFKSIPTPNAIEEVCLPPLHEITSNSEVNSNTKNET NDDHLLNPLSIDHLKSSKYNEWLPILFIQRYSNSLTLSNYCKASNQPTVTTNSVANNS LIDNSKPFINYGGGTIIHCGQLCRVGINNDPAVNARNIVNRKIEQKHKKASLKEQKVE ESQECNEAPKIPIIKTETPFLESNKESTKDLHIMIFVHGLQGSAFDMRNVRNIISLYY PDVLCLLSTCNEDYTDGPIEEMGKRLSDEIITAISPFSKSLKKLSFVGHSLGGIIIRA ALPHLYMFSSQFYLYWSLSAPHLGCISNNSKLINAGVWIMRKWSSSQCINQLALSDSP NYEETFMYKLATEHSALFSKFRHIVLCSSHQDMYAPYDSARAEYSPDGPSVYKVMVES LLKDVDPTRIVKVDVNFHLPQKNLDTFIGRAAHIQVIENQLFVKILVSRFPEWFIV cubi_00817 MKLIDGQILKGEVVFFNGESKNKSEKLLPLKIVQNKYKHKKKEP KRKLLTPYKNENMSDFFKRVDSESRQYLMEKDREALRLQKSLKNKKKREKRALKSLEK SQLKNKKLNENSELESTFSLVNTNRPSFGDVIDSPPSFSSKIKDKLEKAKKETKICND LSEYVNQVRKAYSNIKMKRISESNKFFESKKKNSKLLNDFGDGWVGIGKFKREDE cubi_00818 MESKIKDLSLADYGLQDMEIAKTDMMGLVELQKKYRNSKPLKGA RITGSLHLTIETSVLVETLYELGAEIRWCSCNIYSTQDHAAAALVKKKLATVFAWKNE TIEDYWACLNNAMTWRDSNDKDKICGPNLIVDDGGDATLILHEGVKAEMEFEKHNKIP EYLESEVDENGKQLSLDIKCMYKVLKMELINNPRKWREMLKDLYGVSEETTTGVLRLK IMESEGKLLLPAINVNDSVTKSKFDNTYGCRQSLLHGLFNGCIQMLAGKKVVVLGYGE VGKGCAQGLSGVGARVIVTEIDPICALQASMEGYQVSILEDVVSEADIFITATGNKNV ITLEHMRQMKENVYVANIGHFDDEIDVYGLENYPGIKVNELKQNVHRYTFPDTQKSII LLCKGRLVNLGCATGHPPLVMSMSFTNQVLAQMDLWKNRDLIDRSKNTNFSVKKLSKE LDEYVARLHLDVLGIKLTKLTEAQANYINVPINGPYKSEDYRY cubi_00819 MEINEFLTFFIILFISLSGIYSKENSENIAESQINEDNLIGDFE IEDKDSRSLISLGNIQNDIWNDRFANGFSCIELEDNRSGVIHLLNNNSKIKLGKDEYA ITSSNMPDYDSLIVFVENVRLGLNSNEENNEIKDQNLLIVTNETENEILIKSNRLSNG FVCMGILNGTEIWSREFCENLLDFKINETTCSCKGSFIYSLVDSKDEYRALQSQYNNF NFPGLTNNENTEANHANDAYLSRVSRSYNPNSNHGDKVPFPPLHGSIGGRSSNVNAQK KETSKNSPKNQINFEQNELMQYYHSVPTNKYVINNLDNEHIYDKSRLNELNHKNSINK EINQVNSNLIDKNNSQKTPWNTKNSVSNNNIHTDVSKPKVDSITVNLELKNISFESLN NQNYKRNFESKFINSLSRALNILTNKFRIINTWNEENPALRGTNSRSIGVTVNIITSV PDEVISKVKNLKSQICEFSKIFEFYLISISKNIGSKSISNEIIADRSEEKKKKEITYD GKSLRTLPIIFTMDLRTIKPHINQKEAFALLEDELSRSIKIPKSSIDIPEFTYKQLND PFTSEEYLVIHTEIWVHPEKQLREEYTTLLTNIYSNIIHNKRSSFSKIFLCNKHEIKE IPHIKAQPTRDEQIFNQNENLQKSSETKTNLNKESRPVDEGRISKIGGFYQINQNSYK NPNNSQTIKSESIGRTPQSLYSQNTSENAQINPVVYLFKQ cubi_00820 MVVWKRTILFRYIYVIILLGISLIFVSAEEIQNPNESSQISTCT KVSLEKKPGEFLVESSLRFPTLKSLFSWMESLDHFYSAFTKDFETISDSIPPKDEYEL HAITMSAYSSVLECFGIINELTVLYKSSILEQDSEMFLESSYLSINGLVQDCLTPCKD LLLKSYIRLHELVFESGKIHSPTSLKFSERIIELYDKIWETLTILLGYTTMIKESQNL TLQNRSEIQKSLESFELKQDNSPSEQLKLNILKRKMVDNNRNENLFNLLLSFFEGNFA LTVQIAEMESLITAENKRSISELEESIKNIEKSMKHEEKKEEGSAMQLISILKGEINS RSEILVRQISGSPIYLQKYDLDLSSIFKKLSLKESQTDSNEQHKSDEEIKADEDDQAD QNKVE cubi_00821 MIECLFSPETCDGCQRQIFNEEKLGIFDVCLNISKCETNFKKGN TERSNELILLDQETFYIINPKNPDLKTEMLFFGGESSMRIFIDGVIEDDQFQELLKGI ALVKITSIKNIVSELVYALSKFNSETGKGFSCLAYIRIKEERFLVFGRDRLGDSSLLI SVGSKGKELIISNIESELLNFQEDVQSIEVPVTGMFSLDLSRLEFEFYPWEVTPPYMT PSYWGKEGQQTLESLEEDSRKLLESLRLVFAREMEKRLTFELFEDKAFVYMGMLFSGG LDSTVLLYLLLEWLFSSLENLGVDIIKRFFSNENFAEVQINKNNLFFIVELINTSFAP SEAPDRLTGLASYYEILDLFKSYLWKYKNVSIRLICVDNPGDSLTREEKNILKCISPC RTHLDFNIGGALFFALGGKGILADKESFKEEWWQEIISENENSNIWNGVFEKKSPFSE TFIKVPKVNKFEECNNEFNFQRKCPYCSFREHSKCENKCCKSCCRKIQQNLIKPIGES SPACRVHKMKTADFSKIPSTQRFIDPKNYYLCETNINKILFPQEQEYVKGLITTEDGK LLYNSKSKFLIVGSGADEFLGGYGRHITAKKHNGSEGIKKEMLFDINRLWIRNLGRDY RLALFNNRKLFAVFLHPLVINSIGELSFENICGSKFEVTKPLLRFIANKLGIQFSSKF KKRAVQFGTRSSRQTNLKHFDSNRKATADATYIPVNI cubi_00822 MESHSIISNEISEEIQDLKSKLLEWSSGINGMLELGVEKLNDQV SRDINVIKDSSIRIDGLEKRIKDSQELSEIKKVVEFEQEEFKKCFNTNANALSEKVER LERQLQEDRQRFEQEKLVYEADLRVAKQQSLEREKSCRIYSENLGLNIGKVNGSNRFT FTCLDEGSPDKEFYFDLFYNEKAQLFEGVGSNPQVRDFQSNIELLNSKSISFRQFICR MRKSFKQLVE cubi_00823 MGILERISDIEAEMARTQKNKKTEYHLGRLKAQLAKLKTELIEA GSGGKGKGEGFDVAKQGDARVILIGFPSVGKSTLMHELTGTETAVAAYEFTTLTCVPG IMKYNEAKIQLLDLPGIIEGAATGRGRGRQVIAVAHSADLVLMVIDSTKDDSQRRKLE YELEAIGIRLNKKPPQIVVKPKKIGGVTFNSTVPLTHLDNKMVVSILNEYKIYNADVL IKEDCTVDEFIDCIEGNRRYVPCLYVHNKIDNLKLSEIDELARQPNSVVISSQQRWNL DTLVEQIWNKLGLVRLYTKKKGELPDFSDPLILTPQRGLINVETAVKLIHKDLINEFK HALVWGTSVKHNPQCVGLSHKLQDEDVIQLVKTR cubi_00824 MEIVCVEDENHREMLSYSNENDYLSDINDLIDLIHGKLVHYKDI EYSEYKKTTKEFFKKIDKYQEQPYVLDTYLEKLCSPLANCIAEFLENNSLVLHNTILS LSKTQDENVNTNSESGLSIIENLDINIDRKHQKNILRLSDCIYNLCKIRGSKVISLYF PSNVNFLEVVIDYISLREKYQINLECEDILNSKQISDSSIDPNLDTRFGNLSNHSEED NWHFIYILYVWLSTLVLIPFSFEVLDSKYMLKENSLFLRILENVIPKILENKYCITNE TASIVFAKITCRTDFTLLWKNDNNKFKPLLSKGMDETPNSLGILIWIKYLIKLGPIES IEIFIDQIIRYLNIPNKNDFENSQNPQNKYDINSYKSRSCRTICITRLIIRCVQSDLL LKYFSDFENVIDWTLKFLIEQNKSENNLLRHTSSKCIAKILSAIQGNYKAKGVLNDIL MLNNDEKEENVERIKIFKELSPNELEGKCLTIAELLRARLTFVFEDYLPEILEFLQYC LNYEYWIGTRSFGVQIRDSACYIIWSLARGVPPQALRPYSNKIISSIIPLTVFDSQIN GRRSSCAALQELIGRLGGENISFGISIVTIADFFSISSLKSSFLEISTRIGALDTTNE NDLGSHASPNYSSQKYGCQEGSEDLIYPFATILSDYLVQNVFIHPNIKFRLLSTIALS KLVPYCHHLCFFNILPFVSKLNSINDNSLNLSNWNKDLNVFSSNSVFRHSSLLIISVL VSKGLILKSKNIPFWNLLTKTINKDENANTGFIKELPISRNSNSNNIPMEFTWSDYIR NIPILIEKNRLYRGKGGDLTRKGVLNLIISLSRSTEIIPFKKATFSRFLQTICESIKH LSFSIQISGCSALDAIIKWRMTKTGNSSEFLEIQKILVDFVQALNSESPDIHIMALRG IILSIGIIFPHVVNLIDEKLINDIVNCLFGIFNRGKLILRNEDSLECSLFESSSSFNH YIFSSKYDVECRRNSIWSLGVVCYCMRNVEISSKSTILNLCHDVFVQGCFDYSTDKRG DVGSWIREISMETLACLYSNNSFKDDPKHEKILSAFIFNIFNYSDKLRVKAILLLWKL LYLNFVDSNFKQLGSIKYTKINIYWIYYRIFHGIPFELFEICQIKEMPEIKLQNLNES NSIKYKLFESCQLILNDVLKDYILIHSKNYIEELNTFFGAKDNPFNSGCYYFDMLDSI EKIPHSLLPYLDFENQFLFCLSNRNFQILSNSSSNVFKKCLFPFILEEELQKSVLLGL INWINHSSSMSSSSSSINPYQAINYELNIFLKSAGELSETTFSIFNNIEMLLKFLSSC KPDAASFCTCMTLHVLQFILVLFTWGIFPHEKTVMRGILTTILHVLRITKDFQLIKIS SAVLVHISFSIQILDDDELAREAMESLSDLVSHQYPNIRAFTTDYIYNNVCHIQTSEK INSILEYIRETNWTQNYSKEELNKIKTQFRFLCQLEE cubi_00825 MVLFQWQSEYEYLLHRICDLLDQCKQMGFLHISTDYRNVDMSNA TFQNYILLGSSSMREFMKEMIEKMSPFYTPGILSYLAACCVDDINLSHLFSLLRLHNI MEYYPKSVNQHKQRALILTAIFGELFAIKNDFEEGKLKLNNFRRGNVSSSENEQCVEL LKMTINQLGGLIMDSGRDRATFIKEAFCAPSFAPPKSDIFSINNDELLDERLKTHGII NRVSNVNKGDPLLVSALLCGSSLSIWQRIHLLYDPDRDQAKDSIVYAIIYAAPLMDRM RQLVFTSLLQSFCRPQWCNNLLPQLSDKMKRFNNQNTEITQLIVRGFLLSGVTIWSYE SPQQIYDISTSCALTAREKDALLKIFRLVDERALAQESLEESSITPSFESVIRELSRQ FYNSSNWDIDDTGFVTSLKERQSYNKLGNSIEGALKIAETDYEFIHRPLNIVSDRVKF YQAMNHFQADLNDPLASIGSKSDALIQISSLEDIEANLFNAN cubi_00826 MYSKEEDFGNANISQFIRLNNRLRANGFLSIPFLPDLTSPARSF ILNRDQIEENSNESTDYLRYTNPGVRLSEKFAQTSTIPQNLNDVVTPQTLAQIREPER QNDKLEITPGVRKYTDSEYSGLNTDDLRTVGSILHGICTERDNSFEKGQNANSAPEII REHITSERNKMDFPFDIPSNYSSKPVIKPSGDLRTGSSIELSFANDQSDSVPLTAQSE SIESNQRLIVDGNKLISTIHNLLYELESRGERSVETINSERSQKNGLIEKLNLLRRKY EALEKENESLRMINRALTEEEHANRSRAQGRETPQCSTISRLEREKQQLLVENSRLKQ DILKLQEDDDKSRDKADQFLRSIKDSLENEKRSNRAIMLSQMSTNNRNKDGELALTTV EKKKILQSHYLYSVIVGFEKSINYWKLQANNQQNLNSDSKNGQTSFESNETDLGNSRD TASEKSFFEFCQENNISTSELMKRDKNLWNRGLYMLQNTEKSELESIIRWSCRLLNIS NFRSIPIQIGELLSKKNTSKSPKITHIFESASSKKISSRNNSQEVISIELHDRFYNHF KTLFDVLDDQDVIQASNSIYIQLRDFKKFFRTICATLSLDYKTTSPTECLKILTDLLN INQSKKTSNTQPQIQSFQENSSNIHNIIESLKIVLDVDSNDQILPTLKQHLESQSAII LSLSKS cubi_00827 MDFEDIPFEEILRKEKMITESLERRKTTKSEKKNSNTSDKLHND FEKNNEIDKRLKKYGRIVSKNSPMEVSSRRQILPEKLENERNYIRNKHNGSKKHNDPR FNDSCGKLNTDLFQKSYTFLDDIRQEEFNQIKNTLDLYKIMNKSKELGTWSKVKKSSK FKNSDINHFTQDQIDNLKLKYQKLISEKDHRKNLFLRQQTISQHRKQEQAKIESGKKP FFLKRKDVNISIQHDKYKDLNKKQISMLEQKINKKHVSKFKPPNSIRKV cubi_00828 MLSASEYFVSYEEEFLSVIQGIERLVLKCMSVEEGGRGERLTQD EISVLEHRFQDARKCLDQLRDEVMTLSKNSVEYHSYKRYQRVYEDIYKKFDTFREESM VSSYYSDKDLDMNVSISGNDGQHYLKPSTKEYNMGVSTEDLIKRNEDNLRISAHLAQE TQHIGLYSLNTLTVQRDNLTRSKYGLNNIDYNIMESRKLASTLYKQKVIERLLLYFII FVLLIANLYVFFRRIIGKK cubi_00829 MDLSKVPNIDQMKEINLSANIIYRNLVESGVNTEILSVPINEPN RVQEHTSFLSTIQGSDDNMRVDRLLTIVAQQNSERILNVIAQQNGTDSHHYSKQSSHK NKILLKRKKIVYITAGFNGCTSEKTCPGIVSLKKDIDIYFISLSKDPSMYHEIEYISS EPVSMHSIMMSKSDLSNEATHISKWIRDEYRFNNPMFLMPKKVKKNFKNEVCSKCSKN AECFVHSIFETTHDLSKFECVCSQGWTGDGFTCHDIDECKMANYCGKSKCCINTPGGF ECKDSKNGKC cubi_00878 MKNIIRYLFVFSTIFASSFHYTLAGDDDITKELDFCKYPEMYPG IDLHFGYWDGFRGRGNLMRLVFELSQLPYIGHAFGGYNSEGAFDFANWFGRHKSLIAQ IDPNSTPNLPFLVDCTNTRTTKFMTETLDLMIYLAKKYNPKLLGEGLAIPENQIMSAS VTANNLFSGWVQHLMGKEGSVEAVLNSPDFFTDGDITSGPIITIFQVEEFVKSQVQQY DGPLTFGSDVTIPDIFVYEFANLINTLFPGIINEYPSIRGLVDAFNEIPLVTRFIHSE RSVIYPPLTAELLPFQYSMSFNPRRSFSTEIPRFGQYLVFYGHSESTSSASICLSRKI FSISSSNIDSEEFNEMYGLLQFPQIVDVATRVIGRNAIVRTWYRIFRKLESTKNRMKK SCVAILTSGKYHQVSVSNEQAQEICSSFIKCYTSRSNAWNATKTLIDSSKRFNFFGGS TSVFNAERYLKKYMTAPLLFAKAIRFYYTSKTMLKSGSFPKKSIVEILNETQKQGAVS TFGLTINKELAIKICVDYCTNKLPYKSLYSFKSSYSMQALCETSLMSYYPNESSELAI EKASTLVSLLDQISS cubi_00879 MMEICTRTWNEIERLGQKPIMDFEHCFGMIDMVYKSRRLMPTAE FDLTHYSLSKNEVENYANIDIYSGELKEITGEDQNKIDSLLLNKSEPKRSLALKIPES YDLRSNDANRKSGGSCITFPDNQGKCANCYNFSALSAIEGATCRQLGKLIPPLSQQHS LDCWLQSKGAAKACSGGQTFEVFNYAIKSKVCTKDSYPPTTYKTGKVGECKANCEECV AIKNFKWSYTGSSVLYEDPWDVITDAIYNYGPVTVSVCSLMPGFNLYSGGYYEPPTCG SIWCGTRQVDHAVTLVGYGVSAQGKRYYIMKNSWGLSWGNKGFMNISADMCSTFFNPG WVTSVTMDGISEHCLNKEPEQSEIANDFVI cubi_00881 MEKLETLYKNINEKQKWGHPKSAGLWSKLTLGWLDKYIKNAAAG INHNPSEFPKILESDSTILWTNKLEMEIMKMNSNVNKYEDFNVNIFRAVFNVLRGSLF RILLGKIFCEILGIFIADRLKLSLKTIEAFSELNKNHDEYYILRKEGIKIAIVISLLQ ILFVISTTQIGYYICRQTVRIQGSITHLLYRSVLSRGINRIEIGQDTNDFKGEDTTSI YNSILIDMNIVVKVIVSLVDIVIIPIRMILVLTMLTRTMGDSVLSRPAMFCIIFISLL VILLEVCLAFFKWNYLVRRDERMNIMDYTIKKLSSIKILGLESLFYWSINGSRINELR SRKSFVVFQSISSVLYSTANLITQYTLFKTVLICSVLYGLEVPTSKIVTSYYVIDMFS QRLSDLPLLISTIVEGNNSKIRMESVIRYVILRNLEIKESLRRDAEFQNSVKEIQNNG SFESNTNFNSNSMLEESSVEDFAGSSFNININEEIGIQKARNISDSTVVLIKNVELIN DLFEDRININLFQVERSCMTVIEDHSSERLQIFVQAILGYNDYGCQVGPKISNCTESI FYIRRISCLPIFWCSHDPWIPSGTIRSIILCGSPMIKNLYKLALFACDLNADIFSWKD KDLRFVDGRQGLSGGQIARIGLARAVYKYLVNSQNDADFDHLFILDNCFRSIDIPVAI NIMLRLFTGEEAILQDANTILIISPVLKEILFSKSVGTFSCSIREYKFRNDGITEGFT IFKKNLIDGNVSKNFAFANNNPSLSIENQISEDEEIFRNNKQDSPIQYSLNVSENEQY PLCDSNLQKMVSFSGKTSSKDKFISESDLIKAIQSKEILPLLEDINEIGSVSSKTYGS YLSSVNKIMLFLIFIGVLITVFGGILSQFGFTYFLDLICETNNQAKDLDIIKGNAPMN VMPYGPSRNIDLRKHVSRFVLDKFKGPLEYILGVHMSRNGRLSLDTNQLIGSFGLMLF IIIMSTFGTYIFEAVACIQACKFFHNNLLYKVLINSSIPTLMKISAGQILNKFSTDII LIDWNTMRSFGRVTWGLVSLVMNICILITLAPWTLPIFLVMMGLVFFRIFLPMIAASR NLQRINLIAFSPICSIMSSTLEGSRTIFLLKNSEYFEKTALNILEFMQRIRLLQHTSL IWCNTRIQFFILPISIINSIFPYVTPFTLSSIENKRSNSIFLFYLNLLSQPITIAWGI SKCLSLAENATCLMADYIQLEKEMCSIERINDLVNLISKNDKDRNYLRHEEDKVEKNM LLKLKSNSSRRSLFLNKDSEHTNFKKTYDNIFVSLLSANDLSNHRNPVLSISNVFIDY EVPDKGELCKNSILKDISLKAFSGEVVGLIGRTGSGKSSLFNSILKISPITSGAISLL GQSIHLIPRHILRNKIGVIPQAMCILPNCTVRDVIDPFFQYSDNEVHSVLKICGIYKY VINTLNGINSKISLQKNPINISESRKPRLCASPLCLSSFKISNEPPPFVISEIYLRFL YTCRIVLYSKNYNLILIDEPIIFQDELSKNSSMNEQTMQIIDIISTYCSHCAVITISH DINIIKKCKRIYHISDGLLKEISFINPPTLN cubi_00882 MGYFKTFDKLLLFLIIIQIYFNLPQAEENKEHNILNDQENTKKI KLWDFLYERSLQDTSLVKFLPPKVPKSFICSEENKSEFFKSCFRTIRSLLKDQWNQQE SQKKYKNPKLIPGMIRIGEELKFSPPISSEEKQETYKNLRDFCIKSVEHYFEIKKNRE EFINRCKTLSVTPPKIRKLTRSNLPIDVRCRGENMLAKMEELDQKRKEANEKEKEYLE RISQGEIAPKEGKVPLQEILKRFDKGKEHVEASQMIENPSFYKLWMNQDLKTFQKLSA LEELKRNSDFESLFGITKGQEDLEDREDRPDEKKNAHGTTQIKFQYSNYAIPGTSRKM RGKDSLNTLEAQDPEIKYLETFPQLPSFPSSSSSSPTPPPPPPPPPPPPLPVPSSSSP PPSPPPSPQLPPPSSSSSSPTPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPENPRE PKLYKEVPTSAFIVETSIPKYGEVFMGKGAVLPKLLTLPPKSNLPEYLEMPKLENKIE ELSLNEKEPSKILGSIEPLGEKEDYLRKISYIQDEQVLKGELFGENKELGLNGEFEEK NKKHKKDLLINGPTNYYGRLKMNIYPNKCSENCEKRIHEDKTPRINMDMNFRSKVKNP DKLVPLNEVYVIPEIYDPNWKMSHPKSDDEKVPRGYDRHGLLELPYKKRIKKEFAHKL TFGKIYGNLNDDVKLDPLNVKQPFNDKVYYVNVGRVHKVIPNYNLNPRNKIDPKEARE DYYLNDFNNKQVLKEFMKIIQEKKRKRKVRSHGKTKLKDESDRQRVSSAYKLHMNPKK RINPVIPREFAPLSDLNDFKVIGVNPNKDRRISFSEITKEGSENDNKKNESENKELNK LIYVPGKVEANDLLEDQNQENSFENLKEKAVRFQLPEKENKPSKKYISPPPQLNHEES DDDDDDDDDDDDDDDDDYDDH cubi_00883 MESKYKTITCFFFLTIIPALFFKVHVATCKDLHEHAKVILKYPL YSGLEEESFQIIEGKPLSDYLIIGETKLKSLFGLEVEDGLKVRVNEIELEKSEFSHSI SEFKVAENEDILIEFILKDEFNSQNKSKTETQIDMFGKQEDYNLKTQEGILILGFSSI DDEVFINPSKVPKTVSVREKFPMTFGELKKVIENFANPLEFSIESVKTLRNPNKELKI QMNSKEQNKMSDLENGDLIKIKIRDLSLSNEENEDTEINNESYSFKEDNFQGFESGSQ GDFPVMIVFSNIKEVPSKLINLNEDSKVGDLLERLYKITFPGTENALISKGDRIAIQN EEGREVDQTEEVELKDFVPEGVNISKNRPFVIYVTVFGGQEEDSKVDYSELSMRQDLS KIFEKKDEKDIEDLVFNSNNQVKTIDSDFEAIPDRRKDLDSPIVKFLNQVGKIEYEIK GDPSLTTIASLYSQAQLVWNSRGNKEKNCLLVYEGNNKEDSIILDPNDHETISTSKIY YQGMNLTMKEPKKVKVEILVYLTISKESEDQIDDKILFTRNLEIPEIISVFDFLKMVY LNYSNELSKYLIIGVQKNIENEKLIEYLPVPKTPSSITYLTFHSERNDVGVFIEVLPT KSVEIMLMIPSNSIGEEISEKSEEDSDLNDESLFEYRLKILSNTTVKELIESLIERKI LDKSIPAKTVHIKDKESDTYLNNEYIIGHHSTGKKVGKKKRISKMIFVVEIIEKFNLN VMFYNYKGEEFPIEPFQLMVKSNQSIKKLKEDIVSNGVKQGISLDVNQMGIGSGFDIG KEFKKVVYTILKETDKVENSGLLPNDELRVYLLKESQEGTEKKKNTDFGIDNQIKFEN MSINQGSGPKLEIPLSIENCPLNTMLERRWFIVPMTLGLPIGELKELIDNIAMLGGMD FDLFGETEKGKLELNQESESCLSLGVTELGHLTAICNGKKIHRANRKVELDKSVSQAI LDDICEDDENSDKCNKPTGEVLDKLSNYKKDQVDAFFEEDSTEELSEKEIKEREEKNR ESMELLKRIEKKINQLEEEELKLKKNKKMMDKLIKKETLNSSKNNLNEKELVKLLRDF LTVYVSKGTAGLNRFCRRQGSDRIEQLVMYTSTIEGRKMSRKLAKKIAERERRKNKAR KNKRKAFSGKNMMLNLEYSIMPKIAQYSEYEEESSSSYTSENSDLSRSEEQSIPQVKG STKLKKKLTKNRDLEKRSLNGDLDPVTKIQKRSKKKNRIIRALKKIPVISPTVSFLYS RLSTRGKKRRKAKKYIKEIRELEIQFRKKAYEHFQNINHSQKRNENQEEDYMIYLMSY cubi_00884 MFVIKWACNVFLIIFGAIFLKTYALKEFEAFQLGRVEPQKLKIN SKTTSLNEDGSLGVYSFNNLKNETVITSILFPDYAVLFDQGRRQFCSGKYSADSHIYT AGNEITFFLNYWTDQYTDRYWVLESDQGVICMLPYSLETNFTKVFTHVDSDFQFELAP TYEPNNTNSIIKQSPLFQYSQSCTLGLFQGCFSSEFVLSLPDSSFTSGLEFIVRGMSL FRTSKGIFSELVFYDKTASNGKILHILINPNMIGIHLEKAGTQVWGELNNQIEIGNMK FIYDFRVIFKEKWVYVVSQEGQTLVSSELPQDFSTVGKIVSSPRSVSDPILIQVLQAS TLVISKDFIQKEAFCILSTAPLTTQIQDILGFYPEILYTESYHLDVTLIFQSPESIQD EDLLLAISFDEILIDPYNLQIQKTEYLIYPKLRIGIFKGYISLEDMQTRTIITGSYPA QTQSKINIQLQPLKSDNKLRILYQIDQLAWFMLAEVSVDPERPFNKIKFEKNPKHLEI LTHAFTTGAKASSIVSNYTDSTSFISPKVGNLCKLLPLSYCKSQDVSFIIKEQTKADQ TIQTESLMSINNITIQIPNFPSSNDDLMYKWKLLSNDTPVYEISIFQASISIVNLITY ETVAGPPMSIISQYSENIGVSIIFQANDIFIVDSETNNIIASIPESKNLNINKIQASS DNRISVNYYSSYAFTPGPKTTSTQSSILNVLFECHFFNQCDIGNYVCKGSSARQLCKD PGIMKLWYNGPLISTDTSLNLGVFPNSLSVFTIGSQNNNLFIINVFESYISLNDLFSG KSCYNQLPNKTVLTQSSNVKFGIILSNGKKVDLVFFSDNNTPYLLCSLNIDGRIISDF YFFESNGQGLSSTIGDITMNTEQDDLSSSLTIVPLPTNHTYSILTPYISSDQLDLELS YELKANMGVHFEIELDPNSVSPLVVGISILNKYQDIHTQLYISGGSNPSVLLGSNIPG VGILTNHSSIPDECTSIKDSLISGGNIQFTIGVDVEIFIKENLGIKEEIKIPYLYVIL CNQSLAKVPMGGFNIYKLLISNRSVLSTSTTLINGFYANRSSSKIRDLSVVPISTEDS LSDYKENCEISQNTKVTSYCLSSSLTIEDSSGLMENYELTVYLAIQDFPEFKYGNSTY YNGISYQVGGMSSFVVLFNETHLGVLNLIEKREIWNLYGNDALMSVSSWISISVNRIS GFIHFTLNDQIFASFPDYPSQHVSIQGISLLQPGSWSVFQGVKQDSIYESNRYPFMYH GFIECSFEDDCQVTNEQTCVGNSFSGLCPYPSPDGIAWLFNSFAEVATDVNNGTFGRS FEIPDLVHAYKLNDGFFDIFAFYFFKDYAAIQYLLDGTVCRNSYGLGNTSSSLDIIPS NFQWGFYLGNATINLLTDLGGSGIKEICSLNIPKNTDLRLNVVYPVGHFLGIIEATKK NKANLTISEVPPEQETDANPCMLEMFKRCKINDQVQLSPNDTYFKYNYVFEFSFVYDE SKEYNINFKGITPNSSLNPTSSKKEDLINLSILGKSLQLSNSQSDVSMADILLENAVS GTTVFSLFAYLSPKEDHFILVSSLDLETENTNSRLRNLAQGYKGVLVSLNGKISEITT DQISISNPTVYLNNWLIEADSLKPSSKHLTCMLDEINPNTWCVGLNATYNQFGSESKL IWFNFTFSNDIQGDSSTFEYYDSYIIKKENNTELIEINFGRKEFQVKDLVSNTLAVSF YPNKTVVAPGMNYRMGIGVLEETPDQIYFCDVFSHLLLNIPFNSSEISGTNYIVNNRK EIFSYFMLDSKPLSINETLVQGYKSCSFESKCGLETLTCTSQVLEYPCPRSTPGLYWL ISSKILDTNLSSGDWGKEFVFDNLLGSYIILGDPNIKFIIHFFRNKIVFLDPNYNLSC SGPYISNKPAPQGGNANWSIGFDSNDMVFLGFFDQINQKHYTICGFKNFGKDIPFVSI LPVGSTPAVSVFRQFGSGFPTGGFESTSSLQNNYGFYHPEFNTTSQEYIPSAPYGMNL PFLPVGVPAIDSQGLETPPGFFFNKTTSQYEPLGSSEDPNSLRPPIPFQLTEGIDECE LEIYSFCNSTNVSLLSSMNNLEERDWSLFVMVSTGIPTYPQDSKPDFNFQFKFKDEQD QIKFTINISNSSIVIFGSDSSQIAIAVSPQCGPYCSTYPKGYFTFWLQKKSTENKFSL SVDYNRLLLVEFESDNRNFTKVESIGDSVHNPVPTKTYVLWNLLDMSDSPKEIATTTT TTTKIPWDKDIKDECHLELNQPCRGNDAILDKPLNNGDIIWINTLLGKSSNPIQLDGN DYWFNYNFKKNDSNSVISLLFNETSVAIYLYNSQETLSSRYTNQHLLYEGMDITIGLA FNRFGLFLLNKDFNALIHDPSIKTLDYNQITQENVPDHISNFLLKDKFTYPKNILFRG YETCSLYEDCKTSSKSCESQAMVEMCNPIQPGTEISVQTNISETNVNNGTWGTPLIQS DLFNIFHLGNGDKDMYTIYLYKDRIVLEDMVNYISCGGPYPNGKTLDLGSTLEWSIGL DSKKYLFLNVLNVLNDTNSNMLTVCSMSLIEGIHSLDFISPSGFNPSNSIFIQKIGSF KQGGYESTSKGDIGYYFPIYDVSKDEYLPDIVYGDSFSYYPPGIHPAPITDDNLNNPP GYHYNTTTGQFEKDENNLNSDLENPSRPTHIVNGIPQCELSLFTTCNASSIKIIPEDI VFKEFWTLFVISSTGIPPKVSESNPFNYKYEFINTENGKEELVLSLIISNETVTFRNE KNNTENIVGSPQCGPYCSTYPKGFFAFWLTYDSITNKYIVSIENNSKKLVEIDSGGVE FHQVRPCQACQGVPESEMYSIWKLFGSKTQPTEVSTTTTTTTKAPWQDQIVENCIVSQ GSDPCRGTNVEVLPEIQEGDILWINTTLEISDPKIRVNNKLYWQGIQFKKNNQNVFSL LFDEMFLLVHIENAENEYYSPYTNYTLSYSGREILIGVARSKYGYFILNQNLGSLITL NSNGIDLSFNQAFPLSSHDIVSNFELENGFLLPINYLFIGYETCSLNEDCILETTSCV SQVLKGLCPNPNSNRVWIIETEISETNINNGTWSESLVLDGLMNTYFLSTSSEILYNV LLFDNRIVIEDVDNNITCSGAYPDAVQITYGKKFIWSFGFNENSKMIYFNSATQDNPS QFRTICSMPYTGKRSQISTVYPLGYAPSKAIFTQKKNGLPEGGFQTTSIPNNGFYFPD QNNDTGVFQPENKYGQYYPEFPLGLKPAPTNGDNTNTPENYHYNSTTGQFEKNPDHPD PNELNPFQPTHIVDGISECDLYLFSTCNGTSAKIIPPDTIFKKKWTLFVILATGIPKY PDQSNNVAFNYKYNFVKYQDNTSTGGVNTQEVVLSLSFNNDTITFKNEKTNIENMVGS PQCGPYCSTYPNGFFTFWLTYDIDTNKYVISIDSNSKKLIEIEAEMTQDLAFNKIVPE IDTNTGGDISKTYSVWQLTKMKKIPDSTAVTTTTTTTTTTSTTSTTTEIPWYDSELDE CPIIINKPCRGINGVLDTPFVHGNLLWINGTLGNEIEGRLLLDSKNLMGYDIMNDNQN VMTLLLNETFIGVYDLTKDQEYYSYYTNESLAYNGMEFKIGIGWSKLGLFILNEYSSS LIEIQTHSDYTFNKVRARGPIMYKYVDYVLLDNFLYPNGLLYLGYETCSFNGECKVKS LECSSQVLTGLCLKKSPGISWKMETILAQTNVNNGTWGDFYSLNSLINIYTLNNGIED ILVVYFFDNRVAIQDLVNSNACSGPYPNNSQVNVGDLIIWSIGIDYDMNLYLNIIDNN EKKNYTVCYIKNSNQFGGFKYLSPLGYKPNYSRFIQELSPQFQDGGYESTSTPDNGYY FPEKDQNSGKYNPNDKYGESYPFFPPGVHPAPINGDNTNTPENYHYNSTTGQFEKNPD HPDPNELNPFQPNHIVDGISECNLYLFSTCNGTSAKIMPDGTVFKQGWTLFVMISTGV PMNDNINNYNYKYEFMSTNDDGSDIVVLSLEYSNQTVTFRNNLNNTENVVGSPQCGPY CSTYPKGYFAFWLTYESTYNYFIVSVNNNNNYLTSISADGISKMFNKIVPKGNDVGNT FNIWYLNSQSRTPYFY cubi_01027 MRGADENGIDAFRYMQRAQHIGKVIIKIPYLADTGENSVPDECL ITGGSGSLGLALTEFLLNEGITNISIISRSGIESQTDSIQTQWKKLLKRVSNNINGKK QGIRFFNIDISNKDSLYKIMNTEFKNLKHIFHLAGVLSDSNIEGQNRQSIEKTFKPKA IGAWNLHNICEELGLNNKLKTFVMYSSIASGLGNFGQTNYSAANSCLDSLSQYRLRKG LCSKSIQWGAWDEDGMAMNIKHHLGLVGMNYISKSIGQKIIFDLFSTLNYEENRKFAT IMCLPIKWKTYFNTVYSGEKIPLFSSINMNVKTEKLQNTMLMKMSEDEREKYIEKQII SLSKSILGVEIKSLDQPLQDIGIDSLAALEFRNALSRKFNIKLSATTLFDYPTIKGIK DHISEKLSIKESTYETNKTQFPDLLLSQNNLSDDSIGVIVGIACRFPGRCNTPDILWK SLIRGYSINPLSGKMYNSIGGAFCASKEIPIQRWNHNLVYNADPDKTGKCYSHKACFI DSIDMFDNSKFGITNIEAKHMDPQQRIILETCYEALISAKIKEESLIGFQMGVFIGCC SNDWSFLQSRKGMAPFTGTGAANTTISNRVSYVFGMRGPSMTIDTACASSLTAVCIAM DSFKNKECNGAVVGGVNALLSPNLFIAFCKARMLSVDGKCKTFDASADGYVRGEGCGA IVILKQSEQKKRNTPILGRIKGWGCNHVGRSASLTAPNGPAQTSVIKMALNQSKLSNS DIDYVETHGTGTALGDPIELGALKSIFGKKANNKRSSPLVLGALKSNIGHLEGAAGIA GLIKLVLVLKHETAVKIAHLTKINPHLDLEDFNVILPQKITPLKCTKNKLIGGVSGFG FGGCNTHVIVESTEKNKSENKEVADCSRNHISFVFTGQGSQYINMCKEIYETEPVFRD NMNLCNDIVSKILNISLLDIIYPIGSNKDTEFETLHMLNDTRYAQPAIFAVEYSLAQL WISKGIKPDSVLGHSLGEFIAATISQVMTIEDAITLVTHRASIMASTPVLDGIMVACR LTESQVVDTIKRFNLGETAALAAVNGPKSVTISGKKESVYTILEHNGVGSRFRQLDVS HAFHSPLVSEASEKFSKLLKNIELKQPTVEFISTVTGKKEVESISTAEYWSKHILNTV RLSDAVSNAIESVNSRMTFIEITSKPVLSQLLKALVPTNSSHISVKCTCKVRNSEFDF IQPLEEMQELVSEDSSFTNFTNSLDDDSWRFKLLKRRQIPWNDITHPIIAPLHDFSDI EWVKENISISKSNARELVEKLEFTCMIAPELLDLFDNHKVLGQSILPGAAFVDFIATA ALNYTLSQFTLGVIGVMPDWIQLKGIFFRNPFILSSAKQYKYISSKLDSQDYSEEDNS SRNSDFNIIMSKGNNCQISIESSLKMDEGAVVYATCDEVEYVTNMEAQTKSSCIISEW PSSELISNSEKVSQEDIYEKMSIAGLQYGDRFKTLKEMWKVTSNSAVGIIQNELCYEN GTETTDNLAINEAIMNERGFTIHPTLLDGVLHMCASILGDKSNEKTLNELNKQSTMVP ISVNKCLITPKIDTSKYIKGEKYWAFVQLTSSDKDGAMVNVALKSMAGVPIAVLLGVS LRSVKNGVISTHTVKHHVIPNELLWKTEWDEIVKSITISEIISLPEKITSDDTENKPY QGINSNLVEKRKILLLTNSSNIDQEELYSEYYTADIVCKASKLSLVEIEAAIYGRDDH ELPPSPSNEAKYDLICLHIPNSDRKEAVASLSPVLYLCKILRAMFENKERTIPRVRII TENIFNIYGLNHEFRTNSGVSPFIKSARQELELFSDQSVSLGLIDIETTEDLNKAIIL TVAKDLLCYKKNEDIFSNSTLTESQLSFLNTEYEPEFALRKYITGKQNNCETQYRIFT PKLVSLKNEATIIGSCKLNMSSRGAINNLELLPLSNEERIIPSANTVEIR cubi_01029 MRGADENGIDAFRYMQRAQHIGKVIIKIPTPFKYLEFDTEIYES KDLIGFEENKCNSEYGIYIITGGLGGIGKIMTKWMLEEGVRKIAILSRNANNDSLKDV PEIQDYLKTDNIQIECIKCDVSILSQVENAFKEISNRFGKSTPIHGIFHAAGILMDGA IASQTIEMMESVYAPKVYGAWNLHDCCEKFEINKNLKYFIH cubi_02131 MHNFLKTFLLLTALCSVCSPLSDFFLNRVSNVFNEAALPYYSLI KLRAGSRDSSPSRHEGNSNGGSSGGGCGSSPLSSSFSSLSSTLSSSFPGGRVGSSLQL AAAISSALSNSRSSSHGGPRTSGSSHGPGSNPSYGPGSNPSHRPGSNPSHRPTSHPGS SEGSRRSGPAQATPKHVICSSNPSYTTERPAGHLTAPCPRCPGKNHYLRPGSGRRSRC PYCD cubi_02132 MDSRFFTLLFYYLLLITFLPINNQETSLYSNFLSYNEQLFYYNK VISLIKLTSEQRFLNRGLILGLKSGSLGPRYRGILASRQGNANNHPHDGVCPGNKQKY NVSAPKGPFQRPIIQPRNRPQRNCANSPGSFVARKNLNFNGYVDRSGNSTGPRNLGPK SFVNFSCSSTSKSQPNLKGRTDYSVSSTSDGKFGPQSSENRPNYSSSLGIVGHCSICA KCNRETCPKFSYKCHRKCQK cubi_02133 MSTKNIGKGLTFEDILLIPNYSEVLPREVSLETKLTKNVSLKIP LISSAMDTVTEHLMAIEMARLGGIGFIHKNMDIESQVNEVLKVKNWMTNLEKSEIEKM KENQESNKENLMKENLNSNVNRDAYINANLDDKGRLKVGAAIGVNEIERAKLLVRAGV DVILLDSAHGHSLNIIKTLREIKSKMNIDVIVGNVVTEEATKELIENGADGVKVGIGP GSICTTRIVAGVGLPQITAIEKCSSVGSQFGVPIIADGGIKYSGDIGKALAVGASSVM IGSILAGTDESPGEKKLLGDTVYKYYRGMGSIGAMKSGSGDRYFQEKRPENKMVPEGV EGMVKYKGQVEGVVYQLVGGLRSCMGYLGSISIEELWKKSSYVEITASGLRESYVHDV EIVKEVMNYSK cubi_02134 MGIFAFFLAFATPFLLNFEFNNFEYKVNLSFLSLRESSTIKSEE NDYYAKYNITEGIPRLFPNIHPLELNPIEEATNSHLFKYSNTSFALDCYQKLPQYSII IGLIKEYSYFLSAYYRLRCENRNEYHDGTKCGDIPYLIDLLDNKAEILNEKKIQKKNR CFTIESNIVSVQSRYYDHDGFIGRIKPILESEFILVEKSFLRRLISEFEQTLINKVIS YELYCISKLEYKGKGTIDCYRLRLESWILEQELVLISEEYFKRMSRFERLKDIV cubi_02135 MRFLSNLSFISLFFVILALLSLNSFTGSSLALGNYQEFSFIQSG SGLCSRLRRRLCCCSCGSSSSNSSDDDEEEEEEEGGRGANISPPFNFVHLESGTGLIR GRDGAIITGSNSQNGNGQGEGSSGSSGSSGSSGPSGPSGPSGPSGPPGRNNDGFEGEE EGESTWL cubi_02136 MIPTRKGRSPCLWEVVGPAAHGLTCARTVHMNTRVARDSVIKKG SLIAKLEYNGKNNKLVKESIHSPCTGVVQTVNLNSVEKLKLVVVCQVAVEEVDGVFSF TQGIFTYSFDTNSMMGKTKVLVKGIHQSSVMLHTLVKVKVHVGVFIFDSESQKTKREK TYTSCTGLLKELKTNIVAPPEDIIVTQNCKLPFWFLVYQRRKPHAAQDIVPGYTPLYP SGIIYSQSPIQLKGMKEPSYGIPPSLGTSFPGSLVNGPFLISLKQTKHLFIAETRVNE PGVTKFSKKSGTVVKADFGHFESQDSNTGLTKKFLIPLPCIGFLMSAFEGSVSSDYVI TQIVCNLKDLEIKITGELTTDWVTPKEDDLNCIHLTSGDGFSEIKVSLGATGTPNLVE RFLKSNSMSFAGELIGVFSCNVGQSGKKEVKLFSPCTGPANSAQNSKTQLKQVSSGEP IFTVGCTMPLNPQEKSEKKVLDQTFLKPLPEALKNCISYNVRGKKLSIVSNSPLTFLE LTSKVRSEMKVVSGLIIGKFTCIVQGKMHTADLKSDISGSIILDVQDKTQVAEDVTML VIKSNPEFQVVKSPVTLFGQLGPVLTTKSSPELPISLQMWERAYQSWKNNDYKPLVPH ATKSLMGDPNWRLEDNILQSPHLLQNPTPPSPTHVFIEKSYSSTYGGIFVQEEKVGKT FVRRVGVTRTSVVYLSETEECTKNLVIGTLFIYFYGSTTQVPVRCPCTGKISSLLKHK VSTSAGEQIQAGGTFMEVYCNKRSVTWLENPNIFVENGKSKGSDKKITEVRGVGTPLY PLEGGDEISIFTRTVGIARFTSIDQKSVKEGDVVGIFLFSLGESYSGVADIISPCSGK KTYPSTSIETMNISERGLGGMKVFSVMCDQISRTVSPSYDEQKLYYDSVYSVFESENS LNFYNAFARVSAIVTYSTIILTSFSPLRMFAGDEVASLSLRNGDDFSVMIKTPCTGTI KDVEDLKTRSGHSFSAGDPIFKIQCDSLRTPITGDLPVAPPINSSDKSLVQQLEGNGY IFIVVTSPCKVTFKNEGLIGTVGEKEVVGRVTCTNQPGGQGTDIIVKNAGMNLFFLSM AAHNQSVLPGTTIMMIKKDTGRQEVDRIPQGSHTDVLVARIGDITGFGYVWSTVTGVA VFDEQKIELVETGQKLGVFTTQNGKTSMDITSPCPGAVHDPSVRLVNNTVSLPGDEIL RVFCGLPSVPTSALELTPPTAQQLSEKNRDPIITKNMLGIYTVSWPTFRGIVQYSPTW VVYTQIFLQAGDAYGTFIYTDEDNNSKSSSLIASCNGKLDMKIVSEVNGKMVNPGEKV ISKLHCTRIHYVNSSIDIFDDVIQGSSSSSESSSSSSSPSSPSSPSSPSSPPPPPSPP PPPPLPSEPQSKSPSRRNPKVVNPPMLPQLEVCLGVIRFLKQSNH cubi_03292 MFNWLYIFLLLLIGLVNNHEKTDVLFKNKVNPDIHPLSYLQLPG FKSHPKLTINSEITHWVLSHLQLNQLETLISELEKEIKKLTQEFEEYKKNSRSIRANY DSHSCRNDINTKKQKGNRCNKCEQRANAIKRLRLKMKELASKIIEYEFKLDKCKHRKA ILKGEKVNKDVIYRPFLTPYKNKSQEDTADLQRRRKDKIHEREMRRNQRLQQALESGI SINKHELHIESQKQRTIDVEDLVMIYFQPKSLDYFTDKLLQSISELLKIMIDFNTKQC LDDVFLIKIEKIQKSLKSQYYTKSQKLDKLNKERDGIIETGNGDPFLDTRIKLLKNTL SILNKSLLFTESIQMICLYDKGSNGFIAVSLPSICESLSFNMLKNELDEFKLFDNLSI KRILDYFHNNNNGAFKKYIIHIQIMDVLKFVYRKCIELTWTVNSEDLKVSFDENLFPT ISRLPSEYYKNLANTTFIEQIKWIVGVNQFNIAKNLNTNMFLMFFSKILQLMRSYKYI MSRMKQVTKSQLRNQYKVKCTQLLFQLNQLLSVLVVNMIKLKQIKVDNKYKINIKSTS ENSLKELIDKDLKLVDGKTCHQSVLSLLYYRHLQLQLIMVNSNMLSYIPNLLNKLIHA INTCKSKLSRDEILSDHAIQHFDVKNSNLKDLTLSDLTMGLISSFYQDDLSILSNSVD KISTFLEKLLEKIMNTSAITALETERKSGVNIQQKSLLSDNNMVKLEKYLRFKYFK cubi_03293 MMKDAQSGSSSMNSDINFFKSLNIVYHGIVKYCHRFGILNLYKS LENKQEPRFETVEWSSYPQLMDFIFPQFPLEDRMMTDYQLFGFDFLLKSGSYSYFFDI STGKMTLLDDFGGGSEPGEEGKSKLISDQPLITEEVPIQIENEEDDDFLLTVEIPGTT PEQEIVDNLDLYSLLEHDFSSNVIAFSEELTSLLLPKHVEAAIQYLQGCNIKMLFIIN SRIETVKELLNRLNLLSTGINGIEGDLLMKDAISNVLSNLLKKLQSYLEKCRNINKYK VPWIHIAGLKGEKATILQSLHPSFVDSSIIPFYCDNVDLKDVLEFIKNDKLKIKSLKE GLNKMKNHNKNKSRLKKELLILKASTRRNTLLFEICDDFNNLKYSDTGLYYKVLSVLS DFESNMKVRESNIKEFYSKMGLPFKSESAIDQLKNTEMDDEEELNTLYGIYLSLANGA NPLTMEEIESLANANKFSKIDYLKEIIQSLERKEEENDVNKKTQESDEENIDLVNILT SDEEILSSYSLYSSIAQNPLNLIEIVEISDYDKTKVLSLLAKLNQDLLGENQSNISEK PGGGDGPGGAGEEEGAVGGVGIENEHLLKDEEILNKYLLYRSLVLDKNTPLELYDLIA IPGNDKKENLMQTLDEAIAMMNKDDKGSEKSLLPKKLTEPSPLPPQEESATGRPKKPS YPLASSILSPSGTTGGADGRRDPGARPKQLLPKPTLKTNVVTQQELRLDENLLNDLEV YTKYLDYSAVAQNPLNLEDLVLASNNNKIELIKMLKEAISQANIPPMFPTSPLPPAPV PPTPLPPTPVPAPLPPAPVPKPLPPAPSQPMSPSVGPKIQLKFTNGKLDQNSVDQSKL SLSTIQKFSKLVQVGCTIESLNELQFLLNVSRADLAHLKALEKFVKGPAARCSTNRSS CNNCFVCNSRKSIDKDITNMEKVIAALQALVGYCIQNMKK cubi_03766 MVNQEVQELLKFQKVQMERKLQKHQQQPSPLQPQPPPLQPQPSP LQPQPSSLQPQPPPSSFPPPPSPSSPPSIQPEPEPLPLQPQPSPSPSPPSLQPKSQTS PLQPQTSPSQPSPPPSPPPPPLPPSLSPQSPLSPLPSQPSPLHSQSPIPPSPSVSLPS FSPYQSPNPSTPSSPPLPPPPPPPPSSPLPPPPSSPLPPPPPSSPLPPPPSSSPLPPP PSSSPLPPPPPSPLPPPPSSPLPPPPSPKPPLPPASKIPPPVPPKTYKYAKLITSEIS GLTDNQSNDLSDDQTEDEQNHLKTTQKQTNRLNTTQIQNLMKLQNQIIMKSFLRENGL EDLYLLNCNLNTLDRIYYLLEKVKKIILQVQVRETKMYKTNLNSFITSHSIMKKNIET TNYQTLVNSLNVLKDYLKQILDDCKSLHNIQPSTEIHTEHYSGKIDECENEKYIPLID LYSFLFKNYKKLIANSTFGARMLNHFVTILKDFTEFNNCFVQEKIEYWKSLLQEYKKN IKYEIKMDNKTKCSGYSHHGVMCSINECIKTSEDRLKSEKIIVYKFMVKLLRELISKC EQVKNDPNFDDNLKGQLFNENCIYKYNIRYNIQSKFLNNSNQNQVLERIQNLIQSFGG IVFIHDSRDCNPNNIVKILEFIARLKVIKSLGDNNTNLYSSTITNQEEIKALNIKSIN FLIKLMLDMISFCWTYGIYKKNDYIQRSDTKLIDHWVNFKPY cubi_02137 MQTIFGNQLVKGIFLINFIKYLLYLYVDFRQKKCYEIKEVPKYI LEAYKDCGELSNKEFKKSQSYSNSKMVFGIINRAVTFFINWVFVFYVIYPLMWELIYT KITSNEYFSSLLFCAAVILLDYPISLAFHLYYTFVLEEKYGFNNSTLKIYIMDQIKSG ILITVFGVVLIPLLIYIANNTGKYFYVYIALVQFAFIFIISIIYPIIIVPIFNKLTPV EDKELAEKIGVLCKDVKFPLKNLYQMDASLRSNHGNAFFSGAFKSKSIILYDTILDFP KDEIVAIIGHEIGHWKNWDNYKLLFFSFIQTFATLFIFHLTFSWDELYLSFGFSLDPK LGGRNLILSLLVFSYVLSPFSSIFEILHSIMSQHAEYKADEFSFNLGFGDFLANSLFR LSKKSSSCMIFDPIYSFIHLSHPSVCDRIVNLKKLKDRKN cubi_02138 MAKRVSYFYDGDIGSYYYGPGHPMKPQRIRMAHNLILSYDLYKH MEIYKPHKSPHSELIYFHEEDYVNFLSSINPDNSKDFGLQLKRFNLGETTDCPVFDGL FEFQQICAGGSIDGAYKLNNEQSDICINWSGGLHHAKRSEASGFCYINDIVLGILELL KYHARVMYIDIDVHHGDGVEEAFYLSHRVLTVSFHKFGEFFPGTGDITDIGVAQGKYY SVNVPLNDGIDDHSFLSLFKPIISKCVEVYRPGAIVLQCGADSVRGDRLGRFNLSIKG HAECVEFCKKFNIPLLILGGGGYTIRNVARTWTYETATILDRTDLISDDIPLNDYYDY FGPDFKLHIPPLNLQNMNSQEHLEKIKAKVIDNLKYLEHAPGVEFAYVPSDFFDREAS NLQKQDEEEREEELSSWQGGGRAAGSVDNQCSYNEKPKSSKKLQKEHPSEFY cubi_02139 MLRKAPNKHSESNEESKKTSVSSKGSEEFFRSPSVVGQEIKKEE EEEEEEEDPRNIWLRLFLPDWSPLGGFFVIIVAVGVSITYLKKIGDENPFTYPLFILF LDLFTYIVIMCSRHIIALYTLSRFGEGRFFAALSGSLDPELVYCIWSTLCLVLTMGEV NTIIDTSLGIEPVHTYTLKWFDNVLPSLKIPKVPWDLIQCSHIAILIMTVRRLLLAIV TFYFRLDFIMSINPQVSQFLRQYSLLRRIDTKLYLIKPYIQKKDFLLYSTGMELPNKS NFPQIYDPKSPNRVTTNNIPNVPAMLLDNNIDHTAKSSSENWLALQFIKQYNVSIYID DDKYEIRTKQQARDFAKIIFYDILQHLHAIMLYHYIGGTDHVKPHKEPQLRSDTYSII GDDTFCHALIDFEERHDVKGTDFKNEDYSSNKGAFGAFGSRRGSKYKNYNIQPKNVEE QHNYDNHSSVNNNFSNERSQTQGDNKNRNQSLPTTLPNSVLDILYDKPLGDLIKQIDT ARRGQITEEEWVRFCVGIYDSRKKILRAASSQEGIVQVFRRMISIFSWFFTGIVILLM VGINVNTLVISGAAIISSLSVGLSYIYSNFFSAVIFVIFLNPYNVGDRIRVNNGGAMI VKKIETFYTEFHTVFEAPVLIPHSWLSSQMIYNESRSKCCSSEIQFLISDTTSPFSIE ALASAVQEYISVRPSEFVASNFWCGINAIQPGHSATVYMWITNTDPFHNRRKLLISKS KLLLFILHTLRQLGIQYTLPVSRVRIENDFNNHLSNSN cubi_02140 MSKFLSIIELSKYVPNGDILGSQELKLRELEITGIEELTQAKNL RKIDVSKNNLESISFLKYCWNLTDINLSNNNLTSVDDICKLENVRILNISNNGIKSIE SLCKSPGLQKSLKVLIANHNKIRHIPDLSCFKELETVILSHNEANEIEVPKNHCQKLK KMSLSNNCLKQFPFSLNFNMIQELRLNNNKILSLSPDIAYMGNIKILELGHNYITEIE PLLSLTKLKSLNISKNPCITTDGTCDKSNSLNILNLIKEKLTSLDSLNGSFIAKPSKQ NRTNNKKSNKNKYRKNPNKSKSNSLNKTISKKV cubi_02141 MKQVSFVLLLCLFFYQLDKLHAEVIYAVNCGGPRHFSESENILY EEDNGYNGGISTDAGKQLSPFPYVEDDFVYQSERYSTEKTLQYMIKLDKLTPGKFTIV LKFSEVHFKEAGMKVFSIAVGNVLFKQHFDIYKEVGFGVPMEEYIECMFDGENISVHG MNVTQGYSKEEKLLILAMFKQEDNPKINAIVVYKGGQNEIPKIQRPKAKISIESILQK INKDGQTPDASNNHIYLIDEPLFTVKELNISDSLYNLISTIPGIIIFLIISVATLRIG VILSSHLYD cubi_02142 MIGVKSLISAAFLGFSCLSKVVLGGDEAHFISEHITSLTSSNFE DFVKSKSHVLITFFAPWCGHCTALEPEFKATCAEISKLSPPVFCGSVDATENMELAQQ HGVSGYPTIKFFTGIDNVQNYSGARSKDAFIKYIKKLTGPAVQIAESEDAIKTIFASS SSAFVGRFTSKDSAEYAVFEKVANGHREHNYAFIAFFQAGDQKLEVLHKDEDPVSLPM PKTVEELEAKISIMNVPLFSAISAENYSLYMSREGYTAWFCGTNEDFAKYAANIRKVA ADYREKYAFVFLDTEQFGSHATQHLLIENFPGLVIQSVNVPSIRYMYGPAKFDSVEPL KEFMKQVSEGKHELSIKSEPIPAEQSGPVTVVVGKTFEEIVFRSDKDVLLEIYAQWCG HCKNLEPIYNQLGEEYKDNDKVVIAKINGPQNDIPYEGFSPRAFPTILFVKAGTRTPI PYDGKRTVEAFKEFIKEHSSFPQEKESRDEL cubi_02143 MEQTSIFPPEVTGEQVMTIVCLFGICAPSSALLESFFGHRTIFN GKIRLPLSVVLFGYGILMDYVLRTFNFGIFSMAIKHAESMHPNIIFSILLPICLYESS SQLNYHIFKRNLISSVLLALPGVVVSMILTTFFMYYFVGGIFDWTCSLLISSILSATD PVAVIASLHQLNAPDKLASLVDGESLLNDGAAVVFFQLCKNILLNRIMEPSLILTSGW IFIRCALGGPILGFLFGWGVYLFLKVAQPTNDVQALIAISIVYTLFFLSELIHSSGVL AVVSYGVFMSSRKAALFKPKAQEIHNTIIHFIGKLGNHMIFLLAGIVSARLFRPYIEN TNMLLNLFLLFTALCVIRGIMVFVLSPLLTRIGYGLTIKEAIILIWGGLRGGVSLALA MSLESEDYIDSELKGQIAFYVAGTVLLTLLINGTTVEFIYKKLQLYTTPKFHRMFFKK VMKSINEEYRLVVNDQIRKHWFFNAHPGLLELCDTFIPNVIDAKMTETGEIILSSQLS QHTFIKNIKSVSIQLVMQDPTSPIDYDSNKYLNQRTLSCLTKDDEFEDRFIKELDINN NQFESNSYKTSSNNFLGAKTEDNQTILLINSIPISNYTNNDSSSISTLKRESLISDTS KSKIGRHVYIGQMVLSTVWQSYDLLFKNHVISGAALVILKKAISKVFYSCEELNLPIH FAFSSEWDSIRNQLWICKENLLTDGKALTLSSELLNEYNQKNISSTLCLPSFINYYRN KAKSKNLFLDIEVLFSFITARVDLLEHDFHELQSYLGSSSIQLFQNQIIEAQQYLDLL LCYSQEQYNVAISHISVNMLFNALRDSMKVLVKSRLLLEEDEEKLLALCEDRRFIFSF SLSREVINEYTPEN cubi_02144 MTVLSAVAEAVMSHEAGGVPILVGFVSTCLLGSALLEWILSVVF VRQPPTTVALFCYGMFLSYICESFSLGTLAEGVRIVERTDPNVIFYILLPIFLYESSA TLNFHVFSRNLPSALLLAGPGAILTMVLLAFAVKFITSMNFVLCFLVSSVLSATDPVA VIASLHQLNAPEKLASVVDGESLLNDGAAVVFFEVFREVIISGMSSYSHYVWTFIRLA IGGPAVGVIFGFLISVWLRAAQALGGVQVIGVTASVYFVFFIADELRTSGVLATVVFG LFMSAWGPTCFRPKAQESHFHFVKIFAHLANHLIFVLSGVVSMRIFRPYWSDGRMWLN LILVYIAMNIVRGIMVATLLPLLNKVGSDLSFKEAVILIYGGLRGGVSMALAMVFEGD SSVTDSIRSQVAFYVAGAVSLSLLVNGTTVETLYKKLKIYTVQNFRRAFLLKVMEGID DEYMKAFEELKCHWLFGNHTNALDAANLLVPRLKDGYLDFYGDLHILLTPPPHVFARL HVKGIESQVEDYSKYRSMAVDDVEHGDDEFNHLNSMGGSIGRERSRSALVGVDGLDID ERCWNIIATSVKMAKSQPSVDSSARGSLLPSLEEHNNALGEPDENASIISFKNKRKLE SSTIQEIENSGSGSGECSALLGGSSTIIKEERSLSESAPLVKSEQEDEITQNKRHTYD DATQVNAVPEKILGYTETDENKGRSPTFAPLLMATGSLHTFAEQELLVCNMVFNTCWQ SYDLMFRKHVISGTSLLTLKKAINKANHASPEEKTSPFTVEWESIRSDLRSTKRPSFI FNMWQSDFEKILHDMEVLFAFINANKDLMKAGGFEVECLLGASLLDSYKRRMIQAKQE LQRLRDQFTNYYVLGLAIIATNMLLNVKEQIVSVEVSRGVLLEEDEEKITDILEQQRL ALDAFISQGAETQSI cubi_02145 MPIQSNEVEQDAQQCNVYKELEEFEKKLAAARKRTRDADYLDRK LVISDSTEFERHFDNLSEAIARLLPYHTFYVDEIKSNRVLEDSNKILERKRRIKNIED SIMSISTNSPSFIFNACRYGFVRFIHSSMLMELERNKKELAQLQALELTWRYPMENSP NFPNEKNIMNSSSILPGSTLSNSNHLGASHFDHSNELLYRNVMPKNRFNNSVPNMVGN YSMNKHYLDTSDILGYEKEKDILPNNIPSNNHISHNSNLESIHLPKMNSSANKSMELY SLPSDMLKSERFSNINNN cubi_02146 MPGISCILYSGIYEVLVEYLDCEDVYELALLSVEWGHYLGGSFI RYWQKASTDKFGFRFDLVKVLVDGVAKNNDEFEDFGNSRSIKSWFGYFEFLNTSELGM FLFNQKKINEVLQVLLYKLKFELNSANSCFSIQNSSNLESVFAFNKFIENNTSRSNNH VSEASPFTCEFWKEHKLKDVFINGKDEIFFGLTSFSNIELDFGGLGKGYIWDMEVNSI TSQNIVLKSEFQIEDIPYWDIGKVIMEYIAVWGLFNENEHVLLYHHKNPFHKIDNGIQ LSNNCHALLLSNGNKISDLNGINYFLSSLSSFAGIEMSINEALSKITIYITLQVVFIL ENLKNHFSSNSKNLLNSNKPIKSIQDSDKCNINVDFKENINTNNNIIEGIQQDNMYLG VNNDVLAEKYKTKEYSNYDFLDFEYEYDNLLTQKSLLLLEDGDHTLDENHIIPSSSLT CRDKLDSNLLRNEHSQRHKPSLLSFRPKLKLIPFKLMNNRYTKSYYNIELQLMDTRAS IPLYLYGDLEPAGGSTNKNFAELRVYFGRNYPNSSYIKTNCLYQAGISVIDKATGEIV FSLVFPKSKSYYDRRTISKSRRLLKLCSYSRVYSTQVPVIDPPSQLTNMPESVFSKLE ILIHPHKSSLGKVSELSVSLNLQECLALFPVKKFKKFLSLQGFQSHDQYKRRINSPIK NIQKY cubi_02147 MTDRSFGLTIPYEYLIDIRSKTLPFVEMLTSPITLILNGVVAPI IKERMIYWNSSEHTDYDMLASQISTIQNVLIENIDILLERGEKIDLLVSQAKNLAIES NSFRRQSHRLQRSTDCFPAKRIVVLFLFGIFVLNVYIILAFNCGGLFLTNCLYKSSNN YISEPFIRNYEDEKPNIQVLKQVDEFINELNTNEDQLKKNIP cubi_02148 MNNFAISIIGTIEKSRGLQTPEMLEQLKLRWISKLNDLCLGVTK DEKSDHTQEWVPTELEIIAPKLDGVLPVKIQLNSEKSNNDRVNNGNDIDSSCKYKGNG SIITESDIKNKRANEGSLENIPTPILSSKEMRNVNELNTEYDFCKIASKKPKLENPGN SNNDDEKEKSFFLENHDTIEKKEEIIENSNNEKSQNGNGDDNEFEDDEFGDASWDVVA PGESETSKVDQTDHFQKQNKYETSTSDSYNGTVTGKNGGNDGHFDDDLSSEQGSDLDN ISDLDDEEPECSDVIIGHFEKVIRPYTRKKNQKGKWRVKLRNGIAQIANQEIPFDTLI GEFEF cubi_02149 MKMDQHKFSNSFETVNYNTEEPNNSISFSQSCNTIAEEQLAVLD SNLSKYSDVFKIQSKSLSQAEGEHNEDILHKMTNDEKNKKFIEIKLWEETRVEKYQNF VNAIDPIFSELSNSISQAMQINKDLASILQQKSKFWNIYSKNLELISQYSVKNGHSNS HFHNSNHTCSFCSSSAKSCSCSYPFVTFGLSNNFPLQLKPRESKNQHIQNQVNEEDLH DDTVKHEFKESNKNNSEMNFSVSDNNNTNNSLNINGISNKNTKYENSVSNNLLSSISS QQIWPILLLNYGRYESTFLKKLSQSVETDVVNGHLSWISKRYLNNAQGYLKTLRIARK EFEAFINNAQQSWNKYDDAFKKSQRLNLDVNYSVKTSKPCDTWYFDQIYRHNINKFIQ AQTKFFDTLLVTIDNLMELESWRATSVKLTFNYFLVKQNEFFEFLQKLGNSIIDLINN QSKQNSLFQDNYANFDIITSIPGLRPPPIPITNELNFSKISQSLSNCPILQEISTLMN YNNLPKSSLIIYHGHVEIFKRKIFLGQWQSAYLILTKDRFLYLLNPKDDIEMEELLKH DEKPIWTYFIGSPDISIEHNEKRGKRCLSIKFKKQKFLTKKITIRCSNEDECNKWMNH LNSVIPPY cubi_02150 MISLRKINTIIMMAMLPLSCYAGDHHHHHQQQAVSTVSVVNVPT MVCPSGYTLDSNRQCVAREEVMPERVCLNGGELTSDFNCLKTTSPIMKCPVEYTLVGE NMCQKNIEIDPVAVCPSGFTLTDGQMCTGSKTVAPIKKCMQGVLNEMQTECILQKSVS PISHCPSSDYTLVSNERCVREVLYDCTPAATAIQYTTSHHGHGHGHGHHHHQTSYVVP QAVVSRTCSRTESAPAQMMCPEGAVKSLTGYGCLYTSTTEPTLGCANGSMVANGECVE VVTVPAELECPNPTPKPTNEFYDGKYYSLRNSSQQSVKNLGYGSQYVYEQGSQNFNQP AGIITRRLGKRGVSHHHHHAYYPIQTYVQQTYVQQPIPVIQEIPRKKCFETQTVPGEL GCPIGYVDGGEKGLCKSIVPSSMNCPAGTTLNSNGACIRNIVVQPTMASQQQEIIQTT ITGGRHHHH cubi_02151 MFQFELIIVLLIALNSINTSQCNSSSHLRKLSIQVGGTSYTDGG SIPSFRPISNSNIYSAQKLPSSFGKSKKNQQSTDNLSNLIENNGNIIQKSKDSFQDVP FSITHTLKLILANKIIQSNPILYPFEIEYSDISSCQCRIDFEKIKKKYMEKNNSKDST NKDDLYEFIYYSDFNVPDLPPNCSWSDSTREILDKLFGNNLVNETNNNININNTENYA FNNNSIFSNDSNSNNNLENLNLNPQLNMHALKEFHVDNYNESENKKNEYEESIRNDDK SESENLINITNSLNETQLSNYTKEIVDDGVLLENAPPTLSSVVITSLNGLLQCIYRIP VDFTPWRKSNPKYDLEKLSNNSDYWFNYTALNSDIWKEVDFSGNHSRIRDDENINLKN KTKFSIEGFWDLISLIPKKVLSNLNIKDENTYNLCKNERDDLELMLIDELIKNLNISR EEYMLPTFSLLNIQPYNLTYCSRPTGAELDIIGICPSNGLNSVYDYETKSCISITYTD SVAFCPLNYVHSKLWGSHRGGGGCHVKQRKYLPSIPKCDPPFVYNKPRETCVIETFAP GFPGCVEGSVYYDLQTCIMAYQVMKEYECPEGYTADLEVDFFKKDISDVDYRKEILNE ASENFKKYYHPNIQEDPILTRKVNDSESGIQSKSITRKFQNFKKKVKCFKKEIDEVKY NGIGAPYCQNSTFSLRYDYNNSWMFEKGPRPYCKYQDIIMVDYKCPKGTISYDSYISN GNDPPIVYRQEFVNPFDTCIQIMKVPIQPKCTTKEEIPFISLREPLNYFEKTGIHIVT KEDIENIDKESLYNPSEVIDNSLPNPDRLFPDSVEEMELENIDSDILNETELNIEKNE TITPSFGNTKKDLITEFIALNQFSKIEPSITSNDSKSENGENVIGRKLIEDFEKINFI DENHHKNKKLQIEPISLYNAIIGKTDYVIKIICLKVVTAKPYLSCPENTVLVPTNMCK MKGYTDFTIECPKGYKLDEEALLLLPPEHFKKAPPRCVSKQSVFTHYYCPPKFPENVF RPFEYNITKLFSLIIEKMENKTQPENNQTGEIYPNNSTLLNNLYGTSINNGNDTTING ETVKFGIKNEDLNINDTIGDGSDANFDHFNTSNFKIENIERYNITNTRIFSMKSFGRT VVPSYSQKMCHEVELIKPLWTMPLLLRIFLNNINLDNSFEKKNTK cubi_02152 MESSKFSFSIKKSSGKNVSMAKSNSLFMGYEENDRNFGIKTESN NKGVYGKNSIPGKRTQITSFSNAKSGIEQQNGDEDEELIIECKNSLKSSKPINNIEIL LNKKKSLKNRNEDNLDSSINKDSFRIPVDKFGIAMLRGMGYNPEIHTTKPKIFKKRSY NQSGLGADKEIESISKK cubi_02153 MFLLGLGSKKIQDKLEEGQKLKENVIRSCSKCGNFFEVVYDSEN HLEPSEIVTTVESTESVHDMFLDYLSLEERNPDNFEDFILQFMEVSIEKDNRTLSNKT NVEESLCIDCMNYLISQFSMALNKEINIMDKYRDISNIILSSENYDDNIDFRNKGSEQ EVTNFSESFDMSSKEHSDILDIYNEFLKMQRYFQYKSIENNDNDNKEIKKEGKEGNGE CKFQVFNTIEMEDIVELKENQNLELNLKQELNQYETKKEGMENHLAFLRGYLERLKRT DFLNLSFYIQVKDGISSINGLRPALFEADFENWNELNAALGASALLLHTILERHKLPL SINPSGSYSTIKDSTCSIWPLHGNTLCNSDYNECTNFDKGISLFVFLIDSVYNAIPGT EESLPYPVDQINGTIGGIRPNLLFNERESWNRAMSMNLINLKWLLVKSCESIQKKLNS PS cubi_02154 MSNNVTFNSFPPALERTFLHFLRTFKTEEGVPKYVRQVEQLLSS RLTKTVYIDVNDILNFSIEGDKSRNDSNLEERNNNGFISGPTMLLALTEQYGEYKQSI DNLVTSFCKSFTIGDERGGGRLDPGVGGYTASFYGLRWIESLRTLRCEKLGKLSSLRG TITRTSDVRPELLKACFECEICGCIVDNVVQQFVYSLPSICPTKGCGNRTAWQLRLEN SDFGDWQKLRIQEHATEIPPGSMPRSMNVILRGDFVDKCKPGDKVILTGMLIVAPDVP SLMKPGEIPSSVYKDKSRGQNESYNSGVSGLKSLGVRDLAYRLCFLACHIEVVNAIAS SDDGRIIEQINHQHQNQSIENNNELNDGEMRLNEDLETNTNEIIDHLKVLNVQETSLR KFLEISQHPNGINMLATYVAPHVYGYSQLKKGILLLLVGGVEKRTKDNIKLRGDINVC IVGDPSTAKSQILRFVNEFSTRTVYTSGKSSTAAGLTASIHRDPDQGDFVIEAGALML ADKGICCIDEFDKMDEKDVVAIHEAMEQQTISITKAGVLATLNARASVLAACSPVGGR YNPSKTLSQNVKISAPILSRFDLFFVMIDDPEDVYDEVLASFIVGLHSKATEKTGKET IHDNQSDYNSENTFNQKQFADHLNFSDSNNLQLTKSELNQYIAYAKTFKPCITPAAKT ILVRTYKALRMGDATSGAKAMRITVRQLESLIRLSEAVAKLRFSYLVTPEHVEEACQI FKSSLSKIRYNDIDLGEMDDYDEEEENDLEDIKQTEKDGKIRKTKIGKNEHDENSETE DIEMIQESKNEKSSSKNKKKANVTIGYNEYFQIARRFVDKLQNCENSEISITDLIAWH ISEYDHPESEEELGELEEKYHRAIQRMIHRDMILYISFQEEISSQRNDQDNTQEEENE AILMAYVKVHPNYNVNDTITLPQPSSGNKPLTRHADFGYRTFQNTEEANELSRDSQKV EDDILNLDGNLEPEDFLPEIKDSQLKEYQIDRRLEENDDDDDDDDDEEDEEVDDGASN RI cubi_02155 MEKLKFIDIGSNLTDLMFQGIYNGKKQHESDLEIAIKGGLDKIL ITAGSYQETVDALEICERLDPKCELLFTTIGVHPTRTKEFLCRECKKKKDEELEMDCK DCLIYSDNYLKKMKDLVKMNLCRIKAIGEFGLDSDRLHFSSMKIQEKYFEKQFELLEE FNLPMFVHIRGDQDCYSKFVRIINKKKNLWIKRGGVAHSFTGNLDQLKMILDTNLEIG VNGCSLKTEDNLNVVKYIPINKLHIETGNVLNFDNCYILPII cubi_02156 MENAKNKALINESESKIRIVDIPVNYNEGGIRPILGCISYTILI LTWGFSIFIPVGLVYWLFIGNWNMVLFLLTIIFIPITFNWHDNVPDVIKYLSNLLTRD VHHWTGPGKIIIEDPDFEINSKQLLCLHPHGILGIGSMYIIISGIFSNLHLIVTESLV WLQPIISVILNSTVKLRGASHISFKQTMKRQEGPLIMFPGGFHETILLNWGKDSIFIE KRFGFIKYCLKYNYSIRPVYVFGECLSFHQFQYFKKIRWLLNDFSLPSVIFCGETWWN PLLPVRGVPYLIVIGKPINSDIINNSSNFTTNNNNPSREIVKKYHSIYVQNLKRIYNH YSPFYQSFFKDNPLLERYIKVKSKELNIE cubi_02157 MFEYEKNCTLLVPAIVGSNAPTSSELQKRLEDPSDHEKCKALRE LIIWMTHGESYNRLLMTVIRYVVQSTNHKVKKYLQLYWEIVEKCNSDGSLKEEMILVC NALRNDLQHPNEYIRGSTLRLLCNLRFIKLIQPLIESILENLQHRHSYVRRNAVMCIY SIIKTFGIDIIPNAADEVEKLLLIEGDISTKRNAFLVLTYCDVERSLRYILSIQENVT YMGDVIQMVLLELLGKNYKDHPNYRNNLVQIIINITQSGSSAVSFEGANTLIKIGNST PNSTIKIALQAYINLLLTHSDNNVRYIVLNKISKITCITSALYILQKCFVKDILRVLL CSNCYSLQIKVIQIMLTSLLTKNNCLDIFQFLLKHLQDISIQSHNKFNSNINNKNNNN NNINNNNNNDSTNSINNDNNNINNNIIHHHMVGFNDNGYGHDFNHTYNFTSDIDFNFY NDVSFSIGGTGEVFGGLRKMNYGGGKISDFQQFQNYQLILIKALHEITRKYHKITLKP MINAMMTFLSNSNPIIVNEITQFLREMVINYSDYQNLIVSKLILHLPFIQFSKPLRTC LWILSECEYENDQKKIIEIINVIYNSLKPLPLSKNNPGNTNRRNIQTLIENPNNDDIE FDHNDFSDGNYNKVIDDDDNNNNNNNNNNNNNLITGVGNTTYSSNVITKTVILEDGTY ATQDVEYNTNGFSHDHSNINNLISSSSNHEITNNLRNIIIRDEDLLLIASIGVSLVKL ICFSSIIKSNNEENNILNLGITVYNKVFHIIVCFLRYCINDQKSGGIQNDTTHRLSHC YLLLKSIYGDLEQLKKNQGEIGEERENFKSQNYIRLSENTLLLRKKLFPKLQKIKKNE DEFVREMKLRDFCIENRHNSNNNIFKKYPYNLVNFRQLREKVFSNNMDITQINDDDDE FECLDSNMDNLKIRNGKLKVEKSTKMDQSASKRIYPITGLSDPIYIEAILQVQHQDVL LELIVTNQSYKAVQNVQIELYPYGNLRVIEKPQNINHLDPGNTIHVYSIAQVKSIETG ILFGFVTFQTKNSQNDGGITTGMSGGGGSSFSSSSSLSISSSQLLGLNDIVVLNEINI ELIDFITNSNIPPPLFRQLWSEFEWENKIPIHTSCDSFIQFLKYLIKETKLSLVGCNN IENINGEKIITNSSNNKNKMNNNNNNDNDNINNNNESTNNLTMMNEKSSFFAANLYAR SIFGEDALVNISLEKQLSSNNQKHGREKEAKMMISGTVRIRSRTQGIALSLGDHIVSL QRKIPNDEDIKNFNNTNNNDNDNYNDDENRKIYVAKKAGKMGGKEKFVIENENSYGRH SRLLNIDFGEIY cubi_02158 MENKCYEKDLIKEILLENLPINDRIQIRNKLKLEEFDLLKDEIW EKIKKDIKGNIIRTSIIGLFNIIQNLRLNYDKSDKVSQYCDNIQELVILDSNENEEWN KLLELLFKDKNENIGIITFKNINKIKFINNNIILNNFEMNYWLDWLFDNITLKGNPGI ELYFKDCRFYIKSKFSNLKIDNKRRRILDINNNNDLKETINMKENESFMYTLLIKIRD YQQKREKSDNKEDHNFINLNNYQYKNHIKEKLLSFNLVNIVIDEVQLKNILNLILNDI KLNLSELNISQLLIFKTNQLNKNQIYLSNILKYMNFNQISSNLVNLRILSFHGNHLID EEALFILNYFIKMILYNNQNINTNFTKYKIYFDFSNNFIQDNFLNNIEKLILELSNNN NNNNNIIELKINLTGNPINKVFILNKSFPDNIHIVFDYLLPLTFSNDLLNNLNNKKNS ISIESSSHEKNQVLNLNNSQLQTLLPPIISSQSINNEEYQVINDNSQISNESFFDKNI SLNNIDQSNDDSLYDSDEDEDYESESEESESESESESESESESESKSKSESESKSKSK SKFESKSESESKSQSRSDDHNQIIEKNGKEEIEEKKTKIKKKDKVLIVQEENVIESLI FETKLNHLNFKKNQEKWN cubi_02159 MKRILQIKIFIAFEKTRKTFCIFIILINWLLFGINKVNSQYVTE IYQTCSEQEGQNAKCGPGANCFIIGKQPTCHCAVDVATGISLSGNPYRGCTWDLSGNW QLYTGEESSRVQPILSPLTNEEFLFRFDRTDAVLATKYITGSIFTVNGVTQAANGLFS RLFLDANDNTAVLLENAEGFVDQHGRTITLRTRWADTTLSKANQSWLFDKHDLSGDWL RPDGVKVHIMYITKPINWPVKSTIYKWLRAYWFDDASLISPIRLCTTLFMDKTYKDEK NSMSTSARFVQLGFFGALRFGSNRIDIYSPSNGYQILSLRKVGINLPPYVPFSLGSVI IPESDSYKTNTNIKHAYYGQNQGGYYVDDYNYYGNPNDYNYYDNEQVIFNGMGLVENI TTNQMNITGTKFNTDRISNHTSKILKEPNNFQNYQNQSNYFKYDFENIDAENNYIRRN LLFN cubi_02160 MIEIADSNIKNSPELSASDIFGMECIFLTENDEINLKYSLDKIS KDDKLLEEYLNNQKNIFVNEKMLNIYQGGRILVGIILKKEFKLCDVIFDLSNSEKQSV LSNFTWEGQYQVLVTKENNYLEIYNEGKEQNSLMNLDDGSYINLIGIYNLNSLKSKVY IFQCSIIIKPQYWNDLLNFDILIKYHQNSILDCSIIKDENDEISDNCESDKNFKYGSP LGDLLIVNEISDSKIFSYSLSKPIFIKLPLTISNYYLQESSQILFIEILNIGESSDSI MIHDIFSHNLKLNGNSPLNLPFTLGNNQSLGCSLIRVNDKKVYKSNKNIDENNGDVSL IPIIIPIFIKWKSTKFNSKLILTQFALQINYDQKVNIIRSKEENYSNMIGMIKHDNSN EKNNMNISLSSSSSSAISSYLFSPSSPQASAQSICSPFTQEISFSSKSNLDENSSNYN NNFEVEKNNTNTTPSNDNDLEIEPSFNFKATCTFDRISKYPGETVYLLIEVFPNEKDK SLNLLVTVEYNEASPIIPLYVSMPINYFPESSSSSYSSNIYEHPILLYPLKICYPGIY KCPNITIYDFSTKSNYSIKKLPLLVCEYPTNSNS cubi_02161 MKLKYISSGILIITSLFGNSYQVQLASGTKFESPIESAKGVLSG VVESIKNGPIGGALMIPKDRLQGPYDDLSEDLNTATTDIKLEYESAKRRISKFRDELM GPVNEVLETKTASKTQLMSRLEYVGKLLRAAYDQAHPDKAGLPIVLVQKTSADEMLYP PEGSELSDCAASVLEDADEEIELLSSPDVTNQNDILDQMDDQERYAYSSKMAEFFDQK ATALKEELSNSLNVWVNNQLIPKNT cubi_02162 MWYFGIFTALLSSILGGLGDNLIRLSFILEEELCPKKKRPIVLR PIWLLGVFFSCILNAILIIISLNFASAMIVTPFSGLHIFWSIIFSKYMLNEEIKSRHY KGTGLVIAGLLIIILFGIKDVPVYSVEELSILYTKPKFILYCLSNISFILVCTYLSFF GLDGNEEIKYKNELGLSNTNNCGNIKNDNRNFFNNTEKDNNSNNVNRKSSQDIIILNK NTLHLKISNIKDYLTKNKYFKYDKSIPDMDNSDNNIIETLNIPSNHIVNETCMNSNSN IKYGFGREDNTTEDFKEGLYNKMVNYINIIEKRFEVINSIFFELTKKFPRIEISTAIK RFCICSVSGLVGGYTNVLVQNLIQIILIDGIYVLFHRLTYQLLFIIFITGSIQWAFWN TALSKFQAIFVVPIVNSVLIASSGFCNLMLYYDKQYISNSILGLFFQINFLFGQFLIV LGIYIISRANRNVTNENNELNNVNESANSFNEDDIFDFTETSQKPDPNNNKLSLYLQS KFSYFSEITHFFKVKKEKINSSLESLLGLSRNQNLSNNYSNPSLPNTKYLNNISQFHI DNTIILPQEEYNDNQLACIHVDNNQAAITNTGIEEEKCHIDMEDNYTSFNDSILNNQL IEGNRQEDMDFVSQQILVSANTEYSSSILNQLEDVTGNEYHKRCGIELESYVLDEYDI DDFEIPFSNQDYTSKGALMVQQTTQYQDYVNEQMNNHSILSFGDDILEENNTKNYYQG DLMNTGEIEYTEDFNNNNNYYNDVNSNNINNNSDFTYNLNNENHSVAHDSKFDCNPSL STCSTNSTMLNSIITNVL cubi_02163 MAVNPELFNLRETELIPSLSGDEYMIMSRKSVKFGLDDANEKFR GHGDVFVTNKRIILIKSKAATVGLSNFVSLCIPLKNIYDLEFKQPVLLASYLEGFVKP CNNSIYPLSSDSKWWISFHKGGCATFVRSFYKIYLRATKEAIYEEDSEDKQNKSDSNI AYIDKTDPTVIYIQE cubi_02164 MNTNFCTENCNSNSISIIIPGRNPEIVVNEGNGIISTIVQNPQT ASNVVVAVNGEIFTADSGAGVYFSFFPYQSWEFLGVLTNLRRSDMFTTGWPFMEGISS IPSVKIIVVIEPANVLLQKLETKPPIDYNKEIARKIALNLFRFIESYNNNNNSNNTNS PSNQIPLNLPQYILDRWISRFDEKYKLDPYFYMKTN cubi_02165 MQNNMVTSACLNIHFQRDYEYIHDIACDENGEYIYVVCTNSLKI YKCERDNDLSLLTIIKSPHENAITKCIICPVISEKYSLFATISDDNTIGIWLLNKSRD LLKLSMENTNSFSLLNIDTENVVINNNADNNNNNNNNNNTDNSFSNDNNMNKFGGVCN MTARLRDSRNKIINSSFILNYEDNNKLSLNISTRNISLIVFSKDGHFRIYSCQDSPLF HNWSIVEQFTCNVVIDSYKMTSFSFIKNLNIIENEIYNVNMIAIGSNNGIISIFVKLD SIMENKFNNNDINNKNNNNNNNNNNNNNVLTGNIDKKSHEFASWDCLYDTKDINTKNN NKDEDDVLAGLLKNDVLDVKWCPNYSRKFEILATSHDSYIYFNSNSNNNNNNCNNNNN NNNTNNNNNINNNYDNDINTIININNHHHITKINENEEDINQVFNEINGIPWIGIWKW ELYEKNRGKLILIYSIINQTIYPTNCISWDIKGTEFIACTEYNITRFIQSNNINTNDQ EDDDLDDIISNNSLIFHGKYFIDGIKLKEKSSIINPIITNTNNYYHNNSQIKEQEDKY IMDFPLFVKFIRPI cubi_02166 MPKRSSSGGRKTSLKIFILQLLWIGFFIILILGGLSDLILYYDI KRMVLNVFILLSGIISLLAEAYCFKFYSYILFIYTPIGRGLFMVVLSSLNLNDNLYSL IISSILFFNSIIYLLISVIFGGINKPLFNNSLKHELNLNALVYFINDNSNITTYSSEA TKGHSNSNRRRHVQNDSILNNKSEIIHHNHHKNNNYNHNHSHSHSHSHSHNHDHNHHR SHHQHHKYYDGNQEEHHHQNSKHKHNNHKSHIKHESSNNHQEQKEIINLE cubi_02167 MSNKIPRRYSLTRNERTTLGKSLLRYIQVSHYFEKPTVTILNEN VRKDLINKQKEEIERMDLEELKKKNEKKLWRELDYKLKECIDERVNLHNIDKQKNWFK KMVDEDPPPECKTEIERKISFGKNIGENTNQGYINNEISNTKEVQEYIKGENISNNEV SNIHIKSEKGFPFLNFQYNNIEETVKKWNPPPFNNVINERDFSRKLRVLRKGELVKTS DHTREDENSESSVYDDSESESDRNNSYYEIPVIGELITEHELKERFEEEDNIYLKQYL DEIKHDTNIIDFVKEKNNYKKFKKWLKKNKLKLKKREIVSDDNYLNLNKSHIFGSVGG NNDEDDEARDLHTRNDYRRFDILLDSNLFGYCDYDETDNVLDGIIEIEKSKRKEDKGN QLVEKEQIKNTQLKEKFQIDNVSKINKDVEGDDDDDDDDDDDDDDDDDDDDDDDDDDD DDDDEKEEIEKEKKYEFEENRKKLSGILLTNYGKNSNKREQSKNIIDNSCFNRMLNML LKAGAEIDEDEEKIIKEERKEEQNLGRLRMPKTLNKTGNIENKEYTESLEEYYEKKKK LRINLRSKVLREKKNEREYSLELFYNNPVMLESFIKKMDNQISERKKSYEHEKSEKFN LSYKLKFMIERNAQLNYSIEKAEKEINYLNDEYADKNAYIQNYLKKLEEELSGLEKLQ SILINSLGMLSHWCVCEPEYLDPKCTENWEKSSIALVPGGRSSHALLICKRICNFDSR LSKLYNSMYIHNYKLGLKILKRRAALQNAKQKKKLDDKEKEREEGEEEEEEEEEEEEE EEEEEKKKEEKEKEEKEKEKEEKEKEEGEKEKEEEEKEKEEEEEEEEEQKAKKNDQNQ DDNGDSGNNQNRINNSMDQNGHLGEEKTSSNQEGSFKNLGNKSNNYEESSDISRDKNN NMIKDDTPIY cubi_02168 MTGDSLENPILKNNVIKNEDYDENDNEDNDDDEDVTFLICGGNE EGGLKDFSKLSDKNNSAEVDNSINLSSDILKKGLVDYRPGITGTNNGNYQNINDQKMN AVEQGLHALNVSNTIYIDNEDTATEENPCKDLQMVWDNNPELRPWSRLLDVSPWFNYG FTEKTFKEYIIRQLGIRWERIKKQNIETSDDLLNKSVIAPTISNSNNVNANLNMNINN SSPGMPNSNIKIMGNLPPPPITGFPSNMMYFPPPPPPHLNPPIPGHPNIYHQQHMFNN FPHHIPHHSHLPPPISSHQIMHHPGLPPNNMNPGANIVFNTRGKKRPPE cubi_02169 MDSVNQIKLEESFNNELKDTKMTNNPNLSRSDIIPGSNTGTNNT IGASNSSSGVTGTPSAIGTNLFDLTENKTLNDDSNKNTGESMMDTDLPGSVDDEEMKR SIYIGNVDYGTKLTELQDLFKSCGSINRITIMNDKRTGMPKGFAYLEFCEPEAVETAL KFDGAMFRGRQIKVSTKRKNIPGYNRGRGMGPGGGFRGGMGRGGFRGYSRGGMMSGGP GPNMRGYYGNPYKSYKGGGGVGGYSGNRHIVNPY cubi_02170 MRIKIFFLIQILVLIACVKGELRRNELNQKNEYILVNSDGPQVP VVTETKIPIRYPRFPAQKMRTSHSKLEELSQKYLNPMMKIPELNYQYLSSTMSRFMTI ILSLLVIPFSLLSLFGDTGDLIFAQFGCISGIFGFTMGMMVFGGFASDIIAIIFSIII GFANIYSTLKLTKVREMPFVSILFFCFLLSNLIYQLLAGIPNYSINPLYTGLSGALLM RISIMFGYKFQNLTLFIMALKFFYITIVLCMASLIYFILPSSLKKVTGNEKQTDEEIS SLQKYTQSISSFILTFPIVSFISQILYVFGIFSTSPFDMLSFFYIPSQLHFNYYSTSV LLAVWISLTVSVFLFRTKISNRDSYQPKFVKSLISDHWKVQEL cubi_02171 MILGSKVNIFIDNMGTIYKQNSVNISNDNKSNVDKIELKIPNNH DNLNINVLNIKDTSSSLDNNEKDQINSSICECKIVNKCIPYEDCYGLAGITTTLILII IATIGYIVQYLSLIPHEYLSERNLRENKFCGFVLPNSEIVEPSHPNS cubi_02172 MKFKIFTKSRSNSRIHTPKLIEEGFFDIYKKDLFKNQIITINAV LESDDYDLEEDDYDEEQIYNNNNDSDWEEGSPELWNKSNELKIEECSDNDCIENGLTS EEQSNELINLKCTDKILETRINKEPNIQSKKKEDNHKIECEENGLKNEFSEYKDLLNK MDLGLEADTIKVSKEDEIDQLRNSIFKKLDKLENEVTKIRNQNILSVIDQKLDKSNKE INVQDILSNIGKHFIEGVNNIIETGHSTIFKKYSLELNDIKNIIQEYNKDEMNRIINI IENQPEFEINGNISYETLNNVFQFDTPIKGIGYWFEQGMNNTMDDRWFISKLSSGSFF GILDSYNGDKITSQLENLLVSEFDVNIDNESCLNKYNLAKIFLKTILSIDKKVLTNST KDSLNVGSGLISCFIFHSKLDNNYVLFSCNLGRCKGFLSRNNEILKFQNEDYHNNMIS EMNFIQNENIANSAIGFGFYKPPFKNKFEVDNVPQVISIDLISEYDRFIVIATDSIWQ VFQEEELNEMILSILNEIYSKYPTLNKQIISTIISHSIVTESLLRGVTDNQVCMVVLL N cubi_02173 MEKLEYSSKEDDILSRFLNVIFKKESGFLYVTRNNLIWISDKIN SQPFENISALKENYSKIISPTENNLNYNDEVSINKNSVNLENDFVVELWKNLYAHRKR EDKRLVGLRFENKEVKNKPKPVIFIDVNLTLNSNDDFNNFLKLMTECHNKAIERCKQL QELLEKKKSEKFTSIENKILEFSKVDKNDNKRIVIERKVKPKEHHSIYDDLKQLLQYK PELDNIYKSLVLTGSISLESFIEIHRQDILLSKTQEKGVENSDFFLKKPPKVTITNSG GIDVTITADDLKSILEEMPSIKTKIKEYVPHRLTEEEFWNRIIQSPLFFDLLGQKNIL VNNSDTLMIGEIPKGEELLCELNTLNDNKYSNGISELLGNYVSSEINLLNNDTYNKTG YGTLINNDLNIASEKSANINTGFFERFNCHGAKILEFTTGNNEIFNRQNEIDLEYSQD YLQTEPKTTIANNSQLLDINPVNFFSSSRNKFDNEIKKEKDNNIKGVKFNSKKRNKNT QDYSSLFNNQISRNILINCTKQIQYEQINQLGLFNKHNLIQQKSSEFDSENLSLLFQK NSESNDINKIKEEKPIWMQQIHHEHIQVIELLKYFWGLSLSPKDNDERRKTIESLNKI THNIELLVHDNKNISASSLSTFGQSSNTIRSICLPILETIKSARNFHIKLEEIINSLS INK cubi_02174 MDFFEYMNIFYLLTLYTIQGLPMGISHSIPFLIQGKVSYTEQSM FGMVVIPFSIKLLWAPLVDSVYIEKMGKRKTWIIPTQLICSILMIIGSYSPFLPTWLC ERVDISNLTGKSTPNVILLTIYFSILYFLMATQDIAVDAWAISLLSPQHRSLASTCNI IGQSFGYITSYLGFLFLNDAKICNKYVRPLFGLEIVEDKPICEMSQFIYFWGIVILLV TIITGIIKKEDDSYIKTIDNKKDDDHTQENQKSELTIKQAYFLLYKILILKPVILLII LLFIIRVPSAVTESSLEFKLMEFGMKKSDIMLIGPILIPFSFITPFIMTKFVSKGKMP LKLLNIILPLKVLLSLLSCMFLIWSRMIYKPWIDKQELIETPPLFYYSYLALSIFSSI LCDSQSLIFMTLFNLISDVRFAGTYITLFNTINNLGYKWPTSLSLWLLDYTNFNYCEL NKNQVEYSKFSNYFLLLIGNPCKIDSFFIQFTFAFIFGIIAILFIFPSIIYRIEKFNL NDWKVKNMNTSESLIEKKNK cubi_02175 MYREQFHILEDLLKSSQTQQIWSIYSLCNHSPKFENLEICRDIL KLFVKYLLDEKKDLGAEIVYLLMGDVLSDKITYKLVYDSDLPLNGLKVDNSNIYGIVS RKSHEKLQQESNLRLNLSQFQIECDDIYKSIKAKNSLSDKLWISRFSNISNTKSYLSR ESITSSNFTNLEHSEVKCKTEPYPLLFNNGKGSQDRIKSSIRENISVENEKKKENDHS MDIENNTDPYPMKHEFKNENKVINIKDNKVDVSELGTKKEHNKVDENEDVINLFKDEE NENISITDEFVQNEDNKLKPVKRRKTTKLKICSDNNEDNKDDKDKIEFDPLEVEPIIQ SKVRKERMYKDAKTGYLVVEDDVDFVMEKENKISKLGEKNLGNNDNATLKKPNKGKKS HSLSSNTNSGTSKQQTLNSFFKITKTTNK cubi_02176 MNKLILSKILSFISVIFVGGIGVYIPIYIGYLNPIFLQYINVFA GGTLLTLSLCHLIPEAEEIVRANNISLKFIGVEIPIVAYLTLFGLTIILFFEKALFSP QTVAQFHMHDHSFPHNRDHHHHHHKDPGCVGMNNSNRQSTTNSDKNKNNRNHNNNFNS TYPSNGRSGSNAIIPINSQTCETNNFNMSFEESDSRNLGIKLPLIDSSDSHDEESINN QHHDVSSSDISSQDSDIQKSNPSSTLNVYFLVSALSVHAIFEGMLVGISKNHISVMTI TLVIIAHKWVEGIAVSAGIKKHPEISKAIINQLLVSFILMSPLGIIIGQLFSFMNSPI INVFLTCISSGALLYVALGEMVLDEFNCGENRKQKFILFLFGIFLVSVINIIQHKLGG CTLHYNHHHHHHHHHHHHNSHIHTH cubi_02177 MEYKNNFSLNGRCSQDSIKLSSTGGSKEKFMDSVPILQNPSSSS SSSTNSSFGFFTSTSNSLFSLESRSLSSTVSPILQKSDFGHFKKISLLPLLFGETNNY QQCTNHNLHELFDELKQIERYGGNLSFISNNFNNNSVSQSLSVCHRGSSFGCIQNHSL SNIAESSNLYCSPEANNHHNNDGNLLLSTMRRRLTPTSINSSLSSNNIADDNNDEFLC ERKCNAVRRHFEKYLTPQMDGYELCKLLSIYSSILFQTPLSIVLLYDDETDELIGSFP SKGTWSSYIPKSLTNKWVDYKTTCVHNEHKCSHFKLKTMGEPGQSKSIINNYHNHLNV DGPIQKSDNNLYSNFTSNSSSMLNFQEKRKSEIISSLIKNPVNNTNKFQRLTFSTGSK DNIINRRATFPPLSIANRENNHSNQDIYNNTFCIDNNITTYNEKVEIDCKNTGTEYDL LRFSMKNENMIENEYFGKNKNNIVSSVSLSSHQDNQKKSFLNQLLSQSQKQNFFYFNY SSNICDYIIKSNYIKEDEININYNNNKNNNNNNNNNNNNNNNKDRNKNKENELSNNSI QNVDISFGLYFYNKLKDAEQLPCDIATATQCPICLQNMKLASSSSSNSSNLSSSLSSL NDSGFGFGGVNGNYGNGHIYYDNKTSEDLIFKKSKMEIIKNEFSTNSNMDSCHFHVYN NKYITKSEFKNSETNEPLNYDTINHHNQNQNISRRNSGIEIIQNNKLNEEISDKMSDC PVILVSPPSINDGIINRCCICDKNKNVNFNNGKNNDIVLNSEFSDIEIGISNHYQRYS NFKIPVITCPIIDTRVQKDKDKDPNQKSKIIGIVICLQPQNPNIILLRSLITEIQAIV CLFLDYKRLLFNTIQLNLNYELYRWVFKPLLLNRRHAENWDWTVSMEVISRLCLLINK FTPVQCTLVYVMESSHEYVCIYGPKQFIGLRLCSRNYLIFKLIKNKCVSICNEVNDFS NMFINSEKRFTNYHINLKNTTFIPIYNKNENISIIIQLINRTKDGYSFSNNEQKKFNF TITEKNLWADKSSVMSTHSNILPGKKSCRRVMGTFDSYSSGSGSGSGNSIIRRANTVS SNGAIREDNSISSDDYVNSLINLKTSNSDLAKSSNSSLNSISFARKRNHRQSINSSQS LKPSLTSSSFSSSSSSSSSSSSSSSSSSSSSSSSSSSSSLLMKRVNTIDYLRPSTGDN IQLKEKERDLCETENGTISNTSIIDFSQKDLYIYGMLVNQIMKDFHQQLLTLNIHLYY KSIWDPNFNQLYTNNSNTFISLNNLFGMNFDEQKYKNHNCNPHHYINKIILNENRNSR ESSFSPIDISSIKKNIINEEINSEKILVTQNSQENANEVNTNISSDFLNLNHHFIKDI EEEEDKEKEEEEEEEEEEEEEEEEEKEEGKEQKQKQKQKQEKEKEEKKKGRKKKKVKD NEKYRQSVSYYEDEYFKQYEDDRFYKNDNILFSDNLNENHYINNYREKDDEENINFDH NEINKELSIREPLRYNSEKYEINQYDDNHQKIFCRMSSVDEYKKEIKLYHNNDKLKID SLLTLYREWGLPIWAHGWSIHREFLLNLFKYFGFDNKWNWNHKQLLTLFDVIHDSYNS NTSYHNIFHAIQVVQACYIILRNFGIMLVLNDLNKFILLFAALCHDIDHPGVNNYFLT ISNSKLALKYNDNSILENHHCSYLFSLLDQCKDIDIRNNFNEIEKKSFRRIFIKSILS TDMNCHNHLLSTLEEFISSNDRISNNQNIILDEYELYNDNINIKMLGELDENALLIKI DKEFLIQIILHAADISNPLTPFPICKKWASLILEEFSNQTKLESQFGLPITPFMDLKD DVLKIEAQIGFLEFIVIPQWRLLSRIIPKSTILLDQAEKNRELWLKHSKNLIDNNNHN QSNNISKLFEFDLNYLKNNTIGGKDVLEKSILKKVVNNNKYINIINRIKCEKYLLLDN NSNNHNHIVKDKVNLKYIKNNCLMSMFALTLSDVFD cubi_02178 MKLLSILLYKWDKEHPILLTSNYNLVDYNFFQRKTIQEHIAFHS RLLCSRVQEGNRVTVTFPQDIGNCHIYISNSGLGICCITSPDYPVRCAFSLLNEYLKA YMDSKNHDTLISLDLNNIVQDQNESIPECNEIFKKYQDPLNNDKIFKVQKDLDEVRDV MLKNIEDLLHRGETLDTLMQKSSDLSAASYQFYRTAKKNNQCCQLY cubi_02179 MICEIGETKEGNYVIKGVESYKKSNLADKKSTKISSSPSSSSLK KIQHQNGILIDKSIHLKGVTDNHNYQNNECFHHEYDHYNLHDHNHRSGYNSNINDSIL KQKYKSSNYTSNLKNNKQKLHNQYPEVFSSSSSINNNHINHHSANIGEYSKIVNGIEK INIGTKISKNSSSNSGNYETKSKKYNLVNVATTGSNQSSSSSNSSSSRINNNISNNNN NNNNDNNNKKIEIRRKYYVKVTPINTKYIAIVKTITNNTPLLLCFEHGKDRHPKEIIN LKNSNIYKGVTEKGPCICIRPKNESKYKTIEIVGESKNDQKNNTKMINNSIVTGCKDI ESHNNEENPSKISSNSVNMYEVECHIDFDIWCNFFTSIGISLCSFRSLFHTTKLIGEG SFAKVYKGKNVITGEDVVLKAVDKKKVKESNVYTEIEVLRKVHHPHIVHFIASFEEED HVCLVLEFLGGGELFEWIAQKGAYSEDQAKIAMKRVLLALQWLHANNVVHRDLKTENL ILENRNCPESLKIIDFGLAASLGSPAMKMRCGSPGYVAPEILEDKIYSTKVDVFSIGV VLYTLLGGSPPFPGNNMKEILKKNIQGNVQFTSSRWKNISSSVKDLIKWMMAKDPESR CTAAQAIYHPWFEKIELSPGLMITCNDNNMLVNNQSNYNSSSSSSSSSSSSSSSSSSS SSSSSSSSSSSSSSSSSQSKPNSSPQPLNSDNNNNNNNNNNSNNNKNDHSNQIVKSNG NINVNITLSTCSPHDQYLFNGQNDHIILQDDYSSCLTNFPRNSPIKKCSNNNYANGKF YSSHSSNNQNTNNKSSVSISSLEYTDSQFSSSINSMKISPRSAIIPSSNQSNKKNSSI QQSSGSNSSNNNKLGSNINNQHYNLSKNPSKIRSYCNSSNNNSVSEKLTEVEDEDEEQ KLIQKNNINHINYHIINHHINNKGINCELEESISKLEEKETNCYDFSSNNKNKDSFLI KEKNNYHNSPKIINEFGNYYTGKMNHTMLNSHDPIKQTESLLESIGNSPDLNSIYYAA TINEDSFSFERQIPVIGNIITSSSCLNSGNNNINSHRKSNCNYNSNQNGIINNQNKLQ TSKSSHKTNKSSSIKAVLQNVFSRFSSNSNNNSNNNNNNNINSNNNSNSSNNNNNNQS GALNVGKKYEIN cubi_02180 MEKGDENDEIVQEYIKTNVIWIPRSPSRPEVFEIQDFTSIQLNE IIAINAIYGSITIHNDNSGLIKRLIDDQENLISFSAEIYNGFNNRDSTEIKVLFIPGK DEIINKEFEKSKYLVDSNYEGNIFTVYSLILSRSLSETMPFKNRRYWRRTHLYARLTV YYGEKYPIINPGLLFEFSLELPESIEVKLIEEIQEILLNRSGDQECIFQVINCLEMIL ENLCDYLQISEDLWEQMRRQNNQREFEKHLDNSVIYEDDGNNYMNNEDLEKLIDLKIS GINYSTKGINDKDEKLNDKTASEMVSGFLDDTILDYPVNTSEIVEENDTSNIILNLDL SYIRKEIFEHNINYSLFSSKRFTQDFRVLEVLYSGRNVIITKSLHLIDQNNYQVSIYR IPSSKFNQIQKKVSSLVMLQHRYMVRYYQCWVEKCDNKKDERNDQEDFLLLYIQSEFM EENITLFEFFEKGKITTRDNHLIWSLFRQILEIMSYCHRNEVFHLNLSSNCIYIEEDI YGYSVKLSNFIFGSHLDLNFDNNNEEFSLPNILFTEIKKFNDEILLVDISCTCQIFLE MWLKIKYKGLEYEKFNENLLDWLSKLKIVNENVSFKEMNQLSLEISKNIDELFVFNID IPLEFKYIPKTALIIMRKLKYETNFKNNNSIDDLLKSDLLPSSINKNEFQYYLSRICN PNTYESHITLNTLFGRNVDKISYTAFLMDIKSDEKVNMIDLTFSDIVKTSITKIFDDH NFVLWKLPILYPTQFLTDKRIDTVNNSKDFEQVLYDCGYRTSDPHFLLDISNNLLCLP KSAPFSMISSLISLLFGDHFNQNTVSSSYFSDFENKSKKSSGIGIDGISGTNNGFKNV LKIDDLHTNIISSNNSNILPGLYCRDLSMDILEDSPIQRYVLFPIYEQPNNSINNGLD NHVFGSPRTKLSLAFDMIYKLNESDFSTGISSSGGNYNDLNMINLNQNNQRLIINQTC GNLLCDDLKRIYQSNDSGQTISMDNIILEVEGLLLSLKLILPWLDYLEDVPIVRLTFP LMSKIIYLELLEKMLSGKLEEDDINKRKELDLFTQKSIFKEIYNINIKENRKDKQRED EEEEEEEEEKEGEKEKTFIRELFLRMHAFEWKYESNIGNYRLKSVYENNFIMKTYEFI RDNQIIKLYVQVLNEVLNLLSESTELLIYKDKKVKFYLDPIMDYDVNLYDQTTLVYSI TSEKKGINMIYGMGGSYSYKINGIINSLFKQNSNISGGFNTVNIASLTTGYGFDNKNT FTNFYNNSNENCINNMGGLGISKFPNFLNCIMGEVSIELIINQVCEKAKRYRAEFVNT GGYINGISINDLSMMNGGINLNISSFEKTIGSKSRRSSWAGFNNNIKTNIDTSSIPNF GNKEKNFNISTLKQFNHQSELSLGIYDYEEITDLSKELLLRPISLLKSCYPRVIVMTQ TTRLQPKVLSLTRRLWQNGVRSEYRLSPVRSLAIFLEKLKRDTLVELLIVVMFQNSNI GSNSVNYFHSSLCNSTSIGIGTMGFGGAPGVLGAPTFANGGVTIPIGGIATVSGAAAG ATVGAATGAAGTIGTTSGAGVSALSGISSSMVDESPSQSYSSSNMINQDGYSGAYLGN IIGSSSTLGAVTGVGTSASGTTTTTTTPTVTTTASLLAGTTTTTTMAIAVGGTGIVPA TSLSGTAIGTGTYALGSSNSTVSECTKNKNVIFRIEPISGFYYETNAFNNIKVIMDNE ESVVNYVLTKRKKQIIN cubi_02181 MKQDNNYGRVSIPESISKTTKITTPVYDYNSNKYRKTETITEES SKEANFYPLKSQLKSKEFGNLNKIDNIYKEKKQKSIYKVPLSVEVCIRSNINLTQDEA NSNESQLSRKYLTQRIKKYFSLQANVGLGKVDIDQIGDSMLRKVCTDINLSLNYPIHS IEEKHNDSNNNNNCKSNSINQREDHENIPIYQAEFNIYIYQLNDMSEEEYYMESNTSG EKSTDPPIWRYWSLPNIEFHGLWDVLHYENNLKQQLLDYTSTSLILSDCQIDFNVINW NHLILLYGSPGTGKTSISRAISQKIGMRYCNRYKNIYLIEISAHSLFSKWFSESGKTV VKLFSKIKSLLEEPDSFVNIVIDEIESISTARKQSLGRNEPSDSIRVVNALLTQIDAL KKYSNTLIMTTTNIPDSIDEAFLDRADLKLHIPLPSLYTRYTILLECIEELIFKQVIY SRHDDYIKILTFKEIIKHSNVNINKNDHVNYTEITQNKNQNNYDHFQLNISKDLLYIS KVTDGFSGRNLRKLPFVSLLSSYHHGIPLEIEIFLEKMKYIVNEKPEMVKS cubi_02182 MNSETNKVWTGDEVRRQFVEYFKELDHTFYRSSPVIPLNDPTIL FINAGMNQFKSIFLGTVEPNSELSKLVRVVNSQKCIRAGGKHNDLDDVGKDVYHHTFF EMLGSWSFGDYFKEEAIGWAFKLLTQVYGISKDRLYATYFGGDPKLPLCPPDEEAKRI WLKYLPEGRILSCGSKENFWEMADTGPCGPCSEIHYDRIGGRDASSLVNKDDPDVIEI WNLVFMQYYREIDTTLTPLPRKCVDTGMGLERLVSILQNKTSNYDIDLFKPIFDQIYQ VISSSGVQIPPYSGKVGEIQDPNMIDMSYRVIADHIRTLTIAIADGCIPSNEGRGYVL RRILRRAIRYGSQYLKAGNNGEPWFYKLVDSVSNIMGGYYKEIPENIQTIKEVILDEE KQFSKTLFKGTERFNKVINKLKSCEKNQENGNNNRIFPPSEAFQLYSTYGFPMDLTEL MAKEQNFDFDRQGFQICMENHHLASEGKNNKSFDDLILKPDIQNALLFDFGLKETDDQ YKYHSQFQTKNFYSNLIAIFDGNQLLNSIEIQSKIIGLIFDKTTFYSESGGQVADTGF IDFLYNDCETSKFQVIDCKKFGKYVLHIGILLEGKIEINTELKSLMSVDYCRRNLIKK NHTGTHILNFALRQILGSTCDQKGSVVDPNRLRFDFSSQKPLSLEQIEEIEKMINNIV QEKQNVYCQILELSIAKQIPNIRAIFGETYPDPVRVLSVGKPIESLVNSQDDSNNQEK VSIEFCGGTHIENTGEIISFSILSEEGIAKGIRRIVAVTDNQSETAIENAKKLQQEFN KLNELNGKELETLLNSLKAKLDETKLLPLVYRRKFSQVIEEHYKKIIADAKKRQKERL NMAKELATKIGQDYSQHLPSKDEFPSLILNLKQIEGDSKSMDVIGQDLSKKYPETPIM LFTSGEFNDNGVSVISIMPKSKSDKLSSSNWLKSTIELCNGKCGGTDLRSVGSSKNGA ELIDSMVKLALDLLKQHNI cubi_02183 MKRGRGAAGGAKMRITLGLNVGALINCCDNSGGKNLYIIAVKGT GSCLNRLPSASIGDMVLATVKKGKPELRKKVWPAVIVRQRKAFRRPEGTFLYFEDNAG VIVNPKGEMKGSAITGPVGKECAELWPKISAAAPSIV cubi_02184 MINLSLKPICSGVIKGNALRINNCLIWFDFGIENESNFDRSILL DLELPHLILLTNFPKTFIGGLPILHKLLTEKGISCKIPVICTEPVFRFGNNILSDIIQ SMSIKDQERGLQYTCDKEDNKGFKQLPFTNEDIEGILGENVCRLRYYQSFNIQLLHND NNMTDNDSSDSCLTSINLKALPSGLELGSTIWNMTINSNSDSWEFVYVSESASHPYWH VTPSDLGRLNKPDLLMFGVNTKISTIPDNLSLSLSSEFGNDLKKCNNRLISIKKFVNT ILDCIRKNKTGTILIPIQLDSLLLEILCYLDAIWNKGKILYPIFVTSPLIKSFLLSVK TLIEWMSLEIRSEFCDSRFNPFHDLKNIILETNLKTIRSENLSKVPKIIFAFPESMDY GYSRELFTELATNENNTIMFIREPKENTFAHYIWNKELELRRSDQSCIHSLIHSDNYN MQIPTNILENNHELSYYIELPMIRFTPYRQDELYAMYLSHKESNVSHSLNQNSEDINQ KSDEKKINPANLESDNGNHELDDINDNKKDIEMNIENDTTAMLSNCDDKSKNNNNDDF GENNELNNTTYSMLLSSNNNNNNNSSDNCSKIDQINKISNGIDYVNDHSISSSIQTKI EALRSKLVPVNVDDELLNLNSLSSSSSSSSSSLKDHNSNQNSITKQTKDDYGCLLDEQ TLKSIIDSWKDASSDLDISSYNLKLNEDSGNDDNKSSELETVDQSITSNFDSSNNNDG NNNNKIHILAPRRRRNIMGMSNVKDDLSSETKEEVTLDSNGNSDTILNRNTKHGARLL SMLSIDSNNAVPTSNIPEWRIHFRQILGGTEPFRISHEMSKIEIRSRVILTDGLELKN EIPSLLPLLNCSNPKTIILLSDCKDSKEENILRYYKSLLMSLPNSPKNIVSSKISDNN LIEFTLDKSVKIVSFDNSVWDDVSTGGFQLVQNGSAPSAALQESSLNNNNSISSYYAF ARIDNISAKLLKNNEVIFVKNNKLENNTECNHDKEKVMNVSDSLINSAECQDFIDESL VSKNYQSPYSVLNNLIDRVKKKECYNPSRKKFHKEILLSKSRGIRHIVSEMRKQNPLP IINISPNGGIVSVNNAIAFSSNHLNKNFQISRINCDDQNNSSFNVQRGDHLTSNSNTD DMNIWNLHATLHPYYYIARGILRNQFAIL cubi_02185 MKKSNEIYKEKDMDGKILVPLSFHNSKKNSFPILISHELSERLI KKYGSEDNYFEIVSSLNPENDIIHFQLNCKSITENLNVGVISVLDYLVKSSGIPLIRQ EVEIRKVNSKKDMKLKIVELSLPEIHISPRDLWYYEKSLIDKILYNGISPQISFEINQ YLPISITRMGNENDDNNNKKTIISGLVTDDTKFIIRPRSVRIIMVVVISKEFFCFSSN GFPWWRGIISFFKEYLTSNKQLIEHHYITILLTWKAVKEKKSMDYYQVFWEGVLSQIT LSQTNINKLIEKLRRIIISFQKDQNFIKTLVSYYESNILESINFALTQLQNDLIDGSL VWTGRNIKVLSSGPPIIIGDLQNYQRLLNLSKITEVRFLKTSITCDFISFSELNWYHS LNIYIVKIKNNLYQETLKVINLRLSMNILYYKIKNKFYNDYNGKFNLSSTITLLDEYI NDQINSIEDKNQEKEEEDDDQGDIDLIISGKDMVVRNISGLVDKRESLECNVYDSDNI SRNLSINKKSKEFHYINENESFNCLLGTFLLPLTTINLNKIDIKNNISNSKDLTINEI QRTSNWTLTPRKELFLAYIWKNYQVNNPRLIKHDFNELSVYIDKSEYKDINIEILRLI YYDLIVHRMSMSFQISTLKSNNITTHYNSENIQMLKYYNSSSNNITLNYNCIHQLNLL KDDNNILVEVIDAIIQDNSNTNSNTTGLNTNTSSCFRNDNLSSSFNSNTNNNDTEVKN IDENKISISLNEENDSVNFYTFLPKSMNSSEIMEIDANKLKNVIINYKYEYFLYRSKY DNCCEISPKSTKGEYIKYYTIFNNLSNVNFSTLDEILVWQREVPFINELINESFNELL NYYFEKNNLDYYYLLTSYISGLSISYIHFAFIPYVKISDSSTNSNTIYTNKIEETRNV TDDFLKFDEIDKFDYLDCYSNYYKDCCLESILNNWIKINSVNNNQLKEMVDYNIGNIS DECLNMKNLKRISEMNIKSLIKSLQSFFFKNAPSEINHSFDIEIMNDHNYYKNERKSV KILYSWCESFEFIKEEYESELKEKYKINFNSNSCNLNNNSNHRNNTNTTINTYNHIKN SNKFEPINWFVIYYDSIWYPLLPFKFSIGWLTCPSLVISRIVRKLKSILLNYYFTLIQ LRSSDVYSSYEISPDNSILSSYTPLNPPYLIKFKASISNSIIKLLLKHFISSPLSLQL LFFDQSNSISRFFLVEPHSIYTLELNENALLLRINYYQYFWKNQFQYDSFPIFKCPCS SLMFDYKWRNNIFYFHINYIKKIVKTMQI cubi_02186 MRKEIVTLGGIKSIKLKFGKDIFEKLREIGQEKVNVEFRIIFES KDDKIFKLITDYIHLMNRRICERNINQNGNDNIHSCILCETIIQECETKNGKKKFTIL LSKSDDLEILSDEISQQNGDISFHIKWNVRDKQKHYELVGLLSQSIISEFVFPTSILQ YSNSRLFLTLTSSVTQKFIWSSNTFLMSNFVKYKNIDSDYNHNNQDTLIYLTTLQNEN VLYIYNESKNRVINKNQINLEGIKKFKVKEMIERSIKLHRVLVKYRRQLENYHSIKVK CGHPNPDKLIIINHDSFQLSNINNHHNHSNNNNNINHDHAIQSYINENYDINHPKMLE DDDSDLIRLKKLEKVREQENIKEYIKVLKNKHNEYNELIQQSKIEMEKSIVQINELNQ EIDLYRNDLINKVSYCIYPITYEKKTSNMKIRNILLPLINVIQNLDNKQDLEISTSLG FSLHYLEIISNILEIPSPNKIIVKGSFSVINDNPLYFYPNMNKKQIIKALKFYKSIIY NILNYFNVNHLKSKKILSSNNILLMLLIIKKYITNYH cubi_02187 MINTNSINILKKFNHNSSCNIIPNIIYGIGHNISKNCGLFGYLI NKIILYNNIKKISPNYYKSSNYSFPLYNRNIFYFFHKSSTYIPFNTININSSSTLSLP LPHSLHLKKSLINTRSLSYYSSITDHHNQRIGQVISVADGIAQVNGIRSVKYGELVEF SSGEKGMALNLESDHVGVVILGEDRNIRKGDKVISTNTIVNCPVGKELLGRVVDALGN PIDAKPPITSLEKREIDVKAPGIMDRKSINEQLITGIKFIDALIPIGLGQREAIVGDR QTGKTSLALDIILNQRKFYDDIKTRKYCIYVAIGQKRSSVAQIVKILEKYDALKYTII IAATASNAASLQFLAPFTGCTMGEWFRDNGQHCIIVYDDLSKQANAYRQISLLLRRPP GRESYPGDIFYIHSRLLERSSKLSDEKGGGSLTALPIVETQSNNFSSFIPTNITSITD GQIVLDSDLFFKGNRPAFNLGLSISRIGSSTQNKTIKKVSQNIKLDLLQYKEFQKFSS NSNQNNLYINDKYKLLEELFQQNQFQFFEPEFLTCLIYAINQNKDQIIIKDFKLFERL FYDFLHSKQEYKDILNTIKVSLEFNDLMKSKINKAIHEFFCIYNNI cubi_02188 MSNNNNSANKWPLPRFYSDINIYKPREYWDYENFQIEYSSPNNY EIIRKVGRGKYSEVFEGINIVTNERVIIKVLRPVKRKKIKREIKILYNLHGGPNIVKL LDIIKDPSSGTISLVFECIDNVDFKSLFPTFTDYDIKFYIYQILKALDFSHSQGIMHR DVKPHNVIIDPTKKELRLIDWGLAEFYHPRQDYNVRVASRYYKGPELLIDFQYYDYSL DIWSLGCVLAGLIFKRDPFFCGVDNNDQLVKIARVLGTDGLFEYLAKYKKQLEPYFDD LLGRHTRKPWSKFINADNQHLVNPLVLDLLDKMLVYDHAERILPKEALAHPYFEKIHQ EEQKK cubi_02189 MMLAKITTESAKNVIYKAQQKVQDTTETLNPQKILTFLLCMGTS ILFLSLSFMFLPIIVVSPHKFAILFTFGSFFFMAGFAVLKGLGGFSKYMVEKERLPFS FVYVSSLSLTLYATLFLKSYLLTLLFSLVQIIALISFLITNFPGGYSALNYISNNLYS GLTSKLSFSSNKNTPLPI cubi_02190 MSQVSKLGYLEVDEETLNKYEKEEKLDIKQELLVESQYIYFNST NEGDILIYNKLSNVLFRLNSNEIFEYQLPKLYETEFSCKPLYLIMYINTKEETNNYII FLAYIQQDDINSQKLLVFREINVEKDEDNGNHYKWNEIDYIETKIHIENNQILRGIIW WEDSPNDEEFKDQYRVLNSNQQKNSFNGECYPSILMITNHKLILFSLKEHGIHPIWQI NENNLQLWYNMEFQCLLLQTGTNILTPFINIGMNPKQKPIKLQPLELILNSEIRQQDI FLLNVYQNLYCVHIDYSNKRISLRDLFNQDSSDLVLDIGDTFQEFTLMVIDNIIVVVN LHGKKIFGLFDIKLVKDNNNSSKKNKLNSSQNQSENGNSSNLCHYYIVPTLFSDEFND NTIICLYENNKNEIYMKSLKKIKSYHLNNYYIILINSSCNLVIKLDLNKIQYSRLGLC SKLLIDNIIITKNNINTGVSNDKNNGYDDIVNIEKMDILPFISNRSLSWDNVLLEKIK LLFNKEKEHIMNKENDHNNYTITYPKILTLLMEIIKVKIDLKIKISQEYYSILLEIIL LIKRESLLQYVLQYHIIEDSNKILKELYNMYLFNKDEEKLPNETNRGHVRFNSKVLDE HDNNNVHHHCNYTSKYFKIKNISWLEQICLDTAYRMNNIKILVDILIYRKEYRRAIKI LREKCEQKVIVNINNKEISIKKIILTLSKNNQACKYPIYNILYTLGNDIEYQKNDQSL LGDIIKEIQNWINEYFDQVNKINEVILNNRTDSNSIEKYNFSIVGKPKIDKCNIWLPE LINLKDLNENDDENTTISDCEVEKNNSNNNANYSTFESIYISATESNEAFIIADNDEK QNNSSCNEDTLKSISDSDFINNNNNGKSPLLHHEPGFLSLSSIYIKNSSDSSSYTSDS SSSFNSYE cubi_02191 MLTMNNANKSTKKCGYLSYKIKDYRIGQTLGIGSFGKVKLAIHE PTEKKVAVKIMSKAKMKAINMYEKSIKEISILKSIIHPHIIRLYEVIDTPSDIYIIME YVTGGELFDYIIQKGRISEDESRRLFQQIISGMEYCYINRICHRDLKPENILLDENNN IKIGDFGLSSYIYDGDFLNTSCGSPNYAAPEVVSGKAYTGPEIDVWSCGVILYALLCG SLPFDDENVGNLFRKIRHGAFNLPGHISDLAKVLIAKMLTVDPSLRITYKEIRYNTWF RYNLPFYLEPQYYHLLLYKLSPKIQEKSSKKKEFNLIKNQENKCLDSNQNTNNNKIMI SKVLSDNITQEGALWAQKQRRHNKLHSIFSRQLQKKIGVLSQIFSNASIRDSSKKSSF LFTSNSSFSRYKAVQDNGKSNMNFNRLDKSRYHPVSTAVSNSTVSTSASTMINIQKSS FNMTNNTYNTNVIKRSNSNNNNNNNNNNAGARQSIFKMLYLKINKTLVDVEKGRSELN VIKYEKGFLDKEETKNNKNLFNGNRNVSINNCDHNGNYTSIGTNNSDDNYGNILVNFR MEEKNEVKKKQEKGEYEKKKELKKLEKHEELVNTHLSDEIKQVRLNGSDNDNYKSGRS LGNYTEYQSIPTESKMVDEKQQTSYRSEKLEESEENNQKVRRESNDGFKNIENINSES NCSGINSKSNNGSYSDSRSGSNNKSSGNIIPISIKISSIPINTSSSSSSSSSSSSSSS SSSSSSSSSSSSVCQSSVNTNNGANRWLLGFEVSGNLARIIKIVLFTLKSSNYEWKFI SSHKLRCRPKAFPSITNSNNRNNHLFSSKINFDQHENNNDLHNNYPSKFNINNINVEN IPADNVITVQLFKINPFKYLIDIQIYDGPLITNTFQAFKLTSHIYYNLTNNFYINIF cubi_02192 MENNELFDISGNFTNEDVYKWSSSYRDYDYQDTEIDNEHNSDSD YDSYSDSNINYHQDENHYQEYNDDYQNTHNSNSSKLVYSDSESFLINPKLKEIYNFSN NKQIYKTINVLNVNGEIIPYDIYLTNVTIPLFEIKNSLFVCRIRIGEPEQEFWPIIDT GSSNLWVIGDECNQSSCQKVKRYSKYISKSFKRISKYDNISVIFGTGKIYGKLILETL KFDNFQLNQHVIGIIEEVENTDNSKIDIFDAIELEGVLGLGFTEMSSSKKLQLPLIER LKNHNLIQDNVFSIYINDYRIKPINNNDRLSKPSAILLLGGIDQNLFYDDLHILPVIR EHYWQVELESLYIGDTKYCCDYGSLAYEWENLENEHLHNKFGPYEDPPMDIYIYRTFN KSIDKTNRTPGYVIFDSGTSFYTLPNFEYKHFIQEYKPFGDCSQIHLDSKKIDPKITE HFPNFTYTFKDGFQLIIPPELYLTPNEEGKCKPGIMMIDVPGEYGHSYLLGSLFMRSY YTVYAKNIPKIGSVVGIAKAKHNKDTRKYIYNKLSSINESFVPPNDDEAEFYWTKLNG KLSENWKFPPILSNLFF cubi_02193 MKKKIIFVFLVTIKLSLFIQQISSFLYLSENYAQVDNYYGLERF KVKSSNANATETGENSNDNNINEENSRKEQIRGDQKILKEEINIEKVSNIRSEKRTEN VLEKRNLNIREKAIESSKNKNKKLVSEYWKICSTINKEKINEKRYWTHLIFIHADNNL ESMSLVDLGEMTSPLQTQSADHLHLVVYIDRCKDYTNKDVSAPIISCPESGLTNPRIK QEQVLQNFTGAYILYRWRLTQELRVQFRKKFVWIILEDLGEVDSNSSEILSSFISTSL DKFPSIYSALTLWNHGSAWSGFGDDHDNADGNGMSLNEMYRGIKEGIINSKVGGKGGE NFSFEFKFDVLGFDACLMMQFDVLEVMNSLASYILASEDNEPGHGWNFRSLNPVTKRG SSEDEVQIFESNKVQEYRIATPLEYASRIVYGYTLHSQSYPLTLSLVNTELYRVFRFN LYNLFTILYKCGGEKISDILKKTILNSKKIENCDMSNLCSCYDMGDMLELLRSYLSQE FSLDNSIQELLALTLDSYYSMQIASVNIQECKDPESEFYGNCDGKLHNSRDLNKKYGI NILNENDSSFESTLTGISIYYPDPDQQVLCRNEAAAKTLAKKYIKQTNNKWVQIISDI LINKPGQVCNLGLEYKDNDYFGKYNIGEAKEDFDSEIKVNKTLISVITGENSTNEILF TSRIDHQIISSQTLITYPINQNINNMVPISLIFPTRIKSNGKIMINYKHVGYEIFQKR KEENKINEIFSNITLITDMEKNHFTGYFLYYNKKEELDNDDSGANIAYLVFNKTLNGS KLLISSSEGMVEKKKEEGGFLVPIIYYLKMDLRRNKYFDEIYQQMCNLYSKFSNYLNG LSYPLIGINILPKYDEISIKSKRIQLITLIQKEKVFHWNGEIELREKNYGMSHNLEDT EKRVLISRYSITSDGYDELSILGIKIDIGNILKNYYFDQDLGQINTGKVCHEDWLDDD ICDIFCINDSNDCEKILETGIQSKKGNDEIKKQHKKVIPNFLESYSMHVECDNMDGKT CWKNSKCLKSKITNMGSFPSSSYFMRSILSEKDNEKLKNYHTLFTNTVKNYCICDEGF QHQVIRLIDSKTGTIFFRHSCEDINECEEHNRQFKIEEKEQSQGDIYMGYNTNNAIYP TLERTREITNILNSPFSSIRRHVVTPSGLKRPCHPLALCINKLGSFDCVCKPGYYGDG KKTCIQENVCLNNFFENDVNSDGLMNFFGRPEREDEISDGGEETKPGRENKEETLGKL NEKKTPRKANEKEKDGESSGVKSISCPKGLQCLVQDMGVNNKGFEIYQSRINDKNKDY YQNQYNFNNNQTNSLLSLISTELKNSYNHYCGCKKGYKLSSYGDLGCVDVDECEERNN GNLLNDCGEDSICTNTIGSYICSCPIGWFGNGYICIPKGEKRDIALKVELSGFFERIM LMGYNNFINSFKQSIIQVLGINEDGIEIVNVSLDHSLGIIQVVTHFKSEMRISQETRS NRILKEREEEIKEDKDENEFFNLKKADEFASKLRNNTSDWYKKTYLGISFGDMVNPNK IEMRKVDKRELMEGKGTINRLMNTLIPDKFYIFINSSPFGKIIMILILITIIIWLTLG LLGIILFFKIINDNKRKKIMLSSSLEKKYEKNNDLEMEDLDLNNSSVNKINTFKLEKK EKIELSEERGIS cubi_02194 MNPVGAMKSMMGMVGSNLVSSAKHATKQMVSKIREKTDPRSYSS SKRNRGKANNNRHRESSDINDSDDLDDSMLPKKVDDDKGVKMKNLNEENQETNSDLSQ ISSDDESILDFRDRVVTEQEFKRLTELLSRDIKVTNRGNSEMEKQIWLTPRRFRTTIR SVQIENLTKVEQKNVFLEFSFGGTLTEVRLLNKSTGQEVPRLLGYPPCKEFFTHTVDI KPFYLENNDELLIDIPDDEGKDYMDLKNNNSIEISLDETFEWRGSYLHMERELFRIIA WRANSGTVNDLLGYNEDILKNYATGSVNQMITLSKRTQSNISNPIFRITLQIIFQEIY DFQLSLSQFSLRNVNTGENSSHSEPKNNHINYDHDHEIEYTTDGKKNKQDSNENTIND QNTIKIDLRDEQDNDEKGKLISNQSTSCRLNIKFPSFGIDNPFPIKCTSGWSKCYEKE NNIIRWDNIGMIPFRGTIHELERNTLQANLEIDLTGKVNHFFKSLKQVNCTFSLKNIV YYPYIQTELKLPDGETCILEGKIDFGNIPKYHQLGNILEIDDENKLYLIIKVVRIDNL ILNIHEVNNILQETENDDSMDVYVQLSFDGNRKETPVVSGTLHPLFQTELTFILDYID HEKKPSELDSHSLVKLLERKGPIMIDVWRKNKSSMAQHLGWTEIHWKDILIKSSNYNG NKFSGFGDLKMNQLSPLKSEATSPNIMGNIGNACNGANVLRRQEYRKFYDRNLGKEVI YETRVYQDGTLLYNLGQRPNLSKSSGYGGAPPRVYLEIWTKPDLSKMYNILSSSLGNN ETKAEVSKYLVDRLSQIVGWSGIGPSLKNSKIMSNIFYWEYVTKSFETWGRQYSYYSL DSRGVRHLLPSFLKPLKPLTGVDNSSKVHHFVHSFPYIPSSNDGIWRTPDLFLLSRQG TPFDHTLLQVCLLLGLNRVAFLACGTLLNRDPYSWAVTIHYEYIMNENDNFYINNNKD ENIFNKEGNGTRGRTKTSGIDFGRSKKHSNMRSISPGFMKNSDRKNHRSEKNNIIATR GKMRSLSPRSINIGQKFNNISSPKNISGISKSTQLISGDQEFNPIKAQFNLQQNPIQF YALFWDTVKHVVYRVNDIVQPSKHDEFINWLKEEGYDIKQLNESALESLFSQQKYYKD KYRNIQYKPNFCLKVVNKLPYRTLDLLVNNKNIYANIQQINDPLKLSYNLNDNKLWFS FFPRKIDHFNNIYMNSKIKQEMESKGLFNQLPITQSSSNISACFSWPIINVITDTTNN QVKKNHKERGIYDHNQSDLFQSRTDHYWNEDNVNQSKKNLGGVLSPKLIFGLKKSDHN YNNSNEHQKDNLKINDNHRSSIEKKFLENNIIMDTELDSYCRSIHDEIMRFVQIQRFQ KSRTIGTRWNTENNNMIFLRMGLKLLGKWEMSDPYTREYYKNKCEFLKWRESFLSTVP AKYRMKYAILTFPCNIPKWIAQQVWFKCNFLSNETDKRSIFSLSVDINSWPNNIQSIR ILILVVHPLKSNQLRKLQLLQKMNISNDNVNLIQGNKYNNINQTQINSVERSRGFKDS NQNFGAGKNDDSRNIKSDHSSNNNNNNSNTSNINMNSNSQHINNSDLNKKNSESDIIE ELNKLEIPEFPRELLKEDKEKDNKEKRASSIIFSDDDEPELKLLNP cubi_02195 MTGENNEKKVVIMNEEEIEKLVNEVKVNEKHEPILRNERKDRND MRDLIKDIWFMYKKAEASFWVTEEIDLSQDTRDWESLKDPERHFIKYVLAFFAASDGI VMENLAVNFLREIHIPEARMYYAFQMAIEQIHNETYSLLIDRYITEIKERQMLFEAIS HISAVKKKAEWATKWMNNERSFAERIIGFCAVEGILFSGSFCAIFWLKKRGLMPGLTF SNELISRDEGLHADFACLIYKALKYKLPTSRVQQIISEAVDVERNFLCDSLPVDLIGM NSRLMAQYIEFVADRLLFALDIPKIYNVSNPFDWMDLISLQGKTNFFEKRVGEYQMAG VMVNQDDQKFDLDAEF cubi_02196 MNQSRKELLEQQNNESVLLDNPFRWVLFPIKYNRLWSLYKKYEV SFWTAEVISPMEDKAKLENLDEKLINYIEMIFAKRIYIDDTCLDTVMLTCELLGQVQV PEARGYFSFTMCMENIYKELFMNYIEMIRQNITKKEKIEEKSKDEENVSGQNLDNIVL KEEYGYNLEKKLNELEDFTKMKELMGNFFDEDTLFSEKLVYYSIIKKIFSCSIHLLRF SFSMGNRPESELPTQLCDGLDRIRRDEQYQVEFCLNIAKSMKTKPSNDQVVTLLEQAI MLEKNFIFSVVDPQVLQLEREDIVAFILYNADEILVDLKYPRQFNVSNPFTNIVNEFP APSQEEAIARQTLTQTLKAAHYKTYQEISNNQFSTSQDF cubi_02197 MMNTSHIFKLSIFYFFLSLILDFGKVSCIPGGVSSSLPQQGSSF QPSSGNMDSFRNRAQNISKRVVDPLMNRGDRSSQNINTLSYPNDQRVETKSFNFGGIP IFGGPRMENRNYLSLPFTRDGHCEKGTIYNITSVDDLTQNSRDLMCIMEVGLQPDKIP TGMMYGTMLDLLTDTRDIDMRGIEKFWGGKFGAKGVCNRVQDEVVFGYNMVKGKFAVP SVMYLNSLPQHCSMSPAEHQDNSQSLILDYMIDKNQVCRAETYTNGPFFSKSEHLNVC AIVKAVGRQPDGGLILLGRALSYPSLKPNVNPTGLITVLFFAVVTYDNVLPEFIPGTP LEPLPASFDYRLIGIEKDNNSFFPAFGIFNKGEALSLNNFTNKFGPPIPTSTSTTTTT STTTSTTTSTTTPTTTSTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTETTTTTLDSAE AQNLNSRRLMIRS cubi_02198 MSKYTFTEYKKLNIIFRLDVFPFITIYLALISILIGEIFKLSEK ISYKDIFDSFIYLVNFIQNINSLNTTVVVPNEQVLNNSELDDMNISEELQLIIDNVPI RYLIALFVTILINLLTFLMTQWNLNFKSIISFNKIKKKSHQSSSLNPKTTHIMVKSSK YPSELCPLKYSTCIEHVGKLKDFNALTKIKSCSIINMNGSFIMQLDAADYYKYKLELE KILLKNNNHQALNTDKANLNKSSNSSSNIHWIKLINFEKKIFIYDQSKETFVKASYPI NLPIKSYFNKFTHEGGLNMNQIIYHNNIYGINNYEIPREKFLDLFIQQIISPFFLFQI FCILLWILDEYWQMSLFTLFMLCTLEAQMVFRRLKESDELRNMRRPSCFIFVYREHTW KYINTDHLLPGDIIAISCCNNSHPSKTINEEENSQDDATSIAPCDFVLLSGSITVNEA MLTGECTPKMKVSMNIDENKEQNKIDEKTFCIEGFKNHVVFAGTNIILTRSSVVTENK EFKSIIKKISSEYHSYSADHLNSYHNHHQQINYSYESNNKNSISYIKYDNDNMICIGY VLRTGFNTYQGKLIRTISSSAEKISSNSFESLIFLLILIFCSLMASSYILYNGINDPS RNKFKLIISCIHIITSVIPPEFPITLSVAVTMAVVQLTKKKIYCTEPFRIPFAGKLRI CAFDKTGTLTSDKMIPHGLFGINLYNNDEASYITFQNNSSNDNEKLSSTSSSLSPELP YLSDLIMGCCNGLSLNGKTLVGDPMEKSIKKKSSWRIHHSSENNYQCLKDNSTFSIIR RYPFSPEEQRMTNIGILQVSSGSQASPISRAFSSSSSSSSQTFHSNLSKPNKNTYGVV ISKGSPEMMLQFYKKDGFNQNLYKNVVHECTKKGYRILALGSKYCSINDVNNHHLKRE FFESELTFCGFLALYCPIKKHSKSVIEELNQSKHQCIMITGDNILTAFHVAKNVSITN NNKDILILSKSDDEISNNINDNYLWKYSNGTVFKKFDNNLNHLIHINDEFNIGITGIV FQSFIEDFKKTKILEKFLLFTRIYARMSPKNKQSLINLYNNMGKMTLMCGDGTNDVGA LKHSHVGISLLSNESSDSIKDNNCQTNNKKKKSNFFEIKKDIETRIKRGEKLTKAQIQ QEIMKELQGMDEMPKVKLGDASIASPFTYKGESPNCIIKLVRYGRSTLITVLLMYKLM GLNSIVSAFAMSVLAYDGVKFGDFQTTVESIFMSGLFFLVLKNKPAKQLVPQKPPNSI FSPMVFLSFIFQALIHLFVIYFGWKISHSFMPLDYSKNMDGPFEPNIINTTMYYLYTA CHLACFLSNAQGYPFTTPLSKNKYLIYISALIISFLITSIMGIFPHLNILFSLVTLQN KYHQLTIIFLVLFDIFGTFFINHIFNQLHIYFDKGI cubi_02199 MSKRHTQRIEIVASLDKNTKNVELSGNLSARRQTNGNSASRRIA GSAKRSSVSRLNNLSLQEFDLEDEEFDCWEYYSKIEKGIIDVNNEIDDIIVDHSELES YVKLFNVFYSSLISGNSNYLNEMSNYWDNLLKNLEEILEMNKSLLEKLVKSFIGRIFI NISSFQDAKCYYSAMIYLMISILPNRPQVITTIRPFIIRKIIGMINESQIKKQFNQKK DKKKTRQISSKKKRTNNSDTSDSESEEEKENNFSGIEKIRVNSKGVNSCIKNNDLDKI YIDNENRYFEQEENCGTDGNLILQLKLLNCLIAFCKNLDISNNLAQTGLEGLNELIEG MSDLLIFHDYFPKNSHCINELSNLAIIISRMNDYLINNSPEITSFLQKKGDYSISRII TIAVILLFINIGDNRYIKLHTINGDVIRRFRTVRIENRHFEVVLYSSIILLRRMIPLT LIGSENSFVNNLGPSSNIGSKNQTQTQNLLIQKIFNLIKELTILFPELSHPNILFIIN KIVEVQYGVSSNTKKSVQAKSAHKRKSKKKNKHLEEDNDEDEENDDEREEVLMEIGEE DEKTDEFKSDQEIEHFGGVLVLERLKKRISELKNEEFYYIDNLIIKSFFDDGCSENEI FFNDPILGYIFSIYLLLSEKSEARQNILDQLHNGLIPALVEVTETKNSTKMLLFDILK QTLFNSDENPIGGIKEVNSFISFLSNHRIEYNINTIKEVNHEITQKISAFPFKFPQLL YRRIISILPIMFHSSKPNYRIIAIDITGYILQLRSSIELLSVFIQNISSKLNLSELNS GKFKLNMIEKQMNIFGKMLSVVFEIFQDNSNLVAGDQLQSPNNTRNHGDLDLRAELAI TNSSRRNSSARQSIEWSGITMYNQQTNFTTILNFAQGEMAIQLYNLIIFLLERNRDIS PNIRIKSVTMLSVIISSACEIYQKLSEENAKVSTEGLSILFGDFTSKNTFNENICHYS KYNYALIPLIQLILDFISDEKAICRKGSLILWDALFLYLKLKGAQIPILKKTLLILFK STLTDSSILVRRHSIQSLHNLYIHSPQLKWVSNIWINYGLPTIMDSENFLVDKTTEIC YNMLLEQMKKLTEFIKGYDTIKGNINDYIKEIKQEYLFSWVSLKSHKENQVQIINLYR ICIRNILRKYPLVLQPLIQFLNIFIEFFTQVLQSIIMEKQKHEQNKTKNMFEFPDLPF VIMEELYIYLNQNNINQTNKDNIFAMTQKIYDILTEYIVGEEENNTIISMIIPENNLL TISNSLFDIFKFYLTTYFNNRQSNNILLSPEQVKLISLYEKIIFMKPEFYSRLTKTLV IYQYPSQLSLSNEKNQLLKKIISSSSLSTIIYILYLWDELNTENESKKKTKQTNLTDI VNSIFKLTGISQNEIKSQYKNCFVPNIKGEMKCIEVIYLSSIILLSSQIKGLNQEMLN IRILGELFLLNYSITNINMNNSKKSSIISIPNSIDSNNIESLVPYLEELYKRYKVMLH EKGNRQISINLESSLCILILALGQASYSTSSIAKRIIIQYMIPELQDPNIHLSIRNNI LIILHDLYILHTALVDPHLISMFNIIVKERKKGNEESKDKNLILRKQSLLLLSDLIGQ GYIKLRGSYLLRLLHSLVDRDNNIRNIAHGIFERILLKTNVSILVQNFVEILCYLNNW LDHPSIKSWNLREKTIPTKQYSASTEDFDQNEKQYILKFVFDHLSDKQKHETITRIVN DFLALFIDQSSVVTLPESYEHNNGKTLKDALSLLYSPDLCIYHKYSKRSYTGTGGNHL TGKSSSKLEIESYSIENNHLNITNTSESGFGKETDTCDGNKTTTTNVLKELIQASLAI EIIPTLLSLKHLMKQSCSPFIKDIHKCIGELLKDYRNNLSSIIQDTTLIKELEYDFKM GYI cubi_02200 MSNQNITPKKNKYVDENSTQLLDFESELNEKLLSSPYTSDESSH THQRHNDRPNHQHNLRHRNSYRTSISTNNTLSSPKSNEIIGEMIYDSGNNTVDMGKNI MGVNKENYMMITHENEKIISNKTKSSLGQNIDDLVIQRLSNSKRKKVRRIPSSSRNIS SKIEMEINHQNDLNTRNYNLNSFKFTSLIDTNSEEFNAMPHSMQKKILQMIRNRQSAQ KHRDKQKIERQNLIQENMSLRAKIVELTNELQELRAKQLAILEQEGMLSHIKNGYRFT NYNMNTQLSNINGNIISGKRIDNINITNRNSLGSEDTEINHHNIICNDNLLNEKSKTK IDNIGVKNQEIDCGFDFVPVDEYSNIGIWGSICDTAHSNTNSGNNTTANHNNNIVRNN NLCNQLTIHPNIDVLPWIGGVGGVGGVVAWNKQSNFVEDMLISSK cubi_02201 MDSEKIKQDCLKTAAEDNGEKEKEDEPIKSLKIGIDHLIYDDDE EINIQCGRIGEIENLSKCKKLKSLILISNHIRKIKNLEELIELRVLELYQNKIRKIEN LEKLVNLEVLDLSFNRIKKLENLDHQRKLKKLFLTNNKIKTIQGLNNNKELRLLELGS NDIRIIENIHHLSDLEELWLGKNKITTLDDIPLFQNIKIISLQSNRILDWSINFQKNV NNVQELYLSDNQLNNPNRDYFSFFKNLKVLDLGGNKINNLEAISKIESLEELWINDNE IGDIKQLELLRNLKNLQTLYLERNPIQNQLGPSYRLTVIKILPWITQLDAIPINRSGE IHFIQTS cubi_02202 MDMSDFALKDGGKRPIVKTIPGSVGSPVSQVAAPPGSPSILGAG GSRAPMPKFNGASGTSPRSSVTLLSPQRSSSFKRNSLTGNNIVAESKANSLFGMNKPA KVSPKNLNGSKYSTKNSIKAKPALATESTFNPPLVKKISTDKEVNSAQPSPRKSLRLQ PDYKEDNHNNNERRHPTNDELRLFSVPPASSDERKVFTKKFSSRGRDLTESAFPHSNK PGEKRTSTSLYGKEAGLGRTEIINGMEVIVPLDEAQEKKAENYMVNDSSNFIRKSSFS SPRVSVATDIPNINDTKQRNSILNNKIVPKHVNHQVIPAQASTLISPTELSVPAKLSG GNNEIGNLRRSVINKKGFTTIVEAMLSIEPEDNDENKGTQGVVIGMPIMVKNNVLGIP KLSPDATHRISESQVINYDEELANEGKAVGRTSIQMAKMDGTQNQDQQKLRNSASLEY GNTFKLDEHGLSGHLKWLYGEETKIDIAVGPYAKELPLDAVKVDKSYHVNELDPISQT KIASKFRKWINPIHGRIFVVLTTSFALVGVFFQSLIFNRLNRWEDANCGGVYVESFSM NSMLGPCSKTLSALGGLVVNELRQGEIVRMFWAMWMHTGFIHIGFNVISQAQLGYMIE PDWGMLRFFLLFFLSGIGGNLAVAVISPCSLTVGSSGGLFGITAASIPYAFENWNNLP APMFMFIFSLFSLIIGMVLSFTGVTNPWAHIGGFVVGILYTFATMKACKGCSPEDRLD RYNRMAALPLFRLFMKQDKEWINLQLEEKKRRKIEKRNAKIAEQWRKNQRIKKNALIK QMKIEQIQGETTDSLGLIVDENGVKRRTDLTILIDRTPVEKIPIKTKIKVYLKNRIKS LREKKLLWTFRILSGLLLLFYFIIGCLGTFYPPLYWPNPIGVISFSEGATMCGCCYVN QVYTCGSNPDFITWCEKQGAAAQPDRSLFGYNFS cubi_02203 MLTRPGTLIELKIQDINDLKERIQKKAKQLQESEDLNAIQSNLN PNSQENNSRILTSQQSNSISSNNNIVHN cubi_02204 MSYTNNTNQIACQCGKQDKLLPVLPQSSNSRILRMMQQPGCGCM TPSSFSSGQNYYTDNQPNLLGCFYCQKAQHCCICDFSKRAGVMNQSNMLCSCCACPIQ QFPSCQCGTNYSNIQQSSPMISTQAQITEITNSNGGNVGGNGICNGNDSTVPSTSPSG TVLVSTSLNSMPNQGQQIATQPELNGKSTSINPEMINIALSGQTPESLSISIDSRGVI TLGVNNHPAPTSNISTSNSNEESRNRIRNALGDRH cubi_02205 MLTFKQFDLKFMKINTKIKRILSLILLYIQYILFLNLLEVNSLP PSFNWNKAWRDVTSEGLVYTFSSNKQPWYSGVSFRIVGKFKAVNSQETLVTIQNGDLY HCKLTINFASQTVDALSTGYTAEDKWSTSYAYFPFPYTPKEMDIDLVVEKLRWPGGFY FYISGQGPYYPCNGIVYSNVNKLTFGNGKDNFSDYNIKRNVPLSDPYKRAYFWDEFEQ RYYFDDKNLYYVNSTGIDEKSGIPNGDRIPKHYGGWPEEMEVHVFSASMYPVNDNKYK WGGSVAVFTSDQSQFYYRMNGFFLIQSTNSYCLNSGVILSGTSYTVNNNYPFDYDNPG QPFSAILINKKFGVDVFVRESLWSLGTTFHCGVHTYQADAVGLIYPNEAGVYSTTRFA ISPLDCEYSQWSSWLPCTSTCRGGTTYRWRYPLNEVMAGGLECTITSQISSCNSDVEC LTCGFTEWGSWTPCSASCDGGITIRTRSLNHSAPGCDSLLKETSSCNSSPCPVDCALS YWSPWTACSKFLCEGTKSRYRVITREAKNGGTACPLSSQLKQVVECTDSCDGICETQL EPICQNGGECFSIGNDSYYCKCEEGFYGRNCTISSDNKFNIIVGTSSGLAIGLLVVLF ILLLTRSRQ cubi_02206 MLSCHIIHGIILIVLTFFLRFNVISSQIADFRLTQTILCTNPYT FGFKIEDNVDIPLIDDDIWPLNQVLSAGGTVSDSGFQILFATAKKNSYELIISVYPQL TTVVRECTYEGKVVRRLKSIVDHSPRFDQWPFFDKWVISFQRQEYGISISFNQGYTES LFWKRCLNEPIVSVKVVSKDAYLSADQVDCIVSEWSSWSQCSSSCKIGTRSRTRLILR PSSFEGVTCPNLIENEGCNISISCDDCTYSSWSSWSGCSVSCQGGFRTRTRKLIWKSD IKGRCEDSESDVESCNEQSCPVNCRVSSWTSWSSCSSTCGPGNKMRYRIILAQADLGG VQCPSENEITERASCNLQECEKSCSSTEICKNGGTCIDIPNSGFSCECAENYYGKFCE NQKYAWWVYFVFCIATQIVIGAIVKSTFLSRPPPITETPVTYNQEYAFNDYTPAFDNN ADISGIF cubi_02207 MYIRNETEEDLKNGEEIDEVGCLLIFDETYKSVIDSFPLNEGRN YICGSTKSVFEPFGEKTKKRVKNTKLKGNHKTDNEDYHAIIHVEQGEFFVEDNNSDVG TFRKNLKHKIKPNCKYELIPGEDLYFGNLKTKLIRYDSMESIETPKWKRHSIENKEND QIQLEILEESPKIDQIENIDFIRNKKSPKNESISTFNEDIENDSLLSNRPKRKSPKSI QDKNINHLSVQNETDKVVLLWTGCTPTNKDIELIRRANLTSIDSEDLEFMSDKVTHVV SSSIKRTLKFLWAISKGLPIISPITLRQILNLYRNKELNFKEFNNLCMNQLLNDLEGE KKFGFSLKNSINKAQNNMPIFSNYKFVISPNIKVPSINEISWLLKSSNAEIIELEKAN KIQNKSNIIFIGTNSDPNKLFKNFKTHSIDFIFDSIIKQEINTSKNLITI cubi_02208 MNKKIIISIIIGLLSITFVQASTVQSQFFNPLSIFSGIGNLFDG VTKGIQNIFETFLKEIIPQSVLVDPKYDIRSITSVQQDILKNMPILENDLNTVGEIVN EMAVGASINAAKGLQISQSVLKPDMTGASEVLKNLKSVNTTDTKQSLKSVAKAKSREF LESLAKPSEIVSDYTAPIIVIQAPETDPTQLMTIHDIIKCRGGKNWITTQEHMIKMKE YKKLKGKKKKLLDEEINQINELLDEKDAIMTDLRNKLRANEVKMVENPSDVNTLENQL LNQQMSYLAEEIVVLDKQLEKLQAKK cubi_02209 MNRNLVVNNNQAQDEVRSMETAKEEKISSCPEDLEGILENDSKI QGFRSSEISPSDIEGRQDLSAEEGIMDEQISEKEINSENENGSNHNNEECSSSNEGTH IETGSKIPNKIFETLILPTSARLNPTVGSYLEKLSPTALKGFQKRFFKLNLTDNALLY WREEPTFPDQQPAGCINLSSVIAIHIEDALNFVIRTFGRDYCLRALSPGDKDIWLESI CMVVNAVAHRETLKDASNHYNFSKVLMDASGGIQTYLTEALKTQRKVKWTKEDRVPLT FSLRGKKMFLGVKGIQNSLAKLWFDCVFSVNYLSSSSSPCLSLYSDVSSSSASNQFLG LVHGQAQLPNLSSPQPSPSSLSLKTSKGIAGTRGGVTGFRRCYYRARSSSYCTSTYSK SQQVPTHSTTSSPPTGVLRQDEGVNSTTKSMGSYNRRFTPGYFYGTYRGQNLKISKNM MGTGLNNLKRTKTLRDLSGFGNLNQAPEAFKHSEPNVQSFTRMRSHLDNVLFGTIYIE IGPLPTLQHLIQSISLNSGVSGGAGGGESSSSSSSNNSNPPLSSLQKFFALLISSRPI ANNEAFFPLPNNFSLKPCDARAFSSKLGYSPASEPGSVPGSASATASASVMGNWRDFE TLPFNIQLDCLYLFSPEYDGSPPLYVIELDNIQLSSKIREVQTGFQFRLQVPMGNILA SLKNPENKEKDLEEVHIISEEDTELKKEPQKEDQKYNRQPILETGLDGDSEGCISQDD EKETDSLEEQSQAIHTEATRLDKGLDTNSQVPRSETCQNLKDSNALASNIEPEINNGE SPLPACGINGLGQNSHFRDTKYTSITNLFHNPSLILFGLGGKKQAGNTCHNELANSIS AMSVALANNGIVFGGSNISNESIGSGIIGNNNVNVLSMEKISIRIISIFGPDAEIWRE ALIASCRARHAAKENRLRTLHDELRAFDSIPKELLDENIHHLFYKTLIYISVASGIKT CGITGMGFGGGMNSDGGNMMCSSDFRRISPMVGVLNDHYSNNNFGSVGFGNNVIRTWI KRLVHHISSSFVTIPILRILQGLEVFNLTGRDLLQASTRIMSNPRVDILRFVHDKYMV PILMVIQECFTRRNDLMREVDILLILEFLVDLRLSYEYCGIYDSKFKYLILSFSSLYM KKLIQPYYKKIFRSIHNTCYLGKTFRDKESGTLHISIFSELFSSLNSMVNLFTSLKLY HYSVEVRSVLFIAVQHALMQYQLAIRDVVLRNLCSLTDLNHEKQKFFFQHDSKIIQEG LIRRKCEGVEDCWCWKCYCNQRNLLEEIDYSRISISSEVICGLLNGMEYCICKCDELD LLMERWSPFVFYKFEQEYFREVPADTGIVGSTTVGIATRVTTQSMEVVDSNIGNISGL MGGGDSLMATVSSGGSGNSVAGIVNGNGNSNNSNSNIGSVGTILSGDLGGTGKVSIGT NNTNSSSNSASVATSSLSPSSVPIPVSIVGSGLNQGASISGILGQSGSATSGVLLSGV QSSDSASIPAQVQPPVQVQAPVSVQVPVQVQVPVPVSGSASAPPMGSGASGVIVKTTG NNPGYTNINSSSPSMVSKSNAGMVSVKGLVVPMGTNNNNNNNNNNNNNSTNNTMNTTI SSQMMTISALNDEKLQDMVSIYSAGNLREEARRFTLLFHISKMYLAIKTCKEWYRRIQ THFLNALKNVGNNISKVPGEDNSFMKYVLSLDMDSLLKEALRPQLRVLKISLKKSLFS KVSKLVLEYIIRVYIESLLLFALNYSVIQAGIYDETYDTLGVGLEGMVKDSLSSSYSM VGGGIDDMSNMNMIAAKLLEDWDVIGHFFQDCMSEEATRECLIILADLHDILTSQRLS LYEKCLDFQEKYRIFDLSLFIKIILILRLEVRLLRSFNRLNGTGDGNNKGNDCHHHNV SYSSNVEISQSFGLNNKIIMVPITTMNDLSISVPLNETARDEVSRIMLEGIHEMSLTS SNDNNNNNISFRAEVLSSTGGEVEGGGGGDGGGAVVGNGTNSGSISEMANEMDVLGGI SPGLMISWTKDNLYYWNKQMNIVKNVSSSIVQSGTGTVNNTNNAVNNNISHNNNNSGN NNINNNSSNNNNNNNNNNNNNNNNNNINNNNINNNNNNNSITANIITSSGLSDPLLLR KINNLSSEEMSLIVGDGQSISCDGSAGNHPSVVLTNSNSGNSGNIGGCGTTFDGTGSG NNNNPLLLTSGSSNLVLSSNSITVMNTSGNIKNLAAVTTNVGTLSSSALNTLAGAGVA LSSSSANVANSSSVPSVSTPGCNSGSVTGTPTQAQTQTQTHTHTQVQALIQSQNQAQV QTQTQSQAFAANSTTIGVGNNIGLTLGLNNCTVSSNIPTSTPNNGGNSSSNGSSGSNS NIGGGGAPSNHPNQSITSVSATSSNMKRSFSLEFLSQVTGDEAPEKGVISSFLLGKTS VYSPISVLSLSGLNGGAGCTILQDFMEREEKWWENIYSYYSQIYIPAYLFGMISTRLS VDDHFEEGIEGGAKSAVVTGIGAGMGTGTGTGTGTGTGTGTGTKATGTGTGTKATGEF SISNTNSAIGSSNTNSSSKGYQNNTLNTPETLPNLNGNEGNRDFIVNLAINMYQKYTH LSRILTNTFYGRYISDPGSYLKPATTEGTALSYLIGSGKLPVQMTVSDGKLKDKESNM IINNNGCSNNSGEWYHQALNHYKLCQSMIQFKYCSNNGLNDNNRDWKPGYMVIEDFSI HIYDSVFKHKLLDILSIRPNNYGERITSICIDPQDLTRTGFIIFWGEREFDGFNNSNN NSSNNSNTGTGGAGSANLSRTASNLSPVQQNVMSSNLTGNSNSSLLQMSANNTTTGGL TSNSTININNLSTQDSHNNIQQQQQQQQGQNYNINNYVDVKNCSLHVKAPTPQMAAIW VSEVDILIQKSRNNWGIGFLESFERKKFCQYMRVE cubi_02210 MGQACCNKSLMAYYLSHLVLALAMLITHFKPLPIIIQMLMYTSS IIYIGSYLSLSQVIIDPKTGEKDRSTESLSRKDAMMFPVIGSVALFSLYLAYRFLPIY WVNLLLTSYLFLIGAVALMETILQFISIVIYKCDDICKETKIVVVDTHFNFFGYFENP DDPRGHEIKITIHHLWSLLLSLALGITWIATDSWIIHNIFAIAFCIQAISLISIGSFK IGAILLIGLFVYDIFWVFGTDVMVTVAKSFQGPAKLIFPVSFDPWKQSILGLGDIVIP GFFISLCLRFDLKDFTKKHNQSLYHLISSSPKAPTFSTVLVAYLLGLITTACVMLYFK AAQPALLYLVPFCLISMICSVVYRNKSTEAWNYSEEEGKSDDEKNDSKEGFKEDESQK NTSSNKKTQELKHGKSD cubi_02211 MELYSIFLLFLFSLILKVYAENQDYPKSENLINLKDHEFKEKVL DNLTDQIWFVKFYAPWCGHCRHLYPEILKVSEHYKDNDKIKIAKVDCSVEKKICKEQN VNGYPTMRIFSRGKFIKQYKRARRTHMDIIQFIERGIQPDIVKINSPDQINQISHDLS EYPILLMMFNSEIEIEQNLEFFEEIIKRNDFELTIAVTYNQSVKSNVLESTKDHKFST TDLCSTSPCITIIGKDNFSPTILLVKEAEFVFRFMQQYRFPFLSAPSRMEFIEYLNSG NLVVIMGIQKNVEVNNEISGDFITDFGLIAEKVRRELFLPIKFSTSEIPRGILFAIVD FVSYSSLFREFGITEFNFIQGYEIVVADGLKYYYNRQDMMKIPVLFETIELISNQNPK VPRLKAYSFFNPNTIRRFFYDLNVIISDIFYKSWVHAVLVTITAFLIVAGLTVFCCLI FFGDLADSYLLHDDMVVTEMQKMAPKAENKIHKNKDEKSENELDNSSLSDTVRFSDEE PELVESKKER cubi_02212 MGKGRQKQSFNDSEITQKPTLPVDEVTEDPEFQEAMNYLRSVRE ESKLITSCIIHKNETETEKEDIKTIDIYDNKSNIENNGSENSCHYNEFDCLINYSVSE NLSIIRKDPAYEECKSKIIDYILSQKEKVSNLTEVLQTEETISASNWEEIINLAQNPC ITLGKWNSYNWSSLLEYFADQFEKNTSDSFILDTNIIQWVTLALISLHVIDSYNPHVS HCMQRIKRFLESNDFSHLTKDDYFRIETIIIIIKYVYNQF cubi_02213 MDRIWNVVKSRLEKGDSYDAYQLLISRLSRFNPSDSVEFGLEKA KYFDEYGFNEIACHVCTSMIKKAREEKLKITNSQFNSIMYILSSGSFCNEKGKLLNDT LLWCKDHENDNIDYIYKLHVWASNYYFVHKNFSKAQLYVILTENPELFANILIEWSKK GYQSEKDIFLLRAVLILLSLKKTQFAHDLLDRYCQLLNENNDSSFSSPYSSNSTPDSN IPSAPIQMAFFVISACELTDKKTAMHFFDIIKNKYALLIRRDPSFTRIIDKIDQIYFN RQKNASFNMLSNLLSMFSTDEQ cubi_02214 MPALFSLNFRNQNKSKQEKDENFTKETKMERMEIMESDFHNNPE NIKLVIQNDQVNVSKPDISTQVKEPKKECVLFQSDTLCEYQKQNLEAVDPLNSKWHGE FDKKGKISCIGSHGNIRVLAGDTLTLRLYNGIIQGENFVVTLEDFFGDRKVVPPKNTD SLGNFYWDLEMTEPGSIQISISITTCFQKSREEINQLNDELVSNIIIVEPKLYINKSF IPSSGICMQTILSQCLGNIQNWKRRLEITSKLNYNMVHFTPLQIFGQSGSCYSLANQN EISSLFFNNKFDQGHQDQKQNLSEKEVNFGDVETNSEASKAYTPEEKYNILKQVIGEL ETDYGILSACDIVLNHTADNSPWLREHPESGYNLDNSPHLTAAVELDQKLQEFSKNIY HGKYANSYGIDRNITSIQHVDLIISALKAEVIIPFNIKEFFTLNIHEIIQNSKIEESS QFGLDSKQQRDYDDIIEKINANDTFENKLYNLSLCFSCSSRSKRISIPSYILKKMGLL NIHEQVLEKVQIRLFEFTEQISNNICNSVRGLVIWERLECSKGPIGLNHWESLTPRYF TPIEDHSGNTHYLANNGWVMGWNAKEDFAAPGSFVYLKRDLIVWSDCVKLKYGKCESD CPFLWNWMSDYCRSVANIFHAIRLDNCHSTPLHVAKHMLRVCRKTRPNIWVYAELFTG DYQVDLEFERTLGLNALIREAMRAETPGSLGNYVSNYSTAPIGGLSTVPSMFQTVNSE NLDKENQEVLISLPILQGAKPLKRFPCPAIFFDCTHDNETPCEIRTPIDTLPTAALVA STNCALGSTRGFDEIVPHNISVVSERRLYQNYIINVYGDGNTCLKPEDSVDLSLKSRS NSFGPFQKLSSNYLAELSTINWNLGEIKNNNSNISTEITVEWRHPANTVEIRGDWDDW KEGIQLEKHPNGNFITKLFIRFGMKPEQANIQEQEIKSQNSNKIIYPISHRLQYEYKY IVDGNWMHDPNLPYTSDNKGNTNNIITLLKRQRSIAMVSKWKHGDQLPGIMSARKILN KLHQQMALFGFNESHVYYLTQDILMVQRYNPKIQENIYFITHSSFTQNKIDQLPETIV LDGCIKDTVFAGSLFVPNYTSVYKNDTNRIHGLDSELHKYKKLEEISSYSYDPVKNIT ILNFNRFPPGSLVILHTIRHKGFSVTQNALLEFYRNTQQSLPKVLNNCFESDNCHPSD AINYILFSCNNEELDRSCGSRGVYNVPNLGDFTYSGIYSCIHALDSARKMDDNGISHP FVLHLKQGPWYIDYTIQRLKEYKGTGFNGHKKSYPNYLEQSNSEDIRPLSELAKWVET QYSLINKVANIPIEYIISYIDIMFSSIYRNILYYILNVEKSKVLLETSSKDISEFLIK LDPLYIHLQLATYQVYSYVPSSPLVWNSKIPSLSAGLPHFSTGFMRSWGRDTFIAFNG ILISSKRYLEGKEEILGVARLMRHGLIPNLIDSGNRPRYNARDATWFFLNAALEYCVN VPNGFQILDEDIELRFPINLEQDLSRCKEAFEWLKLRFDYSQSQNRDLTSIRILKLSE VIQYIMAKHVIGISFREENAGIQLDEQMTDLGFNIEINWDPSNGLIFGGNIHNCGTWM DKMGSSLKAKNKGIPSSPRDGADIEIIALLYSCINHIINLQISNKFPHKGVTHPIHGF ISYSDWSKLIKTNFERCFYIPSSDNNEYLVDYKIVNRREIYKDTFKSTHGWTDYQLRC NLAVAIGVSKDLFDPQFALKSIQAVEKYLFSPNMLGIKTLDPSDYNYRPNYDNSNDSE DYSVAHGFNYHQGPEWVWPMGYFLIAKFQLLKEKNEKTIGAEKATKLAISETMKHLIN HRNYLISDNWGSLPELTNDNGSFCKDSCFAQAWSVGTILYFLTSIINKK cubi_02215 MANKNENIDEIIRFYEKTKIRAEYLKQNDEICGNIMLSTPPQLS QIRRVKFQPTLSPIAQSPLSEDVSKSSPKIQKEMKDVQTQTDYLDLGMVSKVCENRIE IKSKEDSKDETEKQYEMDEIKSIHTQEIFVKYDKSQENQSISLLESQNKNSKVETQEK DNQIKKYSLRENSRSITYTVPSLRVKLRRDTEKPEYNPFKTHNYFKPRKNLN cubi_02216 MSIIEELEKFDIHILGSLLSKLNTLEITQDEIGESFNVKYFAIG YYHKNRKSNRNTVIISDVVKLNFLDWDKSITEFIEDIVISLPEGFTVLGSIQSKSDCM EWLNITKVDFKKHNSKYGKFWDPNNFPNLLIVPEFDMLSIISSLKIFLINHNANYLLP DSRIQIIKDLSELGEFYCCEIHISLPLISTNFHESNKLDSNISSIEEIIESNLVVQAP NFLNNNHQLLNIYNYNKNYKIINKDLDSLPIQIPVFFSQNIQPVEINSNETSTSHFFT SYTIINNDEKSFQKINLHLQACIFKLKKNDEFMPFQNIIKKRFIDQCNYIKERVNNPT RLFYYSQSNSSSSILSDNYDFEFYLFKIPQISFPITLLNTGILFEKNPEKKTLRKLWS NILNINPLMPFITKNLALIPKLKNESEDLKYYNEKLVSPHLPLIEKMKSKNLKIKNKK TESGLNQSKITSELDPELDFDFELSLDTDFDFNSGKSYSKIQKLKLPIIYQCYGDFYY YHYNHDNFKDNGWGCTYRSLQMVLSWYLINNYTNKHVLSIPEIQDFLKQNDPTHSNLE IGSNSWIGTVEASYILLMYLGISCKLKYFYDIEEFLKYYDKISEHFQKISTPIILSIG DYSYLLVAIQISKDPSSPFDTNNVQYLLVDPHYTGKDDYELIYKKNGVSWKNASFFKS ISKDKYVNILLPLNTSEKDSQIIY cubi_02217 MIDDQETNELQNQLFETKNKMKQEVDRFHKSEQLLSEDGNSLLQ ANDTYKQYGDKLSSNFQKFLTIKKKSEQETLFLWYSFYFLVIVSLYIILRRIGIIYIL IQKPIILIFRTIKYIFTFFLIKNSDGSIKSEL cubi_02218 MLESINPASSYYSKRIEHLNVSIDSKKLDIRRLHAQRNELNSKV RELKEELQYLLESNSYVGEVIKVMGRNKVLVKLNPEGKFLVDVSKNIDISKCTTNTRV ALLSDSFKLHRIFPNKVDPLVSLMKVEKVPDSTYDMVGGLDEQIKEIKEVIELPIKHP EIFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRM VRELFVMAREHAPSLIFMDEIDSIGSQRTEGESGDSEVQRTMLELLNQLDGFESTQNI KIIMATNRIDILDDALLRPGRIDRKIEFPNPNEDARLEILKIHSRKMNLVRGIDLRKI AQQMQGASGAESKAVCTEAGMFALRERRKHVTQEDFEMAVSRVMKKDSDWNMSLKKLW K cubi_02219 MVVIRKEYLTKNRPNKLNINELSGKVIKSGSGIQKQAQGSQNSA NNKGGGSSFVNVILDKKKGQHLLKNTGILDKIISAADIKPTDTVLEIGPGTGNLTMRL LPLARKVVAFDIDPRMVAEVKKRSVNSGFSNLEVKEGDALRSSLGDFDVCTANLPYQI SSPFVFRLLSLQNKYRCAVLMFQEEFALRLLAEPGEKHYCRLSVNTKLFSKVTRVCKV APGSFNPPPKVNSMVVKLEPKKIPVSVNFREWDGLMRICFSRKKKTIRANFNNTTTLN ILENNYKVWCSIHKKNPSGNLPFKAFVFKILEDSGLSSKRGFTVDINEYFRLLFELNK NGIHFVNIIKGNAINDNSDNFDFLFSNADSDMDENMEGNHSSEDEFKMDD cubi_02220 MGGQKIKKGTRGESAMFITRAQAIKRLQVSLADFRRLCILKGVY PREPKKKLSGLDKTYYHLKDIIHLKYDPILSKVRELKIHLRKYRKAMGRNDKTKARSI RENKPIITLQHIVKERYPCFVDALRDLDDALSTCSLFASLGADDSHSIHSNMIKKSTY LIDEFMYLVSELGFLTKSFISVKGFYFQAKILGETITWLIPHQFAQKIPDEVDFKVMS TFLEFYHSLLKFVNFKLYQLNNLTYPPSINTQVFDNGGRFLALHAYNLSKLNSSIPSS IEQKVEKKRIFEGLTFFISREVPLLPLAFVIRSFGGKVGWENQFSSIKQGDETITHYI VDRPVNFLKSFIDKHPNCEFIQPQWVFDSMNESIRLPTRPYGPGEKLPPHLSPFVDDS TQGYVPTQRQVLDEIKESSSNKSRAYLSGDESTEIDDLSEHDSDIEVQQAREDAYFDS IEKEQSFSDLNMADSLETEPNSFSNSKDKVNIARKLAKKRKEEEQREQQKTLLKKKHK RLLQRIEYSNKIASEKAERLESRRKLLNEKK cubi_02221 MVNYKTSPILPEWKKTPQGPGAKTEKEEKALSSLRQLLEVQNKA AENKQNLEKQKAANGLTKKQSTSEAVFDKIKHFASSAFKNKQSCVISSVSSPNKPGKI IKTRLLLKAPLNPYELDWCTDACLLRYLRGYNLKVIKSFEALAKTIHFRRKYAPQFIS PRNISSGNNVEGLIRYGIDKEGRPCIFMRARYSDSNIDASLILNSLLYSMERACLYID QVSTSDNKINLIVDFTGYKSTQQPPVSLSLKFAKAMVDHYPERLNRAFIIQPGWFFKA VWGLISPCIPGNTAEKFVLIDSESNGIESFSVLRSYVDDKYLDKEWGGSCEDIFDPNE YWEKENNDFDKFCDFCQKNFYTPEHPIPVIVDPHSKAAVKIPTGVDPTEVPRLAVALL DDEDIDPNEPVEDGGHQPGEPFNPLNQQQSEEIEENNDFDVLDDTSTTQ cubi_02222 MGLNTAEGMADWIPPTRSFSNIESGDSNFTSKKCSYTTGLVLNN SMTGGKTEFIPVNGRRVNWYACGPTVYDSAHLGHARNYVTFDVIRRVLEDYFGYDVYL VMNITDIDDKIIKRSNEQKRENFLDLAREFELEFFNDMKALNVKMPDVITRVSEFIPE IIDFISTIISRGFAYESDGSVYFDVETFRADEKHVYGRMEPKSVADVNRVLEGEGELG EHLKDKKSPLDFALWKKAKPEEPSWDSPWGKGRPGWHIECSVMASNTLGFPIDIHSGG IDLRFPHHDNELAQSEAHYDQTQWVNYFLHSGHLHIHGSKMSKSLKNFTTIREILKEN SPRHVRILFLLHRWDAPMNYSPETSLQEAIAVDKTFVNFFANVKARLRTSYLNNSLKH DIEEEKLRLAIESSNEKIDEFLRDNINTPEVIQTLQSLVSVANSYMNSKSDDQIVGTI LRRCATFVYKILAVFGLCNDDNEKLNYKDISSSDCDNSKVEELNNEFMEIIGGFRSCA KDESRKLMGLCRKEKKTISNNDFSNVGNFIDEVNKSSLSILSKCDQVRDEQLAKLNIT LEDRPDGTFIWKSAS cubi_02223 MEIKSSGIFKKVVIQAEISSKKVSKVCVAEGVFRSDGSSEIILL KMYRKDMVINHNHIWNERKVLEYIRESQTIKEDEKSSLPLIYDSLVLEEKNTGITYIC IALKYIQGKTLDKYLNNKNINIDILKKILIQVAKVVGTLHREGIIHRDIKCSNIIIES ETGKVILTDMSLACFVNFKKKERLSELCGSFHSMAPEMICMQEPNYSIEFDWWSFGVL IYEMVFGIPPYGYHPEENKYLLKRINESPKSLSFPEPVILMGDFISSKKNLEEIEDLI KKLLNSDETIRLGSQGGCEQVLDHPWFNSQHSSK cubi_02224 MHQIVDDDDWLSSELQTIFKETKQSNTRFELKRALVRFEKRLSM NSSRDYVSPKLILSNDNESKAWISFGKISQGELLLAEKPLCWVLPKIRDPTREYSFIL WKKLIQKCKDDKKLLKNLKMLFPRTQTDIKRIRQESENYQIPIKEIKDYFESNIELAS QIRINEALRIYLVVKYNQMSVNMLPELWKNPFKWSERFSINSLYLKSSYFDHSCSPNV ARFYIGTVAIFKALRPIKHNEILSICYIENEYIQDPLWVRNSELNFFCRCKLCQKEGG FTLHEQTSKVSEINKTSKKLNKRCSLLSRKYICMLQGLALEERISIIEDVLKESFLQN DQTIISKVPRLVGLDASKLIGFLIHDYIIIKNYEKAQFWLNIMYKTNRIKDENNIPIL ILLGMISKDIEIQKKYFQRAVKISKTVFGSHIKFFMKRYWLDIKYFGNILYENTRKKV SYLRHISLLIRHIFSKK cubi_02225 MSAIGMSSDLLKFFQARPPLPHIPYPKRRPHRPYQGLADLLNEN PDLFDKVNPPPPEPYIDTKMRKEKQKLQRIQEYKHKMHAKVEAFNPKEENLDSKTGDP YNTLFIARLNYDTTERTLKRELEVYGNLVNLHIVKDFQGESRGYAFAEFENEESLKEA YKSFNRKIVDGWKILVDVERGRTVENWLPKRLGGGLGIVRGQEKPVQKRYSGTNNDTY YNSSKQIRSNYVNSGCGQPYRHREQTSYRGYGYKGQNDRYSHGGNYNSSRNYGNFGNK KFRYSRQGSSIPY cubi_02226 MNCCKLRLSLIVLILAIIVSSNYCFGKDDVTNEDNSTATNTENN ETSEKGDDIVASDQDSNSLNVENTEEGENKEEKKETENKENENKEVENKGEGNKEERD KEENKEENNKEDENKEEKNKEDDNKEEKNKEEDNKEVGNKENKEEEEKEKKVENDKIQ KFEENTEIEDGITDNKGNSDEGPEIAESQEAEDKKESGDGEQQGSIHTSIMEGLYKLD YKLKFLRRMLRKDKKMIIQIHALGYLVDSIKEQIVRDVAALNSVVKMEAENASELNKL QSIHDNHVNDKLDFIKHENIVQVNN cubi_02227 MNWLVDWGVSCSQGGCKENQDGWFVSIPTQKKSDKKENQKKKLI NNANDYCHKDEKLDKLGFSKGVWNFDPIQPNLANAGTFNPQGMNDFKNDTKCLNDMIN KAFPPVFPLFNLPPLPPKRNGPGWASHSTGSKLEGLDKSCFFGLLDGHGHYGKTASHI AAYCISQTLSKEVPDIPNSFAISEYIEQVLGILNRGFSYAHDSVISANIASKKDFGTT CIVVGIIGEYLVTANCGDSSAICIIPNLDRNVDCRLKLGEKYDAFNSNHLCFGDIGDN SNIGNVHNGNVHESNNNNNNNNNSSSSSSSSSNNDSNNTSHFEESNYETSKISLPDLP VPLSNGKLSNKLVSSFHGIYYLSNPHCLNRKSERQRVDQSGVGKVVLGDYGMLRLIPS YLSYSQARDLGLSISMSRSLGHMHLSRCALLPTPDYRILNLGSDPPRKECIKSIRQSL DKQKNKVEDEKMACFSEALIEQSVIAIGWGSRSNISQYKNHIENIELDWSTDDESFSK HLVAKAKGKPWQDSYVVIMSDGVSDILDAFTIADIIVHSQDKSMQEVAGLLTNEAEKK RRINNIRADNCTVIVVRLRNKFYNKSEDDCNSVLESPTKSCVNLNQNSGIYLEKSFLR SQNNSTNTINNVSNSLTTVNSDSSNLNNHNSGNLLSSPNKINICKSSETPIRNFKPNQ MYFHRTVTPIQRRRKIATPSPARARTCKIREVPNIFIKNHN cubi_02228 MTEKEAVSKYLSFNESIEPSLTLPTDCNEVEIPDDLISRPLPLS HEWVVWEQLNVETRKDSDYSNATKPVAKFSSVQQFWWLWHHIPQPSELLKGKRMIRES SDGSKSVVDAVILFKEGIQPMWEDPMNATGGHIHFRAWQSSVVPGELDTMWNNLVLAV IGGSLKNSSIVNGIRLVDKLGGNKGNIRVEIWFSDFSNQAAHQALLKEIETLMSSLLD GSSCDPPHFEVKSHSKTKSDAN cubi_02229 MGVLRLHKFKKLSLISLFLLITLLVFNFELLNALVATENKNDTE VNNSEPSSEKSSKSESLYDQDEANEKTEVMDDEVSSQAMGLFQSNDFDSGIQNGNFDK KETTEKSQEKPSTIKLMEEMPPALDLGDIPGLDGDTNIKEVSNESKPESNDPDSSSPS KHISASNDQEQGSSSASGPASISELASSTSASGSASVSSSTSASTSDSTLDSASASNQ VDEEIKIENGTQNPENSGQDFQELQSSMIPKGLRGYKRLQSELSSGGIDSASDSKTSE SSGSASGSNSSSGLLLPTVPEDSSDEMKLQLLFRHTTNKFPMLDSTSKEQKTSNDEGT QTNTNSDFSYNPQKGQEINANFPGSPLEKPSSPQMESEVEESKSEKSKTDTEIDESEF SSDVENNVEFPEDNSNTSSYADASSSTDSNNKNTKVRETTFIFEKPGTQEVSTVAENL ENLQENPNKHISVATQTTPVKRHNKNNVRRRRRGRVITKKGLSQLIKELNNSKTRKKM SFFKKLIGQISDKDINLISNIIEREKANREKSNLLRKTLTSNIPDRPLLGKEWIEREK SRNKQD cubi_02230 MEPNFKPNSEKKGGGSSVAPAYHRMNFLLQVSQQYALLSNELSR YCYSQAREISRKKLARIDPESRRLWCKRCNTHFISGITCELILEDKIIHNSNDNNKNS IKTNIFNKCNYCNWKKRHSYRVFDDFEDDQKNAITDQ cubi_02231 MLQLRNFVDKRILLKKCVPICQRLFYSNVVNDHFRNPRNVGSLP SDDKNVGTAVVGKAACGDVVKLQVSIKDGVIKDAKFKTFGCGSAIASTSYATELIIGK TTEEALKVNNKTIANHLNLPPIKLHCSLLAEDAIKHAIKNYQEKQQES cubi_02232 MSKPKSLRYERAIETGVEILRNALNKKENEIRNSLLELKENELQ LKKRESNLAESLRVLEQEKKNNEYLRVVNAKTEKIFNSAIESLRLKEKKMIDSLDSII NEFKLEPSDPDENMDIVGKLELLRKYLRKIQRNESEFLEYTKKIQAEKFGKSTGRCKC GYPVFIINGEVVAGSSVFGSNFDGDPEIVELEFKSMELDWERRALEHTREALRQEKLK QEEILQSREEKIRYKSEELETRLREVKRMEHILNLRALEREKNVSTGNNLHSNPSSAS SNRRGRNGSRTGRNSCPVRVQKESQNHLGVNYDSGIGSNFDKNRKKQQKEEECGFNSF NDNSITINRANDGCDRSRDLNNNYGSGSGSRSFSQMEALKTEQARVKSSETPPSEEYE LYSLSQSDNEILFTSPGYKLSPSRTTRRRFIGKITGSDESTSKKEVNSLDNAGKVTND INFSHETIKNNFSDFNMGNNSSLEVTPNDLRGKSFNDSSERLIPPRMSPLLRSQRQRL KQILQEENEDNDENYARNSLGTDYKTSSSADVDYETFEINNSYKLMYSTPK cubi_02233 MQAKTVNQSEPKGNFDKEMSKSPNVKSKYDTWILHPKQIEKIFD KNPLKQALIGSSNCDSVNQSRVTSCSSYTHPTSSSNPKRKHQDPRLSANKDTETQSTS TQNTTEMCNFDKKAWNIAHLPLKTMGMTFLMLYMSGNNAGIFSILIVSYALVNAVKIL IQANKNFLEIERAARKSFAIQKTVYCIYSLLGIAFILFKLGTMGLIPVNRGDFFSDTP SHTFPAYAIGT cubi_02234 MRFLLAIVSLSVFISVVFSAPGVPLRGTLKEDDSTNVSTTTAAP KKIIVRSTEEGTTPTAPTTTPSTTAPTAAPTTVSTTAPSGSGVDPTSTDGDEKTDTDT GSGTTDETVTTTPDPMEKCGTSFVMWFVSGVPVTTLECGSYTMVYGPVENETNPAARY VSGTVTTVTYDASNKKLMVNNQEFATLSTDSSQPTTATTAPAARLLAEDTVTEAVTMT DLYTFTLKGGKAISVGVPANQDESKRDKYSLSADNQVFYTGTASNSGVTSGIFKLNEN GDLVDPSNTVVLKDADSAAFGFRYIIPSVFAIFAAFFMF cubi_02235 MSNALIVVWERLKKFSTPTASPQDKGKYVLFGVLNIIIFGLGMI IIGILNNDASDIITGVLQLLLPFVGWVWAIVWGIAIICRNL cubi_02236 MNAPKKSIKECCEILNLKISDLNNDGEIKKAYHKLAIKWHPDKN MGNDRQRCEAKFKDIVEAYDILTDPQKRSQAEYDSKKKEIFSRGVVSIARTTKFDGSL FGGGAKFAKQGTNPGLIHISHKDRQSSQGSVSGLRKSKESDLRVKENYIQLSEKLING INTIYETVSNAVNGSEVSVSTAASKILEFKNTILKNTINSKTSNSFDGELESTQQNGD IFKPASENIQELIRRNKTSHDEDLASTNPPSDSTNSDTEKTPYLLSKSQNTPDYRMNM LSAPNYRTPNYIQQQTLCSGSDGPHMFGTSVTNNSDKCRPRVNLFKEDTFEKVPVRHA HKKIIEKIKIYPEGSHETKKPSEYRFTGGKLMN cubi_02237 MISAIIENIDKQDSDILSNRKDLELDYASKKPKNFKQGNKRWFR AKKDLMKFTPQKGIELGKKIARNASIGVIWIYEPGLLLAEELVAPCFGIISYYPNVQV TVMKGERFLGIICDAREHKPINTDRFKWYTAIGNDLAFTARYYVKEGAYIKEGQELGY LSRPARSVGRVAMKSKARCSGIIVLCKTGPVNSGEEFLRISCDAAPGTADVKNSLVDQ SHTSLLDQSDMGKSIPKLRGLAQISPEEANYDGEQFKLPQIMNSGEGPVIIEENDNSE KDKILNTDKNTQDSKITKENEKGDNFLDSSNTLGDSISSSNYNLEINPKALPPTSNAK KGNNFFDFDFDEEEDDGINDETEYKKVIEGEQKESKDQPENVEKKLEIEIQEKERLER ERLQVEIQEKEKLERERLEKERLEKKKLEKERLERERLDKLEKEKLEKERQENSGTKK KSLYTSPSISDSPDLHVIIQNHRGLAEQNPKKPKEKNKEKKHNKIDSVRTGGGLDLDG LSSSLVSEAEISLLD cubi_02238 MDIKIYLLLLFYVKFVREVILTKAEENIDSGLIKELEDDSDLEQ SKLMDSLSKIGHGLQLTDSFQPFSDISEEKQDEKETVTDGAKVLSIRKGENLAQLPDK TDLTGVGVSDSRKQGVARVSAADFRFGEPLPLVREEELYMYEHPSEELILAIPIPTMK PEQQFNTIRRLSGSKYKGLPKAHQNERSNVNWCKIVASKDPEAMTKANSMYRMIQVDL ISILGGIGSGGSSSNQGSSGNKLGSGWTQQHICEGIFLLNTYRGYGDCERIFIDLLAR FHGQWSLFYKMFRQKFREICTEVGYSKGPWDVNNDRAYSQYTVLRSAKKPIKVELSKE RKDFVRLLRTRSGVCSLLSHTLRERALRLKTSVESISQIKHITQRISLADYCDIILAK QSIIECAEALTTFFKLKAESHFESNERYRSIRSLLIKACNDALFHEKDSNTKSEKASN YDRIENKE cubi_02239 MGLAHKLAIDKFLQNLEFQNLQVIEDDFLYTKLKVISKDVFESL KEVSEQENFKYTLQKYKTKIKQIAENLYKDIKSSLDIHFDLLNNLNSKFQEINIEIVN GDYRSEHDQIYRQNLLNYLNSGFFDQSIQFLEKTHRDDKTKLIDQIRNLFYIRYESQS SILKSVILLHKLATEIELTKIVYLYSKNRTKSDEFETMLQKFVKMLHLLTSFEFFLNG CFQSPHEISITKIMNLNGDSEILNSKDTRFVIYPNLEYMRESCNNYYMFKGNKYVSNS NKEPIVFEDNKQENYTSSHKPIEYQSFNQSDTVKGIEINDESDLLFNDANKLLVDSDF FTKPKQSPVFDQTYYGRQKIEIDIISPILSAEPGINTNIEENCYDNNSTQNFNINNIE QSHFIDKNHETLKINDEEVKFYIKKKNIEQINKNTGKLGKKIKYDSKLEYINHIKCLE FQYVCILIRHLDDEEMMELDKNLFPFGAKLPLEKDNNLIWSTSESLVDNFGARKRLIE LVSQKIIHFKSSVNRSNTDPLMNTGEEKDDKVSFYRGKHLEILSILIALKKFLDSNNS NEIASARLNFIEKFVPLIRRPSTKKSKFSDNLESKDKNIHINLSKDQKGNRMMKLRSF FENDKKLKYRHPLEGELSSPNMDETINEPSLYELCTRFIMTLSITKSVKSFNDNSYKF AQKVCSMIFEQPIHNILSTQDYAWLTGFTLVRTVNSLVKQHNLPEEILIDHKNALRAL NHSFSQKIYNFHQACTQSLVSQKSTAIYRNNISLHNIKPIMKISCAEYFGISVFLYEN cubi_02240 MKFKLFTFFVLGLLNVFCGVSPPNVGALKIDTVFSEKNTVFGKN LKKAYKDVIASLVKSESHEVDQLYLELLSYNSTFHYMIILNNKETEGSLQYFSEKEKK LYFLCEDKIVDYIVKNKINKIEFLKKNCIEKSFENYLPQSTNRKLMQKNETESETEKE LEKDELLLDIDKGNTNEESNNCEIGIKEPLETNSETTEKESSTCCCFKTKNKYNDLES AISDNSEKLSGEGNSTKFEGFDISETVESKDGTVSFEIEINDKNSIFDEILNAMKSEN ESILDYASIEERDLSSSELKEALEETEKEINQRKVEIRKLKETNDLRGLNSSSKTKSL RSNQGIPLLKIAESLTQRFFQTEQKELEKYFTPTKTKLLQLAIYEYLVIHSTLEDNLE KVVEIINNENGITRLRSFFGDHVNFTSIKYVFEQYFAIFDQVQKKRLYHKSDFIRFML NLSTNKSNPMLNSPIFNLKLEIGSMIVFYSENDPRVQRIVIEEEINNTNKQLFYRDHS FFNFLLGKHFSIRENDEIFKKTHEIIAKNRNNNPLDRPKQCFLQTKQLLLEYNIFENI QNDEVLKIICLQTYGLVGLYSTIDQL cubi_02241 MQHIYNGYLSNYLCGASTSSNSILPSFSASSRTANTSSSLNISL SNDLNPYLQQTLNKLPVALNSNIPYFQHSMIAFANRIPYQTIPEAQTNNSNPTESSDS IGSDFRLDQNKSNDEEFNCSGPNGSLPEEQSNPTDSTELPSSGTSTIFSSMSDAYSAS QTNPSPIRTADEKHTTGVVKTTVSDSSVQKPLHTKKEKAIKAIKHKLGSSNHGGGGVS GSCVSGGVSAQDLDKAMSLTNNGFRNMSLKGIYYDRRNHGWQVRIRKRQTEISRYFSA KRYGVEKSYEMALRFYQVNIIGNLGNELKSAKSSETSHTLDGNSDIQAPTVLSKDDQQ GFHEQQTSGQKNFESNIANNSFFATNGGNTVENSNSQTNSIRSNSIDGSFKSLNTDST SLNGNLNCFFPYSAQGDLEVNNQLLSHYITNNILNNILVNQIIANNLFANTMINVRNA VNLHGTGHPIHCFSKIIAPQTSAQQYGSSLTTCVNSPNIVPSTPIVNPSIGTVSYDSQ LMLKDIISNALSDSETLWKGVYYDNRNNGWTFCLDGYPEKFFGSIEFGEVESLVLAFT CKINALKLNCDVSQIRSIVSNNMTDLQKIMGISNEASQNSPLSDDESHIDKEDHNKKA VKSFKDLTLHEKMDNCSLNELTEFISISQQIKQVINNSILKSGHQTLTSINFSGGIPM GHSASLDILKQNFNVRQPILSNFSDNSIFFQNVNNNQSLLHGQCLLNNQNQFGM cubi_02242 MWIKDRLLICTIPFLLIFGAGLYNNRSISDFEVFSKRYWDFTSS NDFDSPYSHFLIGLTVIINRGLMGGDELVSNAFELLGSKNLLIKLIRFWDSSPPGTPT LTILFLILTFCKLYSTCTDSNIYKCYEGCKFQKKDALIDNLDHDLGRLVKSIVKSKQD KSQKNNGEETSLRGSYFADEISGLENSINSLSHISSEITESLYNFISAFHIDQNDFPD SNELSNFWSETVTNKLQNNLIRQSNTYHGSINQIPFSNQFENNFPVQNSPNFVSHTSA PFSTAVNNGQSTESQNQVNSYLDGQTHQRHFSSEAPPVHLSGDDFVVPRQIANNTEIN KYKISELDANDTFLQDKNMNGSDTDQVEYNGGTPNVNTTYEFNSNIYSSLI cubi_02243 MRDESVNKIKLNSEQKSISSNGEVLNESDTNAVFKESIPKNSGE KPKQSKTDILSKMDGKMPVAEYSKENGSIDIGFADEMIVKALSIRKKKQNSESGVKLR RIEKSSGLDETNSAQSQIFTERPFFQRLTDSIYRRLIIGSSNQIKLVGLISAARLLVH LYESNTLEIPQNEEVQYRIPLLNCHVGDWQPVDSDKVVLSKSSISKDILGCSKAPHAP PLVNRTRNIYIVTPLNYDGNKVGGGYRGGGSDPLSRLVMKWRNLSVSDIQDFTYITEN GFDLKYNGFYILYAKSNKPDSQWKQFYSARMRTNAFNSRHQILINSTHFSKLIKLHTV HLQKDGTDLPIGMALFEDHTESLTTEDIRKDYSFQSSMNDLNNISNSSNEHKYPKGIE KNSKTTPETKNDINHLLETSKDTEFKKFFQAYPGLISYQNQILKQINKDTPVFTCPEN GKVVGNLSNQEIINNGNVITSGQAQDQNNIFSQSQITGSNINSNFAKDSLELSLLFNF RSLNPKLQTEILHAISCIKFLNQDMFSAALRSALDAACVHNGSLSENQLVSTKGFGFM QPFPNTQNVFDKTKNELPLFIRLLQDNNTENVLNSSCNSIQESFIPSNETNKPSFTGY GGIDTTNFGFPSNLSNALFPIFWSSFLATQQFNNTNSFIAEKNSSKTNTFNLNKSSVT PDSNISDMDNQNDIQTSAISTPICKPIEK cubi_02244 MNKYIVFLIQTFGIFLFGLEYVSLIVSSKTEIGFIVPTPEQNYN NLMEVASGIKSVKVSQLHKRDDSPLESVVNVESQSSRIDMVSEEEKKIESPEIIQKKI DVEPVKDDQQVKSIEKSPKPRLVEKPKKEKTSEKRELSCSTPEKMGSFPFKPFAETAR AVGIPAYSSYKAISDLHTSFNGVLDSTTLLELYYFDYDKLAIKRPNFDGKEDAWISKS LLSLIYKSEQEDPLSFLMLGYGITSTHWESYIPQDDLETPEIVVEDIIQEHDHSHGDI IFKN cubi_02245 MQKEIANYIKLKLIVYNGFLLVFFFTLNLFLTSSTKPYIKINEQ IPIETVYEEIYQTSCKISGKLMSKYKYFGGYLVADLPTLVKKICIEIDENGEIEKKMK ESNIEISLEDPLKSAQYIWIISRMKYNFFIMEAESYAIWISVLKNLRVLNTLDTVNFL PEYRKIISYIPKIPGKQMALRQYPNKDDFLFILSISTRIYKGGKFATDFTGGKILFPN EIKKNNTPLINSNIEYYLPILMKLYPPSFDYQDLISTVSFVYRMIYYCTGNIIFPIEA WEITGLVYSLGGGGNIPKYNDEYAEAILTQDQQDNFPEERNFFVVLERLIQLQPSWDV LMPPMYGHRKEKTGGIEDHELFKFMEFKGMSIPHKNSKDIDGVIVIYFIFRYLLKLFI SIETARDLWIVTKNWLTHSTDYYISNNVSFLQSERYIANRKLSKKTDQMEEIPKWATG NRDININSEIISTFISEFENEFPYIITCPEGELALKNSNSDIRYKYNPQRVQKTDRIA VNIAKEIHALFPSVKFRKICGLASSFSLIVPFDIKLFSKFTTRKNGKHKKSKMKETKY WKFSDNLEGIEFCRKGILSLLEDGKIDLEQYDEFDSIHIIDYLDSACLRIIKSQVKCN IETPYYFPYQVSNTINTFSGNIAFALASSFVGETNYYREVIGTIWDGKLQSVIQEETL PSLFRFNNPGFNPESLCNISEYFINPAIIGYFEKKKLTYLKKIKKKQRITDFLARIKE KYYTKTSYNNVELFYSDLMALKEVVNAHKIPKGANLDDWKEFVLDEESISSFILSQFK KPSDFDSVCKTLLERKLRNGDIQFFPILTDSSKYKEYLLNRKKRTKIFFKKLVKDGNS DFSLKEISNLLMFKDESLLEFPETVASNIRDSILYDRFDLQFKNFIQWYIHESCSKAS SLVYKSIKAKKKKKCFPCCYSLCYNFFSKSDDSIYYGEERSKYGVKIVEEALEFGLKI VMNNPNVKLYISTNTSNNMSLQVLEQKGITLTIDLTNKDFIIKNASFIYIYLSSISVP ITKDNALLASEIASLLLNNYQIHGEAQINDKVTNLSSVNRNMNNVGDSTLFNISHEDN PLNTLQKYCSVDKYNERSLELLNKISLSGNYKNNFNKTFLHWSNGYLSPKKACEIVEN LTKSGIINDEEFPEKLLNTKNCLDFVSYNYPKIPHIPIPVCISQQLMMSCNTGNNLVD LFASIILSRLIKFGKYNNLNSIEKTVCSYSKILSMFYNTHRFKEECKDLFTEIFGMST FIKKEFSNTNGVELLIDDICNDINLMHSCKNISSNKLITDEINRVSDIIISEIKNEFS DFDFYWRKDNMCETANEIIRFGIEDCAEVLKKFLNNLDYEFPLEFEKTICSKINVWPR VCAVEKFSNHSVFSNLFYNYIVVPMLKDNLFKNQKVVNEMNFHDICYILENNYSDQND RSGNSVISITGLDTAKICTEYFEKLFPKDQMYEQELGLRIIEKKKECEPSISKMFVAI VKDEGNFLFFDNNLDYISRNFIYLPNYMHPEGLAHILINKYKVPPRVTLITIGLQNAL DELNSKSEIKYEFSTLGLIYNSVKIVIRLDTLSEKDLLLDCMNINKDRIFPKIGDTEL EKICRHTMLSFENTELVENIYLLYSINNKVASYYSTHFTSENISFISKTYVSKVLRGK PSLAVQKLYEKVLNEYIDYIFEQFDSYLPDPEDPKKVISIYKRLGIGKINKKKKLNAK SKVSDEKIETDLDKFLNESVYKSDVQEKNKEKLAKALIMFNFLMETKRLGVFQTHMNA PPFEISQEISSESVLKFMPPDLANWLTSYTNYNFVKMLFVVPIYSDSNKVTGPIANLD IENNILYDELLSKGVALNRVMNILESRQKLISGDKKIQISATILESTIRHIIDQYSND DQLEFAFSIINNSYIFRNAIKKLTLNKEIIYDLRDNINPTTLILNGNFVALEAIEFFL DRSPSELISKTDFIFKNFDFVRSLYKDSLFYYKGDINSTEMRHIRKRFFCQSFNKQIM RNADNGLNPFFECYYFRVENGSVYRENRHIEGKTSRYCEFTGNSTRTKTSRERYLLHK RYLKFLFNDSEFYYHIIGDSLRLTPEVIMKGIGRVYGYCLLIGEPLNFYFNNFILRYL KTGEPNNESNIKNYVDNRIEKFETMINLSFNTLDSKNFLNKNMDFKNAKKKVFKDQPI YYYVDQREYEKMNGKNLKSRNSNLFEVNNFSNLRFLGKMISNFIRFEKFQLEIESFFN GVYDMIPRRFLRSFNYSNLFYFSQGYIGTNLKNEVLVKSLLTSFFYVENDDILLAHKR NVIKWFHTALNSFTYTDVGFFFATFTGKFSIFPPTAYAGKIRIVAIPLDEEKNSEKRI IIDPLLLVIYIPNYKTYIEVENGVSKIIEMNKRKLLYSA cubi_02246 MVKNNYLYEIIGVSPNAVAAEIKKGYRLRALALHPDKNQNDETS KERFQELQKAYEILRNEESRKLYDETGIIEGEENKNFEDIIDYFKQFTKKISEKDIQE YKEQYRGSDDEWEDLSNFYVRFNGNCKLLLEYIPFSEPDDVDYYVCMIEDAIKEGRLP QKKEFKGSIKELHNQAKKWKARMKRDKSKHSNDMKDLVQAIQSSSKKRMGNFANIISR FNDADFEEVDESRFQEIQENLVKNKKKKR cubi_02247 MVLYLIGTGLNDERDMTLGGIELMKTADKVYLESYTSILSQRAD LMKFTNGKPLIEADRKMIEENCDNMIEEARDKSVVLLVVGDPFCATTHSDLVLRAHEK NVKVEVIHNASIISAIGCTGLQVYRFGEVVSIPFFDENWQPSSFYEKIKANIERGLHT LCLLDIKVKEQTIENMMRNRPIFEPPRFMTINQAISQLFILEDKLKQNVISPKSIAIG VARIGSSDQKIVSGTLSELSDTDFGNPLHSLVICHPDLHLIEQRFFEIYRKK cubi_02248 MLKLTKQSSAVLNVEQDNELLADKIKCSNDFEIKLKNNVLISTK GRFKCFYPIQLLDNSNTTLYSWNSKIESKCNYIYFNIIKRSNYEKKSNLLRSILFNNS CKSIVSINLNGCKVNKRETVTIKENMSIIHQINKYKLIIKNIKFKTKIIIGIIIHIIL FLFAFIFARNRNCHKMTIKIYTDAKTESFEINSACEVTTKKQIMKEKSISRSEEIWEK QPSTNEGMLDGFIHIHEIDIDNSSYFIETLKKKLGMKADELFEYGIDGGCGIGRVTPN LMKHCKKMDLNEPILKHLMVAKKNNPDCIELIHSKLQDFNPASGRYDFIWIQWALQYL SDDEFVDLLIRIRNSFNNGDETNRNKTRRVVCIKDNADSHENEVDPVDESIIRTEKSF LEIFKRANYKCILKMEQTFLPSSFKPIISFAIVPCE cubi_02249 MSREFVFPFDWREFSNLILQESNKQTCKINSVILIGPKNSGKTT FSLYIAKEILNDNRCLNNNVYILDCDLGQPLISPMSCVKLVKWDIKDIFIGNSKNINI LPEVMFYIGGNSPIIHPLRYLKGLKQCFEYISSIEEKKITLILNMPGWITGVGLEIAS IITAFCIDVSNHVYIGFTKEFEPMTKNNEDNYFNQTNFFSFPTFDLNLVKNIKNKEFL INNHLKTTVFVNTLSDLFSYNIQIDEIKKNVNPLSFFDEYMGYYSVEKCIPQYFCKTV HFPFNSICIIPCPSTTLLSEQLKFHIPARLTNSIVALCIFNDEQHKFIPEFSADSQKL DILDTKIILPCIGFGIVHHINYQSNSVVITAPCWIPSSTLSEVNALQLTEMMLPQNYF FSTSRPYICKKKYIVKGISSGGKVPSNRKNVKRKIHNI cubi_02250 MKRRPGIKLETPNDIDSNTWDELCVSLYPSWCKQSRGIILAKKN HETLEWDVKTSLHPNVDKFIFEEIQDFFDQNNEDNKKQLNIDLWGKQFNMRNTEPDVK ASEVIWLVDSLNSFGLAITLVEDLSAIFFYEVKNEGEIDKDKILSFVKLIVRMT cubi_02251 MVNSIVEVLLMDSKSQFKAIKRKVIDSIIKLGENELILKQTAVT NIGILLDECKSKPSLENVKQILVEILMSMIAYSTVDSRIQNLDHFVSDIPHILDLPNI IRFQSMTTLLNSCCYNELDIIRRLSSIIISGVENEDSKYLIPANVIRPLLERIVNKYK NDMQIINETINFLFHNRQCINNMIGICFDMEEYDLLHKFLLIILPIIDINTLSNIFNF INVKIITFNLHNSPCQHNEINSRFIDILYLMMVNNRCEFSLINILITIIPHINIQKET NFIILSLIILSSIIENSRQEEKTSNNLGDPIQMIRLLKLTLENGGINGIIDRKLDKIK DLMFIILTILLYKSKDISEFEVLVEVIELFLKNFNDINVIILISALVYHIKGDLIEND PFCCYSKKILKEKFKFNGIEELKSIEFSYSSSEHFSGEDIYDEINTLMTENSQKFIKN EWDNNTEDIKNEAISIIYNGLYLALNYVKIRYFLENNLVNNYIYDEIYCFSIISIFIE RIIKYIESKNPKYCQEIVQLAQKFLIFNNKYQIKIFDSLFPIYEFILRQSKQISEIDT ITDSLHYSIISFGNNKNTIPIVYNKIISAENQLKTKVLEKIYYLFLKGELPYSKLESF LINQIDSELSNTNNALDKYQNGIKILFCVIRYKPDIVDEVIIGKIQNGLNSSNKDVVS YSLHCLIELCKFGIIDYDKCIKILLAIHSNILIIDFNLPNNITQLKVINNQNFGTNNS TYPVEILSSFLRFFGLYIDQKWPSENESYQKKIPNNLFYILSMLTKIIRDKAGLESVY CLSIFSKIILDFFYQHINMDITLKSFLSWCIELSFSAEIGNFFDLLDILQQFIIIMHK CNRIDFNTLQFFLLNISSPLKTILNFELVNEIFFSKKQTNNQQFGMYNMNIKYNTIED NFAMKDTFLESIEGNSNIKESSELIMNKLKSIKAKTTHNYYIQEILGLFLYNSKQVRI EVMKNIDQINIEEFKINVLKDLFKFIRNIKFISCATFQWQMIFQFIKILKEYFNQLEF IFVELVPRSKNNKITSNIEQETLFLFFLLLSYLPSVNNLDSIFNENSDLIKKCKLIRD GEKQLFDELFIENQAILILFLSSLVILRYDILNHLYESYISEIANLEILPNKLFITFV NSDIMINKHFSNKNCLLQYFIAKEPLTIERNTLIQAFQQQYYINKEINTIDFVSCLLK HLHQHGFNHLDILNKYFTIANEDNFNIYTNYGTENQLLEQICVTSSANLINSYTFGVF SFFDIAFDSFSEKDKLLDHLLYLYLSMNNDPKNIANLVFRTASAVKLFQHEMLTVYQI FEYINHYFILSDSINHFNNGVTQEINFQLGLIRAFCFSFVNYYLKKMISTNGKQILKN EQIFMIEEYTVVNMNIVKYLISTIFYENSSNENQAFIISCIKLLKGYYWVPWISFLYN KSLNNFDILNEICGIIHKNQLSSIKTENKNVKLFDKYSNIDLLLQSCVNDPIKMSICI LLLSFQTINLSTSLENKLNFHNKEKYFDIKMLNKNTLFFVSILKLDSYTFRLKNKIEN IQLNNKKLNFRDIVLQDLVMIGVIIELFETTKICDKFTNFDISNSLDIIFFQIIIPLC DLILLKSEDDENKLILEWFITLITKFLISYLSSNNNFAPKVIEFGYSLVNIFKKSKFN NLIMLGFTRTVGVILFTSDSNFSQLHEFIINIFDTLSNLESFEMQITTLFVNLNSVFN SAAIFKKPLINIKIDVLIKSLGEISYKLHRFYNNPIINSFKLLINTIYDFLNSINQKS IISNLLKSIHPLFLDALILENKLSIKIIHEFYLNLEIQEINYELIYLANFYYLYSLKN ESAESEILNFLYKDFIESGDVSLKRTVVITYLLLFILIPDSTSFLFNYCFNKDLQYNN DNADSEENIVNNWIDLRNIYLLDLEDNPKLRVWNELFFNNDGIIFLSKFYYSIKQQLF STIDTNLFYDKEIISFSLYEYPKVFLFDLECNVKFDTWRKSVSNLLFYSKCKTINVDQ YPCLEYNSSFFLEISHKLLFKLKNKDLKISLGQFKNILKVIIKKLIETNGIKKI cubi_02252 MYFLVKLLAQIITRTFFKNVTVLGKERIPLYGPVLFVGNHMNQF VDAAMLIAIFPRQIRFLMAETSFKRPIIGRLAQSAGCIPVQRPQDLRYRGIGGLAWNS SSDVRIRGIKTRFKIDAKFQDTLIIEDLGITRKVLEVVSDDEIIIDKEIGVEYSATYT DGFPFFIMPKIDQSTVYEEVSDAMRNGHSIGIFPEGGSHDRTTLLPLKPGVAVMALSS VLEGAEDLLIVPVGLNYYEPHKTLSSAVVEIGQPIPVTLELAKKYEDSPSDAVKELLS MVEKGMNSVLLSARDYTTLTCIRLCVQLYPPDRTALSQDNYYLLHQLFSQFFWALHDD PELEHLRKDLCEYEDTINHYGVPDREVWQLKQPVYECIKLMITKFLLLILVSIVGGSF FPLWAPIRYIPAFMAERHRKKALANSKVKIRGTDVLASYRILVIIALLPLLTVFYGLF FSLTFMNGQNLNTKIATVIGAFIALPPLFYISRVSFEMIMPLTKNIRTLFYVVVSNVN YLRGNERTLLHMRIKLQQKIRDLVYTKGPQVSSSFIHSFTTVVPDAVIQADNKRINYS LGEYVPVLTRAKYDAREEIL cubi_02253 MKGIRRKKKRVRNTDVKENHHTFNEEKKNYKKCRAVRSVQYSNV FLKKTFQNKAKFEMEDWIQLFLKSLIVKDFMSTIPHFRIFERMLSITSKHITGSKLKS FFAEFISRMEALDISVIPLFFEWCYVLYRQKLNLSKNQIRKNIRHDILRDALVGIVSG KARNYIIMLISCDLEISTKYLFPNSQISNWKEEFEEYFEDKYSLRDLRDDFWSFALQI FKSLAEENSIHDSLFEFILHNERTCLCKIDDWIIENLNRSTQIEWFKENSSFLSNNKL LLILFSSFINFFPFTLEFFPLTIELILNTKIEKCNTKTQPNYNIKSEHLDCSCVHNKI SFNSFNEIYNLMMYLISSFIFIYYGIEYEQFDEFNLIYEESFNILHYLTSSGKNYSSS FYKYDISNHLFQIEQLINQFRKHPSLIVKVQEFSNQIINNNNSFIILVFKSILNIYKF RNKQDNLINNENYRKNEIFEEILITLLFLVILNPNTSDDAILYLFNSIYEELYSFGFL LIGSCMTLLYKKDIFPEGISKRVQLNISNFRSIHLINSRNFPYIQFMNCIYHLISRNI ENFRVINMIFQLLEGNYLQYIRNVPQIIDSKKDREENNLSINISLTLTSIYMKLLEFF HLNPSLCKLFFEMKHYIRKSGSINQKTFEDSDFTNLTKFNPKVSLLKVFNQLLASKEP YISKNFFCEPEDKLIGSFQNINASNDLNIFRMNYTTMIHLLAILYIPVIKRVNMSLYK FKYSIYPSKYIIGEDTYFNDSECEFNDKIFEIEHQGVSIPKQFCIEFLEEVINYCKNL GIESPFDIDRFILKNAFDTSSININGQSISSLIHTCENDKNKKKCFVCCIDSYAEYLG IINAFQLIGEEAYQNYYVSKSWVNHAIIISIVTIINSNINIDETNGINLSEIKTLIRL SNKMEHSFYNNLTFELLKELINILINEIKDDTVIIKESGDPQRILFRSLMIYLLIVMS RNEIGKTILSNIDFHHLLVRLCYLFSFRIECLATNIYKNIETHGTNELINSFDGIYTP NIRRTPNSSNGDINDGNITPLTVTSKKSCNTITPTNKKRITPIIVSRNGSANGKSISM IDEHTNKNTNGIPNLALKDDTKSYSCIFHLKIILNAINVIRELIPQNLNTVDNKNDLL REKNNGIQDSSTEFVLNIMEGSLFFLLPSNTISKRSIFNCNIIGTNNEFNYQGLNTIH EGSESSEAEEIDNLSEIDKQSSQLRNTKFKIFMPTNIPYLLNDDDFSKDWYMEIVIYL IKFIINNTQLVKDISSIITDIASRITLWNRRDNKLLIFNVCVEVFKFSYDYFYDELPE ISLCMLRNSGFQLLQSIKFVFVAILDQNSLLVDNTLNDLPSINAMIELESLHRVIFVF NNLVGIFEICFQILFFNGSSVTDRDNLWLRNAINLIEIGIETLSAELSKMERIKLPPK MDDDSEFLDGDENITNYTEDLEELKYAFSKELWNGMILECNKLLRECEYVHSLISSNW FDPNSSEQSSSNKQNKNCNIKEIDDDLDNFITFEQCDEGILQENESYSDIKLTPHWNT FIKSLFL cubi_02254 MLIAFSVCLLAAIIFCNFALIFCRRIPCEFEANRNIIRHRNNFS IRISDRNSIVPQIISTEELSKIAPVKKYGSFSNKNKREYNIYSRNNSLSGFISSGSTQ KSKNNYSCVICLNNIHDEDLVRKLPCKHVYHFKCIDEWVKIKSNCPLCNINLISIYNQ NIREREIVQSINRIQSYEEVEFSEIHNSRNLIPEKLIVPKFTVFINKN cubi_02255 MLVSTTSYIQFALEFFLIVLIHFTHCATQQKPVMANCPSYGSVS HGFFCLGLNKLKSFKTESWISCANECMRHSVSSKYRKCKYWSWKATDFLCTLKSNSSY CSTRDDSYVSGSIPTSMVGYCSTTCVVGEWSQWSSCTHSRPCGGYSERTRNVIFSPMF PGEICPNKSELRSCKLDKLRCPTNCPDYGIVTLGWGCSAFEIPGGGSKLIQNIDVKKC RDECIHNKDCVSWSHGIWDQSIGERSIFPKIDVVSIEDGVPVCVNVYNYIGCFFKLEG WISGNSETNIYEKACSLDCVTSMWSNWSKCTPNPNDRQNYKKRYRSIITKNNESGLEC PDLQEKSLCDDISDYDNFIS cubi_02256 MTISENTFRDVKLDKFPDLDNHSFKKKSDVSIELSDSKYLTGKK VFPYENNDSCIADNKTKQFKKSDEQFEVTGDNEDMENFNDSSNLVEIKGIQKPKNYDI CLDRDLNVENNQDSGRIIMLDLDNTLIPTNWIMQTWRNIQCEMGNEGDSIDYGENENN LYELTEQIRNELVQVGLFQTLEKFFSDLWNTGKAYKIVIITNAGLRTVELFYLKYCLP KLGDLLKKYNIEIKSTEDYIRKKGPPPSPFREEEYREFYTNAKLHEFQRVLLDCWGNS LEHAGEVPPIFDVISAGDQACEMTAACRISKFYENRIRRTKLIYIHDPEDFRFWKQKP ESFITQLSETHRELLNILSDDSETLIGFSDMNSTGFTNLEEENDEEFEEVALGWYCRG KYINIAVSKPERFVLPQGSDEIYLETERDYFGKVV cubi_02257 MSLKTNISILISDLIWNTYYDLISSIFYGKKYHPKSPRPKLTVN KVIKLVFWLLGWLSVTFIVPLCGIILAYSISPSRIDIVPAVFDNNYLIISKPLFRTNS QSSVVNFTTSLSFLFEIKYVNRLFVNKILIEGAEIAYIGRIPVDSNNKSNCNSDNLDY LFPDFTELEYKKIPSEMISFTCTDDYTNCIVGIDIPSFFIDSYDEYSYNHDSNFGYSN FVVRTFFTSSQFISTLVSGRLGYRYNPLSIPIKK cubi_02258 MPQFYKTKKCPWFAVGRCRMDKDCNWAHSIDELRPSVDLTRTKL CDTQLREGICRNPQCRYAHSRKELRATSDLFKTSLCVYWIKGSCVVGDSCRYAHGIEE LRSKPQKGEFVPLDVETLPVPIQKITGQKHDLPINFDGRNNFSDNKLYHPYSAISTTS SLGSINKFGSENIDKSLEPMPSFVTSLFNVDNNRIGQPLSERNTWQAETIHLSNQFTP LNLLDIPLAWREKSSGHQNNNLSNLHEPLCSIGSNNQHFEQFINQSHMNDMIFNRNQM SNKRQHNNEFDGKLDSVQTTKRSYPGSIEEMYSYTPSTASSIRDKISLHNLEV cubi_02259 MDLGKYFSGFFTHSLTRSVNENQGSFEDRESYFLLVLKRNNDGD KIYKGLKETNEFNIYELNDLNIPRNLIYLVISLRFTNEKISIKAEELQPNRNDNLFWG DWPPSYSWVFFLGNILFHEISQFEFWENAVINVIALHNGESLFELYEKSTRVFSINGN FQNKKKEPLETPLERIHENEQYSSIPRLFNILCEWCDYFGPNIMTIFWLILVFFIISM VIFCINTVSIFFYFILTDLLNYKYSFFNFPYYSKKITPYLILMIPIITSIGLIFLKSI LDDFPLPKHRQEDLIYFSNNKWRNPIKKPEDYLNLFYNMAIPILIISIYMPLSNKIEI LKNEFFKFMIHFVLSLIIFQIINNININIDVSCETYDTFWSFKLPLIIKNVIFFFYWT IIKQILTYFYCNSIDYFPFTIGLTILTKNLFSVINSMPYSKNCIGFKLGPKEEMKRLP LGDYVTYDNDLVLNQPTSRSSHFWNNFLFIKNKYTLYEDMWHYNLLNMIILSICTEYP IISSFILMINSGLTITLLFSKILTDSTRPWLLNITLSHQLWKFIIDSSILFSISCFSS TPTSSNFKTYLFIVTFVLNYVNINSTKSGNMIYFSENLVFYIFKLVPLFKYTRQAIEK NKMSLNKAINKVLRMRNPQIINNHDDDNLNLTLNKLRENGYSNVRKIEIKSPIKELLE KFEGYWVLLRSNSDSLQEINAALGVSWFIRRAVDKLNPIVHYDVDTIRGIVSISTTLI MGLNNRTTLIINKTKNLGKNSLEEIENHRIEEFKMEEENSDNLSLPHDDGGFYKQYSN KRKKSFEKYIVFDQPNTTLEWSEDKTNIILETRQNREDFKMIETRSIVPSYKLPFKIK GNDGKIIENRRQDILCYKIILKGGKRNFGNKNNLLVCCRYFIKTEMIKSKDSELNSKQ SNESKTKSSRSKSLTSVNTLNEIQSIESVKPTFIGELNDFPLTIQQLIQDEDSILKIV TDKRTELLEYTRKLVSEIDNGTQKWSLERNSNGMRVYKREIDGQPFMSCGITSILLNC GVQQFKISSSNEIENSNKLCSIKDIVDYVWDSNNKMYYDPMVEKSDPIYYFRNDENIC IFYQAFKGQWGVSGRDFVVICYRFKPDANESNNLDESQYEYILTQSIEWPIINSSYVR AKNYFATYVFRPEGENKVQTLYFNQVDLHTDISAWIIKRVILDQMNSLTMLRDVLQKK cubi_02260 MSEYNEIGEKLSEPVIKSLKSLRNHILNYDFLENNCRYDIFQEL LAFRKSWRELSISLEEIDNKINDDLVKEFYENNLCIDECKVRENFINIISNNFENNIH PAFEMYYHRNVDENEDLNSLVLSSTESFEKVIKTLEFDLEDRKKKLVELESIKQKYSE LVTESKSMNHQEEELNKHIEPLKKSLEIFTNFLDDSKGENSEGFCPDTLLELHKYLRP IYIYFNSFMDKINSKNDNDKVVHVTVDEYGQVIISINKPLSKLVDDKASQNYKDFLVS IFPIKLEFSTMNTLSSREVILLSVVFNTEKQLKYHIFSDILFEKDSGENIPLIDEETM DILPNDIYGQPYYWLQFFVNNKEVIPLKVTEMNIDPSDIFKIIEYRIQNFVFFNFMLY LASIGPKLFIDFILFYLKIEFLDTQVIEINLEKYSLNTINECNKHSLIIKVNNKNIYI EINLSGNMSYCITFDESTYREEFTFTKNEFNNNNLVYNREITRNFCKKLFQILSKIQQ N cubi_02261 MVCKSKCSTKVSTKKNDIKRCSSGVKSCLTTKKATQKPAGKSKM IGSGMKRVIKKEISKNAKSEKLSRNTKVNKNISKASKINTKNSVIKREIKAAPTKNTK TKKNTVLTKTKVSLAPSPVKLVKKIEKTTKPVSRTIKSKKTPVRKLSGKTDLKSTLKT EKTSSKLTKKCSNTTMGACKSSKRSGSTESRCKKTSLVTKSR cubi_02262 MKGLPNKQNNRFYIKFEKNSLILLLTSYCNWDEQIADVSCRLKY EDIFFSEPVIESKNENIIGISLNPETLILPLRSSILARETTLRLSKRDSQNVLSFSMI IETKKMSTFQLTHDCKVDVLKSKITNNLIPLGEDKKRFANEIPNTHFSLPPPKSLLRL LEKMKLVDSKYAEIEIIQDCNNKTQTNHGEGPKSPTCHFICTSDKSLNSTVSIKTSFN NCIVFHTENNDDSRMIISQDQNNPIDQKRPFRVSAAFDINHLMSVLQLSTNISDSYCV ATITQNKFLSMLIFFPSIESQISVFLASINTANTD cubi_02263 MGAKKRIAYFYDEEVGNFHYGLGHPMKPHRVRMTHDLVSQYGLL EKVDVMVPTPGTVESITRFHSNDYVDFLRNVNTDNMHDYSDQLARFNVGEDCPVFDGL WEFCQLSAGGSLGGAQSVNEFGYQYAINWAGGLHHGKKHEASGFCYVNDCVLGALEFL KYQHRVCYVDIDIHHGDGVEEAFYTSPRCMCVSFHKYGDYFPGTGALNDVGVEEGLGY SVNVPLKDGVDDATFIDLFTKVMTLVMEKYRPGAIVLQCGADSLSGDRLGCFNLSLKG HGHAVSFLKKFNVPMLILGGGGYTLRNVPKCWTYETSLIVDTYIDEQLPNSSNFYGYF GPDFSLAVRTSNMENLNSRQDCEEVYRKISENFRDYVLPVGSQISAYDVPEKLPPLYN SNKNTDDYKDGNNIKHEQHQDVNDEMKEWPTLDYNNRALG cubi_02264 MGSGKPKGINTARELRTRRRTQKWADKQYKRANLGTRYKSNPFG GASHAKGIVVERFGIEAKQPNSAVRKCVRVQLIKNGKKITAFVPRDGCLNYIDENDEV LVAGFGRKGHSVGDIPGVRFKAVKVSGVSLLALFKEKKEKPRS cubi_02265 MFFSNRAPIPSPSSNDKITKLQTTLNNILHCLTDALYLLPDLAP KVTSSLQLEEGEELNAAKHDILYRANYISTGFECTNNLISEMRHLKPLNGEKAEIFLE KIEELKAKNENCIRELNNVSEECIIVLDKLNISISKYVEDSINKSIMLSDTENKMNMT LGEIYEINNDARLNSEV cubi_02266 MEELKHLRILNKVQERIFEEWKTNDRDLAEYLCHIGKESKSFEE FNEKILSINGEKVSNKLLDDIYSIINGGESRSEKINNECSPLPETNLNRNDNLCFAMP NEKAPLSKRALELLRKEDPNYKDINRRNKCQSETISSGFGMKDIHAKKGFGAISGIKL VESRNTESQYSNNKQEGIPNDYEKWEIMQLLNSGVISRDKIPYDICETVRDTMDSQNV EVSTEIELRNYEPLFLRGQSVKKFSVDSSIQVVVNPEGSLNKAAELASNIARERREIR EFQEKALLDSIPRDMSRPWEDPNPEAGERTIASALRGIGMNSQTTPEWKRQYLGKSLG FGKKNINVSISDQRKNLPIYPMRDSLVEAIRNNQVIVVIGETGSGKTTQITQYLYEEG FCKDGGIIGCTQPRRVAATSIARRVAQEMGCTLGSKVGFAIRFEDITSPETRIKYMTD GMLLREALSDNCLSQYSVIMLDEAHERTITTDVLFGLLKETCRKRPKFRLIVTSATLE ADKFSAYFMNCNIFTIPGRTFPVEILYSKEPVEDYVEATLVTVLQIHLREPPGDILVF LTGQEEIDNACQTLHERMKRLENMKPPPLIILPVYSSQPSEIQSLIFEDAPPGCRKCV IATNIAEASLTIDGIFFVVDPGFSKMMVFNSKTGMDSLTVTPISQASAKQRSGRAGRT GPGKCYRLYTEAAFNTEMLPTTVPEIQRTNLANTVLLLKALGVNDLLNFDFMDPPPTT TLLIALETLFELGALDEEGFLTRLGRKMAELPMEPKLSKMVLSSVDLGCSDEIITITS MLSVQNVFYRPKDKQAQADRKKSKFYHPHGDHLTYLNVYNSWKKQRYSVPWCYDNFLQ SRALKGAQDVRKQLINIFDKYKLDIISAENDHDKIRKAICAGFFSNSCKKDSQEGYRN LVDNQQVYLHPSSTLFNKSPEWVLYHELVFTSKEYIRDCCTIKPHWLVDFAPNLFQFA DQDQLSKRKKKEKIQPLYNKYEDPNAWRLSKRRK cubi_02267 MRIGQGAFGDVWLAEDLTKKRHVALKKLISKESRDGFSKTAIRE IVLLTNLKHENIVELYGVVFSRPHNDLNEHNTQGNPINGVSAHLQSNSPNRGSIWMVF EYLPYDLSGYIEGLKLEGRVIKVIDIKVIIRQLLSSLEYCHLNNTIHRDIKCANLLIS GDGVVKLADFGLARVFNSRNRMLTNRVVTLWYRPPELLLGAQCYDTPVDMWSVGCILG ELVLQQPLFCSETEAGVLKSIGDTLGSPPSDMLFELKKLPLWNDQDNNPLLQILGSGM GSKFRQFTSRVEEKVGQQGLDLLLQLLQYSPENRLTARQALEHPWLNSNDPNEVIPDK LDMSIFSQKKQFHSLNARKLREKLQGRLKAPSSSEVGGALNAIIGKAYNVDKIKKIIE EDIKTKNTSKSAETRGKQINETNSINTDSVFNVDERKFKRSRSRESENSLNGSRWKIR NSSERKYSKEYDKKYSSNTHYDRREKEREREDEYEKRRYEYSRDDSYSRNRDRELAYY DYRYSSSSRYNRDGPNGSRSNLSQHLTPPHSPQHHTYLSSNYSANYYRKRNSPPPRSS REHSSKYNISRSTGSNMYYDNKSKNEYRDYRR cubi_02268 MTNEVPYNLQMMGTSSTSTNSGNGGDIVSGINSEIIHDGTGGCN NNTNSNTQDVLSLLSYLVPNSGGDVNCSSVNPPPQIADPVTINELLGLINGAVSSNSN GIKGGAPNASNSCEPNNLIDTTNNNNMASSIPYLLPLLMGSGSNNDQNITLLNSLVSS TGSSNGAQGNINQFVSQLQNLLPPMVPGPNSGNIQNNQNLSHSNTGSNHSAEHLQMIY GGGNNISADNKSELLAAAAAFAASNTMNISNAGPVTNSRGRARKRTSTTPLGGMRGRR DSTDANKRRGGSPGRHHTIDGSGMPTSGALLPHIPSLGNDGMETLEHSGGVSMLGTPA AHHSGSQYNEFSTEDARHSVRFENGLVLMTKRFIQYLYEQGESRIIDLAAAEAHMDVQ RRRLYDITNVLEGIGILKKMGRNAFMCSASVPEVLVERDAVLSSFGTVDMSVKLAPSI DDESLDTIPWFHSYNKLQVLSNSEYEVMEKTLIQRKEQLLQACQQLGFTDIVDQYTIA TNATGAHVTGGAVTNYAATVHTNRPQSHTGGASNSRTAAGQHSLSLSHAGHAIREDNI TVPNTIEQQTNEANSAANSNTGALWGSIMGGSNRTSTVPSIHHPDARWMETAETNHGT AKHRMDGDQSSGSGVVGQNENINGFTE cubi_02269 MQRSSSLIRPLKSGSFIKERVEHKKTDDLPAFSPININASSHTK SSSKSQASKPKKYFIIFIVFALLIFGIILHRIFLTISFSYLKSNTKNSIKTRSDSNDN ISHSDQPDLNTNMVINPPKDEKQLSKNIRTQPSNKQATIPVSRSGTAKKTNLRRKMSE EELQNEYEYELLANPVLENLDEEGIKQAIEEGRIEEAVQEAEEIEEILEELEEIRREK QQRFFRGRRN cubi_02270 MQSIIKNAFDKVSVIKDVVGNSIEEAVKNNTRDASYVFNSGSSI STSIVNWAEKNHVSEKANNIKSSASMLVGDLATGLKGMTSNISIQNNFISEKSYQQDK SYQEKNEYDYFSGEFDTIDNIDKFYDDNNSFSQVINNSKSTYGETRQTEYVFTDETNN SETPPICSLNLNDNSDEVCAMLGDSNIQPDGLSFNEIINEPTQNEKILTGDVKSNIDC NLLDLDNSSSENLQDELSFSNSEKKHEKKTNSLTSQSAIPENLIEISNAKHEYNFKND PSIYSRNISSIEPQETPQEVNSETRNYLNSESDENSNNSQLNPLTVFQKISKLEDKNN SLENELEKMKSESIELKEKVNMKTRIINSINSKLSKLEIEKKSMASYINELENSKTQY KLKIDELQNLNLNLKLNEDANKELSNTIKSLQEKIVELENALAIGEANRIESLKTYQN EISELRLKLSNLKDIEGNNYKPYITRISTLEHQLSELRRRHSNELQRFEMIIDNLKKK SAKDRSENEILENKLKEVNEVHRDMIEKYDIRISQYKYIVDHIENNKVSNENVTKKSI DANKYFKLSVCNGVNELIIGDKHVNDGKVIYNQQELPYLIPSILSNSNCASQLAIINP LQEEIKQVLHDKKLLEDEYFSVYEKNKQIKQELMEEKQRNKLLQQQLDSMFKLVNDLS VKLDESKKS cubi_02271 MRILSLKSLFLFLGYSSIIIHLSTYTLNNDQIFYGVFLQLSNKG FGTKEKNHEIFQDYTNSNMKMDYKDLEISLCDIGFAILSAPKYFKSRVEDLKNSWLRH LCRNSNNQQYSSNYVLISSEKHPDIPTMIPNCEENYLNLCCKTLASYEWMVRNWPKKK WYMKLDDDTFVILSSLVDELSVFNSNDNILIGGQIYLTLEDSLVNSISGRSNNLHWKY HGEVIKGIRGGAGYLMSNSLAKLFIKNGQRYLDICNNGGSKFKTFEDASLSLLVTELV GQKSIKHIPGFHFHNPEISYRSGFDKRGFRPVTFHMMHDTSKMEFLDYLLNGSMRPPS YSCNHESTNTCISEFDLSISSKYWDPVYFGPLTSNSSKILGENAVYTSNFKSAELINI YNSGVVGLKPVQKSTITMAYPEYNKLSNNRVTDIFKYNNHFNSTNIPLQLKYNSLEIE HPCKNLIEEYSHKLKSYYKQVFTGIKEVLFVGFPDHPNRGDSAIFTGALILLEYLKIK IVKVVHLLNEYNANEIFDSFNAKTQERAVIFHGGGNFGDLYSHHHELRHIVLNDFVNY KVVMFPQTVFFKNQTNKVTTIQNFANHKGELFLAARDNRSFEILTEMFKDVNHIRVEL LPDMAFLIGDQRKKRGIPSLDILIHARADNEAPDILFNNNSVKMNNIKLESIKKVIKE GNELENVEKNQNSDKNNIVIKRQKWVDYLTILIDDWLDSDANYNNLINSDYIEKSVSR TLDGMAFLSRANIVITNRLHGHILLTLLGISHIVMGDSFGKLMGFRETWTINCPLSNW YDDFSDALVSASKKLNQ cubi_02272 MKIRWPKGFGHLSSKHGSNEIDYKEQQLKLSNLLENFNLDLENV SESDYKLFFRFLKARQFNVEKSTEMLNKYFEWRGRKKVSELINTIQIPIKIDLYQRAY HGIDRMGRPIYIDCIGSSNIKKMLELYSEKNFFNQWIYEYEFLVNVMSISCQIYNALK EHLPKDSDITSINKDEIMNLLNMGEVQFQSFSTLNIIDMNGFNMSKFDGNCRKVIKEL VNISQNYYPELLGKMIIINAPSIFGIIWNFLKPLIDEKTAKKISIYTHNDEWRSVLFD MVDSDQLPKFLGGSPKYDGEWFNANIGPWSNPVILDCIAEKYPNIPKPLIFSHLTLKN NENVPENQQF cubi_02273 MSFQTTNDQSKTKNISSLTRFENNQLFPENRYLSKRILELGTGL IPLINNSEKCSCEKTCADFCFNRLNHQECNRSICGLSDHLHDVYCNNRPFLRFKNQKT KKYVVEYFRLPIKRENRNSNTEHLKDNNSQHLTRLILLDKVSKGELIIECMGEILTDS DVRDRYQKYFELKKRGDENVMNYSFEKTASAPDRLFCLVENFVYLDMTQIGNEAKHIR HSCNPNSQAEVWISRPNSHFQKARLRNEYTLSWLRMGIFALKDIEKGTEITIDYENLM SRCTPDLVKKSEDPIRFLGLLKCNCNFENCRKSIGTRKIHEAIEFSEFLIPTANKKRR KDLNTISISREQLEPDSFSYNKKSLDSFLFLREKIIEDQKIWKEQQIRKRPKKATQRT LNIFETDKRQMIEAQNLILNLHSNNFFDPSNKLPLWHLFSSLCWQGYHDHQECCNKHI IHNDWWVKFKEMNESKNKVCKMNFVKLERPRRSCSNNFGTSIKRNEKFFRACSLNQIR RTSVFLHIMSHPWLLALNNLTNIDIELGKDWKILLARTIDLGRWTIIQKLSFFFNKYK ITDEDLSWPIIDQGLGDDEKCTVCSCHGTLLSCDICSKSIHKSCLKKCNQFIASSSFC LPNWFSRNKIGKESYFKELLDYFCRSENSAKTNNICLNKKNNKTKITVIYNPKYSTNN FKFDSTNKQDHQSNLFICHKCSNSAHTNFWLKLKGREKRVISKNAMKIRFSRAYQSNF YMQDNKQIFQDRIEKGIKANKKQVNNNNSQKYNAIRSARLMISHLFIWRQKNSTFKMP KDSQIFSELSRNFKSKRTIDTRWDTLNLISDYSRKDHIITNNSQQKTTSPSELNLPNR LSISTQDNCQIINSISIEEFIDSLRK cubi_02274 MHGPEIVSATLLQSKTKIEIKDKKISSLIVFDSSIKSESCNEFT AENVVDDEKLIYYYPSSDSKLIKHQQMSLVEGLISMSSLIIGCGELINYVKTRQHEIV FKKIKDSEIAVCIVMQLPHYIKIQSGEVVDIEFIEDDIYDKQNSKISSDYSDYLPHQF RNNSKCINPAQEENNREPLLSILDKFIETFTLLHGEVMNIEKKYLIYILEDFVPAFIE TIDLNTLSITTSMNGFYFAPVERQVQISIINLVENIINNNNQVSHISILFDAHMLYST LDSLSSRVLYNYLVMHNGIAMNDKLCNVPYGRFPTASSLEKRGGFSAFGRSNKFSVDG FIFGPTSTNGQKSKGNLVFSPKIFLPCTQQKWYKLLAFTYNEIMVVILLRTEFDTKSV DYNFDEEKSSEINQDLQFCIDLKNHIIDCNGGLSELYGQIFEQFMNIMNSTDSFRFFY INKSNSAIRRSNRLLGTTKTLMSSAEGDCVLRATRMINSSDNINSFVFKSSNEGWIVC RKSLDRTFYLFFEDSKIPLSKILGKLNHLL cubi_02275 MSDSELNTVIEFNKYKILIVIFTALVASIAAELLSYFLFFRKSD FLKLQDNLFKSRAKLDKLKLKDRTGIITENATLSSSYSNKVKKNPKIESLEQSITNLN YKISMIKMKSGILVGILFAALIPLKNTFFGDLPICKLPFEPIKIFRLVTQAGLKNASV DDAGSIFIFGQAFMAFRMAIQKAVYFDTSTSFGRM cubi_02276 MWLSTRVENVDTGIATKQGPSIPIEGMFNTLLRMGLLFELMCYL GLTLISYNYTNHLSLNYHSLGEILVQGNAPKYISFCFFLGIVGSLYLMSFQLLLADDT CFARGYVTGSKIIKIATLLDFIGKTIGFVFMMQLGGGDDLESAFNMAVAQGGVEINFL TFGQILCGIAFVSYGLGFLLLELFQDEGVGNSYGYINFLAFSASGISLLLHATTFKCT GATLFALTSFSAALLWALLFEPSINEFSPAFGETELTNDVETQVEKFTRTNQYYNVDE NYGSYSQQQSSGYMN cubi_02277 MYGSIRRMLTLDDPKNGEFSEDELRKIRLKHIFYMFIFTILYVS CFLMEHSYNKSAPISNQIRSKLFASTSEQLNRIQDQLGTPELESIISGSTVSDILNLL QASCKSKSLIEDGMTEFFNCDIIRIDWKKTHPNPSHPELPCDNSSLEKYVEEGDNTPL GEFPPAIKKYYDTNKVPLDQQSKPIFHMYHDSKLLLPLFSNSPDHNLGPIPSIHEKLN LIGKKIFKEELEKNPSGMDSNIFNDRKIVQSSEISEKYKRNAAMGKVINEMNSKQTST KLVIDRNHHWDLLFKCDPEWVAVVFEVSAVQKEKYPLWWKTKLQFTRNSPYLNHYFPE IEITTGLAYKRHHPISILLPVVTLLLFSWLLFPGALSSVLMFPYNVYRLTSKNGKFRD IIGHFIDPFGNRIYTLSWFQILLENTIGILITLGFIFSSLAIHFGSELCIETVYKKCI DPHLFWSETLHLTGAEYYGQVLFSLWLKTKLHAIAVLLLFIRLLEISLFSNNTSFLIM TFIFAFVPFMHFTAIFLILLFAFSIIMHAMYGAIYIQFSTISISFYSLFLFAFGSPFS GTEVGINAYFEKSAYGAAAMLLLFEILFVTILLNMFTTIIMNSYAIATKNTESPMRMK KVTASLWYQAKLIFGFHNELQEELDTIERLGALIKESEESVVLDMALHLPPVISPTNN SVDNKTPLLKAN cubi_02278 MIKEIYFNRKKINRKEHCFKCDCDFNKNKLNKVGSRKCETPSSS GIIVRAMDNHITQSEESFAPGIAKIMVKNFGCNHNRSDSESMMGLLSEYGYTLVDELD ECNLIVINSCTVKGPSQDSCQNLIELAKSKNKFVVVTGCVPQADINLNFLKDVSIIGV RSIHRIVEVVELTLQGNVVLLIPDKMKGESAPLIDTLEASLPPLLLPKIRRNPYVEII TISVGCLGNCTYCKTKQSRGDLGSYPIETIIDRINQSLNEGVKQFWLTSEDVGAYGKD IGTNLSELLREILKVIPKDVMIRIGMTNPPHILDQIDEIIEVMKHPNVFEFLHIPVQS GSNRILELMKREYVVEEFSLLVDSILKEIPLATIATDIICGFPGESDQDHNQTVELIN NYKFPVINISKFYPRPGTPAAKMKPVPNGISKSRSSEITNIFQSFNHNEYIFEKLPDD KIVKVWFIEHSEKSDHTVGHTKYYNKVLVKMDNNLLGKYAMVKLVKPHKWHIEGIVIN cubi_02279 MQRTKNDTRLAYILGWLIHLRFLNRIVDNIFIKSHILSLYLYYN QEYDKRESIREIYQWFRKYFKTYYLPNEYWIYKLHAFESKKNNLFSKSDWMNIYYSLF SNESKELILDSSNTARDEIGRVETNLFEKFQEINKLFIPSVNQHSFFLGKILRRILSS ILSGKCSAEASNILFATLLRSLGYYCRLTLFIPPIINFKKSCELQSNDRRAELWVEIF EPSFNKWISVDIHRGGWNFTGCNTKIPFVAVSEDRNFSNEYKSNKGLFSKLYLNDFND DSDDSNNEGSNFKKMKPIPIIPLKKKSEVNHRAIKYEISNVVEQEEQRESLAFEFSNK KKIESLSLFQLQCKIDMSRTNIKYKINDNIGWWVISVNEHGYLKETTSRYVSDWSQVF QAQLKNSCKQKIDFLISTINSTSSKYSSRLIQLELLDDFELEKIIHENDVIPISKTSF KNHPKYAIISCLNSLEIVHPKEPIVGYFQGEPIYLRENVQTLKTRTQWDQEQREIKID QQPIKIIFKKKNGNDSLKGKIKLEYFAEFQTQIKPLVELNHLDKIPRDNFKSIDISIK GNIPDSCVHIKDSCEGLKNNKFIYISRYFSTWKTENIIDIIKRSNIDYARAFVGYDYK NGSKPKYDGIIIKKKDVILLQSLKKRHELININKMANFIWNDILQSIKDVLNCLSQNS SSNSFNKNCDSILGPKKDQKLINFKSNFSKIRSISERLLEESYKAIDRKKEETNRCIE DK cubi_02280 MTENSTREKSVVSKHGWGAVRWADLSISDASNSWIQTQSDTKNE SKSIGLTSLLQKNIKLNDSISEYQGKKTIKESTIKVKNRGFLTINSTETNNEIGFEKS DFSSSLGRVGSKNYEEIEKDQNIESQEFRFGEGTYPGSLTDISQTDQTIKCLIQDANL NSPKVFGSLPQILTKDSYNNNKKRIKDYNEKPEDSENINFNSETQIDQLTIYKKVKHS KTNTCTTENPKLSRETNQNAINERNTQKKMLSNQLSTPKRQKNILVKSPIVSTPKTPQ FNSSHRNNDFSVDISASSNIDWNKRISSRLFQIAIGKGTRAYQNFLRLKPRKEDREPN DPQTPNAHIRCPQKQFTDQLNQWRKSLHQYDDLDSSILEL cubi_02281 MKQKLENKGKNSSSESQNTQQSSNHLNKDSSISTNCYSNFPHCR KLSNEMVALREKVQDELVDIERKIYELETFYWNQTTDIGNMLKGWDGYATYNNNTGSI GGRKSSIGGNRSSCRSTPNVFSEKDRWFSLSSVTSPVDIEALGTTPNTGAFSGEMFSA AGSNVGSSISHHSESQCLGSEADNSVNGSRKQRR cubi_02282 MSERKVLNRYFPPDFDPKKLEDSKKIFKKPKQNRKGGGHRKKKL LNIRMLYPFTVRCNGCEVYHYVGTKFNSKVEKVQNESYLGIPIWRFYGRCTQCGNIII FKTDPKSGDYILESGAKRNYNINNKEMINKKDENNIESKVISSVLESRSLEELEILKK LNKRLMNRDITELNALKYVIDDNDTDDLPEEQFIIHTNNKKTNTFDACEDIIVDREKH LITNSVRRCIDFYKESIEYQKKSEVCIVNSKDLKGPNFPVRVKESNPTNLFFNYYDSE SE cubi_02283 MKELSTINYLIKFFVILPCVLSQSLLLNLGVGDAQERRICTKEI PCNFRMVADLDLKSRPKNGEKNYKSLFQKGSILQDQKGNYKVIWGEKLELKSGYNEYG RGMELSELISFNGMMLAGDDRTGIIFEITDDGKGVSPRYILSEGNGKTSKGMKIEWLA VRDGVLWVGSFGKEFVSNGIIEKRDNMWVATIDKRGYVSRYNWSSVYEKIRNSLGAQY PGYCIHEAVIWSHLMRKWIFLPRRVSFEEYDEEKDEKRGSNKMIIMTDEFEILEVIDV GLIIPERGFSSLKFLPGSFDQIIVATKSVEESKSDTQKSFLTIFTINGKILLEDSEVP GNYKYEGIEFV cubi_02284 MGIQGLLPNVDNASIKSKIDSFKGKRVAIDTYGWLHRSAANCAE NIVLGKPTRVHINYCIEKIKTLQGKGIIPVCVFDGATLPMKKVTEEERSKRRNDAKKE IIRLQSEKKSNSYVMRSLCQKALDITPSIAHQVLEVLRDEYKIECIVAPYEADAQLSY LSRIKYVDAVITEDSDMLVFGSICTIYKYDDKTGLCRVIYWKDLYKSGVIPKSMFSYE TFVLGCILTGCDYVKSPQGVGIKTAMKLVQECNADLERIILQLKELGKNIPNSYSTDV QNAIITFFHQTVYDPLEEKMVPLSNSDLPKSEIETNIVLAGVPIFIDFNDQMKQGYSI ECNEMKNFIGPIIQGKMAKEICLGFIHPETHLSYNDPINHRFEFQFDISRHHDNGNNL IQSFLDSKTSLEKENLDVNIGKAEYEIEELIKQSIRSPLNVKKSNIRSFSHEESHLDL KKKRRAIWLNSKIEDDLKIKEEIKLLDNFAYRA cubi_02285 MTLSDNTGNNDTLPSQGNSGNHSSKFKSQLRADAQEFKPTFGIT GSNAIPNSSVYYHGIMPNYGHIIEYDGYRVGGTYYKGPKKQNNVEPSKSSTHLNPNAQ EFIPRGWVNPTNESTTGTLEHQRVGQPACTDIFTRHLDQTSSQRPENSSNLKSEQIKR SSTESVKSKMESSSPSNNLIKAVNRTDNPQTDISLKSHKKSLESDLDLSPHKSDQSIS SPISNKLLSSDSSNQSNHFTFKDKLLASVSTHTTTTTTTTTTTTTNNNNNNNIDNNNN NNNNNNNNNNNNNNNNNASSAIINQCSTNITEDKQDSEIIKNMVSNKTNETKVFKKGT KHIDKTEQHDTKNEVLDEKNQDSNQNNTTKTNLSDATSIKREADTGPVSWAQRLKNST CVTHNKKTGDPCSTNLDSTFPSESKPHVETNIERHDNAKSNFSNSQNRSEVSLKDNLD YNKTKDSKKPKERHLSPEDSKISEREGPKISDLDEKLKLKKPEGNIHEQIESKDFHVD NKSGLTENIDNLSENKEIADYECPKEEKATIYKNSLFESSNFPIYILLALRTVSREFK SLDISFSPPELCKRNFGTKELDRDSGHRGRGGWPSNLNHSSGNKHYHRDAGNYGPNNR RDRFQGHGNSQNPQGSKRINDNINGGAQNSTLDWTRDERKMFRLESSAESWVVKQKEQ KAKGNQFETQLRQYRAILNKLTIEKFDKLYEQILSVGINNEEEMIGLLKLVFDKATTQ HHFIPMYVELCDKLRDHLKDVTTIETRRILVDLCQELFVENLSEMVLPEHIQDNEEDS FEWQLKYKNKMKGNMIFMASLVRKKVIASTVVLMCMEELLQFHLPHHLEALCVFLHHV GPFLDSERWKHYEDFNTLFLQFEEFSTNTDIPIRIRFLINDVIDSRKNNWKSKNTKEG PMMLDDLKSKINAERGELGNASSKANNSSQYKSQSNSSFKTSDKGSTAENNAKSVDSA SNPWQRHVPSSSSKGGLSSGNISSKSSDKNLNFSSLADNKNINKDYSREKVDDEFLDE ENEHYIPEHIKDSIGGLMDSYVASYDLDEFFGHINDLEIPPEYFDGVYKSIFIRIAEY KVKQRNALFKALILYSLKNKEYMNGESAKSGIKMALQPSILDDILIDVPQFKEIVLTE FITDAISKYDSNGQVFDSDFISQANLLLS cubi_02286 MNRPKYKSKLRRNTIIEEKNRSKLLNEHIKYSLKIKNKLSDVNR AKLNAAYEIENKQDQLSKVDDNDDLNRNFDHLKWREREYNRLKREYLDYIGNCKSNRT LDYIFQ cubi_02287 MTGRIPRSKQKENRSSSNSNFQSFPTNCDGESISNNCCNRTKCT CTSFLWRGVNVDLGTSDEGMYGETREKGLRKIFLKMAKYGLDNSSVLLDIGSGRGVPN IVASFQNNLFSSIGIELDEKAFFLSLSNHLHILESKEKVVADPTIENSNVYSVHTNSK VNKKINIGFFKGDATILETFEPVTHIYSFDAAMPIWMIKKFVDLFNMSKTTYCYVSYR KDLIETLSIKAKRIHGIPTQMMGSGEGRMCWLYLKNDWKEIKNYAMLQIEDKYTKTKN IELFDLTKLTNIDEIIRFSICNSNIQMDLINSTLNYWFNNRKSRKECLKERKIMNERH KELKQLYIREKLIKNDALQLTLEDVGVKMESSPPSGNSNKNIGKNKLDFVSKGFDSNL KNKDEFSKNCGSEENFEIKDGLHIHPTIKVVDPSKLSQKKSKVKRKVASPEKLI cubi_02288 MKQRELNKEIYRTYHCFSEQKSSELSGKSAPKEGLPNISIYQAQ MFRSKQFNAALRETIEMNFKYKLNKLNQYKKEMNSSMLQKNDNYVRKLNV cubi_02289 MLFPTVLWAQTKKALFVTVDLPDLKDYKVELEENYLKFHANVEN NEYEFKLDFLKPINKDESRYQVTRALHFMLTKKEEERWSSIVKDSSKTKNWLKCDWNR WIDTDEEENPSNKFDMFGGMDGMMGGMGGMGGMGGFDLSQLGDMSGMGNMNFDEDDIP EDEDDEEPKERPDCSSECCDHEHKH cubi_02290 MFWETDKLHIELSDSLNKYGIGPHIIKSFCEYGIARSEKNNPKY NLIEYSQDSFKKNIIPHCKIYPKFEYESHLERIRRINGSNYSEISFTNKKPLIIIFFV TIDLYDEILSINKLNIKEYFGHEVIDLLFLEAKKFASIAGARCIVIWVGIRDCLSQES LFSKGTQELKSNNGIETINNKSNQRLIDGTCLDKCITNLLVDYSIDSFEARSELEASQ YLISIVSGLQEVQKRPISSKYKPKNISGTNNNPWITQIMQIPGLSDDSARGIEEAYKT PKELIRYIKDNITMKGLVSSKSSLFLESLDGHSNLVDIENSPWFNKLANITYFCSKGF CVRKIGKARARKLVILYGNMSLPSKMIGDQIL cubi_02291 MFWVLLFYTIIGVLGVSLLHSLKFVKFSIYFANYIINNIVLSVE NTKSRNTYSLIIGPSGSGKTTLFYKVKENKTTKTTTSITPGLTKVNDNRYLVDIPGNR RVIHDFILKYLNKSVSIIFVIDSNDKSSFKDAAEILFSIIREVKKIKTYKNDVSETNK QVYKVLILCNKSDLISSRNISYIKDELERSIRERLFSIQYYNFDNDLSDLIDHDKPFS FENLHFINFQFIKLSFKHSYDEFKSKIDLFLQ cubi_02292 MTSIQKEYIIGCVGKPSSGKSTFFSSITDSSAKIGNYPFTTIEP NVGITHYIAECPCKKYNVICKPKYGSCNNGYRNIPIKMLDIAGLIPGAHLGDGLGNKF LDDLRHAHVLLHIIDISGNTNEKGEQTTNYNPINDHEWLRIEIEMWIFNNIYSNWSSI VRKSKTSDITISKLLLNQLSGYGCNELMIKKLISSMKLNDSADFSNWDKSDIIYLVRQ FIKVRFPFVLVLNKADVMSENCDSNIVKFYEKYGDDHEIVVVSSLAEYFIKKMVSQNY IKLLYDNNSYNYSSFITSEDTHIEGSQTLKLIDNKLKSRLENIRDMVLFRHGVTGVQD AINKAVDLLGLIPVYPVKNIKTFTNDSYDEGNNFAFRDCILVPNGTTVKTLLKSLHIE LDKNVVNIETVGGVKISENHLLSNQMNVIKITTAKYDEKNV cubi_02293 MQFIHQDELDCSNTSKSDKKSQISSFSDEDDAKHLNEIGKTNSI HNNNIRIGAKVEHLDREYDINRQENSSKKLCSSKYLVNNYEKACSDLNQYELMETTPV GSVNLNSVSTASKSLREVYVGNLPQGLTVTELLEYINRSMTNNNVSPITGNPVISAWI NSDGKYAFCECRSIEEANILLKLNNLLSFKGNLLRIGKPKVSENNIGDQLSNSSAIIN QIIQNTTIISSYFNNIPLIFKKKETILITGINKAFTIERVKEIFADNKKIEVLELIDY RNKYKIAICELDSNTNLADRIISKLGTEAQILKMKNFNSKILYTINDYLKSMVNGIKE NNELLLKSEIFKHCQNKGLKSLLIPQKPCRCILLSKILTVEELLIPSVYSSVYEEIHK KCLKYGDIYKTTIPKPEKTPSSKDQCIDPYFGRAFIFFFSVESAIRAKVDLFKMRFLG RNIKITYYCEHEFLKGNLISCEPNRCDPIDDKEFSKIINLI cubi_02294 MVTPLERRLNKKYQKAQEIKLNGNISDASKAFGIPPRFSESLIM NTHEGKRLLISVNSPNDKLNIKRDINRGKNKFNFRNELLEKIDHSDNLSDINSYSAED NKPIIKEGNNPISKLNVIDHDSSSEFESIFRSVSNDLEQLSMQMSDSLNVKNSSVINL TSPNIDSGANNKGYAGQYLNSNINSANRLNTSKESFQDSDESISYSKSIDSLIKPSNS QYNSFSSQKSNGKYDYSDNHYENSSIINELIRKLTLSISKLKKMVMGKDNFVAILREQ MQKSNFEIIKLSQKVDFLQKELTNAKKSKEKYKDSMKKLLNDIQDGRVDGTIHNYIME IEAMKIQNERNISNLNNSEIALIQLQTEKNKLLTEKTELTDKIIQLEEKIHKLNLVVN QVTDEKLHLLETKESANKEIEKLLENIKSCNDENKISLEELKRGYELNNVLQDHVMLL KAQLEISTEEKTSQEKVVKDLKDEVETIRQNMKSLEHRESILLSALEDSSYRMTDIDK ALEEQKLEYENIIKKENDKYIEILHTCKKQTEEIDTLKQKLEEKISAEKEQIKANEEL KRKFGSFESHIRRLLDARDNEIIQLNDYMDGKLRKKDEEINLKIQEICKIQQEREKRL INQMLDQHDGFERKRIEYEHEIDLIRNNFTEKLDKAVKLENEKQLRLAETLVNKEHEL MIKENIIQEIDKKNMFIEQQLNDLKACLDDLSKEKNATVEKLLSLEQWGTLIQTMNHM NPELQNSLNTRLNELRNKVERLEKQEDTLNDVINHHSSIKGENENEIIANSDKSLN cubi_02295 MSHLFHAIGHEYSYFDKKNYSRDDWIIKISKSTTVYVGNLSFFT TEEIINETFSLCGPIKAIYMGLNHQTMQPCGFCFVQFYRHADALAAVTFLNRSFCDGR EIRVDWDSGEDISGSRRYGRGNTGFQWRDELRTQIDLDRQLDGVETTTYSKNYKFSHG INLSYQKRSQGGKGRNYCGIFNSSHHSRYNKHKYQASEQLKNGNGSHNYPDY cubi_02296 MEIHEKNSNENSQIFEENSGLILENIFIPKIKLQKIIENGNIIK LSGLDQIRVISKDESRNNYIFIVFYSISNDLNRYDDVNKRYAIWNITDLRDNKCRLYL FGEVFEELQNETIGHLYLIINPSVITSDLKNCKSYLSISKINQVIKVGKVKGFGICKG INKNGTICTNPIDSNYQGPLCKYHGSFKSKENSKKNLNGNLLIFSSMIKNGRNELIHT ESTENMKIDAPKHNSNENKIHYRRLVKQNLNKSEYFSINEESKRTRTDYIEDILKKNE IFDLNNKLNNAIKENNTSEVLIILRYLSNFDTSNTTLDQIIKSGIIYSISNLELKTTE IETAIFALKVRHKFCNSKGYWPRIVTEEPDEHQNLKVLETHLDLSWKNIHKKISSFEE EISEKKMKKDTDLLLGITKTKKQIIKKQSSNLNNVISKIDKVVSLNTSCDDAIRKLEN SQLKKKLIELEEADNKAEFKRNINSIKILNAIKCKKCGIWTEGNANPVCKEEHPESII FNQSAIKESWLCKSCNERIYSINGYLNPYCPKCKADTVCNLKRNSIYKLKTKFPNLNE TLLIRNGETMGEMERIDLI cubi_02297 MDEDCFNVHSPGVFEARKAGKSFRKSAPAVVRAIPRINKRFARP PFPVEQIEKMCVDYIRPYKQIFRDAIFTYTERKIKSKRKCELFRKFLLDRENVYFNNL KKCVIFDTDWAKEKLKQEENNIINFTNNETKSMIDVYLLRSVANEKINKQRELLVKLK QFWSEYPVRLNEKIESEKSKVLNAMKLVNEPKLRGRMESKQDQENSINLSHPNILNDE KFSLEQLEHIRLMATGYEVTTGKFSVMIDNLTQRIDHILGAEKRLNNVAKAIVLNSDK cubi_02298 MNARLGIEDIIERMEDEKLRLLQNQSVTRYDPNVRGRHSVVCRH WIRNMCMKGDFCDFLHQYDYERMPPCFTYQKYGVCVDEALGNCPFKHKADDTPLCAQY FLGFCKYGPKCKRRHEPKARHEIPDFLPDSFLLSIIQDKSLIPKMDPETSSIIKVLED ICKESYAQAVCSEVSNSN cubi_02299 MNIKEIGARLKSFGQNDLNEELEFLTNIRDHIELYTTDKQTYFS FLEECLDGIRNILLVNDTAKKHDYKKSSIICMEIISLLPQNEVISNHCSDLAQLCLHL LDRDTDDIGVLAIRNLVFLHKNYTDKLENLVESFLGFASRLCTDVQHTLPQLIQEVIS NRNNNSNLEGQEIYIQSGNSTIKSERINSVNEDPDQLFFRGGLSSTLPEFTEITNQVR SNVHRGSATTGVNNANLTQNMILPCHRSLRILIELPLALLHIFQLYPHFIERYFAIFA PNLVSCLNIVSLFPRDFHPKFMGSPCISQNHTINQLKINPEQIPEIMRSSSFKSLVQD YMIACSKIILWFVHFFRGFNGDEHISETLRQTQSAFIQGFIQVLLFCPPYCLHQRREI LVCLRSILSTEFRQGFYERIDILIDEAVLIGHGRTGYETLKVLSSAILHEIIVYLRVE HSFSSYCNNLNQDCFFDSIMKCLHSLTRNILDTALPLPAQHYCIRSAAFLPDILKKEG FIPSSNTQRNIIIRDILLNILCVFSLKIHQLRRQIYNLVLVSMDKELPELPDSKIDSF SFTPLKPLIKDFLDEFNEISNIGNWLDNSFSYANTQIGHCEVSITGCSALKKGLDLES DTENQTKPLIIDILRELRPILRATIIGARQTIFHITTLNRNTFSNNNINNKNLLNNTP SGVVGSINNNTSNIDITRYDVRKLANGVMLNETESKLLQKILIEGIICSVEYCQCFQY TMSSSSLVTIKEGGSECQIIPTSSLFIPNNTTQNNNGPNHLSTTLRQNNSIKSHQNSL LSIMPEEKELLELLASVMCYIHPQSFGDIIQATFSLLFEWSTNMSPQISLIFHYWGVQ QHTAKAFYESILPHLMLKLDTLAVEPNSEFTELRSKLFPIQILLKSLDSKQLFSEFSQ YDISTFIAQANNISIPSTPLSYSIYPTEHWKLALSRYVVDPHIDNIENHSKIPYSRGR ISHFGTPIQFPESVQTIIDPRDDPNNLINFAPTAAPHGNPDDHPFPFDILTQNINVSL FQIQKKIIDLPIPDTFIYGGNSNNLKKDKPNEIASGLVTTRLLKQLFRYIGQNPQHET ILIPYVVDLCTLCTSMASKYPNNIFFLSILRSLFRSITPGGKASGIYKEFLVILPWFL DTTIKMQKETKSPFREIWLEISLTVPARLKSLLPYMGQLISPMLNALESSDPELVLLA LRSLDLWIDNLHHDFLYPILTNSLHQPHRFQTRPSILVTLCRLLKPAPPIPLPVSQVL LSNFHRFKNNSLSFYALQSIAFQHATLVGRILGKLGGKNRWFLKDSAILDANEPFDVR LPILIKSDPINNKQHATLFLRIDDTLQHVYKYLSSIHNKDFSTSNRTVNNICNLHIRN LLLIYLSIFWTNTGTMEPIKTYFNFLKNYLEKHSEKIENPNETTPFTIDYISECDNLK PKPLYANNLELFTKTLVLASSFIEEINDSVGDYCSGLTNYLAIYSASRSFIPEHCNLN LYWSNTKTDPVAPILSALFDTIDIQFTVSHSNNLKYVNPSYIALVAIKNTVIIYYNLV ENFPLLYFNRAFQTAQLPSLLESNIVNLCYDISWSKKTAACMLIIELLNHIPPIWAQT YFQKLTEALFFISKDSGTDSNPFAERCSEDALDALIYSVFTGIKPAALFGNCDNSNFK MINLPLSKYGVTISKSRLSLLSGESVNINDRNWEDLLAIINETNNLKRNNSDLWWNKS YRVYMEMLIIESRDQWYSGVAKREEIMNSLINNTPTDDILDILRKHLFHLCVNSITPN ILSLRPACRRMAQKCLITLSKITGVSVATLLNTSFSNQSGNNDTNNHLNTTSQTTLLQ QLLSRLQTKLISTCSTPYQLAFLDTLCFIASLRPSPIGIPSTIIRRFVEDVFVVIRDE IDCEMSESQQKNSNNNFSQSNNQMNNQNNKSNPEFRKNRVQVQIYSIKFLKLILLHPN WSEFLRSRNSPSISISVSANISPIISPTTPSNCSNPINQSSHYQQSNQIQHSSQKANI NQNIQLQQTQQSIPALAQQIATNHSTQINTQTNHQNLQNVNQTKTQYTHQIYQNQQQA NINQFQMGSQLGINQTKTSQDELRWKIIGILFRCVTRKDLEICKAAHHTLKVIVRLER YLINTANSEDSSNTSNVCNTSRNNSCNNQYELLPEDQLRHCLRPVLVHLASATKLTVH VLQGLARLLELLCFCFNVTLGEKLLQHLQRLCWPNECQREAPNPGSQANSSLRQVQNS STGLANTQIDSINRYEEDLHMAIAMVCIFHLLPQGSDEFVSKVVGTILGTRNFPGLDR NSNTQSSISSFITSYTTSMTISSPFRLPLALFATHSPHNVVLFLIQQLASDRYANFLI DLIKMSPCSVIRVKLYQLRTQLIEATVNRVLEEAENIENKRDSFVPTTNFCQITGSSF QLNANNSVSGAQNINKTSHNIIPVSPAFTESYSSAWNGIRVLNSLCEEWPSLLVIDFL EYYASSNRSALTMIDILLTMYNTIFNRLIVFTKGGGISTHGNFDNKFELSLAYQGTVA WQHPWSFFHSNECFMLYKMLIGFYTATNNLENVQNKEYLEKNDHGKKVGDEIISDILS VQNSMKSVLFSTINLPSNSSSITNYSQSSASFQRQNLSDDQGFSNNFSLNSLQSLQNI QNSSSNLNSSSHTNNSQASISSISNSTSNNQAIYPSQELIISLLSTIIHGQEVKEHLK RRRIDVILTIATLFGTSSTLDSGAFRDFLICTVPKTLASQEKRLLFNQLIQRYYPNGP NIDTSSILPGTQIACIQLILIPLLEYEFNRANSNEKNHFSNEDSWLNDYICEGIITRI ILPGLERGVIPLHGTNGLSATDDPLKIEILKLLILLVKNKYCVEILSNSRKRIIKDVW NILRTESSLLKSWGYITMCYFVEKYPFPEKILFSMLVALTRLYGVSEVRLTVRRALNL FIPLLYKIKPIIKDDLLNIFSSENENKNLFSLIPSPPKLLIKDKTPETLFSNWLKLMI AILIQDSYNLPLQQQLYHWNIITLHPNIFVFEFIYFLSPILSTLTKLLWGFNSAGQNN SQYTNTQYTGSTSGMPNNFNQSTIASNNIFNVPFLPEMKRIIFDVLTVITNWVCNFDD ETDFEISKNCIDPDGSLEIKRRRISHSIINHEKNNQIPSLEKKRETTNVANSTIDISS IPQLSALFKTEDDKSTISIVDLIITIWFRLALLSSSTDHKIVAKSFNTISKILLMFPG SRVQLSWLDIGFNLNNNSNFSSISASGRSNLSQNSNTNGQGLNNQPQSISLNFIAFQL TLIAGLQVLCVYQSFKIIIMQLDSILQILEPAFVSNEKNISDALYVLICKLVQIVPHP SKQLQESLNKILNCIYLNEENKQSDDRSKELNYYYISHYKVPLSKKVRADNRIDSFYI RLIDISTSGIWSLVNQELSVLPEFIPFKTTSNSQDNIFDNNSNFMNYTEQNVNCSGRN VSFYTSTKLISSFLVLGITSDKQNLAKSKEELFNTGLLFLRYFSPLIIYILDKTLAYY SNINPQNLNNLQSSILSGKSNLSNSSRNTNISNSRVNSPTLNPGSSNLLQNLSGSNTS ILTNCTELFQLILVSPYFTFRALENDLFRHQIIFLLRDFGLITFQGGVVHLLINILGR WIFNTHLDINGYLLEKLEVNTDESSNFFNFDTLITNNSELIESGIQERKNTKVFSLDD ILRYYSSNFEYQVVPDEITIKVLKYELVISLLTGWSLVCDRNRRFEMHLIYHSFLEEI LNNHEDEILKLVSNYEEKLKIEFGNPSNNVLKQANPFILTDKALTQLEKCAILGLASP HPKIKLGILRWFNRKLPKDLFGRLRYLFTTSNFDVLAERLYITQFLELLMPALMLCES SSIINSKMGGFPSILPSIEQQIVEKLDKAAKESCRSSKPVEFVNKCNLTEVELEWWEL QNLQLKGHYEDDNVSTSSNVSLMGILGSSNNEQSNSSSFMNKKPNSFAIDKIEFNLLN DIIENLRQNPKLAIESLLFFSSTSEAISISLWKTIFPWIWDNLDDRRKSELTIDIIDF LSKERHQRVSSAMPSEWTIPHVILESLLACDTPPILPPTLLLHLSTKLRCWHIASNYL SNQMNINNSKLSVKKHEDHFFSRVNSNTEYDNNFIQDNGPDHSWMILAQIYGELQEED IVIGIRRSFSNCLETHMGLALMQQAEWSKAQEIFYNNLDVMFNSNFKTNDNNTVDKQN TLNWARIFENSDSLPLNNETMIWIDSWVACAKHLNQWNTLNEFAKERRNPQLQLECSS KLQDWVLVEKLVNKYIMHNPITKLCQAYHSLYDLLLGGINNNWNVTSQGAQESLSNSN ITISGQNRVGNSINGLNMNNGVYCQPFPQNVIQNNSSRSRLTEFERHCSIGYRTVLNF WAMLPSIVTTCHVPLLLNFQQYIELQEGFRLSSDIERKLYPDSPNNNMNTLNNIQSSA NFGSNYFIHHTNTNNLVQGSQSIHSNTNNSTPGYFEARMLNVWRDRLPNKWDSMILWN DLFVWRNFVFSIIMNLISRSDHLTSQAKSLWPSYLQDMPWTMIKFASIARKSHRLPEV SVALLQRLQNHLQVSGGDAYRAETFLATLEKVKLCLSDTTQLRTGLNILNMTDFQKCP EPYFDEYRAEFLRLKGIISNKLYPNYYIDGDATNGGNSVTGSNLLNSEQGHTGLNNAS MSGTSTSVVRVNTDLLSSLKVYPLFARGWITWAQYTDRLLYIHQNMSYAVNAVISYLM GIYLRPDKYSILLSRVLWLLPHDSPDGKYLSLAFKKYSDHLPCAVWLPWIPQLIAGID RIEGSAILHILNKIVLMFPQSIYFNIRSNYLEKREMAHMYWYLSSNSEGENANQGPPP PPSSGIYPSFISLGWQRIESLMNTCRIRHGALTLALEHWVEDIVLHCKPDPLDELLCA IRTLFQMTIEISPNSDETIITQESNLNDTKTSDFFPNLGTHFLEHNIIRRYEQLLNKS SSLNNKSFERSKNIIRQYWSEFKSDFYVYLCSSTNPPIEKVSKHLKLDYVLEKLKKWK DHFSKCTERYSNENIRGKSLLSDLSTSLCDLFHRINIRLEVPGQHFRIASESLYLRNF AVLENSNCNNPIGGVLYLHRSLPTVETVVRQSYTLKRIGFITSSGSIIHFLIQPYSGL QQKVEERILHLQVTLNTLLYKYKETRSRNISFAIQPCIPLHPRCRIIEDTGNKKSFTE LFEEEANSSNSTCPIKDLDSPISIHRKLLNISLKKLKNNNSSSQLEKEMLKIYGELCD NWVPDNILKKSILKKFSSHDQSFLFIKQFTTHLGLLSIFSYILGVNDVTPGKLFVSLD TGQVYQSELKSSYVSSTLLIDKTEKVPFRLTRNMEHLMGPFGKNGILPGTMLAFAHCL QKYEFHVRNLLCSLLRDDLHAFSVHRALQVPQNRNLYHKSSSSSTESNFENGSQQTIN STNTTTDHSQNAISHVMQQRLLSNVEIREKVDRNVRRMMEKIALLTNPRPINDNNLLI DRSVLELIECSTDPKNLSAMKPTWMPWL cubi_02300 MSALFWGIGSMKLLHNNLENNYRNKIKELEDQVFEFVESCKIFV DRDMTMVGYSQNKGLTPNIVSTHYALLILIMIDKLDQVDSDKVSKWISSLQNRDGSFS CDQYLETDCRFTYCALSSLTILNRINEINIESARSYILRCYNSDGAFGGIPCSESHAA YTYCCVVSLAFLNSLDMINVDKLAFWLCERQLLCGGFNGRPEKAPDVCYSWWIFSLLY FLGRTNYIDKRLLEDYIFSSEDISQGGFSDRPGNVPDVFHTFFGISALSLIRFGTVVN AISPIFAIPVNSISNNS cubi_02301 MNINIDYIAFSFEKIESKYSFMNMKYFPVALRIHCEQYLINSSG KIISDNKTAAQSQLIIIEKNMNKKDQGLYTNFEINMSIHGEINLSSFCNYTNIYIFKL LDENYLGSEEFIIGYSILLLNSAYYHENVSSIDLPILINCSEIFQEKLIYDINTQIYE ILFLKEKLPQDKNHLNKVSNNLLGYLHLTLNFGEKMNFNPLIGERNISETKKLINCGP ILNTISDYIDLSNTKVSEQRIDFTSLINNKRSLFDNFPYFSIDNSLIKNYFLNKEILE LEKAINKLYIGYLTRLDNANMYENEFELIWKYRLYLIKFKAGIPMLLHFANLNDKSIM IEIDNLINWIIQTIKKPMNNCKNRILNIEDSLNLLSREYKEFLNIRKLAVENLEFYTK DELFLILPQLVQSLRYENGTNLMMLLKRKAMCDLKFCVELFWLLISEISTQEYPKVFE QTIYEIIEGLADYKNYNINGTVEESYCCKYHFCLEMIDLILCQIQFRATLLWIHRISV EDCKRERAEKKIQKFRSVLNDFELGKIPHSQSKISSRSTGIDKITVKLISSMKVMLEV ENEFANFCSKKKNLISLKFICNYEFEHLKDFSLEMEEIELPLLNITNLSDLLLLPIDV NRALIGIVPSESFIIKSSQCPIILSCRMAILNSSNKKGNVQQNMPSDDTYESESIYPV VESKYMYKVGDDLRQDRLVIQLLEISYNLLNEWNAKSSAITYKVTPFSQFDGLIEFLE DFSSIGSIRKKYGKNCILNYWAGAYNTNINNIPHNVLATFINSCAAYSVVTFILGVGD RHLDNLLVGKNGHFLHVDFGYIFGEDPKPFPPPMKICSEMIEAMGGLNSSGFKLFVDK CCDCYRYIRRNSWLISNILLLMVDSGIKDLNSKPENNYAIILERIKEKFRLEQSEREA ERYLREIIMTSSNALFPAVVDTLHDWALYWA cubi_02302 MIDKSRRGPSPLIGYSIQNQGCISPDHQTCLHLSNHNFNGYKDF HSPGLMTFKQFTLSQDDNLAPNELIEMYDSYKTKYVQKHQSEFLDNNRNSPIIFEKFH PIWIRKVVDERRNLVLKRIEVFSSKLKRGGFNTVNQSNNDVEKINHSNTISDSIYTDE NDSITVFGSFPHFETDLKSHLMLLTDIPSYISYLDITKFFNNDFPLADGGLGKCNGFL DILLSPPKFTRGILNRQCYILFDNMRNRDSAIELIKGKTIKSSVTTNSILLSYNDTNN QMEDTNENEDPVVQQTGSKYSIYIIQAKGYNNENCSTKFGQVPKIFSTQERLSIDKNN MKKIIEKIESEQNISSAINEIMENLVQDGSMNIKAVVDVLSLYLKFVHGIDYYLLSAS HPIQTNTYNPSNVGPVECLSDTNNTKNSNSSDTTISQEDNENSIKYNEKSLNMYNNLL FLSRGYIEILFKNKFRIWSQRDEGNVSEPQIYKGQLDDFQNQALLNQLEENVNILLNL PSIFDMIPHPIRDDDDSLSYSWKRYCEQHTLRKKADRWQCGKCLKQFKGEEFVHKHLA KKHKDFLETIREEITFERIIKPSAEKFPHLIYPTNADEFSATSVNRRGNHGNPQGIYR PRYNKHLRSNPYEKRRYFKDWDIPKQQTIINSSNDIRTSIKYDDL cubi_02303 MTIYRSLFMFSKDLNKNEIPGDIKLKINHNIRFSDFNLHRCLID ALLCNGLIFPSPVQYHVLSQGAIEENLIVQAKSGTGKTIAFVLFILNKLLNHLDHDLK QFHGCFELQSLLIAPTREICIQINKTISMFLNSIKDNYLIDNICCIGGSPISEDFEEF NLNTPTIMVSTPGRFIQLMNYEPNKFLSVKMLRKSLFFLLFDEADRLLEDCFIEQSKH LLELCLGSSTTQFIACSATFPMDKLQLLKRMLLKININAGDCKLLKLRQIQLCSSFGT NYRNIDNIEAINYVQDVRNTDGMNSISKLAISNTLESPVLKNMNFFLYDLFLNEIFQL NAFDENNYHQWAYLLNSIVDVLIRVPFRQSLIFTNNGSVGYKIMSALKSLNIPVSYTS GKRSQIEREEIITSLNENRCRVVVCSDLLARGIDIKMIDLVINVDVPIDKETFLHRAG RSGRFGQKGIVVCIPSHKQDYDSFLYFYNQLEINFLSFCEQFNHVEKNNKSYPSYDNT DLSLGINSILTPPVLESSEDVFAGLEDSKDNNLNEKSENIDLSCSTINVTSLDYYKTI NDLQITNIDRDILDFWRLYKGITI cubi_02304 MNSNRRSEPKRKRDYKGILLGCNFKSDKDISGEYIDKILDELTR KIPEITFDSTKIYNGVNIKDSWLFNNILKLLEELFGAFNSKFLFTEELNCFTTFNKIK SIFERIIIWLSVNDSRILTKIIYSIPLIINILIKLKNQCINIRRVKKLFVEYSELSVI CQKCMKRVIQIFSCLSVSTEVKIRVACVYCTTLLIKLDEFEMSIIEDAFSTPISPINY INSKVKDRILMQGDTTNPESFVKSIPKFQEADLRQSFTHSFSVLNFNRIYNNNGSNND LLDKGWLSRRLDDESPAVRFEIFILIYELVKIGKIKNSKEFLKSINEIVYDCFFDENI AIQSLVSEIITILSEISPISINNINKILPIINDSNIYTRYNIFKVISHSYFGNAEALH KALTAVLESPLLHFDEKLVYNVFSSTAIKNSTFAPEIIPILFEQYYVKEEEIYHASIS IFLFWAIWKTPSIIKNINFDLLLLYPVAKFNFSSNIPDLRIRVSHESKCPFNFEVKPQ LYNYNVLNYSLGLYPMKSHNKYINKDLFKKELFVSIGNICSYHFLNDTTMEMCLSDNS PIESLFQFSINNFTSGTYISMNRKSFFSRLKTLISYLKTKNFSPRINTQFNISNIRFQ LGTKLIMNSHVVKNDANKINYGIKSKEISISNLPIYHYLWPENSKFNSELCKIFDSKV LSCSSFIISTTEICGSISFSYFFENYMPRKNKNEKLCLILGNLTDRLIEFKSLFCQCI VCKFNTYYQESYPEYLDDSSKVVFSKTKDVILKKTGTKFYSFPFKVLQKIPVSISFDV YSSLNNDISIDQNINLFVQIPQALKFKNSKIDQYCINDNRKFLTKSSHSVLNEVDSMN FESCNKVNVYCQIDKSIAFNVINSRKDESIINYTTPLAINNRQSYVFPIAKDTLRMSI TNSIQIKFEYPIASPVTMNAILISKKNSLASPISNIHPIVIHPNIMA cubi_02305 MTQVIKDRKISRKKAIVAYREKKYKDLVGKILKSKDFFSNLFQD SDLIYFKTELTKISNYSNLHIARSSDLSDIHIEKILKITRDNMKILYDENPWGDIWSR GWDDSLKMNELKHYMCNYMIIYERNMDNTVINTIKTDRCSEISFHNDLCSDINILSFL SFRFELEDEIGPINKQIVGYMYELQSSVKRKGYGKLLIDLLFFICNKLKIKKIMCTVL RRNIDAMRFYTKKCGFNVDKISPSLEPYIILSTNTM cubi_02306 MIQNSSDKCKTYSQFKLKKLSEYSYSTPDLIELTQFIIYNSKLS FDTINEIVDVSGFFDTVKQIYYSWQKNPVASRQVDYDLLALLTVFRASNWLNSSQYKI LEEYITDLTDGYTNWDVLFPVQNLRIAVERALKSQVTGIVQGRNGTEVWFREGHIGSN QVSGMVILSPCYIQIKDVIDGSMYTYTGGPFPMSPLDFEDILMNCPKSWVYNTSIYSS NSESSL cubi_02307 MNDPELIWNTISNNFCSFKVKCDKTTFCRNEYNVTGLCNRTSCP LSNLLYATVIEHEGDCYLYMKTAERAHAPRFLWEKIKLSNNFLVAISQINDNLKNIYP EHQILKCKHRLTRIRQTLIRARKMALMVRPKLVPIKKKTERREASREYKALNAAKIEN EVENELLLRLKEGLYGGIYNYPTNKYEMALKNVGNITQDEENEDFLEDDLVEYIEDIE DDSEDELDTIHKHELEKILSKKKKINLEYEIEEESRISR cubi_02308 MHLQVLDRNENSFSLDKTLIFIKYILINNYKLDFFLNDTYKLDY KFVPKELHGVINFKQIIIDDDHAIINNSIFTLKKNMVVLLKLKIDVSKKIVQLFPVEI KYNHNKKNEHTLIGELMMENKIKITDPLSIIGENSEYFNTNETFENVSTPTLYPLMNF IIFIWGFDNQFWGENKICDLGISKWYKKLISFKSKVTIHGILLNIPDSFILEYFESDS FDMNQESFSESTKKFLEDINKSINKNSEFFSAGFAPKFTWSTPTDAIWINPNRNICCK TLEDLLILLKASTKVSEDIDRAKERKISNVLLLREYIPSLNEMFEFRVFIGGVGPYSE YKILGISQRHTSCYYKDLHENSQLRAKIKSSIMDFFLYSKKELMLEIFDIFKTACIAL DIYISNHKDKSSILIIDIQPLLYSSTLLFNIFELKLHLLKEGTELDSDLLRIVDNNSP NNMIDNSCIKGFVPEELLSVSNSDFYINEVVDKLEWMDYK cubi_02309 MVRCFELSYKYFSTVIICILIYLVILRLNDHCILCDALQISKST SNELVSDTNESKYKTGSIEFYLCILFSIALIFFGGILSGLTTGLMSLDNVQLRVLIEA GNEHEKKWARTALDLIKRHHLLLVTLLLANSICMEALPLFLDRIIPSWVAVILSVTAI LIFGEILPQAICTGKHQLRIAASCATFVKCLIICFFIFSWPISKILDYFIGENGKTNN FYARGQLKALIALHRRTGDFENAPISLFSVVPNILEGQTEKKIYIEEIKLDDSKNANS GIKGKIQNFKEMHLNPSFFQTLLNSNERMIVDSQKRIVSKNPPDFKMIENNGLANDEV TIIQGVLDMANKSLLELSVPLDKVYMLHIDSKLDHLLLEDILRVGHSRIPIYSGNRHN IKGLLLVKSLITIDPDDSITIKSLFDSKACNRYIVEPIFTSPDTNPYDALNMFQQGRC HVAILTNYVDEYTYSTKTNTPLPDNCEIIGIATLEDIIEEIIQEEIIDEFDKNQRNSN IKNNFSCMNEKSSIRRSSSFFKFDKSINDYLNYGFKISKKNYNFYKEHFVSAPELWSP IYYSK cubi_02310 MDLTLLESNIGIPSLPNQACDELLSNLIEIGDLASWSLSSAKPG NGIQQLRDNNSSTFWQSDGQSPHTITLRFPKKTKISVIDLYLAYKIDESYTPQIISIR SGNQESDLEEIKEMQLTEPDGWVRIPLSPREIADNFFKDAMPMQIKTICDSQNYISAF CIQIAILANHQTGRDTHVRQIRVWGPREVNSNVVGKVPISQPIKMGTSIDTKMYQYSN YQYYKDDNFKEYNEPNSLLKYMAIGIKIIIYSISWIMVLIWDAYEYGMVFYGLILSIY ILRYYSRYSCLKYIFEESLKKQILKNILPNSLVEMLG cubi_02311 MNKERISEGQDVSKWKVIYPLYLNSNNTKSGGRLTSLIHSVENP TVAEMAEVCIQLGIPCKLESKRHPRDYRNLGRIRFRLFDDGGKAFNDGIFTKKMLLDQ IGRMIPKLKNRQIVAFSIRDNIDPKHNKANNDETQTENNGKMREATHSVASSSASINS NNGKSNLKKKNKKF cubi_02312 MNSERNIRVYEKFDDSNPKDESIDGEIFLSAPENLPMRKRVKRT DSDSSDNESTIDGEFEFNDPNENDYHNIKNILLMSKYSQIKGIQFHEFVDLICNQGNI GTTVAISGNIIAFSTILNFRQYKHVLNKIVDYLSGIISKSHNKDFESLFNSVIYKKNV GLMINERLANSPLEIVPALCNCLKDDIQWTIDNLYSVIPSDEREYYEWDYIILLTTRY ISSDGSTIIYQKYEEEKLVINSLHTIIWKGKKRQLCGTGKKNETELMNQQFLLSMIDY NQFKKNYN cubi_02313 MKSQRKKNIHSSDGSYNKDVMAQATGEINKIFDTIVKKKTVIKV NSEIQKQKSKLNKRTKKDSVIRSNSNKKLDLRSSNIKPVRYLDDGLPVYRLEDINLGK CNDI cubi_02314 MENRQIHLNSNLNTKTESHKSREEVSLQKYKSAINSDPKSKKSS QVFRSIGKISNSNKIHLNRENVRVIVRVRPIQDDNESSSSCVSVVSEKHNQVKQIVLE DPRHRGLPKRYEFDEIYGTECTTEDIYSKEIKDYVDPLLSECSCINIFAFGSSGTGKT FTMHGDLNSEMGIVGLTIKQLIEINNKQIEPGSFSFSFFEVYCEKIQDLLTGTDNLEN SSKPINSAKSDVSIRTDICGRIRVVGANSSEFKTWDDFNTKYISALKKRTSGKTAVNS NSSRSHACIQVNYIPPASNITKNEIEIRNEDTIENRRRRGSISFHVKPKVNLNYPRTI VNLIDLSGFENNKITNNTGKRMEESTFINSSLLSLSKVINALKKNAGTQSTQNCIPYR ESKLTRLLQEYLGGGADPPYSPYCLRCIMICTISPSVTFFQQTYATLNTPSYGSNSIM RKYIGIASSFIGTDSKNNSESKKNTLTSQKINLIRPSNKIISGKNSHLNATNRALEAK NEKKSTERMDNESSCQKNSYKNIESRVAQMIKGKIPLNIAKPKKSKVIDFGEIPKGSA SSINNCVNKAKKPNPVSRIEIKHKNTKGSEETFNPGEPKLNQIDCNSGRCTNGKVAGN GVVEKDEFSKNDLTFLGNSKSCQYCSTNAEYWQPIYTPENESTHSNINILNSKCDNID VKLNQNNSSLFIKDENLPEIKKINRPVTRSQTKKVS cubi_02315 MDRETFLFFLFFIISEIFSVSNSAEMSSNGELKSIDASERIKLV NLDFCNSKEAIIDIQDISSGDSVKYSITVEPGTTITVNIKGNPTTGYSQRMTTKPEET IVKVVGTEPNYVPVPHEEMMVGYGGIYVFKYLAIKPGTTSSTIEYARYFEIPHRCIFK TEIQFKVVDHCGEQILKE cubi_02316 MVDSRRQSLQQSTKEIISNDHSGSYINIESTQNKVYSHSKSAFS VAIRFRPSVSNEISEIETSSIWELSHKSVYDISKKTYHYFDYVFDEKSTNRLIYDKLI KDAIKTCLSGINVTIFAYGQTSSGKTHTMYGDNKGSYDGIIPLSINEIFNLAYTNSNQ SIKTSNNITVSYLEVYNEKLFDLLAPQSNLNNNSNDNNSRKVKVVDGVDGAVDFINLT SKNVSSPEDVHVIIKTGLKSRRVAETSMNERSSRSHTILRIKIESYINSNDVCVGVLN FVDLAGSESIKRTQLEGDRRKEGMSINRSLLALSQVISQLSENEFIEPLANNYPNQIA LTDGLSQSKNKYINYRDSKITRILSDSLGGNSRTIIICNCSPDRLNYYETLSTIDFAR RAKKIQNEVKVNILKSSEEKSQLAELKQKINKLNKQVKDVTFLKQEIELLKNQKAELL FELNTIKVKSINCPSKLTSLDIDLNNSSYIIYEEYFNHLIAEYAEIIDLKDQNIRNLN EEINSLQIKVRNVEKTMNDNYKMKNDLDQKNDKIIKKENEIKHLSKLLSDSNNKLKTF QAQLDCKDSVIENLIIKNEKNSLYCVELKKSLEFLLSEIYRFINWYICDLNSKKLKKT EYIESDSINENLDRLISNVRDYLNYISIYNQILEKDRVDYNSELSNFSNEILLLESEI KHYEEEKNKIYLETVNCQEQAIQNLNSIVLNLFELLCTVFPSKSNYHLIDNSLPNLSI SDSYEFTRKIQDSEKLIKLLDSELNEKKDLEVEFKILQMEIENIKNENRILNEFIESQ RKENNELRTSLNQLKHELNFRLSCSNIKNLDSQEMPNNFDYKVEKCDESKASRFFNEK SEIQTESMEYKEKKLQGINGFKENRSKENIIDLASKSILNQENSENPSFDNEENPITE CNTQ cubi_02317 MPNASITIRESAICSIEYFEELLDNIKNENEDFVPIKDTKKFLS DKIGIDIDSFLSESGNFFIRPDFISFIEFWRLYEELLKYSGLYNFLQNYDGILNGMVY LRDRIINELISHGKMNSLAQISVLNNIYEPRKGIGITVGQIHTIILEIISNVCNDGSS AYWQDVLTQIPQEENSSMFLELIDISNSILQWLKEYLNILSQTSVCSTKAIVTRILSI KEETNHNSENDDINCGSEKTPLNYQTRKADEKTEFDIQCISLNENCNTSELYLDSFET LPWKGQTMFSNFRELQISLQSILERVILNSDKGKNNYFLKKDEIVIRKISHMITELED YLYNRFDVIHKERDKFEQLEIENKNLKRQLKVAIEESEEYKFEIQNSITQKNKYEKEV EHFLSQKDRLSSDIIRFKEENKSLEKKYQGLLYNYNELKESHEILHEENQRLISEINK YKERDEIVERRKHNNCLAEKKDDKNCLKLDQDFIESGDDNFNSLIYCSIPKNNSKYEE STKESSNYTDRGNFYKSPAIIKTIPDFLESSIQAPISCRSYRQKIGYFPEKTIDKELR IVSVVSPVNKRNFEDFAHQRREKNTITQKKKNLSKMIDEIGCTFQ cubi_02318 MHKPFDISTFKYRKESTNKQANSIKTNTNDIFTPFGVLRSFSSR KDDYDLTFKKSWNLFFKKFLNNIRLEMSNGMSKILENISSLVDDGINYELHVIGALSG SNNCDHVITFNVLQEFLNCSGQPYTILLDHEKIGVEGLSISKLLETIWYIIKSTFLDL LIEEIKIIDSCKEYDYENGSLILNSMNLKDSKADCEEKLVNRVKRNKRKCKTENSVVK EIQLDLQNRIINFQFTQTDNIYKLPSIQSIQMNSKASRFSLSNNNHSQKYLNINKGLK VVGSIFRIKKKNNLNIKPIIILIPSSECFSPGQLGQLLEMLSQIKETYKIPFKIVLGI STSTLYVQRIIGQTVFNRLKFVSVKLLDSKKVFFQSIINSLLYLDDFDSNILLKGNSV KSQESNSENLVELNYFYSSSITNSETELFSFPLISTACIKHIKDNFFQYDYSLTSSLK TVFIVFQFYFTNNKYDFFFHQFKEIKMEGFDEDIIDKLKLKYQINKSKLLPEIDNDLK EATITELSQLQLNLKLVALGISILNIIFYDALNIFDINERYNLIIEWLEIIEKNRIEE LIKKINSLTCDIKSIENSTLNKNSVFSKINKITELFLTKNKSLFEKKNQILGNKVLWD IKTFEFNISKLFIPSFDRSKFSGYITDNVFHSLIYVNFNVSFEHLDYFLDEMLTPNFI TNIIDDFNQTDDNENISDDFSIIYKIYSANKGNKINLFKFFATFCNKINGDLNKCYNI SVSKNQLEKINNEQTRINCLPDQYKNLFIRFVRVINTFQIIGLLYLPLKNTKVEQDIF RTNSDNNKDPEKKYQCLNKHLDQYFKFALSNIYAHKLYWGNDMAISDIRTIDKRNKPE THLFDNEEFKLLDFAIDTNKINSNIKYKRKSICSNFIDEPSKNINIKKSKLEVLKLRA AESNQKKIIEFKGIKMQKAINSANKIKNLRNSANEKQMTN cubi_02319 MKDKIKTITTSNSSIENNNKVLKQCRLIGAITFGIIAGILGFKG FSGIICYISSLTMTSIFIVLNVGMNNYNSYVEKTNDILGIGDYILGEVEPVSFDQILS RITKLSYGLHPLVDPARVTQAVINGMYSGIKTSELDELASQTCAYMAATHNDFSKLAA RISTSNLHKNTSSDIGDVVSQLFYFKDVQGNPAPLISRCVYDFIMENKEIINSKIDFS KDFEYDYFAFKTLERSYLLRIDNKIVERPQHLLMRVSCGIHCGDIEAALETYKLLSQK YFTHATPTLFNSGTPRPQMSSCFLLRIPEDSIDGIFDTLTKCANISKTAGGLGVAVSN IRGTGSYIRGTNGRSNGLIPMLRVYNDTARYIDQGGGKRKGAIAIYLEPWHVDVVEFI EIRKNHGKEEMRCRDLFPALWVPDLFMERVEKDQDWTLMCPDECRGLQDVWGDEFKKL YEEYEKQGRGRKTMKAQKLWFLILQAQIETGTPFICYKDAANSKSNQKNLGTIVSSNL CTEIIEYTSANEIAVCNLASIGLPKFVDKDNKTFDFDKLKEVTRVITRNLNKIVDHGY YSLPECKNSNLKHRPLGIGVQGLADCFMMLRMPYESEKARKLNKEIFEVIYYAALEAS CELAEKDGPYETYSGSPASKGILQFDMWGVKPDSGLCDWDSLKERISKYGLRNSLLIA PMPTASTSQILGNNESFEPFTSNIYHRRVLSGEFFVVNPHLLNDLLELGLWDDRLKQN IIANNGSIQNILTIPEDVRELYKTVWEIKQKTVIDMAADRGPYICQSQSLNIHMENAN FAKLSSMHFYGWKKGLKTGIYYLRTQSATRPIQFTVDQQLLKSETNKEKDSLERNKRQ AIEPEEKKIIACPLRPSNLKDGEECMMCSG cubi_02320 MKFKIFSSRIIKLGKNRVFCRTFLITILIFLIFFSKRRLAIDLL LIAELVLIDVFFSKCLRKKKVVNYPRNSKSLTSGEKNDIELKKFSSNNSNNSEKQQKT IKRSVDDKRFRKIGRKKSKGYGWMLTIIASLILIFTSFYESWITNIVGKKHSNKQEII SSKDQYSVESRYLNKIFRYSPPINLSVLEFSNWLLNNWSYRSISNPRSSFSDYLNIET KVIEYIETEFDTFINLKKETSLPNVNNEIKSSNLNDLTRSTNSVSGLGNYNENDRISI VIPAYNEDEFISKTIIYTIEATPVELLREIIVVDDFSENPVHEILEKELPENYKRYVK IIRLNKCEGLIRSKIIGADAALGPNIFFLDGHCKPKKGWSEALVKSIRENYKRIVCPI VQSISNTDWSDIGTAGAKMMIEWNFAFHWYDDGLPEIPITSGGILMITKRWWEESGKY DPGMLYWGGENIEQSFRVWLCGGEIHVVRNSLVGHIFDRNNLNKRNQDFEYKKMLIDN MNTNHQRTAFVWLSEQFYETYFKNYHVMGYLPLSYTKGLSERLSLKYILKCKPFEWYL KKFRPAFERQHELYYDFHHIQHLKSRLCLSTLNKQEDKIDIEKTNEIEIPMTVVPNDV SRYNIKTTTDYDILTLKTCNYLDESQKWSFILGNRMLYNYKSRKCLDKVISVNLIEKI KSEDYIELIKSLKSNTILKLPLLYECDWNLVMRARNYNQFWAWKNNKNKNGRIVNWAG DEHISNTTGGAEEFKVPINKGL cubi_02321 MNKQIYLLSQSDSNKLDKELLSENFGYKLDQLMEIAGFNCSSCI LHCINNNFLSKFGIHSIKESSKSKPITIFCGPGNNGGDGLVIARYLKLFGGFPIVIYP KIGRPEFFNSLILLLEKFEVPIFRKLEDAQNVDNSILLIDAVFGFGYNSNKTDNCYSE IMNFLIINSKEHKIPVISIDVPSGWEIDMKESYSEDRIEPHVLISLTAPKSCSKYFNG IHFIASLFATRDILYKYKVEYIYDLFLKENATQFILFNQKNVASTFS cubi_02322 MNIEQLCNYDLLLSFETESKKLLAFFKDDINNDDPKLNTCKLIA NIGVEILDRYYRIIHSTSFLRESSFLNRNSFIEALDGRITNVLKVCRFCIEFLIYQDE NIVRKKYSDNKEDKDVLFELLCKVLFYQGDFLECSVILGRILGDCSEEDIFNQKIKIK FRYLFEFFSSWNLLNAKETPFNNINNNMFGDIILKELKLRSLCSVILDENNHCKNDQT SYAEIVNEIQHSFVKFYKEISIKDKTFSKKMIQDQFSTILENILIRLECCKKYQKKYL DNKRFALMNDLSLEKNYMKSIKLFSSILNQDNTRNNIFKYLEEIMLLFGINNFEISCD PFQSLQNYLSDLSMKLNISNSRNESKVTTDRITRSRNNINNEFSNFNLNKEAIEYDLV FKLENPLKQIIIDIYNNTLIFPKYNDKQYKIWIQTIPYYCYNLILSILKKKEMKCDDI FSLNLNSNFPSIEQFDEMIIKETNLVTEHYATMIETKSVSFQDIELFFNRLRHDFCDS FNGKHICEAVDNKIQFLSTNLLPLIDEFLINFNILLNKILKFIFSTPNKDTIVFDEEI YTLFFNLIEGLFKYCTRIIKNVVYYEESYRNMNNRSTIRFEYLIDGLESYDENNSWIE VTNSLYYLLFKVNEPVQKDILFLFYNIMKTLVIFIFVTKNILNIESRQIILFYNGLRS KFLCLMDTFSLIKEKFDNNIITEIDLLTKKLLILFKVFEIPIVEIYLDNGFLLLLKED LMKICKYIEQINLIINLSVDFLGHKEINNNFLIGHALTLFSNKSIQIIKDKFMNWKNI FYSKLKNDFSSDFMIYSTFLENNYFEHLKMKKINCMKNELIDQIFLQHIDNIVSDVYL FVVFEKYELSESFYGFLVSLMKTLIIIINEDVRYLYFNGIIINSIISNITKLLIKFTE IYEFNSIILNELFEETLYNLLFESILINVTCIHKIFMNHLSANKLNLLIMKNLMNLLI VLFDFFKYSCSIKEISSNSIINLIRYLTYLEFSFEFFKDESREIKDIKIFKNSEDEIY KKHILNNYCITIPIIEQTCDFEFLNISEIDEAKLSQKFDKFLNSVLNTKILLLSTTIK FALFSNLSTIENWFKETLVFVEKYEVNNYLMNWNSLYYECKKIVQRYSTVNKSLNMRN LYPKNKLDENHLSPEQETEYLELLGIQFQLLYGIPVCPLPSMPFNKMPIYNQALVYDF LLNLNNFVSNSTNFKNLFINWLIHKITNTQKVQTTEILEYISPYSPLIAMCCNIFHTL INCTSLTEKQYSDSKIKFYTSIIGLIEILYFNGSFIDSETNENIWNIISSPLIYPLFM DNLYKVLNHEKNNYHDIFKQNLENGFSSILYNSHVSDQILNFYDNIMVLSPSFLHFEI NSSKMDKHGFDELLFDDISMYFKENKLTMVSLEPLLYCKYYYAFLLDKLIRSNMDVKF LNEKYSDKTLIQIQKKAGDFVSFSNQDSIFLKINWKNIKKYIPFKFLKDCFISCIPIS YVDRSSSLWNPGRFGATGNNNIQPSLNTLFKYLRWLNINLFLIDNYDINIVTCCNNIL KQIICEFDDYNTFENLENEYPILLKRIIAQLIYLLECEIKYFIKLENDIQMPYSQIVN NILSVAFDQIIIRYINIKGVYKKTNTKYLIIYLNKNKILSKYRCYNKIVPKNYENSLI INSIEVISRLRKSLFTEFIPKVSTQNKKWYSIYANSFSQIHNCIWLPSLLESKTRFKL AKILISSFLFNSEKNQDDLKRAIIELKNAYSLSVESLVICHLILSGDEDEVEIFFKSY EKNSQKNELINFFNSKLLIIYGIFFNIYLNKFPEKNPLGNKIGFLRTQINDATKNINI SYSNLSSNLASILFYFYKSFINYQSIKQFIIIFITNNSIPLLNDDITEFKNLIKINSH SVYEYNIKEMLNELIFDELNSSQTILKKNKLIQSIFTPIISQSFSHFSLINFILLEED SKNLLSYYQKFLLNKKVMNFWGFETNKFINDHYNGLYLRRNRKYLCNRYKFMLIPSIL ILVIKNEIITNIKNLLANCINSETKLYNCFNRDQLMYSYDNFPIFFTIYNLLLETKSN NFSNISDISYHYLGSFNLILDYLIDVLIGEFKSIRQLPAVEKFEYFISDQIKNYSKKN ITTSLIDIGVINWNDISESGHFNISLNAIIKTLNNILELFDFVDNIGLPIDGKLFSYL FGLVIIFHKYEIVYSENDNSQINNEFVQCIPLNTIKQLERQLGNFVLNNIPFSIIPRI GEFKLLFKNIFINIFNKCFVFSIDNKIEYNKNYFFEVFNLIIQFLGVSSNGVNAVNLT SKNNEGIESISLYEMKPELKRIRYDISLFEES cubi_02323 MKHIRNLLLIFALINSVCYSKKAPKLEDEIYIDSLDKNLSESEK EKKMEICFELTQKELIEKREFYQKIAASIKDQESITPDDAIRALFHQNLVTCYFNFDM QDMNSVINGKASKESIMKIFSANKNTPLKFSSYQLKILERVISQKTKNTSEKTSRKIV KQLSGFYGYLYFVFALLVIVLSFYFALNRLNKSIKGATKKKIKNN cubi_02324 MNFRDYLILLPRIIFIFVIPWLSLIIKTNSLPWIPEYEFNDQLK VLERIQKNGLQSLVEKKLLSKYEVKFTEQHEKLRNLINNTKEQIYFNQVNQMYDLLAD QIRNIGFSCPTTSAIKNRYCNEKKLKGFENGRERSYCESGFDPSPIAYSYQSSEYSYS DSGDILLNYENEDLILDDTNCISVIVDGDVLICGDLVVANGQLNTLTSFDAFEAQNLS VGKMQSMHAKRRCIIRRGLTVRGSMILPFSNLIVIGKLIVGKNVYTADLQVDLSSNLF IGVLYLFSDLYQSKNDSDTWNPFSITRIKLLHVSNSCSNEFNNTIIYNRPQDNSKFNE SGEIDQNMGSLWSSRKIYVGNSGKLWVRGDIHAGEIVISDAGLVFDTCGNLKTNTTHG LGIYIRDSGSLHMMSSSIFTSRLSVIRSSVVIIKKGELNVNSILLLHSGSKIRIGGNI ILHIASIRESSTLYADSLEILNQDIENIEHYRKKKNNSNIFSHNNTQYIGTNSIDKLA GYLQVVSASTVTIYGGIFVQGSIEINDGSELFSMGKIRILENVIINDGSEILILGDFN YSNNYDWNGICEGYAHCILGSVLVSNSSNMFIANGSLKVNEYVDLIEGNFILGENMEV GKSFISTKKSNFFISEGDLIINNADLSLETNILEKKSFLISNGVFLINGKLLVRNGDV ALITKSYLKVQQIYINQGSLGMSTKSLTVVNGGKINKLMDLFLFENKIPLNILINGNL TIDTFSEMFVLEGSISIESIILTSNSKINIEKKMNSGVDLYQISIHKVVVLQGNSFMN IPEGYLLEVNSGIMVDEFSIMNCDQSIIEGDLFIDDGGFFIAKSVIIFYPSTLVSQDS SKIYIENLQVYYNTKENTLSQSSENSNIPLFISENGGSIKIHQCEYNCERSKCVKLLF GIRTVESIITIEKSHPWFIPVKASVQFFDLNSTSSKELYEVIDPIAFIVRKNPEEKKQ IEVKPRITFSKGEQVRRGRTWEIDRNTFNLFNESQPIQWH cubi_02325 MVLNVEQIVGYFALPNKFDVSLTTNERKKVTSIYSDFGKFYGNE LKLFDDLHIASIISNDQLQVYNISTILFSGNKVQGKYRIPNISPLIKISLPFTPNCNC SLFFDKCTSNLTISVSSSDGQLYIVEISVFGKFDYNFTILTTRSLKTYLSDLTSLTQV NKSLFLISTGSGIFTIISSSKILKNESSPLFTAENLCKESINVSTFQLIKRMVIGKNT ENIYLVTFSEKSKELCLLLIKFNFTENNVCTSIIDRRSDIQIEMNIKTTPILSFVEGT DFFVLLINSTVYFYRVLNFDDCNLNNIKLQFLSYLDLDFEHGRDKKKELIFSPQVYCN KSEIYVARATFSENIIYSYDPYFPIYEIHKICLSKHASNTVKANDIDFNNSTLIWSQG IQNFCYEFNYDDLKYQLIFDKEKLSDLEIITNLLKKLFDECNSNIIFEMAFIRYLRFL KNVILIMVRKEKKYEILNILNSTTKFEDLLHLIKNIYLHLEENRLLNTEFGTYEKCKF DEEKILFLIEPFLILINYFKHMGNYCIRIFSDKNNTFVLSPISVSTISCLPKEKLDKL SSISFYKCKKCLYMPLELITNYLKLRYLIFKVILNKNKANLMKNLFDLLNIYTTDGIN KTTIALALIIELIEIITKKLKILNKFEYIFNHYKSSNEINETNSDQLNHINLIHYDTL TQNFTIDELKTLIQNINQCFIHLTSKTNDVPNFIDKTFRANCIEGINDFSLLNSEEKA CNNNIEATNIDLIEILKIKNTILFLNIFSTITKSIFKYCIWKNKIRNVKSAYICSFEN ILQFFSDDNSFLNIGKYMATGKSNIIKYNWGFEDNEITINCGKINAYKSILSVDQIYR VYSYYNSIYKFFSSKVFLDCAAEKLSLYICSNNVFWESFIDDFCCKHVIREVNIHLVD LVYDYLEHQIINGCFDRNYVSYIFYLIKLLPYKRYTSYLSLSIFNQLINDNKLVNEVI ELIKLEDNEDQKNIFDGFSSWDIRLIYFISLIFKLFNHSRIRTYYNSVYLSLLETSIN LICKSMPSCSLNKKKLLNMIHRKYLIQYIYLSDNNASDCSIIKLISESLLFCDSKYEK ILFLTALWIKNFLSINKSESKFSAISSSVFKNELREFYSLLIQIFWEKLHVLKNSNSE ILLKLYSKKNFVIRTIYKFLLNEWKREEKYQEIVTLSYLNALTKYCDLFLPNDKINAL FPGDWLEFRFRNGCIDQEINSLQVLTELFENLKGLFQFINCEKEFISADSESLNSIIS SLSTYITYSNINLSNQFKNRKCSDKPICISNVFFGIELPKIINQKNSLLEIHHKVTYL PSIEYISYLKWYLVGVKKLVASNLTRVEIRQYFSNIYCKYLERYNDYLGNNGIYFKPA IDSQNLSSESIANISNIPIIELNYYLVINGYFETAYRLTEVANKFMDNYLRNYHKNTS NNDRNIIFSDSLTKIQTKNIYNTLGFWMFTYSFFLSNNTKYLNSLFEYANNFLVKTNR QKKKKLSYDLPDSKITAFEEIILQNSNFRSVRIIEETSNLGFGVNINFWNSILDRMHC SPHFLRGVHMALLINGQVHTLTNAENSLNNTYTSTLPSKLIKIYEDPEYLMEIIKNYS ITNDQHLHIFINIIENLFSFNFFEEALRFISAIVLNDHFELPTYFVAKIRYLIRSSNN VNNNAFYEKFESIIHKCIPIST cubi_02326 MPDNFLVPTIPSRANYVHFVADLLTPEHYYNTEIINPEFLNYEK STIEIQRNMEFHSVEFVPRGKQVLGLDIGIGANCVFSLLCNRIYSWNMIGTDISTESL KVSDSIIKENNLSNCINLFLQENPENILFGILNRPEIENLNFSFTICNPPYYDSVEDS EISMHPGRFRNCQKHEVITQGGESHFILKLYSQSKNFSKKVIWYTSQVSKLKNLRFLR NTLGKELINNELKSLRYTTLKQGKHDKWVIAWSFFEKEERISILKFLRNNQNIKL cubi_02327 MDTTIHQNEIISLLDEKRDISSTLKFSSLLGSITITKFVIFLVG FSDGLTHLATLAIYYLLKDDLHLSPPEVSVIYAIPAIPWFLKPLFGMRRKPYLIFFSI LQVIGFLLLATNADTVLKAATCLLLISLSAAFCSSIAEALVVETSGINGGAETVSDYF GSKALGALTTAYFSGSLLDTYSKQGIFLTTSIFPLFVFIASLIMEDKKQTGELTAKNQ LLSLKEFLKRPIIWGPAIYIFTYTAGPDYDDAMFFYFTNRLGFSPTFMGSLRLTYGIA GIIGIVLYRIILKRTPFREILLWTTLFSIPIYILPLVLVTGLNLNLGISNRMFALSGG FLIEAIAEIQLLPLLVMTTKLCPKGLEGSVYAVMMSIRSLGTGVSKVISAGLAYLLGI TAFNFSNLGLLIWISSAFLLLPLLFLNLVSYFMFSLLQIHFILGCK cubi_02328 MRDRNNKEPEHVYKEKSIFESAGRISPVLLFLSVVLIMGLCIFV RLFAVVRYEAIIHEFDPHFNYRTSKFLSKHGFYAFWNWFDSRSWYPLGRIIGQTLFPG LMLTAALMRYIAHQLGLLVSILHICVFTGPIISSLTALASYLLTFQVTKRNETGIMAA LFTGISPTYLSRSVAGSYDNEAVAIFALVFSFALYLRAVNDGRILSSFFAALAYNYMT MSWGGYVFVINTIAIYTLALVLLNRFTYKHFVVYIVFYVIGTILNLNIPFVNVGAVKS SEHLSSHCMAFLVVCVVALNSSKHILSTSSSQILLKTIWISCFLVSSSAFLVLTLSGK TKWAARSMTLLDPTYASKHVPIIASVSEHQATTWSQYIFDLHITAIFFPLGILVCAYS IYISQKKSPKNSPFQTSHSTCIPDTAIFLIVYGVLAVYFSSVMIRLMLVFGPAACCLS AVGFSFILSSLIGRRQTTGNAQDTVNEGNFGILRLFFVIVMFLLCVNYVVHSVWSSAV AYSHPSVITSNRLRDGTRLIQDDFREAYYWLRKNTHYNARIMSWWDYGYQCTELGDRT VIVDNNTWNNTHIATVGLALASPEDEAYEIIKKLDVDYVFVVFGGFAKYSSDDINKFL WMVRIASGIYPHIQQNDYLSDSGHYTVGRDASNAMLNSLIYKLSYYRFSDLQKDGFDL VRNYHIGKTQFSLNHFEEAYTTDNWVVRIYKVKKQNNRSI cubi_02329 MGTGSSKSTNLDSINNLNDRNNSCCINSHMNDQDFEKIQCVIRW SFGGGEVFVAGSFNSWQKQEEYKLFKSGHDHLIAIELTRGIHFYKFIVDGEWRYSPEY PIESDSEGYINNYIDLTGYKAPYYSISCDQSQYDIQEFHQELPIEFPVDAPALPILLG KSRCPLETANGIHIPFHCISNHIYYDSLIQEIFGTQIATFCVTKRWPKEKYIQTNHCM QKFTTILYVSFRFIDEFHPILICKNNNFIVGSFSKNSSESEENNHQYNAHVSDKLFKT TEMFATIFR cubi_02330 MVERSLPYGENVPIRQLIDIIKEIGPSSTSNQENFDKILVEWID NSSNFTHEIAISFIYMLNDTSKHNKSPKSKEKGNNDFSEVLRARWNELSNNKNDQNLL VQRSREDIGSGWNSSVFVEGVNKIMKTQESKNKHFNTNWSDIINEIIDNSNLLDLTEN DGSFELFCSLLGESYRRDENRTYNPKYNELYYKLDPFLREWKNPNIQALFLLKICKMS YEINNRSYNLQQKNYSNDILEDTLIENTVNFDSVYTDINSYSIILDASSKIHNSIISM FESDESLLELVKDGLLGTPCDYLLESKLPKIGIFHSRGSCGLLICHDLLKVIIGICNR INDQKLLELLKHLIFYEIPRYSPIGLLSSLGAIYDDFPQTVSISNELVSKICDSFDIS VPNNEITKVSLIKMAISSAQEFILFPISKIVATSHEKEYDIGPRFGAIMQIIFASSEK SRNGNNQSQFMRDFISQIAFCYRISSILLISKLNPPSRDYLWNFLDSEWCILPHFYKM NVSNCLSHILSRDQLDLLENLEYSHDSIDYYHWWFLCIDIIFSGISASQNFELGLSSD VCNEISARAVNVLSTLFKEELENEDMEMPLFIIAIMDYIRARLVYMTYVSADLQTILV NRRTSFPSFAVDKAASFKVFAARIVRRNLSLGSSQLYQPKECWISSFETHSFAFSCWR ISSSSILAIQNAILEFGADIKGYENTPISELFDALLEFEKLKDSDNLQDNGNSLVSMN KDIGSEMILNSSVNGKDERDLNNNISKALFNNKDPEHKDNTKMNINSEFTEAENESKG FEINQVNEFLTKCYSGEITISELTIELKKMHSLSSDPSNNAKIFNTFLQTLFDECRSY PKYPNPELKITAEILGILVKEDLLISFGNALVFVLRCIIEALRKGHWTKMFCFGIFAM EQFIDRFISFPQFLSAIVNMSQHLKNAIEPYVVYCESCILVLPENLRSKLYIEKNILE SLNINPPPKPESLISKTYPEILNFEYRQKENIVSANKPNMSTSKLGSLVALNLSERKS LPSGITIDQLQGFGLGSLEKLMNDPQIFNTIITPSENVIEHIFTICNTLASTNIETKA IEMAEILNRNPEYCHWFAFYLVKNRASKEKNNHSTYINFLIKLNKLMPKISSTSLNEE KVELEVPLTHNGNEEENRINIIEITTLASYDCIKALLRYASILNEVSSFVNVLRHLGY WLGQITIGINRPIIHKYLNPRQLLVEGYSRGCVASVLPFVCKILENVKGSYYCPPNPW TNNILFTLAEIHSLANNTNSHMFEVELLFKQIELNLDDYIGKSNYLGLNSHPNYIEQH KVLNEKQRGHNIHLKSQTEQSPHINLGSSFERPSNNNNNIINNSLNPSVNLYQLNANI GDTQLASTFMPPNHPSQMVHQQNQQQISSSDIQFWANKVLISPSIVLFQIQPSLRPLV PLALDRSIREILQVVIPRSVRIAAITTREIIGKEFAFETDENIYKRAAHLMVAALSGS MAIAACREPLRVAFTAQLRQVLHPTPSRDGEDHVLIEQVVQVICSDNIDLGCQIIEQA VVEKAIEELDEIISPGIIARRKSRESGHQFVDTDFYGGPNTQNSVAYWSSLPDNLKYR HNSMRHLQLYKDFLQFTLIRNMERRDSVAQYELQNNIQSNQSIIPLYQHGNNDHFNNQ SQNQQWNSSSTNQYSHQPENIQNFSSVRSDNINSQASNQSQTNVASSTIVQPPEPVKV PLVFELAYLPLMMRIDECLGQIKDVIREIALYPPIFPKHLIPPISNNFNESLNNSNNV ICSNPLGSNISQYTPKSTAHPVLAVLSSLQSDHILFYLCRVLYSIGKSASQREDVLIG ISQKLFKTLFDAGAAFQQSTTSILPSSRCIASSLGFDAAQLHIEVFLGLCNQISFYNS KFWLKLRKEAIGWFIYTIEDPKYSVDIVIGALRYDLISSSELDISLSNILETAISTLN DTNSVIGGNSRCIRIIEFIYKLFFRSIEDWHYPITKKLPSTTQNLNRLSNNGLIFQNN TMSAIQIVLPGLYYKPYPYTTNLGELKSKVESILLELESNKSIVFHEMWIGDQRPEIL NFYSIIQCNLDTALNPKYIALPIPMKPLPDITKGINMIFDEWILLLRITIFSGVGGSE RNNPYRNLFLQRLSRQGLLRMDDTTEKLFTTCIERAVYLSLNQKTGDFNVLNRSKSEN TSDSNNNMDPFPIDSLVRLITTMARYVDPQQMAAVVITHKFLSILTRVIHKDAESPNF NQRPYYRIFYSLLQEYESIGFNTEMIHFTCILSVVHHLQYLNPNRLPGFTYSWIQIIS SNRLFPYLLRHVKGWQPYQALLLQLFIFISPFLRSVQLSSNIKTIYGALLRILLVLLH DFPEFLCDYSCSFCDVLPVNCIQIRNLILSAFPRNMKLPDPFLPTLKIESLPEMKLIP RMIANYGAYILHNDLKASVDKFWVTRDVSILPHITEIIKLPKDEALKCGTKYSFQIIT GLLLYIGIYLPNGNDSNSTIDNYHNGIYNVFNSDLSTNSNIEPTQKSDQTVNRKNAPL DNVEDPSLSIILFLCRDLDMEGRFALISAMANFLGYPNSYTYYFSSLILWLFSKSNDS IVQEQITRILLERLIVHRPHPWGLLITFIELIKNPKYSFWNCSFVHLAPEVEKLFQSV AQTCLGQGPNKTSSVNPT cubi_02331 MELIEYEISNLKSILVKTNDNSKRDRQLTLKDKEKIIWRLVYIS LMGYEIDFGWFEILELASSDISEYKQCGYVAASTIYKQNVELLRLLINTIKSDLNNCF EVLINNEEFLYKSRNFRPFSVEIGKNYSGKSFDVRKQRIKNCLLALNFIGNVPTLDFA DNLFIDVKKIAELPLEKQLNDTNIVRSKAICCLTKLFQCCPDRLRAYEWGERLVTYFQ FERNCDCLISQCIFIRNSLKYYLGNDYFEPKENSERNLTEQEADNSKIDSEREIMDSN FSIELIKSWELIVPTLIFTLSRIRSNREIKHWKFHKIPLFWLQVKLIEILQLLPQVNN DRFVNYKMNKIMEEVFFSAIQATKSINESNSNISSTSDEIEFQCVIGIAVEMTKYISK TSSNQEFPVNIGHLMGTFIEGLLYTENKDYISISISLIFDFKTNRVIEELIKKNMVVL LRFICSIDEDTTLNLLNIFSHICSKNNWIFITKEILNGVLYKYILNTNKLNNKDTPSI QRCSNDYFYPGKAKTLLEEIILSVCYTIRRFSNISGVSLKTINILFQLLEKSMCDLNP VFEITENTLFQIIDILFDSKLKNERLDMIEKTNEPLKYDSSIQKYVTIKSYKLLYKLG KYRNNFNPKSGIRWLCFILGEYGQLISNKISIIQQVEVLLNIHDVLTIDSDEEQIPLI KSTILLSFTKLYCNSDHDTQNQIYEILKIGVRNRGNSIDSPEFLNAIIANTQYSITPT NGNLTPVERLSNNNSCLRYRNQLLNGVFVQRKNSFLVSSQLNKYGDDGYCNRNTWLSL CLLNKGSLYNFSLLSIGFSNGNFKYSSGESTIIIKLENKKKNKTFRVERISTISEEKK VTKNSNSFSNIFDSTCQNLHVEISGETNNKDLDNEGTFEQRINLICKGPYLNPPMVSL LLRIFSNSKDEVLDLNEKIEEIDTENNYSKLICVNFRLPVILSNFMAPTKKMEKNEFE HFWGKLTQSSVKGVLAISYFEIPIYLQLLNFCIYSTIDEVNNSNLSNSMTYSGTSTLY LSNRKRIPCMLKVMPCENTYSESRAESKVHEDRSNEKSVEIRVRSSSLIVAKILKQIV STYIIANSN cubi_02332 MGISRFYRWISERYPQINEEISDGITPSFDNLYLDVNGIAHNSV NSTEMCTPNDGINLSEKGSPEIWSAIFRYINKLVYIVKPKKLLYIAVDGVAPRAKMNQ QRSRRFRSARDSDLIKNMEKNNSIDTKKNVFDSNCITPGTTFMYELRIQLEFFINHQI NTDPLWKNLEVILSGADVPGEGEHKIMDFIRCIKSQRDYDNNTTHCLYGLDADLIMLS LASHEPHFSLLREEIKFSGSKNSESRTVCTKEKFQFLHISILRDYIINDLDPRNLGIE ELNLCSGYKTGLNAVSEVQRNIFDNTLIDGERLIDDFIILGFIVGNDFLPHIPFHTVD QGLARIINSYKKYLAHFFLLKLNNSPWILEDCGRINYVNLLRFLIWHTLSEKEEAEKR ISNPNYWKVIPEQNKTNSDSLGVPRFMISDSIEQDNYRTKWQETRPENFKVLRWRYYF VKMAINIDKFLPENSNLLNLDLKSKINSDKIMTNSIEDIVFCYLEGLQWVSYYYFRGV PSWRWYYPYRYAPFACDIAIILGYWLENKNISSLSRDDIAKSSSSKLMFIHSMYSEEI KLNGLAFRFIKGNPLQPFEQLMGVLPSNSKELLPKPFRKLFVNPNSPLLSFYPVKFEV DMEGVKVPWGGVTLIPFIDEFLLLSSITYVLSNDGFYDSDFKNFVIKQKNNYEIELKN RYVFYVNKDELDNLIDFNSNTLSSIINNSKFLEIDDIMRNQEGSPHIFYFDNCALPVP KIESKVASYLPSIINSKVVSKSFYHPFFPDGVSNFPNYLLENTIIPFDGFPNFCRIPY KTYYNTGINVFGTESSSESIFFSISSSHVLKDFLNQLFLPYIHTKNPGIRILVDYPRV KVAELVSIKTFKYIIRPKLLTKPDIEPNNNPKDFIRLLSNEHLFLKKRGIVLTPSNSI DIDEALLSISKLVKVRDFVDSKDFEVGLKDLSDPINCLVEVKVAENSYTNESGEIEFN FSKVSRHYLLPLIVLYSDLKGKENKCFKQFNEINLGDKFLCINKQNPNYGYVGTVVDE VQKLAVFQLPKNKIKVEQIQKRIFEYALEDLSSIQWFSIEDVAKIIYKPLLNCLMVNC GLDDTTSLKYMSYSTFFLKKLIMGSIQVKCNDNTVHEISMNLFKYDSKLKNRFYLPLY SKFVDKNSFITSSNTSVDFGTPIVSNETIKSLIEYLELFPCFVLAIFKGLLLFDREEL IEANTNLQEPNEIHLKVDIDSINGKVQYQQNNMKKIHVKDIFPDLVSSKDQDLKFSLL MKIIKGKLYRNVPFLSSQTNSTTMLPSTISRLERLVLNSQVETNTRYFDSSKLFNNEY FHQPGNYFNSSMNKVVSRFGYPLGTRVVYTNTNNGLIPFGTTGTVVSVYYGVKVNKEP YPSTVLDILLDETQINANNLNGMCSKLRGISIKSSECIPLLPHIQNIKDENQIEIISK HLKYFDSLFNTKNEKNKKKGDNCNDYWLENQNSSSKETGKNNLSVKDKNFKIRINKKN NKHSDLNGQKVKRTLELRRENLDEKSIASELKSLLSIDNKKRYEFSQSNLSELDKKTN DGNFSELNGRKEVADSLEFRLKQILKIT cubi_02333 MKYILLFSLIIGAFGAPQVKPNIPGVASPLPHTKGQVPTYVETP LESCPPGYLMENGVCVQRIQVPPMPFCQEPAIYHEGHCLIVTAPLKQCPPGYEISGKQ CTATKTASQQPSCPPGTTLHGTECISKHMIDTVCPPGFVDNGRDCVAFTMPEKACPPG FVFSGKQCVQSDTAPPNPECPPGTLLENGTCKLIQQVDTVCPSGFVEEGNRCVQYLPA NKICPPGFNLSGQQCMAPESTELESTCPPNSVFENGKCKVIKNIDMVCPPGYTDSGDD CVLYVAPAKECPPNFILQGLQCIQTSSAPTQPVCPPGTVLQDNACISVQAIDAICPPE FLDNGKDCVKYSPVTKECPPGFTLSGNRCIQIVNAPMEFECPPGTILKDDQCQSIERV DTICPPGFVDNGEDCVQFSAPEKICPQGFSLSGKQCVKTESAPRLTECPPGTTLEGNN CVSYELEDAVCPPGYLDNGSDCVQFSQPEKECPAGFVLIGKQCTQTTQAPPQPECPPG TNLVNGQCQKVERINMVCPTGFIDNGANCASFSAPSRECPSGYTLSGSQCEQIKEAPP VSECPPGYTLQGNQCNALKMVDAICPDGFLPNGDDCIQFSPASTVCPTGFTLQNQQCV QTTNSPKTPECPPGSALDGDSCTRLVPGALQYVCPVGTREGDVCVERSISSPVLECPP GYSLETGKQCVRRSQYDCSITTYVTECKTPDVKALRRLAAAKETSTVYETSEIQHSGH HHTSHSHGHSHSQVIPIQTQNIHTQHHKEAPRPICEDVPKITPKTCTKADSVPAVPIC ENNAELVGKECVLTNYYPLEAICQDGTRSKECAKFVKTPPTLKCPPGSVDVGSQCQIN KYSPYDLACPAGYALVGDKCAITRERVCPNESCQRVVTAPVSMTCPPGYHQIDEVMNI STHSHHRHLAGVQSTSQKGYSHGHHKYVPVVSQPQPTPVAVPIQQMKCIHADHAPYNL ICPIGSRLVADKCVTYSDKICPNGNCERIYNEPAELVCPPGFSSSKPIQPVNHSHANN PTVPISVQSQTIKQPQVIHQMETIQPQVVYQTKEVLTTYPTQIYQSGSLYQGYNHHHH HHHHHRHLAAPECIKTVSVPYILKCESPFILDGDKCVEKTEKICLQGDCRKKVVVPPT LSCPQGYRNAHGIQTAISSKHSTGTHHYSTPSAECVRTIFEEYSLVCSSGFVLLGDRC ALFTNKICPNGDCERLISKPANMVCPPGYTRPQSNHHHSDHVSHGHGGQLLQECTKQI YTPYDLSCPDNYSIIGDKCAIHTVKVCPDGNCEQLIRSPPTMECPPGYYKPQAGVAIR AHGHKASGASQCMRNVYEPYALQCPDGFRLLGDMCQQSTTKVCPNNNCERISYVPPVL SCPQNFERSGQRCIANEYADYELACPPGLIVISDKCAKYTDKVCPNGDCERIKTFPPE LVCPPGYTMEAGVAQGTRRSLGASSNHPHHSSGHHHALGNHNHHTVIPEISVVRTTVC SREVFAPYSLSCAPDSQLLGDRCARFAPKVCPSGGCEKIESTPVVSSCPSGYVTDQDG TCYIVEYAPFSLTCNDPYTLFDNKECVLVTKPDSRCPDNTEKTSTGCVKKVITTPIVS YETTCIGPTCNAA cubi_02334 MNTNIFESGSSGRSRFVSINLNQDNLVHLCPFLSDNNEKILFSL TCNYLSLGQFELARSTIFQLSLINFRKVSELLYSIIYYGPPPDWQLSVTIPTSAHFVL ACIKEYELFFRNRANIEDYIISRTEFDLLIGQMIIDSFDININLDIIKKLRYFYCFAF LINGLEVKVPELRILPKMVGLPPYLNRFPSFSTNLNKLFENLSVDKTKKEEAYFSVKI ISEMFECFETSRSHFIQIAKLLTDCLNISKFEIGSLKSNSSLKNTLSAINTSLNWILL KNCILDLIFFKENHRTISTISLVKMISKSISILRLENIFENHDLDSKNFLYKENIPFN THFTEPKEPEDVISIIVAIFCIINLFCKYDLRKEIFVKFLIDQIKSLKACEEICNICA IESKVLLNVKNLFSEDIVKKLNNFQIIHQYLNNLNTINLVENGEYNDNIGMLVDSNLD QSLISKIVLKFDNFLFNINFGINNYLGIFSLPKYIENKNKVILYPIVIDDFSIHYKFD ENPIFWCEYLRYLNLSRNKFEELPIIFVSNLLNKINQNKSDNYFEAANKIILCFPHLR AICVFLGLKNDPVFNWKLIKNLWLPFRSIKNEKVFTESEILANNLDEISRKHAISIFI SEKLNSNYSNEFRCYSDKNTKTIFEEIILKKSIISYYFDNFRHSKNYTTICWTSLEKF ITSLISLPLTIGNDILLLTKERDCIKSYLLQKEVFKIIDGKENSSFGLNLQNISIEIG NIQTNYFHNELIYCFFCQSFIYLRKIKISNSLIQSSNKRFFFITQLLYYLLIWSNRLS KNFQIEMLQVIEKTFEKTILMIELILFSFPFKIPNVLPKNFEIFTWWYRFILSIPSKV FNTGKTLISYSQSSEYFHSLFHNRWVLRETYENNPWYSNSLSFESFCVLNNYNDSTSE SYSDILLSSPILMLKLLSIQNYEKSNELILNSNFSINVTLIALDGFVFHALFVEENDN NYYSINTVYRIVKNINEGFPNIDILSFNQLSLFSVLETFFPFIEIKLIDCENEIAWLL QVFSKLYFAFLLIDFSISFGNKNVNISLEQIGKAKNIIEENTSNLDIKYNTVVQTTLS RLLIFYHSSSTISLTRYIMEIDTLPQQTSLIKTHLNRIHDRKLITTALTHSLNYLSGF SLNKSKSENLININQILEQNLFSNVNNTGYLFSVLHYIKSIISVLFLNFDKHKAFPIL ALTPNEIISYSFFERKLNVGSNELSQIMRADLISVVIKTLNCLYSSILIFKNTEINSY NEMEFLINQFYPFKGTFTIVIHNILPSGNAEYSYSTWNFVLENQINHILTKKQILLTS LKYRIWKYNINRKLEYKLSNMNIDKISGFYFSKSLEYFNYLFKWLSIIDTFFFLNDDN LYIIKNFEKKIGLINYLFSISFVNRVNTVDKIVFKLSRKILKNKNIIHNLNLINFKKI IKLNSPYNIYKLITEIQPISDPNFVLSIVEIAYKNTMKYSYSWFKNSKTNKKRKFIEE NIVIILIIQRLFCISYIKRFKNNTSLWNKWCILIKEWKSTKGIVLLLNCLLEAKFFNI AKLVSQKLLLKVIEYDRDIKYSEKESITNIFSGYKFFEEVKRNTHYNNFSLVSNINNI IANMQDDYNFQELNISKLYKLIISKNISSFENIRRLLISNTGIIEKYKILDAYINSPS SLLDMNRVSVLKTILTSLKLVRDLGIKNIQVSNLFSPYLIIRLAIMTRNNKLIEILKR DIDIFLKSDVLLYLIEESFGIVENKQFIIEKIKTSFIFGQADINMCLQLFQTIENQIE LNLNVEETQSYNIDVYFSIKLISIIPKKKTINDLLLNIFDKMSNQLYKIFKKSMPDQS LIRPRFECSNSQLWVTEEGSLKTISENLHPFSKFSSARKNSYYTKNRYMNVYKCGSNL GEKRGLSVKNELNMENISKSRVLFRNLFVLLTWASDYIGKNEFNVAIRSLSYLLEIWQ RIPGIVFSLKDIVFLNKNIIQILIFSDQLNLLKDLSKNNFLESTTKIKNLESGNSIVH NIVADSIIINKINQLYNGKKNHMDSITLNTSRSRNEIIMEIFCSKWNVNKGKIVKIEN PMNDSMRIIENIMLSKPDILNLNILIIVLTYHSWKKLQICTGGRENYILRFPLSLLFN SDIFSPILLELYERTFISSIKIRRNAINGLPDFVFNLNNLSVGIENNSDFFTNSNDNL SSSYTTFFLERITNSIKNNIFTRDTQKSRIIKCIQVKKPNITLIYYNQKSNNKKKFFQ FDLINHLLTQNIPNHYLTINTFVKLNFWWEAMVYILRWSFELGASKSRSLLTEKKGEL QTNSEQNFEGLFFDLVIKNAHYKNQLNCLISAMNEAVPLGGPRASIFVKKCKHIIQKY LESNGALEMLYMSFLSLSVSIEIPHAIIGAIAIHLSLLNHLNIDSRTGYLESALYHFK NAELILKKKVKSGVNKKNNKQGFPTINYHNSEKTLENPSTSQSLTNSGKLNDILIPFI ADIPIYKINTTPWGGLSLLSIEKIIKLLELQNSIIKLLLRENARKSILSPNYKDRRDT IVILFLIREYSLAFKVSSALEVPLMEVLVHATKELIVSYPESKSLSYFLDSMKLWLSE YDSDALISNAINMWISEKKINLNNISVLEKNSVSELVNKLSNPLGRSEAFNMINPLNK VRIG cubi_02335 MQVLQRETIIGFRLAMGQSMNTIFLPETPKEQKGNNLELINLVS ENAIEKNFSLTEETNLLLKIQNTESEIFKEHLDYIESDNQFLLNDCLKGTPIRKRCDK ERSFTQSNKLLITPKKYFGEDILNTVPKNMGRFVNSLERLSIDKKNNITLSNTPQKKE MRYSMIKLKPSIFNNQNKFCQTESSCPHISVRLAKESSKKWFLNFFNTIYEFLIYQLP LALIFYSFALFLISGL cubi_02336 MNLIIIDQKNINEDLTVELSSRQSNHCVSVLEVNIGSKLNVGVK NSGKGTATVIKIIKKETNKDGKIKCESICSFYNIEEINSQIINKSRKPISEINNRSNL QYTVTIKLDTPIHKETYKHEYPLIDLLIALPRPKIFEKVLQNAVTIGVGRIIFVCTDK SEKSYLNSSKIKKESIDEIIQLGLEQASKTLCPDVYVYASWKDYFFCKENMIGIVADV AGSSKITEIGLQSHVGPIILAIGPEGGWTKEELKDLITEGFKVVNIGDRILKVETAVI SLYSKVSFYTD cubi_02337 MKKSNLKKNEKELEECIEIDCKSGSSESLNETGIYNYAFDHHSI IPKAASDDWVISNEFYSNKFFTLKGAELIHWGIHTGYPSFSQLIKSISEISNSFIEMC FRQTQTPAYQTGHIIKSMLWSGVYIWATTKMYRENNNDPWSFKNIPEIYYFFESTFLW MLSYDYVIESPSEKNYNSLFDYLYYSVVTIGTVGYGDFSPQKREGRLVTIIVITLTLV LLPHEFQRLKEALNTPPDSIGSFIRKNDTYLCIIGAIPPKLLFITKILSLQKQRRFKS IILITPIEILEYQNIVKISQQRGYIRLSIKQGSLGSAINNLVQFSSIVLIYGSEKPLL HKEMILNKEMYKSDFDAFITAMCLTNLLGLKEKFFLVFNSSQVASMSKITGVLGSLSL ENLKIKLLSKSISNCPGFLPIILHLIIPKNENMLRKLQEPPKNTFFTSNIDRSKITSY EWKCRWRGLHFKVHTLRFPNSFFGYPIQIFTKYIYKHLGIFLIGIYCPNTNKTYLNPH QYIIGDTSNIHYEGSNYLGIVLTSSISLLKKAELLESPKNYTEEFIKKIGRRSCSPSN VFLTRVKKLNSKEYIENKEHLNIDECKLISEVQKQYDSNDFDKNFINKNFTNINETAK NTQNSQHNREFTNSIQYSISYDDQCCFDQMKNAVLPNNFEDSISNMANINSKFEMCKI NSSNSINLSQSNMNLLHEVNINIPLVTNYFEAVSRVFINREYPIVLIIGWYERIDMLI KFTFSLKPSNFIVLCEKNIALSFINEEFFGKIARVSGVGTSENDLKQAGALVASRIII FDSTGNVLNKDKDELINVRHGKHAINTWITICYLFSKHKKKDNSSLVGSRKIPPLIID IKETDIGLLLFQYSDYWPARIDGSDYSVSFKNDLDFFYSRQFLSGQFFVDNIIDSLVP FLVPILDNNPINKSFINQIIDGNQTCKTFGNIRYNEKNCNLQMEEIPFVFVNSTFYNL FSQLLKHRRLAIAIYRPVRVDEHQFNKREDLKNQKFSPNLGELESIINDNSLKECFNC ESHIIISCPPPKFKLNIGDCVYFL cubi_02338 MWGFIEHLRTKAGGLIDLSSGILCCAAFGGLLLIFRKYIPHPSE FFPFSWFFLKFGIHKHENFNLLLDILEGGDIPEPGKYSIRIKCGREVDDSSITTCSED VNKMANNLKKSFKCCWKEKCIIFVRQRENYLFIELISHGTFTSSVVGECRISIMDIID AKFPKKVTYSIQKDRKVAAKLLLSFYRISANIIVDDTNPVLFQAMINLQTDADISGNK SIYADFDKMSEKEQLVFFSKALQGNLYYLENGNKDKLRMFYFRAVEVTFNRWEWCYWA SEGDYLKGSSRLGGYPFLAMSVVIPDKTDRDQIYIKYHDLYGIHDLFFKTIEIDRDVW SEAIYEFIERLRSYLDHIKDGAINIEQSNINFENANPSNSQPEQTFVVEVTSSSEHSP SCTQGDAINDEGDKKETKKNESIKRNAKTVKMYIRNDAEEEIKRKNSPSSIKRMKMEV NPLIYEKEPLI cubi_02339 MDGKNTTRETSSNQSNYNVNKTVYLNNLNDRVSIHKQKIALEQI FAKYGKIESIKIFNSYFRKGQAWVTFLNIESAVSAVKNENGTQLFGKHVNVSFAVKES EYRNITICSCNSTPPMIPKSISTRIELYRQYLTQWLKNAENNGFLQPLDKPEKNKIKI LSSQILYDTNYANLIRSNKYKQISAQFFLNNEPAVNSLKRKPEIHVEDAPSFRYNKFS SSTVPQEISNTVFVQIEMGLCKEEDLYILFSHINGFRELRFIPVSFKSYLTV cubi_02340 MINDKIKNLIINTAEEHKHSLGSDFRLVLKEINQLDNISVNSRL ENNKVEFFFSLNKGKEKYKVSIFVNKEVLKNNKSCINDEHTKKMVKISVLAFWEITNK RKKELFEYYTELLEGENTPLFKYSEFHDVISSNCEDLRDKLIPSCDIISFFDIFLLSL FRFTIPQLTKQLDWDEDIPLRNSLIEHVASEECFKSLDETSTDSESEEGVAKRKVTRR Y cubi_02341 MTAEANPMKNIKIEKLVINISVGQSGDRLTRAAKVLEQLTDQKP VFGQARFTIRSFSIRRAEKISCYVTVRGDKAEEILEKGLKVKEYELRRRNFSATGNFG FGIDEHIDLGIKYDPSTGIYGMDFFVQLTRPGNRVSLRRKCRSKVGKHGRVTKDEAMQ WFQSKYDGIILN cubi_02342 MSGKKKNANKKPDQDLEDLDALLAEFGTVDNAEAKQELQDPKEV KVEENVSSQTLKNRLKKQKKKQAKSQASATEDAGSEANKDNAKPKYISAAAKAAAERL RLIQENEQEMKRREEEEKRKEEERRKLEEEELLRINEEKLLKQKQRKERREQLKAEGK LLSAKEKAERQKREQFVEYLKQQGVVSANDGCFANSNINVGLATRKKKNKSNQKEDIL STNNIEEGENCNYIETQAVGQEFVLDSWEKVIESEVEQKSMNVSKDNINDTLSPKKTV NITDKNYIEDLTEESDEDQGFRSPVCCILGHVDTGKTKLLDKMRRTNVQDNEAGGITQ QIGATYFPPEMLSEQVGKVDADFKLQIPGLLFIDTPGHESFNNLRSRGSSLCDIAVLV VDIMHGLEPQTRESIGLLRSRKCPFIIALNKIDRLYGWIQQGWSSSRSALSIQNDSTR DEFDTRLNRVLLELSEEGLNCDIYWKNDDFRGNVSIVPTSAVTGEGVPDLIYLIAQLT QNYMGLNYLKLNTRELSCTILEVKAIDGLGVTIDVILVSGILREGDTIVVCGLSAPIV TTIRALLTPQPMHEMRVKGEYIHHRFIKASMGVKICANGLDDAVAGTQLLVPPRNSTS EDIELLKEEVMKDMGEIFSSVDKTGNGVYVMASTLGSLEALLVFLKSSNIPVVALNIG TVHKSDVRRASIMHERGFPEMAVILAFDIKVDSEAEVEAKKLNVRIMKANIIYHLCDM FSKYYGDIQEEKKKEKSQKVVFPCILKIIPQYIFNSRDPIICGVYVEEGILKPGTPLC VPEKDNLMIGRVISVEFNKKPVSEGKKGQEVAVKIQPFASDTNITYGRHFDHNDRLVS RITRDSIDILKQHFRDELSKDDWKLVIQLKKTFGIP cubi_02343 MDINLPVFEFSLENLEKKKLKILQDKEIGDGEDDPDDYDGSVIL ENICWKSQWYIEIFPFDFWNVNNSLINVIDSKKTIGMGWDLEYELNKVGGQKFSKFRH REYDLLFFNNIFNSRVKLSNWANYKDFTQKKLMKLCISGIPCEIRGEVWCYLLGSDRM LRNNLNVYLNELNGNIDKKIENQIILDLHRTFPNSKYYSNSKNFNKANTLNRVLYAFA SHDKSIGYCQSMNFIVAILLVNMNEEAAFWSLVQLVSNNRNKEFMVCSWGNLETYYGE RMDGIIQDIIILESLCKKFIPEVSQKLESTGINFQWFALEWFLCFFVTSLPLTSIMEI LDFIFCFGSDMLFNISIALLDLNRKKILSSVNMEECMNVLKNIAKNITEPSKLIRKAT KYNICKSHIERLRKEN cubi_02344 MLGKAEWLFLTSNIEVNISGRKIMQNLGTKGAGVYYKLNANDIE ICIYGLNREFNYSIKSDILSIFWKFYKDGKFTIVSKKNYIFFFSNGLSNSIYYFIKNV AAIFPQCLSPNFDPNSYLIYKSSSPTSNNNFLGYSGNQAITKKQKIMKLEQINHQKLR NVSKNEFEDENRKIFGINNLDNRKTISSNFLIDLPEDLIFYVLSMLVNPVISEGNEKS YTNYNSFYKDDLIKSTNLVFVNSKLLKFFQKNAWEISINHKVHKSNNINNYKNLGSLI ISNKYIKDSEIKEFASSKNLPVLANKLSIKGNNNITDSALTLLLLRLKNLEFLEIINC NNITGNSSFRLIGTKSSIKYLKIGSVIKQNFSINDDSLKDLFNINYKKRSDINFMDKL TKTNIVYLELINCLRITKFPIIKEYYCNIEYLDLTGCKNIINHEFELFLGYLNNLKVL ILSNTNINDQILNVVFERCSGIEILDVSYCNNISEEVFDKIPSRLKLISGLKLSYCLN LKANALIKILTGCKFLEIIDLTGCCNIDANLINYRNNTAFTSRIRKIGVFRLSLDLRK LNDFISNNIEIKPNNAKINIEIRNDKEFLITEFTFKYEKKKDQYLERSKPIWAN cubi_02345 MFFTYVVRPGDAPEGRGPSQPLFFENFLVNNLKLGFGSMLLGFI GLTICSLFSGFGLHITKFLSPFNEATNSTGVALFFVIFMIFGVLCLNMSTILMDDDGS ISQTRGFRSGCKAFGQGSLVILLGLILIVVTLYSSVGYYEGNALSGLDLNHVIKCMYN AGLAITSIGLALLGFAAFLVEVYSSDGTREILGFASVLLCKISGIFLFLTIIFPECRI IGSLSTLITIVALTHVTLWAGIFESIALKSRIKMTQSAVRNEYYKSRNALAYFGPPVM AEGNYTQQPTM cubi_02346 MYSQPYSGEEPMLYQGRGPSKASTNQFNISATNGMQVMNDGIMD DEILNERGEEITPLISNFSCITLRTGTLLQCTSLLVLIILYNVFGNKGLFTFDLYGNG GTLAEDLSYYAGVVSMLCVYFVGVLFLAGFQEFIADNSKAPLGFRAGSRLLNTANVIQ IIVIALRVTQFSFTYSYFNQKWYGKFSQTKGDWCLYNFGIVCEAVSLIMYGISFFYVE SYADVGVGEQYAYWMLTLFTLAGLSELLMLFTGFGSFFILFFAAALIVTCIWAFQFEP LLESNSPLLFSRDINADVLPKETPLDDMSIEKNQTVNNYQPNFTYQLYAGASGVSSVQ NPYGVEMQQ cubi_02347 MGSITENQSISLSGDASYKILDSRYSDVENAELLKEAIRVGLPP HYDFEIFKTIKRINELKSTSDDKGHFTVYLQMPEGLLVFSQSISLILQHFTQANVVII GDITYGGCCIEDQLMNMIESSNSKSSNLSLLVHYAHSCLIPFEELASPNETNIANVLY VFVEINLLSEHFIQTIKHNFRQEDKIALLSTIQYHSTIVSSLKHLNEYFSNPVKIPVC DPLAWGETLGCTSAVIDDDVEKCIFLSDGRFHLESAMMQNPDKIFYLYEPFSKKITRE IYNHRLLHEMRKSSIINSFKINEMGGNNLINGIIIVGIFFSTLGRQGSFAILERLEKL IKKYNMGTNCKAKLFACSIFASDLSAESINNNFLEGMDYSIQLACPRLSTDWGIYYTK PILNSYEAFVLLGNLSNNNFQFFNEMEDNLDTSYSEFSYLQIYPMNYWAANGNIWCNY YLDESRNGSFAASKERIDEIKYNIRQNKFKNLTKRKINLHYENK cubi_02348 MEEININQILSERGINYTRTQILDIHAKQLKNEINRRCRDFQSV DELINFSNSDENKNDLNSLFSSFDHRNGYLPHIYCATLGLKNGFIDPKLQGDLYQSIL KCVNQGYISSNIGIVKILDHSHPVRLATPIDKTCYSLVWCKKDLSEIHDTPVVLGEYT GDVKYVGDDEIIDESYSFQLTFKSSAFKFAGVVKSSNQLSDEFSTNITNKLADKPTYS FDGFEYIETNENSNSQNKSFFFSKPFAQNQNELHFTDGNIKKIGARLLLPNDNEYILS ADKACNEMAFLNHYECVFNNNFNFRINVQWHSVYIDGWPHVILTSIPGVGIKLGDELA ADFGENWFSRIRSISENNIRSELILWRLRFNTNNSQSKEYDSMLNENTPFKGVELKDS LSNCGINGLINSYDICEICQNLISFPIKGSYKLKSENKGLSLNSKYDYLLCNGCNRAY HWYCINRPELKDLKTSSKNWFCFQCLSLTLRVLPSLAYRVPNITLELINSIQNTYNST EDLIPGVIDAMGNVILRSTDEVITENSKTIAFRPPEHFSHNLRYFNEYGGLEGLTRLK PCYLCYSSSVISNHTVGIATICRIHRLHIAPDYDEFFNSVQYSCNSDNNQLIDNNSLY LSLVTDDQGKRKYAVSSQSQTEDILRPHLKKLSGSRYIVERSRRIICFLRQSLYQSEK HRMDLLYKLEELRKIQDGYFRTITEGSGMIPILCIKLGSTILNKEFSGKLYQGIVSRY YPKERIFHIEYLDGDREDLEYSDFISLLINDIKNHRTDIEDLLINDNPIESCKQTFEK SLIYDNSQRCSIFGLSEELHKYESFDFGMIKIKNDNLLNINYEKISGNSIIDRVKLIH KFIIQECKIGRASESAILLRETPKYEYIDKPEWNNIYGSLKIESNLIRYFISVNISRI SYKTTTFNIISKIYMGNVALIKTIPITMNNDFVVYKGDLVDSIDVSSEILNIIKFSAV EILVWTQNRLSEISIIETSLQNISDDGTFKQIDRHENITNEQNSQDDLSDSLILDYNT KLVEENWLQKANLIAQTLQPYPNGIKWINETHSWKVVYLNESGQKISKFFKTIPFDEN ATVESLYLRACKFVSVSQTNNKVIKMLSTRRKLTKITNEISNPLDKFIFNEWGNTIED FLARYNLNNPDRTVYSINDFNNEINSLRPFPDNLDWCNSTFSFIVILPNKERKSFQLD KFEFNIVNCYSSAYNLAIGNINLDKEFYSRKIRKYNSRVKSNTNSIQIKKQISKRQLV NKQENSLETKKETSVNKSKKKQKNSNDMKEFTNEPSYQTCSYKDQTAELNVSNEENSH IYCESKLETSELANEHPKVNSLNDALNSNDTHLSFRTKNNRKCRTIIRALPAPSDPLS RARIIEYSKKADELRPFPHGITWCYRSARFKVRYRRSNDGCWTATSFTPTKFNSVKEA FESAVDKLAAHIDDYKNSNVIPIIPKKNLSGIDS cubi_02349 MPQEPWNAEEDELLYQLVQRLGPSSWSETARLLNSTLNVNRLAK QCRERWISHVDPRIRRGDWSLSEDRFILNQQNLWGNRWADIARHLPGRTTHAVKNRYH QLQRLLNQGKTKLETILNAPLIHVVPHFLQQTNCFKDNSEKNIEFGNQLKVKKAIIKK RRESIHDYRCLNEKESIDQFKKNKQIYSENEVNCTQIELEIDKFNSELNKKTIENYSG FENFEKKLENNINNDPEIDKQGIQTIESVCKIIPSNSEIEFSPRNFRHTCLEFQQSPP GVLLTSDVGIYPVTPSFTENYNTYKTNNAIEPSTCETNQDNQYILKSEFLTPSSELSE SLPSKCIQSICAFNEDPSIYGEMEYRDPNWYVWNENSSGWDNNPIHRLDTGSIADPFL HSFPDI cubi_02350 MSEANRRKNKINTRYNDEQEIKILRNRIIIELPCRGKCWNNPNI LKNSNIDSKNTEFLTKRTKIEDIMSLDLFSDLPISRRTLEGLKAEGYHQMTLIQKNSL PHSLQGRDIIGQARTGSGKTLAFVIPILENLYRDHHCSLDGLLALILTPTRELASQVF DVIREVGKFHSTLSAGCIVGGKDIKSESSRINMLNILVATPGRLIQHMDESPLWDANN LKILVIDEVDRMLDMGFLNDIKVILDGIPSPSTGRQTMVFSATIYSSELSITKIDNLF RTDNLECFSLDNVGTLPRYLQQLYIKVDIHEKIDTLFNFLRTHNNKKIIVFVSCCKQV RFLSTVFTKLKIGCKILELHGKQSLQKRLEVVHSFYTHDNSTKLNEKVRLKSTGRNSN FFNEGSVLFCTDIASRGLDFPKIDWVIQLDVPENADTYIHRIGRTARYVSRGKSLLFL MDNEIYFLKDLYEKGIDTLKKVTPNEYEMRYTIHSSLQSLCASDQNIKDMAERAFSAY VKSLFILTPSDKRDGLEQLKFSAFALSLGLAIPPKIKINSIEENKKALISKHSSKLQK FKEKMRQKKQLKQSIEKVNMDYNKSNILQNNEGKSIDTFQDYTILHSNNESIVYQEKF STTSLNEKTAIDKLRFRSDYSGKIRGYGNSDFEKQHVFFSDEEPSTFDQDNTHKDSDV DSQRKFIEKVKSRLKNQTENDKERDRERVHEMHVKKRRISRGFRKEQLNEVDEAEFTE HIEEEENGIYDEDNLENLTTAALEKLGIQI cubi_02351 MQYGLGNNLRLNSNIDSIFNIRKVNKTRHIVIHAFIRETYEIFL FLSAISLLYFILSGYDGSNAMVIANALRLIFTIGCYLVSNRMREGDLSLLLLWLVLLM NICIIPIFSIDIFYFRILDILSYFFLFWFTGFHPIFIFINAIIGLGSLSWANFRMLSA IDINFYEKSILQALSFTLLFTRLSVGLIVLNNIYTNCSIIRDITVNKIKSLYDIKVII ENESELIKLSKSLGISLKQLSKQSEDLDNSNDTELLRVDSHNYSSSMSLINPSSIHST NISRAISFADNSNESSSLGSSISMNTPIQSIDDFEAIPHSSTLQGLYNRLLVKKKNEV FERFSPSMTFIDKYRQRTKKLFRNLMYKFESFILTIRFAEFPDSTLPISTRAVSQVFL DQEIEGMYTQWLQFYSCNTIEHSIHYILLSCIIVPLMSSAEWLLLFSNKSKQLLCIYS PYVCLIQSSNKRKIIFFVFREGIQIIISMFLIGMIYILCKINKITLGKRRDKGKNDQE HSGKRHSIKEKIINAFLRKMLIYPKFSESAHSYIQWLSIIIGSWTVFCNIIDCILMGN ISLRFITLSSSLLIAGTYLNLRVSSTIIVYLIWGLFSFIFTVVISGSIEKYIPCIISI SIPAASIIFLHTIPLDRVRRILFCRYVLPYILYIQHTIFVLKDSGEDIKKKLSNKYLS SNMGVKSVNNCK cubi_02352 MKIINNFHYYIKLLLFCIALFSETDAYLGSFIKLKELSSKRYKV ANETINVSANAFYSKVNEELNNCIDWYSVIFFSNNFGFSIVPSLPNGIFIFFSKKKTS KCDVNEKFKKHFLSIPKINMGFDTSVVNKFTKLYSEGEIIDGLNEVNKVIYLDILSKS NPFTPNHPEFFSLKGEELAFIYFPHLEVENKILKELLASLFELNSFNTSTLSLHRLSI MDHFSNRWETTITSIKIGESKEGDSCEYGNLEVVRRLSGSGFHWNLETEIIHFENTPV MIDSFNREIFLDIDEIFQRNERKVDGILIPLHPVHIERPSEESDSSLLLSIGKSSNIP IHARYQSACNGCNFKTLVIGFPSIIIYEEDLGDKYCLVPNFRFEITPNQNVKIGKSQK EIIINIPVGNSEDINYVFIATIFTIIITTVATGVSIKKY cubi_02353 MWVGEDELPDKISFLTFNAGLLEYRICGVKLYQNPPYTSHRLLQ IPSALRGINADIIALQEVFDEKHSDYIIESLQPVYPYFARESKQNQKQKSLKRWQPIS VIHNQLALHNGLLVLSKYPVLNARFTCFSDVTLIEEWFISKGMLEVTIQLPGMDKSPL TLFNIHMASGAVNPESETIETLRNKEIEQLLGACDHAIRRGEVPVIIGDLNAAPNCCG SNYHYFTDRGWRDCFEHLKHENKDSTSASSNISSQINLKSRQNDFDYKGKQTYIDMDD LYDDLSNYSKENFTHREEQIEEEEHVTPSSNDSFSTRIDIDTTPMINMNISTSKINKG NDDVAVVNKLVSSFAVAKAAVEAAKRGFSSCSPESTSIEVVSTTKSSNCSNNISEFQG NYPIKQYFPGNKEYNSSKSFSSDFTWDPNNPLNVIGPHSNCHGQRCDHIFLPPTHLSK KLAQYEPHKSSVILREPRVLVDGWCFGCVGSAVLVTLSDHYGVYVELKRKLDNNCRNS QY cubi_02354 MINATILEEFALKNACNYSHFRFEKEFKNNHLNAKKRNEKNGTH EWEYPRKILNGNDNSEKLFYWSENENKLCYIENDYIKKKCSYGLISNKKNREINSIIE NIKPIIWHETYFSKLGKCTMFISQGGELYFVNNNENKRVITDKIKKVVNLIPLKEGVI IVGYNGIETGSMINMAILGHPLEQQIDITLKSTTNLTEKCNQSIFNKIVIWGSIEFPL IMAYDQIKKNHSIYVYSYINFGSSLVIESIWEDSSKEKIEFAKSIFISRTIFENKILI SYRIPSVSELKLVIFPESKFKDVCYGKNSNIGSQEIVVQTIQDVRDAVPITFGHQQEK HELFGINYLYGFNYLKSSKLFNQEKFHDLPTFFLLLLNSGMLLLYSGSKFILNVEIKH EHIENPELLSISSGVSNNFDVLVKFRDNYKKENHLMGIRCSLKLLPEDFLVQILTNLL MKITNTEFSLNLIKEILISKPKEHWNILRRALLGESEYINERRLNTSNTSLNISIESP MSNGVYKKIKLFSDKDVHLNNKSKNWQQLTKFWEKYLEKNGKSPFDRIEFSNLISKGI IPHEFLESKKQDFINLNLKYQSLEFKTTNQCMYILHALYEELGLLPQFNAFGNYQNRL MKDILIPLSKKLCSSNYYEYYQFLESSFNFINEIKNNSNYSDWDLPPILLDKIYFLTK FSKLNREDIYFKIISEHFPLQHFTIKLYEQLFNGSKNRETIDFISKAGITNTILPILS PIISFPIEKFLNCYSNNGPNLSFDDKKYFLLGRLDMLSSAKNTNLSYTNISSKTTKNH SDNLAASVLPHGRNTVDDQKSMLENLQVYYKNKMDPSRIILSEKRTIKEIWKNDLTEY SDIGSFELSYKWCFQVFSYDNRYCDALELLSLNKPPQILLQRSSGAYPIDEESWDEFQ RQRIVDAVQLVYTNLLGRAACTFGGSSFDISLNRLNRPALVNKIYEVASNSLISVDIE RFKEVCFDISIWNEFYMGVTQSLNYCCPNSKLEDSFHKNKKLWIIEQLDAFSSQDDIP FISGFLYGISLNGFFKVEKFDSITNFPLILEPREIYKILENDGQSIKTCSVLLATAVT ALKTQNTTLLRLYLMHIPSILPNIYTKSLQISSINQYSAVISIGLLFSQSRSRQVVEI LFSEFLRAVSDIDDQASINPNLYSISAAISLGMVLQPSEESNNISSNTMIEDDITNTL LSCISGNKLPKFLSNLASGPNLEHYTEFSGLKRFKYQNENVDRGNISKSNTNLSSETN KFSNSSKNYCSKIVDSTILGIPAALSISIMHIRSKNKSISNSITIPFNRPEELVNYRP ETLIFMSMAKIVIEWEESCIPNKEFICNQIPSYLWYLPSDKNFPFPITSEKYSGVEPK VNEKLMHCISMGTLDWIHCIQARIAILSGVIWGLGIVFAGKKNVEVKYTVTTILEYID RIPLIQMPLSIASTIKDKSICSSHITIDRWSKELCIRVCLTTASLCFSGSGDKQILMQ IKYFRAQLLESAQLLWTSSTAISPFSIFSIPPIEHVHSQLMAYNNALGFLFLSAGHYS FSNKDKLGSTFLLLATHPIYPKDSSDISTPGIIFQPLRYLFITAINYGRKVVIPKLVS CSPEPLNSCCNFSGIISEEKIYVPISVELRSEDIKCQNKTEYYILPTILPDWEEIIHF KVIGDAYCPISIDFNKDKNTHCFQRLIDGQLWVQTRKRNNGYCWNEANHIIKHLKSNQ FPKIQLYSFSDDQIYKKQMLYKYYSYNNRIIFNNSNTFNQCDEISDLYTNFSHTLVLE ENKSFDHNVCNQKIPNRKFNCSPWRGTILSNYIDQILSTWNKINQDGSGCEILLSSEI IKILRITLNLDNDGKIQTILLNIHRRLQAQMSVLIRCIRYYYSAHNGTRIPSCDEKQS LRLFLSLNGMPLASHFNYIVKTKIESNAKISTLLREDYNKYEEIINPILILEFPTLSS LGLQILKVFIYEYYIKTQNVSSQSIEAQQQIKLMLTRIFSSKNYF cubi_02355 MNVLILLFYLSLVYCSYCSVQRAGIEHKSALTLQSTEHKEGTII GQNTRNSCQYTDFRWSSCICDRNVMIGIRFLVNEKAEDCAPEVTIIELPCDKSSCNQK LLSTSDGVACPSLANIDGVCDSTKGFLTDVMAKSFEMCRAFCSVMVNCTYFILDAKNS RCKLYTGNKICGKEAPGITTGLAGFNENSCSECSVGTWGGLSECKRPEDFDPDLLGCG EAVRTRISNGNIDADCPYRTETWTCSLPGQTCDMRTEISDIYLKSKPETDNESDSYKL LEISLIFAGIVTGILIFVPTSLIFPKIGQFFYGKRLYSLLSGNEIDSNRAHIDETTNN VDDYEEWEEDDMNVEYETEFTIDNK cubi_02356 MEPYYICRILKEYGLDDVSNKVFEKFKTNSEIPIKINYDGTESQ ITYEELTSGPPDNIFRFIKLFLSFIKLCKFNKNSIIGSENLISNQDKFFDIIHSLKNE IIDQSNFVEKMKYICSRTTHLSLRGFRYGVNDQLMFSNTKMSQLLPHFFGEFIQSNFI YAHQHIEDSEFGVGGEPASVYVIKKDRTGNVLISGGDDGMIRLWNTYTGNLLVSVRKH AGDIIDLDINSCNALLASCCGKGQLLLTLLNGNDWVPITIIENTDRLTYVRFAYSKHS VKSSNDDIFHESEMLISAGDNAIITIYKVSDLFMHGVSHYLTLMKRKEFTETTLSRFK YLFKRYGNFAQSSDSFEREKSLFYKAPPLYKIDIFPLSPKAFDICLNPLYNHGFSSNE SLKSYFFFSVGASLHSLSSTEEIQINISGKNISTEVITIQKQCDGQGYSLVFMISLEN LYGKSGIHLIDMPQKHCEHPDVSFANNSHDLVTASDDGTIIMWTFSNESIHSQINLTT YISDRISSNSYSKKKSASRKNVAEKSPTESLSDDDSDYVGSGSMDENSILRRNPIRTS RFVLKDDSFNKENNRSNGADGSPNVVQFIDSIQWSCDDSIIFIAQSVASKGMLRRNRN STLVNCIECCISYFSRNNGKRFLDVVLPGTCSRIACLIPHPMSPEIVLSLTYNGVICV ISGSKSGQINDDKLKNSSSILFEHKNTKNPYLNGIWIKNGLGFVVSQKYGSFEIYNIC NNRDLNQQVLIQSYRYSFPEQFFLNDFSEILRDDILGWIDPNLRKPIYMMPRSVIVDR NKRMYTEDVQPPTPLGSSLGIESLRNITENELLTNRIPIFSEQNISNVHKTQNSNSEI YLERAKKRLIHRKKVFESIKNLFNNYSTSNNSTLFHSGNTLGNINEELTWVSSQTQND ETSSVEEAQSYLSDSSNDEDFDINHVSNTSTNRRLRMVGRRSPSISDIGSSSSSSDSS LDENDYDSDLTSEDSLYNEIRGSKNITRPRRGVNNKGLIHDSNSDNEIVQQEIEFRYY ALSNLDTIWAPDSEEQEINHLVVCKLCNKSSTTIIGYKYLIKSGIEFNSQNIRPILHG VNGSIDLGPLIGPFDYRYSTHRPERNAKFLPTQDLNGGQFYLHSRCLITIPFLQWELI NDQIYTNLFDIIKRIYNPSEGTPPLPKNGLYSTFFDSKIVKFPKLIATCSYCHEYGAS ILCQGGKCSRQFHYHCSSLVYHSFPESINSSFISPRESNMYWCDIMQFYLFYCPKCIN LKQSNVPYCPKRENMIDNTRLNHCNRSWLLVDEVSAGYVPQINDYLYFFPNAYICSGL DDIFFKNILLEVGTENNKKPSRRSNKKFEFIKCKLVNISYAFPNSAEQSIKAILTFST ELISGRYIYWQIRCSPNDGPDYLVLEEEVEKGIYNLEHRLRIGQENYMFIDNQWHEIV IKNIKQNVIWESIEVSWKQEESSNNSLMVSPWEIHEIVPDKKSVPKNLEASDDLIKIF CWMLSQNGQSNPLSIVEFFKYPIPFFSNKNLSKNEYSNQEWVMHYWKEIPLPFSFISI INRINNNYYRRMESLVFDIFLVRSNCEHFNINNNNLVQGIRSVEYELLRLIFSKRLPR YIIQTSTDISFLESEPEKKISAVNSSTFDSDEEIINRLGKRTRRRL cubi_02357 MSGARSSIFIACIIYFISLVSANDVCVLKKGASCLSAKYSFIDG GMQPGNAIRVSVDLLPTASLELTNSAFQNIATLRFGVNSCSIESSLASIRAYALYKNG QYNTGSTISFDLVALENSLAVYMTGNKILEIPLLVTAPFMLISDSNGSPPTLQFIEYS STESGFCSIASSGTCNNSSLVGMSSGITKNLTIKAELPSSIPDDYFSFFISTYGNIYK LPVLEVAFTKEEWLATASGSFVGRGKIPSGISLGSSINLILVPSSGGSVELKVNQSSL GVLKVDPSSIKFIYQSVNQGTMNISY cubi_02358 MEEKPKCNRWFLLSLYFLISFSVCGLVGSFTAILPLLRRACIFS NLCRCDPTAKNYRGDDCLNPDLRDLILFEPFSSDDECDPIGCNLQNILYVDAWKFGLS VPLITSPIAGTIADIIGPRALGTIGALFVCSGLFIWLLLTNIFEILWVAEYLLSLSWL FLGIGRVCISYSIVSVSSLFEMQSLVISILGGLIDASILLPLLIGNFQPGLKKSTQFG ESFSGMDKKIGEKLFIYIYLVLSLLSLVSLFLTLPSVTFNKLIPRKKLSEIKQFITCQ EDMADGNLLKKDFKKLEKSVVNEDILIKCPTTIQLRARSEVTNVKVKVKCTNSDGLEC ESSAMCDLKASSAIMGGYSVVCSLAKCRYLLNIDKLRSFSTPSNLTNFVNPETRSSLN TIIDRPIFEQLFSYEYFTFMALFTYNFWRCSILVSKSEYIVSSALYMNQNAAINQEIL KIMNIYNIIMSLGSIFSVIWGIIATKYGVNFMIGLITILGCFIHILLIFIHMLPFWSI YLYFWAFSALRSFIFGSLNCFIGDTFGFSNFARLAGIQAFTCFVFFQIMNYLTSKYFE TISWARVNQYLLIPNIFLLSVPFILKLLKTRRDN cubi_02359 MNFSKVKLYEQWQLLRLYGVLNSPHLVLIYPVYTIQLKSMCLPY FENYLDNGNSIEDAHLKTANPRISRGIIYNLSGLKLVFDSLRCIYNEEGYWGLYRGFL PMTLHSYLTRMIHDQLIKIYKRHSNKIHDSYIKSAYVKNSTKYIAEVVTYPLLVISTQ QAIFDVKRYNLGIQNDQDRINEPVIGIYSLVQLSINSEEGITSLWKGITAHIMFKVTE DLIKNYLYSYLYTNFCSVKDIETRKSTTTVKPNNKKHLKHGISNFITSLLSPLALIST VKRCQTNDHIGLCRGDVGIKEILGNVNWGIYFGQMVVNSVFIAIYLFDGENCTF cubi_02360 MDLIRLKSRKTLLSFLECISNKDNGNNLAPQLFIETSISSLLSL ITDIADYNNFGFKKIQPLIISEDIEERLESLVCDFEFDSNTRVNLVYELKCVFLCHPS LSSTFTIVKHIELISKLLKKNIRKQKIDLCFYIGFVPFYSKLILRLLHQQLTSLNIFP ECYSVNLYWAPLDETSLLMEIRNVFFDFHVYKEESSLQLVAYSLYWLLKFTNSTNVPI YSIGSAGVTVLEHLMRYIKENNSLLDNLKPFDWNTLFETEDDQNCKYLGLNHFDKDLL TNTLEFTQNYLQNSIINNSSNNDLINDFPMCFDQVIIIDRRCDLVTPFSTPFSYHALL DFLFGVQKTYVDIPADKVPSDVSEESTHWKLPLFGDPLFTILKDLKLKDVGIYLHQKA NELQSLYQEKEKLKDISAIGDFIRKLKGKQHEQGTLAKHVNIATYLNEYFTKDYQTLT RLRLEDSIMSDSSQSVTGVVKELSTRFSEAISLRGTEESPFEDLLDQEGIQIEEIYRI LCLSCIIENGFKNRKIYEQIKKHILSVFGFEELYRMNILERVGLLKLEASKKSYWQLI KRSLNLFVDESESENDISCVYSGYAPISTRIVEILCREISNTKSSSDDSSKEALNYVW GPSVELIPSTLSTVKHNSCLVVFVGGVTFGEIATLRKLQGIINKEIIVATTEIINHRS FFESCKKPESMSHLKNENSK cubi_02361 MDFALNIEIPGVVLNDDSTRNEILKKVRSFCGWRQDTFPGSQPV SLNKQKLESCIGKNLYVACEKTDGIRLLLYAASKRVFLIDRNQQINMVKMTLPSSYWD TVFEVKNSNRNFENSEIQKNLLEKNSELLNLDSSRDNHTQYFQQNTLLDGELVKDIVE VDGQKRYILRYLIYDCICIERDDTVKSLPLLERLKLAYLKVVIPKCRYEQNRIATLSD PPPFELYLKDFFEVDEVPAILNFSKRLPHPSDGIIFTPVHLPYIPGTCPQLLKWKPPH LNTADFAAIFYAESESYDSRVFLELLVGIRGVRASVNCFCVPKGNVYNQLVDQFKLYR TSGQILECYYDENVIYSKPAKSEDGNILWDKPLTTVQGGWIVERIRSDKNSPNDINTV NRVFESIRDGINSEVLINTIKLYQKSGKRSVVEYCNLPESVSNCRKNQNEVNYNEI cubi_02362 MSPIKVLMVAEKPSISDTISKILSNGKLVTRRAKTPVHEFNGNF RGMNVQFKVTSVAGHVFETNFPQSYSNWEKTDPVSLFDAPIIKNESSSKMISHLEKES KGCSYLVLWLDCDREGENICFEIISVVSNNMNKGRDQKDWVFRAKFSSIAPSDISYAM KNLVSPNKNESDAVDVRQELDLKIGVAFSRLQTNYLKSKFGDFNKSSIISYGPCQTPT LFFTVQRRDSISSFIPEKYYTISVTLSKDSQDINLNWSRSRVFEIQVANCFLQLIQSK KPVVARVIDITSKNTRRIRPLPLNTVSMLKLASTVLGIGPFQTLNIAEKLYLSGFTTY PRTETSRYPKNFDIKSTIAMFKNNPIWGSYSSEMLQKGFNLPRKDGVDLGDHPPITPV RSATQSDLDGDSWRLYDLITRHFFATISSDIKIINKTIKFDLNGETFVLSGKQIIDHG FSVIQGRSACYESSVIPNFAQNELVSIKNIEIITKETKPPPILSESDLLSLMEKYGIG TDASMPTHIQKIQEREYVKLVAGRRLEPTKLGTALVHGIMNVDHELVMPMIRSEMEKY VDLIAKGKYNHKAVLKHSLSVFKLKFLYFAENISLFESLFQLGFTNISASCSRISRCG QCKRYMTYISNILPQRLYCSFCEIYLDIPQRGTIKIYKELKCPIDDYEILLFTDQKGK KSIFCPRCYNDPPFMDAKDNMFCKLCPHQTCNFSLKSTFFMACPNQECRDGIITLDLS SSPDWKFDCSRCSTSFSLKKSNSAKISLCEHCEKCGSRKLKVSTEKILSEYLLGCPNC DEQIFNLIEVINAPKVVYKSDRKKTSFRGGGKKGKIH cubi_02363 MLRHQPGLLMCRRQPGVAIGRLCARCEGRCPICDSLVHPETVVR ICNECNYGSQQGRCIICGSEGVSDAYYCRECTICEKDRDGCPKIVNLGSSKIDSLYQH KK cubi_02364 MPETITKSNIILIYISVKNQDEATSIAKTLVNEELCACVSIIPS VRSIYKYKGQVHDENEAILLVKTTSQLFTTLKKKVMEIHSYEIPEIIATKITYGNEKY INWVNQTVRN cubi_02365 MDNTVNKNTNVDDKIRNIKGKVLECKEKLLKSEFWSTLIEHLES QIIENWVKKRVCFSPRCTLRSGCICHSDILFVIGLGSLESNFNYNFSSFFQLAAVAAI NERIKLEGIYFCDPEFTQIDRELIFELFMTFDTHIEVFTVHDLSIPLNTVHYQLKNKL YGRDSHINILFFMPHCDRCVFGMLIHYFNIGEGKVLYSDSARMIVWGNNLETFKIDSN KNFNKRCEYCKILLSTLSKIDYKKTDFPVDNYKTVFYSSFSDLSIYNLPLNNFIY cubi_02366 MTQGIINKKKAIQQKLKERSNKPVPSKKPKNFKKQKTLRKNKKV VGVQQIENLLSGAAVSANEHMKYLANKLK cubi_02367 MRPDTKADDTIEQARQKLRDRFGVGTTQVGGKGTARRKKRAQKP STGVDVKKLQAVTSRFRCQTFPAIGEVTMMRKDGTCLHFSNPKLQASVATNTYILTGN PQEKLIKDLPQQINPMDLSAFLNDPKFQKLLEESQANRVKMATGEDDDIPDLVENFED VEE cubi_02368 MEEKETVPSKVRIAEEGEKANSNAKLRLFYDVLSLAYFSVLWMM MFLDCGPNYFSFFYYLTNWGCTSTLIFYFIATLVDYERLVKVNVSTNLIHSCTFVREL SVSLQSVIVPFFWIIVYPQERWRSIIWEVQMHGMGLIFICVDYLIRLSNFSSLSSKNL FMVVLSYLALNYFVVNKLETMIYPGITYNTIESWLVVILAILLVLTAHQLASIITICL VVKNKIWRKYEKDDFVSKSIKIVQKAQKFRKKDGIRKRLGSIFIG cubi_02369 MGDSVFTRDNYNFEMRRKASFSKLTGAVPRGMTGMYLDDFDPTA DKRREKLWYLMESYLPTDIESIQRSIVNHVEYTLARTRFNFDDNVAYRATAYSIRDRL IENLNDTNEYFSERDCKRCYYLSLEFLLGRAMQNALVNLDIEENYRKSLFDLGYNLEA LYDNEHDAALGNGGLGRLAACFLDSIATKNYAGWGYGIRYTYGIFEQKIVQGRQFEHP DYWLVQSNPWEIERQDVTYGVRFYGHVREFEEHGKKKFRWVDGEVIQAVAYDNPIPGF DTYNCINLRLWKATPSREFDFNAFNEGKYVDAVCARQRAEYITSVLYPNDNTEQGKEL RLKQQYFFVCATIQDILRRFKKSGKVDWSELPKKVSCQLNDTHPTIAVAEMMRILIDI EELDWDFAWNITNQCFNYTNHTVLPEALEKWSSNLFNKLLPRHLMIINEINHRFLSDV RAVLGDGPWISKMSIYEEGWDKKIRMANLAVIGCKKVNGVAVIHSEIVKKDLFSDFVE YYRRKGIKDKFINVTNGVTPRRWINCANPKLSHLISNWLGSDSWLTNFDMIRSLQNNI DDLSLQKEWAEVKLSNKERLAKWVEINTGYKVNTSMLFDIQVKRIHEYKRQLLNLFYI IHRYLTLKHISPEERKKYVPRCCFFGGKAAPGYAVAKTAIKMMNNLSVMINNDPDTKD YLMCIFLPNYNVSNAQIIIPASDISQHISTAGTEASGTSNMKFVMNGGLILGTLDGAN VEIREECGNETMFIFGALEHEVEHIRNRAREGNYPIDQRLCDVFNFIRTGGIMLGDGK AQGEFCEIVNKICSNGDGQIGDFYLICHDFPLYCDAQIRVDQAYRDQNTWVKTCIKAA SSMGKFSTDRTIEEYATAIWELEQCERPAPEACKKLSGYLPNKSK cubi_02370 MPHSFGKRARTRSKFSKGFRQKGVPMLSRYLKPIKVGDYVDIVV DSSIHKGMPYHFYHGRTGVVYNVAPRALGVIVNKVVGNRQIAKRINVRIEHVRLSRCN EDFLKRVKANDAARHEAHVAGLPSPVTKRVPQLPREGGFVDCSNMEVLTPHITVAIC cubi_02371 MNRFSWKHKRKNHTIDKNNGILGRMLWSRYIFCFLLFLIWYICT WFVGAHLLRIETKEGIYTKSTFIKELASVGELRDAVSADLSSPKVIIFYSSFCAYCHM VSNSLKKVAELLTPTGVKFYAFECGKGYSECSTWGIEGLPNLRLIAPENKKINLQSLI NYTEFSDINCTRKSENHIVFPEKHIPKLIELPYFKHLKNQSVSMSVINEDTFVMCSII RAFDLEKVFKPVNNSSVLSSSVISQIKAGTPNYLGRWSEESMQISPSHVIVDAITTKL YILHNWVFFGNDVKGNSQFLEKKRLNALYRFVETSWVLIPSKRTRVQLRNILIFLKKY MDNRDNCIYSKLSLDDWQRFIKTIVIEGIGITQNGGDPTFYICKKSLFCGIWLLFHSW TVSLLKGVQKQGKGCPLYNGPSLTPGQVVNRIAETVKYFMVCQSCKEHFESIINNNTC DRTSYIPPTAGDKFPVLLYEAEGLVFWLFRVHNLVTLRVATESSYEHLRQKRSSFVSY VGTGVSFPPVGLCFECYRPNQTPAQVTNQMLISINDLTDDDYDKNIFEQRPVVAFLET YYWKEGWILPGTDLDISKSKLASVDSFPSSNLIDPFDSIKSVKGSAEIQVGSVFDIFP ILYPILTFFIFSLTVFYLVETGPFLQEQKLAI cubi_02372 MDATKQVQKSSSSSCDQEDTPPQKNTINSQDQSNKQASTQNNVD NKLKLEDILYETNQQAISYNRTNYGLLGILNVIRMTDSDLNILALGTDLTTLGLNLNS SECLYLNFDSPWSSNKSAQPESETNEIIQAFANTPHNVSQIIGLKSTYVQKFALETLF YIFYNMPQDLLQGFAAVELCNRGWLYYPESFQWYSKVQNEERQTTEWQVFDTNNWCKV SISEPPSSNLLSIDEIRPSVEEGVRIHSKWIQEQNQIYQIVQQQMQQQSNNRNKGPEP QANSNMNNVNDFSSAHNQRNIQHSFPQTGNNQTINSNNYSNFRSSNRHNSSFQTH cubi_02373 MELCDDSSVLFRLLFERCFQEYLLLCCDPTESAANAINLANELT RKCTNKDEKQLLKFNLEGLYMMIEKYNLCERILGSYKRLKNIRNCINDLKQINYSYEQ SKRVLEYHNKSLIDVVGIYSDYYILNKLFLIEEGDDNEIKIDIAHLKMFTNWIELMSR ETSELPIVKNTINNEPISSIISLAQLNVIEMIKNNFNVTTEINQHLDEKIGAKIIRPC QVRFVLVLFQGNILEHGFGGFSALRSALNLIYLFPSNIQEVIKSWFIKLPLEYLEQAV STLQQMISVRFYELYDDHNENFDITRFPFRNIASNAIKSLKPAFLNDLRISSNLLRIL FDANKERGIITIDFDFSNNNRLDISLFTNEAINSARALLQYELRQWFLSNPPSNDTEF GLLKNAYLLEPSIKAQALQQDSLMQQRLELQSSISQALGSVESLFNPTQALIQPFLVL KVHRDSIVNDSMEQLVIQSNLKKQLKVSFVGEEGVDEGGVQKEFFQLLVQEIFNIDFG MFIYYEDTRLFWFNMASLESNGEFELIGIVLALAIYNGIILDVHFPLAVYKKLLGYKV DIGDLYEIQPEVANSLLSILSIKSESEMEQLCLTFSATINNFGVMTEVPIAPGEFDPS EPVTISNVQRYVEIYLDWFLNKSIESQFRAFYNGFQSVCGGRTLELFSPEELVLVICG SSDFNIDSLIEASQYQDGYTKDSTTVVMFWEIVKKLDLKLQKKLLFFVTGSDRVPMKG LGELGFVIGRHGPDSDLLPIAHTCFNFLLIPDYQNKEKLERLLLIALEHSKGFGLK cubi_02374 MSNKSKSKINSTIWTIFQVQFLTFLFLFNFIICENEILDNSEGN SGPDNAILPNNLDSIQNSISKSINEITLLPSSEDISSLNIFELNIQDQCNQLWSYRNK LKHEVSNIVKLHKEFVIFLNKNESKKSIINLEEIFKRFLDLSVNDNRTKEINEKFKEY FELAKDYYFISSDKDESTKILISSRSSLNLSLKDLLLLKNEYLKYSNNFDLCIKNKKK VVKNGSESINALINISKFLNTSENTLNDENIDYLSKISTGPNNLDSNFSFIKTLRKLQ DICDKGIDQNNQSTRKNQIKWLKKNSNKINKTMDSFEGIFKMNSKKVNNLLNCIKHFQ NSNSINENTLGMIHKIGNLIVNYSINRRNVLSVISSRYTLITLNAYILNNRLFSLWLF VQKRLTTVQIAIKLIKESKELMNRIKQHLSMEDSIELLAKCSETLRKTASDWNIFFSE KNSAIINHLRKETLKQLNHYKFEGDPSTLKIYMEWIWLNNNIESIIEQGYDVFVGLIK SVLKDPLTNCAKNLSSLYKNIKSEFSTNENFSDKIKKKINKEYRSVLNDVSSHLLKQI DSLSSLKHLFLWPHNNATLISALSMYNRTSEFVNSINLSQDKNVFEKPNYSYELLKQQ QIITKEFSTLYENIKTRFNWDFNLFQTEFGYVKHINLGIETEETIDFSKLKIFQEPSD QFGEWFESTLEFFNLNDYNLSKVKNIIEPAIQFRLQFIQQWSDIISKIINKVGNPTAL SISKISEEAYNYYLQYQVSKSREFSNYFESDLSILKYVDSVDNSLSDLNKESFVSKFE TTSAPNLDGSYHGDDEFPSVSSIKNYLSDLKNRVDNYCNTKEKKKDENYISIVKKICD KFPPIFSTINQNLKGVDSKLQKVYSDLEFQKEEIANLDVIIQDCISLDNKLARVKCWV PNQSIELPLRRALQIRKVMNNWLREIIKTKILLFSNFIEDCLKLNFKGSLVFEGNEPN NNEQNQIEKVKSFQLLLKLINDLMTNIVDELNTLETIYLKFYQNKMKEITSASDSLVF NYVKLKSMKTTLIHHYGKANLFQKIFRFPKLLLSKDEIYNIESSIFAFETPVHNNVTY AADLTDYIKNNQISSNNHTILLGKYVKALSTLKKRIEDIPHIKSENLTLLNEDLISEL EFEKYLNIEKKSLVILGESDKYLKLANIENFRFYTVRNITGNINDVIKNLSEEIQLKE EELERREEEIANTNCYRRWKWKKRVKKRKMKIIKDKKINKVFNLNKSNANSNIIKLKR KEANGKLQLFLTKVINIENLDKSSKTEDYEKKSYYQDSDLESFQFDDLYKFDEMPGRF NPKNQYEGEIDYDIDTGGRTEDEKPNPLISKISTAVDMAVNINNSIRQYKEIKNALKE SGIEFGQENSGVNLMAGLDVINALTGGPTDDLSAMLGSINENNKVFNEADILSELQTG EMPNDVEKKVGSRVFEDLDISYDDFKRKVEIELKNSDLNFDFDD cubi_02375 MIYLNFIQLIKENLSVGNPTAIFISFTLITLSIVFPFICYVELT KKKVKKQNKKKVNNNQKSKKEPQQVTQQNSKLNRKKITSKPFIPPLDTEESEDEQKKI SEKNEQFVPSTKHIQGLSFEMDKNDSGGWKVVGDKKSKRSHTEVSLTSIGSEETDESS IKPKSKQVRRRKPINKVPGMTD cubi_02376 MEEINLLENSDLQTKEFSDDFQNEGVCKFLFKPDHYALFCKLKE LVDNESSDLDYIDISTQVIDLNPQHYTAWYFRRKIIRVNYFENETENKMEFLREELRF VRGICERTPKCYQSWWHMRIIREWLGFDIEELKFINKQLKSDAKNMYVWNHRTWFIRK YNSIDSDLFLKELDFVSKIITEDCRNNSAWCYRNFIFTNLKKMNRLKESDFLEEVDYI VNWLIFAPHNDSIWNYIISFFSKIMVNENFNKETLIKNLSFEQVPKNFKDAIEEIYVN HYDSCYQVVYIKACMAYEEGDKDFVLKAFQLLQGVDPIRRFYWKWRAKNLKI cubi_02377 MDMYRHAGGISSVDFHNFEVRRSSRDQGFAIWASNLTCEEKAIT IVKRLYYSEFSKYLYLGIFVLNILVLFIGLFKAQSGSRFSIFLETTITITLTFEVIIK LFLMKKRFFNKANNVFDFVVALTCLSLLFLNGDIHRLFTTKKTTHLKNNQIVTDIFEQ ILTSFRFCLQMLRVFSIARLKGKADTVDNTVNFDEINCLEESIYFSDKNVV cubi_02378 MQQIQSKLSIISKAINNKSLNSYEFSGNSNFKKPNFQVVEEGRS NNNHELEFLINASKFYNLICPSLSSRLICKVANLTSEIDQTKSYSDFFSGKICPKCFS VYLIGINCKLEVKAIKGIFRKKFKKKLLKQSKISSQQFSNYSFKNILIRCEVCNFIFN KVYWEKRAKNVKKSETINNIQNNKNKTEDILDYSNYILSIANTNIQNEIHQGSKKSEN SHNKPSTNFKKNQRFFTSKTHEAGSLPKGKKNSIVDFAITNQINKDKKNEQTSQSSQG NSFYDILSMLE cubi_02380 MQIYKGFDIGTAKVSKEIRNTIPHHLLDVFDVNEDCTASKYISL AIPIIDGLISKNTIPIIVGGTHMYLKALIWESIIDSKTDNDVNPSIKDEEYLEFTNRE LFEQLSKIDPERASSLHINDRRKMIRGIEVNFLLIFIRII cubi_02381 MDEIRRQIEDLMGGIEAPIEKKDPHDNDVCKFYLCGLCPHELFE NTKLYMGPCKNIHSEVLRKKYLSEREIKGNTQIKYETESLKVFQGMVDDCNKKIERNR VRAELSGSSKLEDENIRALDLEIKEIMKEIDDLGANGDIDGSLKRMEDLTRLNQQKMK ISATKEDVENGMYRQKLHPCEICAAFLSETDNDQRLNDHFNGKIHVGYLKIRKQAKDL KEWLKIHSSNRNDNYRHEGITHRGDTRYKSRRQSYSGESRAYHYLNNYRDRRHREHLD YRDQYGSHSYRRERNQIRYEEHGNYYKKSGPNDSNYPEQKNKYLDYRSNPYSASEPNR INLDNYEKLAKTNEKNYPPSDGEISPESDSSHSLSRSISPC cubi_02382 MRNINFSSSSSENLARSSALRRQLHDQSQFLPSNSFANSNSTVQ DYRFLPKPTTRVEGNALYSNLNQINGNSSWKQTISGLLKSPIDGLGKSSYQDLKNSVI EKSAEKSSKFVKTPYPQVYKADSHLRNEANLLSHGNHLPLLPPSKFSFHSETRHAMNR LSDSASKYNLISSNYDLQKDIQTCKKRNLQQVYKQNSEQECEEEEFHDAISDDEDING EPINNTNKKSNILPPQKKSYSQTPSTVAQTGFLGTQNNTPNKPTNTYGLPLSMIEDDY KPDPAPTYNFSVDKLQDSQRQNSILGLTQYSHPYNFPKASFLPPNTEPNSSNGPSSIQ KIRTPLRYRSSLLGALSNRTNSSCRILSAAEMKKVFCKSKKPIGSLKDFVHTIEIRNE TSNEPKNNSESPKMVEKHSEVKHTEEKITKSIRNSNLEEDSKYSEELTASSKSESADQ SIFETSDILSTTKKKPILEKPSKENEPAENTGHKESLPNSSSNLVESDSKLPINGEKD NQTTISTNIGEKSLEKRDNIHEIKEATSEDVTSKNGDSEVSEKKKDEAVPWWLANVNK PNLVEVDNEGVFMPEEDEDTKDEKEPVVSAAGLFSLPSTEGSTNNEVNNSLFSFANTS TTGNSLFGPSLFQINKTDEKSATSEESKSEEKTPSLPVPSSQSSAFSFSQTIENNTSN NVSLFGITSKTLDEEKTIINETEKPVSETAANLEKDSTDSISKPQFPLFGKQFPADEE KKEHTDVENKTGIFGTDNPGSLFTSTSINSGSLPSQQGSSINQLFGSSGISNSNQSAF NTKDIFNLSSSTGASTTITNSEKQTVSGPLFGSNDTEKKQSTSEISFAGSSGLSNLNS SSSLSFTSGTTMPQTNLQAGGLFDTKLLNFSGSTGSSTVYTSLFGGSTPNNNNLFTVP PNNNSLLSGLESSSNTASTNLSSTINVNSIFGAQIPMSNTNPAQNSLNFDSSTSIGGS SNSLTGSTSNTNIFSQTPSIFGSNNSSNPFIFGSSSNKPLDQAITQTPGQNLGQNTNS NLFGSSQGIFNSTGKTENSIFGGMPNNPAVSPFDPKPQVSSQPSMNTNIFGSNPSNLL GASPLMGNNSNPNPFVGHSSNPAGGTSHRRRIARAKRSH cubi_02383 MEASSHSYSGDIKGGLFHGRGVLIYSKNEKYEGDFVMGKREGFG KFTYADGASYEGEWVDDKIHGQGKANFSSGNTYEGQWENGKINGYGKLTFSNGDIYEG EWADGKMHGRGVYKYVDGDIYSGEWRDDKRHGKGTVTYVSSTGDQIIEKYEGDWVNGK MHGHGKYVYVDSAVYEGDWFEGSMHGKGTYIFPCGNVYEGEWVNDVKEGYGVLTYQNG EKYEGYWKDGKVNGKGTLTYSRGDKYVGDWLDAKKHGEGELFYSNNDRFKGNWVADKA CGFGVYTYANGNRYEGYWENDRRHGKGVFYCAEDNNVYEGEWANGRKDGKGILRFAMG HSIQGIWKDGVLSQFHSLQFPPESQWSNPNF cubi_02384 MYSQIPISPTLRTKYNSGNQEGQRKINNVSDMNISTSPYSNNMA TTNLDGYGAFDNINRDSEFNKPNIQKSSFMNGSFRPSSSNTNMNNGINVDIDANKPDM GRFLGNLSASTTVNQNQTNSTSINTPVSAFPPPSIPFQNSSSKSGGFRYHSPKNARPT QPLNNLQSVSHISKPFIQNSNKNSNFQNIPIPPPPKVPFSDCANTDDDSSFNRKMQDN KERLEQLNISRTMLTSMGSPSNDYHHSNSQNKSQEIRTHNQFQGGRNSVSHIGIQEPN SNKNISRPNPKLPPKVPGISSNNKFKLNISQPAQNSQISLDVPEIPMKNMDSNAERNM VGLKDIARPPTAPTFFPSNKSNLDNVATNSNNSSKFPSQAQNAIPVIQSSFFSSDLQV DSRISLTPNQIQSAVRPPTAPNSISKSSSLPKVDLLMNLMDKTVENKRKEFEKLNKLK SALSELGDFNKKLLEENTRLKSGNNSSNLQEISLLSRDLPNNSVEFTNSYQTPMSDTI NSFIPDTQDPETQINSLKTIINKKNQRILELERKISNVGSKEDISAKECDITYSLLQR LKDRDECINSTLEEIQGSCFSSIIDRVDNCISILTEKNYGKLKTMANDVLNQIEFNYK ALSVTLEHLFEQQSSTLENIKHDGKVDTQLESSNLHSKLNSKEYNTQEIDLSPKVNTI SNSPKKNFDNTFIQENNQSILNSREKQGFKSYSNSGDEFPPQKTVENNMEKMKFSDEN RNIEQNKEISIPQCIKNSSTEVNYNYKVIPNQHQEPNLYQNSIFNQETQDQNSEFVQN QNLNIVSGVPQDILINNHTTGHFYELNKGEGHSSSAHRVEQEDSCHPTFNTQHQGLHN DCFGQYEGDNIDLENENAQEEGIDYVEGIPPPIFAAYNEGIGSENNDIFSMNPILSAD GVNFENYSHYQVPANYSNI cubi_02385 MALRSKSFTNQKQQVNVNSKNASNNIEANSDELILSGNQRKKSS ARIYSSIEENPEKLSDSSKEGDELVSAIEKNPSVDFPSVNQWVLALFEEEGKKMLARV VGWKLYGTQVTHLTHLVDKKTRENKQNPLLKVISSSQESQKKEDYEFYVHFRGLNRRL DRWVKGKDIQLSFYIEELNDPVLFERFQKQGIKFISLLGVSNSANKSGNRSKKRNIGI LDISDGEDPDEHEGMDHSAILDHEETTRLRTIGRVRIGKFILDTWYFSPLPDEYQNVD TLHFCEYCLDFFCFEDELIKHLSRCQLRHPPGNEIYRKDSISVFEIDGALTRGYAENL CYLAKLFLDHKTLQYDVEPFLFYIVTEVDEEGCHIVGYFSKEKVSLLHYNLACILTLP CYQRKGYGKLLVDLSYKLSLKEGKWGHPERPLSDLGRAIYNNWWAHRISEFLLDYFQQ NKICERGSSKYYTQVRNYGKFIDNIVRSTGIRREDVVRILEENGIMRNIKDQYYIFCN KDFLKSIIKRSGKPGITLIDKNFNWVPYSRAPPSEIESLPQE cubi_02386 MKDNTMSENDSGHISAENLPNNQEKDLSVDNINVLNALSEDFRH KDELILDTNSASITELPTQEHKNEVEDIKGVSIQSEKSELAHIDKSEIKNEDLDEVKE PSTKEESSIQEDITDSNVESPGTINVLSGESTMLNTEEDSGSINMPESSLPYKLWIDA KSRDWILEWQTKNGRWSVRKFSCKRWGKGKAYSHAMNFLASLTSCGIIKDPNFSQQLG DSGESTAESVNQANRSVEDELVLQFLSQRDAVANAEKQKNGFGSNSGLNTLAAIAAAA AAAAIASTPNKNQAPVKNHTSSQPATTTQPQVRGAVRKSGVPGVYWSQKPQGWRVVYY TGKDREFEYFKVPANASEEIISEILEVAKRFRSQVTAEGRHLPNGAVGSSSKRARMAE RKAAAAAAAAAASAASAAATSVSAPDTSRHLLQSRVNHFSEEGLTDYLKNPSDIASKN LLDADPLSQFSSKFNPANITGLQNPTGAIPPGPYEWLYNPLLMNLYGNYSAASQAAAM QQWAMLQNSFSQNNGMLPSAVPGQVENSASQANPILNSFFPNPFINPFGLQIPPMLGQ NANLLTQIPQVSAMNPASQMNMNMFGRYYGMTLPPGQATQSPGASPIGQNGNPTSTAP SQPDSTIWFNQLNSTQQLLPNNDQLVQQPTALDAFNPGASRADEDPSLTSEATNKQTE NLLEVSSSSNKASS cubi_02387 MSALNANIKEEESQPKFFDNKAGEMIIASIRQKGNPILSHVKNV PYEFRNIVPDFLVGKYDAVVFISIKYHKLHNQYLRRRVESLQKNYKVRVLLCLVDIPP SGVIDAAILEITDICFDLNMTLFLAWSPSEAGQILETLKSHENSSNESIRGGLSLDLF TRIKDALSSLPRINKTDSENLLKHYGSISKLASASEEELSKIQGIGPIKAKVITEIFS TEFSDF cubi_02388 MSIEGAKLVRVHPEKALEFPLVLYSSVTTPLILENITSSTVAFK IKTTAPRGYLVRPSSGLIQAGQSKEIQVILQPLQSVEQASPSHRFLIQTTTCDSSVEQ LTKDFWQDLSKEQLFEHRLSVIFKQENMGVNEPLSSSAIGTSSNASQGVPNSVLSSGV GPSSSSNVQVGSIDSEFKNKYDELVQYCLALEKQSNELKEEVVSLREKLEKSESKLKS SNQCNITQGFEFWHIIAMIIVAIVALKLINYF cubi_02389 MKKRDEENFIPNCGHCETHAVYKRINSIIERGEQINLTNLSEST SFSLKPNNSSFSIVGRLVYTKNGNFLFLQDNILNQSSLPRFLLIPCEISGKFKSNIML KCCETEFSFVSCWLIIRDWAIVKMKTKDPNAPLRSILLNRHLNPFNSQNEITSVVKIL KKKLLCFSASTTLWISSSYLPVEQMITGLRSINITGLVIHISTVVMHRVDNYTTSRDR VTNDEKSMINRAKKNDSEELDSNSHSIFGIDKILRPSENSTCESSFSVVIRSILNNRE FIVFFPGVNLLINRFILGWRNIYRFENVVAGSVLIHNFRQLPKEKRCLIANNFTSIIS ESMVENISRPILEIPTLIQIEKVLGFGVYEIKTKLVPIKLFLHNSNFHETSLGCSISK GSILWVRNFRVITTKLGNRSFPIGIAIEPGSDWGLERHSRFTKKKETTPRETIWNNLP LHIQTIGHEYLEFNDFNSNTHTNKEIAWQHPWDIRNFCYLHYSLYVDLFNIVGTQTNK SQVLPILLVQIISNLKSGSPISVSKNYEIKYSDGTKTSKESSSIICGNFSHFQFKITH PSQTIELKSRNLQQCPVSCTEECFGLWKIAPIEEWADLIGSIERSQKLNLANLVFLNH VLSIEFLYYIRGNIKKLPRLRTVENNYKNSSVSSNINSLKCLFIGEESYPLYIGFFGH FLAEIIMEKTLESNIWISNVCFIKTPSLSGSESNFYPLFIYCNSRINLLFNSSCSKYS FEKKFVFIKKAMLRLYNQKISFFVHISDIVCINFEDCFLKPPISKGLISINQPKGVLL FICDKEIENSVDGYKLYFHCLPFFKTYSKLSKSEVQLDKNKIIESQIEKFQVPSNWYS FNNISRFVLIIDTPILPNSEVLLSIIQEKEFFEAMNTFQSEKIEHLMIKELVFPSQLS SDAINFDKTASYIEIKLFGDDDESILWPESLKTPFSFKSGSKKQGTPGLYTVFDIKYW TEYIYEWQVFSRKNPLIYRSTKLARNDMVDLFNNSYPKLSFGDESDSEIHISLERVKI LDIIYFIGLNPQNIYGNWSIIRVTTDQSTKFKPEKTTFQSIYLISDPPWNSGWIDIWF SEEETLKHNIKLLLPGETVSFSNIKVEKFINSSFPLSPVSNIMSTILNEFCEFPKKDK LIYYSKRNRSQTTSKKKPTFSDSDQAQNEKSKTSNTLSIFNNGVIIFKVSIQNSIIKS SQTQIYSLNEHPNNSQENLLFSYLYFSPTSISEVVSYYYFDPGYEEYLQKSQRKTFDS YNDHYLSLYETLEGEIQFNHQKEESSKFFHSLPSFQYSTNSHQTFNPHTIPNPYVLAP DGAPITHSFLYLSRNNHASFIAFNKAYCIKTEISTKSPQEDSTLSSNPFLILDLFRNI DPDLVFSLKGSILHIQQIDLSWFCLNCLQNIMGKLVCVCGVDLGKSSFWKSLTIYLIG ALELETTDSKPKLFPFVMTNWNVIKLLAYVNKIDNQEQDSKILYNHIIELAKIIWNHM ESLNDSSGIQTLYSFGEVPADCNFLNSMEDNSKECRPVGIIGQVELFDKEKAVNIPTD PISNLEMEACLRCAQSSDRNTLAYTYLHVIRWKTRNTKLELEEKLKNINSVC cubi_02390 MEMIREETASSDFISDYSEENQNDVNTKARPLSQSFKFTLFMCL LQGFSERLFFNMVLFGYIWGYSLNYLNSEWILFSQHLIGCIGFLFWSLVCNYLKPQVI LIISFMICGLISIIQSFSNSFLTLLFCRYLLSFSYGALEPSIQIISTNYQLRNSEMAK LYGAVSCYKSFGSFLSIAISTMIYLKSSSEVTVIYSRVIWIVTGGFSIFISMVLLASV YKEFKNSNQNSAFEMVLRDNSHYKKLENYVSNNEKKNKLKIIFIYFVIFLVGLLTNIV NEIYSFYQITSSFSFERYEYPELKGDHSGTYVLSLNLIYYALKSVVFLLGSAIGSLIF GLLYKNIYGITKKIKEKFEIDSIIGLGRKNSINILCLASISIINFFSLNFLLLQFMSE IPKISKFSIIDIVPNSFNFFWLIPHMIAVFFMGALLTSLLEIIPRFQLITVNKSSTSV VSYGLYLMITRVFSDPSLYKYIYLYTPDKNYTIGIGNNSSFNIIPSIFHYPIKRWFPI QLIYKLGKMDFPFNYVYYQELQISSSIYSILFYTLVSFITLLLFIKFILCKRSRKGID FD cubi_02391 MDYYGILEVKRDASTGEIKKSYRRLALKWHPDKNPDNRAEAEEM FKKIAEAYEVLSDPEKRNRYDTYGAEGVSADFSDFTSGFHGFDRQFSMGHASRIFEEF FGTSNIFDIFSSFGEFPGFNEPSRGSRGFSRSRGSRLSPFDDLHSQIFSNFGLSGSGF GNMQSFSSSSFSSGMGFQGGVSKSVSTSTSVINGKVITRTKTTERLADGTVRETVQEI EEDGRGNRVIRNSENSSGSSRNRMLRQGRSEDLSDNLPLSMGSLHRTRSHRQNRSQNR cubi_02392 MNPIKNEYIIEGYSVQFPYDAYKCQINYMQKVLYSLKHKKHALL ESPTGTGKTLCLLASTLAFQKHFLINHGSLKKPIENSSYPGVIKSEGGSIELVGIPRT NVENSKETKTNMLIPRIIYSSRTHSQLSQVMRELKSSGISDGFTIELFDSEAENKAKI EKSSSKKRPIIKGGKKLFKATILGSRDQLCVHPRISKFRGNTLIKNCRKITKEGKCKY HNNLKQANISGVAADIRDIEDLKSIASSSDSGYFCPFYATREIENICNVVLLPYNYLL DSITRQNLKIDLTNTILILDEAHNVESVSEEAYSFGLRDIDLALSQKAIQNILEATKL GLLQEKESDDKDSEVDISFDIEVAVALATGIHLLSRNLKEIQCPVPSNNNGSKFKSFP KMGEVQGVTYPGSHIYSLFASSGFGIDNFQAMDECLTNMINFGQNLVGPGGNVSSQLD VNSVQINARIGALERFQRCLRLTFNETVMKNPQWFKLYIHYEPDNYKEINGFDENGDQ NSVIDPETPEQGMSLYLSFWCFSAAAALSSLVSAGVRSMIITSGTLSPLDTLAQQFSS SNLSFDVFLENDHVIDSESQLWAVTLEKGNSTNNTQLIGSYEARNNPSYFSALGSVVF DCVKRIPDGVLLFFGSYSLMDQAVKYWTDQGLIERIKAFKSIFIEPRNSFELGSVLDS YMDCIKKGVDSSSQSDGFFKEKKTKNSLTDFVFKSKRISSSGSLLIAVCRGKVSEGIN FSDNACRGVIIAGLPFPSIADARVCLKKQYMDESKMDGRQWYNQQAIRAVNQAIGRVV RHRNDYGAIILADKRFNQPNIYTRLSKWIRTNTKHLPQLDSRQLDNISDFFEKKLYVG TPGATTQSSEANCNKEAYTKPLTNNVSGNKTFYARNPSVVSFPNLTNEINTLLKQVDN KSSIKDDFKEENSNAMNATNIPKPFPGLVSKVSIPWKRVKTSSPGSESPNATLWTFSS SKNGSSNLENQKRTNFNIVPFEKINYQQVLNASKELLREDEFNRLKPYIQDLSQVNSS SLRSIANILLPNHIMEEKELNERKSLALELLKLLSNKYREEFRTIIEKILSNIDMMND KALVDALKNEL cubi_02393 MLLASENSFPEKYNNCPDLQLELNEGKDLVIEEKSGGELEIIIP KNQIFEGQNTEIINQIGCEMPLCKDENVLNCHHSFRDDINKTGLKELNPYYILQGDNF SNSRICNIGEIIGQETLNGVVSDNNFHELFSMGCQESEDSHELKIPTGEIDGLKHDHS NHKALSNLHFHTNFSIFGEVIQDNGPRIVHPQCYQHQIRSSGLDKFTAVDRNGKRSIL HEVETQAQLMPSIPGVYFDRRQIGYRVRYHNSYVGWVALSRHSSIKDAYEYAKQLWLK ARNKSKMGKYHTEEIEMGNQNIGSRKRTRLGFYDENRQLNQTLLCKPGVINENSVCFD SKNIENEQIFQSKYYNTEYSSRKDDFGLHSIIENGYGFSDSAITKQPLGQETSSELAK MSHYTALETMRKLYFATQEYMEKWPSSDGHYTIHWSNISDLSSYLYGEDHEQNQKRDL NSKKSKNFRKIYINSEKQNISLDNLNINKKQIESFNYIW cubi_02394 MIGNSEEYIRKPSFLFWEDDGQNKAPMTPSTLSPASLHEVNSPL LNSKKMITNSIYSKVKERRNLMPMEGNSEKEKPISENIPCLANNSIGMLGWSENIIHD DDLPNNNDRNGIFNYNYYNSNADDMFQSEDKIGCQEMLDQIISSKFLLENDNKTSERN INRSNTQTQCCPSLPGEKMKLNSQITNYSGNVSSVSIYNVTDTVFKEMRLEGTSEPKD ISRYMDNEYLDILKTNLMLEFTKSRTCYLKLVPSVIEIFKIVRDAPNRCPIPIVQSID DLGKEKNIEEFENYFVNCVSKQNSGFLAFCTEKRALKSGGGGKYYFFDKKLQLNGCHK VDVLNHHRQKNIMGPVFMKVLLFMFVVDPPYHLDPRARSSNPQRLMDFYYQLKSMNKL KSIKRLLKVWCMEMCPEEYLDAGYPLGSLLWVSTLDIESHIKKWRYRGKKSNGQFEYM TPTINNLEEREFRKNMLPEIIGFGNTERNVNEENLQINLNQGLEGLNIPKKDLIGEEN CIEKGGKNSTDCIRSSSSSECNESAAEIQALNQGSIFCKSPKKNRGRGRPKSKQKPVF LEEEGHECPRKRMFLSEENENNNSMIANEKCSEVSQDESIEELELELGMEFEQVSTLG YSSNFIWDLVRSIPIESLNKLYISWLDGNIPGVTKLIVNSSVVHEYLNMQKNVENSRF EDSSLQTYSCKEMNSDISNEKKDSGILNSRKTILYHKALYLAIQQHLLMYSSELQALL KALEGNHIITERDTENLDPFHKNLDVVLNSISPLQHLSMSFHCNSPLGIVEEDQIDDG DISREGRIRNSSEEKLIHGCDEHTNSNISRNSLVDDDGGSLLESQNLSETPRLGNNMH DSSSIFSIITSAQYQDFVELPDITSSFSDQLLNDHTELSKHCTNIGEPIDFFFAIS cubi_02395 MKSFLKVIFFFSFLNTISLCSRLEWTGDEFEVKPLSDFISGSFP QIKRSLMCESCQLITFALKKYISKELSIYKNSHPPKGFTDIVVSNFLENHVACSNHIW QPLADNSLEFTIEDFISACRSNLSTWESELESLSTSKMSLPQEISRVCLETGSCKDKS ELWNESEYPENRESKSSLLKKRSDEFITKNKDRQGVITTSSGLQYIVLKEGTGQNKPD TNDEVEVFYRGKTLGGIEFDSSYNRKEEPSRMQVSQLIPAWIEALTMMTEGQEIILFV PYDLAYGKEGAGDLIGPNEVIVFKLKLAKIIKNEGKQPNDEDSSAYVPDEL cubi_02396 MKAASASSLGRRVEYEAKEVNVPGNSRKGEQVGCNNVIYETKLS NKKSNNVLPNRKLKLDHCVLNGPENHSSIEVYKDIYESNSNCSINSDSAPKSNPKRVF EMIDRTLNTLLEKIVPSSKKKKEKMIILQCVELLVRETFGDSAKLFLTGSAAAEVDSE MSDVDLVVFTPLDSRLALTKIANQFKNIKKRHKEDCLRCKKHVGHQKIRSDIELTSEC MEGHLCEMEVNVIATAKVPVMMLKSRYSKFKTECDVSVNMYTSLMHSILFQCVLYSYP ELQPVLRLIKYWLHIRRLPVAKDGGLPCIVWLLLAIVHCSVNGNKSSRSQKEHLESIC IPAKYMLSSLQVHSSGTSFQSTEFGSPSNSFQNGSLNTCEYRDCESKLLESELDHSLG LSTFKIENLSHSHLIERNKPFNHFNSNIINSKVPDIIDFGETGTTFMALVSFFTSLWN RSSLTCSVSVINKNVQPKDMKTVSQIIFNNGGIWDEILTLDDPSASLVRQLELLKCEL DDFGFKNNLLAQILIPEDSSRVEKEHFHLEPREFEKRHELETSLANILPPSDCLMVSN LAARVTCGTWLVYLYELKRCHNILETYLQQIAIATNEVSEQELVSELFHPVNEEIYKI PAKLSTNTPICIHPCMDLDLIIEKDIIALVQVPYFEPNHKFCLVLIYGHLVIMRIENI CVDWEGGWWSKEFLSRRDVRSVLHGSLFSPIPLSFSHLGNGGSACCILQPLDSRTINC FPGIDQTDFNSNEIVIDEVMVNPAVFITLLNDVEWYSVDDMNGFYIMPIKEYYRFLDM ESIAKESPYWYENYLGKNNYLQPHVPSCRYCKKTSNSAGMEKILQYKSQFWAIKRKSI S cubi_02397 MSDNAKKLAIPSHLRLDSLSLSCISTGLTPRSEINKSSFNKGLA SARSVNGQCSDIQKRLSVQLPSCRSLRTSMRINRNSTLSQLGKNNTKPDANQETGLEK QPRNYSNSSRTSRTNITTPKGFEFATSERAEQRLKHNRSLSSASYMHKPELGLNLRQL YNGRIPPAPMSTRSTSSIGAESRISTLSRATTVPKPFSFATDARAANKSRELYEKLGL KEKFNEEVLTKNKEFNEDKRIKNILESIKNFNLSSSDSLLNTISTEANGNKNALESKQ TVTRRNTTVPKTPKFATKMRSESKKAQLRGVLDSMFSSEKREVDSSFSRSEAIPSISK LNMVNKIQTQKRNDNSSSASPEQLKKQDFELKEAHGQNITQKPELYACMQPPKLPKLR GFLSMNSLAHLGRPSLGILEGSKENCKNSEENCPVLSYTSTLSGMSSIRKINETNTTI PSNWKFQATIARKQLRQFTGLEETDFSLKELEHCHHTEKPEFKDDESSQILTEDDLKT PLPRMHR cubi_02398 MLSSRALLLLVFFGLFKNIFCGVNNEVLQFCKKHNITISELKDE ADEAGIGVDTLVGMMNAEYPSPIEDSVQENGIPKLAKNGSSDGDSQTGDEDVLGFVPS SSSIYSSDVGKNVTIEEPYAKVDSEAKLSASLDTDTLKLSENTLFLKKPNEGTAEDNK LKGSKSSSYSREKPKKSKIYRVVLVDKNRNLPRGKALRIKNNKEEKDKVGARYFIVNN KGKREEYRVVGHRIKFVGKAKATNPQRSKVIERHIQPIIITRPENPPFYAPMQMPNMP VHPGFVAPPQAPQIPLQAPQQGPRIKDMNDFDDMNRNENYRYSDKNSFIGPMTISIIV IILIIICIIGGFCFCGATGINRNPQNTPLIPPNPQNVPQRPPYNSRAPIIPQNQQVQP PQGRQVFGYAVIPNIR cubi_02399 MRLEVLIIVIFLLLKINLIQCLKLRTGYDNYSDSADTDPRSSNI KDSESEYTSGKYSSEVVNGPKYSTKSAKTDYASQVESEDGDDDEPEKAPLKVQSEKAY SEKNDDYSNEESSEVEIPKRSSKSTENGQGEVNMPQIQYMARQQRFNLASTVNPPSDV SNINYVFLSPAAQRQIDRKISRLQRGGRYHGSMKETKTVGEWQKRYYSRPATEYGEVK ASNQGTSSIFTWIILGFIVILIVVICTCGVCFLSKE cubi_02400 MANPVRGNRDPRRSLLIRSLRFDTPTSLVRREFERFGAIRDVYL PLDYRSRRPRGFGFVEYVEEEDAKAALEKMDGAILDGVPINVTFAQEGRKSPESMRHR EYESFHGGGGRRVSSHRYGSHHHYKSDPYRRYPSPKDYREGSRSYGNRHSSYFSRSRS PPPSRGYERHKMQHNHHRNEDRRRVDDPRSHNIRGRSSSNGNEGNYRSEVYERNHPQR DSARSYSRGRSRSRSRSRSRNRSRSRSRSMSEYGSRDASCSVSRNRSTSLNQSPCGSP ERGRNNNRKIEFFRKESESNHKGFLNEHPGKNHEWYDSDQQMSINKEQQKLDIQTYKE SPTPGK cubi_02401 MIKECLIPSEVRVRNIEGDGNCLFRAVGSQLYGESDSHGIIRSA CMDYIELNKESFSGFVHEYDSIEKYIQEKRRLGVWGDNIEIQAMSELYRIPVYIYEKV RNSKLNPNFLEKHKLERFSGSLSDSTFYENNEFVYQLLCKIEPKSSNVFDQIKNHYSN SRPIRLLYHNDLHYDSLFCKRECQTPIICIETGLIEVRIIRSLRASKAFRKQTKQEAK SENPRENSNLKTERISDLNNVPCALLRKRVIKHFPANFESSSESDNYTAKSSFFSYIR NKYRDEPDTVLKGSCLDRISDGEAHFERLSLKSKQLYSKFMSGPKSFSEKSAEKPAFF SKIKSLNNTHLGVVDRYAKDVQLSSHQKELKKLLTIDSTEPSSSQASGKKCVAIYCPI NNLKQNTFKNYI cubi_02402 MKIIETLRSGKNFYGRDSDNFANNISSIFYRYDLSSNSSSAPTV VTVSKSSSSDTTDTKMLFSSVGQETLLLLQEALLHHYSGGEGTLINWENSDHKVNRLE SVYTRTYGSEKVVLSNKGQIEPAIDDDEDIFEGVGCIFDHKESKRTRSRSFERSLSPD LDEFIENIYDLKDEVLSNTEVYSHNRTEVIKDTTKEQNTIYQDSGPVSRTRNRKKGID YSLFSRKGPISASEKSLRDLKLPQFQDSTSSYAECYLEVDGVSQYETHHEELEPMSVR SYNKEVDDSLPVKDNKKKNNSKYKRRRVNFVNQEWNSIQKIINRQNFRSLGTFNSLIS RNNCSQ cubi_02403 MGQNVSKSGVVVWGSTEYGQHGSKTEEVNPGPHLVEGLRHLNSI SKVSCGSNYSAAITNSGDLIVWGYGGCGQLGFGTLEDCLVPRVNLNLKHVVQISCSDR HTAAILSTGELYTWGCSKNGKLGHGQFELSISNNVVSQPMKVKALEGEKIIQVSCGSY HTGCLTGDKKALTWGLGLQGRLGHGDTQDIFTPKLIESLAGLPIKEISCGGHHTAILL STGKLYMFGGGAFGKLGFGSKDDVLIPKLLEGPLEDIEIIKVSLGSQHSAVVTNCGEV YTWGQGGRLGHIFNGPEHDFLSPKKLSSLEKAFIVDISCGNSHTAALSDVGDIYTWGM TKNLGHGIQGVHPNMPSKHPVLQNKNIVQVVCSSSHSIALSDIGALVQKTSDNWRPKS LSEDQEPKKACKPIEEFKTGLSSLARKKIWQEIKEKLKIGDDKEKIDYLMSELEKSEE QNAVLVSLLDVSVRKLEAFRKENEELRSKLELTKSPN cubi_02404 MYFSENHFFEKKLKSWFSKTSISNFPEIQRLILEFSFSFKTSQK LALVNKEGLSFYRSVQKAVLGCIKAFYTLEYQTWMDSNPDLWLSWLLMKKNNSKKDDN LTLIPLDPKIIMKILFNNRKLYPVSYKTRIIKDFNGEPFLNVELSAISLGHPKSDHED FEEPFSSIYDMLSSKRLVFGLDLVFGQRIDQFDSQRDFGGGEIIISVHPDHIFDILSH KRFFEYFTSTLLKLENKFVLGDWSGYFSNSIKKEMYGKIMKSILPPLKSFEETCRRPT FPFLSILASSHLCKERGLQHGSQNNKYSLYESCINLLESVSCQVLDDFDSRKMIENAI LHKCPTFAFFLSSSSLTEDAFQLILDCISKQKKSFFLGKNLEPLEELCETNQLDFVWE NSDENSPLFKDSYKSKHRPPWRTSIDNIINAMQEKQSQIISQQPSIAFNHQPLRNDVG YIRRVNNSFGYSNENPNIQRNFEDNENDEYRNCCDSENSSEVSPESEQNQVESPSLVA SGFVMGVRCLPASTATTSLGSIIGNGNNVRSGSISRARGPNLLGNQCQRLSIPCSDRT GRLSSCPTFSSIATSWGTPVPITRTIPIDDILGRRRESVQSNGNLERNDQNELVLSEI RLRYNFDSDEGEFQHQKNKAEVQNTIDKSELFIDDYEKESNTELNQNVIEEEVLGPFS NMQIKDSDASILAKETLKSWKYVNYEFDEDLEVESEEYENEE cubi_02405 MAENENLGNIENVSGTSGAGNDHASGSGVHVYCRVRPPNEAEKT HGNGLLCVNVRSEQCIEISSSESKNDSETKERTFYLDHIFPMDTNQSYVYKTAAKPIV DQLFKGINGTVLAYGQTSSGKTFTMEGIIGDNEKMGVIPRMVHDVFETISNAEEHIEF QLKVSICEVYMERIRDLLDTSGTKSNLRIHEDKIHGIYVKDLSEYFVTSPEEVFELMA LGHKHRAVASTNMNSYSSRSHLIFMLQLQQKNVFDSSIKVGKLFLVDLAGSEKISKTG AEGLTLDEAKTINKSLSCLGNVINALTDNTKNFIPYRDSKLTRILQNSLGGNSLTALI VTCSPSIVNESETIGTLRFGIRAKMVKNAPKVNQQFSVEQLQVLLNSAQRKLAERDNY IQALEDLVKKLGGELPENKPCVGKGTSLVLNSSLNIRGSKELQETPISSGSEKTTLNL RTLDNDELDELEEAKQQLKENSEKITQLKQEISEKENNLKLVSEEKENLNVKLSDIIQ ELNQVKYQQQDQEETVEHLQLKNKSLIGELEQSQIHIQDLEARIEGYKSEELQKRNEE KENEAKKAYQNLSNEIQQLREYLLAIRKDSNPNEDCIWNSEHKALLETIEDNVTRIAN LELQLKENNKGIKRFDINDQDTKSMLERMSQLDKNMEQLGKLYQKMVEQNANLKSQSQ LNERRLLRKEERIEQLEKSLINAKTKYTKLLMQCNSLTKTIENISKLKPIFAKLAPPN IVKGIQGGGGKSSLVKG cubi_02406 MAENSAKERYLDLICVDGEKFKLKSILAEYITNIKDELFETGSM KFNYINSTQMKKVIEYLEYKHQYSTKHGRLQEFQIEESQAIDLLIIADRLGI cubi_02407 MKELDVSGFINELNSIIRNEKNQKPVRITIKRYYPDIKGCKKKR KAMEEEKIKGGTDDYYYLARVTDGKKRKSKVVIKNEKDSNTLASDLSRSLLKVDNQKK NRK cubi_02408 MMNSDRKKVLLMGRAGAGKTSMRSIIFANYLPKDTSRLTATNNI EHSHLRFFGNMVLSLWDCGGQDIFMENYFESQREHIFRNTEVLIYVLEVRKKDDYSSK QIKKDLDQDFAYFKSTIENLKLLSPQSHLFCLVHKMDKLSVNDRESAIDYYEREIGKI AANMKYRVFPTTIWDETLFAAWSEIVYALIPNVGLLEKNLKILAESCNAVELVLFEKS TFLVISHADNLNTLDSKHHRSRFERISNICKQFKLTCAKSQTNFVGINLETPHFSSII KRFTQNSYILVVINDKSVTSASALYNIEYARDHFETIIASHLNSEINK cubi_02409 MVQINEAPSRDFSIYSLVGDFDFFESSDSDFGELVHTVVDQIGG ENINNQRLFDVLKKKLTILDNGTLNLFASSKNPRPEIKDILFSDGSYFDCKSNLKKEL KKICQNAELNSQILNPKDLSILRFVFSHHKFFNTQNEIRYPQDYEIYVVRHPNTDFNG CRTFAVKKKHGKSSTEENSNKEELIPISYVSSVAKINTKWEKSGRRIINLMHAVINIV PSDLKLFITAMQDIYPLSIRNSLDSYILYSKLLFHAIKLIPSTLSILLRFLINKLISL ESEIHSKNPNNHTKERFEKWRQEELQTVAIKIRRGEYADINSAKSYIQDFDGLKTQFS ERFTEEDIDRNAQVFDNITRELFDFISEVYENGFKYAKIFENSTETLNSTPTRNLKVS GNASSILSSSDLESSAVEDFVVSGYGKKEELLYKQFVDLESTILNIFETQILAIEKCQ FVNYIPIYLVCHYDHWCEKFLQITFKKLFNPHETLIIREASVDYIVSFVTNYEIVSNF KFYTPCIKYLMQFLHDFVAHWSIEKTNQNLESQEFNTNKRSGFKRSFGDHSQKHSHLT LKNLFGLYCHVVYSLCKLVSIIIKTILNDSIQENSFEGIHFLIDSVLNINRGFIPFIL CGDLSPIDNIDFETLTIFLKSIIRLVYRTVSEHKEKLSVSKYFGAIKLISELLNSNIN KEAIKSEPKLFLDKLWLWHSGKYLKKFQTTRRNLIEDLNISSYFEELFKLGEDEIQTQ FEDDKELLSEKINLPENKYGEMESSILPEQSLWEYVWGDVPVSHEELEQELYITKNKM FKEDLDKQFNYLNQEGNGKDRDKECLRSSFSKKSSSTSMSLLDTLLSSDAFKRGEAIL HNYQRKHSPSSKRTNKLSRKSRLF cubi_02410 MELLPNSVEDFTSSEYWSNFFRSYGGENNRAFEWYGDFEVLRDL LVESLRTSGRSELYKRILHVGCGNSTLPAKLYDEGFKDITNIDFSSQVIDLMREKNKS KKGLEWICMDIEKDFGKYVDKEENLGNFDVIIDKGFLDAYLSDNTSKNSSSSKTKASD YLASSMRLLVPNGRYILVTLGQEYVAKALTMEFYNKGLDIIVEPLVEIKDSKFLPYYI EIINKKDTQSTNKTFFRFKGAGVDEIYTSEDQCIWTLAKRLKELSAMYWNNKYIGDFM PGEIKEYQLNIKESRNSFFITVYDTISKKKNKQLTVGLLVPIGEEQDWLYSTRKGFEE ICNQAKCKRLIVISRLYSDSEKALKVSKEDILDEISKNISPLALKGSGRFPILTVGGD RNLNKKCIYSCDSKFCKQILVYDIEESGIEKRQMIFKSSPRLIQSEVVIQRKSPERLE FDYLSGLSNYYVGVVLVSSLILDTKNQDKKKNALILGLGGGILASILRKFYSKSILNI SAIEIDQKVMNIAKDYFGFSENDVEVIITDALDYIDNSHSKIQDSLDYIIVDINSGSV NDSLMCPGVEFLSKGFVEKLILSLTNDGCIIYNISCRDTKRKEELFNEFGNMISAIEE RTGNSKGLLLQSVETGEDEINELWIIKKETKDNIKKVRNFIIEKQLFISNQEGTSSEK CGKKDLWVKRFSNLK cubi_02411 MEYENRGGHKTGSGALASSQDVAIERRERLRRLALESIDLSKDP YYMKNHLGQVECRLCSTIHTNEGSYLSHTQGRKHQTNLAYRASKEKNLKAVVKPPQSE NADQAKPRAPRIGQPKYKVSKHREGSTGTNCVYCKFHFQEILEDHVPGFRIMSCWEQK VEKPNPKYQYLFVGAEPYNTVGVRIPNTELIKQKTQTYWDEERKIYHIQLYLSSSKQ cubi_02412 MVRVQLFYNSTWEKVFFYSDEQENLFDSEQKWVFREMKLVEEIL DWHTVELETKRAFLEFVLCNDKKTEWDNPPNSYCRKNYFISIKDAERESGKDTKKLLK FCLKDGELSQIIPRPPIAVVTDLDGTLIGHDVYLKKFNEIWIRQHMFNGSKLIYSTGR NLKDFLFAAKQFDLLRPDYAICGVGTEIYEFPNKEMDLEIYCERLSIILGKKINQEEV FSLLMLQDKGEYIEPKEGDIERVAKDTNSSFPRWCKNRLYAWPLEEWLKIISKTFNRE ELIKDIQENLNKNNLEYYINGNNFHDPFRLSVSINTEYALKVYEEIQINKKSYRFAIS GQGLWKYLDVLPDKGGKHLSILFLYDEILGKSIPLERFLVCGDSGNDAHMFTIETCKN CCVGNAQQDLKDFLLGGCQRNCDGAELRQVLSNQSELLCKIMHSQNLKPPKTVSFIPK MAF cubi_02413 MESELVINQVLDEIKQSIILINESLGSEKHDTSSLSNLSLSTIL DICDVQIVNELPLIQTRTEDLLVIPFEDSQTNLSISQSHDGSSNYIILASPGPVSVQN DVIEGSSWTYYNDCESTSEGSFLTENEDDDGTSDSEVDMNDLDRSFKNNSSSNSEKNE DFLHKGGNCSGFIERFGRSHLNDSTSDEHYKEVFPISFSSNIPLLCESFTENQENSKS IPIDSANILKRQLVTEIDEDERNFKMVKYDSQLPIGRDKVFY cubi_02414 MQQDEIFQENLELFLRNCELNREVGWREVENTDLLGRLNDFKEN QKSLELFIKKLKEDEIDSCLGVISATNRLKESQKSIRNLEKYNEYLKTMLRKYMNAGS HINKILNGGKISSEDVFENESEDEFRDNLKKCLSMLEMLSMDVEEQIKYKDRYKLLKV ENKDLKARVEKLTRVKASKKVRMEVSVNIVEFDGPNEESIIDKSKVEEVFEQVNDEPT IKVLDDEFKSNEEIDMGYEIDCLNYLVKQQKKENYELKQKIFEYEFNNKNLDLKTVVE SELKEKQRELDELEKEYSNWVIRLQEENSELRRVIEIQEREQSEFIDNFTKKSTEKAK EMQALQIKLVENYEEKLREKNEELRKLKGENLKEEKNSTEIESLNRIVKNLEAKLVDI SGEKYRISLELEKTIKDLKEIDQRLVLSQEAIKQKDKEFGCLKVEQQKTLEQYYHEKK LHQKFEQDAYSLRKELDNVLSDMYKNQKKNRSGITVDSMDNEKISSVETVIKHCKELE SKVDELTFELDQFKSGKSTSSLSSNLNNAEIETLFDQVQSLKKEREQLKKDIRQRDWA KVEVEILHKRTAEEIEQLKRDNTRLMLENLRLRDSTGAQSSQKQSFLNSDNENIQANS ARSSITGQKQESLRKGSSEVAPSNLEETNPIISNVSTSRNSIQSLLNLKAPRRPSLLI NQRNYHEK cubi_02415 MEIIRNIINIYLKKFLHLEDLKWDINEGLQVDHAKANSQSINKQ FEDKGIPIQIYNGTLDSIKISYSPTKGTFQIHIKEINAQIKPRVLSTVGKKIQQGIVN IILDEDPVEFIDSYSYIRDLPISYLEQASKKSESCIIDPDLIPEPPKFPTAALKIKQL PKCPPKYYPPLYEGIYKPKTPVVPATSSLLMFQNCCQECLPSNPMVYGSRNQSFPILQ GAQNQFQPNYMSYSNRENGHVRAIREGGFCT cubi_02416 MEEKLGLMSEKDFMIKSRLEVKLNDLEKKHLETKEREAQTVGFL ERKLRQVELEHKTELKLRRQLRYEVNNLRKKLLETYQLYSNTLEQNELMRSEIDRLKG NDLKNRGKEIDFVVSKQVEVQIHGPFQDYYCFGNENSGLSQKNRLSLRGLNELDFKEK KFSMSFDAKNGKNSFNRGSLHSGKNLFSSINRTVERIKIPNEGRALGSAISGGESSRD LNLKSERAKDWLNQIEELYKPLEYPKLCNGRYKSKLDSFSRIGVENSLSLEEDSFSDE EYYSGEYDISENTEDVITNTGFIIDQINQQDKELSTLLNKTFISTNNKNRIPSRSRSR SVRNTRK cubi_02417 MSHIKPVIKLKGIEQIWKNSTDILSFEETSIIQKSLKHMSNEIK ELKECKQSLSNSEVLDIYKRFGDLINCFATHFDFNRVKILSQRRSIAKYSYHILICII NIIEDRSIQLDKESLIKSCQICLNYYFPSKAIKTIESNSCKTEFGLNGTIEKTDSKRS LDFYNTPPRNSHTTDISNFFPNPSPFNLSLKSPLSSQNPNYYQSVTRYSSSENCLSDY SNLQIQYNQEESFNCESEFFPSEFQFPMPIEEAKRRLREKLLRKEMSEIKKLKSLESN QQTEYSNLNSPLKNKSLVHSWGTEQSDKSDLHIEDLVAPSINRHKNSKIFSFGQNLQF QADLWESTKVAAQLAETLPEPYRTRRIRREIYQQSIERSVELLKSLEICNNFRPGVLT KTMIHTIERIIDY cubi_02418 MLIISWGVIIYLYIQEFPYKNHVKSFFLESQPQIDYSECNLNFD GNLNHLKLKNATKQSKETTKEICLSDEQIRIFNLTKNLRPRLSTNYSGYKGPWIEDGV FCNWIEQYSKGEIKKCNVSEPIPLVYVPIFWTSIQRNKVDLNVKKGWQIEAQNVLNSL NNETLYFTVLQDAEGFKKSNLKFKSMSNLIVFNAGGATTGFKQIPIPLIKGELQYEGL DAKKDIWLSSTIVKTRFPVRKKLFETFKFYNVTDEMLDKMISFETFRNNTNQFIHYQG DRFKQVIQRSIFHLCPRGFGRTSFRLYEAIQLGTIPIYIWDDVNWIPYGNLMERIGVI IHVSQIGDLFDILNTFSKDELEFKFQQIKKYKHWFTYLGITKYILKVIQRLPPDTILK QNIEIQYLNL cubi_02419 MSNQEIRPSEILVEKTNEVVDNSSKTTDVNLEESSEITIPNYVI FLRELFVGMIGVQAVYIFVNFIFKNYPGSLLSLLLSISAIYTHFDRRVATYSLNTGVE LLLGIAIGFSVYLPVQGFEKYSSDPDLKVR cubi_02420 MSFHLNDFTSSKAIKFQILVFGLSILIFMGTTFFMLVNTNKYVE SRSKGMFFELEEKDGVTKRGFYEFEKLNDSIHRISLKLSESKDMFDTIGASINKVAKY VEANEKNMTAKELIIKEESKNGFVLNSILFPCKYSFEGPVYILLTTTPKRINNLGKYL DLLHKQTYGIKEIILSVPYFFERTGEEYPPIPNYLQDKNRFPLLRILRGKDYGPATKF LLPIEIGNIPEDAGLVILDDDTRYSRHLVCDYIYVHEKFPEAALGRRGQAFHDKCDPT YRTDRLHRVSHDQKSANFVIRSVDLLSGVGTYFLQKKFISKDILTLKNNCPAETINHM FFTDDILISGYLAYKNISRIAFSEELSKEELNRPYMLGSGPGALWDINKETFHNDNST AAFGLYWGCRSKDTVVNMHGKILCRWRNEV cubi_02421 MSKRTKALARDPEGFVIPEKLRGTPSPETDPNLKENWPISNNQI SKLNFSRTQLQTRCRLFKTIRSALENPKQSKAITNSVFNQIRKGLFELPSHSSFTSSL KEIIKLILEGGGIPSVFLQSVSDWTGSDSAEKCLENLIFDLQDNNTSILQYYPIKPKK PSDFHFSEIFTDFFLGIGDALCKSIVKDIHLESISITCRWVKTIANASIRPLRHSGCV ALNGILRSLISTKLELLEKLSRFKIQLENESPGTGAKESLEEELSKASKLCEKLDNLI KDIVSDSWISRAQDVSPDIRNNCLCNLSEGILQPHMANIVCESEIPSLLIGLLPEELN SNRIQILRSILICIETEEILKKCSVILTEKSFLRSLKNLVALASKLPSDSEVSSCGEL ALRVLIGLLKNELLHEEFVDEIVDLLWLGPSSAEISSLLAEFVDSSLFEGGISHENIE SRELIDLANHQIDNKKIRSLMNLDGLKTLEPSRIRSDLQTLLEFIHEFGRDLVVLTHR CVNAFWSKAPCVRDSKFLVEMLLATECSSSSQLEPLDEGMRKALLLVLHANVSRIEDL LLMESQDISNSSYFKSESLLCKYRAFIVISVILEYMEPLILLHEQNIDCLTILLSIFS ICISLYCRTAQDKENSSFIPSGMKHFPLKKLIALLNSHTDSRVIDGICMTFSPIVNLD CKNLLFNESSLIDIKSEIGLLNKNFVNTFFTSGREFLANTDGLLYSDVAENTSKSKKS KKSSTKPNNPQNSVLNTMCNFRKAFGVVKYLTTINIYLSNQFRDNLSSYKSSEMSPEQ GSGVPLSFETLFEVLERAERIIQLNLDQILTHQCTQLYSLTLDMITTGYAHLVQDLLQ GIDAESIDNNEMDLENNQASENSYLTLNNLKESTIDIYKAVRHKLSSILLESLRNNIK HKSVNNQCLNLISLLSMCSILVMMGLQGTVENNLQDPDGECEVKWSLTDSELALITKE LIYWTCSNRVKNIDDFKPSKLSSSLIEGFNNILNFSDKDKPYYLLYPSCRIFEPLDNL KDESFVTTPETLDTYKNKLNDFLSHPFSPGCILAIISVSSQYKTTSQVFTPILVNYLT DIEDIMINNYYLETIQANLGSESNKERIAFSNNFIKFALFREGQENLGVFTCILICLI ISYVQDNHMRAQQLSKKLFPTLSSRIGWKKLEELIKSNSSDLSRSILECLRFSLFGEI SLPVFADIISRDELIEKSIKTKGIINLFLDFLTTQNAPGGKTVISTLSTPEIQRILDQ AKALCDFDGKNSSSGGRLSIILNKELFDNDVMNFLDVISGQSVKKTSNKNKSSYKQTT NTDSEDNSLNFSGENNITGSSKCKYRPKRKRPSRASKSKVIYLEDDEDGVDFDSEIED EIIEDSNSSDNENELEVEND cubi_02422 MITEHLVTENISEPSKADNLSELSEHVLEAWVVVSISAKSFLSD INKVLDEYLYNSQTYEQVENHQDETLSGFKSSRKFPESKLQLERLVSKLETPEIIEAL DCIYRNDLSIQILENFLGNSLDYVLSKEITFFWTTLLLLGDVDTIDSRMTSNDTNSVG ESLNENKYYSKDNRIIAPVDKSILPFHTCLVFGLVRLLWNIVFVLYGAASLVNIPVEE KIIFLNSCFSLNDEVFPNNLSESPLRRVIYSFMTKIRLLFIESIPPGLDSIFGKYIFG IITSLSEKMLNSDDFSIKNEINPLIMREILLRIMINNSFGDTKKRMEICKSRLEDLQH LYEHKASKVLSLNGSFPSNLDFETLELLINLIGSNIGEKYLDQTNSGFSNNNGVEGVQ YNNVEDKDYCDKRKIQEILKVISQGPILDDLTTNVSEFSLETLSRIHTTLLDELIWLL FCSEEKGADYMYNGIKDITLMTRLGDLPILMRLIGLEHFWKQRVISMFKIHSVSTVLF LEGRKTEESNLRIYSKYVHEFMGPILMGLLDGGFCYIKAKDPKSLNQENIFKKNAIMA DYQVDSKIREKEFEPVNCDLTFQIIFEEFYMEYNWSLKRRVVELITDFPASKSAILDL YITMNYLPSSDILKEVWYSEISREILNYVNGKLLHLHVETSMIVGFYVKSIIFLLLLD FPNEWMDKTLTRFGDALRQRGDTTQCIVSWMPLMLENCSPAMSDSEIIMPISCSDEGV YPQFSICNPNYRNECRGLFERSFDEIQDYSQSFQSPQIKLVLNWISHIYGSNLTLLYD YIFNLASKVIGSWQDVGFESHSVDEGGKGSIIDEYTWKIDERRFQKDESVYEMIKMTI DGRSGDNLLKGNFVGSKLNSKDEQQLLTNCSIILQDISGSISDNKEYISYREESRITD DSKPTVAVFTISRSYWSQSVINMEIREDTFPLASVIQDEIEEYRQFFEREHPGRTFNC YSGYGIGLVDLTAMDGTVKSNVTLNFLQISIYDYISSKRPEKNLDCSERGASKDGFLD SDKLISSNSILNWFTISPKATERSSVHIDKALLDKEEEVIITFMDLLNHFRLDEQTLR WSVENMLSKGIIQMTIKEEGLECFDIPQTFSNVKVRKEAGFEEQEQCQDTSTLNVDES FSSSRREGGLNSEVNDMTVMLDFNNILNRSKTSSFGGLNCQRSNSLKKSFTISSLMET PKRGFLEESEEISSERARREDKEKTCNGQYLTIEHKETDDYGIQEEECDEEDEDLNLN FPTGIITTTISFKGKDLLQDLRHQGGTSSFDGPSSSKLGENKPGSSVSGGNLPPMIGF SETYLEKYCYFTTPTVLDEENHGFDSSPGNARSSKEREHAKYDIIKECELLIRATLQL NGAMAPAVLFGRVRAAIAGQSEDKHLEQDSCGNHDSGTSPSSDTQHTLTWPQHVQAIN NMVDRGEVYNKGGRLFLEK cubi_02423 MSFEERISNENGGIETLKSTNLVYGAESGCNLVSASDLSQNLSG IKVQFGDSSPNCGVPGPPILDNFQSASQKTKDSGIEGEGALTESFLISISELRYIVLV CIRWRLQNSVELSSSSCTAVGINGCSSIMLDSSPASGESTTPSKELLVSHLFLYRSCI SDSHREELELQAVREILKLIDPSVEAGDHLIERLGTNSKGLIKEFAGGLDSVEAKEMR NAENALSSQFLLGKLPFPSYGILLGKETAQYTIGDIINTTEHPSGLNLANVASTPSIL GVLLKYFDVSWPSHIFESLLIQSETVKGESQQQEESGSGVKDPGDVSLSLGSFTHVLG LCKPCVFVNKTNKKCRNGVLCCFCHFQHKERKRGKRYKSSTNSSSIANSCSSTGTGIG GCLVNSSGLSSISGVSNSVNIGSFGNVGTIGNIPGFGVAAGGAGVPSVEGFSKSDLTV VSEDCLRVSTISPGPSFSGGSVRNSHNTFGSAHLEHPQGGVGSAQSTGFTGASMLQSL PDLVSSHCGFHQPQKYTSRVSQGSTVPLATLQSSSRNNSIFGKPSFQTGGEQQQQQSV RYLVPPPPPPPSKTATFQVFQNEKDAGFRVNSVATSTSAGFNQLGGTYLEKGSSERHP TVGIPGLVLDSCSFQGSQGASPSLNDPFFGSFNSYNKLINEASEMNNWISTNSENFSN AMNLSSKDYCCFQWLDQPEIGELITDTWGVGPFEAFTKGNGNTIPQNLNQCLNDSRSY SKAAPQDWSLASSIIKSSTNASSNASGPSFNINNSNPINPNSASPMQISANQSASQRL RESLVESSSQLKTGLHHQDKNQAHTLSGPTEGIQVGHSNEHFLNSPKVFTSSTTTSAS SLSDNTNVASNSFITPSGGVIPPFLRGSQGFGLSSEYSDCSPLFFLTGGGGTAGGGTG NTNFGMDFCFLNSWK cubi_02424 MESFFATNSKKSQFSGNFFNSSDLTSVQQTHLLKMYSSIIAGSF VTVFGVTAFINGMVRLNSLISLLLGIGITFYLTGSSSNKSSLSITRLAAYLMLCFVIG NGLGPMILFGNYVNPVIIPTALVTTCIIFISLSFGALFTKKRLSLYTTSFIFTTIAYL GLVSFFNIFTRSKFVDSLLSYAFVVVYSFYIYYDTQKTLEAVTYGERDFLLHSIQLYL DAVNLFTKIVVILIRKHQEEEDKRRKGD cubi_02425 MSSESFTETIPRYILKGSDEPLKKSKLTLEERHKLCLSVGEECI QEAELLELLKRKEHPICYDGFEPSGRMHIAQCILKTINVNKLTECGCIFVFYVADWFA LLNNKMGGDLERIKIVGEYFVHIWKAAGMDMTNVRFVWASDFINGEDSNEYWLRVFDI SRKFNITRIKRCCQIMGRQESDEQPCASVFYPCMQCADIFQLKADICQLGMDQRKVNM LAREYCDVAGIKQKPVILSHKMLPGLLEGQEKMSKSDTSSAIFVEDTPEAVVKKIKKA FCPPGVIEGNPCIEYINALVFPKFGHFHVSRKEEYGGDITFTTKEDFHKAYLSGDLHP GDLKKGLSDALNLMLQPIRDHFNTDPRAKELLQLVQSFKVTK cubi_02426 METVHKPLFLRKHKIVLKFLTASFLWLLNIIVWNKAEEHEPFCL EIYDDKNDQRHHPYYYLNEFPICKEHEKRTCCKKSHSEAISRLFSALVARSSLSTRCS NFYQKSLCSYCDADIGVGRKVLQKSPILCQSYCNMWYDACYEDYFDNIQNSYIRNNED VSFIRLNLIPCTDLSAICSPLHSITSDPTEFCSLNGFSTFKDFHSSSGPKSLIEYNKE CFNGISAASVLKPGTRQKTQGQRYKRPQYSKTSKTNQKWHQIIQDHINILLENIKVPI PVIAFISIISIWIINQIINLFI cubi_02427 MNGKKVSEIIQFLVTYRKRSMRIFGDGLDLRAPIQPLFPAKVEN ISGTENSASKLNSESETITTDTAEVLNELAGMHYEWALDNYAKMRTRDHQEQQSKYPT FKNEEIAAPSPYLSYLSSQYDGIDSPIVGEILEDKHGYIVMECILLLLNQNNSIEYGK EHFKKYSSVMLLLSDILRAMKRQKSLHCDTMDFHVLVDILFREMVIMRDSGFALFEYV SCLNIVIKCIASTKEKYRWGEATSLLSVTESLIEGFGVASQ cubi_02428 MSNNLIWRISRPISSGIFSGLPYIGKKAVSWSSKRFFHSDPQLW TKEDHPKIIFSMQYLSCYFGQEEFTMNEDHFKEIYDELEPPSEREEDMLDMAPQVCET SRLACQIKVDEKLTKGNIRLPNITRNFYVDGFKPSPH cubi_02429 MTNSNNVVPVSASLYVGDLDADVTETMLYEIFNSVAVVSSVRIC RDALTRRSLGYAYVNYNSVADAERALDTLNFTCIRGRPCRIMWCLRDPASRRNNDGNV FVKNLDKSIDNKTLFDTFSLFGNIMSCKIATDVEGKSLGYGFIHFEHADSAKEAISRL NGAVLGDRPIYVGKFQKKAERFSEKDKTFTNVYVKHIPKSWTEDLLYKIFGVYGKISS LVLQSDSKGRPFGFVNFESPDSAKAAVAALHNALVTPVGVELDSAAETPAENDTGADS ETSSKQESGEASNKKQTASNEVSKESPGSSNEESTSNEDSSTDKNVSAEVQPNRLYVS RAQKKNERQVVLKSQHEAVKESHQRYQGVNLYVKNLADSINEEDLRSMFEPFGTVSSV SIKTDESGVSRGFGFVSFLSPDEATKAITEMHLKLIRGKPLYVGLHERKEQRALRLQQ RIRGGAVPPVLRPGAIPPGPPGVHGAPMQFGVPPQMYFIPGNPNVAATAMPHGRAMVA GGFPNQNPINSPWRPNPARMAYTGGMPPQMAGGPQMAAYNGNVIQQNGVAPNGAAGAT GSVQNGVAGNAVPGVQNGQNNRTGGSNQRIHNRHVQGSGQGGRPGSHGHQVQQMQKQG FKFPQNVKGSEMQRVDMMQNRQMDPSNGALVQNPLIPQPDVPLTAATLAAASPSMQKQ LLGERLFPIIAQFQPELAGKITGMMLEMDNNELLELLNSDIEIKNKVDEAMVVLERAQ QQIST cubi_02430 MSDSIILEMTPRVEKTGDGMEERQIEKNNADLVILSNKIFSNKM ANNPLVFDEFPITKLLLSFFVILFICFGIIDIVLGFFRLGLMSLITSIFVLFFRCGER NRQHSSIFVLFIVAITSTLSWKSISNYIMNNEETPFEEKIYPLLILEFSTSLFLTLWL LLISLSGYSGKNQQNIYIENQNYRTNTNLQFKALSAFNWYLISMGFEFSILVLYLIEG SYIVSTLPFVSLLVSLFYVISKSRRILQYSEIFLPFIMLAYVIIRMIYEWVAPDDYSS MIGAYLVTNMVFKQVVGLSILFVPSNNYCDMVNDNGSYNIIVLVSHKVHQNKQKNSIS DSNVIDISPTNRAIVENPESENKEEMLTSIQVISNENPEKSSISVEDDSNNTIKKEME EPFELKNQKSHSAVVEDDPEVNIPYDDGPIKIEIKNGSN cubi_02431 MNRILNAVRLRRKVTQEIENKEIVGEIGLQTENNGSIMGKENLV EVGVPEILSYFPELYVDSSSGFSTKGGIGQMICGTISSYFEDTWNRVMNEMKQNKSRN IVSKSSDLSFGVYLKREWHRLWLVRSFLAFTWESYLEDLMIANDQFGRDYLALPSYGT DLKEVGKIPLGEILCIISSSKLSSSEKSSITIPFSTIQGKMITKKSLSFNSNLHWRTP PPNTVAKFRIFQDQKRLSKTNVSNGESIGESLYLSLNIDNPQFLWKGSFSNQIPKEFE DFQILASSIRVLRKDETAKYTICGKKSLVIKLLNWYHETQELINYEGTYVLCKYFVEQ ENFQFDNDSRDSQIHGNVTSVEYYITSDDSRKRKLFSGLTYISNGSYFSPMLETVMRG SSKAVIYITKELANFELGILRKHSENSKFANSLGSIITGMDSGRKYFLHLEIPSVEKE LQDLQRKEKEKTLLFKHIMKNKIQFESRLQILQDLRNFGHLVVSKFPMKSGESVIVEN VYIKEMGNDPILLEALKVKLNHQLLLNNLFEVPFVVFEIKNHSKERFQTFMVSPCIRN YNELLFYSHFGWLKGESIKRRRFDITPNKLYSKQIFGDLRQTKEQDYQRNDQLQMGMS HYNKGVSLLVELGGFDFHLEEGILEDLRDNRSIYSLELEFEWLNNNSEGGLSLFFHIL RTSFFVLYESYGGEASDLSQALLDTLSIVDIQKWKSSLRFSRMMELLILETKKRAGSI YFSIIGLLTQLLMEIHLEGKTNGELVEDLLNKVRESMRHASVQIILLDYLITWILINV SVFRVCTKDLPLNIGCNFQNLLFYHRICRHFLHSRKDQIQVQLEALCGKITFTGIINS ILPIITSNTKDRENGKEAFFQHLIRFYIFEKISQILVNPMDTENHYNNEAVSYFNLLR EEPTLDILSLGHNKSGILGLGPPRIQLFSDLESNLYPELSIINGTYLLDGVEEEKTGY LQKVMIPDESFLVKGITSIGYGTDHIIILGKEGNILTWGSNSSGQCCIEKKTVSKRIN IEFKVDRDSKELVKRIEDYENLVFYPTQISCFSNVYGKITITKIDCGAFFTLALDSNG ILFSWGQGRDGCLGIGNYEDSFTPKKVNLGSRIKSFSAGMFHSAAIDENLQLFVWGSN ESGQLGTKLYMDEKALNNPFKISVQLFRTGERSSRLTIAALNEDSEKDPVEEVKWKGI SLGEAHSIALDTKGQVWVWGQNNFKQLTGIPEMLQVEIIPKSRISSEYYRRLYSQVIF PTPLVSTEKIEVFASRKINMIFSGSTSCCAIDEEGKPWIWGLSFTGIDHYNSNSIFLR KNTTGSSNHTNLKEFEFPVRVFRNITPDNDSIRMVRFGKGNNNISMISKLGRCYLWLE NKELATDQMRYSSNHNCFILEDLKSISLKDNQSKNSQYHSILDVALLSDSIIFITKKT SRRQSN cubi_02432 MEEFHRIPIVIVEDEDELERFVKGGNQLEEEEREEKSRMDPKDL SNRMKEKGGCCYKEGKFDEALGFYLKALEILDLEQSLSENGRNFELIMGEVVLRSNCI ACYVGKKDFNLAISESRKLLDYIKEEGDHHLREKEDLPTLWVNIENKTRYRLSLSLYN SLYCGNKDILHEENSKASIRESFEMIKLVSEYYQESLKVSPPQEISSLYSMVEKTFKA QSFKTSEEEPGKKSSLRDRENQYHITEQGGKTILKQSEPNYLRSYLICFLEEDLESGS YSKSIPSPIPIVNKMSCNNFMEFLKIWQNIYQSNNFLDYYLLFGQIFTKLDKFYNKTE IEGDILERVLERISNILDELKGISPSKTKDKLVSHIFRIVQNLERTPRFDFVALMLTK NFQILDKMVQLRECISEQLFQEIKYFQETQVSKGIQI cubi_02433 MKVNRLFSPIGIFITILLVNTFRLNSYVGLVDASTIPRKGRNLF SSLSKLVMLKRYKRGLNMNKKKHPQNAELDLEISRTDEEISKLEDQMEKESNSHLPAR DILSPGEIRLSGEDLDKLIAIVDTDLLKGKGKPVVTPSFPTTPGAQDGQVTPGTQGGQ TTPGSQDEQVTPGAQDDQITSGAQDDQVTPGTQDEQVTPGVQDEQVTPGAQDEQVTPG AQDEQVTPGAQDSQITPGAQDDQVIPGGPFGPTTPGSSGDTSPLDSPSSPFSKANIDF STLRREFNSLWDTMVVDNDALRKLLNFGVDQSLYDTHPVSKRAFSQYIDATSKGVDSV YASMRSLMECRMIGERDLLFLQSFIFSFSDLAGIEIPLPMYCLLFTNSHKPNVKSFIS NFRNYLNTNGYTYDNKSIADSAFHALNYVATKTFSRKNLTVSGVKRLVKENKELANQC DADDVTFALSLLVLSELYSYNFSYSRFISLVDICTIFKRHADFESRLRELSFILYIIH RSHTSSWLDMVFLAKMSLESAYKALSISFSDYFLDTDLSAVKKLTPMDPRANVGDIIR QVDDDDVIRTSDRHISGPIPIPEIPGPPSRRAGTGYGSERDVPVSTDEIFRPARSYLG YDPFKKSLLHPPRPENLPEKASGRKFVNSLEVHMKPRDYETEFMYGSSSFVSPQLSYF KRVSEREYNHNMKLKKIEEERKRLEKKREQKMTRGYKDLRSIKDSMDGEESVSELPDT SEEPSPLPDMEESVKQVPSKFETKLHKLVPKSSNIYTQPSQRFHATPLTYTTLKPKKG MLIKTIREPATKFTSEILLEDEVSEGTIGLPSTKDTSLAGYSPEGSVSEKVEVSPPLR APESVLTTEIAAREILVKCPDLTKSQRKRALSLFRVLKYLYKGSTAGWYITAFCRATY FAERDVCIEKGTKSLDIKKMASECYTALKSSSFIKNNPALSNIARQVCYSYYKKRATT VCMD cubi_02434 MASSLLEKDNIGKNVNMSGNSPSLKKKSKRRQSSSLKLNDNELK KQEIFKEECYPVKSAMMSSDEEDITEEQLREDEIELENFDYFKVFKIPLMLFILSFIS VLFVSIFGEEYIRNEKTNGIYMIDANYGSYIWNFSGFSAEKQIDKVRIEDIQVAIQML LMYVDNNLNQTKLALGGLESRLSKSIAESRDTLKDLSNQIDEVEREFSYRTDYLEKMI SESRLKNI cubi_02435 MNWLVIFRREMMVFSLYWVYLFAILLECKANAQNILDYCVDSGL KSSKHQSLIQNFVLRLDVVNNVSEYENEILKDLSEEYVPFMDEEYLEEVAKFLFHRNE VVIDYFWDSMMESLPPSWHVYGTRPIQASVIKTRFMESCASNIYSLFRDGKIEKFHTM ISNLKDARYLTLGKVNEICRNIKERIESFGFELKSGVQASGRSSLPAYYRCSEIYSDE LADVLILVLDKKIPKLDISKLKICTLVNVIIRDSHTFRESCYGVLSFGLKGYLPIEKD NSDDLLFVCSVMDNIRKFTQLFSQKPIQLSGKGIKSVIVKSLLKIYPNIELTNIGMEM VELMKIQEHRFIESCMNFSETLFALQGFKRITKNVLKQNNDGILDSNEDEINDIQIYP VEGHLKDLRKKLLLTCSGIHMYLFETKPKGVSFTKLRNSRIITSEDFSKISKSKLLIL DSCKNEYVMVLGKYTITQDTLSEIILSAILHSSNKSMSSSILHNGVTKEQICNISSKI INLLGKNFLTSPKFEETGFMSSCKQIIIDWALHQSLTTPLNSTLRKSIIKLCSIVTKS LILISETRKSQSGLDETNQDDDELTSSEYISEET cubi_02436 MNKNKCVLRAIGCIILAIGGVFGSESDLYASGTFGYVSEKSSSP SSLAADNYDSSTPGEDFPGIIGGSEATTSSGSFEAAGYDMSKPSIQEDFVSGGSGGDD TVAHLDLSGEPSEALSSGFHEVTADPSLLSQQKLSEFVGRSTEISKPEEKSSSLGFVI TKNAEIAIDNLFPTVDSANILTVSDILKYPSVELNDEKVLVSQLSNKIHYYKVEPPMI HDATSGLSTSDPRVEIGVKPKFELFVEENQPRMERILRFLDLNHSDLVGQEKIDLAIL LFSVVTYGECNKKLKGINSNLEVVEMCNQLSREDILTIQPEDLPKNVIKEKIELFKLS GLVLSVPLPLFTNASDLKWRHVTNPDGIYQVYNGGAIDGFAHLDFLYKKLYDITNFLY YPGFQFMDDTRLELYYGLKGIRVRLGQIEQTRKVKKDLSKVMDFYNNWFKGPKFKKAC SREYSNAMIAMAPIYDAISEMNKILRSVQNEISTNPRILEEDFVGIPKQVLMNINPNE KKFFLNNIVPIFTIINNGIKKGKIKTMRAEVLRILAILEEIEFNSKEVARILQDLQKC IRGSGNAFKYELRYIKRDFYRLVRVVRKSDPKFPFPFPSSPLYIKGRKFGIKNLFRKN SARAKLGYYREFLPFNKSEKASKK cubi_02437 MDLNCHSFLGNVLYSDSSVLKTITGKFFPSNKNRQFLDISTLKY HELVVFSEFFPSINEELSSITFKLEDACVDFWNYSLEGSPFDLILVLDCTQNFHLFSL KSLPTPHSKHNCPFVKCKIPNFISRFPDNTNHLKISNKDLIDSQETKANEPNIFNNQF LIKNEFSISLFKNRKTPKIDFIRPYKYNRKFLNSNPIIAEKLIPKCYISIDRYTGYII VCLNSSSFLIIPSLTLNKDGIIEQIELKIQTENLFHFDSLKYKLESISFVKTCKNQSK IQPVVFSLLSDLKDRTLVGKAFLFDWNNLIKNQPNPKDSHYKPISETNFIPLFNSVST SLSPQLYHMRIFCTHIPVVHMKTIHVGVGRSEIDKTIPVFACANVCGYEYSSECVCKF KKLLFIFSYERNELKLILSDLETGELKEDILIVNLKEYYQEKIYIDLRDVLMIEENAN EQRMKFLISSKCMEMFLLNIQFKDNRMKDFDLIKIQINSLNLELSDISSICPYQYSNE DSSILKSLLVSSTFGVIKKISFPSFNQEYILAPKSIEILKVERAIMVQKYFENSIILA AKKYQCFWDIIKGSYQFQYDFILRYIFLNSPKISTLSQFPIKKKGVLGISLIPVKKNS YLLLYSKLFESQVYLLIRKEFISENKYGFKKIQINNFLLTNHTTILCVKICHNLILQV TESQIILYQGICEQIEILENQGQTNSFQADRKDLWDYPDLIMHARVADDNNLMIITQE HKLIQLRVESFELFEFSIKDEFTYIPLISCFESKKLILNDSHIILSMIGDSQGQIFAT LFFIQNPLKKFNFILKVDGLVNFEIEKVNFEPGIITSIELDNAFQDGVYFTTSHGYFF ILNLKTLIQDLLQGQIQKNQINYKRIIIDLKSYLSSQEVLDWKIIGIQRKYMKNDYHQ IWKNRIILGSVSEYLYLELLETNKKVLRILQVKKLKFPICSIITQFKEKYQEDDHFYF LSLENSKNNQQVKIVKMELNKYHSCDKVLPLKSTQYKVENMIYLKKKSWIVVNIENWN TTNFHSNKYLSQVSSQLFLLDLEANLYGFKTYSSHENNPNFKENFKFRIFPNNGEDSF FQVFNPGENHGCLQNTLFQLISVPNDSYEISSSYNDPFIVLGSYSFQGIESGIVCKSP SNQKKFFFFLVGKGLDTDKTLELVSTRVFSCLDISRLEAVNKNPSIDFNSSLQSNQII KFGTKLVLKVEDFSITFPGKLINNAVFQKNGKTIMLNLLEPRSGLPEKFLLHQIYSEL DTDFKLIQDKYDDFIGHFCQPDSVILDNEIIEDENIHIYKGFSDDISLLRIRNSFNKN SKLFIIGLQIILNYIYKRRNNEIISAFPAILTNLLFGIFDIVFSNKIKTSSSKSVSVS SGSFFSRKKVKIERKQVEDGLKNVQIFPYQISDLYSQLCSKCLKWKILMVLVVWYNLI ETIINNQNIDLIYIRKLTCPIFQDWVLKLEKEIPIDFDMLLDRSYLIENFFKSDYYYE KYQELTFLKLEECVT cubi_02438 MGKGGNACKRNQCRERKVANGSKEGKSQLKVNAEAMSLKCQICL QPFMKVQTGPLLKQHWEAKHPKKTFQECFPGIELS cubi_02439 MENHRAIEYNRLLNESRDVASLIRKGKELECKLISNKKLFNICQ LLLCKNLKEIERNSASLLDNEPVVICDLRTKNQIIKSKTKINSSIECNSLDRVKRIFP GLNSNKSSEIVEINRKHVVIYDLEGKLTSSNDLEISQREVLEYLKRCVHSIKSIYLLK DGYSSFYFEFPYICCSTDSECISSIKSIKNVPQNLKSKIIASIEYPLAVSWGELYKIY LGNVLQGCHPQILGSLEIKTIMDFTPNKIKSEGSNKVRIIHVNNTEPNLSDEDYLIYA NLPLEETIKSFKELEKNYPNPRDLFPMMIVTTRVTSETVSIASIIVSYLRKWQITATL IFTLNQIGLDNNIISPTENEEVFKLLHPSNSQIAQMISFNFS cubi_02440 MTTSLINKNRTPTRARGERVYKPGGKKEWRVVFWTHESTKPSRR QCSFSEAKYGKEAASLLSRAVLDYIDVKGVVPDDLHDPPILDPAKKELIEYYSALHES RKALQKKNKPKGSNSSSKSTSEPSIVPLYTLAQTQSPTMSNISCVSPQYPSKVSKIDK FSDLTNLRDQFPTEVQTSFGILNSNNNSPNESRILSPLSIITNSGGGPNIEESTTKVG QETSISNTNNINNSGNNGLLNNIQIPPIPNITSSAKYSGFDVNSNKNSNISEDGNKFG NINSNNHLTKTSSSDTDGFNTMINNVISDINKNNSLLNGGAHFALMGSIFHNQLPHGM HSPVPPTQFPSTGTSSLFPDLLSSNCNGLLNPDLNHILNQTCNQIALQNQFNFLNHLG YLNQLAGLTIAGVSGNVIAGHPNFMVGSLIQNFPFFQNQDISFATNSYGIGNTSQRNV FINETLNLEEGGFNGSIISGNHDNTKTEHVSSGQSTLISPNPFQQIKGESFSSNPKIS KEMIASVQTSTDHSSTPLLA cubi_02441 MLNAEESNIEEWIKYDYCQQEVNSSEFEPPKFYESEYYRDDMET KYNRKRFDEIMKSDTEYELGDNEISLNMYSKELDRIILSRGVVENIWYVELESHGQII WSSVITCDFFKYENDKDDENMIYEGLSSKMTWDKKQLFGNDINVITKKKLHELLLNDG IDDNATIQLFTSIGMWKSLFLTLNYSTYIRRKREILYSKQVKNYIIKFNKRKKTIERK MRINPDENERKNLIKLIYQGIQSLFTSIFPHSKNNNNICYDNNQTHQDIFKVTYKIPF YWASLIANIYVFEKLGDYELMFIVMEYISEVNPELIFEFKKYSESFYYNNKLNENQNI IDMLFIFNNELLQNTSTCFQEYLSDLLSITEKSTFILSSYLSNDTEKQSYNIEYEKDH QIQFDYRNQDENQIKINNQDLKKEPNYMRPTRLSEIRRQNSKKIINERVNSKFDDENT VNNNVSNNSDNIISDQVKLNIKQNQEKNIVKDSVNSLSNSTNVQNTKKKNIGLFKRKS LMASKKNDEKFNTEISNCMSENQIKIGLNESSNKNDQVIKTMNSNCIETNNNMVINEN VKNEPNEKNNLNVILSILEEKSKSQIVNKVNFDDELFNIINELDKINFNSVNTTNDIS TNISDDFSHHVDSSNKFENEKDDDLEDSFSDSRESLINEEEDFKNLDIQNNNNENHLQ ANNIKSHLDPYDWYYLSNNENDDNENIQINNLNRKSPKEIYANNEKITTLISLDENKI LNNHVINNISQSKDDIKKIEIEDDKSSNMEINELKYIESILDKEIEELQRQEDELASN IYF cubi_02442 MNSFTSASSSISSISRNSQILPNYFFQSGSLSEGLGNIPVDHDE ESKVTIPGQSIKSFNSSQLSNDHIRYSPVHSLTGAPIYNSISMGSDEYKEMLRMSNEL RKSQEFERIQGRAPPEMYKSPKNSCILSKCDIPEVLGDCYKVEKTNTLADRKQLNAPI YVKEEKVLNSISKNVVNNIPYSQVYNSNNNANQPSDQLKSLFSIKSSEIKEPSNKVKE NALIQEFKNNNVSIPKKPFINNEFSPITCMNNTCSNIKGAINILGVTGKIFMDVLFHL VNAFDLDTKETSNNSNNKFENMPHFPVSSIGIPPDTVILQCFDCGLIYYTDLPLNKQQ LGTIGILDPKPIEFGQPDSEEIIYGISNRNSPYYCWGNLEPRDLSPNQIEFLKKIDLR KQNRFSHQDLVDLYYYRFRKDRRYEENFPIYVQSDPQLHLYKFYRETMHGAYNGNKTP LPDLGNHFLPSPWNGNRTNNKLNKSSSSSSSNSNKSTETKNNFEKDQDGFIILPKFDP PSENLSEYDLANMPDKSHIFPFILDSF cubi_02443 MSSQVLEEVEHNREAENIGNRSNCYSESPQVNLKNQNGGQFLTQ VGVPSQVSEVADLDSSETPITFSKNWLTLESEQLRFASNSSSLSLPVKRISQEDWSGS GLNGNSDDNQLVIPSLTTRKIRQILMASRRLNSNQQKLEIGGTTFGYHRKHNLTSSLK STDSISRTSVSFQSFSQSNELGTVSKNANLDQNHFNSSSDKSNNFRSPNDSQNHRIQQ KSGFLESSNIARSHKLYQGGSSDMCFSQQYISQGQCHMDILKEEIHLMEQLEQGVLLF NNSPEEGISYMIEHELLEDDPLYIANFILHTDFLDKRKVGELLGGHSNLSLSILNNYV HLFNTNSLEPDIALRHFLSRFFLPGESQMVYRILERFSVSYIRDNPTTLYTSDQIHTL CYALVMLNTSLHNSHVRTKMTKQEFINMCTHSNLPATSTQLEIMYDRVADNEIKPLLS PSEKVYGRLSRDPKVLRAKQVSEINPTLLQKGTVFRRFINKNSSHSIIAWISSDSKFF CWKKVRSKSSHLFNPGNFVSNMSPQFNKLVSYNKKRIFKYPNSNSNNSSNNKVTDSNI LQKDQGQFENQSRILTNHSRIANKIRKALGMDISDLSCILLDDIIDINVGVSSKIHLD RKSSKIMRKGTTSFSSCKNNVNHLQNELESKCFSLIIRSGESINLCSLDSTFPSFLIW VRFFHQTILKNQETKENNESHDSNVMTVYGIPNLDKGIESDLLRVWHHGIFIQWENHW SLNSFINLCNSELPSSVPLLNKEALPSNSNSNSASASALFGFQAGPSPDNNPTNLLQE IVSVQKENLISSTASCSKPKPKVSPESKKVAWIQKKGNKHRTLPQQSSFLHKFKNIFN LLKIKKPKYALPSHNLHNKCINYQAPISHLILHLWVNNIPCSYRGILWNIAVGNQLQI QISTFNHLLKLRNSFLADLSKSYSPPKCGEEHMCMHTCIEGGPLKEAFFIHLKRFHRD ISNVFPELHSFFIGAGAVLGYRIWERMKPKQKSKSSRQRLIIAEQSDSSFTPAPSPLG GFSPMSHINQSILEGEEDSELESVLMFFNDNPSIYRIDQSTKILVECFILYRPDIGYV EGMSHIAMILLLFNINLMEAFKTFTNLLHSCYFLDMFMLNHRNVKMRLDFFDMLFKEL MPSLACHFDLLSITSDTYLISWFTSLFSTCIPIQVIPKVWDSLFLFGEPYAFQVALAV LKYHEHKLIMTSFEGCISILHSIPSTFDFRRFNRALEQFNGTISHRFGLWLAAQRLSE QKTELMEELF cubi_02444 MISIEGDNNLIKQLNNCLSCLLRGFKCQDVLVRITNEYLMLYSF PFGGFSSCFQFNFDGRLFDVFETGDIVEFERIYKVDSLIKCFNNTQWIKATRFIFEIC GNDDDTLKVTVHGKNGIVRKHRVYPVIGHQDKILLHEMILWKRRHGIRISSGLLKDIF GYMEIKESKMTLSISSQESLISIKVQPIGNLAYDIPIAGPSIGTGNIGGNSISNNSTY QDIQIKKEQIETLSLCRSILENSSFTFNSREFKVAIALAENCQLPVFLTLRAPGSPLI ISIGQRAIIDQMCVSDLEKNIIPFEYHWEKPLFQSQLFEIDNDYIEKTQITTGFSAVF LLSTAINPDSTSDPITSFNSPNEYNEQDVQDQDQGHHVPMNISQKSEPGNQNFASFKT NSDIPTDSSPTFSKVIQEAPSEDHKTENEAEVLNFSFSKLNHFDLHEMFQRFGIENQD GSGDLENSSYPAVEIPPKDQGVKSFDWIATLMW cubi_02445 MADLERSGKGVDCREIGGMEVATLEDLRKMIGLLERAKESSLKR LDRAFSLMEKNFEGLKARFNEGDLSFLDYRMCDVDLPEMEVDPTEEEINDVVNGFLRR VVEAGKIQLKQLKRELSEVKEVTESIVASKVSGEDEQILESPKPKKTKDESGFVASMK RLWENKTPKLSSIWRRNEESKNPVGTKLGITKLDNMNILDGLLAKSDAERDVDIKVEV NSIVESAPETKTEMTSRKEPSFKSISNEDVISPGPPNAIMSMGLSPLQSPPLLALTPS TPIPIVPTAVSHQTQREGVVGGEGGERGGGGGCGGGGRGSSISKVQGEVLSEEIIRTS VAIGSGEVENHAVVGKERVSKSTTNANEDSPLPPRPIRRQTSERIQEKGIEEITEGEQ TPVLKGGLEGTPLDKNRVEMGENGFVRPERISHVKKSGLRSILHHSANRVPPGQSIAS GTGENNNGSGGSSNTIRQSRGSTAFGHLVKTPINKGFCSSETEGKDKLRIGESPCRRY HKRLKLLPPVNPDECYVLTDSEDEQQGCGTEGGGSGGLKTTKIQKKIPLWARSMNWIP KMKEQRNVDPFSIFGDSCMFMDLEDVFQRPWYISTVARDNRIKSWQNDRVTSLNWSED SLTSDELRQYKVRMNLYIDKSNEVYVTEPCFTPSPNPLANGAWNHINKQRINSGNTTV IRKALNLSIHRFNNSISSKLASLNNSSLQVHAPLASSSSASSKLSETTSLNNLQDDEN SNIENNNIANFLSKPKNSSKDAPDTLHPPIISNSSFLQ cubi_02446 MRIPPMVLFFNILEITLIFIFFTLIYGTEFQSDHDQEFDWYEKL YRESKYEKLYTELKKCEFKDSRCIQMLGDMYFTGLYVDQDIVTAISYWKVSSDYGNSE GQFCMGMVYTLFPFLDALECSISEFGAKNKSVLIENLTKRDDPVPCKLYPYSQEEAPK FLEKYKSLITRYSSGQNRKNDETIFKNIAKSIQLSNLYLYFSSLSGHTGAQLTLGFRY EHGLGVPKSCEAAMSNYIETAKTSVSFKKEGHSEKEQLIRLSIPDWEPLKKLFNLKEN RNREDLAINLAESGSITVQLALAKRYLLGVDGFKQDTTRAYKYLRKIADKAKSMVGVV LDTPSTLIYGEAIGLLGYMHALGLGTTPDLKIAAEYFSISAFIYNDPGGHNGMGYVYF HGCEGFERNFRLAFHHFNESAFHLFTDAQYNLASLYLTGMGTSQSYSDAISWYTRAYE QGHLPSAYALSQLNLNGLGTNKDCKTALGFLRGVLQRSNWVTNLISITNNFSRSKNKI EKNQMILNTMKLAFTGYDPSLSNLASLLDQDLKNNRHFEWVLSIIGLPLPDFSSRLNK AEYWFKSLSTKIIPKILLKFFPDLEDINSDTHIGTEIENNSGKGIEIRNKWYLPQMFL EFSMYNENVDSMVKYGDYLYYGKGVELKYILSSKFLKNSSPSWIRPLEPEIASMESPN YMAALDLYKIVSNTLITSRWMISSISEASFNLAFMAQFGIGIDQDLHLAENYYKRMVE TGSINRISNGVGDFLIITTQIHRNFKIIINSLKKVSYQDLMKNGNFRLTLKLGHLLLF LLILKLLVYIYTKWTKAREDHE cubi_02447 MADVEHKKRTFRTYSYRGVDLDKLLTMKLDEVVELLPARKRRKI ARGCLNRRTAAFIAKLRKSKAECPMGEKPVAVRTHLRNMVILPEMVGSVAGVYNGKTY VTVEIKPEMIGMYLGEFSITYKPVRHGKPGVGSTSSSRFIPLK cubi_02448 MTFARPIVSVFPSNDSTKAVSSIPLPTVFVSPLRHDLVRYVFTN MSKNKRQAYGVSTKAGHQHSAESWGTGRAVARIPRVSASGTSRSEDIGNPSFYWIMIE SNFDGANRQGAFGNMCRGGHMFSPNKTYRRFHRKINTTEKRHAVAAAIAATGCPSLVM ARGHRINNVPELPLVISNEIQNINKTSAAVEFFKNFGLEEEMKRIESSIAIRCGKGKM RNRRRVCAVGPLIVYAEDNGIVKAMRNIKGVDTCSVERLNLLRLAPGGTFGRLTIFTK DAIKRLQEIYGSYTHGSSSKVGYTLPRPAMTNADISRIINSTEVQSVLRPLRGFRCMG GIKRTKTLKNNLTNLSKRACLNPAYTSLRKSARLAQIPGTRLHAIKQRQKAANRALKK SIKQKSETALAAKALSGPNQN cubi_02449 MLSSKISKYVQNYLNNKEVTPSEAKELYHRFERVLNNNESFKKV WSKCLIVQDEYQKEKKNIIGTRKTVDMIRNNAFFGVKENFKEIKDLISEYMNEASGLS NKEEDYKRQLKQIDDDIIELSSKRVRHEDEYKEKLEMKMNSDKNLSSVIKKNEELSHE LMIKQVNTVALESCSKVKKKEIMNLEEKLKEFEDNTRCILGMISMENTAILSVRAEYT QINEQKKKMVKNLENEREKLIRVAKDSKINIEQLNKKILTNKKQILQLKGEESKKIEI IEKLNEQLVNIKEKNTLEDSNLDKIQTTANAIKSQLARLEEKMRVIKYLWETKIDFNI HEVFDDKEILQQAKKKLSDKNIDISNYIRENGELIIKKNGLIEEIEILKQKLSEQDFN SNKIQKIEIELYEKISKAKMLNSDLLKRQRELSNIEDRISESKQRLFKIKNLFDNISK KRSQETRQKYIKELTELTERLGKKLIQTNPKVNITIESIS cubi_02450 MNCSSFSVLALGTSFTSGRKSRELWEKISNEKARLQEYDNVAEN LGKEHNDSKGETTVNEDYIVNKRNSMNIAVDGDNKTPPLLTFNEMKEHGNLPNWVLDN IKSILKYQNPTAIQSQAIPLLFSGVDLLVQSPTGSGKTLCYILPILGRLRNEKVYCAN LILSPTRELAQQIVREIKIILDIHGKKYRCRYISGKIDKVQESNTKRLDIAVSTPYRL ADICRNNIINLQGCSMIVLDEVDKLLDMGFAPQIDEILSHSNIPKGGKVQIAAFSATL PQNVINLADSIMKSPIKVTLGHRLAASSTIIQELVCVTKDDAKIESLRQLIKQGKIML PTLVFTNSKDDAQRLFKKLMYDNLIVEAIHSDMPKVRRDNIIQRFRTGKIWILICTDL MARGVDFKNVSCVVNYDFPHSPINYIHRVGRCGRAGRTGYAITFFTLRDIPKIKSIAK VIKSSGADVPSWMLKVKLNNINKSYFKKQK cubi_02451 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTDSYISTIGVD FKIRTISLENKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDRDSFDNVKQW IQEIDRYAMENVNKLLVGNKCDLVSKRVVTSDEGRELADSHGIKFIETSAKNAYNVEQ AFHTMAGEIKKRVQVNSQNTRGSAQQGPKLAGAQPIKQGGCCS cubi_02452 MKGKDVLSTDSETEDRFSNEGFSDSDLESEEGEEISEELVPDFD QQKDEEDEVDINIKRSEDNTIGPFGLRVTGEDLETIKSRVESIVEYLDSKGPGKVSIE YQGSSLRKASRSELMAKLADDVSILYGYNTELTNYILSLFSPKEALDFFEANENKRPL TIRTNMLKSRRRDLAQKLISRGANVDPTGEWTKVGLTVYSSSVPIGATPEYLAGHYMI QSASSLIPVMALAPQPGEKVLDMAAAPGGKTTYIGQLMKNSGILYANDLRKDRCTGLI ANLHRMGINNSIVVNMDGKELGSFLPKLDRVLLDAPCTGLGIIARDPSVKVKRSVKEL AQHSLLQKELLKAAIDMVDANSKTGGYVVYSTCSISIEENEMVIDYILRTRHVKLVPL GVEIGSSGISKFREHRFNPTISTYTRRIYPHLNNMDGFFVAKLKKISNDIPKLIKKDR NKSNNYIKTWGKEKWTSDLIHKIDPVSPCDYSKEEQSKIVQKDSKIHTTPIKKSKNKP GKRDRMLSRSLKQSINQSNSEPLPKKSNVKVN cubi_02453 MSILTANFWITCLSFIVFFGYVIGTENEKFVEKTIINRLLHRNR YFLGYELEIEVDEDLNKKNDRFVSNELLGLLQIPFLEDMLVVSTQGMWRTNNWGEPPS TIYTTGSILDLGFSDASLVSEDFWSSLLNRVSSIMCNSLTVFNNALNREKSCTHIMKG NNLSRQICNNYDDTLCMDNLNCWRKILPCLSLDYSGKAGSYGILKKISLDSFVKASYK SIGFELKKRGSKAYFRIFFNIVLKGKPLSINEKRQNETLWALIGLEEPEYEEFLCPVF IESKIIYFLNSILEKEKLLRNNDICKIVSYNHPLRDDLFNLEINALKLNNSTIKLDLE FLREICGVTWIKHISALHPQKQLEDKSKLSFLHIDRSYVRSVVGNDIKKDRTEGSLII GMDNLLSEKSIRICHWEQFPRYINPWFYKTRVLSARSKVIKDIQDIHDKDGQVLILDS YKAFEFLNLKIYKSQNILVNFCINLAPNTNVVVIFKFQKYFLPLEYLGAKSKRGQISP PSVSYWKIEKDSKEDNDDYRTFYHNINIIPTIFLDHTMTFNIIAITSAFVIFGIVTTT RPIQLNLKNISII cubi_02454 MSDVSENTLRIIKAANRSLQFHQDRLGKAKITENQENRLRKCQN TSGIRNSTFLSSKSRQKKPEKKVEATQKKENDQESIEKTQEKIRELEDRISKILEYSS VLEKTLKQSIDQTNKINKSHCCCNELAHSSKMNESKINSLMKSVVNSLNWKKIQPQVK PCIIRPKLLSKPNSGSLISTSLLESLSNSILELCIEDILISLDTSLHNFSVKFVKENS GAETIT cubi_02455 MINKVFSSIILFVASLFCTAKAQSVPRDATSIQITLWLSVSLAA TLIVFLYFMIKTAPNTGRDPLLNMRIKSEADKSK cubi_02456 MSSGNKYCIHLIAARKFLLSFLKLPEEFLREDFDICYNNQCNFQ INSEIEYFGIPRKECEIPHGFVGIGINNGENVPFEMKKFIQIKNPTNSNQIDKLLGDI GEPTYREIQIKQEDTRDSIRKAFSLSHETTENLKKTNTSKFPISPNQETECNDENQHY PNFNESSRNNYRSKLLSWFRDQKPIWDEEDYFVKSELFRSTPDWKRLETYRDLISCEW GSAKVLMMIQFWQISLTFTDRLVNNKDSSIIEYLGGNEESCLPLRLLVKFERKTSKET IIHENPSLNFKEKLDIKQMKDFHSANETNLVRKKSQQKSNSSLELLSENENHFKEDET QLNKINIRYLKMVKYIQGKDKSREFKSLENRFKNLEKSYCYLYIDNQKDFFLKLQTKY DKEIINMIKTCIKGRKYDNETKLWLLPIETLYESVCMVEFLGGTIDKKVLSLLINGNK TNLDHELMFSMDELDNWRSLLPKSSRGTWYRLNIKFNLSSIAEIYRGNPEKFSDNNFK LTFTKEGIDEHHQSELIRTLRNNNISVKWDSNEKSWKIPIEQFQKVISTCRGNLEFCF SSMFDHIIFKKVQEMIKDQSFQNKIINLNKMNQNKRKECKLEGLNSSNKKKRLNIFES DEEEVLRKNYGIGFEEDYDNEEINLGKNEEAVILSCLGNDDHDNKRLETKITNMIKNI KTPKHIDKIEFILWPKHYKDWESISFLIISKDFIMNNYHPKLLLSIVSNVMIVSEGMI DYIIQKNTWPDNSFETKFELLKGLPSLESRLYISEGIFCKTKLFIVGPPGNNHFKLCT RLATLGNASFVQDPLLADYIIICDESDPNALKIKKSVPKKQASKVQNTHGETHSIQVT PKWVYDVVLDFTIIKPTSKRNHKAWISE cubi_02457 MSGFPSNLRSMLGNLHGFGGLMAQDPDAPIPDTSEQVYISSLAL LKMLKHGRAGVPMEVMGLLLGEFIDDYSVRVVDVFSMPQSGNSVSVEAVDPVYQTDML EMLKRVGRSELVVGWYHSHPGFGCWFSGTDVSTQQSFEQLNPRAVGIVVDPIQSVKGK VVIDCFRLISPQSVIAGQEPRQTTSNIGHLQKPSITALVHGLNRNYYSIAIRYRKNLL EQKMLLNLHKPTWNEPLRCEKEENFNERTNKMIKRICETSKQYHESTKLGLSKTPKEL ELENFGKIDAKKRLNADVETVLTDNILQILKSNIASSVF cubi_02458 MSTRGVLQKYNMKSLKEQKSGTLGKQKTEYKVKTSSKLTGEVSI TSKNIASPTQSLEKSDSVSVSSQDNSNIESSVPTAANSPTSYSSSMVSIPDDQGDVYE ALERVPDDGRILEHDLHLLNKAIHPEPFGILGYQKFGDDGKYEYYVVRAWVRNAKRIQ IKAIDSSFSRIGADNTPVEMEQRYIDGNPSWMFEKAFRTLKEKIPTSKTHFCGYEESS ENSNIENKRVGNQKNKDEYSINKFPDVGSEAINTNEEKIVSERKETTLDHNVNIKKTY NAVDSMNKSVVGLTPSKVTPAENVTSKLKLNLSNPISSKIPRIKEKVKNETCSSDEAC NCYVHDNVNSEECVRKLYYELLVEYNGDNSGKIFAIRDTYSFGLLLTDGEMELFQSGS CWHVDNILGSHIVEYNGVKGVRFSVWAPHAKYVRVVGDWNSWDGRVNPMRFRHGVGIW ELFIPHLGPGEKYGYEIHSHSNDVFVKIDPYSQEYEVPPRYASIISACDDSYKDESER FCWQDQEWIQRREYLGRKGEMRRQPMSIYEVHLPSWMRRENGDYLGYREIAGRLVEHV KNLNFTHVEFLPLAQHPFEGSWGYQVTGQYAPYSRLGTPDDFKYLVNELHKANIGVFI DFVPAHFCKDAWGLVYYDGTPTYEYGDPREGEHKQWGTAVFNFRRNEVRSFLLGAAYH WLRRYHIDGLRIDAVSSMLYRNYLRPNGEWIPNEFGGDANLEAVSLLQELNWVIHKEF PGVFTMAEESTAWQGVTHKDGGLGFDAKWDLGWMNDTLSYLYTPPDKKSGKHNKLTFR GLYMSHENWVLPLSHDEVVNGKGSLLDKCGFTGAPYMDRIRTLKALFGYQVGMPGRPL LFQGAEIAQGREWKENRSVDWHEGEEDVRKKVCIFLSDLLAVYRNNVSLHAGDDESWN FQWVDCENSQDCIVAFLRKYKEWYNDVVVICNFSSRRYNHYPIGVPHGKEWVVMLNSD DWKYGGAMFGPGNNSTVHASHGGRIGWDYSLWIDIPEFSCIYLKPLYNKPDENDSQKN KSDESNPNTLVN cubi_02459 MTTVRTRIKVGDKELLIPENVNLSKENVVNVFKRRNIEAVEFSA MGKDEIARSAHMEVLHREIYKPMTNIPLQGGVLDSRLGAHRADAQCSSCGGSLKTCGG HWGYIDLQQPVFHVGYFKHLYGVLCCICKSCGAFLLKGEEKRQHLARLKQSCSIAHSY RLQFRKLVERCKKVKTCPRCMSQQGQLRRTIRPTLDQFMKLTHTIKIDGKDYVEDLTP IYVQTLLERVPYQDLDILETFKPGNLLISRLPVPPNCIRPSVTMGESGSNEDDLTVIL SEITDLNNIIKSQMKSGFQTFQLLGNWEFLQLQCTRLINADAPGVNQLLASKNIPKAG RGVCQRLKGKEGRFRGNLSGKRVDFSGRTVISPDPNIEVDEVVVPMIIAKKLTYPERV NAINIDSLRKAVLNGHDIWPGACYVYKSNGSKSSLRYANRRVVSERLEIGDIVERHMK TGDIVLFNRQPSLHRLSIMSHKVVVMPWRTFRFNECACAPYNADFDGDEMNLHLPQNE LARSESKHLMGLMNNLVTPRNGEPLIAATQDFLLGMYVLTGRDIFLTRDQFSQYCCHF SNGQIPMELPPPTILKPVELWTGKQVFNMILRPNSNEKERIEVSFELKERDYDSKSDL KDLCPNEGYVVVRHSELLAGAIGKKVLGGGSKEGLFFYILRENNSKKSSECMGRISRF VSRYLANKGMTIGIDDVTPNAELLVAKKNLLENGYQKVQDEINLYEKGKLTPHPGLSL EDTLELKVKKILDDIRNEAGKASHISLPPSNKPLLMYLSGAKGQLINIAQMVACVGQQ NVAGQRIQNGFTNRTLPHFKMNCVDGRSRGFVGNSFFSGLQPDEFFFHTMSGREGLVD TAVKTAETGYMQRRLVKALEDLCIKYDQTVRTSDGQIIQFIYGDDGLNPMLMEDKLDL VNFEKLFKHIYSQTKVHSNFYFLFNNYLEFLESKNSKEDPSTSETLKLSVSKRSKRKP LLDSSSPTLLSETDKTTNSFSEISKDDQSNQRFKLLPPPNEVINHLLLLFENYLSNSY IGPLKNNVAPAGSHDAQTCIENTVEMGEINRQLIFDEIDELLAQVEKKQEEERIRGIK KTGEGVTRSKLRRMVDIREEKENHEELKKELEEIVDLKGEEEEKEKLDFLFSYSFSQN LSNIIFDAKYFENESKEIPKSIMDKLDTLKIWYTKNGLEWCRKNETCVCMRNSVLAHY LVYKHLPIMDTNVPLIPFEMMEWVEFLIKMTRELIPSSLLIHQKISILESPTHQEKTR ELNIFSNNMRKFLVSHIERISKYRKVQGEREGLKLEEYIQVIEDFVDDSRLDSEWFRQ ILQQDPNVSRIASLEKSRELGDFSKYIRRFYSGMIPNGDRIETECKSEEESFTGKKRS IEEGFSNFMNGSKRRIIDEEGESEICSSFSSSKLRPIRYNLDYAITIRQIYEFIRASW TKYMKAITEPGEAVGAIAAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAA TKILTPIIEAKLENDSDFNYAQIVKGSIVKTLLNEVVSDIEELYSPNGVFININLNEE TIKSHYLDINAYTVRDSIVNHGPISKIKLRLEDIQVLDLWKLSILIGGGISQIGSSTS SGTGSGSGSTMNVYFQTQLLRKGLQNVVVSGIPGIRRSVIRQDDKEDPNTGKKRKCYS LAVEGYGLLKLMGINGIIGTRTVSNHVMEVREVLGIEAARKVIIQEVQKCMDAYSMDI DLRHIQLLADIMTFRGDVLGISRFGIQKMRASTLMLASFEETNEHLFEAAFQNRVDPV FGVSECVIMGKPIKIGTGSFDILYNSPPNVHESKLFLNGSRKGTVGRLSQFLSSIKK cubi_02460 MFKKRNVSKEQIRKVQSDNLEESNPRIYEETHFQGSLNTDIDEI KKRNQLKKDSDLVKDPNFEKDEKLDELISIVSHKSNRKFSHDNIINNYDLDLHEPNPP NKETSKTIYSRRKYETLESRNPNIKLTLRMDYQHDICKDFKETGYCGFGDTCKFLHDR SDFKSGWQLDREWDKEQKRKRLKINPTFKGNSNHEDGNLLSESTNSNQPPKKCFICKK KWRSDSNPIVTLCNHYFCEKCALNHYVNTSKCFQCSLPTKGTFNIASIPQDLISKSDS HDSSESESLSSDNLSQS cubi_02461 MTETQKTLHLLEEAEYVVRSLLEFEKDDLEKGLQDYLALKSKME VLFLKTSKYKKFLAIKDPSEQIYGPKMLEKMKNMCLRFEDLDEIFEEQLNPIYESIEA EYNRRMLEMDQEEKKRKEEEFQKRVQKGIQETYLEEKRRLEKLKEKQEEEKRRKEELD RLNQEEKDKLDKMNRRIETIIEFIRGLETKEALNEFIDTEIYSKLEIDELKIVGIGIL LLLRQELELKEFYTCIQLISDLLVYILRDPSDIKYRLVRLNNENFFKSFGDKKGSFAI FFGVGFRILQAEERKEYYTILSSDKDFALNACHLNSNDEYLILREPDPIDKFEFWITW MEKIGLINDILKEVLNLRYDRDLKKEGIEKLLIKIILSLSQEKSQSKV cubi_02462 MKFSKKLQHYVNQQYSQHYLSYKDLKKAIKLITGSDTSSYTINE VTSNFGNIKALAGSIYRPAESRFMDLLNHELDKINSFSSIMYTNIKDSLKQIQGYIDQ ISKDLGISNNSSNNSNTNDCDSSFFQAGMSKELLDDLISPLVEQLERRSGEIIFLESY QQLNYTGFRKITKKYDKMNKSTSSSWYLARLARESFMNMNLDLLLESLSSCYAKIEEL KNNFLMKEESLKYDAGLEKETSIQPPFEIHSKHLILAEDVMKVKVLLAKIVPLVSVGL LNVEESINKSYLFTPTNNTNSQKTSSENVSSLALQTSTVSYMYFDNREFSEYHRIREI RSRFCSCSSQSNTQIEENTSGRIQAYSDEKIAPKKYLDYTFRLRWYGENEGSPDQWLN LDWIHPMEPSCSITEWHDPKNNNFVHQTVSSDQTNQVGSLFSFEGSKAFVSSKTLLIQ QKDVFHILKTFGDLRRESKNPLLSSNFDLNTYLNETRRNLSQDQIMLLNCFIEFIQFR KLGPFSHLWFHRTTFASPRRNICIHIDNNLKIMPEINSELLIDEHFQGNGSSMNNLPV QSDRNSSSDKSGSNYEIANTELGTNSIFNMRPSILYNTFIVSPQTEALVSENMKAISH GILSVSVSNDTGNLQNSTKNLSKNLNPRDILKDILGLASVSEVIGFSNIETCTALLFS NNLLQVPHWFNFMAIESESQQEITNNIKDSNSESQALQTLNRSVSLNKEMTGNKQLQS FEPEKSSFNNSMPKIDVLHTGKNARILHDQEVTAQREASILTNEIQTNSLSSLNSTQT LSNMHPLKNLPIKKNEIKRECTQEKSGNKDINNNQKKCVSSAVRVEPKTFFANERTLL QWMNMSVLLATISVSLLSFGTQVGHICGLIMAPVAIFFIAYSYYIYLKRNKALETKEP ISYNDKFGPTLLVMCLIISLTSVLLLNIIVGGNKQHFKDIHDYYREDYVHYYQNQQNE NHLLAQSNHNQSSSNGNYLYH cubi_02463 MSITSFKNRPKKAIIKKRTKKFTRFQSDRFLRVKPNWRKPKGID CRVRRKFKGNYLMPKIGYGSDAKTRKMLPNGLYKFTVSNPQEVHMLLMHNKTFCVEIA SGVSSRKRREILERAEQLNLKVLNKNARLAIEEDE cubi_02464 MEGWLPEWANVENYELKEVYIPQDEKEIGICQYNILTSGNKLEK DHEWLLENRIRYSRRNRYCYFHLDIRFGEIRNPHYWRYIGIIKMYKKVQNLASYFKGR DYLLLYVDSDTMISRFTVRIEDFHEVMKDSFLCISVDDKCEFQHYILNVGVLLMSLKN LETLMFCIQVLALQKIQYLLPYSSEWSRSGLNDQNIVISLLNETGRLDIEKIQSYCLS RKHLFWSGSEDQWVNLNLSKQSKGVVVTPSIFLNHIIRMDYIMKLNRMTSIWLEKAWI IHFSGSNRLEQSFMIQKLCLERSRKFETSQSSHFNECPERIEELMNKNELKKVETLFS INMDSQLDPNNYIGQHYLDWIKNLKQTDLGIKNLSNRYSILIDRIIRLLKLSKTKIFT QTSKRELVGAILQFTENSNKFGSIFEQLYSTGIVSPYKTFLKKVT cubi_02465 MSWSPKQIKRGKIKENSPENVGNRKLIEDSESCEQCTNLEESQK VCCILCGKIKCSNCNISTVEYKIYDKLICGECISKLYLETDNLLQEELDVKDQINLNL KKGLEEQFQVISKCKQFIIALEEIISFQGLWKSICEIEGTENIQNLLFESQAGIQKWN QKNRQLEMENSNLKSQLSQQNTNISILESHIQSLQEDYNRSKEVIESLKKIQFEKDNL KSIASETSSLMEKFRLENDGLRVRCFRLEQRVKELTNNYGTESYQVNSPEDKRQSGIV RTISLGLQDDYFPHSILENSSIIFNNYQLVNCVERVILNIKNLLCC cubi_02466 MDSLTMEDKGDFQVEVYFWTKINDPRLQAPEGSFMIESKSGRAE LSELLNALLKTESHITFDFIIEEVFLRGTVYDYMRQSGKTSESKLKIEYLRTLSKPSL KVLDKQKDWIRSIAGGIETDNPMVVVGFYDGRIRFYNTVSHGKGKKVKLEEEDKNKEA FEFNVNELLPSGDSTSIFRVQSQQLNDSEFSKVWASTMSGSIVGLNYSAKTQECILKN VKLRASLAPIEALCPVKGCDSIILAGDANGNVLVFCENDGIEDDSSNIQNIGSLNCIA KIRSHSSNVTDILSMGDHLISSSLDGNIKVLKVSLGEAICGWNIKFPTFSLSSQNPIA GNVICTSHDDGKVRIWDLRAGTTNQIDLKINKGLDSLSFDRNTRFIHRSRLLAHKEVV PQAVWNPFSEYMIGSVSHDMDLKILDIRSPNLPLQAAKTDSKLLSLCWLNEHTIYTGG STGELIVSSF cubi_02467 MSDNDTNVKQWKVRRLIATLEAARGNGTSMISLVIKPKDEISRI SKMLADEYGTASNIKSRVNRLSVLSAITSTQQRLKLYNRTPPNGLVVYCGTLITEDGK EKKVNIDFEPFKPINTSLYLCDNKFHVDALKELLETDDKFGFIIVDGNGALYGVVQGS SREVLLRFNVDLPKKHGRGGQSALRFARLRMEKRHNYLRKVAETATSMFITNDQVNVA ALILAGSADFKNELAQSDIFDQRLASKILKIVDVSYGGDNGFNQAIELSADALQNVKF VQEKKLITKFFDEVAQDTGKYVYGINETLQALEMGAIELLIVWENLETKRMVVKNPST GEEKVFLSSPSEQHDESKFKDPDTGAELDVIEVLPLTEWLVNTYQNYGAQLEFVTNKS QEGNQFQKGFGGFGGILRYKVDFQDYAVVEDDLDEFI cubi_02468 MGGENWHFWNLVEQDPTLALLGLYKKGNKCNSMEKLVDFLSKNI VLLENMKNSSNSRKEGLFNFLFLFQKLNVKSVENENPENASLLPLDLKFADSMLEQKT LLEDLVILLVNGEFDVFKKLYSLLIKFNPLDLKDLLSIEKNQGSMICIYFTIYLSILL NVFEYEHIGNLRKEGEIEELKLNWINKFFSQINDLLDYFSNRTGVLNIADINNDFLLL IYALILYLIFSIIDIGNDRKGECKLMIMKLINIQPNNLKFNYWYLKIILIIIEKTDMD FTITELVSIVLHYQKFIGEKFIKAENSDYLEKSMNKLSVFEVHMMTQLCRMWVSKEIT LKKQLILFLERSVFAHHEWKKQNLDGYFSKIEDDLSNLDPINILFVKLLNLFCKGFGV SMIGVGNGEDNHLTISDLKKIDSLFLLNLFDELLHGGCSQKINGVILFDSIRMLVTVL TILVDPKNFENENYDIKRDIQSDLLIVKIHKGGWNLKTYECFDLIEKLFLVITVLIIR YKSDHKELNNSIHTISSVSSSSTNSVFKTTTFDISLFLILWNNYVTLIIKKEYKNDQI VLNKSIQLALIYLVNRKYKLDILCEITFSISEYDENLPILLDDRYFDLIDLWISLWRN YLYENPDLFFRKGDEIETIFTVPNINYFFEYLRILDQVVLRNTETPPSQNLVLKDKES NNIQKISKKVLELTGIISSILICHKISHSFDRYNILMAMLSASENPRILSDYIYKQVT NTLQLDGAYIYNCLKFFREIWSSSIKIRVFSLISDLYISLMKQSLFQFIQCLLSNFSV RGGIFDRSFALFKVRGMRGIISDEDFEILQQKLTDISSYSNLSLGGDSISSLIKNEIF FGCYSIDLYYTINNIQKGWQSPSLSISPSSPKTFLNKKRHSSLMITDSEDVYSIINHL NPLFDQLTNDNDYLMLFMNTVGEINKCYFYFLFGNKNNKSGERSLIEQISNFSTVFGV KFEDEKFMASINMENELLKYVNNDDKREKVIEERLNYKFYSQLIIRMIYLCTWMIVDN NYESYRSFSEIFAEKILIGLFGLSNDERFYNNGESDFNIFSPNINQENDHFERFNSSL QDYYVLEHYTKFVCIIWSIISSMDPESIFRIYMKILKRKSLSYYQLYILLVFLIESSD WFIYHGYFQFIDLLKLLKQVELMTSLSSAYLQVEHQDGEKTSQVDSSILHLKILFVSL ILKSITIEKSKEVPLEVYKKKHSAISKSNQNDMEISQIKISTFNNKWTQRDAYINGGR SMVNSSYFLSCQVLPYLWSFYENYPTYKDIYTRLLQTSTQLTTYLDNLCVNLYDGRKW QGIMSNFNNTVSETLVDIYDNRLLPYNQYIVLEYIWEYYINGNTMLLIEKYISALTFS IYSLKKVGFFEVWLILSFWKKYPTRIYKHMMKVNTTWIVSFLMDHLIHYSILVRNKSI GISSSRKDSIHIFNNIEFENTFSFLLYHYHPQHYHDRMSGKYFWYNANSEQGYLNKIN SHAEIKSLVVNCRYNHGNYLSLFQFHDYLHYIGHLLPFSVVIYLLSNQFSSEKKKFIS NSHHFHHYFHHNLYTNTLIVWSCVRSLLLFQESEWELLLLQYMEIIKSNKRNNQLVLT CLLDMALKSTKFSQILCIYTNNPNSPVMNLFQKSLFKECDSLLTYKTLKNRVENIERI EVDEDMNNKYNKINSSEMNDKGVINRLRTVQDSMEGLNLRISSVSSLIYKCLDGISPA SDSLNRSSLNLLHIENKQHSIANIQFSFFYILCQLGEMAKYRNRRGGLGSPLEEDEDP SVLIGRLNSKEIAQLKSEIAQDLLMKIESVIQRREYKNVPFLNTNMLKSSQTKTRLLS IKKINKIKILQSAKNIPYLLSLQLTNHNIDDQEENITETKKDYELTVNLIVKCNDDCR QDVITMQYIHVFQKIFEIYNIPVWLYPYRILPMMFKDINNSVIYGGIIEFIEDSISLH EIHELHPEGGLKAYYESTFGCIKDLGDERGRSSYVSYEQARYNFISSLAGYSIACYVL QIKDRHNGNILITKNGHIIHIDYGFIFDISPGNNLHFERAAFKVTQEMLDFMDDQVDL FDDLCLSGFLAVREHADLLLSLTQMLINSGIPCFRGETIKKLKERLLLNFSQQQASNI FSKKIHSAHNHITTKGYDFVQFIQQGIK cubi_02469 MIDSKQQHNGLPSTFLGQSGIGYKICDRLGAGSASVVFRCKSVG RVREDGIMMPIDEAYFAVKIIDMKSIYLTPDFKDKKNKLLEEAMILQKLRHPNIVSLI DFSDSGDTFYLVMDLVQGGELFYKIVECGSLSESSARFILKQVVEALMYMHQKEIIHR DLKPENILIDKSYPGGYFIIKVADFGVAKFLRHGYTQARTLVGTPQYWAPEVLSVSNG GESYGTEADLWSLGVLFYVMLGGAFPFDERKGNLERLIKEGNYHFRYPRFKRVGEQAK QLIRRLLTVDPSQRMTLCELKQDPWMNIKCDNEPNIMEIQENIYSPLPERHEIVLFKS VEDSQKMVNNVAITPKESFKMIGTVETGQNFSIQKRSVKSSKTSSIMDINGLLDLQLS VAYKLHGIYIAFRHHPTICRVIQQHIHKWRILQQRSYVAIGKFKQTCESVLDELPDFL LAVNTNEPKLAIELLSHVKSLVDVTQNDVEDIQNSYNNFLFDLSNFVESVRDIKRITE TSVKSNPCEFVKGGIEREETEGRDTSSLTGGNVSIVSEKSKNMMYDSHARSDFELSSM DISSSGFNTNEVESLSRSGSNTMSNSNFNSSNNGSGNMTKSEFDPSIFIGYNSARDRV IRKITELFSNSEINALFSHLGNEDSNSKMMDIDEKKKVIDEEIVETPNNNNNNFASDL SIQVSGKPQFTQNSSYITTSLSSTRDLLLDFLFLPASICDVPPTGVESLLQEGVDFGL PNISSFDITTEKLHSLIKDDEGRESSVGTAEDMMNANMYKIDRDLTNNNASEFSIRSG AVNFNSSERPDTIMMTEAGKIGVRNRLENEKIESGFNGFDVITEKSGNTIPGSNSSII YDQNAIKRRNENQLKISYILVRVLAQLRMIESILGRCVAFWGNMNIMIEKILQLRQHA ERLLGFTENPILRTRFDERVESYRDFWQELRDICDSYLRSSQIRQLSLENQVHELLDT ASKVDTVFNMNMK cubi_02470 MVSEKPIIIPELLKELVYKEIENSNIFKGKTSERSKLAQKCYLT SLKLIKSHIVSGVKESNFENPLEDAHELLLSKGRSTQIHKLNRLYEKLSNLCLAIPEL NYLSKNQNSNSLSRNQNTNFQDKILRLLLPLFDTGSRDKYDQENLGNSIHNLNPTKDL QQSVSPVLSRFREPNTPLASGSSSSLGNDEFAIQTRNSSNDHALTSVYNEVISSNSNG NSINNSLEVYKEEFGMIESNDSYSVPLFVLEKCLLSPTQELALVHDILYALQGFEAQF VKYDISTDSYILNPSVYSLETTREIVTEICHFGTIYKRMTKIINYYKQELENSYLKER SMLTKTLIEFTQDLLSEYSQFIVSQQNEVQDSMIALSKLDPGVNPNLELLRSNKPMLT LRKLLNRVNDQYYKKERIFAILEGLFGQTSSSIISCLNIHRNNGNQYQEKVFENLFHK CTSVWLQDLNMILRHGTTRRYPYDLESNQRSKTVIDFESKLGEFFIKGNLQEGIKIDE SRVPNFLLIETAHQITHISETRIILKAFLPQDEDAKLAEELGIPEITSEMVSDLNRIP LDSTLNSIQSKLDLKLKELIIDEGSLISRLKIIRDYLICFNGDFSDLLIRNMENELDK PSESVSLQNLEEIFESTKRSCSKFDFQDNFQVSLLDDQLYNKPGSCGWDIFTLDFLLP ERTNLSLILTTNQLKRYKRIFRTVWKLKHVIHKLNSTWVQLVKYLRQNELCIPSDTID ISLLRQSSCFIRSLIITLNTIQNLLSFSSISYLWSSLENLLPKASNVYKMQDLHNTYI SYIESNLFISSSSSSSSSSSPSSQEQKLTQDLYLCLDLLFESSLFLCKVIKTILNNLL IHENDHENDSRQMHSFETNNIILSLGDEIYESSNNFKNYFKSFHTNLSLLIQLKHDCL HYSPLLHFQINLFESLLEQLTLHFKFLD cubi_02471 MDKKSTGSANHSVGGNKMNELEFSSLPAVVVVESLGLDSFLPVS CEFPESLLPINGVPILNYMIEMLLKNGVTEIYLLAYSHKDLLMDHVEKLKTSNKKLRS LNIQIIQLGVHCNSIGDALRDLDCQVDIRDDFILIQGGLLCVADIKEVVQFHKKKRSS QTPNLSMTMIFMESPPLSTLRTRKNENLVIYDQVSNELVQWGRFDDDYSSRLSMKTLL RNSSSSYYGTSKCIIRYDLLDIGLAICSPQLLKTFCETFDYTDLFNDFVQNALSSDIK QDVIDVSIMSQYAVKITDFRTYHVAQQHVCEGWAFPLVPDYCSISGQNVQRYQGFSIF LGDNVNISPSSEIGSIVTIGKSTKIGNNCKISNSFIGENCVIGDNCIIKGCSILDNTV IGDNVELDSSFISSSVKIMNNVKVNPCCLIGSEIIIQENSKIESLSRVSRYISRSLLL EYCKNKLGDNFSHDDDEFRSSSNSPSMNLRRFKLLDETDLAKLSSLTGDGMILNGVIW PENKSVGLLNDEIMNEDVLSNIVLFDSSGNRETLCSSGSESSEDEDLDPEIIGRGRAG ISTGNGSLDKSNVRKGDEEDEEEDEDSREFFEESVLLIKSGLENPVHMSNKILELKGL RFAFFKDDLDILDTCMVMVLDLINKNSEQVLVSDAGGSNNNSLRIDLNRFKGFCEKKG ILELISAFNRNEDELFTSLICSKLLNFAAKKDSHLPFGSLLVTFEKLDLINQSFIPGW YDSLVGVTEAKTEDQALSILKSDFVVKYIEWLKEDEEEEDDDSDDE cubi_02472 MTENYVFIRNLSPLANKESVLNIFSELEDEIVEISFHNYPESDQ RFCQISFKSSNGVTKSIGFNGSTLLGVPMSITVLPPIQIQKSEQKIIVSNREISVKNL PSSLSDSKITSIFQKYGQIVSIKRQDLNSNSNSIIIEFQNETSAADLIEKRYITLENG DTIEISSDFEIQKCISNQEINSNNHDINDTLLNLESIPVPLAYQQIKKLEWDSKISKI YSIKKKIEERLLNTLNDDLKLRSSSNQSSFHPKSPSNPPAPTDRQSPNRTTMRRTTTG RVRSLSRSVSPPHQND cubi_02473 MNDFDIIESHLAKIKELRSQVEGIEKTNFNNINNKAIIDKNFEI SISSESTIQERCKSINLLYLVGQKKLIREIIQDQNPSSLKILVKRMIDTPPIGDSIEK MSSNRNTWIDESIWQLSKIRSEDTSNVTTVNDNNGRDKNLSEILESLNDYKHHFDSSL QLSGALNLTIGMLNNSDKEISNSSSSSK cubi_02474 MSDSDSELETRVLEDNHGGRINVGGESKLVLDKEGIQRKIAALD YKTQLGMNTVPFIETLALVDKEGSKEKIDFKDSTKRESYFYSNTLRNVNRGCKILASM NVKWNRPDDMLAEMLKTDQHMKKIKMDLLKQEQKIKAVELKKQKYVEKKFNKKIQVEK KKLRKQETKKNLREIENWKKSDHKNSASIEESFDKYFSKNTKQSNLNKNKKTSKQSIK TVNTMKAMNSNKSTKRGRK cubi_02475 MEVENSSPNQYTTKAGTNMANEQTKKRPSDATKEEQSKKVCTET SGNVTNSIEKNTACTTQDSTNSVSVTGNVKSYNKVTFTTSLDSTTNNDADASRSTTTE ARYTSGTSTTTTSYRKTSSTSNKKEGEDKVTNNSRVNTETVFDSGMTIQKTVNKTSTE NVTNTTKVKTTKTTTTQEMRFSNESVKNGTTNTANTGTTTNKMGVQSPETFTNQVYYF DTADNTVVIASQTPARTETSTTVTETYNGNSIMSRRTDIEVTREMIGTNVTCGNVNKQ TKSQERKNGTEFQVSVQGQDQARKQLQKQKQALQSYQFDQDCNGCQVYFGAIQTPNQT TSSKYGVKYEMNQFGKTQIKITENYQKDGTFTSKKEQTNSDGTRSVTTITNTTTTGAS GTNGGMTGAVGTTGATGAASTTGMAGTTGATCAVGTTGMTGAVGATRTTGTTGMTGTT GATCAVGTTGMAGTTGAAGTTGTTGSGTYATGTTGTTGATGATGATGATGTTGSGTYA TGTTGAAGTTGTTGATGSGTYAASATGSTVTAGPTTYKSYTGMITKETYSVDGKQISK TEEKFDQSVSESAQSGETVTRTTTQYPAGNVDGIATRTETLVTKADGTIVVTVEQLFE DNSKMTTVTTTPATTNTSSNTTTTTTTTGPTTATTGPTTTTTGPTTTTTTTTTTTSTT AAATATTTTTATTATNNDNYVDVSGYDDISSKATAGTRTGEDTKTVTVTVVTTDKDSK VTYQENYTVQIDSNYTTTTTTSTASGNTTNTTNTTTNPTTSTNTTTSANTTTSTNTAT SGSNTTASTTSNTTSTTTSNKNNMADSQKGMSQSDSKVKLQDKYKTQTQIQDQNVSGN cubi_02476 MGGKHSLPQTYEAFSGRMGKFPSLSEDILTKQELEEGVVILDSM NSMGKMNSSSFMDIESDNTRFMMARAEADRIAEAEALRLATEQSIRVNDEGSIINQNS YVSLPSRKDSVLSKPPTRSETMTSKQSTKNSSLALEKAAEEEVSKEERKSEYISSNSN VNTEKDKREIIEMITNKCGVVFSECRSYPIEEASKLGSNLRNCDSEYAIDKTAETCGC LGPKHDRLNCPICRGMHLEDAPLLY cubi_02477 MVIVFRGSEVLENGVLYLLYKACRILKQCGVEFVTIFEPNGIVE SKLSSLLNREDEIPDNLRFLSGSDSSQDFLNKIQRIVKEKHASNEKEITYREIYNILN SGGSWPKADCILILRNMPFDIPINGFNSLFIIFNRLREYTASFCTRLFTVASLGTWGE IPPLFAQHAEI cubi_02478 MYNRNLNTEKKGILNKRRNTVNEINNREETYNKYIATGDYTNNR NSNSWHDEYRNSSYIYISGLDLRLTEGDIAIVFSQWGEPIDINLIRDKKSGLSKGYCF LCYEDQKSTILAVDNANDMILLGKHLKVDHVKDYKPNCDGNYVFTGAEGSGIGIIGVT KDIQARYKTELDILDFHFGDIMARKERGRERKVDKSRRMRSLSRSLSRS cubi_02479 MLEEKDKDEEIIEFLENYEYSESLSIFFSLRKDCLGVIDPPIVL EHQIQKSDGSRISIQDLEMLVKDGELRKLKVPRSIPSKNMTSCIGYSLKSDIFRVLNI RIREKYLNLENQVNGCFLDCLFNGEKRVSLLQEISRLIKVVFQDFKTISANNKQLSDH LSLISNSWKSEYRICENHNKILDGDFLLDILIRFGILRRDASDLHNLIFTTPSMGLFI QFLESGNSIIVNSLRRSKYKELFEDKFYSLKLGKSLLPIDFHLKDLHGTGIIKRM cubi_02480 MEGPNHYRTKEFLNFLNNSVQAASASPMLSLRYHPFENICGFTN PYYGENNGLSELELQKHSNHMRSVTDAMHYFFKLHPSVISNSPSSPRSPVVESQSPLV SPAVSCKKNRKEIEKLDVDHPNLCTTQCRIIHPNLVHSVNQRWQLRVHLLNQNSGLLK AADLSHPYVSEMINANAPVTPRMTSRHTSRNISRHTTGN cubi_02481 MSFTRELVHLKILELWTSGDSLKRAEANKYLLEFKDSSQAWQIC SELLEMSTEPEVKYVAAQTLCQKVSNCQSEVNAIGGPKVIFEQIYLRSISDNLRNGSG GNLMSQLLSKLGEGLSYLIILGISDGSWIEGFESCLRISQPYFVGQGTGLELWMILNT LRYIPDAGVLLESQKRPMLIQSTLPRILDFLSESITKVLSDSSGNHGGNGNNSGASEN QNMNQKLLELSLDILVEYFEKFEIPLFTHSPLSLAISNLLKSDICVAPYRLAELFVRG LPRCSFYMQKQGIIGDSNSIFTLIEDVNGLHVLLKFPSEAETAVMMSLLNYLKLLYDK LRQIPLPINNNNCNNNNCNNNCNNNNNNNNNNNGNINNTSNNQGTCSVDNSQMIRINT WSTLKMNVPQIDLDEDTERSILSWSGVIFSLLEGYSTIFIIGELPEVKNRCNSNNKIL DNPLSFLPEMLSLLLMLHVRIPGVLVNMWCTLRDLVNEGVITKDHGLSIAKLLITPAI QSLATQCRTDFFYWEKLGINENSIMNARISTYSNFGLKELTLDDSVEEFLDFLDTATL HINDIYFFLSALGPSHGQAFVTYIQHSLLSCSNEKDPIGCVVFLRFSDTLIEATNSLQ GTISNILELACTTLPKTQSCIYQITLLLQKSAHLLVNHEHAPIWLLSLKYLIEISQQS NLLLLSSTFEELCQLGVDHVVNNPNCDQILLELSQSVIQVVTSKLQFSNDINLYGNIS MIDENIGFVGGYVHILCYTMIKNNQSIQVLAEALKSFLYHMIHSTIEQIPQVYSNTSF SNSIDIGVVPQCCWIWSIYVFLKILKSFTFCIENNNYGNICGFGLEYGGGSPKMGVNH FILGSNNNGICGNVNNSNVNNSNGNNNVNGNNNNSNNNDSNNNNNINNGNINIFNGNN NNGVNQTGLSSLTINLTVLIRNLFSPQDQQMLILGEKLRSLLFNSFNLKSESQGYGVV LISSMMYQYSRNISLHNLMICTRRSFTGNESCLSCPKDVIVSICCLILRIISCTWSAN FLSMNGNGDHNHVSSNNTDMCGNNLGNVSNDSHHVHSGNPIEVNQGNQWCTWEFTYSN IKQSLLHLKNELENISNDERASTLIVRQRHGCCTMILHEIIKRLPIESRDNLVNMMHN DGIDSLLYEFWIKKFCSITNVEISRSFEPFFMWQISLLGNCNNPTLSVQLVLSSQYLA SSLDMAVRSLQFNDKYLLNSVLIYLTRLSTAIHSFSQIHHIWNEQLGRLLMVLFSVYN NFEKNTLLQMCKFVSTLLEYFPNNFFMTLNGMFSPDFSRIHPEIGFNPFKDTNQQQQN MIIICFKSLKGGPRLRQFLLEISNVTNGISSMDDCLKKFEVLLNYNNLNNTVNVGTRS VNSNGGASGSNGNPIIIS cubi_02482 MENTEVIWCSSSYIRPENSDLESEQKSIVTESILETEYRIVSAE DLDGVPLSGNEKVFAEQHLWSIQLNSTQNSKITEFQESIVKTSYLGRQMKDDNTVFFN NWGKIGRVVLFIYITLLSILQDITGIVIPNSIYKLELSGPELNIVSETPSIAHLYPYY WKYILEPARYIISMLFYFIWGIELTPKKEFDNKDEDYREKSVREEGITWIPRTLNMVH PINHLNNPKCGISIYSKPTESTIRTCKGLRTSTREHRIICNLTQPGDNNKRIRFVAIR PIGNVIAIGYSNSVTIWEYKPSILTNGNSSINQLSSINGGLRSLNENLNLNGKWNYIY SVDLFKLNSISWSNDGSSLYIGDGSSIREFYYIYLVTQDSKKLGYHINSEKFINNGNV VARGPTIAHDCVSIAVSGTFSLIGTIWEGGYFRIYDTSTWENIQIIHKFGLRSKTFIP KVECVDNLLDKDSSSFIFADDQSIYETLLINQELTEIRKSKILNIGSISESQISNGIR LRELPIHSRNREKTILNFSTTFQRVAIIYKESNMVWLYCYLMSTDTPSKLMLIGVIQD FGIPLQISMQTINESNHLVSGTLLSVKWQNLDRFNRQNFQVTQNTEALQATIKTYPLF HYLQ cubi_02483 MVVFDSFPPLPPISEIRNLIKNDSSLLKQENPEKFDIIPENNVL IRNDDRQFEELRPITIRTGVIENADGSAYFSIGDTKVLCGIYGPNLCKQNPTEDGLSV SVEYTIGSFSRDSALVKSKLNTDNIEIKSDERIKSILLEKVISSVICHEKYKRSSIDC YFYIIDDDGSAFSAAISAACLSLCNAKIEIIGLFSATNIIAVKSNSLYNGRGENHTED EYSMILDPTYNEISCLGADNYSTLEIGLCTIRNQVVYLSANGNFFNDPKRIEEGFSLA EASCSAIVDEIKDHLTSEFNSIENDS cubi_02484 MNGSNDQFLISLGIESSANKVGVGIVTSKGEILANEKMTYVGPP GSGFLPRETAEFHKNNILHLVKLALEKSGLNKNSISIISFTQGPGMGAPLAVGALVAR MLSRLWKKPLIGVNHCVAHIEMGRLVTKVENPIVLYASGGNTQIIGYSNKRYKILGET LDIAIGNCIDRFARIMKLENYPAAGYHIEQMAKKGKNLISLPYVVKGMDLSFSGILTF GEELISEKQKELKNNEQELQSFYQDFCFSLQETLFAMLIEVTERAISLLDSDSILLVG GVGCNLRLIEMMEQMAEDRGAIVCSMDDSYCIDNGAMIAHTGLLAYMKNITTKIEDSA VSQRFRTDQVEILWRK cubi_02485 MNNLCWRCTIRKTSFNTEDFKFGSKDAVSVYSSSINDQKRCNCC KDLLLIDNQSNYCIFKVPNEVKKARRRQSNKFIAVQDSESGSISTYAIYKKDNLNAEN KYEPIKGYLGEVFSEVLAAAVSYNSGLKVTEAGISIELTPELRLNSDQDMCFSLKSCL RNLLLNQIPISVDMNLDIPQNQVHSLRLNQNSDLYIKFVFSSKMPANLEHSEGFMFSI VVSYVWKNVYLLGRYIKLSRRISQSKWGFSDQDKNKIIVDTSIEEILSNSLKELIHFE KFIFSSSGREDVDVRMLGKHANAVFDRDLDTYKSEYSNQNRDNCSSRQTYDKELITPT GRPFAIEIGNILNHHYLFYPNNVEFLDSKQRFKYYSKESFIQLFKDTIKEKSNSRLEI SVLNFSDSNSVQKMNKEVNEKRKSYRCICYTSTPIYNNEHCKISENLKFPILLNQRTP VRVLHRRPNIDRDRKIYDLMIFPINENYFILDLLAQSGTYIKEFVNGDLGRTSPSLGD LIREFLPGKCSDEEKLNVIIVQLDVLNIH cubi_02486 MNEELSSIHAENDSTDKLYSSVYRGSEEISFQSLEAGNDPLSIY KQVSSLNDSFEERDQSLNLQYPNFDMDKKSINKTLEDLSLFNPFPNTQEKPVEVKSSL VSPKIVPEDRSNDGNPNPNMSKPSSNLRTTTSVSGLFSFANTVTQNISSQIQNALRET TSNIIVSNGTSHKVQDPSRWSKILSKIYQNKGPVSNSSLEICEADAENITKNMNISLF PGEKLVLFNKECGISAQGLADPIFGSLIMTNFRIILAPLFQKNAKNRALTIIKRFLVS WMYKSGFLHIPINSIIHVGVSSVAGNNEQNGACQEGNISNVAPGQYIGNNLNLVGSLG AIGGGPGICQNNQSNVNSKSCTYTLDISTKDLRSIQVLVMSSEQDKKLTQSIIQNTLN ETLPRNLFSYIFKENFESLPLFPSLNGIFEFDIFKEYSRQGVPISGDLSNGNVSRFPL RFTNVNQNFELCSSYPRILVVPESISDSQLIKVAAFRSKGRIPILSWTNPDLKCTLWR SSQPKSAFKRCIEDEIMITTLSSFYKNNGDPQEERPFSILDARPKLNAFANKATGAGF ENIDYYKHCKLEFLNIENIHKVRDSWNKMALVIQKFSSQDLPVVNPNFDKAIDLLNQE NITSAQAQSVLSSLNRMNNSENLVGLKLISEIDSTGWYDLISMILASSNKIVDQLIRE KGVLVHCSDGWDRTSQLIALAMLCIDPYYRTIKGFLSLIEKEFILSGHKFHSRSGTPG KGSCLDKESERSPIFIQWLDCVYQCYTQFPTEFQFHPNLLIIIADHLNNGLFGNFHCD NEYERSSIHSRDLTVSLWDAIIYSIQNKSKENLESGQSEPLLSLSSCAIFHKELINPF YNPTHTKIKTHADVLILDSSSFGIAPWMPFWFRFTPINSKTVSNPLLYSNC cubi_02487 MFNDSSPQGWYKNLPPITRSILTITFFSTLLITFGMLNPWYIIF DWEYIFRKAQIWRFITSFFFVGPFSLGWVMSQWMFTSFSSKLEKSGSVGSTSGSYLYF ILILMTAINVIGTAFEYPTGRRIGGSSLIFSIIYYWSKKFPTSPVSIWGFTLQAYQLP YALLFLDVLTGNSLIDDLIGLLAGHSYYYLRDIIFENSTNNFLTRTPKQVDSFVDYSS FLIKKYIYDFSALNGHPNVINYPNPNANRSESFFNPLTGNSSQPRAFSGRGFRLGSD cubi_02488 MEASIKKDFEKAKSLTESDFKSSISILIELINKDNLSEIEDGAK IQEQAIYMLGEIYMKKGMAKELKEFIEAIRPIIGGLPKARVAKIIRNLMDMMSKIPDT EMLQEQLCLDCIEWCLEEKRTFLRHRITARLAMVYLNSNQFVKGLDYIEKLIKEIKKV DDKILLVEVYLIESKLEYRIRNLPKSKAALTAARTNANSIHCPPLLQADIDLQSGIIL ADEGDFKTSFSYFYEAFEAFNIANDERALQSLKYMLLSKIMSQQTNNLASLLSGKNKV KFQMREVEALKMVASCCENRSLSMFEDVLKTYEIELSSDMVVHKHINDLYESLMEQNI LRILESYSHIEISQLAKFLNLPSKRVYSKIIDMILNKTIQGNIDQRSEVLTIFDENQN SSLMIPELISTFDNLSNVVDVLYEKATKAI cubi_02489 MKYQSRKENHGENKESGDKQSSSLIDSLRVQISKVSALNRFRFI NGNKKPFESENEVQIGDIADKIRNKISINRLSKSPELDSIDGNHSEHIGDSYSPFRKR NKNSERSLLQDKMTNFAQSRSELENLLLYNINKLRREQIKLRNYQLNKNNTQDENEIL FPNIYTPLSSMKFMNIQELYLFLSLFYQNGQAEQTLANLNNLQIIFFTPESTSTSNSS TIITGRYTKILDDEYLFLNNASASKFILNSTNFKDYRIRQYPGFFNCGLHIH cubi_02490 MEMEIDSNISDEEYVGMDDDPVLIVSGDDLDRDEEDEEMPLFDV SDESSATILSIDSPICCLDIDPTRRGRILYGGCDDKAYLSNLPVNPMDANNLMVEKIN LGFEKLFEGHTDTVSCVSYSVDGKYFATGGCDGTVRVYGNEASNEGQLISILEGPSDE LEFIRWHPRGPCILGGGVDGTGWLWMVSDGRVLSVLSGHGDSITCGDFSNEGKIACTG SLDGSVIIWNPKNGESLHKITRNSFVENNTGDSSYLDELGIVSMRSHKKNPLLAVGLT NGLFSLIQSETGKILSLNKRHNNSLDCIEFINNMEDPLLATGDMNGELIIWNYEYNRE NFAMKNNELEHSNGVLPGITSISWGGDRNNTLIATGCLDGTIRLWDYRTGENVRILKG HKSGILSMKIVEFGFQGNNVLRMVSTGDDGRCLLWDVRM cubi_02491 MLKEEIMDLINKSSLTISTEGVVAPPGEVKSNLDITTSLDEVAN NENLNLSGLNNQVVSSPLSPKNLQLSLSNRSVKQNHTNLKSSLGRKDGSLSALFSQQL GGVISNLNSNSNSSITTTSLSPIVVTETSSSGLLHSPAPLMSHSSLPLSTKQKLGQIQ GQLLGLEKQLRNDTRQKREYEESRLQVLKENTMKLKKAIETENQQRNEAQSNMKRNFE EQMSSIQQRLEDVFSGKLDQLSIACKSLDERLSIIEKEMASDRSQIIEEFEHKHQSLV NEIMNAKSIAEREYNIAEEKEEQMKLFLKELENHVEEEISREVNNKEIVLSSINDNFN QLKDKIEKTQDKFQNFVLEEIAHIKNDIAKESQNREAADDDIVQALNQYTKALQDVLK IIC cubi_02492 MWRKKCIFSYIPYNYYLFWFILFQVLFLRQIQSFPEDRKGEVFS EINTSLRSSCYDIANYLSQTMQETPPSVVGYFELIDCLENPKHENDLEDKARSAIENM DNIMQEIPTQFIGTLFYTCTVKKIDTLLTAIIRNGFFYATKELINRKIYGIQHLLHAR DSSKENVIHLSVRAESVNLIDLLWKHLSNQIRFLLDEKNYNGERPVDLSEEIRCATCT TRLKEIYRITSQAHLSELPPPPPVPHIPELEILQSVETNDDEIDENNEHKIKSKTKKK NIRKVKNKENDQYDHSQNFSPLGSEEDYSKLHNAGYIDFNAMVSAEQKNNIFDSKMIL AITCAIALLIFIISCVCLLKK cubi_02493 MRLQYVILFLSIVLIKLAQNGRFATDVVNQSSSESSYEENEGSR PEDSSSSSYLGKSDKNNSKNEHSVQSTYADEDEEGSGELSSEGDASESETKGDQKSFE NKGEEIPINQSEGQDTNIEQSSNQSNKLPDEESGAASLSGDFVLSDNQKKSEISPEQS IVPALSDQNQDEVSKDDDDIVKDVMPSVDPDVQKASDSIKDGISGDAIGHVVPTTLDV LLGVVQPTRSFSSEPQTRPLQTQFGRKRSSDDGQESKSSKYLPTPTCSDVIEKFEWNK DLIGTSSQAAFKIIFGEITTLKSMTNIQAEKTITAAFDVLKDPAAEGINPQEALALYV CEADKDHGRSVYQKLIEYGYLSSLLHPIAHKMWGCVKYGHYYDSAGNNPLHLAAKSNS LQVVDFVLRLIKDPIEIKMALEERNDSNQTPLDVAVDERRPSIIRRLKNTYRTMSNFV KKLDPEAVMEEKRKQRIMTESAALFCKPVLKMSSSDYDAVQTALEKGREVSHIIDSYS KEELSKASVQKNLRAKRKDYNDKKEEMLHARKNQKIQPHNPVELSESILDDEVIKYEH VDHSHHHQELQDIQGEMVDDGTENSVENDFEKKIPHEEQSEIENNKILNSEKSEEEQN TKPKSFLEKVLFWSIIIFCVVFTVVLLLIWFATKV cubi_02494 MNLFLRRLAPLIAILITLISQVLTEEKIEIPENVTELNNENFKE FINENNLVLVLFYDSSNTSKRIIQGLGDVASNIIKANGTGKIALANFLESSIAQEIYI RSPPKLVFFYGSTDKYEHYPSHLSGLEKDSMISIVLSKENVLFIEHDSRNSESLEKAM KEFNLEDKIYASNLPVLVYYGKPNTKRTEVVKNTLKVLRENGVPIKAFIVYVKYKADI GLHIYRLPEMEKQNSMEINPKELYVAKKRILGLLEWNEKILSVFVESCIRPYVSFKGN ELMYIHESSNSLITFLKGSDTLDQYGSSNIKYLDHESMESLRALAKANFFPEDPDKDL MVGLVLPGLEQEVENFTGFYRNVHVENGTFLLKLRKSKFQLENSGNSNKYLLTEKEIK KHGFEYLISLAKNGNIPIFYKSKRIMKHNKVSASVDQYFTTPFNYLELNPSSFIELIQ DRNNALIVFFYTEMCSKCNELLPFWNSFSSKIASNQTKESKFRVFVSAFDLELNDNPI DMKIEEIPAIYYFPFGENKVSKMSLYTDDLTLEAVTTFINDRVEQVSEASSQDHNYEA QQSISAHSNVKDEL cubi_02495 MKNFPYLIVLLVFETLVCIKAETIFPIGCPLNGVSGSFVNVIKK DNCECEKEVADTEDCLDLLDEFHESIRLQDLEAITIMLNKLCVNNLNTVSNSTGETAL LISSKQNGYISYTISLYLIEHGADVNMVGMNGVSPLINAVKRSNLPLVALLLLNGANI DYSDNRDLSPLFHSVISRNLKMTHFLLLCGSNPNIPDRNGLTPFYFLLKDGMSTNTEE FLELLLDYRASITDLTLDGKDAISFMIESGDKQLMNKLINHSSFSKYILKNNIEEEYI SGNGKLPANSTLTEINRKLANARDKILRIITAIKRGFDRKVIFSLLLPELKTRNKDIC IVRDNEGRNLLWWMTNIGDEELIKLLVNFYKIQTSSGILDFELCELHEPDIYGNLPIG HLIYNIDYEQEKIIKDSKEMKKEQSFFSKQPTINTRRPFKVSDSLEQINDFKLLSSNE NKLAKFQILEEMLMFQNPKTNTTLWYELVMSSSNDITGEQKIIEYLSSPAIDFYVNSF IVRCFCKPLKRYSIPTPRSIPIIGTLEYDNSISILSLLLLLNKNYTSKVVLQSVLREA MLQSFWCIHALQHALITATILGKDDIIRDILLGRVQTLSEVLSSFDYSSEMSIKVASR LLGSLPPEFPNLDDLMAIPVTFLVRFIILSNGIEGANKGIKSNLEVDLDENELDNLDK MNNIEHNKIFQYIIEKDTNNNDQERDQNLSEKQENTTFINQMNYKGSMENYYINPEIR HDFFLQYQFDFTESNKAIEKQEKLDEQREFEEQMKYVRSEIRRGYFEEDDQIFQNDTS SDYESIFSNFDAIFSQKTKLFNNYTEKNDDIINPSSSKAGTRKTDSIAGALNLLKQLL SPEMTKFVRSSTFEYIIKLLQNSTCNYPRNSEAELLLEENEGLSEMKAFTKFKKRLEN LNYQDMNINTQSNHLNEKIQGILDDFDYFGQDDMEATSSHDNGIDSLYKSMDKKMVRR KYLGNCLVELPSICNLVLTKEILWVPMILGQNQEYDLDPSTTLLIDSQCMDDKNDCFI PSLNSEYQNVHLGYIPKIPHKANITDLGSLISRNEFYGMIKKHSICDKSSSYTTFEEL LAKKATIITLLNTSPHNHIIPSAIWVYSAILLTSLIYILLWATVSYFKVLYIENMING WSKEKIRKRLDSMKRSKRMKKKQKKRENKRKPFEKSNENKNFAIESKSKIQIFTKNML RYIRKGLLNTLPYSVFRLRDFAEIFPLSGSGKVLDSVLLALSLIFTSPWYVYKDHSSK ENLKDKRSLNTIRTTRSNFLNAPINYFRQISSQESTNVQFFIKYLFFMLQIISLIYLF GILFLISEYDYIFFLTGLIITATLCSSVRIYYTHNFVSSYCNKYFCGFSKEYYLYNYY YYKKSVENRKNIRNIYSNLLKSAINSNNLIHSNQIGQNNNDNDFEYDNTINKHSNNEV GINSEVLIYNNQIKISSNIISPKKYSSPKSHTKNNKLYMDIIPELHAQEHQSSGGNLK PHLNKGLSKSSYTALNYYNSLGGVNHIHNNNDANNSSVISQLDSSTSNISSPNTTWLN MLGISSSNVTNIDSITYSPLNSSSSNSLINFKNSLAYDENIISDFFFEDSKSTDSISE IFKEEDYIHFGRRKRSNQDYSKVKKVKEMKKSSIKNKKYMNLRNILSIHSGVLTDGKS NHKSLKSTLDQSYRSPSIFKTDKIDVNTDLNGSKFSSADFSKPSLGITDDKFYSFLNG PAIRINQNTLTNKRFRSVLSNYTNRIRFSCKVNHNKEDTISNESNIYTNGKRQKRIPG ISNNFNYYKNYNHIKKPIKLKKFKSIISSTDDHLSCDNIKTNIGNFVTNNQIHKPLYR LVDEVSYNTPHEYFNVVIICKLISCMVAMSSNISVTLFKSNSYLIRDSTLYFLHNRSP MTVYIIECIFTYWISNLIFEILKGLIILLFFVKQRKRLCGVLVQHIPTQFKYSNVQEF IQIVSYWRSAIQHILYKNTKLWTTFLNFTVSINTLIFFGIGKIMFFLIYNKKNKILNT QVLDLSSSIIMAKKNSGLNQNQNTLWSFDSISNFAYSFDQDVSKLLFTSSAPIRKEGI STNLLNELDSGLTEDHYMHKFYKSRPVSANNHQFMDSISNIDNILNSNIKDTYIINQY DDLSMIDFNSHYYTNGMEGTSNLIDNINFIRPIHSTINNPVISSSLLTYSNAASISSI KTTALSFMLNIPQYAASYLSYQGSISSSSENNMVNTYSNCETIFLTMGVVMFIFSSYV IRLLYQINRSSVRTHSWLQNILHRTPPGAGIYIVIEKVLLVINSTPPVLKICGFDITE KLSGFFLYIFTPIAILTFSRFTTT cubi_02496 MPKSEFVVNWNSLLNSEDSNDEKAKSDSSNTGEDGSSGSESKHS SDNENKEEKNVNETRQKNTNNELNDKVEAVNKREIKIVNVTLLEVFSKLNLKAKRNLF DFVEDRYLLELRVACKLLNRYCDGYIRYSLKNCYFFNVSLTRMTMDFWFAAMRFFFLE AARNLPLNRVKYGPIVAALKHNHKILPVSVDQMKSHVVVTLDKLLPEHIVFCDHLHPQ PHTCDTRLEIKGQSKVFFDEIDIIKFVKHYYMHLEVMDDELIRGSRQLTKYRIAMYFY EFLKSIKMLTEKGNGVFKLSRIEYLVNTGTILRHRIWHQLYASWGSSINIKKPNEGSS KNEDNNSSNKSSENHASFSESKPKRLSDGPSRITKTSANKPTTSNTQNQNGSNNPDDL VIEVFLTIADHFQWDS cubi_02497 MDSQRFQVFVQGVNQVFATWTILNLSVEGGWSGRFANKKRDELI QEVIMAFQSGRKLNSNDLANFLMEAMETRFSTIVEDDSDLEVAELICEMYNQCSKGDY SLVEKIMNIQKAPLENCKMQSYIVDDNGMNLSDIDTDESGEEI cubi_02498 MKETYIELAYQIGKSWETLSKFVQRSINAISNLLATLELHFAND IICNQTSEETLQSSKFIVINDLLTRIKNLSVELSSYVKQFFKEHLSNLDKTIKWINDE YSTFSHYNSDKDAQVASMKRVQVLHNSLKLCTLIKSNYNFVLNFTSIITANIDDYVQQ KLIRPTTSYSKDSSNCIDKKVLEEISKITSFNCYNCQPELISISNYLEKN cubi_02499 MTTVSEAVRNSWMHIFSFDSAIPRSNQRETIGEVLKEINRIKDE MQTLHLRFSDIEQGKLDILSIENVESQFEKLKQQLQLHTLLISELRKYHTEIEDLKNK EEYRVQEERELKNEIRKLKNDFHLLSETVNSRNSIQNSNIPSIISKLESLQIEFDSLN NRMIKSEKTKTEVEALKRDVENLSIMKKSYDDQSFTIKQMKEDIHSIQSVIHEDIPQL KNEIQRKMNKTIQATNELKENFGELKDQFKQITEERRHDHNVITQIATQAEQLRIQIQ TIKMSQKDSSSSKMRQEELVNQCVKNIAKLAESVNNLQEKISNHLIGLSMTKSANSYS VVGSKSNSHIKTPIVINKTTPKGNYYEVATNGSENYKRIACKSKNSPRSHKYSNNHFS LPDTPSTQAPPILSSNSAGRLSSFFGNRELSGIASSSSLASSNYIESSNIDSINNSRP TTNNTCDQEEDIIASSTIEEERIINKRRVPTDEFMQDCGLKHSSASFLEIKSSVNSSE ETNCTSSGENFLIGNNLLHPRSDLVMMSTLTESNSKLSSSTNSPKKSGERSKITSCNG NHNTSDFLSHF cubi_02500 MGTNEVEQLILTLGKEMGKDEEEMKKFVEIIVNKNWYDRVELLK SITNEQWKTMNIPLRLVDMIKKRVSSEVKEFEVEEDSNEAAKQVLKESTWEFEYLTPE NLEMSPSLYNSIESLVNIPRDKLKGVTDLLFKIIDSIIKEPRKGKIRRIKMKNPKFAS TVGSFVEALNVLKSVGFVQVSLKTSEIFGNSEDCSNEEQEEYIELPVAYISRLTDCHH LLAIFCERNKIDYPKLVPCDLNSIDNSNENSVKISHFNPYVSNISSTSASSNNEFSRN LMKSAIEERRQIESEIKKRERDMENGIINEFNPQDDGNIISSKPFVIHSSNIQKFDNL VKEINDIDSQEDLDNDVTSHFEQSEIQRIKDSILGKAPAFKSRTNEYLKSLLKRKVHS HSSIRVVFPDKYILQLQFRPNNTTYDLIQSVKDCINPNISSMNWYVYQSPPILKVNPD KNLTLLKAGFVPNAQLYFKLELPPNHTLKGNYIKAQLLNEDT cubi_02501 MLSKRKIGFIGCGNIANAIISGFILSKKIKSNQIFLQDPDRNNL EALKKKFPEINISESLLSLVINSELIFICVKPHILGQVLNEIKNFNIEADFKSGNKVL ISVCAGKTIGDILREFQSNDIHCIRVMPNLTLSICQGSWLYHENPAAHFSNYKQNNSN EIIEILSSCGTVYNIDESIFSHCTSISGCGPGFFSNIAHHFIESGVKIGIPKDLARKL VIETIYGTSKFLFESSIEPRVFQSKVSTPGGSTQAGIDVLEDRLIGDIFRDCLQSSFE RCIQLSKN cubi_02502 MFMKINLKSLVLKTVCIVILEYLIISFQKAYSIDTKIDGKIQEK KDDPVAYVTSIPSVLFNEMKNPIAGVGDICIGNNCNYYQESKENRQSVVKENDYLTSF KVTRYICILLSENTLNREKEADSLFERLNEYTEISELPFSYVEFYEKLGIFIIDVRSS VKEYEERSIIPLAEGLRLIRKLDILNKADCTRDSLLSEQSVFTSENQGKYVDSYNNNK WNWNINPNSFGNFNRFLNSQDIQRNGTNNQQIDYLTDEAKLYKELDGYFLKKFNEASN MLNRLNIFSTASSSSNSNNPSSSSFSRGRQSSVWNALSFLSNFSNKEDKAKSEFPQEI EDPIFGKMDPIDSNSKLTISPLYLLGMSLKGKNITELSQKFKNQTSPILPVDMSKEDV SLDNDLAMNIATVIFKGMSDSSSKLPPPFGNPAIKNEQKISEYSYNNHINQLNTNNNY YNSPSISSWSYKPIPQGNNNVVGGYENDSQLNIQSDNFKGGNFHPSSNNNGIHPTDNT IVGFNSVPQTNSNSNSNKVGIHSQSGSGLAPSVFESIDNWGKQNSASQQNSPSSALPP PYYAANGIKTINPTLVNPNNKNNPDIKVPTINSPTTSEWMRKTISKQNESGIKQPLLV NSQNNQTEINKSGNSMRYSTPPLINSNVNHFNSPLSQFSKLSNNPFHGNSVGTTYIKM NGSVFDDPNDITSFHPEGFIDKLPEQVQKNMTKSQREKINLINAFIGTGFDTILQMNN IDTGSAYNTQSHDSFRSPSPKRRLFEVDSSLKTNKTLTDPNIKNQNLNDQPNREYEMY ARLLSNIDTNQGQDTAMAYVTGCDCDRFPEGVACPMVLSGEANVECIGYKVWNSAKKG APLSEVASAIDSAISSKARNIILPLKFDSKTIEENRAAPRILRHIMRRTSKENGILFA LPTGNNGRQELDGSLDFPCDDLTGMYAFCVGSINNKNGMPSDFSNVPQLNNAIYVNGE NVESIGINQQRQLFSGTGIALAQSIGALNIIQGITKDKTSSFDQLAILYSAPKRLPSR NFLEQLFANSSPIIFKPQQQQLHISQSSKNENNSPDGVLMFGMGSGNNSNPNSVSDSG SHERIMNSNLMSGNKDNDLDAIMARIESIEKSLIKSGLDGNTVFKADFPPGGSFSSSS SSTTTTTTTTTTTTTTTSTTTTTTTINTTTNTVTAVDGNLFNATDPSSNTSGFSNNIS PNSSDPSKDISSSNMTIESQISVSDGNTTNTNSTSFKNSNTTTFSNTSTTSNATNTAN TTINSSTSFSTTPTSFFFTDPTTLTVPTASSPKSRVQEPNSASPSSRFDQQEENENRQ YKESNYSPEIIGVVLDPKEVTEVSRLVHSSNEHYRQYNERYNSQGKDHEYEYDDAYRQ YYIDENEDKDHKYDDKYNLEQNLSKNMCYEEETRFYSSLEMAIPVENIEQCILSCSNT PDCRYYSYGAFLRGPESIIGTCILMPDKQGSVSLPNFQSGTLGCPVSASIAYAQAMEE RLIQGISNAQKNSQKSSAQVNSNLNSDWPGILSTSTVAVKSMSGFLSRFPLNDILQTS ISLYNSGFLDQKGALRPSGAFEKQSILPPPGVGSPHVVCTLQGMQCCVPQKSAKGWRK KPPSFLRVEDPSCEAIYVSKTDMFCATTSLYDNQDISSSEYISPDDFRSKSSFPGNSY NSNPKSSGNCGMVIIETSEHLIFQNTLKMPSKEMNNALCECKLNKRDKSIHGSGTWEY SVQAVQAAMSRKVEGDQLSSGVAQALAWVEAVGNDPNPAVNGLGELISQVAQRPNSDV ELITQIPAISQNAVSNMLYNQQQQQIEEQELMMKKQQSIQQYNNMRNLLIQNEIDVNN TKIQNQFDSQCLTSWPEKCQIWKSKCEDNLIYGYKNPQCTPLTILENGCPMKQVGFKV NQINPGILSFSFKPMKFSDNSPFRIACQFSLIKCSLANIKNKCKIKNNIKKLKNPNED DSNNINSNIRKHLNTNKNSSHNNKISHKKDSNDKAKHNDLKHLFKIPINYLPYVPKDA EENNDKNSENIITKYIRVHFPQAGNFSNMTMVKLLNKETKREFAAKMINEEEIPKNNT IEDVLIDENSNFEYLGKVEIVTQDEQSEDFPSFISEDISNNINKSENDIENKPIVFSH ILKTTNNETKKYKEPLLLDKYQPDFSGESFPEFKMDKVVISNEQPKYGYLTGENFNSS KSSGFESKPVMNKSAENWYEYQNGDEYSDEYEDLYYYGFENDHESNSSKSQISDFIPF ISSNNKNNKWEVQINKSSEASRDIQSLITYQNLIFFVISCSMPIILMLGLI cubi_02503 MLRIDRQGKKGASQPLERNIMKCSSEINLSSFAFLFSEMVQYSL SCLKPGIRLEDKLHEMGISVGYKIVELITIRDKAHQKRETRILQILSFISQKCWKYLF GHAGDLLKGQESDDEYMINDKNLLLNKFISVPRDLEHINCGAYAAGIVSGILDSSEFP ANVTAHTTEDTPNNYSTTILIKFEKSVLLRDKSIQSF cubi_02504 MNEERKEKPEWVQIKYEELPEYIKKEERRMGAIFYQEFGICIIR LWVVHCKNAWLVNSVTNEKFLMENDDEVNIKRAIINNVELNDKYHFLLETSNGEQITR RDPYARFTDYETDSCYVVDILGNLKNSSAKYFKTQELNHLIIYELHVESYIQRYIDYF SVKTEAELKKNPSFFKQIADYGLKNIKDLNFNCLELMPVVEYCGEWGYNPRLLMSINS YLGSVEDFMYLVQKVHENDLLIIVDLVLHHGASRLNSLWNFDGYNHQGGIYFEGGGDT GWGAKFNFNKKEVQDMLYEACKVFFGEYGVDGIRFDSVHNMPNWLLKNITSKLKKEFP GRFLIAEVVPENPKYLKECGFDSCWIHSSYYDIISQFRNQKTQQEWNCGYSKSLIEGH NGFDSPGQCILTMLGNHDQIGNRCNGGVPFGDDRIGRYIVEQFGGRENWDARAYCRLL YSLSCISFGVPMVFMGTENLQGKWWSAKDKAYNYDWGLIKNNDQITQQMRKLVKDINL LKSKEKEVFASNYNNFSHFNVNLSYLIAIFLRKSKDQAFLCVVNMDKSEWLDNNYRVN ISRDIIQTFGKALKQEFNSQSEEYGGWEGSYTNPKKKEIYYFQENSAENNVSYMLNVP KYSVTIYRFCKI cubi_02505 MNQSRNNSYKFEQSNLNIRQIISKNIYPIKVLLKLYKPLNVPKS IFKLETVVQKNNSHGSSNFSYVKNTGSNGQYFNNLERKNKNGYFNNYNKTSGTFESNQ SPPKTSKVSEDTGASGITDGYSWRKNKPITIESTLNQECAQINHNKSCRNNEGTEVIG SFSALNRINDLEEDMSSIKKILNKRFEKESIDSEKFIRNKLVMALEGIDYKNSQNHNN EMLSGIVNDNEKSKILFSDKLIETGSNLTDEMAHNTLCNDLKEIQLSNNFYKGNYTSL DSRLFKSNIVKNNILNAKHLNNSRTIQNVNINNDINNFNDNSKVINNNINLMEIETED SSLVNNFQNNHSNLRIDSHQFIQNNQNNIGLEIRQEIDVLDNPMWLYKESGSFTVNGP FSTKQMSSLWKSYTFTHKTLFTMISKYVWGPINLFYPDVKSTFTYIPDLEVISQRLTD VSFQNDKVEKIKSEDISSIISEINELQLNVSDHISAPKLINNTINSEKVNKNCNIEKN DSNTLNSENNHPIEVQELKSNEFVVESQKNEKSCEFEPKIQYKSTKVPWGGVNSDNSK TKKEVINFQDILRQEEISSKEKLKIEAMNRANDLCNSEALNIKDTPKGWKKIIPKEKS TVFLESINVQDPQGCNQSKQSFAKVPNSVNLNINHGNKWKGWGIKTGEPTNTELFESI TLYSTNNFSKNQKQKNNQNGFWEMCNSDSLQKNSVLGNSDHNVTCNKVNDSLALEAID HKAECNFDEIDKAEMNKDFYDKESTFTTTNKPSNHKNKRKKGKKVDSSLLAFGIRSDK PRNLNYDLD cubi_02506 MSYNIDWSREESIDNIGCSYENLNYEHRSNTASNHGKSRENSMN VNTILFEDHFSPRLSEGLNSMRFSELGDMTEEEWNKQQQRQNSQRYDALYIVSEREED IIKMKNPRDKLKFKVIGNEGTKVNDSISDTTLGYICSLIYNFRENNTIRSKQSQLTLW EIMKQFYLVNNLFFILKVLKTLASSNRTHRLSQDMGWVSFMCLLSTFLTSILAYLTRS FIFYHRIDDKYTKKLFSPFHVYSQIRRLGEEMPEALFMLERVNWTYTLIMHILEDIPQ LFASSIFLSYYGNDFYAFFMITWSSCMIITTSIRMGISYPLIGTLSLIFSRKPPVDSP TLNEATTTTLHFPLFMAAITFIWAVADSLCLYFTHGFWTILFYFGLTANILASTLFIL YYVHLSKQASAYARQLNSLYFTEDYSRIQSRHF cubi_02507 MVISKYFSFIEGKEIGTRTRVGTGSEALVVTNTPENAQKNQPAI KYSWFLEDPNEEDLKGRSINNDTTTQFVNTSIPYKIKDEDYKLTSNVSYNQEKYRDFL YLNQVGILVRFDEYALNVDLLLEGTEDKNASINMKSKHERSLEDQTKENRIDNSSDKD LSLHSLRRNRGKDGLIYSLFQLISQDWLLGWGFICNYFKEFILK cubi_02508 MTATLGINGFGRIGRLVLRACMDRNDITVVAINDPFMDVEYMAY LLKYDSVHGNFKGTVEVSGKDLCINGKIVKVFQAKDPAEIPWGASGAHIICESTGVFT TEEKASLHLKGGAKKVIISAPPKDSVPMYVMGVNHTEYDPSKFNVISNASCTTNCLAP LAKIVNDKFGIVEGLMTTVHSLTANQLTVDGPSKGGKDWRAGRCAGNNIIPASTGAAK AVGKVIPALNGKLTGMAIRVPTPDVSVVDLTCRLAKPASIEDIYQAVKEASNGPMKGI MGFTSDDVVSTDFIGCKLSSIFDKNACIALNDSFVKLISWYDNEFGYSNRLVDLAVYI TSRGL cubi_02509 MEEENQTKELFDLYEKYFFLPKSRLMELVDDFCISLEDGLKNHT NPLKINKCYSNYKPFKMLDSCIDKLPTGKEKGVYYAIDMGGTNLRCVRVNLLGNGQSE TKFKKTKLTEMKVFASEKVLNGSKCSKICQKEVNILDKTVSSETMFNSIASFFNEFLK ECGDLNDLGSININSFPIEVAFTFSFPTMQFGIANANLLIWTKGIETGRSTNDPVEGK DIGDQLNSAFKRNGIPACCKCIVNDTVGTLLSAMYDLNVNNCNINNHFSKVNENISKE VNTLTRKSENKPLVGIVVGTGVNACYYEPDSLNFGYKGVIINTECGDFYSSKLPSTDC DLTIDWFSDNRGKQRFEKMISGTYLGEISRLLIINFLKYKTPKIFFKKDSFKTEHIAE IISQFNDSYHNFNQNHDSSCNQNLKSIENYLRETFSSDFDHNSTHIIARISQMVLMRA ASLVSVLLAAFIKRINKSHNEVIIAIDGSVWTKIPTFQNYVKKSLSSIIQESGNLGSI YFYESDDGSGRGAAVLASTISHIH cubi_02510 MNDNKTEEEDILEQPAFLANQNPLNEEQNMATNVDHEPSWLIYP LENYGIRVQDNADEISSSIPISEMNGFNTKVDNFLKDGIGRSVAALMLTHRYLCPHVV LLQNDLTSEWMLPNCTYKAWENPRIVLANFLKSIFLTSSSINSNSNIANNSSNNNGSN GSSTNNSSNINNACSDNAVEVGEYLGTWWRTEFNYSPLPYLPPHSTRPKETIRIYQVI LPPKLLFKLPKHHVLKSLPLFDLDPNIFGIACGSIPQLISRFQIQSMVQSDN cubi_02511 MMLKFSVFCEGLSNKIRSNSRAELGMEAKLSNGLFNTNAQKVEL KLGLSEGGMKYAEGNYFSRWITKSKYSEVMDSQDLKNYQNSQYFGKIEVGTPPREFIV IFDTGSSSVWIPSIECKHKGCEPHNKYDPSLSTTYQKFGDGSLETYIQYGTGSCVLKF GKEVISIGKLKIEDQSFGMAIEESTSPFADLPFDGLVGLGFPDKNSKKNNIPTIIENI KEHNILPRNLFGVYISRDSSIPGSISFGAADPKYTTGNRKVTWHHLVGSHYWEIKVKD IKINGVTTNYCFGDCKAAIDTGSSVSTAPSNFMRKITKVIPLEGDCNKYLSSPRITYV IEDIHGNDVEMHMDPQDYVIDEGGPSAFNAFSYYWGPQEHFCSIGYIPLDIPAPRGPL FVLGNNFIRKYYSIFDRDNLMVGFTLAKHSKYGPMFISLSSNSESIFKRTIFRLTIVI TLLLFIIY cubi_02512 MSAVRQKEYDYLFKLVLIGDSGVGKSCLLLRFADDSFTDSYITT IGVDFRFRTVKIDDKIIKLQIWDTAGQERFRTITSAYYRGADGVVLVYDTTSTSSFDH IDEWVTEVNRYTTDSTKILIGNKCDLASQKMVDFSTGQKKAKELQVDFMESSAKNSTN VEECFISIARKLLEKKLKSGETLSKPIHSQNIYLNQHGDGSLISGMLSSCCK cubi_02513 MDFVVKLPVELDADESLVNNRKKLLEEWGVPGPYVTLWLKEDLG DNLKVQENLKNIFERLVKLIHSIYISSMTDKDTYLALAYNKNDYISKSTSGKAQVDFP KSLFEKYSQNVTNVPFYNPFVMIKALLLLKNIIKDEISKVNQSAEKPGNPTDNYLYLL NQCLSLVMLSLENICKPSLEDASSLNIEIDSSYFDEIIESLSEGEKHIKHPIVLRKLG VGVHPDHGRGLYSTEKIEADTKIIEISLYHALSFYNAIYSEDFGYIARFLTNPTQYIN EMKSAIGEISTLSNGSEQNIMYEPIDHDSILLLFTVFQVSKGEKSKWNKIISMWQNPT HACNQNMYMAPKEVRDFLSHGGNDFGSRISNSIDELYDLIKHVYFLLDIVQNEAKRLS DLLGDQKVSESISFFKDLDYKSIFTWKKFNQTKYVLDTRSFSIKWWPLNEEFYKHEKL GNMNGLKVISQSNENNDHLELISIPVTDFSLNGESNNLILPVPIDGVRTILPIADMFN HSHLAQCSSPFLDFENNMISIKNEVEIPKNCEVYIRYGILSSSECLFGYGFIPITKPI SGLFDTLTLNLEPEDDDPLYKMKILVLKHSNIPTDHIFSKLSLEKLTNQDLLFKCIDV VTSNDPISTLKNWDKNGGEPNKYSNIDYMQIVYEILESLLKPCIEHHNLLQSYKSSSA ELRPFWFQDWGERAISYYSSQIDLIKLSLAKFKKRKS cubi_02514 MSQNEASEETGFNPRAFPLASPDLNNKIINLVQQACSYKQLRKG ANEATKALNRGVAEVVLLAADAEPLEILLHLPLVCEDKNTPYVFVRSKVALGRACGVS RPVIAAAITSKDGSSLSHQITELKNQIERILV cubi_02515 MMNNNQKCKEEFNENKSSHENFSVNIGENHEIKIGKTNTKHEKS VESEFEISSGEEETPKNILEESEKYLKREVDMHHKMADKMRETVGSDANKSRLQLLLD QSESYTSFILARSLNPIKYGNDDGQIEETGEKVAKKQKLSTHNHFMSKDDDIELFRET EEEIYGYRPHTRLQVQPSCIQNGELKPYQLEGLNWLINLYEGGLNGILADEMGLGKTF QSISLLAYLREYRDIKGLHLVLSPKSTLGNWMNEIARFCPSIRAIKFLGNGQERSNLM DNELSHIDERDLEKGTCDVIVTSYEMLLKERTWFLKRNFHSVIIDEAHRIKNANSKLS QTVRQLNTRFRLLLTGTPLQNSLKELWSLLNFLYPEIFSSSEEFEALFEAQTGEEEQA IIGRFHRILRPFMLRRVKSEVEIDIPPKKEILLYVPLTNMQRRLYKDLLSKNVDALQE KEGGGKLRLINLAMQLRKACNHPYLFDGYEDKSVDPFGEHVVDNSGKMVLMDRLIKKL VSKGSRILIFSQMARVLDILEDYCHMRGFPHCRIDGNTSGDDRDRQISEFNKPDSQKL VFLLSTRAGGLGINLATADIVILYDSDWNPQADLQAMDRAHRIGQKKPVFVFRLCHEH TIEEKIIERANLKLQLDFAIIQQGRLKGQSSVHNVGLENNALSKNELMTMIQYGANEI LKTTNVNITEEDIEAIISGGEKKTESLQMKIQKHIQGSLMNFSLNGSHNGGKGESDKI INAGTTNTNSLYEFEGIDYNEVQKQQDRQAWGKISIEKIDQEREERRRGRLENYTLKL IQKYINRQNSARGLDKLPPMHDWQFYDKKRIMELHKRESRYVGELHAGLIKLSQTSTI PFIDENSLLIPIEEIPMDKQIEDISSEEKEEKRILLQKGFKTWTRREFNLLLRCLETN GVENLDKVEQVLSNKDKNEIKDYVDTLLKKGPEELADWNRYFKRIQSSKEDQKKRDEL NAVIKDKLSTLNDPWRDLDLESLNIYSKQAKNMTFNQLEDRYLINYTFQYGYGSWDQI LSAIKNDHVFSFDWFIKTRSPNDIYRRVDFLIKAFKKRDLSNANTNASGNDPNTTGDH TNS cubi_02516 MFLFGKKLDKQCVEENCNDEFIDIKNKVELEGRSGKPPNLREIG AATWLYLHNMANKYPEDPNSSDKERYKNWIYHFSNLYPCKVCRNGMKKILENNPPSLN DRKDFVLWLCEFHNKVNQELGAKTYKCNYQDLLKKFNN cubi_02517 MEENVCEEAQDNVFEFKVNCLTDGHLKTISMIILIIVSIMDSCS ENSYFTYFSQTLLGYIGTWLSFSLILFFYILGRSFYFKTPFKFRYVLISTLIKYMPIF FTVLLVVVPISFYLMPDFPGCLLIDYELDDNIGFFSFYKLYLGFIRVTTVRNFVRSKL LNKVLFWFAIPIIKITLFPFIISILCSSHKIKMMNHDVRTEVDELCYSESCVQNRLCY NNVELESNGMVRKESYIKELYQDLDQHNSISIRFRFFTLLFTFVLFTLAHSFIMVSNL GRAFQITYPLKLCWVYIFCLSLFGVLDILTSLMNNYKFALTFHCIIGFFLFATPCLFT YDMDNLIAKYYSFSCFFLLGIWDSSLEFITGKGAFHHFKLSTLIKYFLLSIFLYSVAT GSKLLWLYDVHYDSDLTPRLKILGSLGIAISIYYLSIKKTILFKKKYQWIYKLRSKYS MDPSVFCFLNLIPSKKTFWKILKKDSFKLLSFVPITTSGITYVLSVKLLFGAFESFLT VLFVSPTLTLFIMNIPQTFSHIYTLYMKEKKQDFFFTSTNN cubi_02518 MRSKTSIEEDNKAEKYHGLKSPIGLTFLNGLAVSFACTPLDVIK NYWVYRNSNEIFNRELCADNDVRLHQAPSNINTLKIIRELYRHRGMRTFWTGLFPTMM FNIPSNIIFFNTYYYFLNAMGFSPGIAGIQARTITTLFVCPMEFVRTRIQAQIGNELF LNLNGVGAKVYRRNAPLLDSIRCINVYQLWSGLWITILRDVPFTAVYWTLTEKLRIIL QRKMDSGPKKTIKLFSIAAFSGTVATLVSHPLDIIKTNIQTHSFNHNLLGKDPISARR IVSSLFQRRGLKNFYTGVFPRILKIVPSCAISLALFELCRK cubi_02519 MREKNSRRKIEPVYYSQSENDDSNEDIDEIESVVSQNEFTRKGE GIGIQESSEEINDLVESIDLTLVVWLREMYCKYNQPYLTCLDCLEHSNYTTRLAEELL ISKFGSK cubi_02520 MRRSESSSVLQRYNSRRKSSISGGRTASASMIGTLTPKSLSSMK DSSKKEKSTWSRIFSPLTFGFEKKDFSYGREESSYNLSRRRTHDYSKTCPSFHRKGSL RPSPNTQVRDRNTSNHEDNRSSLQLSTSGTDLNLDNDILGLNDLSSCVFEPVLGQISK SNNISRVQAFSQKDTTGHIQRSKTAGFFKEDKKTNIYNVLRILRFHDGISGKTYKSLG SRTTDSGGDTDLTVKRKITGTGSGIGLGSSTKNTSNDTSDNNFIEIEHNQIQFLNKNN FTANRNTSINAALSNKEFIQGDIPYCDTILYLDDPKPKEYDTSFSKLHDNSFYKDSFN NRFE cubi_02521 MQNQKSVIEVNRGEVGAIHEEFKHANSKYMKNNENEGESEDDDI TWSQVFNMMYNDAKEIISPISKIVNYVAPDPNEEDSRQARAAFEEKMSKQVKQPIRKY KIGSPGAIHMYDKATGEIVSIPRRENLFDQQSLGADGNTVLIKKRGLLGNLKEENVKD PMEIIESAQNIESFTGTVQEIEKEKGRLGLGWQIEGDQSGSYSDNSGSRRHSSPGIKN TPSTQCNTDSSPCTRFEEGRNGKEERDRDIYEDEKSDQVDKEDSEYYDDEINQGMRKL HINNQQKGKGPGAELVIPRLSLTEKRRSISKKCSEEDLATDKDHALYRMHSERRLNYY KNRSDRVPHGNYHSHAYTMENLASTIQRPITTSREEILKLYTKEELNKIQSFVEKANE LVYEGPLEKKNSWSWGHKLRWVKLYKCEMHYFLSPKESNIRSKTPLGVINFKVAEWSL QISDSDERTFTIAARGNTSNGRGYQWRIPTNSEQSRKSWTTRIEKILKAAAFMQQLKG IIRIPA cubi_02522 MKVFNFLLTVPFIFLIYSQSIIAYENEPVPHTGRKGLTRERINH FERMIKENEERSPQLKVVKRSKPYYGKFPIDEENISTQIDQRGDISKDDIDDIETETQ AQTDVEVEDEFDPQEIATRSFIDNISSDDENQSDVETNNEDINTRNVGEFDVNQDEVP NNVDQTVDDVNVGDSVNTKESADLNTNSSVKDKKKKSWSFPSVTFAAPKNQEKMDDKN KFDGVLEKQKLKRDKKEKKLRKKQEKERLRQDKEEKKRREKEEKNRLKEEKNKLKEKK TSKFDKFPKVGFSKNTSSDLKDDENKSSCSSKKGKKNTQGQTQTYEDTLEDRLNSQEV VFEDIHDDMSTVASENEIFENLSSNDIEHVESEIDIKLEKPKDDLFDSYSEDEESEIK ARILAGNEEDFKTERISSEEAIHDSDSEYFENEDPHIELDEQYRFSKESEDIISKEKA VEPLERIDIEELSEDEETSNVSEAPALSSYSGNNEEEEEDDKNIQKFSNDSELSNDNL SEDPVIANHSIEEEIVIEDKKDMDEDFSNKDPNVEETGSKNKVGSFFGKIKSFFKRKP FINLNCRGPSCMKRLSKKDEPKYSNDFIPRIYLLSRDVPKEDLYRVPEIISSLRSESN RLVFAYQLMDGSLIPSSALALNSKSFVVRRWGKKVQTKLTKLRSEFDNLKSRLSSMYH EGVLKGEFELLIEEMDDLTIMLYLIAKEFPRKSQFANNAKDILRYPEVVSTPGERLIS MFRKSKISKCSKKSCEPKPERSESDKLAIKALKKRMKFIKKRLNSLSK cubi_02523 MDPSTSDITSLDKEPQSNPNISDLKKSELEDDDDKRSIGGDSIT SAISSSSSGSTSSTSSTGSNSSLSSLKSAGKKAAKKLKKKKKALKNKMGASGSYTLQG NSDDSIGGSRDIYTERYKRNSEKEYTDIDPNENDDTQSIGGESITSSSSSDSTTSSDS TTSSGSTSSGSTSSLSSLKSAGKKAAKKLKKKGVDLKRKLSKSTPNLKMGARGSYTLK DDSNDNITDTGGKKKGGLFKKLGKSVSNFKLFKSSDSKLNEETESKKDKKGKKKKMPK LSNRLSKSAPEIGQDESGKKGKRKLKLVKGLFSKPHKKRPYSSKKDDNEQSEGDEHGE ENQKKHKKGIMSRLGQSMSNLTGKLKTKKSDKGDKHKEKGKDGRKRKIPKLKIFKKKS PKPDIEPQIPISQRGQPEHVHFEETREADQYSSEDEDGYQTIEELGLKREEEKEKPQS DNETKKNPEEIEALYAKVNKLRKQAQSGDETKDDETKKDPEKIEALYAKVNKLKKQAQ SGDETKSDETKKDPEKVEALYAKVNKFKKQAQPSENAENVPKEDEESPKEQKSILEPT SETESTSATTATSTDQEDKKTPTSKTTPSSKKPKIMPKPFQDPQSSPEESPHPFKLVK LKKVEKPVNTFVVKPKKSSDDQDLSSSSSTTKKTPSAPEESLPSPSEESQSSPPPPPP PPPPPPLPTSLSSSSLSKTKTTSKPNLESKTKLSSDQSFKEEPLKLTPDSLKTARKSL KNVSEEKKLNKDSGSSSKKVERAGSNGSLMDSLVEAIQKRRADIDNDKEETEQTSEDE WM cubi_02129 MTNSSFIKLFTLLLTTIIINYNFNQYFLIITNGFDNNHNEIQHD ESTPCGHNSLNSHGYYYINKENNNQCNYKNHDGIQHDESTHCGHTSLNSHGDYYINKE NNNQCNYKNHDGIQHDGTTPCSHSSPNYIGGYCIDD cubi_02130 MIDKTYLNHFPDSNGFFGKYGGKYLPETINKAMMEIEQAYNKIS KNENFINELKKIRKEFQGRPTPIYYAKNLTEKYGGAEIYLKREDLNHTGAHKLNHCMG EALLAKYMGKQKLIAETGAGQHGVALATAAAYFGLKCEIHMGEVDVKKEYQNVVRMKI LGAKVICVEFGAKTLKEAVDSAFESYMKDLDNSFYAIGSVVGPHPFPKMVRDFQSIIG IESREQFMEMNGVLPDIVIACVGGGSNAMGIFSGFISDKQVELVCVEPLGKGNKIGEH AASISYGSEGIMHGFNSIMLKDEKGNPAPVYSIASGLDYPSVGPEIAYLNSIGRTKTV CITDKEAINGFFELSRMEGIIPAIESSHAIAYVLKIAKDIKGKKILINLSGRGDKDLD FVVENYGYGDN cubi_02128 METLKTQNKFKTTLADIEFLKITFQQLLKESLDLIRISAPLFLE KSSGLNDDLSGIEEKVSFEFNNKTLEVVQSLAKWKRFALKKYGINGLYADMNAIRKSE KLDHLHSIYVDQWDWEKVIDLSQGRTKDNILDEMAKIIHNNVCNLERLYWNMKKEKEN INIPNENELIKKELFIISSEELLNIYPKLSSNDREREICKKYGSVFIKQIGKKLSNNT IHDLRAPDYDDWEYNGDLLYWSNSINGPMELSSMGVRVNKESLIKQLNICNCDNRLEL PYCKMILNNELPQTIGGGIGQSRLCMLILRKEHISQVQCSYWDDENTMEGTL cubi_03074 MVNIKVSSSAIALVAIIMNPLFSLAFKSSNRLEMRIESSGAVLN EKVATPSLPSDLDPVTFLLVDSTGKKFSPYNGKHANATTTSAAFSAPFDLDVSGIPIE PSTKKMVDPVSLMLFDNSTGVMYDPNTGTVLEGSIAGVRSDSCSISELNFTTTTGFST DPSMNWPVSLTSGELKNPNKETTISGSRSCGWKQGYSTDSSTGFRIDSTTGLPTDPYS NCPFNPVSGNLVNRSTGKTLPNTFAGVYRSNETKTTEPGANTNFLLVDPKINAPCNSE NSFEKGQIYDMNEKVYVPFTKCVGYNHNNKGYNHNNNNKGYNHNNNNKDNDHNNNKGN NHYYHHNNNNNNNKGNNHNYNNKGNNHYY cubi_03075 MSESIKAGLKPPPPISKNTMIGKSPKDLPGPKKLPGAGLVKINS KPDDLPQLKPPAPKSQVTKSETPEPKSVVLTKLKASIKPITVKKVGIDAPKMGLPNKD DSSTKQGFPKMPISKIDSSKSVASLEKAPPAKSVDLASVPSKSEVKTTPTVKFQIPVT DSSTKAESKIEQKLESQQEPSLPKCSEPKANLIPSKEQTPNPNSVPNKPPVPSPSNTH APASQESVCSKNPINKTNVNSGMNPNSNFQNGILPQMGAGINGTPNFQNLDPAIWQMV TTIAAICSAACQNGGRSRNRHCCRKHHSRKHKKETELKDVDSNSSNKESIDLNELQVD QTPKESVNDNNKKTGERKLNQNDNTVSGTKWHGIEKKIATIRGLRDLEDSDSNFDDDE LAVSNALAQGIGQPRYSDVVEFPSEIPAFNGHSKTRRYLAKQGVCTGPKFSKSPFVGG LSAARRRPYSSLTEMAAYFSNIEPQDQNDVIVMLLACRKLEKQIEEQQVVMQLLEHDL KEAQSLLRFPPEWRSLNSNEVLGHSPLPAGQIPSTNDPPYVSNHPNIEPPWVNKRPKD GLPSRASTKL cubi_03076 MHLRSIKKIDQDPHFNNSCLEMSIFKSLIKKSTGLSSEKLIGSI WDERGIRLPLISIFLSFLFPPIGCITFLVMSRAPKSSTKYRISVIALYLGSFLSVVYT FLIAMFLLSITGPKRASYVHTGVAY cubi_03077 MPIQITDLRDFINTSRRADAKSVTVVKVKKDNHITTKFKIRCSR YLYTLVMKDLEKAKKLESSLPPTLKKSTISRSVKK cubi_03078 MEKVEGLYQELQLLVKSEQYEAATHICDRIKHLGCTDSEVLKTK IYCLIQRKMWNQALLFIKTLEKDGVELPFEKSYCLYRINNYKDALETLETLGDPTKML SKLENRAISHLKIQILYRLGRFEECARLYSLMEPIISKENNLVELEMLEVNQLAVSSS IHNLKKDFRDFDIPDYLTNSIEYWFNRCCLYLSNNDIDNAMTSLEMSESLYNSLLDNE ESEDQQPPNPSDECSLMLMRAYIMQQIGQNEEAKLLYEESFKKFGLDGVKLEPSMVHL GVVAYNNHFLLSNNNNPHTYIDGLKRLSITSKDHIEHKMTVNQNFIISLNKALLLYNE SGFKHYIKEAEKFSVDNLKLELFKIGLFLLQGKTRKAVQHMDFVHNKNPDNIAFSISV LKILLKLKMINNAIKILDSIIEKSLCNFNKWNISPELFNEFLTLTQNILIEKFPNSPV EMIRQKLKLILNYAISMSPGTESNSSLTSLLCVLGKHLLMVNLITEAAECFRFVLEDL ADSNNYSALSGYVSACTLCGYEISRVYMRQLDKKLPNSIFSIDAEVLEKMEAPSKVSE KDNQDTKSMSHANLTIKTKNKRKKRKPRYPKGFDPLNPGQAPDPERWLPKEQRSSFRK LNKNRNSKKGQIEKGGHQGAIPTSSIETKAAQPSTAKQVALSSSLSNRRSHKKKRR cubi_03079 MSTTYFDADCDLEPSLKSLFYLKTLKWIFVGGKGGVGKTTTSCS IAARLAEERESVLILSTDPAHNLSDAFVQKFSNIPTLVNGYKNLYAMELDASYQQAVE FKLKEENSLFSKFLPDLVSALPGIDEALGFATLMQSVKSMSYSVIVFDTAPTGHTLRL LSFPSLLEKGLSKLFSIKQNMSGALQIINSFSGNAIEEETLNSKLEDLKAITTSVKET FQDPSKTTFVCVCIPEFLSVYETERLIQELAKQSISCSHLVVNQVMFPIDLPSEGVQG NSLLKTPPELLKLEDIPSNHSELVEFTKKIVQNYNQLLSYSKSLYSKYYSKRNMQLKY LEQIRDLYSYDFHVAYIPTLNNEVRGIELLRYFGSLLSEVSNVEKLLRIEDDFPKFG cubi_03080 MRSLLKFILTLFLAPLFVTCLYSSSSQVKVINGAQLKKLIKENP VVLVEFFAEWCGHCKAFAPEYEKAAKVLKGIVPVVAIDDQSDMAEYGIQGFPTVKIFT EHSLKPKDFTGPRKAESVVNAALSALKDVTNSRLNGKTSSNKSSSKSKDSGKNSRKSS VIELTDNNFEDLVIKDKENSWFVKFYAPWCGHCKSLAPDWEELGSMADGRVKIAKLDA TQHTMMAHRYKIQGFPTLLMFPAGEKKEITPVHYNGPRTANDLFEFAIKFQSSSANIK QMLSQEVFENTCSKGLCVIAFLPHIADSSDSEREKYLKIYKDVVSASAAMRIRFLWSE GGSQFDFEEKLNLAFGYPAVVAINNEKQRFSTHRGSFTVESLNSFIIALTTGRAPVEP LPKLPNISKVSPWEPNKSKQNSNDESGSKTNRQSDEL cubi_03081 MDEDEMAKDNNDFLQFRLRGRGMFSGGPNSPDNKNFYNESKNEN EILDSKVDLESQYSLYKIIQECSLEELAYFQEWDVIFSDWVEFLHSRSHVAGLINYIL GVLENLTRKIEQECISDTESFGEIIIEKILSNMLCKHLITSKSNDSENSGRIILNTNM IYELILHLSDSCVKTYSETMKCIQKIIHFSQLELSSGDFTSLSKNNASGKSREYLIDG LIMQLCKLVQHQSSIIRIEVVGILIYAILAVPMSSKYPYNPTRIVQALVWSIYDDEEE VVYRSIDGLRVYLSVVGNEYFNTVINLAVEAIPKERKQNSVFNPLRMLHQRIMENAQL PDIEDGSITFADFNLSYPEHISNDLEYKIDAKITRANQTSENTHSGNNSKVSKLKQSG KEVKIDQTNNKSKIKNNPKPQLSIKRKENSNSEDENGVGDFPEAYSLNNERLEVITKT AVDFLMNNELYIENWNRVVEEITNLRRIIIYHSLFLTKASNSRSPCTKNVISPTIVGE SIAKWICSLRSCVSKSAMQGIEDLYLHCGSSLDLGKLLDICLEKILKRTNDRNKFIAN DATKTLIAICSIKAGDKLLGKLINHGSKNRSTIVIYNTLKATAIVLEKIGTNIAKCSN LLACLEFIHGNINGPTPDIRTYSKVCIKIILSNADWKCIAQFLRKSVAPFKLARMHKD FENAVQGSRKQV cubi_03082 MRFSSKGSGISVLIESTTSSGESHGLYDYEKKTKFNQTLSIDDT KCSYIIFLENETGQLIVQPEFDNNLKFHENLHQESAQNLRCGSNGVIISNIQDFGKEF VPVLRLYLLKNSWFVEVIKDINRQLRTSNTCFNQPQPPSVSGYSMDLFFRSMPKSGSS KGRNHYKEDNKVWLVAPKTSPGIPLIKGDLLKFGRCKMLIHDIINTSSVAKEELSKAP FLPLIGHQDFQTNLESFLHVSPSAEAGKEPEILACSKARAIEKALAIYSKDDIKSVSN LPGDSLVECEDVTTNFISSGSISNNATHEDLNFQTFHEESYRTIQIENCGSSGINPGV ETQIQDKYEQIVNCEKQKSKVIRCCRICLFDDGDSFADANEPLNPLICPCDCKGSMQY VHLQCLRTWLESRLEIPPEWFLHTERGSSTPGNFLGNSIQVQGGTENFSQLHFRDRMK FKVINLLKRWASRFSGYNSKVNSPACLHLKKFECELCKVYFPTQLRLVNNDGSGSAFI PLFRVPRPRFPYLVLVPLEGDFSSKIGQIVVSFGGAGTSVCIGRGHNSDIRLGEISVS RSHAQFQHCYFSGSYQICLSDVKSKFGSLVEFTRPIKLGKENLSVQIGKTLVSFKTFK STGSASNILPFFSGCIGRRMTACDAQEFKSKDQKIKKSVNLTFDSSSELGFGDSVLIN SLANVSNTIDNRLEDSNYLEEDIKQLNHFGNVSNHRVPQRHSYIFEP cubi_03083 MTDVKNDFKFGDSSKYRRHSSLSGLKGVKDKKLRSKLALQQQIF HTASERLANSEILLPETPGFLETEGLEKSFSITQNELSKNISIGAVNKRFSLDLSSGP YSVNYSRNGNQLLMGGRKGHISMLDVSNGAPNILLELPLEAEQINDVQFLHNHTLFAV AQKKYIYVYDREGIEIHCIRDHVMSPYKLDFLPYHFLLCSIGEFGELKYQDISTGQVA ALHKTGRGPCHIMKHNQYNGVIHLGHSDGVVSLWTPNVSTPVMEIFAQRGGVTALDIN NNSLITAGNDNSWKVWDIRKTSNYTPLYSYNSFGSSVRSVSISGTGLVALGFGSHIQI WKDLFSKSKQKMPYITHNHPGVRVSEVEFQPWNDVLCIGHTHGIETMIVPGAGYANFD SRECNIFETSKQRRNREVRMLLEKLPASTITLYPDLIGKINKTPRVVDDLEENTQDEN KLSKQKKKKNKKRGRSKITNVLKNKQIAYSNMVRSKASKIAAQRREMKQNVETTNTSS SAETTKATKKTVTRNALERFKTL cubi_03084 MLEFVLTTFVFGSKDEFSTLPKKLISSWMEENKYAGLYGKSDIF SVVILPDCEDNELVDVTINSILLTANRNLLHEIIIISNECQDSGKDIKSALGEKFLDK PLIKIIETEMQELGELQNLGANNSTGEIIMFVSAATLFPINWMSPIMRSLSDNFNSII IPGFKRLEKNNWRFSTNDPVYSPKMMFTKNEFELINIHTSNNKVPMFYSKIFATTKSW WEKISGLSDPTINLIFKAGINLDISLRSWSCGGRVAQIIELSFGVTNVKIPQPSFEMR QILLESWLDDSIVQNILNNSKKLNNFMKSSSSLFEALINKRKELIKEHECDKKTIFTS NFYNELNEVGLIEYPKSQIISSDNGLCFTLIGDPKKRGEKNFELKLLKCKTNENTQSF YIDSERNIIRHMYSNTCLSIVHNKKDPDYENKKIVLVECDQNNIYTHIEYWKKRLIFG SYCIQPKEKNAEMYLTKCIGEDHNSKQLQEYFLKELESDIKRDNML cubi_03085 MSTLYLEAAKVLNKIEKKNVGVRSAIYSEKQRKCNIRKLSALVH GVNRKKKELEVILQKSHLLKGSDISQITNYWLLLVLAYEQLFGSLKIQGGGALARLVR KNKDKLYECFAREYPELVNEKHHLKVHEKIPRYLRVNTSIESTESVLNSILEQIRQTD QFRNENEDFLKYVWIDKDIKNLIVCKNEIAKLLCLDRIPSNNELIKTSKVALQDKGSC FSAVCAKITPGDFVLDACSAPGSKSLHIIDMFKRKGRLVALDKDFNRIKTLIKRISEV PYLAGPFIYKSKNFELVEIEGITDECLSNEIGCIFLDKESKLIKDNKSINISSLDKTQ APDLLIQIAKCDFLNLIPDTDDHHLPWYHFRNTISNIRVIILDPSCSGSGLPQHGKVE NENIEKRLKSLSEFQTKMLIHALTSFQSANTICYSTCSVFTEENEQVVFNSLEKCKDS ENSRFSLDFAVENWENPPRTCQNYSFSEIYKKCLFVNPETHNCRGFFLAKFVKNSSI cubi_03086 MFTQHDFIPGHDSSEEANLLSHRAIYRPAVDSNSLLVDYLDSGI YSDRKKSSFTLSNSNPLDIRNIGFPFELNKYRSDLICTQQARVSLRARAPCVTIEWYP GGRRLVVGTVGGELTLWNGTYFSFEDLKSVPSGQGAVLALNFSKQDDFIACGDGSGNI VLLTPSLAPIASFRQFLGHVVRDVTISPTGNKVVAAAENVHPIIIDVGSQKIESSLTS RGYDITFAQWHPIKGLIATGSQTHQLHLWDPRTANAIGTIFGHRHTVVRIKWSSSGKM LASSGKDGALKIWDIRQLSKPITTYKTKCDAVSISWHPEVESLMAVGFFDGDIAYYNI DVNFGNPLGLIPSAHQGAVWGVAWHPLGHLVVSSGQDSRLKIWSRNLCGSPGTINEHE ILPQLSLPRQNPTMAK cubi_03087 MNSTSLPLRAPASSIISRPGYVMGYPHSFKEQEMPMGGVLNPQV PIPVPSENSNFNFDFGRGQRMASVPSMSTPLNAFQTTNSHVNKSDRLGFAAKSMTSSF NAPTVPQRLPSLSQMPYPSMNIPFHTEHYFNSINAHPGFLHSGPKPGVQGMVRNNVFQ NQKHYPMQPQVMPPQYMMQQYPFQFQSQQSQFGTSNERQMGFAGNNVGFNHSIPNQFS ISKQIPINPTNSHMLEHNIMRMNTYSAGIQPQIMHPNSFQNNRGIQIANRSQEFSQKF VPDTRGNEQTLVGNFFPTQNLPLPVTGGVTIKGYKPTVVTENQDKSLIIDLGPNASAY AVFDGHGPHGDVVADFVSNKFTSSITQLLSSYVGNLSNTGTPTRQQIKNFFIVLFHNM DLQLSELRYGISMWSGCTAAVCIRIYSEVHIAWVGDSRVVIYKFSKPAGKVQGSMEID SSSVDSCEPSVSWWTTSDHVPNRPDELSRITDCGASITVYSTPWLNKSTTRIREHGIA MSRSFGDKAGSQFGVICKPDMASIKLQSNDSSGEYEDKWAIVVASDGIWDSLSEEEVG YKIMKELIWREPELSVEHCSPQNQNQLPTQEEVTRLASSLSSEAWSFRASVENYSDDT TLIISII cubi_03088 MRPNSNSNTSTGKWFPFSCLFILQALVAIATITWIIVNVMFFVN TNNNTSKAFNEKLFKESYGWEIIDIGHNISHEVPEDDFKGRKLINEDFGPQEYPNSYP WKMHGDLGFLPNGEKAWKPSPPPPKDLNIPVALAQGGGFNLNLSDALPLDRNVSDYRD LQCKLINYDISKMEKISVIIVFYNEPFSTLMRSVHSVLNRTPPSLLDEIILVDDGSNS EHIKVGGNNLLVNYINTLPKVRLIRNAKRSGIVGARLAGINACRSPIFVILDSHIEVQ PVWAEPIIKRIQEDPRRIVMPQIDSIDSETFEFVNGGIGCTLGFLWKLIEHAFPQQIS PDPRRRYAKSYDYVSSPTMAGGLLAANVAFFKQIGSYDPQFEYWGTENLELSFRVWMC GGFIECAPCSRVFHVFRKGGVGYSSPSHAVLKNKLRTLYIWMDEFGDLAWRVMGRPRV DTGPLDERIKLREKLHCNSFKWFLENVNPEAEVKSIDDVPYIGNIKNVGSNLCIDTDG FNNPGGKVRLWSCHTGETQNFMYFKTSRHWMVTINDESCITEKFKLDWCNEHSYQWDV EIVSKKPLTALIKRGKSCITAVDSQLMLKTCETDNKFQQWEIESYDLTKRVLPSPENL LKKKGFRGTSEV cubi_03089 MSFYLQNESTGVDEEQGISWTVHLEPAEEIEGVVNQSNFRRLED SGIRTNVIFTNAFTVEPCGEESANNSPEYQVITENRDTNNRIMLAIVVNLLIMISVFC LFTTILVQLLRDWGSKCDRQLKTWCIVWLSRFLLTSVIRSMSIIILKVYQSPSPFILV LIVNVLHIFGIAWWFYGIHLIYSNPPELTCRKNYSIALFLFWFQFVQIFVPILLPILL CVLILYILHRNGIRTEKKNVPEELLCKIQAIPFNEHIRNLSNSAHVTIFDENQTIIET QPYSGNLSESQLNIKEDGNSLSYTKEKSITISKSCPICVQEIEDDSTIRVLPCDSRHI FHLECIDGWFKQNCVCPICRSDVVQLLNETQAK cubi_03090 MFDSIVGCILLDTDGNRIVSRYYGNLDNVGLIDHAAQRQFEGQL YSKGQKFSGKSEAEALFVGEMLCLVKFVSDFSIYVVSSPSENELILFDVINCIYNSLS IIIPGQLSKKGLFESLETVHLILDEVTDSSGILFETEAGAVCQRAQMQGSEALENTAF NQAFASAKENIMRGFL cubi_03091 MINLLEDPHVKSCDEILRHYNVDCDVGLSYGQVEQYTQLFGKNS LEEPEKTSYWALILAQFDDLLVRILLGAALLSFFFALIGDNAYEEGISAFVEPIVILF ILVLNAFVGVWQESNAESALEALKKLQPKLAEVLRCGIWSEITAEDLVPGDIVRVRVG DRVPADLRIIKLLTSSLRVEQSQLTGESTGVLKDSSSLDVSKRNIEIQSKTNILYSST TIVHGSCIACVVSTGMQTEIGAIQSAVQKASESTEDTPLGKKIDEFGKILSKVIAVIC LIVWVINFRNFQDPAHGSTINGAIYYLKIAVALAVAAIPEGLPAVITTCLALGTRKMA QRNAIVRRLPSVETLGCTTVICSDKTGTLTTSEMCCVQFFVPRSFIAIDKYTVEGHSY TPVGAVWMSDGIKTPKMFENITAEDVNLQWMAKCLALCNTSQLNLVEDKFKIQGEPTE GALRVLVEKLGCPDIKLNERYQNKESSRTSKTSSVFNDYWCTGVKLITTLEFHRDRKS MSVLCRDTADVNVQLVTHRSSGETETFENSNVLYVKGAPEGILERCSSFMMPDGTIEP ITDSFKSLVLDQVTTMADNVLRTLACAVKVDDLGDLSTYNGQPKSKGAVFLSDPSNFV KIEKDLCFIGVMGIYDPPRPGVKNAIQRCQKAGIRVFMITGDNRNTAEAIASSIGILR GPKEDWDISNFIKGDNHFSSSSTIPSTVGAFMLEENSISNGHINSGKGNLFRSGSEVL KTQFTRYCSLTGREFEDLSEEAKLRVLKESYGVVFSRTEPRHKQVIVRLLSELGEITA MTGDGVNDAPALKQADIGISMGITGTDVAKEASDMVLADDNFETIVAAVEQGRSIYMN MKAFIRYLISSNIGEVASIFLTAALGIPEGLAPVQLLWVNLVTDGLPATALGFNPPDP RVMRRPPRRKDDNLISAWVFIRFLIIGLYVGIATVGIFVWWYVWGIDPSDGNTLVSFS QLSTWAKCNTWIGFTSNPVFGSTISEPCTYFSIGKKKASTLSLTVLVVIEMLNALNAL SEDNSLLQVPPWANPLLLVAILISIFVHLIILYVPPISVIFNVVPLSMIDWVAVIICS FPVILIDEVLKAFSRGYNQIVDFPEQSVSGTSKDSGKKAN cubi_03092 MKPKPTTWVPLSSSEVYEAISNTEYDEIDKEFETSSLVFFKKSL KWYLEAFHSVHLIPQKEFFSLAESLYSKYDLSQQEVMQIIDIRPKKQVELHCIIPECE KRFSEEEIFQILKLVSEIPINQEEKQCSKEKL cubi_03093 MGVPDFLQDKSNPAGYVFQSVHEFALDSIRLVRRCTKPDAKEFR NVAYACTVGFFLMGFIGYSVKLVFIPINNIIMGGQAP cubi_03094 MSSVSVLNPKADVLRKSAAFAANCHAAKGLQKVIKTNLGPKGTL KMLVGGGGQIKVTKDGCILLNEMQIQHPTASMIARAATAQDDISGDGTTSIVIIIGEI LRQAERYVTESVHPQLLCEGIDLGRSVLMKLLDEMRVPVEKGDRETLRCIARTSLKTK LSNSLADSLADILTDAIQIITNEDSNLPVDLHMIEILPMKHGLTSETKLVRGMVMDHG ARHPDMPKDLKNCFILTLNVSLEYENSEVTSSFKYSSAEQRERLVEAERAFTDEKVKN IIDLKRRVCEQNPNSSFVVLNQKGIDPPSLSMLAQEGILALRRVKRRNMERLTLACGG NAMNSVEDLLIEDLGWANHVYERTIGDEKFTFVEEPKDCKSCCVLVTGPNDHSIAQVK DAIRDGLRAIKNVIDDGCVIPGAGAFEFRAYNALKEARKSANGKAKFGIDIFADALLA IPKTLAENAGLDQQETTLNILDKIEDSKQPLGIDLTTGEEFYPLTEGVLDNYCVKRQI LSIAPTLAQQLLLVDEVIKAGKQM cubi_03095 MESQTTYEFRHYGRFEGSGTHLGTRGTNQPQGFGNSHDIVPPRG GTSTCFGFGGFLVLCDTKGLSRILNINRVLRKMVSTPDLHSSIRREAKTRAGQSQLSQ EEKSKINTFLNFEKGRGDTPQGPRGYLRSFRKNCLFELLLLSTSRDYIVSSPSDYDTV FAGIRSFPELGVWRCCCEANGKPTEFVSGRSLLGLFCGTLGVSRTLEMYRKGETGPGI SLKLEISWSDRFALSGFCGERELSNCYIDYVKSSHQKLKEDNVHSGLECKCFEGILEA IYLSIAHKAEHFEINSKVLELWPHYVYSVISSLGPSHMGSRSLVTSISNGLLSDIRER KSKLTHNEKVFGWQFCSLILGEYREIPVGLNPSILETYITCMDIVALFLYMANKGGSG ISLREDSVLSRYLSSLYFTELGCFERSEFYIECISPYLSKGANVPRSNISRECEFLKI RIQERNFNLIRSISMSNTRDPEFSAESHSTENQVSASLKNSSWIVGWISDNIKKAIGT EEERWPGVENTFYFDKEINQWCQKGPDGRRITNEPPKTDKSDSLGNGNEVNVGSQLTQ PPPLPPPPPPPPPTSQRTASNAVGPGTSGGIRSRYVDIFQSKK cubi_03096 MNFNTNMVPLPIADCSMYSSTLLNENGEFSPEKLRKELLRANEA LLSSGQQKRESKQIKSRFGEKPPDLDESVRKGSNSSTSGSFADQIIDEASPYEDDYDP FSDPVCVSDQFVSGSPVGQFPLSRFTNSKYSRELDSTGAINSGKLQNSNAVLPDDAHL ASTVPKDYLAQNKLVAILDLDNTLLHAYNSTKIGCNINLEDFISSSGDPEMYKFVLPQ DLNTPYYLKLRPGVREFLNTIAPYYIMGICTNATREYADVIRAVLDPQRDKFGDRIVA RESVDGRDTQKDFRKICVDVETRAIVLLDDRSDVWDSSLESQVVKAQTYEYFEQRKDA LKSHYPPLSSGANSISANSSAPGDILSAALSSLSNASGGNSIADYDRHLDYLIRVFKE LHTRFFQNPETASVGEILKKMRSEILENCTICFTGFLKADEKPIVKGLPSNWGDSQAD AESAAIRLGASIEENLEPLATHLVVQKTNTAKFHQAKNNPNVKIVHTLWLWACDGQWQ HVSEDLFEGESLSDKFNQSTVLRPWNVHWEILAQKEGKTGIHSLKFRLTSEPSSNTNI KEYQHPAAWRQSCGARIWSPREVVTWSCLYNRAISTIPMSFMFNVDGGEKAVMFNRFG GGVSPRPISEGTHFFLPWFQIPFIYDVRVKPKVINTTTGTKDLQMVNLSLRLLFRPST EFLPRLHQNLGPEYDDKVLPSIGNEILKAVVAKYDAESLLTQREKVSREIRESIMQRT KQFDIIMEDVAITHLTYGKEFEKAIEEKQVAQQDAERVKFIVQKAEYEKQAAIIRASG EAQAAEMISKAVSDSGWGIVDVRRLDGARDIVENLGKSEKVTFIQGDQQHLHLKL cubi_03097 MNNNDNLNIQVCEDGDVLSDILVALENGDIRNSNNQKEVLKTNN RNKYVNRSAPYLSNPFFHELMRGKENKLSDLDREIPTHLLARIAQKRESAPELWKKLW SEKKISDVIRNFGEEEISTMEKIFVSCVVGEETTIVSPEKYFSVSDWSYYIWWLFSRR PEISKISPKLPGHVVTAVASMEWRLLPKVRPDILSAFISKVNTYKSSNPLPKANDKSI AQRDYFKKIMNELRSGLENNFSEWLLPFLRSKKIQIEGFGQNSTKTGSSNSIKGSELI KESMPVEKIPKLICRDIDRSLVKKKGHANLVPTHLFPHFNSSDCCLQNQIYQNVYIEE YSNLSWSVLNTLPKIDIKVGIRVQFVYKPEGFIDELYWINGEIESISAETGEIILKSS SSKEDSASVSISTSLLNFLPPSIPTFEIKKDWWRLLLPESTSNDISKGSIVVYKSNGS EQKLLDGVLVEINNDDTGRIIVKRLFDGKCEEIDTEAILNRIPYDIHPFDGKYDKESS LSSWTWCIPRPFQGENSKGSKGKLLLWFVSGEINMINDDPLLCVSIQPDIPFNHGYIW GPLPITCFIDVKTPIGGNIEQGCSFQDIDSILDTPLSLLV cubi_03098 MTYKLTLIRHGESEWNKENRFTGWTDVNLSEQGVREAVEAGKML LDKGFKFDVVYTSVLKRAIMTTWTVLKELNNISCPVINHWRLNERHYGALQGLNKSET ASKFGEDQVKIWRRSFDVPPPVLEKSDPRWPGNELIYKGICPPCLPTTECLKDTVERV KPYFEDVIAPSIMSGKSVLVSAHGNSLRALLYLLEGMTPEQILEVNIPTACPLVLELD DELKVTNKYYLISEEELKVKMEAVANQGKAK cubi_03099 MKKLLILVVELALVGGCFGSAYLSRSGNIARNLVKKQVFDSART PQMINAARVPERMMRNPEVAAQIKFVEEQKKLFDSKVATNPPSLPRLDRANFNNLLFA PSDLNLAGVEEAWDEKLHQVVVQTDGEVSAKVKGKLRLQFLKKRGFSAREGSLEEIHA LRISPNYHPEKYMLNYPGGKLSIPTHDEKGRPLPEEHIRALMARATQFDAQTMLGPYP STFSEMENVRLSAGVPGISSAYNSYVPGLGAGTMMGPGGAKGGYGGERVGFDAYGPMG IMTGRPVISAYGPLGAQMGPMGPMGSAGPMGSAGPMGSAGPMGGPGITTFGPFGSGAG SMGSMGGFIQDKVVSGVGPIGTMKPGLYMPGSHSKVRQFLDESNPKNPFLVVWDSAKL SASLQAPSDINPAHERFRKVKSGGHQMWISSKSRNALEVQGRALDLASRGYRVFITPP ITMISTPEHPGLGALLQTSGSSSIEGKLLVEKAAGLWPISAVRFAGGDLVVEAIGWQG SRMLPPMLAESIRTLQKYRPQRIIIRDSARSYWHLIGPYKASMIPGYILPEKVVSGIP SFPTHTVESGKGPYEKTSRFRRHAPTDAPLWGKSAAETGPIIIQRTDRHGASELGRLL PVKPSGLFRDTNECLALQQYGREPYKFGLLSTMGSGKLYDMAVRAATKLRERGRFTFV EDTCLGLRILITMYDEMRNDIQCASVMRATAALKNEAGLDEFIRKEICSNIFKMPSLP SVDLRPLNLALMNPTEIAAHYNLRTFPKVAPYTSRLFGAAIHKSEALQEAISASPLAK GVNSKIYRDVCSEVSFTLQELNPFENSSAQQCVESIKAVFGLHVQQWEQVEDSALIDI CTKSGYRLLPSSDLSTTKIYGFSEIRQIFLLEKDHAVHRPYILEDSVIFESKVKAHHA WCKYTTPEGKCVATDIAKKCDGLSVPLVNRSQLFAYFSEQILNKMVKGSKFPIHTNTI CGIFVVVSAFSDDENTIASTLLDKLGSVFPIFTDGQALTTWRGFLAHEKKIMKVKNYT SIYQLYSKPMKYISASDQSLIAELETEAEYKPKISDNQKNEEITSESSVVTEASEESE EESE cubi_03100 MNSSIDESNRPTSRNMEKVYIEIKDGSEDFSGSEYDILIRNDAE IKQKPFLVRKTQIFTISHYILFYGCIQGYMEQLFPANYSLFESLLSMNPVTIGTAAFL QKLMFTIASPFWGMIIDHSDPIYIMKFSIISLTISSLLICFSHTINQFFFAMCFWGFF SSVLGPLSQKIASENIIDSGRGKYFGKLMFFQTIGRQCALMFAGFVSSRHKIEISSHF GFWMFPFLLSSLSGIILFTLLRIFISSNKDLQQNHLHLSIKFNGISSITSLGYIFKSK TVISIFLLGMVNAIPRSALNFIPMWLQSTGLSQLSASFIVSISWVAAMFVSPIVGFVS DVFYNISPSKGRILMAQISLVFRSIFLYSLIARVPNAVSHFESEQSKIIVYSIISFII GLFAGWPGIGACRPILCEVILPQHRATVFALSSTFEGIGAAFFGTRFVGDLAVSFFGY NSDKRLSDSNLNYIALGNAILCMTIFPWIISILLFYFITRESQKPVLIGKDKSSMDRI PDKQLFVEIKTI cubi_03101 MDVAQIFICEECGSAYTGRELYSHHLEIVHKKKTNARKIIETAK AKSGNVTSAPTYVESYLSSKTIKDGKDPNNKNQKADFPRVSIDPFEPKEKKYIEEIGR GVAAEKLAQYLNATGSSLAKEIPKSSPPKEGKPANKDSEKKSMRLDEISKSLLSVHLD MVKLSQIPPILGLQLEVSMGQLTNWCNQVEKYIEVKGQKKGLFRAKKKVLLSNFEKVL LQSREYINNTLRPEVKKCSAICSLLEQQFNEVESIRTKVNTMIKSGSYSSSESSELVS KYDEISKTFLREIVHNNKKALFWNATVEEIHNQVKEMIRMFNEQIDMLSTEFNSKMIF TLFLPQKVIFI cubi_03102 MGLFKKVFLLIAFLFGFSASQDLSILSDPGSNQVDISRSPNQDL ENYISIDDDLKKKIKANVTVELLDGANKDENDMSETWELHLNSGETFKESDNTYYSKI GKSPENIAKNIPDIRSSILNCINQIRSVEGEKAANMNMLAWDYTIEGYATNSAQRICS SGQVLQSPNVWPYKGAEGELIATSPRLNNPDPRTIMDKVCDLVQQWNNTGSFLPKKAD FSNIFKWDIGNSFQYAEPYLQLVLAKNTLVGCSFQTNCHENIGTVVVCQFSDKPDPET PPYAHIHKAFNLTEPQRQPCGRCGFGAKCCYQNLCVGRDVGSSCPKCTFSDPIKENND DLAYCLDKYYQTCSSSNCPDACVDKHQIPEGILINQCLCTSKQLIEDMLLFDQLPPDP QLSYTEGWKNKKVYLHGVCRNVNGEPQKVIEIPDVGSNIEEREEPKEENIGVKIISSE SIRSAILKNLNTIRSAQPAADMAMLMYDFTLEGYSRIRAKQICEAEDQHSEFLGINGT FPPFVKWPYRGGSGETLFLVNETSSVPVDIDDQEMANIQLGDADPIQIIENAIQSWYS EGESFDFFNITNNYGKDFYNYRMIIRAEATAVGCSIATNCKSNGLKTVFVCQYNYPNF KDPMHVRESISRRKLLDIKYLDYDLPYLHIEADPFLLLQQRRPCGRCRRGSTCCENNL CVGIDISQSSITFVPPILENRQNGGCRSAFVRPCNQLNCQVNCMNPSSSYLAHQCFCV SPENMGKLAFASPDALDLNKTLIEEIYNKNTTTDGFNRMLMIDGVSTPHPIATYGYIF GLVNSHGLCLKLPGFEEEYSETIMDSIEGNMTANIERMGFSLDSDNITLLSDVFMDLP EDDNFIQKDLTDRFRQEFLLAINSFRSIVGKFATNMNKLAYDFTLEGIAKSSAKQCQI ASLNRFFEINGVPPLTYLSPANETLLPNNVASIVASWAKPLISKNGNIQTEAFLDSLN KYGKSLPDSARQLFSAHATSVGCSIFSNCPEGRYFVVCQFNHLDKTEYPFNPIEDGLS IPKAPCSCCGSKASCCENNLCVGGNSVGQDFSVCNDISMSNENGKSCSSSFYKSCKDL NCKGQCLKPLEESDPFYNHYIFNQCTCSKKKSGSSPQSGLLQDGKALIRGSCTSVNTT RLDSPSVLVKYNNLLNLELISNGRNRNTVSQSLSAALQSELKGKLTVLDSRPILYALN KKRSKLGENAINMNMLKWDYSLQGYAQNWATVCLAGKNNNSPHEFPYRGGESEVVYSS EIGEEYDERTSPLKVVDSWFSGEELYEGKKGTASITPKVMDFLTVAQATSSSVGCSVV KGCPNAGFVVVCQFDSGVNLNKYPYVRISEAKENKVPESQAHPCGKCSSGSTCCNENL CVGISQNPSKLAAPLVTKLSSSKNCISSISKKCSPGLCSEGCIPQVTSEESEKIALYD KDNSIQFTVNQCQCVSGELLKTPTDAWKLGMVRRNGQCEKVNGYSVEVTRAQIHYFNT VTNATNSFDENTKQNLDNNFEGEKEKTKRGFSQECIDQAKTNGLSLKCIANPLNCSIQ TLCYAYPNRKNCFCGDEKSKKCEALKLCRRDLQDPVNFVNPNCTGKLALSKGCPCSKN VFSLECSCSVYPKNPGCPCDKEPKSLICKDLVRTSEAAQQKVNYALSEVNNVSRTLRG cubi_03103 MIEVRRTSQIPLWASKILVLVLFLVVFSDIFETQNKLLHFQKIS LLKLQEGSIKDETNKSTGSEALDLNKKSDFLEVDNRLEENEVNSEAKLETSDVNEIES KVSEYSLDSVDELISPIVSICLTELTNIHDILELEYNSISSISMLDNLEECINTKNTI DKILKIMIKAKELLFYEKKGNFSDNNSLKENSGKESKNNKNKTSDLFFYLQTNISILK KTISLYNIKYRVFSSQEQILSRITMLMNEINTLVSKFKNLTKNNKNTFEKVMFEVTKP VSKVIHEYKSILRKTRLFMFTKYHTKHSKNIEHYIQTISCMLKYRIQSSPAFDELLFM LKPTLKSCR cubi_03104 MGNDGGIPEWCYLTKNGECQGKGGTYTDVIGKDGRIGNGMAMTM IPFEDTDVLFKLGKKNQGYLAELRCKGGVSELTFSGSTVRFTTPSISGYNRCSFIVGL YQDKIHVSPFGSKNISLGSIPMSPSSSFNEIYCDTTYAKYGAIHTGYSPSPQVVSTPT TQGFNNLDSSLSLPSTTTTTTTTTTTTTTTTTAAPKLTLEAQEYLIVPSIQSAAASIS FSINKSLLTSSSLIVTLSDANNSSLAQTKLKSCCLELTSDNPEHFDKAMYNTEMKSAS TVNLTLAWTKAFIYLILVSSMSILASVPRLGNLNTPTRAILENDLGAKLNTTWKYSSE FEVPRLSTCSLNTYDNSCKSTSISIVSPVSWKPNISFLRYGFVSPISGSYPISISLTS GGTLFVSINFSQTGITVSIPSSSQTSSMSYVKTPNPGEWVSGDIFVLSPKLYNTLDRI NNSKPCTLITSSCTATSSWASTSLSNLVASYAYLSKLSLEAGIEDTEEQPKLYVTYKG AVALSINISNKDLNGATVQDSPTYQPLVYWKVYKGLSPSINIPSEELSKIKDDVRNPN TTTTLSLNTTTVVTTTTTKSTSSGSWFDSISSWF cubi_03105 MYKLYFSLFFLFTFSFLLVGCYFNWENNYVKFAFEIFRDAKINF PFNSYISRHSFEIQIFEKHGETLFEEFRNETKQEDLVEKVEIVKNLQDSLEVILESEP IVTENRRKFSNNFGFPLNLNPRGFNLMMKIKYGRNSEPIKIIFMGRDLRNLFNIIIKE CRIYLFSKDNKSNGYFYDSSPLFLPKNSSDYLHLMMEWSEETFRVSAVRPDNTQVALG SIVKTDSPLIASGSAFMGETLIPLQIEWNFTNKPINVRFYCLINYFEGRCKSNSIRIL DTSNKRFLSLKADRGFLKVAFQFPPKNKLPVNLKMIGDKELAVTIQFFLDQMVVHVNS NSFKHFFLNEYQEGEWLNLDLVPISNLSLKYLIQTLKIACSTRIFGLKSTNSCLDSIK EGNSSTKDRNKDSAKGSCENDFLQNIARSGKILDRGYKLPKKKELKTFNLTEETEISD TSNSNNNFKLLMAIDEEIIGNILIKREYLDKFSLSSGNSVNSFNYTDSSHAPLGYWRL KAGFPKYIENLVSFLWKFKNRF cubi_03106 MLLPLSKWCILSFFLIFVIHLSLLEANPAEEIFNTVASCLKHNR LGYDLPKLPSCSLGDSNQVSEACVQEISSWLQSKEEGYINILRSKKGKILADNLEEEK MGDILDSNQVTLMINEVLNSHISSRKNKFACPNNCNSSVVNILQKLKVPFEIVNSIQS FLCKSKSFSVETCLESVELSLENFLDRDNKRTEKLHRSTALRICYNIGLIFGRNPLFL GHIFDLIAFDHSIQEQLGVSISQLTGKSFKKLSLEPEIIYIFDSIRQIKIKEIYQMEN NNQIMNPEITDSSRSKIDVLDADFTKIEDQPRDYSYDECQFGSDLLLKLYLIPMQKSE FLCKLFFLYRGLTLPEGSNYSIQIPELGDSRKTLKTQIESSLMNFGVKKETMLRLSRF KLFSCIESLLDKKLKLEISVVSGICYFIYRKVNKDMVELFDINTASYVSLALVWLSRN ANFDFFPNMSSSEKAIYTTNFGRSVTYFLLQAMNDGFDINECRRHLQVLNSHEPFISQ RYHSTPEFPFVRVMNPSPINQDHVNLICNDKSIIFQMFSKLNPFREFNPTTQPTMISF KNTSSGENSKGTQKNTSGTKEIFDTTLQLCFAGDVPRGYLMNAVSFSIVGLKAFLRNT LTFLSQEAIMSKYNDIQSGKVIVTPFSDVLSIMGISGSYSSLLNTQEQLMFTNKYICS GYSENIDVRIKNNNIVKYVGSPFYIIAKDSSTSSSNMFNFMNCVDEFILINWSNFRYS LLKSDPIMFCSLIGIYLARDYTYIQSEINKYAIYVTYYEITGNILPNKCMEVNFSSIP YIKEIEDDTILSKAFIDCIERYSTLTDFISKDELKSLSKIFSINVLEHVDKPMALHRS STFRRLLTYILENYPKIGKDKASKIILSLNPIYGFNYDQLFSKLAENNIPFKESAIIT GFVANQLDGNTKKLDFDFINWLRDYSGYYLPFENHPGLKENVLKLFTEQEVLDKSDCI KKVNELFDKFQVPLDANTDLICDEWGTLFENYPRRKSYNKFLKIIDQNTESTTETQKD IINLRITRTHPVGISTKVSIGFKNVPLISIIPILANRGLLDPKKEVFKGFRTESKTFM FADQENEMDISAETLGLNDGDVLNLILKDLEPEVFTINISWKDTNTRKEGSFLFSIKD KPLRQFLEDLYKREELKDRIIKFLLFPNGKMLDPQSGNLDQNISKYGFETSNKLELIT LLKNISLLNLRLIWRNPSILEIIPPIDEKENLKENFLSKEIQISSNEITKNLFSEIKT ALMDEIFVIGDKFGVDKFEVASIEILGDSQKSNALLLDHVENLIQNNPEKHNMLISDL GINDHDQILVNLIIEPFKSVNIVSKAQKWSYIAKITSIPYNFHNGFQSQFQFGLFHIL NMILDNNIDLYDIIVHEKYFTDKKEAKNSKGHYINISPRIKTFNPVEMLIQNSLILLN SKKLVKSEWISLIVILKDTPDINKVIKFRTVMTSNKISDLLRKTFGNHIRPESLFTKN GKEIKLTENNVNIIFSDISLKDHDVLYLTCIKSSFDVVDMKEASNISGGISFERVEEL LSSYGYIIQNYRKAREGIFDNGEISEYPRRFNDLPHLEIFLRQFTPSINSERLISESQ EYIFQPNYRFPSALERLVPLLTEDFLGQPVNYNLINELHEKHGLLSAKEGDLFDFLAC IRSFRSFFFYNRPKNVVYSARIYNICKRMGSALFRRESWLMEQGISGVVVSTLEEELL HPINVEKDGLFFNSVSLLGFLGSECIETLKNVLEKLYLSSSRVRDLEIPIMVNFDQVC MQIQSFVNNYDDSTGEYEKIVQTLPAYRLSQIIDSLFPKFWEQSTFGALLPNISEVKY AITPLFDFFKVFKFIRNHLEIHLKLLKDYPSCVILLTALLIRNTMVSSSISPFVFVRK LAQFALEKEYEETKKLNMNLSQILPILEKNPYLSSTNSKYETGDSWIWDTIKGNQNME ILDLENKLKHSSKLLLIFKKPENLCPLSTASEEPIIKMGDDIQALIKFFTQSIIESKA GRKREKRGILEDFKISDLALISDNLDQFKADSFYKIIKQDIMDIIKKNTKEMKNTIGY VDIGNYDHEYVPFIVSFIVISNLSRNKKNKNDLMYDFFKFFIQEIFLSLGIIVGNIEV KQITEKVLEHFDWTRMSVSRDKLLSILVEVTPINSATFIDAEKTQAIREISDLIVDIL ESKDPIKVSGLKSFTKSNNLDLGLTPRAHFYRSIEKSKSMVDENSVEAINKILLEKEG YPENLLKSFNSHLRESVKILNNEVIHVGRLGKMKLSPRQEHIGAIFLSYGYSNLQTSS FLNNFDHIHCFSSVLNIITDEPKRLDHYYQICMSVCIEVDEIGYLSCEKLLIQSLVST LLSDEWPQPLKRLVSFLKYDYIFDHDLKILREIYLDETNKRLSEKWIDLIRSMKYSSN LYSIINQFKPYIDQILAEKDIKEPSSGFGLIDLIGELTSCWTRETGEDYIKKFLINHE YPIELLKPFLNIWPGDGRNRINLKVALPGKVTLKRLKASLYHEIEIKGIEKQKDSESL IDEMIEKITQSVLGVNIGLVEKIPNSMHKLSNIKMAENGVNIESLISKLDKNGAISIY LSLNECISETNKQIVNNLELSYSDILSFCVGIVSEISYRKMSNLNELNQNFLLPKSVN IRNELYFVIVFYGFQFALNRIFQGFLSKNKIKEYLLLMKDNLYQLNNELGILNFITRF VMKFRLPIDPNVLSYYLKEVILNFNSGISLDKTDEIKIYQNVPDIVISNKGFHKLVFE ITKELFDHVGDELEEKHFKLLFEESDLKELIERGFNPINIQEFVNKITNKSHRNVLFE FGKKLGMNSLSFKLVYIHKMLQSKILEILKDKDISKSQRQFFTIISSDLSPMDKLIYE MVYTSRENCVVEMIRRYTTTIKQNNYGPHFDYAFKLCDELLTIMENMTQEIDNAFGLD YFGGVGNCDRVRINEDIEQLKLDISIILNYLNNFALITSLFEIRETKDLKLEKSIRYV EKKIESKSNRPKILRMFNKWDEPINKKILLEIKIRKNIFNAILSYLDNHLFVVVPMFR KISDLFKKNMDIISYQKVKKTLSKLVLTLSTVKNPYSVPSIMKNNYKITAEYLREVNN HKAKISSQESKDIHIFGISLLPYYLKISWYAYYLSTIAKETNVLLNQLPNFKVSKINK SKKKPKINISNSFIKSRRNSKKENLKLISPSKMPTTNSGDNNDLNLEKKTKGLNFFKR INRYFKKRLKSKKV cubi_03107 MLPKKAAPKEMELKRRYSMVGNDELLIDTPIWVKRPEDGIKHKY KLGIIKSFGEKTIHVNIEDEGDIDVDFNMCFNYNVGIDPMQINDLTKLPHVSEASVLD VINQRFNMDLIYSYAGRLLVAVNPFKMIEGLYGPEKIRLYKGADYSMGFPSELPPHTF AVAQRSMQLLETQKINQSCIVSGESGAGKTETARQLMSYFASSGMGSDNNVQDVILGA NPLLEALGNAKTLRNNNSSRFGRFIKLCLERSNGIVGGAISSYMLELSRIGHQIENER SYHIFYQIIKSYNSQSDIVKYKLRELNWYKYLNASNCYDVQNIDDVKEFKNCVFPQLK RILPNQEAIEQLISLFSAILLMGNFELGEQESKGMEDAASIESQDILKDVSELWGINP KEFEELLITTSIEIRGTVVVSALNKSKAYEQIESCAKEVYLRCFNYLIDLINKAIEFD DEKKQWIGILDIYGFEVFKVNGFEQFLINFANEKLQQFFISSVFQAELQEYKKESISH DNITYEDNSPLVQLFDGKGGIFDLLEESCLVSNGTYESFTNSAHKNCASKSGYKLPKG SIPDKFIIEHTATTVEYTTREFVIKNKHRIRPEIIQLFKESKNSIIKGSFEKVEILNS KKLKGKFLASIFRVSIEHLLDTLKATNAQFIRCIKANEKKLPNLIEPDMVVDQLQSLS IMEAILLVQKGYAYRETFEKFMQENSMIMKLMGQTINSGTDLKTQCRQAMNTMKIPES EWQIGNSKIFIKKDGWIAVERFFRSVTKSLVPLAESLREIYRLSRLRKQLKDFSVSLI RCQSLVRMYILNKEGVAKNMLLNHLVGVVLLMNIPNAMRNYTNSIVTIQRIYRGHRVR KEIRESLRKKAALKKFLNFIWLLLSVLRVKNTFMDVLHKIKSEAAAKKVQSAWIHYKK KRRLILLKLYSIKQAKAIKIQRTWRYYYLRQIMIHYIRIASPARKIQSVWRGYYTRKT INHAHFFQKIRIKLWNSEYVMKIQCLIKRYWILAHLDRLFWVADVLQPRLQSALTRIY WKNMLKSIKCIQSWWRGDRVRQIIREEKLHVLLMAEKVRTDYITQNECQMLLQWDLER RNMNRVVLGSKGEKAPKGLQIIQVVVEVDTTREYPAGWIESVTGLLRSGNSIQDVGIG GFHTVVLTCEGKLYSFGMNDKSQLGLGKSPSELLGRALIRPGASLQIENKIKSISCGV DHSLALSEFGSVYCWGANEFGQCGCDATEYQISSPTPISLPADWKQTKITLARAGAFH CVAVTIDNEVLVWGRGDDLGLEDVHDSLYIPMQLRSPAIKKLGRINSLSCGLGTTFMI GINGVVAVFGVAQNGILGLGKGVYSTKRPKILSNISRVSQISLGYNFALALTTNGEVY QWGQVPVWNEYLEKKLHKNFFTPKLLDLSELKSHVVEIQTGWWHSVVRLKNNFIWSWT FVCEPKKESLDKMNKKEAKPQKERKISEGAILKSSKSSEVDILNVERIIPVLFKFDLA EDRCTKCIKVVSSPSMTVVMRGGKDHISREYVIQPYLLQDFEDDQQGKVSSFNEDSFS DFDTMENSLNQHARHYRSSGSDFWGAAFSASDSMDIFEDLKYPALRDPDEFTNALYDT KQSFKKDSEEKALGRQAKVVELDDFSSFRNVPMAITNSLQSSKRTTGSLT cubi_03108 MYEKKIGSGVQATPAEVVLIHTSLSGIRRHFYGSLRAKQFLDVK GVVYYQIDANRDFSIAARDSEIFDNLKNEGLLKMDPLESDGTILLPQIFVDGVNIGND VSLQDLEEDNDFDWIINRKACGACLSDKEEDMEICPNCNQVFKTIVPPELVYSGGVQQ IYRGNNTAVQEGILFEHPTNFVKNNNSGLNLTRNEKYEDYQDEEEYDNIAEEEYSEMD IKNE cubi_03109 MVKFFTVNKPDVNLLDIPAGSEKVQWKVESRVPCCGSFMIMLED HTLGNLITTQLLRDPNVIFAGYKVPHPLEPLVQIRIQTRENTTPFVAIQNAIQSIQME SQVILNSFKDSMRNYPIYK cubi_03110 MGSSSDEYDHLYKIILVGDATVGKTHLLSRYTRDALPKTPQPTI GVEFATRTVPLSIGGTVKAQIWDTAGQERYRAITRAHYRRSVGALLVYDITRKSSFLN ASRWLEDIKQNSEPDIVVMLVGNKLDLVEKDPSKREVPFDIAANFAQENNLFFSEASA VTRCNVKHIFEHLLQEVYNQKMKDNSSNMDINGCFTNNTSVGVQLSKGNNQKQSTSGC C cubi_03111 MDERFDSLLINLAQSANGIENFLDIVFGFLLRKTDFFTAMSQGE EEKILMKYFRKYQALSADKRREEKRIMMEREEERKRKIQEQKKKEEEELRNKLTKGVA KVEEIFPDDEKGLSATKVDEKTNNENDSDSETPPPPGNGGSTEKYTWTQTLGTVEVLI NTIPGLKSKDCNINIGTSKLKVVVKGETIIDGELNSKVKPDECLWSIVDGKTIQIVLE KQENINWWSCVIKGDPEIDTTKIVPENSKLSDLDPETRATVEKMMFDQRQKAMGLPTS DNLKQHELLEKFKAAHPEMDFSQAKINYGNGF cubi_03112 MSRIVHDSKFRNLYGECLQDRFSGLHIVTSRHTVDGCGIAASTE FIAFPAECSGGGSVGVIDNSSFGYKPNVVYFKGHKAAVSDVEFSPFYSCLLVSASQDK TIKLWEIPEHAAQKRLKDPLAVFRGHTKKVSLVKFNPSAEWILASASRDNTIKIWNCE TVQDEINIGLPGLPTSVKWSYDGSLLAVSCMDKVTRIIDPRSERITYQWQAHNGNRKS RCEWMGGTMGNPNWLLTTGFSDKGERQIGVWDIRYLDKSVGLEFVDIEQPSLIPFWDE GTGLFYLAGKGDTNIKVFEYSKTEGCVRRMEEYRSINSLKGYCLTPKQNVEVMKCEID RILRLESGGVIQPVSFIVPRKTNEFYSDLYPDTIGNEAAMGPEEWINGHTGEPMRMSL RPDIVQTQSNMFKRMRSVVKNARVILKKDLAKAEMQLSNMQDELYSIESLKYQLKEKE NEIAQLKAKTSLV cubi_03113 MNINMNDEGIECLKQEINALRCIFSGEDEMLISEELELWLEQEI SKERNLENLRFRVLLGDRKFYSMPLYLEITLDPENNYRSGLKMIDVVSRKEWGKNSDR PFFLSESQIKYIESAGMNVFVPNTECIYDQVIASREAAEEMVRDIPCVVNSHLDSGVQ LKSSESEGENDDFEQEEMIRFVWSASETLGMIKWGQRACYSHHIRSKIKRRLILEWAK ELCLGGYCKIGYPGIIIVEGPEDCCLEYIRRLQRLRWKHFVVRGEIIKDIPVDQNFIV NSETINSMRTLPNTMTELPADSMKILARICEDLGIKDLFLTTMKIYSK cubi_03114 MSKLNKILLRVTLICLSVAIGCSSEPLSWMTFGDWGEPTAILSA VSRSMANLASVIKPKFIISVGDNFYRWGVSSVDDPIWENMFESVFDYESLQDIQFRCV LGNHDWWGNATAQIDRHYSTKSPRWYLPNFWYYTIEEFDSPRSSPHPYLNVSSSSMEG LEEMVKTKAIFIYTDSWVMSSPMGSDITPAFWEEQMVFIENTLKAAVMRDIDWIFIIG HYPCYSSGEHGDNADVHKILDPLLKKYKVDAYIAGHDHHLELSRPKGSCTSHFLIGSA CCPKKHDYFNNKHRIFRTGRGGFASHKLTYSQLHSTYHNIEGKPIFTTTQKRLNRKKI KDKLIKEAMAKIDGNSFSITKNKPKEQDETVMNFVENKEGELEVLDEIENEREQEAIE NVQNSLDQQDLHDRTDGDENEDENGNLSFSKRKFPRTLIKESLSPTSSNPQIESGEVI LSPIDESSKHFTLVDAIISPKKSQENIQSNSPVSTVNDYLLQIYDLPPPSKRSFIDEM PTRDKFFGIKFPFGARLMRFRIDRKTSGHPRHEYKYAPILKHSVGVEGSDVTKFSVFQ TIAWNELENKMKSNYKIPDSTWKMMKSPKWKGNFIPWRFVESCTNIIRTMSIEGLFKH RVSLWGIPLSNYKVTNKDIGAVKARQYCLDVANKLSIHRLLQGSVSTKLLYWDRCPGS EPGPNGNSDSDLKTIVALSVIGSARDNCLYPEGVKPKNSSLDTVSSGFYKLLRKMKMI TKASIDPDIVAGIDLTRVIMIPMDVACDIAAQLIDHDLNIVSSLEDLVYDRPDEAIKQ CSQIVSRIATFIPIEEAEKLCSNFSSYELVGP cubi_03115 MNYLRFLVFVYLFLEVFAQDQILLGGSKAIGGIQERIYSALPGI FNRPETKNDTDTESQKIPQNSTETSVKEQKEENTNEEENEVRGNLRVRSETQTKTKKQ LRKELIDGSFKEFMEMVEKEVEAVGQVPMDHYDPDISVVIPVAFDDLRSFPVLLSVLR LQTLRPEEIILVLDFPEHEAEARAKALEEVKKYSSSLRNLRPFFRVPPPPPNHRAGSN RLYGASKAKNEIIVFFDSDDLMHPQRIEYISRAFRANKDMDAFLTEYFVEQMDTTEQA KEMPTKSMEDIKTKYDLDKIEREGKLLRNSYEEEFKEAEKAVKEYGLKCPWDPNDRMT EINWPETHGWWLYCCHNGWLTVKRSVLIEVPYPDKTYGEDSLYVFRLLMSRKNVGHST VPLGVYVLGNTYMVR cubi_03116 MEYTLFGAECCMLTPVIKSVAEITGDKINFKVSDGSDHKDLDFN IKFGTPVLATQFGKIFGGSTILRFLARGSPYTNLYGRTSSDAAHVDMILQYTDTTIFS LFVTIFRKNGDVKQALSEFTNILEHFNKYFTCRTFVAGERITIADILLAVSIDSSIKC SDEALSLKNFVNVQRYVDTILAQPSVKKHYGEYIKNFSAFKVCQAKSNSGSACTSEEK PKKKENPLDCLPPTSMSMDEWKRIYSNTKDLKGVAMPWLYQNFDASGYSFYYMKYNKL PDELDVAFRASNMVGGFLQRLDNNFRKHSFGVINIVGEGNDFDYQGVFMFRGLDIPEE MRSHPSFEYHTFTKLDFSKADDKKLITDYFCNDDEVEGLKIQDCKVWK cubi_03117 MTEKIQLYKPRDRVKVTLKKKYSSRRKASVVAPKLRSSLQPGVV CILLAGPYKGKRVVMLKALPSGLVVVTGPYALNGVPVRRVNPAYIIATSTKVDVSKAD VSKFTDEYFKVDRSKLRKNKDAFMDQGDETKVNRVVSEERKKTQQVLDASIIPSIQSN PLMMSYLKTRFTLTNGMFPHKLKF cubi_03118 MNSRKKINNIIQGSLTLIENKFYWSLCTGNVPNTSMDKKASNYY FCIDDELVYEPFFQDFGPLNLRCIFKYCEKVDKLLKIVESTGGHVVQCTSVYDMKKRT NSAFLACCYMMIRQGKTPGSSLALFNSVPLLSFRDATYGTCSYSLTVGDCLLGLYYAM LLKWFDYETFDINEYTTYEKVENGDISWIIPNKFIAFSGPSGTSVDEDGYFSLTPEFY LPIFKKLGVTMVIRLNKKQYESERFTNNGIKHEELFFIDGSCPPQNILNRFLELTENN KGVIAVHCKAGLGRTGTLLGCYAIKNYRFTAAAWIGWNRIARPGSVLGPQQQFLHEIE SNLFARGSILPPAMRLDTKIPLIARKVQDAVQKQAKIRQIQLVTPQFVKNKNSDFSKY PITTQQNNISKDTTNNCDNLSIEQEVIQMMSNLSAVDNIAIIGDAGQGERLVQAKKNI SK cubi_03119 MTNRLGIKKEERDIESEEMLNNCQQLPCSALEFLTGEYELEEEV IVEYRNVVSLLSKEQLVDILSKSAYLYNIIGNACKLIVERSPVSRRVMVRNISFQTSD KVFLNLFEKFGEIEDSTIIREKNGRSRGYGFVTYKSSDSIKKLFNENLILNGRQLLVK LAADPFSEFTCGPIDCTKQVMESNQRLTSTIFKKKLFIRNLSESTTNESLRQAFSEFE DIEECFVMRDHNTGKSRKYGFITFNNLGDMMKVLQHPERIVDGKVTFVSLAFKQKDTS NVNKKSETSAAEIPGSYPLSFPVQSGYFYDYYNALPHMQLDLQNKNLTSENVRSQHQM EVYPYVNQCYYTSNPCFTPYFIPYAPYGVYSNLYALHGNNEHNSKEEKDKK cubi_03120 MRNKKLITWSFLLILVVVGSFVVEYVGSSIVTEVKSSLGQRSEQ GLGVRTKENVWNAPNLKVKYGKKLKNEAKKSAKNKSSSDLPNSISRYPDLLSQKDRDE STKQIKMEDEVAGAQYYSKMSKVYSKNPKLSRSTTYPMYTSNYLPVEKYIEDFFVSGS MLTMEDRIDDECAGYLLEADGISKSRTISFKCPLGSAISYLRFDYKEFTFNAKKKKDS ENIKHTEIAITGLGFGCDDNLSFIHIGSLAKSEVRLSIPQEKKMWIHNVTAFIERSIT GQEYLSGLSASGVDGTFGVNIPNNDFHIAYDLRENPPFLSRSHFSKSKEWSGSFITGG CVGLNSGKKMSFINRIALIPINVQPLKSIPIDLSPESYRFENNSVKVEKVLAVPKLWP ECQMLFGTSSGKYQSNFFLFKLPNVVSQFYFNFIEVTYTLKHVPISIEFKETVSEGSV LLGRRVKDSSVIKVSRTGSISQITIGLTEDNMSTLQLGFVSYLEVVIDGISTIFIQER VPSFKRTFSGNNLKYICTEVSSTGTLLGFGAYFTKKLYVLPFIQGGVQTHFSDLQGIF SSESLDSSSTDIEVEKVQYLLPKHKKRSLIPVLDFISDRTVDVYQGKSITKNICNFGE QSKLNDDFDYYLVTCKPGYFLKGLHEFSWTSEDPMQAFQITCGNGEVRIGEKTPNKGG VTIIEDVQEAQSLAFEIGYRGENLSSEFMPVSLKIYNSKGDELYHHRTVDKKLKKIIT SDSKISWKYDKDGPFYGICFGIETFNGKSIIRGITLAKPEIHREKQISESVVRPKIFY PPEYRAFGLAYIAFGDVAIATRVGSSPPEECRNQWIIDRKNKKLEKSKDVGTFAFTCP KGHIITHIHARSNKKTELMGVVGLLCSDGYSGAIIGTYQDLVLIRNVSLVENLLSISP PYYIHSVLAGIPQIFGEKFLARLETYAQTLSGETNLIYKYLSSKSGMARKKGTKSNEI KDGPFDAFCALVQSKLIRNSLKLKKEGILNIGLKAAPKIETLKGGNPIHTIGNIFVNQ IGYPQCQMTRAPLTTEIRETFTVACPDSSSFTKIIPLKFSSEKNSKIVAFIVECDNGG RALIGYSSIKVDLDTLLDIPEDYKVFSKDLPAQYLTGIDVSFDFKKTGIQGFDLYIND GRFNIYPIGINKEEISTLYTRKYSGYSLSMICLEFGETSKEVTGFGAYFRSQGLYWED DFILPPTQFPFIKEEKDSFSKISKIKESKYVKLSNSFVVPEPETVCMDWAGVHNKNNL NFGLSCGEVSIKEIIICYGEEKSEIAGFKVICDHKDKDSSFSVGICEDKLSKVINLNG ASELELGFSKNSNSFGFFLVSNTEGIVLEKFKAKTLDSEGLGSSIYWTSDSNNGKILS TLCFNLDEENGGIKGVGVPSKNNEEIWNEIEGLSLEEEITQHNRHYASEPKESRTVRG VPKVNSHANLDDIVTLSLNELYGGYITHDKNEFSTYCRKLVGIHKENSKNTAVLCPSS HRIATIMLYLSSPPPDGRIVGLHVACHNQFRSEKVSVDDSWTGMFEIGTKTEYVLKQG SAATIFKRLSFGMNSRNQLIYVFASDEIGRRYIMYSQAGETISKLTEYNQSEGYNYLR GLCFEMDNKNIHRIGFIIE cubi_03121 MWDLIKSESKHDSSSPSSKVVQDVSGPCPHTKKGGASSKAELIE LCIELITNVVQSCQTPRFQAEYVLFAENGRTVKIPGIKTSTKFVIDKMIAKFCRLVIA NRQVSFGLYPDQSSLPTPPTAPPQHPFQSQVQTPVYPYQPLPPQSPQPPPPPPPSQPL PPQPSRAPLPPPLTQPTYPTPTPLQTQGSSGPLLSEWNKILHSALYGSGSRYISSMPT SPFPTFKVGKDQNEKFEICVKTIKDMVGASIGTNKLFSNGNLVLRIDMISDKGIKVQE SLILRTSSDKPNEIKEIIKRFCSTVYSIGEELDEELEWQKIYRNSLSSSIVQKLPKTI PIFYRVTGTDNSSLYDACIKVLETLFKSATKNNPTRGPEAQFGIVDINGKYPQLGGSV TSFSSSVSCRYPYSQADINEAISAFCRGIYYGIPTGPVKDKKDQLLKVYDLIQSATTK QNSPFGKFPHEAPKFFFSSLSAFNLDNQGNFSPETKIEICKKLLSDIWHQSSSKNPAQ TFRVGPGIAGVSPNKIIKVGIHWSENIGYVTINFPYIDTIIQKPVSFDTVSTDFCRQI FQPQASPPIYPAFPSVPLPTPSPPPVPAPQFVHAPDRYSGRRPPPGFPNQIILLPVMT QHPGSTLLLKYSRGIWSQLHQHSNFGIGSASIMGLGSNRHIDFLTSNTGENLYSYCHN IFARFYNTATNYKVSGDGKKLMVEKTVNVDFGKGSDHVKTGAERNTLVFILPGQGQSQ IQGQNNSLQQLIEMFCKSFINISG cubi_03122 METGKNEKGNIKQDFQANYGTVSGEYAKTKSKSPGVTPEESANW LSKLTFSWFTEIMDKAYSSNLEYNDFFDLPDYDTPEFKLSDFNKYWERELNKCKILPK NEKEKHQNDLESARLVESVEGNHVKNGSGKKPSLIYVLIMTFKWELLVIFSLVVLADT CLLAQSIVLRKLLLCMQNPNQNFVEGNSSLTYLFKTLGLETGDVNLEILQRGMYLVIL MTVLTILFPMLKQQEYRLVTNLGRYSKSLVSGVLYRKLLMMDSFEFNMPSQNKKQSGN SLNSRNKNIQSSENPGTAHNFINLMGNDVFKLSRLISAHLFYSATFLLVALTFCLYSQ LGVSALFGISVVIANMLISVIALHFRALERKPYLVFQDQRIRFTSECLSYMKIIKSYA WEECFTERITNCRNDEIKSLLKQGKYRALSMGIYSTTMQATLVTSLVYVLMGNKLDPA TVFFAEGLFETLSSVLSCFPFSYSSFHDIIMASNRIRDFLVISEQKRVDKVTKPIQGF QRSKIIEIQDDEIQRSINNMKGYVKFQNVRLHWPDGNLMLRDVSFEVKSGEIVAIIGS IGSGKTGLLSAIIGEISPSSGQVIKKGKLAYVAQIPWVQTGSIQENILFGTEMDPEWY DAVIKACALWMDFQILPNGDQTFIGEKGINLSGGQRQRISLARAVYQKSDVYVLDDCL SAVDSHVAAHIFKNCICGLLGEKCVILVTHKLDIIPNVNRVILFDAEKKKQLYVGNPL GLSKLPSFTLQNISFESLQSAEEEGFDTEIEGSKPFYSQVFGNLDKPQPRNLPLRGFP SSLATDSDRDNEITNTHNVYNRPITTPKDCSQLGPDDSETGKIVDDVVAVDLLDELVT KAENSVPSTPKITTEAPYKEVILSSKSGRGHQKSKRKTGIKGNNSENTLESQKHSKKE LATSNGQIITLDDHNNVSPYLKANKVGFIKGRTASIRTSGEKLRFHYRRESDSHFSEG SMDFLQRNSNDRLVSGGGLFKTQKRNNVVQEESIELGSVSKRTYFEYFLEWGILNMLG ILFCHVISGFIFSRNMFWLANWTGRNENSDPSTISNSSSIWKVDVFIYIILIIFYIIS HITSTLLVRNGGINASKAFHEKLLNRLRYTKLSFFESTPIGQILNRFTGDLTNLDDSL PRNIGDLLVATAKVIVITISISKVVSKFLICIPILAYLFFQVSKKYAPMLRQSERFAA IFAAPILSHTVDTIDGLTTIRAFNAQERFIKKMDDAIRVEARIRYHAGTAYRWLFVRL EIMGCIAVFTAGTLGVISVAYDPTTAGMFGAAMTFALSVSSCLNFGTRVLGEIEGVMV GLERIRDYTVSTPLEAMPILDKFRPPKNWPNEGRIEFDSLELRYKPETPLVLNGISCN IQPGDKVGVVGRTGAGKSSIFISILRLVEAEKGCIKIDNIDISSIGTFDLRSRISIIP QDPIIFSGTIRFNLDPLQQYTDDQIYQALKSSHLDSYVSNLSLGLYTVLDSGGQILSA GQKQLLCLARALLRQSKVVLLDEATSSVDSHTDSLIQKTIRAEFHNSTILAVAHRVQT VIDYDKIMVLDSGKIVEFDHPNLLLSNPNSIFYSLVHGDH cubi_03123 MLGGIFSGSGSKKENDNKVQDKKPFLIKKTGGITPEESASLWSK FTFSWFTPIIDSACDHILSLDEYYHLPEHDNPSYSLNKFENKWKVECETFGKTKGFRN DNSEKPNIYGSFKRNKFKLPSLARVLLQCYSKQIFIILILVILSNICNMTQIVILRKL LECIQSSETIPRVDTSTESIIPWWALILGIVPQRFNSSIAYKGFAYIFAMTILIIAYA FLRQQETRMVTQLGRRIRSLITGVVYRKLLNLDSNIFSESSQSNFELGSRVSKARLSV VSEMSYEGAVESFGMSGNVVNLLSNDVSRFGRLYICHEFYYGVCSITIATILLYANIG ISGIVGILVMFAHAAWSINFLNARAFVRKSFSEIRDRRILLTSEYLNFIKIIKSYSWE RYFINNIDSQRKNELSSLLAQAVYWGLATACHAVVFHAVLFTVLIRRYLGEVVDPANI FFAYVIYDAVSEFIASFPKSYALFRDIILSCERVSEFLVIEDRDNNINQIIGGSHCST IQPVSPIQNQDPLELQPGNVRYEGVELRWPNGSVLLKDLSFEVRPGEMMAILGPVGSG KSGLLLSIINELQHSKGLRRIDGKVAYIPQLAWAITGTIRENILFGAPFDPKWYAQVV NACCLTADFKTFPKGDQTMIGGSSNNLSGGQRQRISLARAVYQRSQIYALDDCLSAVD SNVSAKIFKNCILGLLRDKCVILATHLAELVIHVDKVLLLDEINKRPLYIGDPCGLLK FPHYENLFSMNPTSSNNNNQNNRRPLLNNNSGETLMDASTIRSESTPSYISDDIAVTD EEIESLRLRKYEDDEEEEEGVVSLETYMKYILSYKKSNIIILIILVSLLVAFYVLTTF FIGIWVENFNAKDWKTYFYIYLAACILLPICCIFTTIYFRIGGFEVSKIYHNKLLSHI EHAPLSFFQNTPIGRILNRFTSDLVHIDELLPTSFNNSSVTVLMSLTMLVSIGIVTPQ FIAFIPPIFYAFYYVARKYPPILRQSERRSAALTAPITSQLMETMSGLATIHTFEAEN MLIKKMEDAIIALNNIRYHLDIAYIWLYLRLEVIGCMTLVITGVFSVLLSACNMSNSG ILGTILSFAVAMPGWLRYSIFTLGELEADMVGFERIRTYTDSEMYQIVTLDNEENNIE VSKDWPSQGKIEFRNVDVTFYPNSNPSLCNICLQISPGERIGIVGRTGAGKSSLFSTL LRLFDPSFGTILIDDVETLKVSPLKLRSSISIVPQEPVIFTGTVRFNLDPKGSYSDKE LYQVLKRAHIFEYVNNLPGKLDYQLEGGGSQLSVGIKQLFCLTRAILRKSKILLLDEA TSFVDIQTDSLIQETIRSEFKGCTILTIAHRIKTIINYDKILVLDSGRVFEFDTPQNL LRNPKSVFSSLASSVFV cubi_03124 MTLFGTKLFNHIILWLGIIIVLAVTEVFGKSPGKHGKLMRNCPP EFTYNELRKLILNGSLVEAQNFKDSLGPSEKTVLYGFIQVALDTDIQSLKIELPDLEL VDIKTSSIIRDFISYDYVFDCKDITESTRFLFWIVRHLDLNYLSAAISFSITKYVLIE AIKIYGEEHFNPKIPISQVNLSKVIVQAKNDYFPSRKQINEAIRKFTSTGSFVDYKGV IDLPDLSNVAPASIEDKVLRNRVVLLQRGGYAPNIKERSQIQTVRYIMRTSRYYLSIY ISPEMSYKLWSIVYLIMMGIRMPDYKFKMISFIMKKSNTDHWPHESDVLEAISITLNR FRIKHKPLSIHSKWYKKLKKEGYVLSVPQNTRTSRWFCRFFQHYLQIMINPHLQFNIW KMTLENLLEPHVKQVYVSGDKCFFREDPKFPWPTCYNTPTNSPEYDVLKHDKAVDNLT HEFARIIHDFNPGYPFYDLCELTRQLVATGSLRREVRQPTTKLKHEIAIRKLERRTYI RNLVRSYNYAKKYEPFIKPSDEMLFFFKDYIENEIPLNDKIADKIAREKFMDEDLEDV IKTRNFVIDCAKTYSSITSREYWNDEEIKEIPSKGKKAISTTCSNLFYSRPKCKFESI PPFHPARESSDILTGEFSLRIFSYFRSIGVYNTIPDEFCIDSINVMSKLFRIKPNNYE QLKRDYAKNSKIHERDRFIRNSLLRFKTLDNTDSQKSKTRKLNEMMEQIVRSKLQDKL KPRRQNFILASITNRYSDFVSKADGSEWEIDELKDMIRLGKKFTYFNSFRQFPKNKFK AICNKFLTNIVLKIHGSETIIERIRNSEPSLKLTDERISRDITKNLCFSLGSWQISLR RRIKNKLEFLWLKMNNYKHEYKLLDSSLIPVTKHTGQNYYIQTINANVLNFYNTLSTN LKNRFPHLDIKLPNTNPIEPEVMKLRKLGYDCPPPKSVKSDGIPTAQECIRYIYRYGL YIYNGLILDIEKLYKVYQKSFMDSGFETLAYLPDIYPQFLNLSLRRSSIFDYNFHRYE TICSINKLDTDIYWNQYFSIVENISKDLITHLHSANVVLPGSTNYIDSSKKTLVKTKA QSICEFIARILNPNYYKSFDVDTSDYFKGQISNIEYNKGMVWYDLNQVNSGYSQQSFE NIDKNVMRSLYTIYFVQSCVHSMMKYFPGIHFLHAALLCRKTREWRSCDENFVGSNYL DDLEVGDPIEDVYLKRLIKGVFVDMLTFELQEKSISLFWSSIYYDLPTERLPISYTKF CSSSLKIHNYLQSGRFKNFMDACVFALETDNIFRVEIDGEMFTIKRSIAEKLCSSTLW GQDCNHPTIKSSATFLYESMVVALKLPSQVVPSSIMCKVAYEMAFSRDPVNICFNPNT FEDTRAKAGTNPYSEDYKPNLKTHSEGARARTKKWDTPEMNFEEPVPFHEDPMGMLGH IAKTYSLEEVSFAELREACEKALVSVRDCSKVPSFVKDYPSLVKNSETLKKINEETLR LFYPLIKKNNPKSFEEIPVRIATFVELCNLSVSTYNISPELFNTACVSSFGWDKNLNS NQEIWEDIDWQSSILYCSSTSKWKSCLGWVNHEIKDTFGNNYKSSTLYYNSTPLQKAA VDFMAAFFSSTISLFLSGANSQNITEDPKDLEMISHLRKNYEMFCPAAIDLLLNNKLI KPSKSNLSIIEFMRSQEISYSEVRRKKPTFGVPYLFFNADCPDVLTNHILNVVKNMKD SNKSNNIPSQWSRKEFILEFTVRVCKKHFSWENCNYNKDILSKFNPLEINSLEYVASA MYREFLLSFIEDEEDVPKEGEDQKSDNFYFFCGLSVNMISEHPRILDKNKIELLITNF LPNKMKRWSQNIINAFITSYESFYKGVKYIKSIQSPKGATKFSFS cubi_03125 MGNLKSCCSFADEHSLTSTQLVVGNGPGASETASNHAQEEVSDI NTFNVKLIMQDRSKLDCEVVFDSTSISLSGDGKCRNIGLDEIHQLLYSKEELSRVESS AGISDSDNCVAIHLKESGNCIPLFFNNSQDKERFVATANKFKPNFN cubi_03126 MQILVKTLTGKKQNFNFEPENTVLQVKQALQEKEGIDVKQIRLI YSGKQMSDDLRLLDYKVTAGCTIHMVLQLRGGL cubi_03127 MSQLYRVLKGLHLNVFLYLATLSLVRSNFYRPDLRNLTEIRQCS SSQDCGGRLCFNKLCTGLAGTEDKNYFCLKGKKCIINSVSGYFYLSKQKTYEIGVVPY DSECSGRSLYVFDCNVTSENACKIYVPMDLLELGRYKLCGTAFPKEASSDSKRFGFKV PIGNLVIFGFKESQNTLGNVLKQKPPLKSHLFSQEITFKKENPKICTANVPCTISGIQ GFGLSENSKVIEIYNSTSSTCGRDLDESEIVYPHKCTPYNDGTECYINSLSPKSQITT LCGCTITENINCNNSLDYNVYLGNVVYHRGIQNKDPDHETAKEITNSQSYTLNKEEGT ETRKLQKVDPCASCVNGYGLCYGNPKTCYGGFGNNPYEPPLILCVDGYDCKVKGKILG EQITSRYKVAASPMMGCGVRILGREESMFNSQSQWNCEGGNPFDCEIHFGVGRRYNRS EISSNTMLLCGCPDFASVGMPCDDPAEYYFPVAQVRVVECTDNTHCMHNALASCNLET NQCQGVLPSVAQIGLGTMQCLSRQSCTIANIGQFIGGEMYRVIQTAPYVKCGANVALD PEYYQKVQTQMENTGPGGMGLPCIVQNDDSEEYRDPNSPKSNKCAINLGTNAILGVNR LCGCSGVDRDGNGIPCDSAEDFDTDLGLLDVGECNSDKDCKPGQVCTEHKCLNDQLLP YPTGFSPLNHSTLIPPVKQLVIRFNENIEYPKNWQPRRLVISSNVYYKTRPLEIPISP PQKESRSNNHHQQLTPMSSEEKTKNSDSSRWTWWNKAKNQGSNSNSASTPFTAPVLYS ADVRDQKIVVSFDSRTPLPEDNYVVGLEAGAISDLHGNPNDGIPFWTFTISRNASCPY MYVTGFSTNNGNVNGLYMPWKAPKNGKAVWNGGERKQFYIYYSILDSDSKNGTWVIDR DLDSSDILSFAESVLPEPNNHIPPDGKSTNWKKWVSINPDEEPEWVDHSDISIICRSF PEKTPPSLIAIHPPLGSVDVSPNNTEIKLTFNRAMNYGHWAWFNITGRTTGHLIHIPT DFEAKNRGFSVISNQTSDVILRPYENLVEGEVYDITVELGALTDLTYQPWGNVGPNQL FFTTSGESCKELDLLSFYDHNDVSRYQLEYSHPPRNDRGTSDFIFFPPGTSARLKCNS GYSTKSIIKLENSKGQEKTQEDLEESESEIEQEGSFGCVRGKWQVVKKIHCYQKCKPY PISSSSSQNYIIQSNFSSEDSSSESEDHESEYLNGSKLLVKCVGTKGSEVITCKDGVW SALKLICGTSCPPYSLPNENYLFKYEKDRVKHTPESEITISCNKNSDKLIEYSEGKMA IDQESNEFKIICSQGRWESENQYKCFKKCISLSESAINSEKSVVIEYNEEINLGYLRH GSMARIKCSEEWSPVGQTGETIFGCYDGSWFVLDKDLSPTSILPSNSLPDELSCERKC KDMEIFSNKAYKIESLDLERGLPSSKIRISCERNFGSVQIGTRTSDIVECLNGVWGIP KTICMNHCESPEQVLGKAYSVNNELGSVKRKGLYVHGTRLLISCSDQGTLIQGGELHQ TATCTNGEWIFDNVLICASKCSTLKLPAKYLIRSQNNSDFSADTGDTRIVTCTRPGNS EIEEVIVCKDGEWKPSVPSIDCFSNCNVEDLEPLREFFEILNIEEGRRSISHGEPVKI RCKKGYVRKTGPLRDTLKCYNGIFQVPSLICERPSCFDGIQNQGEFGVDCGGVCEKKC PETCFDGILNGDETSIDCGGSCGTENCPRCDDGIKNGDETGIDCGGSQCSTCEPCHGF PLSNLPEGTILSVDGGFSYIEDIQKESLLIDNMAIASGSELHIRCIPGWEQDESLKSK LQILACNDGKWSLPGPNEKMSLKCAAPSCEDGIQNGDEWGVDCGGSCENHCSSCNDGI KNGDETGIDCGGSSCRKCNSCDSEFIFRLESSGKYILSGNSLREEVPTHGSKLVVGCS SREASVTLTCNDGEWINRESVKSLPCGRNGLLKVSQATSMIEEDVLNIPLENFAYCNQ NSGQCCKLIRRFSEVWSGECGTMYRQGRDTTIKTFCKGKCMNLLKESLKEYKKGNSDS VGREGNLGSEILSIECIAAKSISTIIESHLCQSSDIKGICSFSFHETLMIVNEPSLLL GQPKTLKQICEKDSCHRRNLKLIQALSHLSKVANQRVNENSGSKTETSFVRRQNSMYP TSQDDHLYGVSNINEDFWKQIILSQSEKSLNLLCLSIQNPSNPKEKYSCINSVSEMIT SDRSSVSWLLSLIQEKDERENGDEREMKKKRNLVDMCIRNLPEDSCLFFATRVFGQLL LEVGTETKNQKMRQAGLLYRSFGRYFCQEAKNNRFCGQFLFGGVRNNPDWWDYFKSSI FGNISQKDICSPYHINFGCSPHCKKKLLDQLNNRKCCFAASLEIQRVILGIEKDTSKL NTERSVDYLEQRCGFSMDRVCSSGVKTDLIILEFIFKDLNFFEISNSIQEELLRNSIR ETVSSFLSIPISDIPRIRAWPGSYIVEVLIDSGLSSRTVLMTLEEGIEQLGEELNKID SIDREFGIKVSKSTISHSRSLSTSIAPPPPYVGTFDMDSLSNQLDFPNCPKPSLKTLG IEDQEDGYEINGDNSFKQGAFRNVACSYYFNPVPPSPASQSFICDNGRWRITQHEAKI LCKKACKPFNLLSLSLPDLGENNVDDNNQKYIYNSNSSPKNLYTSIGYSGEMYSSSLS PYQSQMNSAPSKYIVSGVGNDHGSTRSISCSAGYVSDNPDMDSETFQCANGKWESHSE EKFNCVKGAEASLKYCRTSFLSNVLGTEKFMVEEQFDLSSKPDNWKVFKVSCSRGYEA KIEPILLACSNGNFYNIPGDTVTISKSNNSEFIYNLVVKTVFGGTNSENVGLSGSSRL IPKVPSISFSRGNSNFQEEIDSDSEKNIFSIIDCVPKEVMKLSESKGLSGPALYAILF APLIFGMLILAILFWFRRYKWKKNFENNQNTEENKQTLAILDVKRKSNVCDIETASPV SELDRGSNQESSFLSKIQSPSEKRHIKSSTDVSHTLSGQTISEKGNLELSKIKEKKIY KEKGEKVVPEGKRGKQKGILL cubi_03128 MDDFRFNSALMKLKHLRLHFLNEDKEEVDHCIKTNRNDNFNSSG RANCVWKFVWLGKIAIRSKKNMGIWWGKVDIRDELNKNKRAVSKAIREVDREIQKLEQ EEAKLMREIRVAVEKGYTESAKIFSRDILKVRRQMEKLNLARSQLMGAELRLTSVKSQ LQVNSAISDLNSIMGKVNESTEISRIQGILRNFARESDKLDVKGDIINDSIDEALGSE CQPEEEEALVNKIYMEVCESVKQGKEKESVRGKGPDIGKLLSSNTSSKNNIEDGDSGI SIEERIRKLGENR cubi_03129 MSETPANKSQTGGGTQKGGNTILPLALIDKCIGSRIYVVMKGDK EFSGVLRGFDEYVNMVLDDVQEYGFKVDDGDSGDGNKKLKRVLVNRLETILLSGNNVA MLVPGGDPDSFNFS cubi_03130 MDPKKTIKNIQGQSKQKTDLREGSGSRRSKESQKYSQFSSEGND DILSRIPFAGSPSKSTLSVAIPASIAGNAQSFELRAYLVGQIARILSVFGVDEIIIYE DKCKDITDNENRSSKEWVEFACSKWMEFFVKNLKYLETPQYLRKSLFKFDNDFKFAGL QNPIDAPHHMRISEWLPYRQGVIVPAPKLFKGPILPENKNKGSWVNCGLPVEAWIDTK IENNTKVTIKMSKESENLHKKLCSDFKKFGRYPEIKSYFVGKLVDDSAPYSKKGIYWG YKVRPANSLKSVFSDSEYEGGYDLKIGTSERGEPIDKNFRLFSKNQNSKSQSFRHILI VFGGLGGLEDVLSDPQCSLDKVKDPSSLFDMYINICPEQRSRTIRTEEALGLTLALLR PHLLENNKNL cubi_03131 MDDNKRSLYAISKSIREEEEKKRKLNPHYSLDTLKAEEEKLIAA VNQSYNAPLKAVHEIAKGIVFSRDEETSWRVPKKYLNLSVSECQDLRSRLLIDINGSD VPPPILSFKDMGFPQEILDALSSKGISKPSQIQMQGLPIILMGRDLIGLAFTGSGKTI VFVLPMIMFSLEAELSLPFKGMEGPHSLVLCPSRELALQIKRIIDEFLEFLRGNYRES SECSKQKNTKYPELRVSCIIGGENSSQQLAEYQKKGIHMMVATPGRLADLLKRRKVTL QQCVYFCMDEADRLTEQGFEEHVRYIFDSFYERRQTVLFSATMPRKTQEFAQTALINP IVVNVGRAGAANLRVIQEFEYVRQERRLVSLLSCLQKTAPKVLIFSENKKDVDEIHEY LLIKGVNVVAIHGGLTQEQRSRSIEQFRNGEMDVLVGTDVASKGLDFANIQHVINFDM PKEIENYVHRIGRTGRGESVGVSTTFIDNSLSETLLRDLKALLIEAKQEIPPFLEQFD TTNTSLQEIGGVRGCAYCGGLGHRIAQCNKLLELQKRTQSGAPRDTLSLGARYTSSNK EDW cubi_03132 MNERSGSQPELWQLAKNSSGYRELLLGTSKLATVLCTNLHLSPG TTLTSYHYIYRFWSKFDILETDRKFIAAAAVLLSWKVREDIEPTRSSRKLSELSRFLY RITKANSLSQLSNPPIPLELSSSFWIYKDSGKEYTHYMEQIKTYEFALLRAINFDLVP IELPFSHIERLTRILLYSPRINEHIEEGEEGEEEEKEYESLKMFRLLASSISLDFYRL PNVCMQYNALEVSLCSVWYAGIFLSMSFAYEDASGFNSWISKICPEINTERVVQCMDE CSKVLLWLINSDSA cubi_03133 MTEFPSELQRGTCDQLLSGVVSPNGSVVVVLAINLIRSGPRALV HVTDAGSNMFDNGSPLSIRITRFSLNEIHSTKLVTVVQYDKVGHWSGFTSIGKATPHP CMGSSKAAGGGPADQKQSVPQNQIGGSCGTPNSGHSTEPSSGGQQFQQQHNMQPQHHG YGGNVPSGQITGTAGPVSGKDGLQSSGPGSVNMGHTMRSGPYGGAIGSGQSKGPVSRN QDIPVYPIKNITSYLHRWRIIGRVVSKSDVRKFSSSKTKEGKVFSFEICDADGSEIRA TCFTKAVDKFYDMLKEGEVYSFSRGDVKEANTRFNTTGHEFEIIFNEDAEIQSLPQDD RIPKKTFNFVPISEIRGYSKGQSLDILGILLRAGPITTITVKSTGADTQKRELTIIDK SGHSIDLTLWSERTQLDENLISQNPVIAVKNAIVEEFNGFRLKLGSSSSLEWNPSGVE QSQELRNWFYSQPNVQESLVSLSSSSPSPGNTGSNSSTQRLFIDEIVASATSGSNSSD MLDGGIWVHTYGTIRSIRDNKYYWSSCPKCKRKVTEIEDPNSINALVLPYGSEKESST SLGPNYHCPSCQQSIENPIKKYILSCEIVDSTGTIRAVAFAEHGEAIMGGISAEKLES LKENNEKNTEDYFSDKLFSEWVFKLNGKKELYQDSEVIKYRIFSTEDMTNPEILNREA KRKLEYIYNKLNNIKETNTNNAYNTVKVGMGFGQFGY cubi_03134 MSIQTYKSYTFYLYIFSTFIIDKERASREKISQLDRKLKVLSSK ISELSQDSKEHGLVLNAFEKVDPDRRCFRVIGGVMVERTVKTVKPALEKEKAALDSAI SELEKQYESIEEELKVLIENLNKESNLAVQSTASVSSSSSSGITA cubi_03135 MKYLPMVSSSFNSTTYNRLLTRFGSKQRSVSSKNRILLTGVYTS RNPTKPLGCENEDSCSVGASHICVADGVGGWISQGVSSALYSRQLVKYIEMSINDYTK GKKCELDREKFMEMVNKSYENMKSSKIIGSSTLCLTYLDNNKLHVFNLGDSKCVIYRK DEKEVIFESEIQQHNFNTPFQLGTGSIDTPYNADYMILEGIKSGDAIIVATDGLWDNV SMDKVIHIVDSNLLYEPQKIAEKLGREALQLSLSSKHISPYSMNLNNYLLNQRFQSNI SSNRNFSLVAGGKPDDITVLIGVVQ cubi_03136 MENVLMTGSNSAGDNGIRTRVVKKAVIPKEIKENDIYVTRKRPL VVYFRRAMDLLTVTETHNFIYEERLGCRRNKKLYKSGKDNQYVIIHGMGACIMTAIWL VQDLKSNLGDKIKIEVTTNTIKVTDEHINEEKEWESSKSTRNVSGISIKVTMKKQE cubi_03137 MLFRYFVFPLAVLCLTLVENLAVIAMEDKRSLLIQRRDFNLTSS TRSTTAASSYQFESSTSTSIPCTVCPDSMFPEKPQDMEQEDTKSPVILDELYSSYYTM KNFQEDSDIEGSSSDHLVLVTPPFTSPVETPIPAPRTTTTTTTTTTTTTTTTTTTVPP TKSPEFVLEDVIEPVSPIDEAFLYSPQTENSKRFEECLGQDCMELASLEPKVSNMVNF FEQMPSDVVEPRREYPRSDVKRLVDRFEHPNEDEFESKVESPTLSSLREGTLDYLKLP EKPEPKINRFTPTREVVPDMVEMFESMNSEKPAQVKDDEYVATSVVEVEDSSVEKKIE SKVTREAVPKLVGAWEKLRHKEEVPLSGRKVGYTFKELCDDRYKKCSEIKLEKSPLVE NVSFPPAERNIFDVESKRKRKVKIRAISDSPESAKDDLKATAGRLGLELGDDEIKVSR LRGYKLVGN cubi_03138 MYCLLFTVWEFPLNIRVFSLKFEQSGDPEIKLTEVKSRAFNIRL WIVRNVRISKYNFSCVVVIEAL cubi_03139 MARNSEMNGSGGSKNIEKMINSSLDDIVKEARKERRMKKAGQGQ SRVRNNKSGKIQEFKKRNEKRPNNVNSNVRGKFKGSPSRASRSRVENEGRGFDKGKRM SRGQVRSIQIVAKLDSIPTPTAQQKAGLNNLEVIPSSISSQKARRSRVFS cubi_03140 MKISTGLGYLLLLVSQVLCVKAIHSGSPNYQRVYISRKKVPSRT PLPERFRGELYSDGSVDWLSSRRLNGGWTRWAPVSEARVPPHMVRQLKSQLVGIPRRT PQIYRERAISTSPEYSGLGVAPGSYFKPIQSTLHHSEKQFTWEEEDSSHEASPLYLQP ISPIPNQTRRSYNPASGRQSVFQLPVIEKRPTPRDISHTYQDRRVFVSPEDELDMARY FEEQNTSPISNKRGIKQTLKEVFKTPLPELELVSPTIRKKAPKNVASPQSQNFQPFQT QQPSDYDLSRMQWRLFLTSFDPRLDNVRIIKPIPPLGMKLSKTVTDCTEYYYKAFKLQ YLSISGIMYSKKISRSVNDSINKELKQWCSGMMVNWYRQLQKMGLIVPKPGRFNR cubi_03141 MWDIEEDWSEDESFGFAGKPEPKRKKLNGPVESEKLHEAPQYRL NSESMGIDENSSLNYCEASLSKALESLDKKLNNSRAKKGKNADKSIDMSIDLCVVSQE EGEAWSFQEGIEELGSSRLEFINCELSFEQDYDIFGLNSINTLQKNKQITRSNKLFES VSSMEKLHKGIIRFSGVSDPSKTFFVETWEREDTLDLLKKLRSRQDKSLGRTRGTRLG PDITEPELEAEFMTNYGPESKPGPNLNPDLDSNEIERTYTNRPILTTKYVPKSCLDLI NDEGSIRSILKWIKSWEGYVFKSSLSSKKSSEAPEVPILLIGGPSGSGKTSMVKILAK QCGYEVNEIKVSDEKTMESFENSIKMRINFGTIGGTSKPTLIMIDELDSLSNGGNVRK YDCFSFLVKLSETHSKNRETVSRPIICICNDIHEKSLRSLRAKSLNIVVPSPPKEKIF KRLSYVCRNEGLKLEDDEILNELIKVHNCDIRSCLNSIYLMSQKDIRRKDDHDDEKGK KRVQVPIYWEDFEGCCYTKDLDQDISGFVKTCFGLEIGIKKDEIFEYVLEYGEECLIN FGLNLAGLLTENIYRCNLMSDFYYDYLKLILDSIVEHNILSLRINSLLPFLSSAIFVS RKIMGIHCNQFRYLGSSTFAFSQGISNSINSIYRDISSSTLDILKVETMTNSFKVYTL PSMLTHFNGIGFLKYLNQWKSTQIFPKFKCLIESQTGSLSSEELESLYVLQNLVSKMV CFGFRFEDQTRSLFGKNNDNGSTSHFLKPNIESLYSFQCLMNSIESGYVRNPSVLPPN HGKYIYDIGFYTLVNQLVDFFKDNISKQIIPGIHYYNLNSSQKRNVQINEKTRLLEKQ VPVDIFVSVPSFQDLIMKLRAKTKTTQESKKPNSFCIYRYNDGCTDAVKMNVQVSDFF S cubi_03142 MSEYIRMGEDEDIISNINDTCFICLEDSSQGKLKRCCSQCYALV HGKCWSKWSSSQQISVLRNILLGEQSKEVELCSICRSGSIQIEHSEDGSEDFSKILSG GLIRRFFRILASRLYGIQYGSFMVSSMGHPFSSLRNLLINGVFLLLFFIYILYQEGNP DTKSIITISLWAYITLLLQFLILTGMYRRQVLNELAIDEPNLTDTYETVGQND cubi_03143 MWGLWSGAEGTKSVSNKLEKQVKEAGETTLSPNPTTCASRNSTC ECPSNIASNGAIIGDHHEIVYPSTEREKTLYQEYSQKMQEALNSFLSVLEDFNTAQES ESNFKALGSCQKTGQVHVNLGNMGDALCSRFDSVSSSSSPTSKPNDGLSTYVAKCSFG TSLTPKNIFDFIVNIENKPLYDNTCAESSVIYYLGHLALAKQCYRGMMGVQGREFLIM GRNFILSDNCYVLVASSVSDTKNLTESGEEIPVTESYVRGEIKFVGFLIRQFKGETEL FFAQKMDLGGAVPLMLQRIVLATQLQSLNALKKHLLDNFDMYFSQEVEEEVPADSSTK EVDK cubi_03144 MIVNEENIEKVVELKRKIESVLAKVGHRLELIEKFKNQKSVIEN LTLGNIAHISLRYSSTTRAPPEYDDLTGKDLSRRPNYRFPCPSNAHIQLSQLYIAKQL PCIRPRIKIIETSPDSKMIEISSAQKNVNIIYQINDSKEQVYSEPIYVEGSGNYIIKA FSTKPGFYNSVVIEQRFTIEEHEPKYESEISSSGPPNLIHELNSSTCYEVNKQVPVNN EKQNHKHSAHSHSKYRGLHLIRNQTDSELDDSDSSEPE cubi_03145 MEEPRITYSDDHRSQAEMFVEAQNQVMEGELSRGLYVSTEVETN QSSACGIACGPKEQRLTHTIRSFTRPIPVTAGQMKLNSADELIGKPVIKQRLNEMYVN RSLKTSPTNKFLSFGVASMRTMNSNPQPSYRSTGSGSMSTSRSYTYLSHVNTNNYGNM NTGISQYSREFTSNSSPVFNVFTTILNGFCSCPGFISACNNKKKIHTIEQEQIPSDSD FADSGSESDDDAGLDVAQEEQN cubi_03146 MKIKVRTVQNTEMEVEVEVDYSIEKVKQAIQALNPVMEASRLKL IFAGRILNDSHTVQDVGIKDGERLVVLLSKGASQKAAESHQNKQNNVSTESNANTEPA TGIPPSNSQNQLGISDPSIDSRASTLLTGPELEETIANIVNMGFERELVVRAMRAAFN NPDRAVEYLTSGLPIPENPVAANPANTTPANSNASLNTAITPTEESSSEQLPGNLESL RTNPLFQQLRSVVQQDPRILPELLVRIGQSNPEILQLITENQEEFIRMMERTDSDEIG EAAQFPMQTTIQLTPQEAESVERLQALGFPRNAAIEAYLICEKNEELAANYLLENSAD FFTDGAN cubi_03147 MEEAQNGDSWDVVVLGTGLIECIVASGLSMRGYSVLVLDSNTSY GGLSNTVKLPTAHNWISDSPEHSNSLFETSSFFEKEYDIQVYSSLTETESENLKNIYI DMMPKVLFCRGHLVEMILSCNISGYLEFQGIEDIYFVDIKDKETLKLTRTPFSKKEVF SSTELNLVEKRQIMRLYSGIRDILEISKIEEKVDLDLVSDPFRTPALIVNTQNSSKKN NSYDNIQNSNKNTCISEIASFSDFQDFWKINDRILDLVKHNIVFCSSNCNEQFQWKKN FTRYFNLLLSSLNQHGCIGTPFLYPNYGTCDLPQSFSRLAAVKGSVQRLGTDISSIER QFEKEKLWKIHINSNNLKEVIQSKLILGSLNSFSKYLKNPINNIIEKRVLCYFIILNH PLITPKNSQNHKKLCLASIRIGENQLDNNIAYILQCDHYTGCCPSGYYIVYINKIMGM QETTLEARSKIQNAINSFLSEKTNSETKILYKASYIYKQKVENPELLSDGLILLSDPS INNNSFFLLDEDVDNAILALDKSLKFLSSQNQENVTFHNFSNSEKDQQGKENPRSQEL SNQYIIKKLQNILD cubi_03148 MDFSIPEVMIRRRIVLEGHLDFSNSVTSWDVYKNMLRKSSEMFV YCHSKKALICPTPRRRGVKEDGCIIAYNSGYILSIGENEETCAEYIAWLRKKFRQHDP SVPLEIKQVNSILRVEIPSKFGLINIFKLYNTIIASNHIFEILFDPELYTAMIISFQP NGIYSNEDNISTNKFLRFPDEEIDEINNEITQCCFVVSVNYIVVHKVKDHTQGLEAIQ MLMKYLYRNDIIIASVETSTPCS cubi_03149 MARGPKKHLKRVAAPSNWMLDKLTGVYAPRPSSGPHKLRECIPL AILLRNRLKFALTYTEAKYIVMQRLIKVDGKVRTDICFPLGLMDVVSIDRVGKNIRIM YDTKGRFVPVQIDAKEAGFKLCKVTKVALGAKGIPTATTNDARTLRYIHPDVKANDTV KVDLATGKVLDFIKCEVGNMCMVTGGRSQGRVGTITHFERKMGAQCIVTIRDQKGATF ATLMKNIFVIGEEGKSLVTLPKDKGIRLSNVEDRNLRMKKHRN cubi_03150 MNDYDESGGNYDEEEEYIEEELLEEEIEGDENIEILAEDAVHSR YDGKPNEGPRITTPYMTKFEKARIIGTRALQISMNAPVAIPLDGETDPLLIAEKELYT KKIPFVIRRYLPNGNYEDWKIDELILD cubi_03151 MEYIGSLDNPLRLIYFSCRGTCDAIRLLLVDQEIPYEGKDFLQP EFQHVLAESGNFPMLPYLSDSNNEVELTGSFTILRYLADKCKLMGNNSEERNRVENWL EFLQSLLHSVWDFENISENYTGVQQTKKKSKFLLDTLHPMLKCIDDKIEQGFWALESY SVVDIVLYSTISVVIRSWGSDLLKPYIRILSHKKNMEKLRKQIDSFKDDPRRF cubi_03152 MYKENEKIQEELELSAIFTKFLECLAKNSHLGGENIVKHSKNIL RIFLKEFPEKLYHSKRYSSQSTDGSISGNFSPSQNATSNQTPIKVCSRNSRGNGREVE GEDNNEEKRENDDILQKAFMNRCFSDGLMFAHSNLSQNPNFYSGEILDFELELEAERQ NIKVNEKEVNERNMRKDLYDYPNTTSVSSSSSTSAINSPFSNTPTSGRPSIYRVMTST TVAFPSYNDDGMEKLNIALKEDRLRRISTHSNRIWPSNVTTYLKGIDIFLRIPEYIRP KKDGAMLNLSIGSCSHPHPSKVHYGGEDAHFYEENVIGVADGVGEWANFGINPKLFAS ELISGMREAYLSTKCMQPYFPPNDVAILKKNVHANSELEVGNVNVESKNALEFSGFKC RSKGAKLKFDISYNKEEKSESQSDFDNKKRDSRGYVNGEKDELLKVGKEFNDSPRTLS YSQFLLMEGYKNTQSFGSSTAFVACFDPKTCKLQISYLGDSGIIILRRTPETFRMGIV YRSPVQQHSFNCPFQLSKLPTHEDFPMLQEKGLTCFINLVKNSEGVPQDLPSHSIYKE ITLSQSDLIVIATDGLFDNLYDYEICSICSGAISPYEAIQLLKDPKLYSSPHNISKAL ANAAYIKSLDPKAKTPFNKQCNVNDELWQFSTGGKLDDITVVVAWVVSEIDQIILNSR PDKFK cubi_03153 MKRVRPCLRIVVTLVKSFIGTGIIFLPGSFRVSGIVSGNILSTL VCILAIISIRLLVKCCQGKETLGELAERVWGRSGLILVDSSIFFSQLGFSTVYIIFVS QNIQEIIYSISSCQIEIPILKLICFQMVIYLPFIFLRDIENLGFPSVLANISVFSVLG VIIYYGVQNLERYPLARPQISRFGSIYGAGLVLGTSAFNYEGIALILPIRSSTPEYLI QAFPTIITFTMIMIGIFSNFFASFVYYSFGDDTASPVTENILNPKAKIISLIIYSSAI MFSVPLQLFPSMAIIEKYLFQIKASYMKRKTLENLNKIGSKPVPKTVEEASRKEIMEE ALMITDKHEKEFSTKNIRINPTTINSVRTSKLSFSSSPLKNSENISTFQNSLFSTSKM IQLVPISENWDSPIGNKVVYSPMESSAPSRKVGTLDTKRQQKFEKRVVNEDLKGNVCN SIHGDVNNRIISKKDKKEYLDEQEIEDEGTQIVELNQNRLISSRSKFYHSVLRALVSY SLVLLCGTLAYNFEDELGSFVTITGGLLCVPIAFVYPPLFYFSLNKERISKTRRLFIG FMVFIGSVISFTSVTMAILSWETNRRNLVCIM cubi_03154 MLKFKGIFKGLSFILLAGNALSQTTQQAPTSQLLQELAQYRQQF RRTVDGRLCSAVFVQDFQTYTDCTIAKSPDGTSGREWCYVEPQVASQGSKNWNYCLPV VNYDMLRTKANEIFEIKARESAKIANQLDNQASRLTDLLERYNSTCGSQQSIVSSRLD NIESLIQKGQHCLNKIEESITKVDVLKTSIDELEKDIVRDRDFALSRPENCELLPGYE DIPFPDGLRGSYYDNPKFDGVPRNYRNDRNINMMYISKDPIDGVSNQQFSIRWDGFLL APESGRYYFSIEADCGARLFLGGRAIIVDRMPQPSSGDASSDRAVPLLLDARHDGPTK VTSVAQELIGGQKYRIRVEMFHSSHLRYNNPDIASIKLMWKTSNIQEQVIPSSYFYTG NPRQPLKLSGVNPVFYEISTLRNGEFAHKDSNTHYIADLPAGFEGLKMLKSSSSPELH NMKVSVNQPCTMFIAADVTDKLPVTAENLQFTNTNSVFSIYKTDEADSTKAVETQTMK VAQVTIPKATDISLRVNESKPFILFFKQHPTETSLTNTQCSERKLLSTDTYIESVKSS SSQSPEFDGVYALKGKNRDEPYGVWRTSVGSTIGESITIRFKQPVEVTDFQFIPLDNP SMWPASLTMYIKQQVDEMHETNIQEKFTLAHTANPNSHKYMLQYPLTAKEVKIEVSQM FVDSTQTGGSFMIYGKSCTKDTTTTTSTE cubi_03155 MKTTFRSIWLFLQIYLALASNTKTNQIFGLVPEEQCKNFGCKNV KSANYYTSCGQSVFCTKCQLNVFKPLTHICGGWNLGKFERILLANGSIYSNLKISGNS FEDLHADWGENKPIDLSKCQLENFNYNTAYSAFSVGGYTSLYSTVTFNIAILVNKPKG KDIPPIEFQIDLDETLEEINIRGMNARIRREKSLCPITHNLNPLKFQQKKTKENMTMI SKSFSIPLDRSGVYRPYEFKIKAKCSKKKSCDMKYYKFCLRLSCSRMLESQLAQYKIV QGLLKNHPETILRQAEEYEDEDFKESSQNKESEDAESSNNRDIDEYEAEKPYEFTEKV QISKKVPKKINEELQLGRRIVFNGNSAKNPKGLLMSNLFYIVSGFVVTIILVFVCFLT MRR cubi_03156 MENVSPKDGTGQNNARKSRRATRFSMIWRKPEENIDGTQEKKDH HRFSIWNQKPLNEKKALNAKGSSADGKNNVDKIDVFKARNEDEQSICTNQLEDEKNQK NIFQKLGSDLFFKRPSKVFEEKKEEEEEDDEAETWVYTIFRFFFPDSAPLFWQFFLLC SSIAMYVNHLIDNGFSTSTAKCATVIYFMDVGTFLLMMVVRLIVFRLLLPWLCPEGRI FAALSGTADPELIYCIWAGQISLLWKLQLTLNEEGTGYIIPFLNDNSTIPLFSFSIIM KSRLIVLVFAIRRLILAFIMFYFMLDFIGSMSKLLVEFLLKYRFLRLINCNWTKLRPH IVEGLRLMPNQNSRFLLSKFSSKNRSKEKHMNKGDSITLPYFLTEEGISQIKKKKYQN WLAVQFVKQYSALFYLDNQFVEVRNKKDARKYAKSLFNDMVEHTNEILLFMGKWKYKQ KDKSATNNSEAEFDGMERMHAKEAVEIGSASGEHIITNLTSIEIPSSVPNSSSQASSS SEGESDFIPKIGKQESLIDDKSAWDTSIKIKNPTIYESKINEPEFKREKKIEGKNDDE KNEEKKTSILRAEEFKTVLVEENGRPIQPSVLSGGKQNSDLKKKKTYNSFFPATPYGV FNYNDFCLFEEETDQPLILNIDVLKVLYGENVEAFLKKIDPMGRKEYNEDDWVRLLVT TYETRKKMINTLESQEGIAKVFKRMVSIVLWFFSFLFILIILGVNVNTLVISGAAVVS SISVALNRLYSNFVSSVIFVVFENPYNQGDRIRINNGPIITVKKIKTFCTIFSTVESV PIMYPNYWLIDQSISNESRALQSSHILTFYMSDLTSPFVFDALTKSIKQYANDRPRDF IPNSVYVYIHSIQPGHFIETRVSFSNVNPSFEWEKLLEIRTPFYLFILHALRQHGVEY FLPESRVLYSTWSERGKRKTNQAFKDPKSLTSNTLPTNKKP cubi_03157 MSTTNPTEITTQWEDQMVKRGIWAPREHEKKNEEIYKERIDALE SINFFENAKLEKLIDIEVDKDENFEKELDAIRARRLASLKNKADENSRFGELYFIRKP DFVKEVTEASKDNTTVVVHLYKESNEDCQIINKLLSETIAKKYGKVKFVKGISNDIVP NYPDKSLPTMIIYRNGTSISQITGSESFKGKNKKITIKSILKLFAQNNVIKNSEITEQ W cubi_03158 MDLVIGRGTFGTVRVFRDSKFGKLCIYKTANSVEKNGDLEKEDN LLRKLKGKQVVERYGSFVDENGLFTIILEYLPRDLRKVILKEEAYSFNIRRKILFEIL KGIEHIHNLKVTHNDLKPENVLVNEDFSIKICDFGMATETDSKTIKYDKVFTNLKYKS PERLLGTRNLDDLFASDIWSFGCIAFELLTGKALFNGENEIDQLLSIFRIVGTPVEAS LNYLNSLPSISKSGIILPSFKPNWELLGFNCKDSKPFFELLRHSLNPSSLERITATQA LKLKIFSDLL cubi_03159 MSEKDKIVHAKNQMSSDVLKVRYRRELSSLSLEPQSHQSFDKKS LAIWLFEWYDSKGRKLPYGNNEKRLNEIPEEELKFFHEILKELPGDFPMIRYSVLWSK WLFPNVKIRNSRESFNNWLSIMKIMEQRFPSVYVNDVDPLVNLLSDYLDSASPNEFEL CYVGVKLLGKLVSMYIPPRKGFTIVSGRLLESFRTFYEKENLEIPFVLEIRHEITQLI QFCVSNNHLPSFTSILKNEVLAITRNLKQNQSNKQISSSNYTLIFYKTLITKDSKFDC FLPLIIKKIHDVEKSIYREAQISNNIGGEYLLFFLYLSINLAEQKDFYEKVKYLNECF NFFINVNTFNIRESNDEFGNNFKLRIYELIFSKLQECISKGNLNQSIWKTIENSIIID PIKCLKLLPDIFLSNKAKKSIIPNSLQTYHKDSNYGPLEVILDFISSEDIHLKNSDFL NSQSSVSSSLKICISKYILTFIKLHDLPLFFNAISELISKDINPKDIHKFEDIFLSTE VLQTIKNNIAFSVLPGQTSDIINSFLGLLKGNSSESFLYECISNSWIGILMITIQITE PSIPNLEEAVRQIHQYIKDTDSNGLFSENSKIIQTTCTLGLIHLLRKLLSWNIERKSE FFEMINYHYDKVLNENYWGSCNEKDSLYSPFNVYITLVHLITLITKLRDSGSLKRDDF KKIFVLYGQILNLYSDLDIDDPSWKVRINKILTKFILLNISHLKGIDKYIKDYVEGND QFSNLDLFLKSLYEQYIEKNDVPNSLFNSFVQIPYLPSIMIDITLEELNNNFLETGSR KKRKLSLDNVSGESSILMFHDLKILDFWNRKEIVSYFLNNRTELLVEDIFSKISKLYL NLIFREDYVSSLKQDQISRRHSLEKALEGIIRWITLEGEVGADDFVKILSQFFFNKEN GKQNILAKYLDLISRVETNSKMSQDLNYILKKSIKCVSRSEKDSKRIMDLVINQMESL DWWWWMNVKKNNEDLLIEKRSWLNQIQLCIDLCSEESFESMKGMVMKNINIFQILKSL KHKLKEKKKIELLYSTKICEFYLGIHPEIQNWEFDPGEESSETQENWPLPRINTLIKI LSGILNKIQKILEDSENSKKLLKECFILIDLIISYLKQVIQITKNTLLDLKKNQEFMK LCFGSLEKVYSETFKLFLELIRVNLEFGIYGIDQEDHETLNYRNHFKNVSEWSRYFVK CILEGSSIRKEDYSHLPHIYRQMDIYEIISNLVNSSVTSLLNHAINESMIKVNFTRET NLFLFLSYLDLFWGIIRNKGQIYKCLSPCLRGNLFCKISSWGTSDKLEFSIVVMISRL VDYIEKRQPNLRIEYILCTFSFIRLSNIISILYSCCVRCYITEVKENPRSSLNNSLII PISNMLLVISSRLSNIGLGLRLEDLESIVKKERMDENTRESFYMALFECLMISPYIPL YTLLNPLWDQSNRKKNRNQNTTGHLDVWISQFHLIEESLKNLVNLGDQISFQPIGIKL YTLNNKRISRLYPLIVSQITKNKVQRYSISLACDLINYINIINKRLEFLEENNEEFRD IMNLNIKIIKSSCLNPILSVIDDHCKQSLFTMLKDEQRIIFKQINKKNSELSSLSKLE G cubi_03160 MECLICFTDLDEINSVEYKTSSDSKWFKSLFCIDCIGTLKKTQY QRYCDLVTNTKCLKEQKSLLKRGPPINIHDKHGFPECGENEVFMLCKSDNRDIISPKL DGSLMGEERVKYWDYLKQFISKDLLENESSKDEENDDS cubi_03161 MKSIFQLIFLISFYIFGILNALQIHDSADVASVDGSVLGNEVFD LGSKTETSFETDSQEGSKSVDTQREKSSTTKTTRDTYTTENYKPDTYTKTGESYDESD AFETESTRTQDLGTYQTNDENTSSNIGETKTTTVKGSYQTLGTKSTVKTNKGSRETQK FMKMNQTSPSTTSQETSDDFGTEDYTNEDSTYSVSENESTSQSQSTSATPTETETGTE TETKHIGFDGDGFLKAVSKLVNIRKRIGTGNTSVTTTKDYQKGATGDYSESTSKPNNQ DKDDDDDDDQDSFFKKYGIPEYVVWIIIYTVPIVIILLFCLTSYCCCCRR cubi_03162 MEAIKLSKNETNHTFQINKKTEDECFRFFPSYFSFLDQTELTGT HKKNILALVKYHFLSWINKSDEIQIMNSKGHILTLMEKLELVKKLRESDSQSLVITEN YVMYNILFNDSNYNGVFNILNFCDHSDFYKEQNLEPNHIFLNFDTSEFIVNNRMNNKT LIGNEREFMYEENYFFKKILDKYSTQNNFSNFKNDFNPVKTVIFKDLEGFMNSMDNEI LGGKSNNKVEGTKKEKVRKFKAVEADKNLKKSTMEKRKKELSKGGKNVKYTYLPKERT NLRKGESKKPYKKIKNSNFYLDKRPIVSNKVYIVNQ cubi_03163 MENKDEHQEGESGLFCIVNYSEENNEDVARSNLEEGVDEVDIGS PTSITKSSVSDSYSSVSSSPFISISTKFSGSIRTDCSISQISPGLDLEPYFFGSSSES SIPTFPTNIDSGSRKSQFSTNILKKEEYQNECMNGFSLNTSEKSLEELLSENLTINGI VMKNLNDLVSTYSGDLLSELIKLDLKSIVENLFGIYSKEYYEKSLPLICSFLSILLEL SFNENKLNELNIQLWTFFEYIMKEILQIFDIKEVYDLPLIPVVYWMIENWNRYDIFLT FKHDQKDEEAIIKGKLNGILFSLHIRKDLEAPSAVLEYYFRLILDYRPTDAFQGCILY VIKSTQFLINYLLLSDDVNFLEVNYRGIIEIFNNFDQNRIQIFSRTLDVLISNSNPDQ NQSQIQDQVEYLACIKTIPIYFFLAFFLIKYPEQNTIQKILLDIITSICDSNSLEFEH KKQSIIHIINLILYSCKNTSSGGTFDMSVMDLLLEIFNLEVWKYLDRPKTLEALNLLD EIIRDLTKRLRLGDCSKNEFEAISGLYKLQSILLVGTSDSFKNRDEKRGKETYSEYGE KPSENSWKSRLINNYLTLNEKDKRIRNVGNTCYLNSTIQCLALSYYFLEWLHEYMRNN FSKPNKLILYLFESLSYLLEPIKKDRKYDIIGKLYNNDDAKSIDIRFIREISDQFSFG KQHDACEFLRYLLTNIDDDCLPFMMTTEDFVECLSCGVIQSKESYSLSIIDLYVFNNT PFGSSNRESFNLNNDTITLDSLIKSYFSAEKIPNSLDCDHCQQKSASKRWISLKNPSK YVVLAIHNYYWDRKLNKAIKQTQLKIKFNDFFILNNHKYIIYALVFHKGESTSSGHYF AIGRKHFYDNYKQKNPNWFNYNDSIVSNIESFYQVQKSSENPFLIFALLADN cubi_03164 MTGFGINDAILGLAFMYSSADLISQWDSFSTCCHPIQLWLIISY ITIIAFRVIHYVSSYLTEGSDDVLPYSSTSGAPFWLNLSVVCLIFPFFLSWTVVGTIW LKNIEAKTPQCLAGAGTSHPWFLIFWLVLCYVWIVAYTAFIGISIFFEFRVRRAEEDL LLLENDEVVRRWGRLRLLADYGIHFIRHGLTPVEVAALPVSKVKVEEFNDPCSICIED FKLNEEIRTLPACGHCFHKGCIDAWLLRNAICPNCKTLVRYGKTETGTSPCRGNSYIP DHQNSSYRSNSRDSVSTMDTRREAGRERSYDSLMV cubi_03165 MGETYRLEYENNHRDEFPRLGQGYLQIPTIRVIPPDLGLDERRL PSFMIIVREKFLILKRWIYYLVYSTLSWFSNSFSGERNGDQIYRLFVYGQLISVISAA IWWGFFGTVITFMMESDLAAGNARLVFNLMLVIMSPVSRVISEKISMRIILVYVTMLR SFIWCSYLPFVYVVGRYFGYKSILEIIGNTQFYILIALDGALISLLNVVDLDCGGLNY LSNQYDIEITATQKSQAVYTHLTIFDVSFIVLNPILAFSILSLVIFRNSKQGINIVFS NQELTKYDNFDLSNSGIEIPILIIISVFQALSTTSIFFYKFGIPISKESSLTTSEDSG EYYMNVNQERAQKAFEYERSVANPSHKFSIKEIQNEISNFLEGFVFVSQDINIRNRIF CLAFETAFEDVMISIIIPLTIIHLSKELVEKIYLETQNLEDYWASISLFLVAITLGFG KLSSFIVTWKYQKEYIQNREFEVNANNDFEYNFSTNNRTISSEFTPPSTDISYSKFIT QFLNESNLNHLFLQISIADLSVILLPVSISIMKIYSEFFFMQITCICAIFVFSAIFFG CYSVPKIGLSIIYQLFTDDEKKQGKISAFSGIIVTVVDGLVISFISLILHITNNFFGS FNAGLYVISIIYILHAVIEWRYATKYRIDCLTINPDLEHKKNGNISISSV cubi_03166 MYRNIGITIFVLFILRFCFWLKKRLSLSLNNKEKPQRNVKCGDK VKILTVLGSGGHTTELLMLLRDMDLRNKVSLSCVIASTDKFSLEKALHEFSENLQVET CNVKDYVKFYMIKRSREVGQCYLTSVLTTLISLMDSMKVLFQGRYDLIIVNGPGTCIP ICFGSLILEDIAKKSRDSGIELSSSTIEERNSILEHLAEEIYLNRNEILEANKKDIEN SEKNGISESMKMRLFLDESKLKACISSVRDVMNLDDPLGKCTLSREITSNGLKLYRRT CPIGVILVIFEARPEVAIQISSLTIKSGNSVILKGGKEAINTNRAILNCIENAINQVK KNYININKEIVQMVFSHDDINKLLSFNQFIDLVIPRGSNELVQMIKKNTCIPVLGHAD GVCMLYVHVDAKTSEGVEIVIDGKLDYPAACNSLETLLIHKDKLSEFLPALLLRLKEQ KKHIKFHSDKECLKYFEGEHDVCELTEDLYHHEFSSEEMCVKSVSSMDEAIDHINQYG SHHTDVILTQDELLAASFMNRVDSACVFHNCSSRFSDGYRFGFGAEIGISTTRIHARG PVGLEGLLTYKYMLKGHGQTIAQFKHGKFTFTKREIV cubi_03167 MKSLFEKYSLDIIGVGVLNEVPNDSHYGLDIGGTLIKLVYTLSL ENDELFEYELESMRKNFYDIEALKSNKKYAMFCLIAPVNKEIELQIGDVLGFLKERGL YKPNSVPMTGGGSVKFKSMLEYKYQIRVVRFDEMQSIVNGCFELFGNLSTGNLHQAHF YSISKGETFLVRMDSNTRIFPSLVISVGSGVSIIRVENQNKFERVSGTRFGGGTVFGI SKLLGVENYGDLLNLYSNYERKNGQYLGDSINSNCCFFKEFVKEASVSSSPSSSSSQY LGEVELKEKFVHNIVNMMYSDLSYLICLISSNYKYENIVFCGNYVSNHHKIIIKSIKK YSHMLNDKINIFFHYLDGFFGAIGAYLSYKCN cubi_03168 MGRQNPSVSNFREKGGVYVGAGRNVNKTQTVSNNMPGPTLTKVD YTCPLCMEEMDETDRKFFPCKCRYQICLWCFYHVRDQLDNKCPACRQQYENSLTSRPC DREIEPLSKDEGFNWCGNTVSRLINNESNKTQNDHSDTIEHIDKSNEASNLEDMRIIQ RNLVYVVGLNYSIAKREILSCENSFGKYGKILNMRILPNNNDTCSAFITYYDELSATK AIKNINGKKMFGQNIIRCSFGTNKYCNSFIRNSICNNPNCAYVHEIVDSNDCISKSEL INFHSSNKFALKPLRELKQNAKNGQEIYNKDKKPIKNKVRKPYANSNNQHYNCTEKNE NDYRELQGQECHEEIQNLQEPTEIQNDNQHTPINQETLGECQISQISPETNTTLEQKQ IASQEPKESHFTNEEQINVYGEEKGREEDIVNNIEIEKMKEDEKVQVNSDIKWGIHFD DSVESFHSINLGSGKFHEPGNCLPEIHENSQNTTKTMPNSIYYYPSLSINQEKEERPM NLEYSKNKNLPIQEIHMQKFPRPFISNHHLDSHIPFNQTQIQNHVYPINGLVHQRPIE YIDNVNQDNDLLELEIKNNIEKMIEEETCESAPRAQEKQNPFVSLNSLENSQPFHSIF SNQMAQNCASNNRKTFSNVHAGIIQGIQNRDIREQINVSNPSLESGINILRAIMPHAN ITIQGQ cubi_03169 MILLDKDIQCLFFEFVSSKISININDENTACGNYTVNLINNTKN ESSILKKSENEEIIDKLKKIFLDKENNCINYDEWETQVVEGLLGMNKLCSGTLYEMMN DEVNVKNSVPEVYLNHRNSVQIEYNKVEELVCKKLNLVQFYGGLNYFKLLSKLSESKD YIYPFGFKPVLEEIVNRHKALQFLVKNEIFKELYIETIISRIFYELDTLELRKLRFKD FKNTNLVDVLINMNGNESFDDLINYFNYQHFYVLYSRFVELDIDEDQYLSLDEFKKHD FGSITECALKRIWDCNIKEKKELFQDEVNPDRKMSYHDFIYFYISDEDKTTERSIRYW FEIIDFDCDGWISFNEIDHFFREQKNRCNDLNYFIPELNNISCMMNDLLMPGVHGKYR LDDFLRNKLVAGHFFNVLVNTKKCLTSMFMDAELNSLQAVVLPKLGCKSLSPWELHCQ YQYQIIQDSRSEENTEAKEREKQQ cubi_02524 MKKILIFFIILYFNLDISVLCHPVELLKILDDLKVNSEDRVGIF SNSSKMKKRSKSRESVRNKNSREMQIQYSSAKT cubi_03170 MLVGVSGKDDRSLSLKEVYGEKLGSFLEAKESCYKAWNRSEPPE RLWKTLQEEKENYLAQLVSSGLRFSEEVQNEVDWRNMFDLLQKSELSLEYPFSLPYKS ESLSNLDISNSESQLICNQFSPKWGINAGKSKTKRIEGRRINEKSKNIPFEMDERQWH LAGYEVVLLCIDFIRRNIGELRLEITRLEENKLKMDRLEEQHKGSFGANRRITSGIQG KFSNVLEEKSENYNFSKLCTEQGMTYEKLQSLHSKLTKDCDNLMSINKSLIMFSEMAR IRFDIDSSTDYLINKLLSRFDSGGMGFFSSEDIHPLETRYRVLLLLESWSKSDLLTSR CSTWDHGKLRIKPGGAPRIVPELSNSKAIKDEPEFKSWVHRQIHIIYSNLAFKLQRLV LFIPPGWLQYLPGEIDLRDMLDLRNKSWSYSDRSIGLGIHKEFLSEGIQKSLGKNPQY LLEFVLESISILDKLRNLLDLGFWGDLSYLKNIENQINGRDLLNRLLKLMSAMERLDL AVRRDISKSSLKSVSPTFHINLSSYCVEAGTTEKTLTSISSQSKQDGHVYTLNNHIYN GNNTNHVILGSNSSQIESKKYPGHINRRQLIYSNEFVRDSIEEKLLALPKLNSYWMFE PQVLHVLIKTLMLVNVNPVDLNNGIKGTGLDSIGLHQYSPFISRCVVFIGYMLENGIF VDEDLEYMGKVTITKTNVIPTSLDTYSLYLASSMWICYENFLSIRSLRPISGPSLDTN HESPLRVEETSGPRVKEFDNSSIQELFFSVLDLVLKDLLSFLKHQTVSSLEEELTSSS QSLTNAYFSISKPIGQKSATGSSEVVSKEAPKQTGSNIRSRFHSNSRELLRKITNSKE AKGTSVEKSSNENKEDEFDEDFTHLNRFYKITSFLNNIKGRKVNVNSNANSTISQPIL RQSDQLWRNPDWMISFGDGSKGAKNKNNREDGLSEQLWKVFGISLTTSSRSERVYGEI LVRRLSTLSFRSRILCLCQSFFKLGAPGILRKLLQIVSKISTYLVLEEDENSSLVARR ANMGQIGPDRRLWTRYCNYCILRSNELRIRELFEIPIQMLRLPATHYVELKLRLKSKD LKESEEKERMERLARQEIQGCLETFIHSFQFLENVYIWETITCWSRVMYEGASLTAGG GSISLVRDYVLITVRLLEVYVPYYMQELMNSEYWDLLMISKSQFEDLVSSIIAIDKYM VILRNWLEFNLDSIKIQSRIIDAQMIYNQVNGDNDSNSQIELKLQEMMRRRYMNDHLQ QVTLFNLFSPQFILLIQNRINNIEYLVYKVYQNSKDLGFNAWTEGINTPDIYTSSIMI DIGTIVNTGVESLVEWLIFPLEDEQSLTLSLSPIMKFYRDLTTFIVNTVKRELNCKKY ELIDSYLQYNLEILSVLSKNGVGMNGSSLGGSVIQELMNLLITHKIPNLARQILSFSS SSSTCNSGNSNTGIDNTGINNTTCTNNNNMLFSSGIGSSVISGNGDILGIGSGNRSGN GSLNRSKGKLTEGGGISEALIAEKFERGTIFFSLLNLCLRAENEERDGLEEGTVIGSG TRMERMVGIKKGLRDGSIRGEHYSNMALKSNIYGDTGINMNGNYNSSGNSASYINYVD TYDLKYLVSIHNLFFFKNIIFETCSKFFNLFFGDGFSPNQDEDHDDLDQRYRTQNENL GNIESSIPLNNRKNRQKGVSRPEGRTSISAGFTGNSDPVSGSISHHVDDWRESLQEKQ FYKLPDEVLLKMKNILEFLRSYGAMDNDENKLLASETMTELNRLLFSQGSNSVFSDPK VLDRHRDLFLNLIGECIQSIDSLVIYIFRMYCIKTISCTFGLEFYLRIYSTGLLNEIQ NRDTNKNNVNINANKGSYSYTSSYEEEAVLTLESLIPGLTSCFRDFLSQCPNQGRFEN RDEEGNLGKNDHNFESDKLFSLLSKGLSTQNQIEAEFNKEYMRSLELLWLSHIFELSL CKIGLQSYTDEITPLFEYDFEILDELRRSSNVSEIRSSSKNKESKQTLMISDIILYFI TQLLPNKKIIQHKIFKDLTLLSNLSSEENSLIPQKERNSSQNSSNSKTKLKTKTKVTN ESTRYLSNQDLNSENAWAVSNEVRMKNNSNLERSKEPERGESSRSRGSFLNTASFTGG FDYSNISKQLSKGPPQIVSKIIKNSNSGQKKIKNFISRNLYH cubi_03171 MNMQKNDLDKIVRERCVHPMEIFLELRKLDVSPSEVAEKYILVG LDQLYGLDSKEVRTEYVSKMIFNSIRRTKKLSDMIYVETKNGGRNVSYEDCKMIMDEN KMLKMDPLGSISEDYGEWLKESLSRHFGRMVECDKEVIKSLAEQMNQFLSISRAISVE DAGSMKRISDVLLEDNVIVRSENKGLYEMGKLSNAEVINFPATLLKLRESVLNLSQVV GLNSTKGKEMSILHEGSRYMSRRLMMNKEQEEEIYFGKNLKEVSIERSYSLLFKEDSG SELRSMVQNLSEFLRSGTEFNLYGRRMRMIQSSKLENVFSSTLKFISREYFNIEQTNL IMNLCLRIIIKLSNMSFLLEILQELTELKDLIGDQFVLEIDSLVWNKLQFILLASSFD LLVHDEQNDSHENLRKISNSWRDKEEILGLPFSRHLVFEKIPLFGCNIIQFHLISDSR VFNEAIQEDLVRSRIEISLEMPNIEEIGHLRSPKQSKGIPSLRKFDTSIKGGKETRPL GTLLMLEFCDNRINIYESKQINGFCLAGNNASISCSSGNTGTGSSMEWKSTKQLEKSF VGDYLVELVTYIETQGTKTIQNCFSILVNGEIIHTLRFSKEIINNLKVQVTMIDEVFL EIQNCENLTKKLVKDILLKNGIIDDFITETQISDQEYNKSITEKNHENHIGVRISIGS LLIFLSSLWRGRIIKDPRAIFELHSNHYYNLGEDIMGNDDMSKLLRILNQELNFITKY CTDKKNEQEFQISEIESDIQLVLNLIFILKNFVSGDNSMVQHIQTSENTDNSFSGVNF NYSKISPNLKNCFHLISKLMNLSLHPNLEGFQWLCRITAINTLTQMSFEVIKTIFHCI SLENLLGFIRGIMNSNRNEVNEIIDLIRHLDEANIFVLIVTRLLKSKQDCNLETSEVF DYDSSFFIILKELLKKNTDFSSNKDFQAEIFNKSLEIEDQLSKDNILTQNLADWLKIQ IETERRNCSTLDWMYKYYDSFKNKSSKQFMSLRNPVYRNNCDNRIVLNILINIFITNG MKISQANIVELISNRFEKELIFVRSKNQGHPKKRDGHYVQRGKETLENLEDPDKPCLF DQSKKSVPTTTTCENYENKAKARRRLSSSMNVRNTEIGTSQDQFCTEERVDHNVHHED ETRDDLLEPLRNLKSSKDYLKNDKNIIFWREVIFQIVDLLVKHSQEWFEANYYYYHKY SKDNKVDNLKHPVCSSDLSSKNTIRNVMYNVYKDKSGIYTSSEIIWFGHLLRYGITEV LGNVISYNLCCSDLLMNSLKRSEESIENFQYLHPAVIGRIKKQLLYLLNENLVNLNIR IIREMVNFNEKFSDFSRDLFDHDLLILGGILNQESIHSVAESHIQFNWLLSQLFVWAS IDISVILRDYLINSIKEKSLIQLSTSIHPIILRNVIENLLEYKSFDHFSLKLSSLMNP KSEWIDNLLTVLSDQDTDNKPNQTNESIDGNLIMKIINSDSESIKSLGNYWKIFHPKY KNLQLAVYSVIMHLFGVDDISTLDNNKIAVLEMSLNISKKCCSQLLSNLQVQSSSNGQ ENMDSQQQAKIKEKFIESTITRCKWIIENVPFNLCNRYRNPIITQKEDGDHFDVFWNN EQETLSFGSPNSDNRTIIEGKKKNRRATDTNIIFNSNSHHIGMLKQLLSIRRRSSQQS IENPIITSMGSFGVTTSPSISLSSRLNRNNSDPSVFSNSTNSPNWRVDNSSIPINIED HGHKPGLEENISGDEMDSSQILDLYINFIMNGPTQIQDMNKVVKSQIFYSFLKLMTLE QIYKITSYHKCFKISLQSFLRYEGWLYIRLAQLVMIYEFKFRSLLELCFEKRSKKSLS SSSSLDSLSQILSVVETERKPFSGETFRYIGKIVNNIQEWITQIFNNKILQKKQFHQN ITENDEFWFDSSLRLVILYFMIVSRKNNDEISILKNISSCIFPFIQEQEIDQEILKPQ IRESSSFDNQITLGDSITGLIIAEMKCQDEKSLIQVFQEQGYHIEQILQEPNGDQNDT RIILFVQRLSSLPVYSIPIFVNKEKFSSFYQISYNSNSNLLIFKPEDDDNCDPISEND QMFFYYQFQIKVMDNSESSILSNQTNAMNSKDEFKFESLVPLHTCNRYLNKDHEQNQG YFSLNHTVNDLDSVKGCGCTIVGLYSKKIRIDQITFTISGGYGGFMSKSNSNIGNRFI SNLREDSKLKEEESDLYLKRSEFNQSLNSSHMTSYLSFSSSSSSSSSIILLNSLNRIN SIILNNSYITRLKKRGWILFKTYLLTTLISGGNDKAIKERMISYTFSILTAISKKIQE TQTIEDSVKAGEGVNRNEEVIEELELYVEDILNILIYSIQLTCYSTRLKKDETENLQE TGNQNLSNNQNSGDLGDISYSILIKFLKLHNGEKHILKDLWTRNSKIEGKISALLTEC IRQCTLKDLTSDSNREGNSFKNAKKDNGTGSDILDFQKTQEWILQLNEVTQNNKEATN NICNFSVTLPDLHLFPRSFLKCISCELINYNTEIQDVDSKSKDTPTNQNSNSESGSGS GSRNTGPGTTANGGDIHPIGTNSGGNEIPSAFRNHPYSSTFIGALPNENFNVNIDGRV VHCSLASMGGGIVICRAPLTFRGLQSTGLSMPPNILSFRVLGTGRFGITVAPSNVLTM PLEEVFQRNDVVGFRPQPPSSSSSEIPNSNLYQLPENMFALEIPYEEGTVIDIRYGVS TNSSGFILRSEIFIGGESIGSVLEVQFNELAQISEDTRLSVIFVILDPLTILYEGLPF STRYRRRSSTWFESIQSGRIVTQEQIQQLTAGLPSSSASPSVQNLALKDLDFNNKNQL LNVSFLIYIQNIKLFQEILVSSHGLLSSLKDNLVKSIQKSILSISLDYEKNLEKFFLV KILDDDKSNSLELSIINQELNTETSQLLDSLIEKTLLLCSICTIFGIDLNPLEEQDEV DTLPLKHQENLNYKDNSKNHVERELLLRRRCSNITYGDLPWLSSSIDSGVLCYSSLFG LKLSHLELILEGFYQLFNIRFIHQLYFLLGTENLKINISEDLENKGDILELQKHLLDS LSVLISRSSLVVSLISRVVLEFCHGNDGPKLSEKMKNILFGFFSLMSNFSFYSCGETN KEIISKQKTKEYNLFDIFSVEEKEFQNETQDRFKPIETQDQKSLYDIITSTGIECEQN SKFDQIQDHNQFECSNALYDLPEIKMKTILLTQDCNTLWKWILRQSFIVGDNHPNLYP RIKSIRHLDNSIYGKLSMNQRIFNLEGSNPITAKKLVLKSNGTIATWSIKISAIDTLC NICGIIPDHINNLLFQDLKNQKVISQRFYQIIRIMRICTYFIIRGREDFVFPAAHQNM DDNNHLSPSPDYWLMFKRSMYNDIHNSSEISIKDSTKKILNRIDSESTLTDKLYSWQR LIYITACYLDMDLETDQISDHIIDSLVIEKNQIREIITNFLKTEVIFHLIGSLIQIFS IRIKETSNGQSSGNNSKQLKYSSLSPSVYVAHWLMDQFIRHPLCPSFIRSSIVNRLTW NFLFSLLTNGLYIPTKLAIDSCRMTYWITNHKKDQNDHHQTREIINQTQGILDSICYC VSCILSLYENKVNYDGENPLVNVNNLPVFRELILGKIPITQLGWDYFTSAEDYKPINR QKINTTLVCHFMACSARCILESSDQYTLLPLPHILSFFNRYLSFISISNHLMLSTSDT VILSSNEINKMRSLSGRRLYRNEIYDEIFNDDIKPNTLFPWKYIQNTLNYINPKSMLL NLGENPICQEYHSVLRINYGNSLENDLIEKNKRRNQGNNGYISQNQSLVNLQLNKLHK RNSGFIGFKIKPVKLEFDYKRNGKVPRILYSINNNGINGLIYEYGGYEIGQKEIQFKE VVKHSYENGEIQFEERFNIKKSKFRVIINSGQKSTSHCFRSDSLLYVNGLHSSSVKTF FHINNISESNIGSNSIHPIKLILFGGIVFERHLSEKEISSFYTNWIQSELFQKLKPKK RDTNIKNELLSQRNGYLGSILYSYYDDKCLKFGGDDISQSLTRIRATSDFSIQTRVKQ KFLEITMVFQNRLLILEVNGIPVAITGLPPEARFMLPIIYLESNKSISSNSTPVSLSP NPSFSVPNSESNDLEIRPKSLIYCDIISIIDYLPVISENSKSKDNVQFENDSVKWIPT EFKHRFEIQDQKTGNNDLIEAGNPSNRFTMLKIQSSLRKGGNSSQILNEGSTPRLELK SKKRSLSVLGHMHPNIPSGISFCSSINTSRDLYSSSLFKNWKNWLLPENSVWSINRFE DEILTQNNDDECYLNDNEEISIKSRLFKSLDPCKSFSITFKIQKQNDLSESSVSRDNS SHVFGVGIDWLDGMYRFIWVNDGRFIIPSLPPLPFEISKLYKEYKIPTNHEIIIQNLG SNEFSDPRSNKVSIPKYGLYDKITIEFQPSIPALIFFKNDQYSFSFNFTEFYMRMNGN LSLSLENVPYNPCNTLNEYDEIKRKMDHPENLSEKMIWIIRTLFVMTILQNDVLVMSH LISKYANCLIDLEKREFLFKILITEDLYNNILNCIPKCISSSKLTIRSLLNNIYDYLM FPDPREFFSIQEQSLNWNLLDTFFSVSSQDETDSLGFSSSISSLKTFSEKRSQKVVKH ETPFWLACWIGNEHIIQYLLRFVHVDNRALNLMDKDDQFSLYIGCFGMPIGNGERVDS GTAITPNTTIIPNNSSYYSVSHSNSLKVKYLIQTENRSLYLQKERVESVSGEKKEDSL FQTGLMASIISGNARIAYILMVIYDSDVNIQDRQGNTSYHFAVAFGHLDIIQLLSYKG VNPYIMNSHDQFAGSLYRINLKKFLEKDRNQKVTGQSDQNTDQGSVEQNNSTSGSASG TRNNVNDWVMSPSPPNISFHSEKEDIAEFTQQYEKLYCFSVFNGQKIEAEKEAHKSSI NMDIQNKSNLLPPYQYPWPGLFSDCQIVAMIDPESSSSRDQSEIYISIESLEVGGVLQ KKPLMDDSESKDLEKMQNDTKNKTKKHQDHLNKIYDKKRINIHIIQYLFYRWIRSIKC YSGSGSVSGGISTNSIVNVSINRKPETGRPTDDFVYNVNSINYYYHNNTNTNTIPPSQ SGSPSNHFGHDQSGVTQFSISPSPVSSINNSSSNPPPIVVGVTSGINTGYNRINNHRG SLTTISGDVQNSGPELQIPNRFGNLRSPGRAVNFNTSLGESFGTMIIAPNKIVITQEV MEEIYNGLSQVRNIKELLDIIHVIYKYIAQVLTVKCSMGGGGRVGGGGGGGGEGFHNM GNIEINFPKNAGISSSESRENLENEQNSLKAEKIDEKQFQEQMVKICWELLEMEEAID RNYGYYPYWNMEHERFIWIHNIRKLLSLVNNDYENNLDYISCNSWIEWIPNLDLFDKS LYNKYKKSLLNDKNINQEKLDQIGNQIFDFQFLARPIISLDMKILSKMHQNCQTVIDQ KLIQLLNIELGEQQIYRVILFELLRQINFIDKPEFEANIDKNLIKEDEFRNKSMALLG IKTLDISKMIPKHDSNIWSRTKISHSDSLDMIRFVSNAIKNLFALILLKYCFTKDDEI KLIGNLKSENSIPVDLFDLETDENQDSKYDDFNYLDFEKDEFRSNNYENSEPNLIDIN NLYYSEEEFPFKVIEILLTNILPIYTTSMDVFTKIQLSNNANGAKNQIKLRLQSLFWY VDIMKQFLSGRILLLNKFNDISKFTIPMISGVFNSPTLKYEQEDFLRISSPFYFSNNY FGDLFWKLTRENFENSQNIDDKVIFKTFNTYYFQKGGRLKNKILRQEMMTKIPLLLLQ SSKLLGSWGSYYNIYSSPRYLIHVGPYDIPIPISSYLLLKPYYKLNLVNSLWNDILKR LIDSKKRDGNGNSTANNGLTVHLNRNLAVTGGNPLKYSLLSQSTRQILHMLPEKLRIT ERPFLIVFRGEGSTDFGGPFQEFLSWISNEIMSKNNENINKSAKNNDGYLNEVMGLAP NHHMSNENKYYGLFTPCANALHSIGHNQDTVSINSMYSNYKTTNSLYICEEFLLFGTR KEKGVSDTQSESLLTKFKREYYSKKSLATGSYFGTGGEIQSYESKIQECESGNPGTEY QIFKAIVNKVPSLRCNHKYSENSKILIYTIESKIQSFFRRDQENENEHENENDDEVEN TKEEVSVDSEQEEREETIQLRRTESRYTLENISLSSSPSASSPSNSSPVSIRSVPEEI NNTESVNNTNPTPITTQQEAPQPNVVEGDLGIIHKLEPWEWPKEKETSEIIAEMYECL GRLMGICVTTKSAMNINLNPLIWKKFSGLPLSMKDLVDADCIAYEMLNSLKSIESENL SNKQRMEKKEVTGCYDKSQETGAGVTSIPEIEGLTFQIENMNGSITSLLNLEGEMESS VGVTLENLHLFIQLAERCRILDDTNIMTNILKGFGTIIPLGRMRMLFNYQKIEYLICG ESKIDLVILKNHTVSPHPELKEQLFQVLETFNNEQLQKLLRFVSGRSRLPQINNSSSD WKFSINYDNPDTIQDNRLPIATTCGFRLSLPKYSSIEILRSRLLYAINNCVAIDLDAY VTHDN cubi_03172 MKSEEKNHGTIYKVAGPLVVAENMSGTKMYELVRVGFQKLVGEI IRLEGDTASIQVYEDTFGLTVGDPVIKTGQPLSVELGPGILDNIFDGIQRPLRFIAES TKDMFIPRGIDVKCLDHDKLWEFTPNANLRVGDIITGGDIFGSVYENSLFKSHRIMLP PNVQGRVVRLPTAGNYTIDEDLIEIEYDGVVKSYSMHHTWPVRVPRPCLEKLQGNTPL LTGQRILDALFPSVQGGTCAIPGAFGCGKTCISQALSKYSNSDVIIYIGCGERGNEMA EVLTEFPELYTIVDGKKESIMQRTCLVANTSNMPVAAREASIYTGITLSEYFRDMGCN VSMMADSTSRWAEALREISGRLAEMPADSGYPAYLGARLASFYERSGRVRCMGSPDRE GTVTIVGAVSPPGGDFSDPVTTATIGIVQVFWGLDKKLAQRKHFPSVNWNTSFSKYSR VLEPYFDSVDPEFNNLRQKISEILQKENELADILQLVGKDSLSEDQKIVLEVAKIIRE DFLQQNAFSDYDFTCPIQKTLGMMKTIGNLYDLSLKAVKDSSQQQQKIGWSTIYNSMR GTINKITSMKFLDPRLTDQEIKNHFSQLNEEVSSQFRAISDK cubi_03173 MPLRLDIKKKLQTSSERVKSIDFHTSEPWILSGLYNGTITVHDY ETQSLLKSLEVSEYPIRCAIFVCRKQWIITCGDDLQVRVYNYNTMNKITSFEAHSDFI RHIMVHNKLPLLLTCSDDMTIKVWDWDRDWVKAQTFQGNSHYVMMIQWNPKDTHVFAS VSLDRTVRIWGLQPNICSLVNNTVNTPKYSLTGHDGGINCLAYSPSAEKPYIATGSDD KTVRVWDYQTKQCIQVLTGHSKAVRSVIYHSQLPLILSSSEDGTIKIWHSATYRLECT LNYMLDRCWCLSACENILGIGYDEGSVVVKIGSEQPLATLNSGKILIAKGTEICQANL RALASKSSSGTEWDFEFDDGERVILPYKELGCSEIYPQDIQFHPNGRFLSICGDGEFV IYTTQALRSKCFGKAIELSWSIDGHFFAIRENGGRIVIYNNFKECFSFLPSFFVDEIF GGQLLGIKSNDFICFYEWNECRLIRRIDVSSPINNVYWDDIGNYVCITCSDTFYILKY NKKQVEEVLSTPIYTSNDYTGTMNNIVHVDNNDGIEISFDFVSEINDKVESGVWISTC FVYVTSQMRLQIWMNGFIDLVAYLPEKTMYHILGYVKEIQRIMLMSKDFNCVSYSLDL NYIEYQSCIINKDFDTAENVYWGRIPSNLHTKIARFLEIQGYKEKALSITDDQDQKFD LALGLGKLELCISILQEMQLKDQAENNSVTMESISNAQDDIKMGGGSAETLVNISSVN RKRWKVLGDIALEKGRFSMAIACYREVQDLDSLLLIYSCIGDIEGLRYVAKMASKQNL WNIAFICHNLLQDKDSCIEDLIQSDLIPYAAMFARCYYPSKLEEVVNTWREFNKKGEQ LLASPDENLELFPFHQESLKLESLLTNQELINRPVGSWDQLFQAFNSDILEDFKNNGF NYLKDQIWGGDDINLDDLNNTVGKSFQFGNQEEAEIDSIKEAESPKFCPIDEEEDFSA FSSPKISEFGEGGEHEIESEVRYDENLQVKAQSPLQNKSQTVNEVEQEPQPEQKYHKK DQNKQNSHRRSSELSVESSPGAHQGHQHHRGRHNHYHHHQNEVEGENRQKSGRKGSRS PSLSPSSSPRFKPSGRGGGGGGGGGGGGKRTGKKEQEVGANAAEPSTGSPKPPSRHKR NNGKKQSKPRD cubi_03174 MVNLNDEGINVNVKLLNGDIIKVPNKIDTKKTSVLKLKEIISSI CNIPVFEIRLVWKDKILSNNALLCSYGIEDNSTLILARSPSRSSNSSSQRIGTSSSSS SNIQPESGGLFGDSNTDDFLSSALASPWIQSILNDPEIFRVMLESNPQLKALREQNPE LNHIFNDPQFLQMSLDVLKNPELMKEMMRNSDRAISNIESIPGGFSALKRMYHTVQEP MWDAAMYNPNTNKTNTYNQYNIDKSSGPNSEALPNPWSGNKNPNNTDNINSSSSSETF GNFGPDISGRIQLPLNMFPFNFETMNPANNQSNGNFGSDNNNSDNMLTGFAGLGNFGG IPNLGNLANMGILNPNINQNNISESVTNTNNSNNSQTTSQLPNLMNLYSPFAASNPNT IQTVIDAMRNMDINNNNTFQNQISALFGNGATGANANANTNTNANGIIEDFSRGGNTS FGNLLNMINAGSSPINTNETGNQSNISNQVNASNNENDNGRQNYNYQLEVLSNMGFTD TEACIKALTESDGSINRAIDKLLNPN cubi_03175 MFRETSVYSGSIKYTVQICFDQPYYYSSEKIRCSIKVFYERLGQ ESEFLDEFELLDYITIQLFGYASFSDELLNYLSTSNHFSASSIAPSSSMNRFISNLFN ITSRFDRLGITVKQSNQRNKPIFVSNPYILISDVKILKSRDEIGTFMYTCFLPPFIPP TFSGKLISFNYIALVTMGINNKMKSERSNYLFNLDFIQARGRIIQDQGKESLRNSIPT IQKKLKFDIRCLGPHPRSSGILFSPIKRIGIYHPITALNNNYYHSIPIDDFNSVITNS SEKIPSIATTTPYSNICELSEIEFLTACGSNPKIILDHLNSKFQRRSLCLKGIQAQSD STIPKMRFFELQDNIDIYSSLNALPKSLLEVYWENEASRIHFPESLNSEQILYSTFHP SKGLNNNETLSINYKNELVATCRISNSSSWSSSCPIIIHFDLSKSYWKTQEIHIILKR IEVIRQNSQDQHGIQNQIVIYNYKKCTIWDLEFSHQINPLSSFLIKSLDSDIFYVYYQ LSFDFFIYTTEKKLKKINFLDVNRFPNLIKLSWCSSPISYYDQFQKLQIQSAGKIDSL SDISSFSHPLSSILPNNNISVSKSVEF cubi_03176 MMNVWSLKRFSSTTGSSITSSSNSIGYFAYSINSKIIVFDINSM IDGSNGNIMLKSNTFNRVVIDIQGETKNIRPWKDFSANKSQDYITLEPFCKFWVKSVF VNDLQWGPYTQSRWSILFSSLNNGVVHIWLVPNIDSYSLPNYSPIPCFELLDLVYNSL TTETDSKLVEFDWDTMKAVKGNISQNLCTDLMLNTDTPIAYSCVLSVQKSEISFKNNG FALFSACWNEFFVVYAIDICENPEESCKTTINKILTSEQKYLFESFPITPKVNCRPLI LTRIPDKKLDEIVTSCLITEFWSSGKELLFEIYTGSSEGKIQIFKFSILEDSRDIKCL GHNLINKSCPSVPITQLSYKPVSNISNGKEHILLASKGTNIFFGKVGVEGMNCEILKP TIHNIKFSHQMPIKTAKYMEDIIYNEKIFDTAFLTVDQSGLGILHIVDLEKKTFNSVQ VLTMSKLNDAPSMFPNLDQSSNNSSNDLESSNQNLNKSFSLESLQNVPSSIKSIKNSN NDFRLISFENPLVPGQFYNFKKKSLHNFSIAVTNSAALNTIRLIIVFNNFSPMDHICN RISNHFIHTESLINNYSDFEDEKCLYNLSKAVSQAFTLNDIRLILAGPLTMNKIPLLE EIDEKPPKGHEDLIQPKEVSGSNSNGSLNEDTNKKMSSFLNLKLGEKLRLKVKDEESC KDESSDEVLNVFFSIFYEIIPLELIKDAISYNLIEDEFPQDITSFFNIYKPIFSNLIL LIFCYIIEIEPFIPKSLEYVVGCKDKNNSFETLVKTLNQAVPLSELPNKELEKSNLSK ESMLSLLFKIIYLVRILNSLRCLVVLLYSRSNETVDPSVIKREEQMSIYLVKLQYYIQ LQITQVYHVEFLGLQPNLSVTAGLKYIWSCVKESKPIYLSQIALSDKGLGEYFQDLID NSCCLISEPPYNLYQCLNKHQEFMDSKTFDAGSSNSNSNSNFKDGCVSSVPICPITFL PVNVFAMHKHLSCNFCGKVIFIPTEMINQTCIQSGKDLSSSISIFEKISLRGGYYTCQ FCLNVTELLDI cubi_03177 MKLTIPFLPRNSSFDFLHKNYRIIEYVGKGQFGNTYKVQNTIDY KIWLAKCIDLSQMDEDDKNRSLQEAEIMKSINHPFVIKCHESFMHDDVYLVIIMEYCE RGDIGAVIDSCISKGTYLPEEKILNWCAQLAAGLYYLHNECRIIHRDIKPSNIFIREN GDLVIGDFGISRIMLSVTMPFTLTSIGTPQYMSPEMCENKPYTYKSDIWSFGCVMYEL TCLKPPFSGDSLLSLAWKISFQEIEPLPSCYSYNLFKLIQRLLSRDPILRPEPIEILN NDLLFEFKSLSEFLPSKVANASIKNNEKVNVIINNHSEDTFNEGCNNQLEEEVSDDYN NTIQLDLRANSGSSIEMNDSLSFSDEIYQLYETESGQKNRELSGINQFTIHNSQANKQ EESINSISSSPLSNFAYKCSSNENCFSGGEKIRGYCDRLTNYEHQYFSVLVGRIQHHI LRYSEKMSSELCSEKEDILNFLIKSFKSCQSDLYSLDSYMTSEWFFEFVNELNVGLSE NEVSLFLSYIGHYIYSENEDPYSECKKNESKNTKSSYKIRHTKSSGSLISMEVSKTMR SIDSRTNNNFLGNAGFNENIKYPMQNKKSNGFKMPKIYDFIEKIYSWNKIRFNNKLHD QRVIESNEASSLRFDKRPNLFPISPTVNYGSKKQNVHSIKPYFYLFITDWIQSILTPI LALNNITQKSNQSVRRLKNITLKQGFHLFDQKAQGTLPRQHFRTVLYLILPKLTSVQL DWLYALAPKDFTGNVKYEQFLEFIDSIQNTGETRTSEENNKKEEILSGTRGRGKPDNL AENSNCPEGNEKSYMEKEQNSKTSINAQEDVLRSGTENSSLLTELQLQREKAQGGLEI RVTNEIENYVGGKANERSQQDGESEISSSGYNDLPILQQELYGFGIQDVVLLHYNSQA CMRRPSPSPQLLARARNASFMKSTRRVCEIETVCQNKENNLRENQNITGKEVNQKLLK FKKRNSKSKYNSENQNLNINNVQRREHKGEDGFNVISSKRQNFFLNISKNAVGSLSKE LESLIHNLQVERIYTCWITAKASESQEEDLFGEVFEKLTKIRLETSFSLDLLISNLGR MRSFDKFDSILELNNILIILKEELPLQRDAIDCILRVIDHMELSSEKEFNTTMKQDGL FLPDLFNVKNQLNSDASKVYKEIMKQAITNNIVLPISAKRKYKSSRSYDVDSASIPIQ FVNNLKKNTDISKSQLASDSKVFDWTGKFLASFEFFGEATVNLIQCGINILENTRNYR QDSQLKETTLRDFSQLNFLIENYRQWSHLKEHVSRECAFVASIGANHDLKNDIETARI FLELLGARRFQVNVTWQQQSSTEQQLFRELFFLEESLWNNQKCYSQREDALSYTRKSD SELWLTCGEQTCGALHSTCNKFIKEIQFLL cubi_03178 MSAAVMKSSDKQFGQFNGEQQQAPLHKIRITLSSKNLKSIERVC ADLIQSAKQKDLKVTGPVRMPVKTLRITTRKSPCGEGSKTWDRYEMHIYKRVIDLYSS SDVVRQITSINIDAGVEVEVTISDI cubi_03179 MENSNPIIYFKPVDEDTIEEIDISTLFYGESRQLNNKISEITEN DVYECIKDIIDPEYPLTLEQLNVVSLENIIIDHKEQIIFVFFKPTVTSCSQASLIGLS IYYKLYTVFNRNFKIIIKVVKGTHDLEDLINKQLKDKERVYAALENPQIYKTITKGLA NSDIWEDQSLLY cubi_03180 MNRILVYSLILLVLSLISEVKNQFINILPEVPSDITQEIGTCDA LLLKLLATNYIPKSETNSYCSIFRNKIQKLDTPLNELSCSIFGSVLVQCDLRLAITSS EILRQYYMRSNKPNIKELYYLTTILSNLPQEISSVLGRDDIIEDSNEIINDKLSKIKK QISTNQPIDFEETSFLFGAISQLIRLGSRNSQHLKTMNTILEWQSKNSKFYPVIDLDT FVLNFSLLSKAYFFLRRPTDFEDIPILLQQMAYSISRIKVRDIKNLAVLNEYIITRRL FIDSGTYLIQGFQHTNPRDIHEKLATVLFCRLDGSPFENISLELLNSDTFKLISVPNT CEYQLFYNNNQTEPINLEKVKNDLANSIPKLKISSRSILAREAKIPILNKESSKFEVT KANIFLGEKLALGMSKIKNIDTHYNRNLDNFQFALDLQTNLEVFKFETVYQYFTAFEI KALPPYKTIGTRTIKLIPTIIEGNSLKLFMDLTNDDFLCSSSEFTLKLIVGNPLASEP SNLANPNQEILSILINNNSTDTSVLSKLCPYKIHPKLAGFFPKEEISYTFKEPRKLPG PLLPYSFSILILSSLFIIFPIWNKLSKVDQGFTTFTNNVPLIKLATFISLAISLFIIL CYWHTLNIFQFTYIFTPAVCIFFILLKLSLRNFTYPDTIEKRFKSD cubi_03181 MWNLPEKIEIREDKRKGRSIVAKEEIQMGESILKEEAYCRILFS DNMEEICDACFNYLGLSDSCSENIFVCQECKKIKFCSKRCMEEFRAIHDFECRMLKLD TLRMISNKVGVSFDRSRLLTRFVIKLILELSEQNKDQNEHNKEYLTSNINQINSLIDN QEKFLEETKEVYQELALEILKIPKLQVEIDKLECKDIITRKLLVKVLCIIDSNSFGIP KFPLKFHEETGSVSELVSLLQKTPSNSLELCNSLLNPSILGWGLFSYSSLFNHSCDPN CDFIGANPAIGQSSMIIDLKANRKILKDEEITINYVELYDTRRNRIKSLLKTKHFICQ CERCIAFFQSSKDSFLQGFVCSKCFNISDFDYKSNNIPILQSESISNLNKPENITSII DDQDYLISQLTESYTCNSCGEIYYGSEVTSINNEFLDTIQEAEALNNQKYDLPGAIRL ITNLIQRYHLPDSKSLLPHPLNHLLYRCYKLLTFWKVIVKDWNSVDYFVSKMINSHLS VFQNQSNIEISNLYSTKAIALSHLGRVSESNLEWNKCLEIRQICCGNLSFPYNFSSVY SLIYHSVTPKDE cubi_03182 MSCRILLTLNIVLFFLYLSIVQGGNLTELNKDNFNEFITKNEHS LVIFYTDDCAACVTIIERLEKLSEELANIKVNVAKINGERNIKTLEKYQINDYPALKF FRNKIPEEYYGGREENEIIEWLKNQVVFPVSSLEKIMISKERLENLLLKNDVIYIFYG NKNSTEQDIFIDVANYNRASGKFYQILKEEIKVGLSKENFIQVFQSGVELDSIKNDSK NNDSDSWIVCLRRYENAIPFRGSLNTKKEVWDFVKANQIPLFGEISSKNYVKYSEIEY DLIWLLVPMEPGKGEESIKPYVTLFTQISQEFSQNYRVVWLDTSEHSSHLNTVLLVEP EMLPTVAVAKSRPYLLPPNTPIEYSTIKKFLHDIESNKIEPKLRSEPIPVYYENESVV KIVGNNFNSMVLEDKKNDWLIILVTPFCQPCIDTEEIIRKLHDAISFGNSESKIKFGS FDISENDLPKDEFYSNSIPSIIFFNRHNSSNPIHFDAEEISYFNIKDYMINSTSVDTN EISFIDPANATDFVVTVFSDFEQFSDEFPTQEQIEMMEKSIKHDEL cubi_03183 MSWWLEKLAKNLKGINSENSGNHSNCDTEDKIEKEEDVLAGDSS MFNQIYELNGFYKCTLRTSGVGLGSYKCDVIFMEKQLMVLHLVSRSSLNISRILAPSA ATAFATSFNADNSKVSRGEWIVLPFEHITEIELQNEGRDMKIVTKTGVSYILGDNEEC ILKAYKIYNERKNALSKLKKMDNKVNQKIRSFSLYNANMPVSRRADITEKSISEVAPL PNIFPGCIVSITAIELAKILTSNSFYGKYVLDPKETFELNISEWNGQSENQNNHKSEF HLEQGASRDIQYRRKISTGILDIWVSFTEKHQISFPKDHSFIHILINVVFTIFEKEIM IKILIRIVEIQEAKTQFDLECELENTSSLPYLVRYQLENSTINSIKSTVEGYIKGIRD NFSEISNYSEVDTIPEPCPSPTICNLNYAEHEINLFKQQKTNCDESVICCFQPFNQVL QRLFNF cubi_03184 MYPKIINNKLLDPVILLIDWYIYIFSNPIFLSLTVFLAFIVLGD FITRKNTTKKSLYDSSNAHQNVGQNFKILKKISDPTKITFGCCWRYESKKRIHGRMFV NDRSITFFSPISITYEDEEIISKYSSFPGMLISDVTRITLEYTQISRMIRRGGNKVLR GSVTFEIYNNSDIPLELMFCHFFHTKFVYDLLSKLCTLSCSRIHETILGDKNNDLSNI VQEKSNSIENLETLGQLDQQTESIIPNEPCNFLLYNIQDKISINSNCDLDQQNVYGIL AKKASNDFEEFINEYDFNSIPTSSLSNVFPCVIIPLKVQKIFSILSNHSLDSSKNVYL KYLELSGASNIQIESRPCNGDFMLHFTYTYEKSLNIAGGFGIGWLSKNVMNIKCQEDL KLYNLGNEVGILLCVDVNSTGIPENDAFTVNIRHRFTPFKTISNIQSSASLENDINNK PEDEKNNFVQLDIECDVTFYRFSFFKSPVVQNAIEQTRKGLDLIRSSWKMHY cubi_03185 MGWQLELEKKLEKVKGNEDLWVVIEDGIVEILEREEGNEPIKIG KSLKRKIFEILKDSLKDNNWSCCNSCLRFVWTLIQYKSLKYNDVDEKNIKVLMNVYYS IVSVSQKNQVVELEFATLLRNITGFFMSWVDEYYLEISKMDIEEAGTRYINDQTPFFM KDKVMLEKYIHSLFELLTMIEPKRSNCNGVLEYLYIGHSFILSSRYAAETYTMIQQIS SIQKSNCYLPSSFMEMCVKRFLSCPDFDLQLILGELIWRVLKKSNYVRGYSQGDNEEY SFIEIFRSSWPEGLIQIRYIGTENFDFSFRGLLTPWNKTCNGNGKNIWSLSGVLIQMQ GLEIKLVTVIDICAFSIVFHSDIDEEEFQSNQSRYSNEMGNTEEKDLKPFVSTAEIPY WTIQSVVYDDDKNNLHINVVFSEILEIRQSWTGIFSTNIENLQSNPKMKNEILKVSLN IDEEQAYQIMGIFKASKIEKIQGMKHIETPSKKVSIVDSRMVSIKELNKMDQNNIILE SVENKYRNFENVKMSIATSKTTSNKNNLYDQVDNNGKRVSFVSSKECNQADSDKRSSS LVIKELEKENIRLDSNTSESQKKKSKADVIIIGLINEQKKLTQEIMSSESSSESSEPD LDLTEKEAQEDTMNISNAKLIDKSKETDKKVKLEAKKDERNLNNKVIDNFKDYDLEGN RVDNKGNKCIRTSKNPKLKVMSKTLNENEIDIKIGKENGRLELEEENKEKRSNLNNFK VLGSVLDENSKDSHKLECNNVKTTRGLKKKERTNKEVQSCKDKEEKANKMVKATSKKF INLKKGKKVETKGKGMDEEKTINEENLGKKEEEELRKNKENEELMKEKEELRKKMEEE LKRKKEEELRKKMKEEELKRKKEEDLKIAKEEELKRKKEEELRKKMEEEELKKKKEEE LKIAKEEELRRKKEEELRKKMEEEELKKKKEEELKKAKEEELRRKKEYELRKKIEKKE EIKRKKQTNNEKEQEKNIIKAKHNEIEGVSKLNLAENRFLENNVDTFNGFKDNHDGKE EKKVNFPISTLDQTNKRASGLKRGRFNKEYNELKIGVAHERISYNTVRDIVFGRKSPE KKNSNPEVSVEFKENKEPPKKGNKKKNEVFTPVIKKVEAPKAFEDSIDKEKLKSITME NDTTCPTRIKARDEKDKDEEEKNKMIIEKGKTKQIEENDGEIRSIVPIEIAVLDNDYP ILGSFDEFKEYEEIEMKKRKYSSDSRSDKLLNMWISEIKGTNSDQILQDSNDNEEKTP RIINIGLNNKKKNKVKQKSRSKIKEVAENLYCLEGKKSPEVYFDKTENYDTEESKSVI FSGINKILEQVTEGENKGFSSLNSADLCDNLSCITGTEIIEDIVGKSKKRGFCDYSLK SFNSVGKMSIEDSIYSPDTTFDDNITVTNYSFIVKMKENDNNESNNQSESYCGLPSEL CISNVKLDENKQLENALAKLETTSKGLYEKCQKKLETYFLLIKSHIENIWDKKINIFA QKRKELTLKVEANRIQIENDYLNLKKKYMTDLQIIGEKINKEKTKLKRENLEKDKNTD GQEQGKLSIGKKVLFGLKEEIEREKKKVQNSIKLLSEKKQMEKNRYRKSTTKKLDLRQ ILKSMIINEESE cubi_03186 MARISIVALFLASIALVKGAKSRLGLSESVFNQLVNKGYTMSDI ESLVGTIRSSLLTVKDEQIGQCGEGLKGLLDRQLIPGDPDALERVSGIIHEGNFQLFR GKLPIVTLSSTSILLPLDSISDVCFGIRSDKGIGYNICTKNRNTRNTWMNAITEMVLC EKTGVKSGKLPAISKKQNVLAGSNVGELKPSGIDIVIKDSNQGKPFLTINGKPVSQII QKQAMAAQNAMTVPQGEESEEDSVEIPAEIDGSASEDMAGGSMLTDSEKLAILESDVE HLMAPIDDAPPTGYASIHMGGNKKSR cubi_03187 MPARIQIFLLTLFFIELFNHSISQFTTNLKIIESKSVCLDSDIY DPETDMCLAKVNAVSKSCPKNCQKFGHLCKCIDEISIQSICPSEYVLFPNMKKCIKVE KLSPSIECGRGLKWSESKNICVGVKLSAPELTCTKENSMLINGVCLTYSKKSIQNVCE DGFTIREKSVSEKLQISQTIKLNTRGMKTGNQNNDELKASTSYCVREMSSPYTLECPT GFVLDRERSSCIAQIFSDPEPKCLPGFEFDNKSETCVNKSLEDSSSKICPKDYLLEGF QCVQSSFVSPEPRCREGFIFSVEDAACIKVLREPPSYRCPSDLYIFDGKQCELRKTEK PTIMCGNGTILDEKAQKCIKINVMPAKMVCSGGRQYDEDKKKCYVIQVQESTKVCSNE EKYDAQKDKCIKLEEKKPVKGCPYGFNLNELEQICVQENFEEPKLSCKAPFSLVGGDC LYNAEVKPEYVCPENFEYSEQNSQCLMQSTVQPENGCPEDYNEYIDKCIKQIEKNPVS VCPTNSKLNTITNECFISKKPLKECPAGFKPTNDSANQCIQVLNQNKLQSQVIENTYN GNSRHHAGHHPAFYGDYYSHPNIRNFGDVSNDQTKTIQLSNPNRRIGDSSLNAYPQNI KTAPKPRR cubi_03188 MLKKLVSGNEEQPANKTLYCKNLNDKINKKDLKILLFELFIQFG IIEKITIRGGSPYRGQAFILFQDLNSAINAKNSINGMYILGKPINIEYAKTNSILNPG LSENKSSGYILGPKMPESK cubi_03189 MHLSLLPLNPTAEELYKNHTTFHDGDSGLDLFIIEDQVIKAGET AYIKLGFKAAAHNEDGKPVSYLLFSRSSISKSPIRLCNSIGLIDAGYRGELIAPVDNI KDFDFQVKRGERYFQIVSFNGEKINFSIVNELDETSRGERGFGSTNELDSVKGVLRKI AKVDTESVENLGENIRQ cubi_03190 MMGKDGLIGLSSNSMEGTTQTTKHVGWGISRQTEEKDLKEETQQ PTVSSSTSGGKGSGYFQSQSGGQQLSQGSLTSGAGHHQHYGFSSGNTMGNTGGPSHYK HLSTKTTVSSVEADISAILRIIKHCTENYSQMNATGQLLGMEYGNKLEITTSFQLPTR EDLLPSLMSNYSGGKLDKSEKLDLEERLVEEVDKYQAAMVELMHEIRSDCFVLGWYQL IRLDDFQDYGIVSTLLSYYNNIESKNAILLSIDPDLLIQGKKNAFKAFTLNSEYANRL KDDEDNFHIFKNASFEDILVEVPIYIKYPVLSEAFLLDWMTSDVLQSTIDYSELSINT KTSLDINDKALLNLAESIDVLSIEQEKLYKGYRDYNKQQYLIKQMAERRRIENEQRKL KGETPLPIDTESIKKVDPPNPLPVILVSKYVESQCNEINFNSKESLTKVLIRNIKNDM cubi_03191 MSTTGRNLSLFEENEDSIVDFIAVLTSVIQDSKELFNEDDRKFA KESIESGILGTINSRSSLSTIDFDLNKENNFHFLINQIPDIQEIREAISTGCIDLDDF EILIRAFKSITEMCRPENISILINKNIESSHKPQNYIIPKEVSYENNVNQTLWLEKKS LFPPFVIAMFDMITKYAHFPNIRMVMFQICREIANTLINCNWKDDNVLTWLSRPALSD LLISLADCNIENDISELNENNQQKRKQCCSPCYIREVILSSILSKVLETFSILRKNNS LNGNIDINIIDNFSLCFSISNHIIQQYHPNCYLEQVDSNSEKGYKNWWTLKSEILNLI QTAKHSHNKDIIMLTQISLYFFNQLDSSHLTLKRISTKNHLYKNLSLNKQIFNGCFFN EYLYGNVEVDLPACLQSILFNT cubi_03192 MLTLNKFNIRRLLITCQIYDSDQIDKILDTLSEYGIDECQDEFL DMLVKNTLLIINRDISEEVKVMKEIFISKLAIIPLIFGVQSSNKSSVIIDLSYYLNIP NVVSTNTVKETLRIYHGRINDKPENENLSFSNYLIDSREIFKGIQVDIEKAVKQGKSI ILCGHNLFLPYIFELYSSGLFPAPIISREGEDIENSGFPLYYLPANNKDSINLLFVPI LLKVDMNKTRTKPETRHSLQTLQDEIIANTVNNIVNWPVHIVSHDPDTPQKTSKIIHQ IIIKSLKKVIYPT cubi_03193 MEHINLRGGLDEKVNGEGHSRGELYLTLDELRWVQLHVGPEFGF ILSPAIPKTQKQKKSKTKSEIEHEDKCLTRSGVSVSNKRQRTGSLSGKSASGSILYIP EDKGNCASSEVSFEGKTTRQSSGNRASMSHDATSRDYIGASNIGPGSTNVAVNMKNIK MIDFKTFSLALLDKLSKIPGSRWFLQPVDPELDGVPDYFDIIENPMDFQTIHEKLIQN MYKNPFGWQLDMRLVFYNALKYHKQGNTVRDDALSLAIEFENKCKEIKEVNPYYYTIL QDKQESLPISQLEYADTLLDKLGTFDSNTLIRIYSLLFDSSKESQDFIDDSQPTPEMI LDRLRFKPKIIREQIVLLIDSIIQIENKYQKIGQNAKDEEIANKTNFLNDDVAMTPSS DGSSSEDAQLSKDPDIDDEFDGSEEFVRIQTENSNPQHDIPINSDTNSNDDEHEDIYS NSIHGKEILNSSFTSNTFPIPPQESAWGEWKAKVIHNSTLTQRENAPKKSKREREAEQ ADAEI cubi_03194 MENYNNKLKSDFSRVYTRESTRKEYDYRKKEYYGRESDINGHSD SYKSYRNEYPHKYRRKDQYFRRENSRSPERYWNRNPITRGRGYSRSNSVSPDRVSCNN SKRYSNLYSSIEKNVDSKLDKVKKDLEYSNRDDLTVLVLNLNLDAQEFEVYEFFTTYA GNVRDIRIIRDHRTGRSKGVCYVEFYSVESVLKALKLNGQKIMDTSITIQASQAEKNR AAKLAKLEEIKAETTPLLLRVDGLVGLLSRIRRDEIDSLFSTFGKVTNIEIEVDRKTQ TCLGFAYILFEKAIEGHDAIKALNNFEIAGQKITVSISQESHSSVKIPNSLVSSPSNS SLPVLGMSNSQNDLSKEKSSAYNLERVSEFDKLDESEEAVLLGADSRNFLTKKLLART HSKINNSTIQETSKNSNSPTIVNTPLNLFPSSPPLNQPSNINPDLPSSATHSQSSSDQ KMDDTTNSPASNQLETNYCCLLFSNMFTEQSIKESMEEDETVEQILEEIQADVEEECE KYGKLLGCFLDKEKKDGNVWVKYSNPEEASKAQKVFHKRFFGGRELNVTFIKDEDFPK LSKS cubi_03195 MRVVLQKVKSASVKVNDQVVSSIGPGLLLLVGIRTDDVDSNSDY FVRKCLSLRLWSDESNPNSSNPWKLSVKEKDFEIMVVSQFTLYGHVKNGSKPDFHNAM KGKDALIIFNEMVEKFKKSHNPDKIKTGCFGEEMEVSLVNDGPVTLILENNSKSDVSS DRSSVA cubi_03196 MVKRKPKVNKRLCIGTVGSNYDGTITKCNTFESVILQACKKYYS NRPQACDMFLLEREAERILHTHISDRLSWCNDWLPETPLEKFDGNAIAKLFLAISEFE YIYNFKIEKEMKILQLLDAKIVDESSIECKEGWEFREGAFETLRSLCEFSRRFVLISV SKGSKRIKDTIEGILSNNQGKCELEISVSEFEYKSESNHVVESAPNSLYGPSCKYRAF RQSVKEINKQCNKRMRDKVHYVIASISLNAISKRDIYIKSVFFGNKVNDLECILYSEI GVIIANSPSTVNTCDTKTSEYSSPSELTHYSSLSSIENSLNIDHFTFKICHLFSIQVV PISNIHIEKIQNSGLKVYKDVLRDEDGIVDIRDYLRISRKMRYHNSKSSQFRFNGILL LAFSWNEISRFFFPPY cubi_03197 MEGLTIKEISDEMTECARYGELDDLKYILDNYKIDVDYQDDSGN TALHKSSANGHLDIVCELLRRKASINKQNNNGNSPLHWAVTNKKKEVVIKLLSDKRSV EEGGADVLLKNCLNKSVLTEVFNINDVDILKLALEHPSADRLEQEHSESVKFDEDHEE ANGDSNATISQEFIHNLTFIGGNAEDLEAKPLIKCREIALMGIKKVFDQDPQNDTTGA HLWSSSIVASYWMVNLIRNENIFAGKRVLELGCGCGLMGLVAAIYSKYFFNSQPKKLF LTDVSRLSLENADVNIKLNSALLGEDHNFIQAKYLNWFDHESFRNLDSDNPEIRGTFD IILGSDLVYNFHMEIQLSQVISELLTHQGIFYYVHRHDRLCASKFKQSLEKTGFICQE ISSPEEYTRNPLYGESQQVADSLFNELSSHSEFYLLTARRQ cubi_03198 MYNLKGSNDFGELTHNCHNLDMSLKPKTSLERATEGNYFSVRPS VLHSISSAFPANKRGLIELVVTELFNEFSVKPDNMIEDAKYGLCIPTLYSVLLLTICH VHKLKDFEEWFEGFLKSKKFPLLTPSGCKNEALFNLLNALACFGSSLKKRPAAMQGVE LVLLRLGISFYFSLCQIMDHPFSTNRFIRALITGAHEWIHGSQGQYVPNKLDGSFHLR RKSSFTRKRSKELIQEHTVKSLIDIFDDFSIKLICNFGYKPNCITWNEVNKYVQSLND SLLSSLFKNSNINGGFFQETMVNEFVRSFYSLYRYKEPSKESVTSIKADPNHYYGSSH DLMQKALICPENKVPDKDLPIEEYSLGFTDISNNMNNYQKEDTFSLSEFQESDDYDDE EIDNEFDQEFSEKLYNSDVNTNKALDAISSKLKGMSVSTSGRIFNLNLLTKKYLNKAQ NSLNKGSSKGTGITDPKKSKQPMSKEPLNEKIESLKNIQFESSSVSKRPSWSQFAQIF SSHGVNLNQNSKPNSIDSKSIKSTATMNTPNYMTYKQQIYESEDDEDPLNVL cubi_03199 MEAKQQDVVDCRFYENKFPEPEEFVMARVKRITEVGAFVSLLEY NDIEGMILMSELSKRRIRSVNKLTRVGRLEVVVVLRVDKNKGYIDLSKRRVNPEDVER CEEKYSKMKKVYQTVRHIAQKEGISVEELSEKLIWPLHRKYGHALDALKEAAVNPEAV LGEFDLPASVKEALIQDIKFRLSPQQLKLRARIHVCCCGYDGIDAVRHAIIAGQETVT KDDVEIQVKFIAPPQYLVTAVSYGKAAGIEAIEKAMETIKNVITQYKGGDFKRQGEIE VVGGDDEKNDLNSASESEDESDYSDSDEEEDETMGRVEDMDIPFDEDDNVEDEDEEGK cubi_03200 MATTAGVLSYGNIKGLGFQDQGIFKASKELFGWKNRRTNATYHY KPEEVMAIEWIQTNSEDSSCQLRVFIKEKKDCIHFTGFRTEDYDVIKSHFETYYGLNL ETKELNTKGINWGDLSIHNDTICIGNEGKVMMYVPSVNINQIAMPSKSELVLEFNEGV NAGEDCDELMEIRLFVPNQENSLDGNSLTSAEKLRSDLLTLTGIGSSGSMDKVCRWND IHLLVPRGRYEIEVLVNCLKLHGKSFDYTILFQSISRLFLLPRPGTSLVNLVVALETP MRQGNTKYPFVVMQFDTQQNDNIEMPLNLSEKEIQRFSGLSPIMTGKFWDIVTRILKS LTGHSIIVPGDFRSASQYHCIRCSYKAQDGLLYPLNRSFIFITKPVILIRFDDVLNIE FSRMGGNQTRFFELTITIRGGGDYSFTSIDKAEYNPLIKFLQEKNIRIKNLQESLDSS SRRTTSRSKDQDSSKKDSSTKSILEQDLPSDDEDDEDFENDEDSYSSSGSSGGEEEDN SEGEDEDDDDDDGE cubi_03201 MKVNHTKIICFFLLIIFAEWILLKIDSSENGIVHRLNLSFIRVR TKSIFDTFSGVTGGNGEFRSGWGNVISNAISLTFPSSCVGQLINIGYLSSELDEDDYP FNPNCGAQSQSNNLCFPGNSLIITKERGEIELKDLNIGDHILIRDLNTMELRYSKVEI MLHKDKNLYFNDEWIQVEYLGMKEPLVLSPNHLIFTQYLGETPQEGNCLNPKLIENVI GVETTPLLEKKRDIYSIQAKDIQVGDSVVIYSKERVSWVTRVSLISNVKNKLKEYKYI GRYAPLTADGYLIVNGVLVSSYSKPFPWPMDLLNPSHRFIDLLARPFINAEIHFQKIF EYTKREIKWILRFISSISSKYTFDQSIALSLEVIRAISLLIK cubi_03202 MHGRVKSEQKELTEEEKQYIIRAKQLFDECVELINEDKKKGIFS TKTLELTEKILTINSEVATMWNFRKGYIVSEQNNIEIIDDILNKELAFTESLFKNDPK SYNLWSNRAWLLEFIVNFKEADQILLKVMEDYLKNISNFESLNYIQSFKESLAKYNNF KLKLLINELELCNKLFEIDDRNFHCWRHRSFVLCCLRYVSATLSWNNFLQEMQSQELD FLNRMIETNFSNYSAWHHRILLAFGHKFNSLEDFKREAELVYTAIYTEPNDQSIWQYY FWLIGDFLPKILFRDEQLEVNPSFYIKDLQVKFPDNIDKDDKIEILFKFSLACLINSC NSSLSIESENGSKVTLEKGSWEPLYEDYATTFGFFNSKLPSDFDVERKKRTPLWRYSL SLKENFGNEIKSIANSLSNSPSFFLVVSAAHSDTVYANTPTWNLNDQEFNNEAFSSLR INPTLELDVYKSYSFYSRANGSNSTCKYYFHSDSSKSQLKELLEILQNEFDFLKNIQE LEPKCKYPIIALKFVNDIYHLCSPQELVENEKIRVDPEMIKLLPSIDPLRRLYYNEKF NIAQ cubi_03203 MRIEKCWYCSSNIYPGHGVTFVRNDAKIFRFCRSKCHKHFKMKH NPRKSKWTKAYRKTHGKEMIMDTTFEFEKKRNCPIRYDRELYIKTIKAMKLVEKIKES RKERFYKTRLMKQQQTQKTLVDKETERNATLLKGPEIPLIQTTTMSDISRQKALKKSE KKMNKMVEKRKSFIDKFSGNADVSMMDTEDEQEEIVL cubi_03204 MSHGLSYEDCNFPIVCDRCLGESKFLRMTKSNQERSCKICNRPC TMFRWKLQNSKKYNQTTICYSCGKIKNVCQSCVSDLNFGLPLYAREDYIKSKKDQGDE KALQLMNIPDSVDNRDHFMENKLNGNDESFIQKIDENQKKRKEILKEILEKSGNLKGK EKVNYRRDTSDYGSSSKTTIKSISKN cubi_03205 MPALLACWSVCIILSILDLYYLNNMNKNWSIYDHQLLESKAWWI CGIPLSVYSLLAEISLLIATSFSYMKTQNEIEFEDGEKFKEFRNYNSNYAYSNLYENA EGYTSSFLNWIDSIGIWNRLVFMMTNFIALMTCYFLFVGLFEYKTRSVTFLLKSSVIG LAFILTWLPGVLSTYTESFKLFGIILGCSIVFIFMMYSRNYQINGKVKELTSEVMNIR KLTKGEEIINRRIIERYVESGGKYYTISTSPAAIATELEWGRAAIQVLKDNNSYLDCN FDQNRNSWISCIEDKITSYPTWLIGDKTISGVVSPKTIAHMVNINMKELSEEVLALVH PDDKKEIEDRISDFSDNSGIEANLNKDSDSIESQGEDISKELSSISFGEGKVDLIAKE LEGVDDHNETNQGKEETLEQKDDSEEEVKNNQKKKKRRNAIKRHKNKKQARKISKSEE EEERLAESMYDQPSFRGMNILNKLEANNKVGNEKIVNLIEKTPRDDEDQNSKEDLELP SKEDFEKFSDNISQRNEEIIKEEKKMEQEAEDEIRDIENDDTEQIVGAEIDSEIAQEL NEGDSQEPVDVLIKEGRENNGRLASLMKDGQDSKLDIQNENQNLDNNSNVEETSPGHK KEPSDQSTISDNVISEMIQSEENE cubi_03206 MAELITENELGRLKHYSSHGGFGIPKYAGEVISVRFESSLTFPE GTEDCEYDHWKLPADVNCNVWMMDSVGEFIDCVLDSDNPALDGCVSVSSDTERYFPRA KIDLNVVQSSGTGIIIVTLNTKTSSIYEVMKNLKIYLDVINPSIYRNKDKFCQIEDER EVWNVFSFSPVEDKSIYDIDEDWNSIAVMMFFIYADRWHMKPLSHMYLANNLIEKIKD SKNLCYALNNIILRDLELPEGCMSIRSLLLGESENSNNKSNSSDKIENRILGLSESED INLDGPLLVKHSSSITDYKYLLNRLKERKDYLKEFLMKNKEKIVEGKVIIDQDGKVNY YNDKDFKTNKEKISSKESFDEDIESEFCQQPTTLESTNKDIQVIKQDISELQLQLVGI MDMFMATTPASFTVQGTANEITYKSLLEEIQYMESTLILHNQSLQARKSEVSNQFVVT DNDQISALQPVISNSGYTQFIDRMEKLRNSVELYGIKSREAVIDNKNLLNESREYAFN KIRSIEIRFDQLNREFNNFLFSISYSSFPSYINSLN cubi_03207 MNSSTLEQFINKTVNVLTVDNKVYIGNLVGFDQLTNIVLQNCSE KIYNKDLNKVEIISMESLVLRGDCIGMVGEGSEDLIQNFENGQQRYKRQKISD cubi_03208 MYLGSQRKNGTVELEIVGEIPKTERLDTDVISPVSYDDLFEIIP NSRGGKHGNELKIDISEDLKSQMTELLRFCGIHEFDEEDSVQLEENGKHTKANKDFRS VKPLKAHSIGPPFLVRLMRYNLLENKFPNFFDFGVNLTLPASFQVSEYRLLKTVHPRD NPWYKGCRKLILLGIPSFLRPQDLKEIILSFAKKPECNLKMKERNNENLRLNLKSPSQ RCFSVMELGIKNFTNLFTTENKDYYLNNLYQPCGAIVKSHMNMALLEFQEEEDALEFW VALSTGLFQCYGSVLTAIPDPSGKRIIAEGMLTVFSPKNVPLLESVESSAKTILQKDF SSLNEVELFLSFPLIGSPRSIDTIKSELIQVFKDIPESDSYVIEETTNCLTIHSKIQK VIELINNRLFQHCTFKMISETDNRNCLDENLQIVNTAMFIFREKVCEPVIIQGNYDIH PVKHCTIEFGASNKKREKNSKVSFSSANHNDQDTEPVGFLFKKFEELREALQHGLAPI SNNSEDQNINSSQNGSSNTKGLLRTPSEMGMMGESNTPSITSNTENIISKKLSGVQIN GSFKDEQESFVQESPDSHPRRSNNRKRTLKNLDNEEQEFPIDSNSNYNNGSGLNRDNT QKPEDNKAERFTSKRRTSVELPRKSIKSSSYKRES cubi_03209 MTKKKRESKEKLAEERKVVGAEIYNTDEHFSQNSQFHSNEIEKL DENILFPPTNVLEIRNIFQKLEDEERGRMVNEYVDLSQIPANLMRKLSFFKPTDEVQV NRDGDFSDSESDEPEESAQLGLDFENLEFADDFEEANQNLKVNQNPTHFFSLAFNHHS CNLIKEFRALKKTVGNSTEYGEIKPSYFIEEKKLHITLGLVQAETPQELALCENALLK LTETREFQDFADEASPEKGFPVELHGLGYFGSPNNSRVVYAKISENHKVAIIKRLWFK LCEILIRSGVKVTTSDISPEASNKNQSITETVIQEYNPHVTFINTKYGSKGEKQRLTF NSSKLVRSYSKKSFGPGYISEIQLNELSGLKEIGVSSNEEQVYKTISFVKINGNE cubi_03210 MDQIIDDHQYPSNLPLEKISSHLNVSLKLLETAQIQWMNEKESL LREIERLRMENRTLRMEVRSSENIERNSVSNTPNIARMKGYYIVDNDSGQIISFPTNP VHQVVERSCSNSSKIKRAKDILEIPNIADRKTSIATDRNSVSASRSILNEQISPTANS QNCRVRHIQLEDENNNHEPLEEKKKTIKYREVGKNIGKKEQRNNLQAFECTECSKFYK AINNTRASKSQSMCKHLKQSLLQNSGRHRFNHPPIKSPPGYWDLDGI cubi_03211 MHLLILLLFTLSFKYGLTQQNVAQERHDYSGSASPAEMYGPQRL KSDYKPLPWKDKFPRYDANVTEILELHKLTEKEPEFEPILTRKQEKGVDNRVPKLIRP VQVRCPKGWTPWGPLCIAEVSLGPRTECFGDMGRFVSSASGTLPKQSSLYPGICQEKR EIGPSLACPAGMKLRLKYESETNSNTGEVTPDIVSWACEGYRVIPFSELDGGICPAGF VNSIEGCKAFQVVPPIAICPDNSQPSYIGSNFAFNQRTNMVCDIVKYSKGRIWCPKGF NVIFPPEALEKYDFGEEIPEEETYKIISGTYDENDQVSDEIVEDIFTTLNEFSELNDN QEFPINFNDTRKRGPDTISNNLFTSSSHYIKKSQANIQVAQMRGMGIDMDNNMEFEGS EPESNSKNCIKEKGICGDSKGLQKDEDNNLENKRKWDSINNSNNIMLRRLQEMAMGGS LETQIFEPGLEKELEYGPLSGVYMGNYGNVFGKQTEEEILISANLGQLMGSGQVTTAP LLQMAEKKPVMPKEPTCSLVVAVHSTNCTPLQCKSPFLKEINHVLRDWAVFVLQADPD SGSNLIPNGKDLPFTNAG cubi_03212 MNNDIELSGIFSLPEIDRLIGEKVIFSSVLQNSVTNENDQELKV FSYPNQNLYGSILEIESILNKVFNNDNFSMMDSSISENNEGNLSTELHQNEGLKSHCL LEDDSIRENQSIYNCEKKFPETQAEETYDNLNENSETKGIDKINEMELFMDANGNSAE SELESMDDYTTFDYGFDGSGIISSVEDWESDDNSIIFRYNDMVWPEEPLLEDEEVEVN ETAKDPNDEEHLEKHMDEAKFEGESEAKGGDEECNNECEDNDQISLAENNLIKESEFV SKEIEIGKIESITINDEADNDERDIIYVKRRPGSESSYNIEGGMMHVPSVEQEIVLER VTEESVEASSSSTKFRKWLSNSSGNSKLHSYLAVFRGENFKESSATSGSSTFRNIFKG GGVFRRGGYLPSSSFNSNLVTSLGNIINQAEKTHCKTFKGENSDISQATISNGAQEAR RSLRQRTLPMKISTKYQINNKTRKARENDVGSIWLIREIYLSLTPQILKLSMSMDGEW LILGSQDGIIRQWKFKEEDLRNYSMLLSSASQIEPFFNETEDLNVQAHSNAIISLHWE NDERSHRFLSSSMDRTVKLWEAGFAEPNAVISCSDWPTSVSFHPIQKNIIFIGSLDAS VQILRLIPSEDSSNKFLTKIVETIRVQDLLTSLSISPNGKYLACGFRDGGVAFYDART LKYRCDVDCRNRRGKSSKGRKVSGISWKRDNKSVLVTTNDSRVRLFNLSDLSTFVKFK GHINEETLLSAQISNDEKFIVSGSENGYICLWDLQQDFGRSIFGIHHNKSNPNGSNLH SSAINNQISLRYDRINIRRGPTQHCVDSFKAFDSSLTSAILAPPSFSKKIIKFFKMNH KNSLNYPSFGTYINEKNAQIFIAVNRNGQIRFFMNIPN cubi_03213 MSGNLVEDVLKDLQIQINEDAEKLLEPYREDYDEYSVKFLKLLL SVDLSKIIKRGSLPLEIHSMHKVNIKGVHLLQLLEAEDVSKAKYKNRFYFNSDLAKMN GISITPPSKNRMMKLIFTDGTNVCSAMEFRYLPELDEFIKFWCQYRGDIENNAPSDTK DNSAHILVFLSGEPEIKRGVILLLPGMLTFIMHKDAEKKLNYQVKDYNENIGGKPIGG SSSSILSAKPSRKQIKASQENLDIRSYSNFVISNTNSKEKKDDQASKENYEIISDQKI QKFNSDFFFSSSLKEDELLLQKLEIKDECFDQPADSNSDSSSVVEVISKYIEDKDNEI LDNGTVSGLQDFDYFDSFDNYADVEIREDLECQDENYGDLNSIYSKVNYNSDFNATKP LPALQAHSEFVDDLDLPQNELVIWVEAAVLNSKRSQDNDEIFLELGIHYPAPLPKPWN ELLLRDVCLTRKVAEKILSIENVEKSSEELNPNLSMDDLLLYVRFIQGNMCLNVSFDS NNKDLIRANLIGFVPT cubi_03214 MFERLNIKEKGCGHDTILSDRFHIQKILLDFDQEASIHLDDDKT EELLIQLVKIYSESIISLDAVTINDNLVRNWSLFNIYLKLEMCGGCIEHFKLAFQMIS SVITTVPNSVYLLFTPLNENTDLCPIKYLCWMLSKTFYGIDSGSTDFQKLLSSISFLQ AEYVGNGIRDELIRGFENSQKDNLKVTENAENYKNKSSLEESAVDLITDSLDLLELIF KYIDDLTFIYEADFKQTENFNICIRTIISTYSPIFQTILSSKTMQKMHGKETDKIKET RILVLRIFERLALVLSNTDLLPIFLDSLNKEIVSSQGTIFESLMDIFSPDFPNWYTSN YRGLIESKNFIFSLQLQFAGFLFLKTLCNNLEESSPAVDMFLSVRKHYIPFDELPDFI SQSNYWFSDVKDKLNFDKENSIFGNFPEYGNSLPQYVNSIFSNLFYRVVAVAFHNGLK LQQNIIDYSQITETLHESIIGNETLALKRLYFLKIDLFTVNIQIINFIITSIVSISSD NISDEEFRKSNPTAEVVSILHLFLVWICEFVFDYKSKIPQFYQILEEINMIKIRLPIL YNAFNKEITS cubi_03215 MDRNRWAGLLRNCNRSLLSFQVYANHQYSEKESTQEIENLILKD IPVIIRERVENYTKKSLIPQNSSLKSQIFLEKDVIKTISSCFQVDSEEACRIFRGFNY IREGGFIIRFTNTDDQFLSKETTTENGGDSLSKHSTGVNTGGVLDCFFSDVDLMSKIY DFILHEQLSLLDSVKFMYENANFEKEHSGFGTILKKACFGEVLWGKYQDYSTKETAEK YSSSFNLLDELWRWYCRLVNDQFVEFDDPELERIFGGTLLQSYSRQLLESNLLHTILV ILQAQKGYISNLPGIQKKLETEINAIADNNLSFKDSLSKSSPLNRCRLIISELQSKCF LGSLYTLYSSNNGVGIGQLTLCDSNLAHSTSMVDQLRQTASNGVLLASSLILSLSPKE ITIKNEDLGFLINVVITLLESLCSNDSGEVLCKIQDEKYNFSTPSRARATTFGGNSQK QLFVRGNLLLVHAKVVKPLLPALIFSYASIVVQTPNRDSLLAPILGTPSFFQSSAFSD SLENILHALNSQHPLKQYISVSFLSSSESENKTTQNISFDLEDSWTSEDLINSGNRKN IILGETFGTYYQYLVLYSIIRNTISTFSLKALPFVARISKHLSFLLRKEPRLVYRECW RGDYFRQIGVHLIIELFISSFPYGIVEFLDLLNSLVPDFNSVSKFNQADVDMAKQSFK LFMTFLRTPIKNISFPPYLSSLGLSPIPINHWNPDSVRNGSEFPELPLHLEVSCLETP SLALGASFSQASLTGTLEGCLLDFLEIKINDVTPLSAGFPNARKCLTPLRVLPIGVAE PVPLKSLLIENSETSFSSPLWSNSPYGTSYDFPEVEMPPYYHIHNTRNKKQERSEKNR GFNIDINELRLPSELSNNSAEIKLGDLDPFTIKFCCKNIQNPDSLPSLLKILLLIWES IGLSLSQLGGNLIKPQIEMLVSISELIYRLASFHPSIIFVLDQEIFSWNVLIPNVSQQ GLKASSRFGLLTIKTIQMLKGISSLISNKNNGEETISVTFHTLPRLFNLLQISLQPID LLSIDDAVHGNFLKLQAGFKEFNRDNKQVKKQLKPSVFVSPWNHMPHEIPMYWLVPLL LSCVSSKSLVDPASQKDASYYSPLLKGVQGFNFDELFNLVSKSLEIVEKQLKSYPITA QILEFVMNLLNFCPASFWGLGWDRHARLLFTTLEEYSYGSSTTNKIIRDIHLLINDCI IYQQCSEEVEQQRDLFSFFSRISHFSIHTVLSRISSWQFNLESERNLLFLRSLSVFGL LLNSMDSATLIKSDEDYEQLNRNMIKPEFKDGNSYSLKDVIPSISGITSSNHRATIAT LLKHITETGLIPSLLTILSCEYSIHSQSFRRSRYSQIAKDELNDIPAPWELLISNSII ARAMEKNDSLSCTLALHLQHSNSQVMFLDKLLIPTFECSNILPNRSGELFSSPDVIVA QQVVTEVLKVIQKLLNSVADLQTNSDLLCSLRTALVQWILSSSPNQNSQISKSLLDSP KFSWSDTDIIAQNIYSKISFLYLSKKPVMNLVKSLFSLMLSFVPSSMTLNAPILAAKL LSSFCYILSSESSLNSHEQIQLQNSRPDVILEQILKPSASLNSIQQDFLLSLTNTKLF PLLIKLLYQELDFVLLAPIFSFLRSFDFSEPKVHNQDKTPIEFCRVVLELINLFSACH PSMLIGSTGSFSFERMDHVLNHCKSNLTICSGNQIGGPPSSSISRIGLICHAIVGILE KSNSLLESISKKDLVISSNLFNILNLIPLSLSLLNQLFENTRSKDFLTREMFRQSKDI ASSPSTTSIWNIIISVTQNLTSLWNNLQIDSNIPQSKLQLLTIISSSISCAYHLTSRF ISLSTNMSFKNYDKLPVFLRERKIFDFVKFLVSDKVIHEILHSSKSSKLIFGLFLKSN NHFSTIEDKLGIPIEYCIFSQNTCDSNHDTDLPRTYNLYPENSLEQFVNKYYYERYLK FSHLVISNESIHNLTEFNISKICKFRDESSKDLGSSSFDPSNQAFKLPPSNNSETSQL ATLEMVWESGQLLAPKQRFSPNSSSLEKKDGSLQVSDEEPFIKPSVISSRVKERSETA SSLQISRKEVSNTDLNRIAEIPSLLSLRNSSFIHKTRQWGPEFELNVSAMLVLLSCST AACISVPHSKMDIQTLITFSKEIIFQIRRENSSRSFLESFMYLLTSYNELIFYLKTLL PVVIAKFSSLVSNSFLSELAVLFDTTKLTIINHCINLAQKEHQDCEIEMADGSPKTPL LEDLALKKKPNFFPNLMMTIIFSTCTDLLTFDLVSNVRLIVGRAEMIETQNSLKAPKS FAGAKISEKNCSYTLNLAPIASNNSSVSLSSSWQDLNGQCLRNTQGSNNEASEFRGCI GNLINYMPVSEFSEKSQRASVKRLFSLLIEHCCRYIIRNLKNILLSQEKTIPSQIQTQ NRSETCQLNFGFKYIWTIHSFQIIKNFFEGQKSQENDICLSCDLTAAAYLLLFVLKQL SSLSEVEDNTPIIESSTIALLLELTSCSMQFYKKNGKVIHRIANEIGNIDLNGTSLDF FSSSLIALPILCLCIPAQIIEVIYIDNRKKLLQDISSEFTINTSNLKSPILTRIKELL VSNSLLDEFNIDLAVKVIPILDSVIQLNSACLDKNEAPSYSYQQAILRKDRIESIGYL KPLKVPFLSWNEAAYHSILTSTMSCLAALSSTPQGCMFILKSGILVQIASNFFLNGFR FANTSATSKQLNENSGRNPEISSIKLPSNSSFWPSTYLTVELGDQTIRNPMHVLWCKL ISLSTNVFASLAKSSGKVYSEDDNNLQNKRLNTSAQGGTEYRQSMNTYTERILRGQSA RSLSTISRKPMSAKTEEETNIYKSELFRDDSQAKEEVLKFIQLVEPRIQLILSEQRQI SQLATLEEFNLGIDLIRATIALNIFELRYELTEILRKSLRTVRSLSVVSLGRGITEAF DMFKPTSRIERISAGQSPETLNLYHLDSLPAQVPSIFHQRCCVLLLNSVRSIIDTFIS ESGFSLELRGCRELLMQLFHDTMDLGRPILQLLEDIPNHSYSAFIIVKSKSGDSFLPL SLNVQALNPSEPSITDLLPEYKNVSLNKNNLEFRGIARISAISESCCLPEIITFSSFL HLLSSLVDIIAICGAKCLYTLESLEASDQHNDTIRRFLEFLHLSQGLNSSSLLESSKK LIEKVYANLKSKLGDQINVEVVGLVGIKKDPIHALLFERLSNTNSNVNSGI cubi_03216 MRIFLVLLLVISASESIFGIKQEQKVEGNVVNAPQLYNNLKVNN QMRIKSEVGDSRNPSQSKIGKMDNVLMTSRTGGIEKQDGVNGISEVHGYNSLRTSEII YNGTQALTLIFFISVIIFGFSILVIALVPRYARKFGFRSRRDEVPTEQDCDDSSLHDI ERKVSVGREKKENSIVNGPSLSFAKVKNQSLRRHSLISDGSSDSCKIATRPRSSSSLP SVQAFTETLDESTTGDEGSTRTSKHNSYKSLKKRPHNNDVVAKIYRTNEQSRFSNIAF NNSNTQKEDEDSGLSPTSASAVVAIQMSELIARWNPKKDSRLIQKANTMTNEKNFNAS cubi_03217 MKYNWQRLGNCWYDRRRDSEMSWEVSDRDLRRNIVAIANNGGPV AILMAVPSDGNSLVSNFYLTTYTFRGTELGRIAWMDHIPVSMGWTLESTLLSIFSDGT IRVFSPLLEQLNIISLKRNIESTECIIMARVLPYGICFVTSSYNVHFVSNSNLSQSYC LTKIPLRCSPVEISVTIPNNVDSNLSFVFPTNVFLPLEDSSIAIISIWDPRITAIITK DGIASPSPVDPAYLSFNSDGEVREKILAFSVSPNGELLASLTESFVFSMRKTSSIFED PIFSYKLNISFSKLRQMVWCGNESVALNVVTSTSDPSGNLKLKNVVYIGGHENQWLTY NYGRQSLILNTEVDGVKVQTTTHSEFFYRVPKSLESVFGIGSCEPSAMLYFAYEKHIS GDITAYHSLKAISSQLLEASLTCIDASVCKFHEEETCIKLLKIASFGRLFSIKSLGSD QIEAKKWEKSYISACRDLRIVKAVNQSSAEFNTSVIQLRTYGVKVLSSRLANHRCYLL SIKICEYCNISHFHILSSWACAKIRHSLEATDEELAGTIISKIIGNQGSSKKFIENGF GSSCFSLIADEAAKAGRMHLAILLLQHEPNLKRRVAMLLKLSAFKLAVEQSIKHRDID LVYVCVTHLLFASSKEKSHTENVSPLTSESTQRHYWDSETIETLANIPEMVPFVIYYC TNLGETDLLLKLFEEMENFFDAGWVKIMLATLEKNSILAKLEHLAHAAAYFSSSLKSK KSLEFGKMISNNTLTKSLLLLKSTIPQTSDKTRAISFIHSSNPNSGSSFEREAVIAEI ELIQYQTNLDSKSKQASWKTSEIPNFVSFVGCSLSTTIKYLAFLGLLDDLLQLKTALN VQDKIYWTYKIKGLGMGKKFQELSTEIQSINISSPPISIEKIIDICIYYDARHIAAKL IPKLRDSEKQSYWFNRAGMYRETQQLRNTNNTVQNKILSTFSGAISGILKKE cubi_03218 MKHSRETIRLMATTELRSYSDDELPVITPCSAPYSSFDSNRDSI LNPFGNFLPSVKVYSEQDGTYVTFHIKTAETNVADLKNFINSLRDTKRTHQSSMNSQA STMDSDLNSSQQKKDGEMSLFGRNILIYGDIPGLPKRMFSDSDTILQVIRETHDLKLY YSSESFENKKYSENELMVTVYPKANLYEFGIKCLITISESGLKFVTEREEKSSNKIIF ISFDDVCSIVVYKQVENCFLIKRKSIGKNPFLIRACSSFDFNIIKKRLKQVSEINRDS KLISDADSRLALSNANINNVGSLTNMNALTTFYEFLSSELGRIFFFKWIESIGQDSQK FFEMKIMKFKPENNDLNNLVTFKQIKEALLNQEYSLLAEEKGFYDLLVQFERYVKETS PPIEDILQVN cubi_03219 MSFRLESGNLELVRKVGVSNQEAELLEHINGALKSITSRIQEGD TQVKIRGCKELLKLIAAIKQDVLGDFPSALLVLDLELWELLINSLNSCCCQSASETAS KALFPGFYEISSTDGYMGSSCCVVLKEKILELLEEMVQIVNELFTRNKIYYDNLSEIE LYTLKLAETIVPNLVCSSLKSSISSHCECKLEPLVSISFIIQERISTYSEMLMKESRL WSALFRLLMGCNYLNSNVIGIKKRVLLKQNKSEIKVVDFSVNNLRFLDSAQRLCSTFT KFNFLNDNKGHKYFSLLAIGDIFSQFINYVSTILKIVYFEDVEMRNNKLDFLLNQKFD INIESYVDYSEGSESSNKTAEINEMDVLENIEEIENFYRESTPLNIRMNSYESESRKM QEAEVNHNQQKDAFETNSLMSCIVFLLGNEKIIEFDSFRIINSDSIRFTILNVLESLF QSSDLASLDSVTMISQTLFVYDFISLLYPNDPNYYLENQIEPKFSYIEKFAERFVKSP NHIVASIIYILRSESSKESISRHLSVLFNCLFNLKNSPLENLIPITLGYLFDFLCQES HGLKNLTYLFDTLIEKLSDECLHAYSPLVWISKAIAFHVTLDQNEKTIPNASQIISSL RSFLSKWAQVSEIMISSPSIQCYQSRLRGIRRSILYCSLIMSGVREKLEVENLTDLYM VSMMLASIIRNRSSRRTSTLQLIDLLSKSKNFSKDDLDLEIVLNNLDLVERKWNLNTK HVFFSKFVLVDLDRDETNIYEPQAYEEAHSVNFKMEISRKNEQSAFISADNPSFSVLK TPDTFHLSKLLTAIKNPRIQTQIKISALKTLTESLTSSKISCKVFCIYLDELVKILMQ LNCKSFCVKKQSAESNLGDDSIFGISELILIEEDSLFYHLSVALNALFISRSYRQDLL EYLAASYSIMLIHHLSFWIFSSSEECRCSSFSLITTILIIIIKTGVILDTANIDSPDD SELDKTITHLSVSTLAQKLLILPTSIKETKEYKEIYEEDLYENNELNSLPIFDNRKTD SFKPLVSQKSILQGIWRILWDLERLFRKRFKQTNSLSLGEVLYSVFSLSFPWTKMTSQ AIYLENGISKIKDGIDSVLSKLIMLLGQNNPEYLSEIEKYSDLINAQSRNIDIKMLAA LKVIHSTLSSFQLVNTNSFSESLSKYSAFIVVILSYFNNYFRDKEGDLVGTIRPKISF GIFDTIYLCLDSCNGALCELLFEVLPNNIQWSFIVLQVPKLIDPLNSVYSPTSMLLVT KLLSRMPLKRWFEEDPKYSLECLKLLILNKSLLCNRELPDLLLCTQSFQDSYFVKKVL SIFEKISVFESPNHPINIFLQKNILKWLLCVGLVSSSFEVQAKIWNLKLVLLDKWDNE YSHNAYSVISNFRVPNNVENTEFSEQVSWGFIFSRSIKSLNHLINIMSYSELKDSNSI ESSIKWRNMEFFEYFTNLLRFLDVSLGIHHKPIEINSNKNIDHVDGEIQLVMTTFSES IEIVAEEVITPFLKLISIQDSRIERERFLFTNLMFGFLCKLSRFLPLSAFVFQKLADN LSLFSASLNELFHLEMGTNNSLLQIQLILCIINTNPSCHGLLQEHLANIMNKISLKEM SYEQMNRLLSFLLSLFDKGIKNQEICLRLLENMSSMCNEILLRMSEMLSMLKSGAELP LEIMPSLNLDKNNYLLMGVSLLFVKISQTALLEGSSVFSDKKLRVEIIYSLIVGVEIS SKISITSEFLTGSISLEQINSLQESLALLVSTLLNFSSSDLFDSIEDEPKRELFKHAF RIWENFIYLISFYYKIQKSYSEELDHDFPSKMNNKKEFYKEISLCIQYYIQVITMDIF TELITNNINDFSPEKFTDDLKNIWLFINNQEENGSNLSYPVLYFSSFLYKIKVRSSGS SDLGDWNKKFNKSFFRTGLLDFILLKTSESLVEIREICLGELRSCTIASLRAMATQNK NLSKFNGNVFLSQTCCLFQLLKMLGLFLAEFENYHNLLTSNKMKPLLNFTLESLALLS CVSKQLEAELERNNPSGFESCIRVWWKYTCKVTGEIQDIEHFSYMITQIITLILCCSS QIIPISETQITNITQGTNPVPLSDLARSQSQGSARFHDLFINLLDTESSVGLRLRRGV SRLPIEINEENGSLMSNVTKMELSKLDGIDIKINPKKSRALLDSIVLNDSAPISIKCG VLKLFLSLALSSSKKKFIKQWKADELSEKLKILSILEKARKLRSYEFLVLSLALLELL LSFNPNQVVSSINLGRKPQRLYTDSQCNSIVTSLELLRAEADTFENLDLNSRTYPIIQ DLFNKCFTLLKNIEN cubi_03220 MDSLKELINSKKTELRKKLGKYYDRYSYSTGSLMTFLFQIYLDN RKWVSQSELQDYERQEYIKRQKEKDLKKVKKENIKAPHYSISESISRESIDDQRKDVN FSVEDVQKSNPKHLEDRSENVFLGISIQNKETIRRLRLLGEPITLFGEGDIERYNRLR RLEFQGRTNEEMNIGQQNIFLHGFDPNISLYSVSEDHRKVEIYEEFEHIDGESNQKFI LRWIDTQLKEWEKLLKSRKKVESETEKGRQDSAQYYQTKRDIQPLINSLETSDGKVDQ EVLDKLFEIVTLCNQRDYNKAQDKYIELAIGNAPWPMGVTMVGIHERAGRTKIFSSHI AHVLNDETTRKYIQMFKRLVTHCESKRPSRELQKKSI cubi_03221 MWKVTTFYLSFILFLKTSNCQIPGGNYDNITVESYLAQNGSPYG SDVKDPKPTPPYYETIWGAISVPPLITPCQFINPITLTVGNLNRTDPVTVDFSCDTKN LGGTSKVQTQYGVLSISNAVALSPLCEAAATLLNGMTPTVIQTYSYTNLTYYITNDMV ENLILKFPQTYQSLDGQGAINWLSQHIYSETWYDIKEDLGLASELNPKMTIALNYKVF IPSDVLPGKNVVGSNGDHYFVDTFNITILDVSLLNYSQDKNDKLWVPKYGLGGFIPTI TPTYQMKVIPYLSNVTTHFNDAAITWGQTNIPFTVTPIRVLPPSRLPEQVLTGKEKSK CSSNFDFYFPLRPYTMVDNLGDEEDPRDLSLLLSNKSDSIFQRNTLKGMGFFNKFIIM NSPNRTGILQDTGAPSIIIEENFIGGCFFNGTFPFTPSIENKGFYQQGRCSSEQLNTS TRYRTPFYPPPKIFDGYFQPSLDHPGLQTLILQTVSYTQNGGGPTEFEDSKKTFIRNY PGVSVINQIFYGIFLQGPRVTWQSPLFHNPNNPIVPEGSFYAFSPQYVEKMFGSFNLP GGGASCRAARMLPMPTEMADVNVTWQCYTSTTPVSLLYSTTTLKDQAFEVCMQAGPPA ASLANCITAEKNLPSTLSTGTQKDNTWSARIESKDYSITTPLLFIQNNTSPYVIYGYS PTGWWIETITYPNNYNQWFLRTQTCAFPPEIPIFPTDIPQVFTIKSVPKPWDHLNTGH HFSTIPYISQNTSFSFDVKLNETSYFSSMAEIIHFKSQLLCEGYHSIQLETVPSEARV QEILSQESIIVSAIVKPFSIFSEQNVNCFLVFRAIPESLKQITTIPTAFGNLANQIPS APPKGVGEYIYFLSADMPPQMEEFSILIPNPGKTSASDTLYLQIPRVAFPTKVQSSVF PSLGLFASSDPVISSKSRILILGDQQQLLQQSIRLPATEKVWYIFLKASTPSNSGCLI PCDKSLKNHYITPYCSYGNEPICPHQKIIMNSQIESREQIMELIAAATHPLSYLSLFD GLQLGTSLFSQPASQSLVWNEYFEVLFEMLVENPKNPTSADFSASKITVFGILNMILR KALENPNLQIDTIRPFNSILNNTLIAECANSPGFKFLLLETIDLIIAANGWDFISSNT QYLQIVELVLQSIGNRLSFSEPIGSEFRWAGPNSKIIFESKTFAKQDLNSGVRFDTIS IPHFTFSNNIDFQLAESIYMIQNPKYFDPQLAKSYWIPSCPNNTLTISVSKYPNELIK KSIHKSISSENLALIPVLSTTLFVCSIDYYLFNIPTPVSFNIDLELDIQVNVTGLECV VRQGNRWDSSLCQTFHRYNEFNTRKSQIQCLCSALSSFALKGTVSPLNKYQNDTTPWT GFDGDFGGLIPNISEIRPLLGNARSGFIFLQGDSTSKKNPNSNLEDGQVGRYSVNYKR EKNSTSETVGILQEQSAFNGFARYDYDFLYSWEPKSYNSTLNFTIEN cubi_03222 MFLKLLYKINKYLATYIIFILFLRKIENKNREVFINSNLSLIKV LNSFENLETDKIEKQIDLELEIDMGESTNSDIFNHDNSKHSSNDLEAYLKADNNSTSE KLNVIPVIELDFGKRDSVSVDEKSETEVEPLNDNQVEFESCELVNPDNVGKLENEIAA LMKNEKKLVRKLEQIEFTVNIYSKAFLSDSSMSNTIKFIKKYELVKKNYQKNCELLEK KKSDLEKYK cubi_03223 MGSVESNACEIIPFSSQLACISDNLSIANYSSKVNEQYVLNSIA PKNLSNQFEYIFPCGKDDFNNEGNIKCNPSTKQIEISCGSKLYTVNSSEIVQSEEDHQ NSCSFTFDLSNNELSLYMLNKPNNLIFKTKEFGVKPTTFNTTLVGEEFGWSLTSREEF TKNKTTTTSTTTRTASLIDERISFVNHYEENDSGSSNYSTPSFADQYDSRFNIDYPNS NSSNRPANKMISLFSQNGTIFNMSERNSPSFNFHNENSNITTESKGLINFSIKKSDLK NAPLLVSNLFGTTNLKKQMSLLFNNDELSLFDNDSERSDVAQIPKEWSNSTSIDLSLY WDKNSSYIVDNAHSKIILFVGNNNGENNNSTSADVTQIQDEIDKVAKFHETENICNIT KQMNVCKSNKISIQYSGGLTEGNHLRFGFTIPRSDLLPISISLFASENEKSKVVINPN GSGILKDTVTENKVKFDLGLINGSWFAGDIFIINKNHTQEALSNSLSCISFTKTCLEK NESNCLNSLHNPETQMNLGLEKNLTNAQLSSSEYYLFLTWNNCILGYVPIDKNKIDGV SLSNGQNSIPTIGYWSIIDGFSSSLNIHEHVDENSHLNNTQNQNIHEKTIKPFNSTNS AFTGNNHSVRSLNMVFFDGEYQGLEDNQPTSADTESSSDSXXTSTETGSYSDNTQSTS TDAESSSDSSQPTSTETGSYSDNTQSTSPETESYTDSNQPTSTGTGSYSDNNQSTSTE TGSYSDNSQPTSTDAESSSDNNQSTSPETESYTDSNQTTSTETGSXXXXXXXXXXXXX XXXXXXXXXXXXQSTSTETGSYSDNNQPTSTETESSSDNNQPTSTDAESYTDSNQPAS TETGSYPDNSQPTSPETGSYSDNSQPTSTDTESYTDSNQPTSTGAGEDQEHITENADV NNQENSSDVGTQNFSDQSNFSDVSANGEINTYTNADSDELEITRNDIIEDASKEIKNK M cubi_00519 MNHKFVLEIDKNDNLIEFVRYVLSSRSSSVPKLSLPILDRNPGL PQFLKPEKPTFERYTRDHEKIKFFNKCLQIKPLYPEKCNHKFIFKYSDKQPERCSHAK ESIDKKKNFNYSNKEINSISNYKFGPINKGITPLRERVCLACKKNSLTKLCNQKKNKH AEKRRNNFLDYPKTVLQSNEIFEIDELCKYLLDKNSKKLIIANNLFKEPLDSLYKTKG SSKRAEHFSESFIKNTIKSPNKLVQKMSISINNTETNEELHSQYFKKNYLQLLNTDPI NFRNDSLTFTHSIERLSI cubi_00520 MKSLLFVCEDSSSINHSSAQRLKPGDRWFFGETEIIDKQNNALN IPLDNHNYRKFELDQIITYDFDELSSIANDLAEQCFLNNSNNLVISTSYSFGNNCPLL LEGLYNESNTLVGPGLFLLLSRSILTTIQDQSENKRFSLHCSWNGMLNSGEFTDMFED LSEKNESFDMENLSPISEEFGFTSIFKVESVNSLAAIINAKRKASDWKKICHFIFTFR IFKDFNEIESGKNEQIGSVSLVSLGEGIKTRFSGQISPWNVLEVAMKEYNNPIRSSFC MLQLSRYISDYFEFPDLITIIYRFPFYINPMKLSHGKEIKFVLNMMLFHQTFVDFISL EDEKADYISKVGYIPEAKSVLSDYSNTETNQNSKYLQDFGYDSPIQINSYDKSSFFFN NELNDAPIPPPNPVSKNEKIDLEFQEYLSKCNIFTENEFSPEKSRMEENKDLVENCSN LPTQKNLKYEYDALIQEINILENTLIDKNEIIIRLEKLIEARDQIIKQNQETIRLLRQ DLNNETTKLKELEQRVKMNKGDNLLNNLIQTKQKLPFGLQRTRPSTANSRLPSKNLSI SNEAKTPTVAIQNNDENNISEYTKEEAMLNPALSGNFIMSDSDSDIALSKRISKRPSK LCVNIKSEGSDSDFSDNIPLTSRVQKKTQKPRKTVTNTKKTSKKSTKKTKTTTKPSSK PKRKQPIKSENDSEDDDVNRDIKKQFRDGQKYITPPNGDATRAFYESLYNENPYSIIA LKYCVENGVLMGAKHTNAYKRLEYLRESGLLKRSSGGIQSEAVECLKRFDSKNGGFPK GWDH cubi_00521 MSRGELEEIDSSYSNVKKKPIKLLKHKNSNLKLGINDSKKNVVG QTIKLTCDHKCKKNNSECSSHPSDLNSDAIPIASNSFVGESETTGYTKAELLHKEVQR KRIQKQIESRASLTHRQRVSKFNEKLSMLPEHFDIPKVGPG cubi_00522 MDKGELYGCRSKAVIKPEFKSFIYKPSIQKVNVIGANAHICNFH GWKGGRNSQKCPECVEIEKKITEKLSHTKFKNVAGIDYIEGTNTIDGMRIWLRCNMGH KLIYAMKDISRGCRVCSSEKYLSPKYRNNAIKLDIKKEEEYRRKQGELIAEAKQLFEM KSYNNNGRQNIDLEVRECIENHPNISYETAYALTYILKFGYEPYKLFQIPNKITSENK ISVLKRINSYFRTQARLIHPDKIDHPRSADAFHILSNAYNQIKNHLENKV cubi_00523 MSFTKASHYFIGIMILLLIFNSGATCWKIKNIFKRKKEKSKQIR VLEDQAKKQFDRQIPNCEDYGLHGCDPTTPDACSECYSRCGRHCEDFLWLSLEAVNFR LLPRAESQKECKLPSGKKSTRILCYTTAGRRHIDPTEQIIETMSISNPQDIEGSPTQV NEVESMAPDNLPGIYAVPMTQKMQEESESSPYDASAVTFRPSGQKGTLVSSIELEMNG GKLPSNLESGSNTRIIIICGLILFVSVGALFIVIIYKKRQKRVADY cubi_00524 MHNSNVFYFINGEHHDLINCYYCESCNKLISQEEVKIEIDTYFC PNCLENIPSSEAFSRNFRCINCHDCPRCMATISTNKLNLGIESNENNGGIVQFSYNCG FCYFSLNADYGMNIVSEVNETTNKEPSNGSKKLGAVKYKNSFSLQESNYLSLIFEKMS NSMAKHFDPYIINDTTKSKNLDDEQEKLNPALRILEEKQQILTSLLKEKNLWQNNDKL KCLSNIKNSFPNSPEKNNLSYRQYLNHPEIINSVYLSLRSNSNNGAILYPFEKKLCVQ ISKRCTACKRLVIKPQLNPLSQPPFRVNLSANLFLPNFRIVSIKNASPDNRSFERVIK IKIENLMDRQVRVDFLPEKDQNEQLSIENSKIYSIFRIEPKSLNIEPKWDPLLEQQDQ QSNILASEKRGEFGTRINCFYKFPIPYWE cubi_00525 MSLYDRAITVFSPDGHLLQVEYAMEAVRKGYGAIGVRGAECVVL AVERKAVAKLQDPRSARKLLLVDDNTCLAFAGLHADARVLVNKIRLECQNYRLNIEDA PPVDYISRFAAQQQQKYTHRGGVRPFGVSTLIAGVHNDGRPGLYQTDPSGIALEWKAQ AVGQNSKTVQEFLEKNYKNDMTREETINLAISALLEVVESGSRNMEIVVVDKKGCSFL SDDETDMLVSAIESKIAAENENKTR cubi_00526 MEVETNNNNSYLSVVRRSSRRTSFPTLQGLYVSLFEGEITSDGL DLSISNKNTGKEFNPKLLKITFFLSIFISTVLCLDHGFVSCNLEYIEKSFGVGYAQSS FIGSMVYMGFLIGCLLTGLFLPVLKSKVLLIGSLIFVVFSGIYSSKSLTLTEIYASRF FSGFFQAFSIVYLPLWVEKFSPQSSTTTWLAYQQLFSMVGVFFGYLLGGILTCFTAED INIYYMSSSEKLSSLFISWRGPFIIQSALIIPIILILIFIPGEILDVGDQEQLSEIEY SKTTGLLVSGVDLRRSTNNNLLPDENNLNKRNSKLRRSSVLLIPSQSNNPNSSKSLEI REICSNPIFMFSTFAVCGVYCFTTCTLFWLNQYFVEVIKVDRITSVFSVACIYLSGPT LGVYIGGITGDLINDYHPNGFGFILTLCTIFSFIGFITSMVVAQTHPYISFFWGMIII LFFLTSTLPMSLIVIMKSVSSKTRQLASAIAQFSFNFVGFLLSPVIIGIIMDIIEYFP FGALKRRYNPIEVGIKIMLYISLPTFFFYSLATLCSYFNCLISPKITQKKIIIANQID IPKQLFIK cubi_00527 MNPFENVKKVLGEELFLKIQLTKIIVVGAGGIGCELVKDLILCG FCNITIIDMDGIDISNLNRQFFFRRKHVGMYKSTVVASEAKKLFDKCHSNNRPKSNII GIVGNIMDFGTEFFSQFDIVLNALDNISARSYVNKVCLASNIELIDSGSAGYNGQVHP IIPRISRCYECYPPPTQKSFPVCTIRSVPDKPQHTIAWSKYLFEIIFGVRHDEKEDSD NILSDISKKVQIDLEHLKKLEKNEADKFIENYIVNMFDFLFYSEITSLANNKEIYINS NKKIPVPITWNNIQIKNYKDQELTSEDSENSEQKVLSVKESSELFFNSVYKIIKNRMN EIGKSSLCFDKDDKDVMDFISAASNLRSYNFHIPLQSRWACQSIAGSIIPAVASTNAI VSGTQVVQLLLMLKSKLSSLANSTVENADDLSCSNSLSVNKFVWIRSVPMGRFMICPE NLEKCNPKCLICSQVLIKIKIGSFDKWNLMEFVKGIICQHLKLSEPSIELNGKCIWDP DLLQEDHFLNISSQKSLSYWKFTDGCIISITDFSCVKFQCDAVISLCNQNILESEKNS QTYEKNKESFIITIESAKVSSFECSNDNKEPESSDDEILSELQEDTIYSSLLKRGNPN INESELISSKRRK cubi_00528 MSKCADNSENNTNNINRKITSSISNSIRLLLKKFEVELDDPNVL ETATEAYINYIELLGKISSSVALTAGRTQVNIVDIRKSIKILDIKRYEQVFLHSELES IIKKKNDFGTNFSKTIIPDICTNGNSLWKDVLSDKNSSNEKSLDPELFEFVDSIPKYI PDFLPIFPPRLDYCDNKFSNN cubi_00529 MDKLNDGNKSCPEKTKWKSSIDESEIAYRTVEFGSDPHVFDSKT VNIFLTSVILTILGKTTSDLEKQQLIEEVVESDYSYLKFSAESTPNLENVNQINKIFC VTFTSNLFPLICLKLDGLAITSQNIKLLCRRPVKYSHLNSDETLKRFIIPRIKQYNSS KENEKCILKNLPTDIDEDKIRQQLENIGKLKSLTIIYDPITGIPKGVGSFEFEEGSLC KKAISTLHGKPIESTKNGIWNIHLGSGIISKSNSNSSQFNSSNFSVNSSVIQNSEYLH ISEIPTSMTYNVFSNSVVGLMMKYSKQIGETPSQIIQLLNIFLPEELIDDEIYNSTLD SIRSEAEIYGTILEIFCPRPKVIEEFHNCSGAGKVFIYFSDITAARRAQYQFNGRVFD NIKTVSATFYPLEKYLKHEYNIISYYYECQKLS cubi_00530 MKSTYYSEMRFTSMSSISHRTRVCFILLCLLANVTRTISIIILD LTWPIVSEYRFLLENGTNIEEWSLDLLRTLPSLVYLSSYSVVVLFWAHIYYTSILIST PQMISFFIAINTIIYGFYIIGMAVSLFSNLLSFAGFSMFLLAILYISISISMLYYGIK VISHLTRRAASGTILRYNVIQRVLFLTIFCPILLFIRGIISGIQCIYSIIGVPAYFKI FDKTPIGDSLIFILTELFPSIVIVVSFWQKISPSTLTVSTRGLGFTSGVFSNINNTNE NHNTHHSLNLIQNINALNNIDELVKKVEK cubi_00531 MNTTNNNGIMNSDINSLVSSYLDSQMLLYLVRWFKEMKIYDLES LKSAEIDVLKTTHFYDDMKELYPQILAEELSKKDCTLTKLESLSRSLSSLIAALKKWK ETHDESSRSLKKKSLIELQHWYLESDNDLCPSSSNSSNTDAEDLNNESQLFPSNPVQM LIRLSRLFYSSGKYRESQNILDIILKIIPDCTNIEVPLIMRVECYFGSIANGIVSNLS KYSEPIEPAAYLNYFQLIDDTLSREFHKINPIQIYLYKSWLVHWSLFPLFTQYFRESS FSANKSFSNVSGQSIANTTQNNSNSNNSNSIGSNINVNANVWGPFLDWFASEKNFQVI STVCPHLIRYLACFGIVMRRNKDILDSIVNIITLNRDKYKDPFTELILNLLVNFDFEA SQKILNNFNSVTENDFFLNPIQKYIEENARLLIFEIYCRIHKSIDLNVISNELSMTSI EAERWIVNLIRNVHLDGKLDYEHNRVEMIDRSNNPSQLVSDKTRNILFRSNLLIQNLS NNPSFNYNNSQKNKQNWRVQPNKQSNRSEKREQM cubi_00532 MQRPTGNEYGIDEFIRSPDVRLRGTIKKYFEDFHVYEIQRNGDV CSLSKIRNKHDIINEIQERKKILSGDNILNNDNSIINSELICNLENLGVSQYCINNLI TFISILGEVKKLTRNNSLSNRLFKLDSRGNLKSRFELNIKLFSSSPNSIAAENNLLLN DLNDLKKKDFINSQKEKRKKFHQLIKKFVPFITSQTIENSKNINVLNEVELFKYKGIS ISNEIFNEISRFFKINEIELNSLNDFNRPVLNHSCSERHFDTIILRPSNDYIYSLRNI KQNTQTQIDDCKTNLIEDGITTNFDPACKKQRIEQTESESINETKMNFTFGSNERWDP NIPDYIHFTIYKENRDTVDAVNMISKCLKRNHKSFGIAGLKDRRGITVQRASAYRVLE NQLLYSTASKSWDRNVRISDFKYENKQINIGDLNGNLFHVVIRDLEISDTHLGTESGN EKLTLKVVEKLIREIKSLGFINYFGLQRFGTSEIPTYKIGISLMKREWKKAFYLILGF DEEKMSNYSTEKRELYKKITNEDYEEYQRKLTNHCYLEKLILNGFIKEKKKRIESNTK DEIKKDIYKESINLIPKNSYSLYIHSLQSLFFNIIASERINKFGKNPVIGDIVMTTNS DKYSNKNENSAFINEQNVLVLQNQSELEKFTIQDVVLTLPGDDVIYPPIMKEKYEKLA KELIGINIKENCMGIAGGYRKILVIPDYINYVALNIVERRNDDVNKFPDSKVILSDLD VLTSHPELKRDLGKVEPVLSPKILEYKCDNKNGDSQVNTIVFSCKLPKSSYVTMALRE FLGNSPSEKQ cubi_00533 MQNNVNNSFREIHQPPSTYLVNKDLDAIVTEESMEIFDDRIYES ETQKNEDSSKEILENVDFLDRVVDQFYGNERTSSIRKINDEMGEGSPLLFPNEITRNT EIITSNKRHKIINNNNQKLGGSREFLDAIQAKTRKYITFEIGRGYKRCLLLQTGELLR LVHSYNKECLLQDTVPGALKLRDLRQVVSMGSSQRPSIEVRRNCILVNVPHFRVIILH QKVLLIASGYSRSPLEYPSSGINYNYPSSSNNKPEKREEVFKEQNNCTNKNTFSLNDV SLEVTVPYSSSIDVPNSPNNYQCKHDVINEVNFDNSMAVQFDSTKINSFPKTGIEYTP IEGLSDKQIYSRNSQFIPPGIEDGFPLLRKLEHISGIESSTPFEYLVLETILVESCNI INRQVRPIRDSVLFILDSFPGRNRETRRLLDAVSELRRRLNAIEELAQGLFKAITEML GNEEDMQRLEISFYWNRPEAWEYPKNTPYHEEVENVLECYAQEVEMMLQQIESIGESL EDALEVLTLELSSLRNSIMKADLGLSIIATIVGFCGFFADCFGMNFRNGLEEVGPALF WFITCGLILLCAAGAIVVLTTFRKIDL cubi_00534 MSEDFLLWPKIEAIIFCKFDEELGPIVLCSSPSDIFGSEKPQLC SIITKYLLPDIHFAGKTISFVIGNKWKAIGVPIFIEGSQYLRNSFQFTVCIIVKKTSY NFYQEVYSRHIARILGSAFKLLEEDCGILYYYCTYAENLPDLLKKITKNNNEILSFPK NILEVIENVRSQLNQKHQVFFEFGDASILNFRIRKPSCNIIINPEDIPFPSEKKMISD IKHIGIDFSLIKILPYINGVNTIFEISKYCSLPIEDVILCMEHLVLYGLINIIDMISS ENRYRYVGDEDEHGLYRKLCNECINAGNVLSFTKKYYQELKKHKIKSIYRFIANGVVS KKIIRLHEIPIIFIKSTPQHSEIEKKLLQLCNGNNTLDHIQILFGFNSKSEIIKFIYN LFNDSSIYWAYL cubi_00535 MVSFVCGNCQDVLKKNKVDSHCIGKCRNAWEFTCIDCNSTFEGF DYRSHNSCITEEEKYCGKFKNSKKPIHSVGKSSIDRKKEFKTLIEKILRKNGPMNWKS LVNESIKEYVNNNRVGGDYNENKILRWECLASIPICYTTKGSNMVSYK cubi_00536 MRKYRCRELTKFINRLLVPFLDSKDSVNGFVITHICLLIGISIP IFKEFLLKKDVKDFDIVSATLGIATVGVGDAFSAIFGILFGRICLPGNKDKTLIGMFA FFISTYSYLQLTCFFSASKYSLSKLYVISFFSSLLEAYSHYIDNATIPENSLAENQIS RSHNYSAVPNQLENILDQIVINSTSRSCILIGKPCTGKTKLIYSYFNKKKTENISNEL IIIHLNCLNYDDNTLLSALLERINEQFPMHRKLFSGHQKISILKEKLLGLSKCGYTIV LVLDNCEPIIVGSSNASHLNSNITGYSSRQFALYTLVDIMHSSEINLILILSTSMFDL PDFFEKRVKSRMSQRRILLEELVNFKKQDKVRNALLKAEELLKIDKKSISEIEELNVD SYNDSVTSLFSYLLDENKESREILKYWEFLVDFEMESEILSNLLYQFLLLTPFCSIKE FMKIFSISNKKNIQKTRNEFRLLKQGVKVQECMKLRRFESLSIIQHTILVAIIKLISM GIKNITFKKIIYELNSLKNHISVQSNLSGLNLNHTEESYKSSFLMMVKMGVIEPAYNI KGNVSYNTMSTTPVKFTQHKLYNESIRLFNIPTVLQYWLKNNILK cubi_00537 MLSFLSSINACNLELSINQDVGRKSAPLSKNRKGEKGLIFSDGE DISGVAVVVVKPGRKLDHQGIRVEVIGQIDVIYDQSSSYDFFSITKDLEPSGSLFETK QYKWKFNAVDKPYETYYGTNIQLRYFVRLTVLRAYASNIVKEVDFVVQNLNNNIPSSI SEKNKIQDGIKMEVGVEGCLHIELEYDKSSYHLKDVVIGKVYFSIVRLKIKYMEIDII RLETCGSGPNAITETEVLSKFEIMDGAPAKQETIPLRMYLCGCDLTPTYKNLQNKLSV RYFLNLIIVDEEDRRYFKRQEITLWRKKIG cubi_00538 MLNKYVFRCKKCGSTLFTTEHIIHHKRFNGSKEFNPENKNDLCT SYFISNISWMEGYTGQNGRIVCPNQFCGSKLGYYCWFGEKCSCGYWQTPSFQIHKSKV DYLPDSQRQNNINITIIE cubi_00539 MNLYNKPLLEVKIPRVIIVTFIFIIWIRKTNQQVINACTIGNNP CTENAECYVETLFIGAPKCRCSNGFQGDGLIGGTGCQDIDECVLGIHTCEIKTQRCVN TIGSYECECLSGFRKHEHRLNVCVDIDECMELSVCPSSTLCINTEGSFKCECLDRELI FDQGRCRPINKCTDFNGRLNDCSQNCIMGNDGRGKCECNRGFKLHEDGKTCIDINECE ENNPCDLSISSCINTPGSYICDCFRSAGYMTSPINNKICININECEEDPMICGDLNMC CKDLAPPDKYECAFPSINSDGANTIDLNNHSKESGKNIESEFKNWENKSKDSQNNIIP RKWNEVNRENGGNTNPIPSNDINGCYESDIEYPGYTIQIIHSLATAFDCQLQCQVDLG CDFFTYDMLNRVCFFKAAKSQQKESPGMISGPKYCNYKKINNKNKLFSLDSTSSQSSL LRRMSSNNNSNKTNQFSDNKCPSGFNFAYDIWRRQKNKRTMEVIQKQFGTRQINSINP TDISEGIQSNTNFMAKQINQWYDVFSNVPITFSNTTKQTNKSVPKLESSNINPGFIAG GIPPPPRGTYPPYNEYYHFNQYQEQKSQIPHYNHGSKTPIYGMKSDNSSV cubi_00540 MLSSLSDSELSEQLSQVYKSFLQGDDEYSSKIKQMAVENIESGR VLIELSDLRKISDELPQKIINEPYVYVSPFEEVIKDLVDLEREIDKNSSSKSSLFNAR VGFTGWFGRNHLTPRGLTASNINQMVCVEGIISQCSIVKPKLVKSVHISKGHLIGQSD TSGGANQTFVEVRGHRDISCLIRDRYIQSGVPSEDGQGNKMEVEIGLCRYKDSQKMTL QELPEMIPTGQLPRSIEIVAEDDLVETIKPGDRVKIVGVYKPISRRENNTITGIFKVV IVANNIQLLNKNVTSPELTPQEIKIMKEISARNDTFEILSRSFASSLCGHEYIKKGLL LGILGGEEHNLENGTHIRGDIHTLLIGEPSCGKSQLLRFVMSIAPLAISTTGRGCSGV GLTAAVTHDPDTKERRLEAGATVLADRGIVCIDEFDKMSFSDRVAIHEVMEQQRVTIA KAGIQASLNARCSIFAAANPVYGHFDDSMELSRQIAFPDSLLSRFDLIFIVKDSRNSQ QDRKIASQVLSQVRYNKNSTQDSKINKTNSGFVIQPEYKDNDENEINELNDIWQVPSN KITDKKSQKNNLKGQTEDKVLTTSFLRKYIHYCKYVRNIPKLTDDSAELVARIFTELR AKCINQYRGGTTCTTRTLEGIIRLATAHSKLKMRDSVIPEDVLIAFELLNYAMFGEMI ERENLLNDIFEKDADTEIMQEDNSRNKRREEKKCQRSKTNPSKNPELSVLEENDHNIV APPPSSIVTPSREKINCKESAARGHQVALAAANILREGNDINNEDLELVGIKKKFIIS CIAEQFSESGLEAMLQEELFNIIISKAKEQGNNFVITYEEIKEVVGILEEENKLMIDG DTIYSIV cubi_00541 MSKCNGSGKNIFALKGNKFLCTSNDFGNNINSCQELNDYIRNEE KPLLLLLDGINQNFHDEKASSRKRLIESDEYESILSKGLKTKRIHKHDILPINDFDKK DYFNVTPDRLLGCYANLTKLGVRIDDYFLIISKINSNKRSQDNSDSALNENGNPERTN NNRPKLYWAKHIATGFPVVIKVVKKTRIMSSFPGSYSIWKKLCLKLLNLPYHPNVMKI YQVLEDENNFYLVMEGLEGGELFQFLITEQAIPEETCKYIIRQILESVNHLHCLKMLH RDVKPENIMFRYKRREEKPYSPMSASSLSTFEGTDDKLGLERNNRKEESIAHLSLKSS REYFEVVLVDFDTCELMDDKPHVQMIRMKKRLVGTFGYLAPEVLNGGEYSAASDLWSI GIILYILMTGIPPLRIDLMCDYPKALEVLKVISDQGGFQFDAPPLPDFPLAQDLCIRL LQWDPKKRIQSCQQALKHPWLIDSFKYNPIGPIYKQILCNIDIKEKLNKKNTDKNIKE IEDMEKLDT cubi_00542 MNLNKSEVSLSSLDTLKPQLSCDTNKENYLHINNQKSQYIENNT ETNMKHDNFEKSNIKKKYKKNLVWDGPRWDLAHFDPAMSARERKKLVYYDALFNKLEN NVLLNCNEHRNKNLKNQNQKRRIRNNISDTNSKNQLDDLKEMKEKHIDHTDLETSSKS EKYSKINQQNPMNSYNSDQIGILLTPGRNVPQSENIKSSILEESENCIKSTKNNQSSV NEGENSSIRRSNDWRVKLLNKRCSLQATPYRAATP cubi_00543 MSYNDGELKIFMNRAIELSKWAASNNEVPVGCVIVNRITKKIES EAHNETNISRNGTRHCEIVALEKLINKLNYMNREFSNSTQSIHQDQDKCNCPKFRFNF GNFYDLFVTVEPCIMCIGFIDQLGINKIFFGCKNYRFGGCGSVLDYHHLNKISKITLT SGICENETIKILKDFYESGNPKAPENKRKRPLKILNCSLS cubi_00544 MDSSSIYGPKNINNKLVNNDFRPSTEDVERISSKVIRPEQWSPW KSFGKLMDEAILEMGWQERYSILRDVVSEYGKEKNGYLTLNEFLNILIDNPITDEQYR SIFYNLMDRNQDGLVSEVEFTSGMLSLSPLAKNDPKTSIGQLRLQFIFLYYDTDRNGL LNLNELKRMIIHISSIRSLARKTMSMSESKAREYAIHLIAHYDGVFGFNAFYNSVKNN ILKGTGWLLRTHLDLVDFINGNINGEYDSFNDHNKYHSFTSDFSLKNCLQNTLSPNLN KQLYSKESGGIKLVSKFLGQTNTNSGLSQVLFNADKNQKEIKLNSMGILDLFPYNFQN QALHSLEQRVIEYYMNILTNFENNSDIEEKMYINNVLSYSEIMDLCDRLVQIIRNENS LVRIKANNSVRIFGGIHGQLIDLLYFFEHFSWPHFHRGDILSMKYVFLGDYVDGGKFS LEVISILFSLKIMFPDKIFLLRGNHEDSSINSTFGFHLECKQKFGVNGEAVWERINDA FEFLSISALIDHQVLCLHSGIGKSIKNIEHISDVPKPIHVKSEELLNKNNYCNRLSVS DRRVFDCLWSGIIEKSNFDDSHNHLNEADVRNTRDADIFEQNTCCFDSYDIEDFMNKN SIKLIIRTNDYCKGGYGYNANGRVVSLTSATNFCNNSCNDAAVLVITRGVDNELIQYN QIIKYESIDNSFAWFDQIHPNLIQNNYNNEFNIKFETSSGENHQFRKVHSRKNSLLNS VAGKTPNSNNTFNYSLSPKSSTRLVNTDEKPITPSKIKNLYGRPVNLTAF cubi_00545 MFKLFILFIYSFLYSIEAGAGTLGEIPKQIFNADLTDLKLLILS NSNEENIQKLYSTFISDLVSSGHEITIKTYNRYSQEQDLQLFLYDEFFYNNIIILDIV TSDVQPSFPTSYVSKILDFIDRGGNLMIFVDTLDDQNFNIGENKGIIRLARECAGITF LPQNTRLLDVSIDGMGEPANIFSKNCIESEAIFSLETCTNKGILYHGIGFLNNIPNNE LIIPILTACHTCVSVNNSIKSKSPSFFGNYISSSTGEEIGLIMSMQGRNGARATFSSD GKLCSNEAIKSNSGNFRFCRDIFLWSFQQKGLIKITEILHKNTNDNSKTIIENYDDTL NYTVEDTIHFSAKFYKFIDNKWEDYESEDIQLEFTMLDPYIRTYLKKSTEDSQSSTFS STFKIPEVWGVYKFVINHKKIGFNTISYESITTVRNFRHDQNERFIISAMPYYSAFIL AIISFCYFTLLFFTQNDIYLRKCKNK cubi_00546 MSVRIITNYGDLKFELFCSQCPKTCKNFLALSASGYYKDTVFHK NIKGFIIQGGDPTGTGKGGESIYGKYFEDEICPELKHDRRGILSMASKGVSKRPNTNG SQFFITYSSLPQLNGEYVIFGKLIDGFDTLNALENCTSDNLHRPIDDIIIKDIVIHSN PIADKEIFD cubi_00547 MIQKEIYEKTVYKQENKSNELLPYSKITRILKLATDKKFSKESV QLIQHSITNFVKEISKLSEKQSRIRSKKADKDQSVTIQKEDVIAAIQKELHSIDIRFK EINHCFSFITMSDSHEIFMEDISLLPGWILRNLSLMREIDKKSNDIQITLKERRNKYL DDLCKRADLNSQNIDEDAKISKINEINELQRELRALLKEKIAISDQSVHYIRYDGEIL KKHYEQLRESLAENYMNNNESNSSSNYSGRVSFQGQVNFRNSSTGNAIHNIANDLNNH DSHTNRNSISAAGNSNHWNDESQTEEQNSGPTNKSSFTNDSSNRASKRRRSSNTTHVK DAVDQISKSINNHVDTSNDLKTDQLTSLNNNKNKLCSTCEGIELISNKFVQCEYCLNQ IHITCSWSNDPYLCRKCCKKRSVQPSSTYDIEYSVSLLNTPKSDSTKISKSSRKK cubi_00548 MAVVSYSRFLDSAIMGIFTICLSGLTLYLFGEFWGLCQSPPLSK WSIGKVMSTLFPFKRTFDVNLTHILLFSIIICLLSLRSDEGVSVSKCGQKQKKSD cubi_00549 MNESSSNIQSKKIPKNRISDIQTIFHLFDKDKDGSISGSDLFET FKSIGLDIPPQQIDVLLNEIKGRASTGIQQDPAISSSIDCAMFSGFISRQLDSAPLSE QLRETFSLLDINGDGFITPERLAEVCSKLGVSLTDQDARQLMVQSDGQAKADYNKFVK LMQLSKG cubi_00550 MEISNTWSNYNILLNTILENSRSLYLGFNDGILQLYSCEENIKQ EIKLEMSRYLNTIPSIVYSFPFSFCLSNFDFRDIISNSYYNYLSVDKDTWIINSFSNF YDIIESIGNCMDKILSNALAQESDFLLEPIIDSKKQIWAIHQKMRNYSINNPNEEFAF GQNTLICNYSMILDLEGSPRITGVVINGSEYQAPIFTTETKSQDLDRTNLTYNGVDIK TEEVEEINHDHILLETQTNNSDQLYPNQLSSIGQEFEDRTFQRKLIELDYLNQLRTII QERNHFFVNKTISRALNNSTSVLDNNDSSKSPFNRTIYIPTSSILSNIEVFSEGMKEL ERNLSNNLVGSIIFIQILSLIIILISMLFVVFIFIPLILVENEKGKEIFSNYGFLILE RYKYFSRKYSNEISSSLTEFYLLFEQLFSIIHKMVEKPKKNYAINTKKINEAQNFDNT KNVELIFIYKQLIGIIKFKLFYLRKFSICSSYCLALINEYHKNLNIEDINENNTNMNS ILEIVSKLIDGNSLMIEVRTKTNNEQINNIDFFKSILIYIIISNLVYLTNSILGENKR IKLISEKKSIILSIGSEEENRYLLNNQFILLNILNSIGENCSSNIDECFEEFVIENNW LNILIEQLNLEFSIRTNSKEILIEISSKNNTKKVILCPGEKNIKNEILENGLFVLILE NNNSYQNYIIEEECSKMGINFIQTKFSNLNLISAVKMEFLIIFVNKMFIKLDEIIKKV SEMINDQSTLRELIIFWLENDEIVPDGLIFSDNLDESNQNLYYLLDNNPLSIEHVNNR KLTKTKINQYFLKKQLSHSNLQKLLSMFFPKKTKTDYQYLTMCSPLQNIINIIDNNII FQLSTKNFFANDFIFKLNNLKYYEESYNYNESKYLFDKFTKINNNDIPIKDLRHSLSI YSMTRPFDWKKNIDQKSVKLSFINSESQNRESNYEILTTQLLIISEDELTRKIGNAIK DVLLLLSIDKIQERTDMLMNFWLFIKRSIFIKNEKLLQYYCPPLVFHCIFVLKILIKN SINLIENVAFDFNNILALIISFISIPFIKPGISSNTILFSSEIIPVYTNELPPLESQI CNILESVLSLPKFSNLFDDFEVISFGIKKWIEDNAKDQKPIGATFKAFEPIINHIVCD SNALFVSILFNAILYSQPMFEKEYSVAWIKRWITQKYWEDKFEELINTSFNAKISVQV RKFPNGSDLFNNFTIPWLNAIYQFDQLYSRNSYEGSFFVESFSRIKSNFDAWNEEYKK NTWDI cubi_00551 MHVYDIELISLLQNRPKFGSLYTDQISKKDISFQCLKQSFLDNN ANLNISVQDEIINSRSSYLIPLLASEYPNKLLTEYRSLDLELQILRNSVQKSTLDNST KLIKRNKQVVGEVRKKFIDFEKKIKVTINNVFTTIIERSNSNQLLLLQKLSQFRKLTI LRKILKLLVELVELPNLIESFISANMTDEALDTLEYSESTIKLLEASVSCNKIWQNEE QTFISTLIEKTKHKINLVKDSLYLLIRSRLNFDQLSLLSTLETIGHLRRLICLNNEII AVKHGFLEEICFRNKIYSETDYGLTDCMLAKVFLIARSEFIDIEYRNKSIMLTKLNSC ASLKFATALFDSPLLTVISTFNSLFSGGYEYVWLSSSWVNNYINWFFYFFKKTLTLVK NKNFEKNNLVPIDCTNKCFLPKSLSTKTIYIAQFDLDNIPITFSSCQDLYLQLYNVFS FSKPTLLISISLLENYMIEYYRKMLEFCMDVFSFEFKEYNWNNEIQTNNLEISKIRPI SILRNEFANILNEIKQCSLKSLKSFILDLTDEFLSLILIEMEDLRKTHISISNSPQNE NASVINIDKIISLYNIEVVPYIRSSISSIYNN cubi_00552 MFELEKLLASYGHPILRERIKYLGKCKYDILDSNNPNIVSFNLF GQENETVFDHDDFVYKLYFSTLKYTEENKKSLTLENKENYPKKGFLTNIKKKSFKDFN LVIEVYNEHIRQLWNDAKQLIEHYRNIASNDIEIYECKNINDCLIIYLPSSSIMEVFS DLNNSNASKNAAFEMITSSIKSCLYCGSLLNTIRYMSFYCSLESKTIAEEINIENYSL IYITLLSNKKIYAFYPDLQIKDKFAILAIEFFDKLIRRNILTNNFVQEISIAINQSEI SIFKNQFGFDKISISFSNTLPKSVDEIFSNQKTDINSSDKSFIIFCIPISWLDSTDDY IKLYFAGFITLINNLLLKMIPQCKSTIRCGLRKHVLELTNQEYEGC cubi_00553 MGGSDSPIGPKLRIRLKAENSETEAGLKNKKKRKYVSFNNGNIE TAAEDSKVSLLLECNPPSLRRVEFAEVLNIKINQNIDNKEDTIDLMSDESVENEETID YLDNLELEAKKIIEKNGLKNKFDNQVSKQNTENKDFKNNEVNLPDNDLPIIVNLECDE ENKTNHSSQDNKNQVSANNRVSSPIYNSLTQINSQSILTPQPIQIAPNVVQQPLRTFA NSLLLSKEKFSLRSFTPFDPNFNSELLLKIQLPESINQLYSLESTAKQEIREISEIDE ADKKIPIVIPTCSEWFDIDSVHPIELEMLSPIFNNEIIDLRADEKQDYKIEVNGKKNK NFLEEKTNLLNDEKINEYKLIRNKIIEIYRETPRQYLTVTECRRRIIFTGDVAFLLKL HTYLEFWGLINFQADPKTFPPKIRKFRDYRLNDFNNKYTEDRQIPNVSRINDEAINNP FINSMLVQCISCGKSCIYSYYILRAGVVCGVSVAVLDRCVWCIRCYSEGRYPPILNSG HFIKVDTPVVSSLTSPEEIPRTGVLGIASWTKEEVQKLIEGIEYYGDDWDAISYHLGN AKTPQECVAYFIQLPIEEPFMRNINPSKHTKPSFPFMDVSNPLMTQIALIASTINPVV AASAAKSALDRILEIEGYKDKIPNEVSFLSDKKNPFVRDETAESDANDTHFTAKDNQY LPQFIPKISSAKWPSSALLGEEGIQQVCSKALENAAFRARELAEWERNEIQNIMPYLI DNTINRVELKLRQFRHLQSMIDEERNVLEVRLEKIKKEDDEIKSKLSNARSQIISKQT LRL cubi_00554 MSSISRKELFSAPNKFQFVQQNYRVFLLKDFNAKRNNSVFSPNK VAFEWENVGLIDLIYFDSDEFIKCPICLDSDLLVPKISNCGHIYCWPCIIKLMNNILK NEEYAKKFKCPICFSNVFLNELVSLRYQIVQKVQLGSVINLCLLFRNISSPLVHFKVN ISNSNEKILLEKNEKGAQFQRIGLISNQNDVLLCDLQMLRIRKLENKKNSSEEISYIE ECISIIENALELRGVAIPEHEIFLNDTFGLLFSQYNESELLEELEYSFINSSANCGIQ SKIKDFFYFYQLFDGQLVFLEPFYVKVLQTEFGSIENLPKALLNVSVTSIKELNLNDQ NMRKYKFLNHIPIGSRIHIVGIDLTPFITTKTKKIFEEELGKRLGKKESIKQINCFDL LNSEIKVENCLIDDEIVNFNRSLNFLNEKKSSQNSRMSALNFPVLGNEPNSNRIKTDN VTGYKSNLYKLVQHRNDEDLFPPLMKTS cubi_00555 MKNISGLRQNSLAKEKKENLSDILSSVNGNKVCADCGTKTPRWA SVNLGILICIDCSGVHRHLGVHISKVKSISLDTWNNDWIERCMKIGNYISNKYYEYKL PNGFQRPSWGSQQHSIVEQWIRDKYEFKLYTPDNTIPPSLQIENLEASVLHEKTTLNC NNFEDFVQPQKSNYLISNNLLEIEVEKKDTLSKGEKVFNLEFNQLGNKTVNSNMKNKE DLENLTERTPERESSYKSVEVNKIKNVKQAIEKIYDGNTETPLSLNHFNQILNASIGN IEKSTDVGNIGKIGSGPGIDLSKEFKSEIGNSNHIGEHSKKPCPFSSIDAFSIIKGSF SR cubi_00556 MKAIILSGGYGSRLRPLTLTKPKSIVELCNIPIIEFQIAQFANI GVTDIIVALNYKANELIPTLKIIEDRYAIKIHLSIEEKPLGTAGPIKLAQNFLKEDEP FFVCNSDIICNFPLREMLNLYQKKNVNSECSGVILIKQVPDPSKFGVVLHDENTLMVK RFIEKPKDFVGDFINAGIYILSKKILGLIKPDQQVSIEKDVFPIMASSNTLYCSKFST DNANIWADIGNPKDFLLGSKLFMEFLKSNLAPAQSLHKEEYESKIELLKQLLRENQLE LSSDSSELKVTGNVVIHSTALIGKNCSIGPNVVIGKNCKIGEGVRLKDCVIFDNTYIG NYSNISDSIIGCYCNIGNWVRVDGLSVFGDDVNVQDELFINSTIILPNKSVTASIKTP GTICL cubi_00557 MDIKTDEMILLYILSFFISIFVNFPGASSKVILDPLQHDIQILT APNFESLLSKYRINGVTSVFFYEDDKSTLNELLGWYNEAAKELKGMAKVAAINCKEFK DFCNKVGDIGKIVIYPVLPIPKFEFSGERSVRGLKSQLLRYIPKDNVSMIGIPNEQNS KIIKIEDFLTRHISVPKILVFSEKELPPTIIHSLANEFDKKLLFGFIPNCKKSEISIG IARKFQISSFPSIMVYKNTSKPPEFYKGEIKFLPLFEFLNVYAETFVMGGGFSDNESQ DPSSKPWLLQRIPELTGLSYSDICGKYKNLCVIYLKNGEISLEEQSMLEELQDLFTPH ISGRGTTFKWMWMNILIEEEFMKLFNDDGKKITLPSAVVLGTNKRLKFTVLPKNIEGD LQVANKDTIKDFLDKVIGGDARFTNIKGQKLPKFADRTQNKNAASEKSRDEL cubi_00558 MQEKQFIFKREKRPSLKTPRTVNLTNTTFKSKKIKVLFQKGIQE TLNDVHSNYAVKLNEKLGSIFQQNDSIRRSSADSLLDIVKNYPKIIYENIDRVLNTTS SCWIDNDMHVRKTIYAMTLELLKSKNNSIIVPFSENIFMQIRGTLSHIRNEVRIDGLI FLNEYLLIEFGKYKEKFITLQYLLGWSPLVCRIAQSVNFYNALDSLFIITVTIREIIN QVLLKLKKLNDYELDEISHFELKNSISQLYDSLIHISFEIISKREQKFNDHISFSGMN LFQNQKKMKHDNCSSIRIKRSLIPNIPTFVLNDREYILSFLSSIILDTLYSINKYGGS FINKSKQLNKICGSMKKIQLELKIDSSFSSYGESNLSVFYIEKLLLLRIYLLPSFILP ENHKDMNKIIQDYFYILKYFSKQSNNENELLLDSNHSEIFEFIFLEGIEMMYLSFFNR ELLKKFFTPNKYTLFYLLSMILDINDTLNQLNMQEFDINEIKHEFFDQGFNNIPLEFN NKRNILSSKEVFQLLLIHTINYTENVVNENGKLTITALRVILFLPLILSNVGYLQNYC IDQDFSIWSPIEHILVSTNERLNFKNISTLKNDVILAKYWISTIPKLIFFLYYNSVDE GKQYPTSLICNLLLILEDYIMNRISFQLEDRSLSINICKSVMTFFVNKKQMIQNNIIS ILPFQNQKSIISTLPFWAYLPRKFLLSIIDQVLIFMKNGTNFLYSFFIIKTLITQQND SILSLEDKMSIFVTIISGCNCKQSTKFQLFNLLSEFLIELSKSYIILPKDQENNNYFY KIFFKIWFIPLINHLSLHANSETKLSNVSLFFNCTLSKTVKMQLSTITAHLNCTSSII DNSDECIKDQICNYVAFTDKFEINYFYLEESNINLIYSVIVSNIKKSNSSLCNIEDII PLKTLKYPILTILYIWKAYAYFNYRENSIEFEKSKLSLLSSVVKHIFGRYISDERRDL KDKCLERLFSFSAVSILYIISTNDYFFKEINIDQIIEKIEYLFKKMESSIYMFICKLV KNYILIN cubi_00559 MGKDYYKILGISKDASDQDIKRAYRKLAIKYHPDKQANSTPEGK KKAEEMFKELGEAYEVLSDKEKRNIYDQYGSEGLQAGLGGSTSDQGGMGGGIFIDPNE IFARFFASDRAGSFGDEEGSSFFFSGPSGMFRQVHMSSTHNGRSSTRQAAPRAHEVPL LVTLEELYLGKRKKIKVTRKRFIDHKVRNEENIVEVEIKPGWKDGTKLTYSGEGDQES PGTSPGDLVLIIQTKTHSRFTRDDCHLIMKVTVPLVRALTGFTCPVTTLDNRNLQIPI KEIVNPKTRKIIPNEGMPIKNQPGQKGDLILEFDICFPKSLSPEQKKLIKDILE cubi_00560 MGEANNISNTRADIKGSGLSGEQFDNNHKSEEKSRNFTSFECNI CFENAYEPIVTRCGHLYCWSCICSWLDRGYGDCPVCKAGVNPDNVIPLYGRGSENCDP RKKTKPRPKAERPEARQRNYNMEGQNRYFQNSEFTFGVNNISLITMFANPLGALLSLG YTHRYFFGDFANSRQPDNNSKVVISVREVVEIGFLASRVIMQIYNNIDAELRINYKEK DNSPVTVADLKANEIICARLSSKWPQIPIISEESEINTWENRKEYKICWLVDPLDGTK EFLRRNGEFTVNIGLCEDGKPTLGVVSIPSTGVSFVSFNNLRGAYKIATFNSSNVICD KTILEFIPKSDKSVVNYNEIRILKSHNYQCPILDSFAQKFFCNSVKIPFGSSIKFIEL VENRADVYPRFHDCMEWDTCASHIILQQTCGDIYKLELLFSGSETQFKLGEPITYNKE ILVNPYFIAANEKVIEILKNGVVSKSS cubi_00561 MNNNSKRAVKLNLLDNIENLKQSVLLNKASIELNYLERCERVAN NCIKKNNHKDENENKLRLMRKRVSIKDFKILSPIGAGAFGVIRLAECRHTGSIYALKQ MRKSIIRTKNQLERIYNERALLTQNASDFVVKLFYTFQDDKHLYQVMEYLPGGDLMSY LIKYDKFTEEDTKFYMAQLVHAVDLVHQLGFVHRDVKPDNIVLDSEGHLKLLDFGLCK FSPLIEGKKKTSGEYQTIESNLRCPNSKIESNQDSNKSNDTSFNGKNNENRSINQEFY GGLNLLEETKNGQNKHPNSPLKHLDRKTIHSTVGTPQYMAPEIFLRQGYTHLVDWWSV GILMYECLYGGVPFNDDTHNPIKVAIKVMQWEKLLLLPHPCRKISPEALDLLKNLLCH PSKRFDGEQIKKHPFFNEVDWDKLRQCSAPFNKQINETIFKNNIGTELFDESPNNARY GVQGSSLVDINFLDYTFRNKDRNYSPKSMGEAIRMCG cubi_00562 MMNLIFIVLSLLIINTVFDGFFLFLSEGKDIASIGICKNLCKGG QVFSAFLPPKEPDCGSFISCNKCDYDAVIKKNDHSSVCSYMFSNEFTHSDFETQVTLF HGKSQLIIPHNSTLSRLSKESNVLSNNKGEKKHGLFTVVVDWKKMKGVDASQCSNGIA EIEWSIFNIGVYRNWDIVAIIETKPEATFPFCFENVEFPLDATRSSKIGSTLPTNIKR LGYSVTNGRPSAVRITKTIAVNQKEMKINPEKAMEILLKRYVSFKISINGASVKQVFS ETFYEFKKEELEIKDRIANDSSMDINDSTESDFNTKSQSGNSSKKNSKIHPENSNEPN KKNRLEILKEKLVDKLRGKGFTDPLILDSMMSLGFYSCFRVICTKKKSRGFREESKLD KRIPLTLESARNIHSKALDQFSNLIPQGYTVPLPIHNSEYWVNNHYKPELFNQNLLQE KFRSKKKENNKRNHDKNNYTEESAYTDDESEFSHPLSDLLTMIAFTNSETLQNGVNVN GILETNSLLRVEPDN cubi_00563 MTETAEYYKNNGNKLYKERKFDEALAQYDLAIELDPNDISFLTN KGAVYLEMGEYQLCLDVCQQALDRRFEVKADFTKVAKAYNRMASCYIKMNELQKAKEM YEKSLLEDNNRHTRTSLKELERLIEKSEREAYINPEIAEKHRIDGNDLFKQKNYPAAK KEYDEAIKRNPSDSRLYSNRAACYMQLLEYPSALLDVQKALDIDPKFTKAWSRKGNIH FFLKEYHKALQAYQEGLKCDPDNKECNEGLKNTIAKIQQVSSSDKIDEEQVAHALADP EIQSLLSDPQFRLVLEQLKQNPAALTQVIQDPTIANGIQKLMAAGILRMG cubi_00564 MCFEDTDDNIKEQITCLLNDENTYFASSQAESIEELIFFWRIFS KRMDIESANQFASKFVHLLGEHIFSQNYTSKSKIKRFYTHHENPFIVYLHILYLKFNL KNFSGPISCTYLLSILFGDGNTEFSKFLYRIHVFEIQNMLLLLSSYYLNINWSYVCRL MPGISKFYSNDSHFVQSNRRGNGASNIREIDSNLPSYSIHLSSFWILRDSIYIVLSLI YFSRNKNLNDFIIDNYCGLLKDLTYQKTLLLTGPKISNNNKRLPSLLKLKERSCITSA IPLLDVLMQFLLNSNEKEIVINEIISRISNEHLVDSGIYLKDTNFNNSEHNLGICIAN PQFGITLRASYKFPNYNTCIDILLKGGEFLFVYPKPNFFDRLIRKIKDNTFNVGMDSI SNKNIGENRLSTLLEEFFPKIILRLSKDFKYLIIEEISSETEKNLKNSFTIVDRRKEI RSIFKRRPKKKKIPVENIVSTNIGYPSKPESIPMPSPGVIRSCFCCFQNEFDDTLLFY RTLNIQTKKRCFKFLSVKVKDLCVKQWHAIIRQFIDLKFYQISTNNTISKSLIKITKN KKYNKINSLQLNLYKITEKWYEEVLAKWEIHWNCNSIPVSVHNLSLNANQHINKNRNE SGYTKQNFGKYLKNISQTSQLDNFNLWFFGSHLLNQNTSMHIFVNKPKLTALKSLQNL KGYSIENICPIEYGSSVSNWINFLQYKLYSSYPLHTFPIIDRGVRFGAPNSQLLSDLW SFGIPSNLRTKIWEIALGNDLKVSNELFKILNLQSKLNIEKNGNCLMNSLIFRYALEI QYIFYNKRRNSDIGKKLSPYIERKVEILNSSASLYYPYFFSQRCGFAKEFDYSTFKYE ETYNSTPLINTDEYFIDINSVHISKKIIKTDSLENEFFTERNDFEQLYNSNDAQFIND FNANRVPNLDFSSFEALTLTRFIQYHEVSKTHDSFFQENIDLSKRNCDHGNSSSITII KGLLDTIGALILHIPNIGFQPCIINYLSVFLMYMDPPSAFKCMLNLINSFDVLFISND LTSLNAFDSFERCSLGLLSESSTESFLLNSQYYNQFLYSNDNIWILFSHIFQSFVYSK LPQLYQHLLYTIGISFENIVIPWFRHIFMDTLSLPVVLVIWDNFLLIGLPLLFQAGLS LLKLCEPQILKCERIIDALNLLLNNCDYNSFVIKPDSFAATLREMQKVCDITEITSIV SQYKLIEQKRWILRSQQAVRNYLLSQGYYCTSTPNTPKGR cubi_00565 MESSLDYIGCLSGHSDWVTSIAAPPDNADIVVSASRDKSVLVWN LSDANKDQHSIGSAKTRLTGHNQAVNDVAVSSDGSYVVSGSCDKTLRLFDVNAGKSVR NFVGHTSDVFSVALSPDNRQIISGSRDHTIKVWNAMGVCMYTLLDGQHNDWVSCVRFS PSTSQPLFVSCGWDKIVKVWSHDFKPTCNLVGHSSVLYTVTIAPDGSLCASGGKDGVV MLWDVSEGKHLYSLDANHSINALCFSPCNYWLCAATDKFIRIWELEHKLPVAEFAPPV PIKNGLPWCVSLTWSNDGKTLFAGSTDSNIYVYKVKN cubi_00566 MCFKKYELIKEIGSGTFGRVWMARDYDNNELVAIKRRPKWQNIA SREVEAMEAVKGEKGIVQIQGYFYSLTPGGIVMQNIVMPLMSKSLGTFIRENRALRKQ NPLHRISPEMVKNISFQIVKGLAALHSSGYTHRDFKPDNILLMDSDNGSSKKLQNDSI HMPYVVSRFYREAVIIMKKLIFGVGCIFAELLALDPIFVGRCPDKHKDNKVNFIKQGV DEPFQILKIIEILGSPGSNDIELLKKMVPESISKILDKCLFASEVSSISWLELFEGFF TNKMDSESESVLGISLDTRKLHLNKIFKDIGEILIRVKELTEIDNAAEAFDYSRRIEL EMANFEKITSINDDQKSVKNILIKEITGMESDIETLKLEFYRISSQLSDAVKEKDDLE CELNKLNNKYKITNAELNEIKNNLSIRYEKFKEHAKINDYMKKEVEDLELAITLEENS IAEKKRELKNLEIFNIREEVEKRKLDKLASQAILELKRKAKVREVIEDRINNETYSIP VKIQYVNKESGNTFTEDKKNYNKKITKIGQTKLCNSLQNIKVKRISTL cubi_00567 MSNITVLVTGASGFIGSHLVEYLLDKGYYVIALDNFFSGDIKNI SVYRDNPRFEIIRYDIIDDIRLEVEEIYHLACPASPIHYQRDPIYTLKTCFIGTMNIL EIAKRTNARVVFASTSEVYGDPLIHPQSESYYGNVNTIGTRSCYDEGKRIAETLCMEY YRNNGVDVRIARIFNTYGPRMLFNDGRVVSNFILSSLVNGELPIYGDGTQTRSFCYIS DMVDALYKLMKIDRKEFLDNTPFNLGNPNEISILELGEIVRKNVNPNLKVTYRKFPAD DPKKRQPDISRAIKLLKWQPKVNIEEGIKETIKDFKTRLDNNKEQIQIFHQKEAKPVL N cubi_00568 MGGCKSKANKHIENKEIPQAPELKVEKRPLVELQDKITYEGEWL GDNKHGYGIQKWPDGAVFEGNFVNGTANGYGIFIHTDGDKYEGEWQNDRAHGHGTYTH SDGSKYVGEWKNDKKHGKAIESWVDGSHFEGSYAYGLKQGFGKFSWHDGSKYIGNFDA NQINGYGIYYWNDGRVYTGYWLKNHMFGYGKFDWTDHRCYEGQYINDKKDGDGKFTWP DGRAYIGQWKNGKQHGIGIFYNIKKEGKVGEWRDGSRLRWIKSSLENGELVGEGLSLR EEYSKQREEFLKTSDLYENYRKLPKNDMELQQMRILQKFDEHLLTLSDSEKNLESNKK MTFDVLGLDITNNPATHSNNNADLVLGGADQVDKALSEHGPADLDNLQTEETKNEENL DSSVNIADYTVESCLVDENRTQEEKLVNAPHSEEKLQADTEMVDQAQEDGSGGPEFIG GNYASNALDNCENRIVLENSGAESSNSKLDSLEVKSCNDTDVQKLEPETKEALVENID SELKANSLNDKDFEDIVEKKGLENSK cubi_00569 MSFDNRRRLLAFELSDYFYNYGDERFISSEWFQYSIENKYSRLV FVITSFLNGKNVEDDYLRDFHLEQNLNEIYEICKYDLFSFKNSDDKYLFDLFSKLKQF VNSLNSYPIGIYEFKSFIDFSSINKKYILYLIFWVAIKFFENAKVIENDLKFNQMVYS YLNLAYNIDHAIWDLIVSDYILSLRKKGIIEINSIPIELNDEIETLFFLLVGPYSICP ALCSLLLLLKSEIVRSRFDSINYNAFVKSIINQIILLITNTLKEISPDMAELSFTITV CRMISNISYDLNNELIQQLFLLKHRQKTITANPKSINPLFLDRFILYSFISIEQLWLE ITKDEQFFSSIINHIPTLEISTSTLSLMNPEKEFVKYTIILIILFSMNNQLSITGSVN RNTVEIMKKLVVISDEPIEIILKYFLFPNYTGTSKSESNIYIFFRLLSDNIYNISVSS NVVKSISNLIQINYFSLSDTFLYNYLESFPKLVKFCSINEETNRPNNEEKVNLLNCIN SRGCILIDEMYWCNSNLIQLLLNEIDIKLEHILPFIYSISLSITSIQSVINNRISEKY GYSSKIKEVFSIQKNFKISKLNRSNINKSSNFLFKTKNIDIIIISILDYISDWIKKND KCISFEWLLKHSKPFSQTNLDDENIQGYFSIFLIYFFSRTIEADKLDRKLITTNFHIV PWRSILRWVDSWEKLPFQSVLNPRTWKVIFSEIIAIMIPESLIIPSIPNSFTEIQPWY FSLNNVNLFKERINLWLKDRKSSLESLKNEVSLFDNAESTLKWLEMFYFEPNMAVYFT LEYIFIQESVYVKECHFQELYFELCSNEIKLKEFTSNPDIYIKKASNYLSEENSIEIL CNISLIMLYFHEVIKHKNPIINHFQNADFEIFCIIWNKYANYSQIENQLTSKLLSMFF SNIFCRNTNIVQQIVEQGFQYKSLNNRVDFNVYLERTRSFIDRVCYNTPQILCEHTIL PKIANKLDKLLNTRSLIDLWGKYNVTDTKMFSFDFQIENQIIQCVSLLSWLSNIIISP SKSTNYLSENSSSEKTAFLPVAERSVVRVLCITQDIINSQLGSHIYCNLIINFSILLK HSAHFIISVANSFPQLNKALKDTLAALKQLMNDHSLSNSSINHTINTIDEIIKQR cubi_00570 MRKKKSSSNINENSIVENIERLPSLGKVPEHEGAILMSSEISKS MKRSSSQISDELAISSLLSNAKFTLSIVKIGGNTKTKYEKNTHGFIVVHILSCKANSL IYTLDNKYEFKMSNGSYVHIPPNHFFSFRNLSNESAKVSFVVLPRKIKPIDGEPAFHQ LVVN cubi_00571 MTDNSNRNQISKFKFVFLGEQSVGKTSIITRFMYDTFDNNYQAT IGIDFLSKTLYLEDRTIRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDITNRSTFLN TTKWIEDVRNERGKDVIISLIGNKADLEDRRQVKYEEAEKLAQENNIMFIETSAKNGY NIKSLFKKLASTLPNLDCAQEGKNEANSSGGLENNINKIVDLQAGDERARFNYKKCSC cubi_00572 MDRNGWSEYLKKQRLQDLKSLAKQLGIYIKSNTKKSDCIDIILN NSPDHLLNSNNFSCGSRDKLSAEKQNFSPETSILPNNEPTDLNTVEINEYFHLFSGNI RDSTCSMCQQNLCGNIVKCNACYRTFHPRCVGFDIERAEFNNNHMMVFIESISKKEGK NIKVNSINFICPFCRFFVIDPYNKIIKPLFFTTFYSYTAIHNIHPKYGNINFNNSNHL PHFTSKYSFSPNIFMNEDLESNESDIYNIMVYCLRLDRMDLNHEFPRILSIKANGRTV QNIESPSYDHIRRDCPLYLKDYLVNSQLTTKQSINISFSTINALFKDSETDGLLPIPT APYIIGLFLTKTISCNNILSMILSESTLSIEISKSHFKNILENTFHSSPINNQLYDES SDEIICLNKDQYLNTLCPLTMDTIELPGRGTFCHHINCFDIKAFIQINSTIKAFNTRW KCPLCYQIIRPSMLKVDSFVKMIINNPNIPRENNKIRINSGIFDKIEQNENVSEEIFV KNSTDSIKNETARTNSLTSPIIEYLSTAKEEISKDSDSSFSNKINERYDAKNEIINIS DDDDDENVIVEDHFTINEDELNLLLRNSKYIDINTENMNRSENSKETLIQEYNDDSIH LDNRKKRKVSEDQILNPKSARPTNPSNSNKTSISLRI cubi_00573 MCDRVDRHVLRKYELVKKLGKGAYGIVWKSIDRRTGEVVAVKKI FDAFQNSTDAQRTFREIMILTELSGHENIVNLLNVMRADNDRDVYLVFDYMETDLHAV IRANILEPVHKQYVVYQLIKVIKYLHSGGLLHRDMKPSNILLNAECHVKVADFGLSRS FINTRRITNNIPSSNNDKMENSDDGQPILTDYVATRWYRAPEILLGSTKYTKGIDMWS LGCILGEILCGKPIFPGSSTMNQLERIISVIDFPSSEDVESIQSPFALTMIESLKEKV EINQPNKKDIFFKWKNLLLKINPKADCNEQALDLLDKLLQFNPNKRISAIDALKHPFV SIFHNPNEEPNCDHIITIPINDNVKQSIDDYRNLVYSEISRRKRGLISNKFQHAQNPD NTKMNSFKDNKSSGIAEFTSPEANSNNIKSKSNETIYSRFQSELQFNKSSINKYPTVD NTKKGGLLGNFFSQVYNTLVSGSNNNKTIAFGNNPTISNYSNGKVDEKHIRQMRSATS YYSSSINNSLKKAGHIGNHTNSANDNAAKLTDSGIKNSKYIPTGAVIKNSVNSAFSVS TNCGGNSHVYYPRAYMYNNIKQNLQDYSLIKNPESSNTVSGKNTSSPNDMNNLPESLN KFKMNCHGSNQLNMINLQMQPNRSNTSKITYYNEPLIEPNSKSNNIAARKSNSLSGTG VNTYHHLPFHTSQSNKSTNKNYLYKY cubi_00574 MEVHSEILSKHKIIKNEGMKLKQSLEELDLNTSDNTLGEGAINH EDANISKINIANSLNLSSLNNRTEPVCRTQSLLDNRLENHQSLVAESNLNKIDIKIYS KKKITSSLLNILRNHAIETCSSESNKNITYFYTLFQDCISNYIIEGFGGLVVDSLIDI LLPASNLFSEMELECDFQNYYLIPSILYYYLVGMNPGNMLSSEKPFLSINSGNEVGSF LLPISRAVSEVDYSWLTRKNYFDLSEQLNNKIRDSKPENTKEVINIFKKEQIPNLVNK IISNLKNICIIARRNDIIYQPSFEILYERSPFVCENNQNPFFIGRLLEICLSKFQKEF TSMFLQLDGPLIIIPFIYYSSMQDFFLRFFGFIGNNCRLEPKYSEFSNSLLDFEYLES NQESLIGEFDTNKPTILEQFLNVGIPPILYEWVMESNFIQNFLSPLSDIINYSKEEFV GEPESEFKKTDIINAASGVIEVIFRLVEYMKPEHTGLPLNMTSIGLKWFTFASELNDQ TNFYQQNEINENLHFSCEIQREISNKDKFVYPEDSVVESSQLNNSGIKTSQTFGEKNL EFENSGKIFNSNKIFNSEVNCFGKNKDDNGYYGTQIENYLSLVASGDGAMIRNLSVYQ ILEKSMWFLNGLIVDSPMLNLICELSIHNFKDTINEKIYILKLRSLNILEEILNLAFS KNINIIAYFKTKILSIIKPYLSKFCEFIISKFEISSYKNGTGYMVSLLTIIKVILLHD ENHELIDNLKTEFWTWLLDLLIKRRENSHISVHCKTIFELGLRFGSCSTLENLFNNVK LVDKLSKFIYDGTDLDGNCIEEKELVNKKTGKRIRRVFGPICNLFMVLGKHYDDFLKM IVPSNPKTHEIIKNIIFLQLNKYNKIDDDTMEFISFVIKNEFEINCLLQKNEKFKFES SINMEPQKIIFLLANLSCSTKFHEFIKNYQNSCKSSNFQDSFSRTKDLDTEFTKNIEN SPQSPNSTKHKRCTNLEDSSSVSEINVTNAHFLSTNFKNYFSGGIIDLFKCNENCECP GWSFSNVLNKLTTEKNCFNGISVFPVLPLEESRKIRETRKRQMKLYSSIQKSD cubi_00575 MFKTNVIDSSRYSTLIDERLKIKSKERMRPKDLFSDPYYQKSDS EKNYIDTISENTCKNMLKNVWSHNNEGVKGDLHENPCIGNKLYNYENNFYDKKINYKK EIAEQKLENKLEKFIKNTSPSKQKLFREFSTGIVLGDEDNSREKMMHHISNTLNNQSN EEKDNIGNFKLIYPYYSNIFNDENLNIHTELSKKFLLKGPRMSNFGIGDECVAMLLTP DTLKYYRFDRETKTYKAIKDSSKNFVAEEYNLDNVIPVFTPLQKNLSSKLHGSDFYNK AAIRSSETVFTIRFRLINISSEINEKAIQNTAANCGAFAYQIIFEFDPIKWSSKGTAT GMLRYSGDSLDIFKNKLLEIFNIKVEILSRIQEDLI cubi_00576 MSISLRGIQNALENKLGELDKLKSHDCNFEFDIILDKEEKGAIK EGVNITNEPKWDIEVQNLKNDIRCLFDSFEKEIDLLDNDGVNTDRYNALLQNLKHDYI NICKYIDSRKKKFKLFGKSNTSPGKKYQKLYIDKNSESLDRFQLYREKNALKDSVSNI NSIIDQALNTTQSLSTQKQTLKLIFEKTRTMKKKSINNIQSVINSIINLQRRQNLVVV IVLILFIIIIIYFKK cubi_00577 MYETQGGISDERFIVGIKNSSKVDVTLADQLIIGGARVEKTLQD LNCRYQTLFFIGAGASSAAGILAATNSLFNIQPANMINSLFQLLFGILLMVLDVPGSP RWSSRGRALIRKEARFLTHLTGKSLCLLFLSCLTSTTLWPNRKGAGILALLAFLTSIA VAGVAVIGLLISLQKSVRLERVRKNIITTKTSTVADVYRRYAIADPVYGMQFEEFSRM AADYTNGRQQFGVTDLSIIYNALDENQKSGINEREFSEWVNGSTVYL cubi_00578 MYGNKGKEIGVFQEKIEHSGEIVDATLAEFNVKYQTLFFIATLI LSIAGFFSAIYSLFGLRITNFVNSCLQLIVGVLLLLLDIPGQPRWSAKFRNDIRRQAR ILSKLTGKSLSLLFLSCLCSTTLRPNNRGAMIFSIFSRTRAKSPGLFLLTFFICLITS SVAVLGLLISFEKGIRLNRIKKSIIMSYTSVGACNPIEIYRSYALSDPLFGMLGDEFN RLVGDRTDINYQFSQDELSIIFNALDDNQKGSINEREFVEFFSSRFTLV cubi_00579 MKLVGINSFALRQFVKGYRGSYIPNISPHDFLRNVNSYIMDNNP TLVDGYADFCKHIFIPNFTEAKNSIVRITDENEKYIKTGYISRRKEEIPVLSRWFPKD SPPASQLTKSRYLDIILYSREQCEKESNAMNCHIENILEESEMDPDWYIISIKAQNES FEVPMEPITILRNTLIEEGGSGIPLKRDSYLESVEFWKEHAIVLSS cubi_00580 MGNSLHYLINQRNYHYYDEIALDSGITTFSTQKNTGYQEKIFKY KSLSLKISEAESLYNKLMDMKYHIEQITVKYNSLSRLFEGMHCNICYLDFAQRWVYQQ DCNKFYKYCIELEARIAEQDESIKRKYLEYCDFVKLYNVKSEEIKYSNNYPGLVTCLL LNRKCPKCCESKNISHSQAKVSNASSYISIINSSKNTAFMAFKIRGLISFYESQWNEI KSHK cubi_00581 MEEKDKINLSEVYEELFGLLRDKRAEVIKGSIELLLDQSETESL SEYLLNNSKYFRSILLLIGSDIFGISECALKILINLSQNTKIGEDLCSSWSAIEYSMD NLREQIKSNSTVPYHLSLNLMLISNLTRYSKGREKLFIKAKQSNGFYLSYFIECLSNP RDKKEKEMVINIINNCTSCAQGRIFFFENDLGIEILNKISDLTLSSRKNNLEITQSMI SIIAHVCVDRNMHSIISNKDCIIIPVLCCLVYPGEDYRYLRNRKSSNILSKLQNKTIF FSSKHEDTYLDDNSFSEFDNKNLDIRDGVNDDESVDCTKEDIVSEFIKKNAVGPINKN ISQDIFDCILVLSSTANGRNSLRELGAYEVLRVWHLYESKNEIISGIEDIIHLLVYSE DELLEQDNSHVNK cubi_00582 MYRNQYDTDVVTWSPQGRVFQIEYAMEAVKQGTAVVGARNNEIV ILACIKRSTSKLAGYQKKLYKIDDHIGAAVSGITADAKVICNYLRSECLNHSFTYDSP IALNTLVNKLVLKSQVNTQEYGRRPFGVGILLAGCDESGPHLFETCPSGNCFEYYSIA IGSRSQASKTYLEKHFEEFANCNKDELILHTLRALRSSLSSEQEMNQENVDVAILGKN YNYNELTEEEKMKYLQIINQEQPAIEQIDESQESSSMQID cubi_00583 MPMGKPFYSKISTISSWNLQEYIATLSSTNACLLFENISCVTEL FSSLSELQKNIVSRLMILGNEHGNYMGEKAMNIWVLTSKKNELEFALKKLRSLKIIKV NVLDSSSQKSISDSISVQYQLNPIFQKTLTKFIFEGGASIGLEVPKKISEIGISYISL TRFVRRKWNNILNIIVELSGNKNIPRNNNVLDKFQLISRDTIKVLDSINLISWNLKKD FSESSSNSKGFRIQINNSNLLQDSNEQLFDGFDQEDRFSLQNDQNALDIYNDTKYEVF ESNSNSEEEYLPANTKKMRQKKSIMSIKHTHTKSQMDKIFEQKLTPKAFCWLLCDTCS QLLILLNGFIKMVETESSTKFEEYKFSRVNNGNTFNPTISSLISLILSISSSKIGQPI SITKKMMNNVLIRFILFAYDLGLVYIDESTLESEIYPLMKKLETTQISCIELFYTTPF SLLIGSEGFKLQSLYSVFKVGDNFPQKCGSENIQNLLLPLHFYFDEELWHKIMVETST VNTHQPNRLNMDSIQIEAGIIVQSNFRIYCYTASPLQAKILRHLCQVKVRGPNIICGI LSRKGLLSAYSMGVSAEQILRFFSSNAHPMILRRFMLEGTSIVPMNVETQLKLWEKDR NRLKISHSSTFSDWGTSTNDIQLYSQTVLYAKSKDILLYNVPIEIIEKELNNGGFELQ KKIILVIKQEYEDDIKTFIRTKREVINR cubi_00584 MMNSWEDTLGDGKSGGNVQLEEITKKLDANLNLHNESNLEINQD NPALLNGELQTPGTMQQSNNTPVKPLNASLLEPDPRPHMNIVFIGHVDAGKSTTCGNI LYTCGLVDQRTMEKYEREAKEKNRESWFLAFIMDTNEEERSKGKTVEVGRASFNLNNR RFTILDAPGHKSFVPNMISGASQADIGVLIISARKGEFETGFERGGQTREHAMLAKTL GVNQLIVAINKMDDPTCMWDQSRYNEIEKKLTPYLKTCGYNPAKDIFFVPISGQYGQN LKYHVSDNSNPKYFDERASWYSLEKPTLFDILDKHISVPDRSSGNEDNGIVRIPLLDG YRDNGVIAMGKIELGTIKCGDNLVVMPNRAKAKIQSICLGEETDEYAWSGPGENVRIK LLNIDEDSLSKGFVLCSQNNLCPVVKRFKAQLLLVELLEQRPLVTNGYECIIHCNTSC EEVCIEELLEGVELSTKKKKSRPAFVKSQYMLICNMSLANPLCIEEFIKCPQLGRFTL RDEGKTIAVGKVLEILDSF cubi_00585 MTGFNWSQLLKWSSKYIEDSYSNSEIKQIDPERLEFLQGAVKEA MKNVVDPNKLISELKDNLSLSNDEEVLASLEIIDRCIEFPDCALNLEKLGLVQPLLSC LFRSQEIRSITYQILSKSMQNNLPVQNSFGQLGALQILKQSIQNEDSESNKSKGITAI STLIRHNKVMEKSFISDDGLSLIVLWLHSNNVRVRERALSLLRHLLIEGIVRGEDFVE DDNCKVIDIILVLSRNNSISNTEYQDIQYSETISKTLLELIRTCNPKLSTASRDRIIE EVNKRMIFLINYCKLYPNDDISPEYSTLIQCKGLLV cubi_00586 MSANVENSIFNLSSLKSCNKSYIKKTRKGKIRKVSNEIYVRNEI LCGVNFCDLCYGSYPDVCKSKTRNIIFPNFDILNNYDDFILEDESLSHVIIPYSMLEY LNETDRMFINKLRNKIKNLKELPILREELELFNENKSIDYYSNQYIRFPDTQFTETYI DESIVKFNLKDCAGNSIIKVATWFINHLSKCDTNIRFFILTESEEWIKKFNSHFSFVS KCLILSPYEYVNYVSNEYINSGDKLPFKKSLIEYGNQQENNYIFPEYATDKEISLGID RGFLFKGILRMISRNRGEVEVLNKERKMTINIIGHLNLNRSIDGDFVVVKLDETLNCD EQNLKSHIKSKLELEQIEDLECNEVAGIGSILLGDDDYDENSALNIQNTKIKNTETEN YSSITFSEDPNITGRIVGVLNRNWGEYCGSLIPISSSDDRFNVCNNTSTRQHRIFVPI DPKIPRIIIHTKLSSTLENQRLVVVIDEWECSSFYPTGHIVGILGKAGNLETETSVIL RIRDINSSEFSPSVLKCLPNHGRGNDWKPNEIDLKDRVDLRHKLVFSVDPPGCKDIDD ALSIELIKPESENSEENWYHVSVHIADVTHFVKPNTSIDDEASRRSTTCYLVNKRIDM LPEELTTNICSLVANKDRLSFTCNWEINENAEIRNVYFNKTIINSKFSFTYLQAQNII DDSCNQSEVAISLRRLMKISQVLRKNRIARGAIELASSEVKVDYEESRNLYQDLNNIQ DLDNEQISSVVLNVKSVSSYNYLNTNSMVEEFMLLANVSVAKQIISTFPNCCLLRRHP EPKYDQLEKLKNVLSKVGINNFVYENSLSLSNSLRNILDSEVVKENPIIGKLVRIMTT RTMNQAVYFTTCKSVEGTFHYGLAEELYTHFTSPIRRYADIVVHRLLSASIGLEPLNE IMFNKDYMFKLSNTLNKNKRNAQMAGRDSTKLFIYLFCKQNGNQETEGIIIQVRDDSL LIFVPKFGFEGLAAIDKSKYTFDADIPCLRNREKETDTLKLFSKVKVSIFASDEYFQN KVIINIIPDK cubi_00587 MKAFIGIKLFFYLLLLYCLGIVSSDNQINNLLKLRQSILQHDKE LENLHGITSNLVGETADALSFSKKRPIFAELNNFSAPGTPTTTIKMTLKFPNTAPVNI NQGKLREEIRKTANGNGDIIPSINPIPLVVIRPDALTNLLSSLSATVHQRKKIESKKI IQLEKLISTVDKKVMKKNIKNSIRELETELAFYKADKSIAEQKSSNTA cubi_00588 MIILLLGSNYIESVRVNLLGNRALYSTFLEQQTTLEEMVKELSS NTALGKTRLGDPIIDKNNQSGGSAPTVVRHGRDTITLSIGYGPLSGLGDLPFFGNTGP KVPIIITAPQPILDIESLSASYQKEANTPGSAAYVDEQALAQAVTNPKVNSDQVAVSL KSTLQNAAYFAADAELYKE cubi_00589 MTQNMKTKKTAKVSKKEAKKNKPKRAMTAFMYFASSRRAEITAE NPSLRSQVAEVAKILGEEWRGMSESDKAPFQKQADADKKRYEREKAQMAGQ cubi_00590 MFLNGEMNRSQRNAIRRLRSLAVILEDTHIEKERSIPLISKTTS SQKQIRRRDSSERNIATRLDSFKLSMGGKPHLHSADLFENFSPVQLERQRWVPTLPYS LSSNCIKIREIEIPNLIQKDEEKLKELLPNTFGRPCIEIIRDESKDTENSDHALRVGI VLSGGPAPGGHNVICGVYDFIKNHHPDSQLFGFLGGLDGLFKSTYKVISDELMDRFRN QGGFDMLWSGRGRINGQHDLEKVERVCEQLQLHGLIVIGGDGSNSNAALIADYMAKKS KNLCVVGVPKTIDGDLKNPAIEVSFGFDTAARTYAECVGNLCSDICTSQNVYHFVRVM GRSASHLALEVALQTRPNIVFIAEEVEQEGITLFEIVKNIVDLMEERSKMGKMYGIIL IPEGLIEFIPEMKILIQELNEILDKLKYEGSESDHDISPEDFDKKICSYLSKSSAKIW EYLPENIREQLLIDREATGQIQVAKIATERLLILLVEAELHRRHGTSFYYDFEHGQTK ETISNQVEISNKSNGSILMPFGFTMMHHYLGYEGRCAMPSNFDSNYCYALGHCAGALV YKGMNGYLAVIRGLEGNPLNWQACGISITKIMEVKRSRQDNQYYAAVTRQLVDLNGSL FRLLKQVRDIWKFQDLYRAPGPLQFEGPCSEAIPYIVRTPALQDLLCGEDGISITDID LNIIQSNNGGKRGVFNRIQGTLSPLQLYRTTYRPPLPLLLTHLKARCKPTTQYNLSDP LIKRQITTCYPHLCNSNHFYCQEAQLDISSEEPNVGLRIGVLLMSRQAPGIANVVWGL YHRLKMVRGRCLGFYGVKGFLQGKHITLTEKDVDLLPNQGGSELIGRTYTECLVSKDN LEKARNVCESLHLDGLVLCGSAFGMTQGAILAEYFLQNNCNTKVVGVPATASNNLAND LVEACVGFDSSTKLYASLIGNVLTDAASMPKYWHFIRLMGRQPSNEVLECALQTHPNV VIIAEEYGAADKTLVHIVEDVADVICKRAEFGKNFGTVLIPDALLSHLPDMKILISEI NELRRFAEEHSEMKLFMSEMMSLGHSEHPTTLSEHTSIQEKNSRGEELDNRNFVANQE LSNTDSSEENKYSSKMTPWSLALFKSLPRFIRREILSLDVDSAFSAIETEALLVLMIK KELKKRKQDNRYNGNFQPFTHFFGYQGRSAMPSQFDAKLGYALGHFASIVVESGLTGH LCSIRGLCGEVSDWRMTAIPFNCLMKIVPSNDETHPELPSNIPTIPSSEVDLKGKAYR WLKIVQEHWAMQDRFCNPGPIQFDGAAANFYFRTLHEQQSEYYEMLKHVQNYAELVRE TCTFGVNESFLKTAFVTLNGLLNLRYRDGSLLSSLPDIGPIILQMKSHSGLSSNPTVQ SEVIETINGDVSSRITNNPHSSKYISQNNLHMTQSICPGVEEGPWNKTK cubi_00591 MYFQRQEVIGVPPILASIGSIFSNDSKKNKLSPTYQLFVGIGYI FYLIIFMIVITQVIQPNEEYSSIYSIQNILKSANWNPDLSTPKNSMLYNIQTNNDIQN WIYYVLGPPLLNGHIADQNQIIGASITKCVGEYMENETESYYIPSDYGIAKVLDLSNC KKTKLNLVSRIASPYKYIETFFDSSEDYVIQEIESNPELPVLPKLSNKTDTISYTVEL LFYNGNIDTYSVISFSFNLSIFGTFIPDVLSHSVSPIKKYVGFPCAESCNLNISQIVK SGFFFAYCVIFIFHFISGILLLISSASGSSNYSSKESERNSSGIESWSNYYKERSLSI AIRISHFSVILLWISSAVLVQLLPNQSLKNILEQSNQVAEKINLSQSSEVPLLNSIIY TIKIASIIKFTGNVIACIASFLMLFRIFQIFSSLFSKVNVPLKTIILYFKKVVGLFFL INLVILTLALGIIIYLHIDKFSPSIKGIQTSMSASLFSLMLAPFSNSQLGGSIEAENK IPTVIFFSIAIGILTLYLLLIPLSTATIMFIYNEVEILQSLKQNTDNIYTIKATLSAW IQQLFFLSTFWQSKKFWLFSCQSSDEVIKTAHDTQNEIEGQIHPENNSNTKGNILNEE TKQIGKFNEKSLKQMIQQKKKQFKKFFELPPKLEYIPYHLFFLTVLFSIVSIYWIINV IGYQLHTETGDVIWKILDSPFRARSFYTFDPTSDFFPYMFKDCSKKNENPSFLYNKDQ LPNIPEELLYGLYQSYSLTNITSLSHLKTWIQKIFIPLIKAKNLQSSEKKDFLIDLGH PTLDSTVNPILLFKQHFSPVKCNSNPISSKLYLSTIAKSKSSLSIKDISKFNALSITK VPNSSFYLAPKTGVSNIRNIFSRILKFLNLEGVIFNRKLTVSQENNSHMESSLSSSGV DRIFFLYAGKESEISEQFIKSLNGEDEGNLFWAIKSYSLSTGSEVNVDLIPSLDSFSS NEEINIPFNGLFDYSMNTLEIYFPIIIPNKYSVSLLTLKFEILRTGQIEIEYASDFVK REWINQINDEDGVLIGSKNNENLDESLAEDKNENQINSSGSNLGTWIFSFVLIGIQCL IFLIFFILAAYNFYRKRLLSKRLMNQINYKMDVSESESENDMPKKKNRKLGIRKIAKK IRRKEIGNDQNIIKKQTLESSGNVNFNEKKNLLEFRKKIKRKQDKDIEEIVEFNHTVE EEIYDEDILSLYKLSNLNILIIVVMSIIFFALFFSSITYYILIISRKISININSIDTE GGFNSIVSSLGIILPWEIEKSNLNNIDSKLNMIGSSSGFYNDHVFSFNNLFETINNIQ EISKYFQMFEIIGVLLIITYLSIILIYSSLLVDRHRRTKILKMRRFMEKNGRKLTGNS FESLSVFTFMDTTSQRGFSWNDTNYALIPIFFVVISFILIFSLAGYSLLGYQETTYFS YYYSVLSSLLIIFNYNSVKQVIMTTITPDISIRFKSNIITFIWRPIYYLITFFTFNFI LKALIPASIIFYMRSVASKNLQISQDTLLSKLNNVAVTRPSIPIFMNDCISLRMKFFI KALYKKLGKFKNFDMNLMKGNTIEGEQNERDVNLTGENPDWIFAKLIPELFNEIIHYK LNIAELVNKDQQGIQEIRDYLGNISLQLLLIQEHLVKIEFLRCKLSMIRQELMKIEDV KKDISRGNRESQHYIDRLLQRLMSINDEIEHLDESNRVLGQEKESIKQKTESVTANYS LQKEKEKFSTDFLFEYDNSYAKTLFENSKINDITSSDEYSDGGSDELKYLGEIDRNSV YSNNKKRNIINYINRNGKDNKKVKGVWKRD cubi_00592 METLRNATCIPHISGKLIFGYSLNLRSFYECENRWNMLSDVDKS KFIEISKRIRNDILFGLIPLQNWNGSEELKFSKNKLFYCMNYPSQLYLFPHLAENQIL RKCGKKLHLINKEKYICQIIDRYLEKLAKHKFV cubi_00593 MFYFRFTFLLMATLFSNFFERTYSTKRINKVGIDSIYSVIDYSE NSLFFFENGRVISMKKSTILGNIQEYSPEDQLVDFVKTKDNSFIMFISQFKNTIALRK IEVNDIGNILLNWDLELDISVSKLTRKLFSLSGKLYLTINNRYFLIDESNGRILEQFS LNTHEIFLLVYIDEMDKQLTIVYNELENEIQIYDFKPLSKKFNNPSYKTKIDPEKKIL TNSGIITNNSGYQFINLLFINNENNLGLFIYNFIKNDKKYLYSKFNLSSLNYNVISSR MEELILKQTNYGKIAITLASRKYNKGYQILHVFESNSSYILKSFSPINDEIQSSNAMI FQDEGNNSKLIVIIGYNDFTNNLSITTVFNYFSPITENKIFRYNLKIEYFNKNNKITH GSIIKLIYSVNMGLLLQWNDSLLASISLNCDETLMKCYQPKINDIYEGVISSSSDPNY FKNNFLFFLNGENYILNNTINESSNFIKATNLYDYSYRTKINLSNMSVGTIMLTVNKY HSVFAYNIDNHQILWRNDLLRQKKNIKLDKIKLFILKKIIPELIVVDQFSILKIDIFS GETISYESYYESSKLIVSVPRFASAEQKRDSMLILLDKDNKILKLLNLHNNNTKIKDQ TYFYFTNESNAIRCYKINSEHSSELHWQYIFDSDETISVYSIPECDECKSFPVIVNEE YNIVNKFDYPYFLGVVTSKKKVFLFNSINGNLLYSSFLPREFEPPFKLHIFQNIVLIT SYHSYYKIPAFVILELFQFIKEEKYSGIKILDKFIFLISREKNVNESRIYPERPIHIQ ETSFLYNYELPIDYSIISRTAESITSKIILFGSEKNNIIEGIPEKLFTTLRPGRNKVK DYILNNNLPIYQTILSERIKFSTKFNNKRIFSFPHTYESKSKLVSIGFNSLEVFELCP NTLFDHLPNNFNYYNIINTVFSISAITVIAIYISKKNQLKKWT cubi_00594 MSKKSKRLSSGIERIKTIRSLKNSKNRAAISKSSASTNPDRKLR ENKKNGFYRTKNTINRLNMYNEKGKLASNDRPSGTMVRVEPDRKWFGNTRVITQNKLE TFREEVSKASSDPFSVVLKRSKLPNSLLDNNDNHLERSIHEKVNLLNIEPYNRTFGQG HKRRKKPKIVFQFDNLSDLANKIEKTHNNYSQEQSNSSEYNDFHHDKTEEKREFNGFE LINDDSVLKKGTSRRIWQELYKVIDSSDIIIHVLDSRDPEGTRCKYLEEYISKECKHK YILFVLNKVDLIPKWVVSKWIALFGSIRPTIAFHSSITNSFGKRTLFHVLRQYASLLS DKKHISVGFIGYPNVGKSSIINTLRGSKVCKVAPIAGETKIWQYIHLTHRIYLIDCPG IVPPENASSYNVVLRGAVRPEKLSDPCIYIKQLLNIVKERHIKEKYNLKNTDNWNNSD EFLTLVGKRLGKVLRGGEIDLITTAKIIINDWIVGKIPYFIPPPQTSLEIVTQKNGDK DLIDLKAQDIERINVCKEFECYNEYPNDLEKKSSSQKIICTEQFNKWDDVICQFD cubi_00595 MGNGKSKGNCPKEINSEIENNKSKIKPNISVSSKNSVKKNSSIR KNSSSESEEFSNNESFSLIVKHKTQNSNMKKRLINPNNNSKSGNNELDWDTIIRNIDT DVIEGMVIIEDSNE cubi_00596 MNVFPRPYWFVYDICGILCMTLTELLLLYSTLVLNIFFIKKLFH SGEYILFIFNLICCNFLYIICSFCHLSCSTTDPGVIPNNTDKGEILLPIELQTQTISI RSCAKCNNLKPPRTHHCSVCKRCIFKMDHHCPWINNCVGINNQKHFLLFLAYVFFFCV YSLILICFRFYRCISYPVPNSSDIDSFIGDQFLKSSAELLNNNLGTNEILYHDCNVTP ISFIFGFSVIVESLIFGIFCMAMFVDQVICIVNNTTGIEHLKQEYLYSKKKSVYSLFI QVFGSKFSWRWFLPTMTRPPFTTNSFDISRFLDFELGDFMIDPECGFDCDQSRQGIIQ SSDSLILIRRMKSNRLSCCFFCEGIDSTNMSIPNVSEDFSIFESDIRKIGDAKHDNIR EILMDDLQRQT cubi_00597 MGKAGKGTGSRGKHHGKTHFLCRRCGQRSYMVQKKRCASCGYPS AKMRSYNWGQKAKRRRTTGTGRMRHLKTMARRFKNGFREGTMAKPLNVKVHK cubi_00598 MNIGNESKQVLDLSMNPEELLQTVPDLKLSESIFLLDSKHCKLE EKESIRNEILSCIERNSMAPLFKILTKDIKIVDYDKKFVEELMESNNSELNLIDKKIK DAKENYGDVEVRNCHYNKLVFYSRIGAKEKSLQELEIAIERTVGGFKLELVFLGIRIG LFWNDLNLVSKYIKIAQDILKTTSDWERKNRFKVYQALFYLITRNFSTASELFLDSIT TFTAVELISFDRLILYTIVSSIISIDRKTIKSKLLTSPDILKVALQPDNKFLLEFIEG FYNGEYRQFFKRLINIIHILQRDYYLNRHHKYYLRAVRAKTYMQYLDPYESVSILSMA ESFGVTQRFLEKDIVTFISSSKLPCTIDKVKQVIICNREDKKMSQYNELVKKGDLLLN RLQRLSRIIQV cubi_00599 MNKTTYSDNLKDAVRRWHAKRKKDSNNQINIENTALESENVFNT KKHDIRHISVWNHNNSVESNQLSHSANEQKRLRPTVVENNIKYIFDGPLSFDTIMALK RKKSNIIFGNNGKILNSSSIIEHNDSKCNLIQSCKQQISNLSVIENIKNEIDVIYSKS IDAIQNFSKSSSTSDIINILEYILNYLSFRIQELLSSSNKNIDINIESSEKSLGMCIN LILDKSNSTDERFDETALEFLAKYPKIFESLKTLTKIEELNYATPNEINDYILKFNSQ IEKIYNLLLNRRI cubi_00600 MKSDFPISLLLVNYKDFVSNFLDIPSWGRLKPDKVKRYIENKIS IIQKKILLNGETNYWKYEQSLCILLRLSTCLNPYSDIRESISNSWQHIINDLNNTWSS NLRSDENSNKISAENNEVFFYTKIKDIHPDLLFSITLGGSNISIKEAIKIFTSNTNEN NQYCLPCGRFISPEYLVPGTPGFFSNDKLNSNENEFFWKEVFNTFHGRIPSIFRIVYI FPEYAKALLKSYKINMEDQNYGVLPLYYRHFIGILCSSLYDNDYIIKLEMQMYIFNNG PIEWLENPSEVLPIKFFALFEFLKCITFEPAYVSNELMNRLIGEISDTQVWTITELCH ILCIVTTIQSISQLSCSFGITSMDHWDLGPDPLEGTNCDGNTCYKPSPSTMKDCFEYR KVILSNSIHENPNLDSLTTSYYKEILSEYIDVEKEERNFTFRAKNNLTSSANTNISIS KLSFLKLPSFNFSEICNSKIFPRILSFINFRFMVNNSNGNLNSNCIISKRKTMRKGKD DYSNSEEMPFFSDKQIGLNDSLEDFSLNNTNLNNNEIDLSNGYLPRQKYKGEISTLLR IKDLLSHFPPHGDILGISKDEISLFRSNSDKHKADRVLLSSVMNSKPKLQFNSLSVRF KHLSNNYTVEDQNLILTKLEANKKMNIQDCENIFELKKNKKESMKKGCFADKSAHFMS MLNSFSIQMLEYEFYSETAWSILSIYSGECSKCIKDELDTLLYSCNKSITTICGIYKV PTTNPIRSSIWSYVFKLCGIVKFEMTELVHNSFLPLELKVLLKKTIRSPQRILRSDFE RCRIVFSYTELIYYLIVVCKAKQAVTIICSIQSLSNILKNAQ cubi_00601 MEGIQIPEIYINSDMILSFDEEACNWNEASDNTNNSPKTLNTNA QNTSNKLNVNVNVNVNGNVNYSHSSSKCSFNTNNVSEEVSTTEYNSKSPEIFKGNTNK KISESIPFSREEIQKPIIIDCLLKDQNQFKTENQYVENSQSSNLLSFMEGNLSEKGNS DKLISVDKESNIARRRRGDPKLCWRCHKRDATPHMRSCEPCRVADRQRWSRRRNLSKI ISGKSGINTNPDSGIGYFNSQLKDDSSISLGSDNLKLDAFHSGYIQSGVQLKCDNQYI TGAQDHIRTLSSGISHSNSSIPISSISLAYPFKMDQWRDQQQFTSLRENRTHPCAEIS QNLSYITISYFPSSFSGLTTLPVSAKFASDGSMNGLETLPSVVLSFIVDKCNEQLLQR QLVRQNHQNLVSSNPPCNSQVESGLGNILINSSEVNKSIINGTGGLGQFHNFHCTNIH SNTLDSRFYC cubi_00602 MMQSEDCSDVAFTTTNNPYLRLFNAYIEKTTVYPKSRWLGLLIL IIIFACRVYYHQGFFIVTYGLSIYLLNLFIGFLSPQIDPEEEGMVLPIHDTQEFRPFE RRLPEFKFWISAAKATIVSIFLTFFEVFDLPVFWPILLIYFIFLFILTMRQQIQHMIK YRYVPFSWGKQTYGDITKGKLPTNKKTSLGGIGLTLIGDK cubi_00603 MDKSIVFALPPIPVGIYEELISGPVIESSVAEFAFKKKIEPVGE AFFCKYERRELNKINCLKENIRHEDSIPINYSYCEDEGKKKSKKVSRKNSGNKLNKGV LSLARLKELAEEKETLYEKLGLDENVGDKEIKQAYRKLVLSHHPDKNKENCSDARSEE FLKIQEAYEILSDKNLRHTYDSALPFDESVPSIYINENNNFQEFKNFFSPIFHRNSRW SKIKPVPEIGNNDDKIEVIETFYEFWRRFQSNRDFTIHDEHELNHAECREEKRWMERQ NFKIRSKYIRNEILRINKLVDLAYKSDPRIKRHYENLNKRKEEEKRKKLEEKEIEEEK KRQMNEKSKKKAFELKIAIKSLRVSIRNNMRKISDLSTFFDKYQKDDFSDYMEAIKEY FSWDSDFLKSIHSYQLTKIYSVEKINFRQWEEWFLKLDHFQLEQLDDFLNKWIQLVGE NCSDDYLKKLYFIFTVKILNVNNLNSDKPSEPEITTDRNNIVNFAENYEEMCTEHFSS EWTVSEMSLLAKALQKYPGGYKNRWDMISEYLKNTKTKEQILTKVKELSESEKLAKLS NEVKEESAFETFIQSNKGVLKKFGNTPDIRDCADASIINEITNDVNPSKVIDPWTRDQ QCLLEKALKQYPSSLPSNKRWELISSCIPGKDPLQCFTRYKLIRERILKKQK cubi_00604 MFFLTPLLSVFSYFCLHYLWKYIENEFGIFTSFFSISIIILTSY GAYVYFKNSLYTEIDLGIFANRNELINELNNDLMLGVFERYNKERENYLNGDLIFLKE GERDSDENNINQFIYRQNERYFNEAENIDAAVQDSNLSFFGLSINSPKRSEQLFQDNN CFTLSNSHEICSPSVLADTFIQAATIAKNSQSEHFRGILDNIQLQNLNNLTGSVANTT IKTTETKNFNSRLLGSVGYSFHSNIKFARSRFENYISSLQCKITNKKVRNSLPGRLLS STSIPDSGIKKNTKISTYKHSSIPSNLIESLDEKSTKGKGNSSTPTRKIYSSFLSPLP TSKPSPILLLTGKNKNE cubi_00605 MVHMYNYSNQSISCKGKLALSGGHLSTLCTKNSAPSPSYIIGPS KQSATISGRNRLNSSLGPPLTNFASFIHRRAGRISRSPSPVRGNINSKENQNSKVELS TEKSSKELSKDVKPLLSFGGQIGNAEHEHFCGSFYNGIKAAKQIGESAICNEKSIYEE NRELKKQISELKEAIICKEGENQMLRLKLELFYKEIIKFSSVSLQGRESLPPLKVKTR NKESTNKQVDEDLFDFYVCGDYSNDENEVFSKKKCIWKPSEELARKFLKQMKINFICV KGKRINRTMVNQDDFCIVKLTNNSLIIGVFDGHGRYGHKVAAIVRQRVVKGIQNIFFG KGFQLEENDKISNVSRDNNFNSVQKTSQEKINISIFKIFESIQNYLEKEGSFGTSGTS VTFTIINSDSIIMVQLGSSGGIIIDSKTGNKIYSTPRHDLSNLQEKERIIGNGAIVNE NNRFSLNRIEEKKLFSITRSLGDLDGKALGILNKPEVWEMKIEKGNSVKIILATDGFL KYSDEIKMDYNQLCIEKELDSAVKKCQGFWLNSTNNTSVDDITVVSCNILN cubi_00606 MEGYSEINIPKESSLKLNNFKRFSDGNNLCSNHLLFRKIMNNDM NGLKKFKCSTSNDLFKGDVNFLLKIINAKHNTYTILDTIRLSDLVENYRIFENVEIDE GSNNFNLLHFSPNNYTENKIFNIHDSVLMDGYSFGKTMSDIMLILQYLPTREANSQFC TLFDSKVKPPISIKDYFVRLSEFFLCSPSLFVLMFIYIKRIIDNNPSYIFDLKSAHRL MLATLVISVKLYDDKLLPNTHYAHVGGVSESELSRLEVDALLLMDFKLKVTLEEFVFF SYSLRLLGEVIKRHGITLGDSNTNVNSTYRE cubi_00607 MAKSGMCIIENNDPGPQLIRRERSGPLIVLKVGTSTLTNSRTGK LSVSNIGALVETICDLMDKGCRVVLVSSGAVGAGLLHMNIREKPNKLHLKHAIAAIGQ PLLMRVYEDFFSMRGAKVAQLLMTRSDFVNKDRFIDFKNTLLDLIHWNVVPIINENDS VTTTDLHFGDNDTLAAYCAIAISADWLMLATDVDALFTKNPRFHKDAVPILKVNNIDS IIHMENDVSNENYGTSSGTGGMRTKIFAARVAAAAGISTFLVNGSYPNRLLQLVDSID FKINTAQNNNAISSYFCSKSEDHDLERISKCNSHNLNTDYSSIESFTDTKTCFELSYS SIIDSSLIEETTESAPANNDIITCALEELYQGEYIGTIFTRQTLTQSMKNHKRWIMSL PISGKIFLDRGAIKSVTSDSKSLFSVGVVSVKGKFETGDVVSIICDGDNDEREIARCI SNFNSELLKKIMGKKTCEITELLGLDSRGIVSDRSNIIKL cubi_00608 MDFLNFSKCLFENIYEKICDYSVVIEHEKEKKSRQLKKLPSNNL NKLCYNQRNQQLNNYPLKDCINSRSNLQDNRGFFKYRKLSNELKPIAFKYKNYPEKTQ QQSIDGCNSNFWFNLNQRFNFKTNFFLKNKTEVTSPVNKLYILKNKNELEKNYSKNHV NKGKYVIPTLDLKSTEKRNSELLTYRENFSVSNKKQFIPILNNSRDKTPESRRKLQND WINLNMLLLSACHDRTIQNTKAKIEMLTFYAFGTNFKYMDPKLHIIEEGSFGRVYKGR YDNCDVAVKVPNLCTMRSDPFGVTDRILREWKILAKINHPNIIGFKGGIILPNKHIWL ITELIQGCDLHSLKYKLKYNIPREKAIKMIKQLIGALDFLHTPMKGKGIIIHRDIKPE NIIIDNVNWNIYLCDFGDAEEFGSGNKRRLSGATWLYSPIELLNADPMGNHISIQSVS QYNEKWDIWSLGCVLQEFFGSSNPFEYIVDFTDNSNQIYSKLVNAVRENKYIPNIPTN IHPQIKKIIEMCLQPDPRLRPSTKVILKMIDKIF cubi_00609 MVRELRSKYVVGFTIHAGKGFITPNQAPINPLVVVRCCGREYRT KIKYNKLIATQWDESHSWNDIFLTDSEWDMSFITFEVQSANSFWKNDIIGQCSIQLKT LKQRKNHFLKRHFNITEINDTFPKGLLYLTVYACGPNDTPPTSDVLELMNAEEDNFQT NQVDFLNQIVGSHDMSKEFSTLEREKQMYNLYVTIYRVEDLLFKKGLRDPFVTIEFSG CSMQSTIARGVLDHNFNECFRFPVVVPIVEDIIILKLWDDSTKPPTVITQGKFSFARV RSTTIPVRWYNFYGSSAFNIELGTRYSNNDIFFTENVSSQSDIYLGRILISLKTELLR SKDQLMPAQVMAAQPLDELFTQPCNIIADVYSIKGIFGKNACVEVSVGPNKQRTEFVP REIQDPNPDHCKNSILNKSKRQKSMDNFVYGSTQGRVPNIEIESQSKEEHTWDIIISV YTHTNSNINKVDAQGILSSSEIAHGSKRVAYCKLKITDIPHYIENKPLPPIWVPLKQT REGWIDMIENKERAYNNRFQPLSEAENEKNFEINNIQEIDLYSISSSAVLISISKQFV SSVKRTKRHTIKSIDYELRCYLYACRNLYETGREDRTNPYIVVSCNGVYTTSSVKVNS NNPTYLECLSLRAKVESDPITSLPTVAPITVSVFSRHSWGKRFIGLCTCIYDRINGRN KSNEPISTLEPCWIKLKGGGRLKSHVGDILLCIDIIKLCDSKMVPPQPLFPELKRVQM IITTTLLQDLFMMTEEDMKYNIKSKLSQNEDLSFLNRGIIGSKIEKYRILKEMSGDFF LENHERGNAYSTEIKSPIIEFIVSAFGRVDAATDNSENVDKLMKNSESGTKTSNSIPT YREIVQWKSITSVGTKEFFNKSWKTLRGYNFEFFKTISLDIFLPLSPLFDPRLTIRIF EGLVDDSKLVGEFVISLVSFLPWIPDVDSAHDWISSKHDFSNNIDVAKITSVLSRVSK KKNLIKHSGLASIALADIEEDKEKKTNSKAHPFPTVTQQEEMEELKKKSLMSQGLNQA GVPITLIKSYTVSNVYYPRISQNMFTMNLHIPFHFIIVAEGETTDKRNNLKIMTSNSG MDTGGVITTSTSGKTVSLRPSIDSTMEEFLSDIFVPIIPMRKKGFEGKMKTFGYLRGF VLLALPGVIEEINSRKNNENYPANSNLENDDITSQEILQAIENNKISRCYSHEMVRYI ININSLYKRIKGENVYPNPVRVRLYILSALALVAPINNNTFYEQSLEKKTLFEKLKDK LSILNMFEQNVRIKSFYISIVYGSKEENFRSSAKGTFNPLFYVCQEYNVNFPVESRFE IRVWAIQERVYPYLACKIFGLLNQEKNESGENTDANGASNSELVVNEINNEVCQELGP EYDLFIGSTAMDLNDRWHSKEWRQMMREDHIPIEYRPLYRDCTSNANYGMLQMWVEIM SSNKAAVTKRYELSEPIPTEVEVRVIIWAGRDIKTASNLEYGDYLFKSTLDCKQYPEY RSEFGKNNPRVQQTDVHYNCRTGEPVFNWRFVYPHLVTPISGCLLQISIYHYSSFGNH EFIGEVNLDLRKYIMNVANSLEAIDEDVELPLVNSQSVDSSFDAGFVQLTIQIIPQSE ANSKKVGMGRDMPNRFPKLVTPSVGRNWEDFLQLKERQDAFRPIWMKIRIIYVIFAII LFFIIGAVYPALFYQSINS cubi_00610 MIEDSSLFSLSKISINDLLVLREKRHENRIENAKLVGKPSNSEE NFIEGLKKRIETNRICLGVNIIHDSILNNSFVLSNKQLSSNKNKRSLYTGAIVSKENI HLNQMNSSIISEQRTKINNVITEGNNTHILTHDLKIRKNRFVTKKNLTGTKIIWDSIQ ENIKSIVSPNE cubi_00611 MIDGNGLQLFQLYDFNCSNGAKIQVKIELENKRKTLAINFLYRT DHFPDYSVILHWGIVPKSKSKWIQPLNCLFLSNGATPEKNDDGISCKTDLILSDNRSF YFCKITLDLFHFKSSHKNNSLDEEIKYSGTENCENSLNWLNGINKEWGISFVLYSIPC YSINDKSAQIEKLWIKDKDKCNSDFFIPIGSELLVLEWMELIISENNFSQQFFQKNVN IELDSKNILTPEPSNLNNKSTQLIINGSHIYSYNEFTTDNNCIWVISSKICERPQNEK LFALIIYTNICSKSYDSQNKFMFKEYELILHFGFTKSTEQIDWASPYKYLKEHNLISN LSEIDERSIEANFIIMKKYSKCELTFPVEILKLFKGFVFVVKLKPKKTSKYPIKWLKS ENNKDFMFKLPYCEHLIEKECLNDLSDKDKKNDKIWELNLKRFINEAEGSTEEFLKSN LITFESIITNKMVDISEDLGKLHALSALQNENSIIKLRTFSKRKLVLHCGLLEVALKG KKIWKNLPNSCLPLGLIKTSEDSTEIEMPEINKINDLIFEQEVQIKINKTDSIDFDRF VCVFKTLDENGHICWHKEGDKDIEIAISFKNKENNREWEGIWSDIVFNIISAEVEWGS ITLMHRYNLMDQIIKKWSNEFINETYKLISDSNEILWYNFETNNQNDLDDQNLRTLDC ENVKDAILRGEEFWAWIMIWMRFNSLGVLDWQRNYNTAPRLLAHSAETASLTVISKWV EMPKYRCQIRLIIQSIIRGGSRGQEVRDRILHIMHKNHIPENHGTFYEQWHQKLHNNT TPDDVGICRSIIGYLRSNGNEEVFSKILHEEGLSWERIRSYDRPITAKPYIPPFMDVN ALAFDFEQYLEVLVDVHEALNLQRSFHYSREYLDEKSQNICASVIFGESKRFDNTIDL NVLHDRLMSVNKAREEILNLIYNSYVGKSSSSNNCNYHAIKEIMYLDLGLENLQCMFI QTICTIKNNYDNIMHLVDEMNSFIWILFGHDPCNKELEAIFFDWKEFKKINQSTNNYI LILKSLIDRLQLFIGSIMDKIFSIWDPKVTFFGTNIGLCKNDPIIKNFMDEILRSTLF STISLQIKRINKYLLNNTDPNELNDWQFISYNPKWRDGQIFTGVFKNLNKITDLIEDP YKKIVSCSNISGEEDIPMNVIGIILTNPENSPDLLSHLSVRARNMNVLLVVCQNSHVS EFINSIEENEIIDLHITNDMRLEINKNNEIIDKNELIETKALNKVKVKHKSKFFEFKN KIKELNKWVLLPSEMDNNNVGQKALNLVRLKKLIESQSNKLPFFVPSCVSLPFGTLNK LIKNDTFEKINSQLNMLEQCNIENPKIFEILESICNTIEYEIEPCDKLLEELINAMKL LLQLDLENLSTECIKKINKEKISKNSKSMKLIWEKIKKVWMSVYQPISFYNMKKIGLS LSNVYMSIAIQRLMNAKYAFVLHSKNPIQNKNINLTEYDEMYGELVIGLGETLVSNTI GKSMGFTALRKKNCKNYQDAQFIKKINVVSFPSKSIAMLNQITVDNTNSIDFDKLSSN FIFRSDSNAEDIEGFAGAGVFQSIPLIDPKSKYIKYLSQQIITDYTHRNEILKQLATI SFYIQDEFDQIPQDIEGCIIEECQEFNNKSFTIAIVQSRPQV cubi_00612 MEDESPIGSPILILDKKDPVFNSESEDENVSYSVIDVEKRERMV EAFSWSPQGRGAEAECKISIEEFRIRIKNLCQDYFLDYKTQDFINGLKCISCPSLHNL VIVIAVRMALDYSLSVQQQVSALLTILKDSHLITQQQIEDGLEKLIQSIDDICLDAPY SPERLECLVDCAIVDGIIPSNFRCRYPEAFLNKLIELRRVPDSNLQEICSANEINGLI LHLKTLRKFKSFILENEEDFFSSGFNVAEVEKIISDAHLAAYRTAFWNGIDWTSNSFA DKASENDLVPSTLCFNHEFVKNIVIASMSRNNLQRELVSNGLNLLSPSIINSVDISIG FMRLLGNLDDLSLDVLNACDLTTKFITRCIVDELLPPSFITVNSILHMGGPGGTQALN MSEQFLRNKPRNLLRYQTQNIWLQNGEEKEDMIIKMKVSEVLNEYSICLDKRKCIKTL YSLPLTQTNKKYLVKYIILHFIEKILSGSDDLLFDHSNIGNQPNNSFLEFCDREMRGG ISLLEYLLSQGFLDEEAIMEGFHIYIDTTPDLASISPRTKELFSVFVSKAIERALLPF DWKTM cubi_00613 MSDFSWPKSDVRKYENEIYSILQSFEKAQEWADLSNCLQRLNRC ISKEFCDIPGVPLKETVSKRLAQCLNPSLPSGVHTKALEAYGGIFEKIGESELSSDLA LYGSGLFPFFVHSSTQIKPIFLDLIDRYFLPLGPGLLPCLSGLLVGLLPGLEDSKSEC YDRIMKTFQSISSGSCVGEKNFMCTLWLVILRTTQVRYPALEVIMARFSTISTSNKVN SIQKIQNLIPCNILFLKALESCFDDENILVKRYLLDFLISYVPLNKRNSLKLDNNYIE KILPYKSKINLLRKALKLFLLREWSLTRRLIQWIMNEKNICPEGRNEDKINNEIIQIL INAIFEDFISSVSLLELYFANSEGSANFTKYQPNRISKNLNGLQQMYNLINQPAILQP IKMLNALFEEFAQCVNFIAPKILIPVLIYSRESIIKFPELKDSIISECNSLLKLSNLL PSTFLEIINSYFANILTNKADMLSTDQDKSSIVINPTSSLLKEIILFYVDNFLLNRET MDKDNSFESFFVNLFLILINMMVRFKAKNKEMIIFARLCIFSINNLKDITENVKDMFQ PSIITFKLFAEFISTSLCENAFNKEDIVLRNAFYEILSDLFNIKIFNEILLNQTDMIN LTPFEKFPIPSWLYKLYSRIIGLDFTEYLFLKLENYHIEDSFKCLKIIIELLFNSNFF SRKELIPSCWADCTVKIMNILWLFLSPNFFRFHEATTYLLINLEKWVQTNSSRLSNYT NLFNQSQVIRGNSILNQLFINQLSTLDFGLRIKNIKKLSIFMKYSVNSIYKIPPEVTF LILEGLDSNLIQLRLSCSIWIIQAMETPKLILDHLLNDLSGFELAVTANNRLQYNEDL DFARIIYSLERLIALISMENINIIQLLFDTSIDNSSIPFNIFQYEIINYFDAFVFICL TLFIAEIPNSSDLRVNCTAIDSLNFILNKSLSKNYKPSRKSFNFENKLIIMLSHITNS ILEALQESITTRKYPLQTPIIRLLNTILLIQRQCKSVTHEFEIQNFISTNNKLFTIMI SGIQQMPSIPNNEQIEFNKNDCFIVSTNFSSISSCSSLIKPYLDFLLTIFEDLDQETL IQNVKSIIICLCMELVVSLSNKNYSAIIQYLDSILKVLLNVIGICPISDTLNESRPNH LQNNTGFIFSLFNSKKEYQNKNKIELNSLNTIPQLLNNRLEANESITKISKSIILKKT ILILFSALIEALNFVHESDLLKEAPILDLVCSQILRYVDSIAFIIAWNASDAFIFSGI VCWSHVNNQIIKTKSSNSFRRENSDNDLRSTSIISIFQLSSLKEFINPVSIFSVIGDF LSYFWLYSSNSNTLNGTNCDYHNEIQDKKVPSYVLFFSKICLDKEWIHSYKFWKESLV YHFLYTILATSPFLNAPDDFLNIWEIVSALLNLFLQNVKQPKSILWFATILSTLDASM ASSKNIPSSFFLDKRLLNIFEDKKMVRNLNNLIYMILYLVHENFSSKVSGAVHSQQFD KFPPLPPSIEAILQSIEFDFSHESQFPCNIPNFQGNSPKSIVSDDPSLLFSYYSMGFL IMYNTEVAFHTNNKRLFLRNIWGSCIVKSLDWAFQPLLKRNNNVANMIHKYFLLLHID TFPFRIFPEYLSGIRKPVIEALNSPNFFCIDRRTFRCWTNIVSKLVSYDSTSLSAVGR VNILETYVSLQSMGIFSTRAAELQNRCNHIKRLAFLIFCCPQNTFQLQLSLILEKLVE NLKLAPEYLIESDSNIGLHFVYLAEQVLLCLRVLLLKVHYQSLMPLWPIVLAELIKIF RLKNHQRLKISAMKFVDLASLLDIPEFHLYQWIFVIDFFDTKGSIEIENEKCSKDDSL NSDNTLFSPFCNISDENVDKSVLGIDMKTLDHSFNTETPKFPLIYQRNKTDNDNFVEM ALQLNNNCLLNSIKSSKIDYEKLLRSIENDFIDFPDNLLDWSYSCDLYSLYKMILNQK SGLKHNCNKFSNI cubi_00615 MNNVYDHNVESLWWSSIFESFIRDKINTFIRSDVVLNKDLVTFV PSTHQINNFEIIDQLNDFYFVCQCKKCHKITYNDAIPVSNSPRYRIVCRMVASVFNRF KSLYYDGEINILSEEDIHFLINKILINNYNISEALKKIPVSSYYNF cubi_00616 MDTQFHSFEWYDAISRQGSKFSRTSFNTARTDHSIYYECEEFED NIKSELDSSCNQSKNYCSFKKSVTIKFPEVFPRSETRSTNKSEGYCKLSNRCSKGQLN ERIRSKTAPHKKFVFDFIDNISYENVFFIQPSGNEFPNNTNSLSKNSITKTEFTQKEN ERTISSRNVFENFSKDTEPSSVSTDSLIEKYIFTQGNQDIYDSLEKEQEICLQKSCSE NAQSLESFEVDLNEKRPVLEIRSFSYNTINRTLFNIKALSIKSASKVTESIPNVEKNK NFDIKQLHIDLPNIFVEGETEIRRKYCQSDCIFSKFLNCKNMCCLLD cubi_00617 MILQSIAQILNLTIISNIGLEPWILLALKCLGGLIFFSAISLFS LIREPLPIDNNAKILSYCYSIGQMSVMGMYFLCGSIDDSINNNFMLLAPTLVVFGIFY DYKDIYFKKNGLWKNIIYLFLTFCQIITLVISIINFIQFKKNWVFLIYLVLLTAIFLI CKIAYYFAIVKINKNKIKPLEISAVSILNISKVGIPIGVLVHTWRMRKLLPNHEYKLF LLDLARCDRSSAFKILLSSFISFGIIFPLQVLTIPNISFLEYILYSIFPNVLFSQNYI SIGFIVALILMAFNIYQDNKTSENFVIQLEPIK cubi_00618 MRKYEEKSPLIRTNENNTSIFSFLKYLLFKPTLSYSERNIHLNG RTSPSKFVPNIVCNQKYSLITFVPKVLFDQFSNFFNFFYLVVALFQFVPELRTGPLFT YIAPLLLVLSITIGKEAIDDIKRYKRDKEFNNKKYRKINKNGIQEIRSSDIKVGDLIE INTNDRVPADILFLRTNDPTGTVFVRTDQLDGETDWKVKRALGITQHLETLDEIFNLD MTANIEGPKKDIYVFNGTIQYYEQNFSSSFASDDNNAILNPEITTGYLKTQDSEKITA EPRTNTRIQPIVESLMLDHTIWANSVLTCGKIYGLVIYTGIESRSLMNTCTKSLRTKV GLLDNQVNTLSKILFVLLFITSFVLVFCKGFDALWYISFARFMLLLSSIIPISLRVNL EMAKIVFSSQINNDKNMKKVTVRSSIPEELGRVDYLLTDKTGTLTQNYMIVQTLQIGH AVFHPENFCEIIDALQYVHKGRVNIEREERILIDVMNNNSILPIISSDPVIWNNSLNI LHLQNFMLAIALCHNIFPRSSVDAQFSNGSKNNSTEDILGNGGIGSDECMVDLNQKHI VYQSSSPDEIALINFAATLGLRLVSRSSDNMDLDLEKSYIGSHQTDKLGNSLFIHGKN VQNSAVRLSFRILACFPFCSSSKRMGILLEFKGRYVYFCKGAESVMIELLRQKGSGWL MEECTNLARLGLRTLVFSYKVISKEEYETFNNVYSYPCTSLLQRDKNLKISRKILENN MELLGLTGVEDKLQIDVPLTLEAFRYAGIKIWLLTGDKLETALCIAISAGLKPKYLNF YILESKCSKPNVNITEKLLQAEDLKYQLQRYINESANSHVLVVEGSTLNLCIKYFPFL LIHAASLSPAVVWCRCSPSQKKDLVLLLKEYHLKYLKLCETKKFVSLDDLDSLNDKVP ISGKNISESEENRLNCKAGDSVNFASETSNYYDRHSKSNLNIFSFDELSSKSFGVNNF SQITESEGNIDEWASESGPINKYNKKNTLSLVQNESKKIYNLANVSGNARTCRICAVG DGGNDVGMIQAADIGIGIVGKEGQQAANAADISIHEFADLRPLFLWHGRHAYQNSAKL AHFVIHRGLIIAFMQCVFSALFYFIPVALFQGWLAVGYATYYTMAPVFSLVFDVDVNR STALLYPELYRHLKAGRVMSTKTFFCWVWKSLYQGTVIMLGAFVLFRDNILMNLVAIT FTSLILSEILNVVTEINHWNEVIISSCVISILIYFASFFLLESHFDLQFIFTFTFWGK ICVLTSISWGPILLYKLIKKTIHPPRYYKLMQN cubi_00619 MNLSGGLINYHKCNIEILPKDAKTLRIKKRNSCDTEIEEHIIVI PPYMFEYESFCKLRWRDRTLRDLFSNEFRTRPIEEYQKLIDQGRLIVNNVPVNSLDYI VRNGDRIQHRSLFIELPVGIDKVKILYESPNILAVFKPCGIPCHPQGRFNKLSLTKII QSEYLSKINANNTYIHPINRLDRVTSGLVLLSKNSLTTKNLSSRVQFAHKYYIAMING NASNFISYISQNDIEGVSIRKLFPEESYGITEHVIKCEIGLKTLKNREGESLLTRIDN SEDGKYSLTYFFPLNLGSNINQLKKQKTNQFGEYTILLCKPITGRTHQIRAHLKYIGF PIVQDILYNNEIFSKNKSNISSFEYSNSNLFASCEYEIKDLDIKELLECSKQKKYFAG GGTMSLNFSNNLASVEYLIEPPIGICLHSLLYILPPSLPTEKYLIFKCEILPKWITDN SNINIPEILKDWPYWSKSVLNDLKLTQSSLL cubi_00620 MNSSALKEEVIALKSIYGESLDSSDKNGLPSPGIIFNGEERSLK YFSEYLDGILEVKISIPFGYPLETSSFSISSWYFDIHYLTYSEELSQSRSISLTSNIF RQLKELIEKKIENKSPVLFELIETLTSCNIDPEQYRGKISNKEQNIEIENHFDSFDGD KIFGIAHGEPIIDRKSVFQAHACKVETVEQVNKVIKWLLSNPKIAKATHNIWSYRLFK EKNHATLSEGSFPIGYDIISQDHDSDGENAAGSRLQHLLGIINAKNVFVMVSRWYGGI QLGPDRFRHINNAARLILEKSGLITKTESFKLQSSNKRQKNGL cubi_00621 MLDIEFNAEQIRHIVKKVDWDVLVKSASQFGISLPLSYSEQDFD DEIFLCVVHDAILRAKLICPQCSHKYLVSKGIPNMISNDLVSND cubi_00622 MERLTIKIFVFIIVFFTSRSTQLELDIMKDIVIKNKEPLQKDIY PVTISRIIAIKDQFKVFGGSNADSSIKEHSSIFSSMSNNLKEFMGSNQKDTSGSNRGA FVIYDTNDYPFACNCNSAQYQNWKETGANSTTKVECTNTINTGIFNSNDIDYCDPTNI AASQSV cubi_00623 MSGETINQNNNQGIFPTHPYFSEKSVINKISLADEIQLCVDEYI QIVQFWQFNVGGTNNIVNESLNQDSGENPNILKEYLFSLLDLPGNFQNGNPFFADDLF SQSLNLYKRKPLISKRTININNFENSTNKNLGNDEETTMLENKNNFNEDIEVLESEDE SDFDYEYMSHSNNEDYDELEDNTNDNDVI cubi_00624 MYKKINTFNIESFPFNGFILNYQEFLLSVLITIILIYFGITSIF YDAEILPSRVDGAIESLGNQGSPNHIDSLSNLSAQKHICKFINDFNEIIYDGYELNSA QIFYERFLQLLSESFFLNSINISYQFLFLIYKKILVSKINGLSISHLQELSKVMLYKL KIPKYTLIKCYSEIIKLDDSILSKEQKNFFIGEQNRLQVIMNRERTILLKNIKLYIVR VVEKMKLALKMLVFLF cubi_00625 MSEHEHIEDAQKISNKLGFETEQKTQYLLKELEILNIEEPPIET LLTDNKQVKKKKKKENLVEDHDDCYIPNLPVYSIPMQDNSHLRKVCNWPAVESSKQTS PPTVPVNQIYPKYEFPEGEIIEYTGSNSYRISSEELKAKEKTHILDYSSLRRAGEVHR QVRKYIQSIIRPEMKLIDICNILEGKTKDLVAAEGLKSGWGFPTGCSLNHCAAHYTPN PGDFTKLTQNDICKLDFGVQVNGMIIDCAFTIAFNDVFDPLIQSTIDATNTGLKTAGI DVMFSDIGSSIEEVIESYEFEYKSKLYPIKPIRNLNGHSILPYHIHGGKSVPIIATAD NTRMEENEIYAIETFATTGRGYVTEGSDCSHYMKYYDNPFLNENSIRLKSAKILLGGI NAHFGTLAFCRRWLDQLGFNKHALALKSLVDSEIIRPYPPLNDILGSFSSQMEHTILL RPSCKEVVSRGYDF cubi_00626 MDPYEFKQCFPNVEFKFFGIGRLDHFKINWIAKGIPFCCRRRPT LIPSSNTATYGIIIEITNYERKLISEYIQYLPNNLLINANAVIIKLNNFEKARKNSEK KLLSLNSTINTSENQILFSLRVITFCTESSNMIFDSTFVDFLLKNGSAFSNSKYLSEV NKLSKKIRPTLPSENYINLVTKIARYYQLPDFYVEENLNLSSKQKLSFFESLARKIAL KYIYLITKLQLQGVGFGIIDLLWELDPRDPLVFVDYGKINKRIVLFIATMMITFVSTI LYCIIFWWKE cubi_00627 MESIHLSSNFSEKRCTKYFENLFELKNERIDQNCQRSYIHSNID YFRKRHLLRYLLIEYLLIKHNSFSFYIKNQLKTDETFLDKNGNELIGEISMDLDIEKS KFTIYPLKYAISLNHDVTILGMLINNGEGQILIEDPTHKVKVVINSNITLFGKGIYCF NHIVIARGKINQMNESFHVYLMFHPPIQNINEDTTNVILSDLFSNFNNSLLISKNREK NRDSNEKQIKTDTKHFCMKNFEDLRIKVQVSRNDYWVIISDILLTNAKAIENLRKVFS GYEKLMKDTNLQIGFILLGNFTNGDTDKNGKNEDQENIFFKNESGSSEKEQFIKLSTF NKNTHGIHFKNSHINFSKTIESFEKLKNLFREFPVLLSNSDFFIISGPNDIGPNLIPK NPLSNYYTSYLSKEFPKSKISFLSNPSVLDDGEIKMFFSRYSLTKELKEKTLFSYFGT KDVGLTTQWNIEPETLESIIPQTVLGQQHLTPTSSNIIPNLDHCLYLLPTPKILIIGD SGPSYSVKSMNQIWIVNPGSFNNTNSWVQFNVLTDSIDHVWL cubi_00628 MNNISLYFIFLFFSFNLFHISKKTSVRAFVLPKRIINGSRSIKS NYDYIVVGGGAAGCALARTLAESNYSVLLVERGGARMDDSILTRDIKGMGRVVDDKKV SELIITKQGVRTHVGNVLGGGTAINMGMIMEENPEYFQLLKEYSGANFNETILKESYD WIVNKVSNKGDQNLPIVEPMEGAFKDIGFVTERNPESEFSLNRINGTWRVYNIFNVSD ESFRMSSDILLSDYQSAKNNTVIETSPVDILTNHLVTKVEFEKIENHRENRGNGPHNK DVPFIRNVNSGGKFNFNKMSFQTAFSGKNHSLSAKCVILERVMLKNQYSDFDDYPLLN ITTGMLTNEFSVEKTIKPSKELIRSIFAKRICVNDNGMIILSSGAIHTPILLYKSGIG PIESLQNMNIMPLLEVPDMGTNIVDRLLFAIPFFFKKDISTSSFVNPIMSSFSNSSGN CGYSCSTINIESLGGGRTVEGTLYATRLIFPPRLRNNIVTDFVIEVFKKCAENYPLSG GIPICLVLQYPLECLRRSAAVFYFTSEPKSRGGLNVKKNGKFELDGNYLSEEEDKENV IIGLSSVIKMLRSGKFQNIAEEGGYSSCPMTVLNGIIGVLSSARTERLFINKPLSPDF MNELENVYNVILTYKNSLFPDECSTLPDPRDCLESKNIIFEKIATFPPILPKISDKDE VLRLAYNIGTSIWHWSGSIPLGELVENDSFLLYGTKNLGIVDASLLKILPRINPVYTI MSIGRYAGISIRNLRKKNQENIEKIHA cubi_00629 MEYSHRYNQGIMNARRHYNIILSHIECLIEKNKFLEYAFGISFS EIPVTFNERITNIVAYKKMLHCLETVKYQISDNKELKDEHFERIEILKLKLENKLNMV ILENENRLENMNKNLYSQLKSETTRDEIFENNLTTFVAKNYLDQSVATTKDNMEQETI DYYRFDKKTINDQFKDELVELAEAMKYSAFKFQELLGKERKVSFKERKKN cubi_00630 MGIKSTFIVLIITLNILRSNCSLRNTVNKYEINKILEPKEMNNV TSALRIFPFVYYGYISRLSLSNLDKNIVERRLEELYPFLQRKECTALNCLSMLLYIYT cubi_00631 MTVSALSCKWKNYEDFLIVLGILSFSTLSNSVLPSLLQYATGLP QALFFSLGISQYQIIISPVTFVKEGDVFAKLISLENGSDSTGNVLISPCNGVLQYVWP NVSTPTVAFMLIARIQCNLKI cubi_00632 MQVSYLFFVVFVLILGSNSFVHANSTKSKENTNVQGGIKESRFR KFVNNLNGVELKFPKISRKKFDEKHTLESLTTRLQEIEKIRNGSKNPFKKLRCYIQES NIKRKIRSLLKEKEEEEKLEKLEEEFSMDYSPQILSEEGSVPPYIPVVVGSFKENTNA SERSIRNEEGNQANDSSLSEEMIEPRTEESEEVANPITSEIDKIDVESDEDRVHEDTE SAAEVSEENLEDEPVTNLDQSQAISKDQQTSSILKENTTVEEKSDQEKQGTVLRLFSK LFKNKKPVVGDDLEKLLAARESIEGKISSSKNKIVKKYLETKLQNINKRISKVTESAV DAVTESGEIDIN cubi_00633 MDTRLFTRFPSTYHLRTLFDEYNMNESEVSEGSEAGDSREYQDE DRLEMIVEDESEFLENELCDRLSLNESRKVTEKSEIDVMNINSNRSSLNGGSECSTNR SKHSKKNKRRSYRR cubi_00634 MAMNTVESLVESFINSGGICVISKSYCPYCIKVINSLKGAGYSP SILNIDGRADAEEIQNYCGKLTGGRTVPRVFASGKFIGGCDDTVKLLENGSLQNFVKG I cubi_00635 MRKILYRLVFILWLLVLIQLISECISSGLDDKFGVDLKNNGINN ERESNSKLEVPTYLIREYGYYFLNNNGFDLDSLVCESGEENLEYNYANFASRLVKATS KLLLNINLSNSVLDQLYGDIFSSVLDLEHLSSDYYYFLEIQNMYKHLRLFKDNKLIFN LEDLELEIKQSIDSMSEIISNLTEKNEQAKEEFCVNLYLIRFKGLKQVMVIVNELLSI IRGEKISKINERLDYSRFKEIFDLIVGNSEYLTSYMSIISEVNIKLEKTFLGCLDSIG NVDIPLFGGNNQDNQLLNMIPESFRASFGNDKYKRSAKYFGPALDDLVEKKTLYRMNC DLRISELKEFVKSKKIIVDGSLSISKTILQGLRSISINSEIITQRYAIFEDYSTSMRN SVSLLNKVAGQVNAEYLRGLILFFSEFQVQLQKRYQSLMHIFEFSKVNNSFQWWDRYF NLSVDESKLTEKKLFELSKILDNAVSSLNKVNYLESKVLSLLSLEPFSKSFRDDKMPN LEYFLELINNVQKNSKLNMLYNSNYVNNELFIDRADFDSSASVKNLSQYTRWRRQYDE SCEKLKTYFDTIAMNEQVIDTLNKVDQLIYFEVKKYRKELGKLRENMNSVNILFTMIT GYNSQYNSIIELIEHLMIGERHFEAYISNYLAMRNCMGDLNSDFSQLTSTVPSITGEI PEIQILMDSIKSKLLTSKNDLLKGFDESFNNQIIQSIESVFKTYLMAQIYFAGSLSQM LKSKKDPEMPFSSDDFNLLYNSIVRNESLLFKSKGIKPLILPENKLKERVSFLYSIFS SYIQQITNLKSYITQLNDNSIRGKSFHNGLTEFIKLLNSSLGAIKEKLFQMFEQGSLT KKVERVVLIGIEVFFLQLKLECEKLFDKLNILYESENLITRIAKGSSISLSERFIDRI KSISVLDNEPVEFEIEDKMASWDSHISSSNYPESIQLKKVKTKITHSNLDSGCTVAYL DRLESLKGPYDNTGVNIDEKYNVVVIHCIINNIPGETISDFLKRRANIKDSGSVVLPE YVAKHIDDEFYLHYLAVDFSLKNTVGTLHKLETQMNQLFILFPEFHIDYFLNLDIVII SGNKLMIDLNKDIIRIIFSSLVTYNSELNFDSACFFLYKSKVLVLEFPVDKNGKLTSI RAIGKYSGFGRETPVFLAVVDNYQEFQQDSSPLVKIQKELFQKDVSNYIPVYRNLAPL NNSHDSIREFAWIVSENSHLLYMLLLRSGIEKVRSTSEKIDLIYPVALEAQNELQIGL INAFVNSIAKRRNLNSQVFYDITKNKLFILNNSEQINTKSVEMSFSELTSEIQLFVNM NYFSTHILDIPIYESEMSQAIELYLSGSMPRFCSSRRNYLNNSIVLNINEHFSYRFMI SYRLLISAIDPGIKLKVRNLPSQDALRKIFSFGKEFSLDFERLRFTYILPIMDINQAK ISIIKKNMKLSLERMMMHTSYSQLEKFFLDIPSNSVRYNIAIPNTNKKSIIKNDEEIF QLNGRKYFVIRYSEDTVISALSIFVREILNGRINVIFAINGFDLKLLKPGDLPSDKDS IQIHLMKGVPIELVLNEHLVRIHAKNYEKENLQLVYDIMSIYQGFDLSIVTTDGEYYY FYLLCWDSNNRKPKHCSYIRVFRYLESNMFEKLKLYQISLNNNISFSAIAEEGEILSL DYIGLNVISRFGGSVLFKGDNYYELYYRSREYWDMCSFVQEFLKFSSDLHIKAINYDL RIKDVVFRPDFLECPIPKIPNLIQYNELPHFITLDNYHQESGFIFTLYNSIQYQILSV LINYFLSKKKLFIKHEGIEQVSAPKSILEILSYFKINLIKDSSVTFIFLNEISLKNTE IQSAKIVTNQIRSIIPNSEIIGINTRGERII cubi_00636 MFKSKLNKRHAIFTYAIFNVLYLVLCSIQKSYPTNGDNTNLNKE LLLNTDLLIKFVNLSIPEISPDCTDGLEISPLMSIQSNGTLNEENILSQQSEIDQLVD TRKNIELSNECEEQIECMILPDNGIKLSKRNYSDMYIEYLKTLKESGGLHKRDVEHRD LHKDDKLSYLQKNPPVTGSFMPDEPKIIRKRARPNFPPEHLYKANIRKKDGKDEDRAQ IDIMDIKKIKLNKPSFRGGTSKEPLSPYDLVILELKSRLESIRNKRREVEFLEKEKER GERGNQRHSHGTDSEIQREATKGQSRNLFELTNLLKNVGNGPEKLKPHSDLKETEEGH KTSEVSAQSTRIKDLIKRFKTQVFLTPQIHPIRGPEGNQDQASHFNTESTGETAQETS SDQEKDMPKGESLVLEPGKQPKFLSIVQLMRPLELSVRQFRSLNHHPSNNEDQEKKVE KFVSRWKGAISSANKRLEIKTSGSEVSKTLSLSGENSSDSDDTYFADYVEVDDESSDE MT cubi_00637 MRLSFCIFIFVTFFDISFVASRCDFSTNLTAEKIGELFDENCAT ISLEKLVFSLKVLLSDNQKMYLAKELLPKGFKLTPSKCEDSEVYSDEVKEIYEGIISQ NLTKISVYELLHVPELMSNATHLRRIRNTICSPRPVRPRRHIKNRNPSNVPGASPYVV YEGMVEMYNSDSSAQVGNQSLSISDN cubi_00638 MKNKYKKIYFYIIFSCFCLFGRKGIFVWSNIYFVENYDNPFINE QPYNLGNFNQDSVQNHGITRISSNSENPLILEGPIRFDQPITALGKQLAAELKNIQFG SNNLFENQDTELVQSKNNLGQDVFVRHEEKIEQKKAKSKSKSETVEDDLPINSSSSIS HREYFLPIPKELGKGVKLNESCLFSPTPMKIPKKNVFWKKIEDSKVDYRSEVISPVSN DNTPFRTSSECLNLFDEAFNAYRKKELLISPERFVSLTRQVGMQLRDSLLSTNYVVST EDSCLAMRMVLFLPHQLRNTVNCKSAIIAAIRDLEEMSNFELLGQFDDHIESKFKDVS FACKNTLKFNEPIRHFGNTQIFKPSEMAKLFRLDLTAKDLQFFHRLSIPHRKAALKVQ ESYQKYMKDTLLYDVAASIIFLLNELHMVDQSIEQCSIVVILCTSIQNGENSNLNQIT AEQMCKELGIEIASKKHNVKYSSSILVQLLKRQTHGRPIFERELTSYTLPSGKTFLSS SKYGYPKISTNLEIWPSVGKIFSDGTISSYSVPVCTGLLAFEFNRFLFLSTIIERYLI VSGLSKLKLTVEDICLIAKYYLAYKKYFRNELGNKIPLEDAIPLAIFRVSQKLSLDIF TISACREIYREFSQEEEAALKKITGFSLQDKLESYYSKPPFSYNSNIVLTSLPKIMVK GKNEFNSWSVFQKNNVCSQLEHYVLNRMVLFVAYVLAFWRKNRSSEKIPFKNFTEICS GFSGDYVGFKPKVNDFKLFTEDWLLQKDKITLYASTLDSVWNNFLMFESTAFPKNTEE SELDYLQRIYHNTLVPEPLFIEDWKNIELPIRIDIAEFIKLLPLVHKPLDIFSTLDNI TLNHVTLIRAFLESFFEETYQFPIIISEKDILLLLERRELEKRSMDELFFEIMSAKSD WTWIKQETSSHAILKLLEYLNTVKKSVEDHIKRTPTKSDIYSVPRAIFVHGKWLIKAP HPIFSPSTPLQKRALNGLPKFLINKARYIQAYFINAFKTILQFDLSLHLADIVYSLTN CAGDDKKLVELLKERISAKNGQEFVTHKVVSEIYSSCMSFSFYRYLLKGALSEEQAFA ASRVFYKPKIGWKFLPPNVPDFSKLLVLSKAFKIESNSNEFDQDPYKKIDETNTQKII IRPYTWAALKGIHEQKKLEIWQLNRAISMCAYFNHWISHKFSMKNPKDLQVSNCINAI YRLKNNNERLSQSEILQVFLRYIGISFLTEDDIREMLSAFTSFESIGKPNNMGSRQWL INLYRIPQIQENNQNSLPEKFESLRFSTPPVFGEQRTLFERDQAQIITTKNLEIPEIF IPADLDSDSLNNIRELLESFTFRYLDRIGIAETDLKDLNFSMKDVLYKMRSDRKLSFS EAMEQYISEIGSKIQGNIFKKLSGAFYKFIFLKFASNNEESFEQILRRISMSRVITDK GKLPFDIPLGLVAGKLDLGLPYNFHSFGEMNFARLVVAYVNEYLIEQFDLRNKISADG TLDKNILKEFPGFLSEDCVAKGMDIIRKGGIDLQHALDQSCLPELPWINTKVLRMLIS GLMTYCKLNLKGFPAERNGRSILDFSRENLNFPLVSFDKRFETWTMQNVRKNTRVEEI RDFDSRFSTLESVGIVDPITGVIISSNFNYCSEMNIPQVNRLLTKLQYYKHCISDNTV SNLDVDRLLDLNIWCIALRQELPGMKKTYSNMLFTYFGIRGTIRESFEILEDSFEFLE ESWLGNNSMISIKRWIQEFYSSHSKAFSQLNSLIKSGKLFCPRIITEEELYQLQIIQK ETVFNRVEAFQGFSEVFLREKYGIIFESRPIIVALLVNGFSRIKASNYNITLIRSMVP IASDEISNYLIEAFNEFETNLLKGGLSSLQDLYQNPICERIQGNWYFHVNMETINRIN GSTISKFIFSELQINRFQNIVAFLNTALSSKYSDKLLGSYISFKHIATLFKAEIDLSS FAHFLNYFHRILQDDLSFSPWLTVKALKGILQAFINWEKIEIFKVSPKNLSFAVSNVD MGKYSNSPVGMINGKWHWYTWCKKPNFDTLIQREVLGDEVVHVLTSQERNIYGIPSIK HKFEITTLFIQYFLQEILNILIPFRPDVTIKIFRGETSLSPESVEFLKDLWEHYKNSF IYRGSLPKHDLFHNPVINVVNKQKFFPNAGIPQFDNFDLSSIPSSLVGIGNFYGGFNL RSKLEINRLVTICVFINLSFEVFIDGSTNSNDILEPIDLYPIFKDKMEKSITEISEEI IEFFKKDYPFYSNKQEKISHLKITLLNYGNFEESMLDKGWDFSKLYKKPITFAIQNGY KMNFNLKSYLPKVTN cubi_00639 MIHEQIRNFAITILFFIGIIENFSNLILEEGEITFCFTQIGIRR NFVNPYRHQIIVNPYSDEKNDTSCCSKRCYSSSKGLSCRICHSNLDVLNCNSCTNSFN SCSGSFGHSDSCSNLFSSNANLTKLRGIHKDCSSKICKCCNSCNSCKYFKMNEDDSEL ESEVEAFGKFNESSEISSESEISETINDFEIKTNETSSSNEVKLSYDIYLKIMDKYPL LSIKRRNYIRMRQNLINLQQYGLWEIPRDPENSVNETRKIFCNREQYLGLTMNHTNRD LPVMQFIKEPFLKFPNNLNNKDNNSTIIQSSIVPEHVNWGEWEKRNKELNKIVLGNKL GELFNTTAEREPCYFLSNQSKILNK cubi_00640 MKIKRLKYLLFILILSPFTSNKICMFSMVDANVDMNGPPDRVYY YPMGFNLAHLSIGPGVGNFLSIDSQVTNFVSPCQFMHPITIQFIGSYPKSGMTPIKNI ICDSVSLQKSLQKFQLGADTVKVVKACTDIAQALMDSETTYSIFNGANNITIWLEGSK LVNIVKEFNEQLPNKIPQIMDRDVKYQFDPTIFGKDVMNEVGISVYLFKATSFPFKIS VDYSEIVPGTNSHGTNIPVIEFSANITLSYELSKQSSGLSSFWTPPTGFGGFFPMLVP NDKFSNGVLYTTYTEPGKYAGFVAWGNTNIPITSPFVRIVPPSRIPNSDITCTSNYDF YYPTTEEPDGSVKDYPKELEALLDKKSSKIFRNTVTVQSYWNKIPFGADWYENGFPVG SPNSQWIETGNYYGGCYFNGTFPLVPSSTNPGFADTICSSQSTNISDVYRTAFYPPPL EFLQYGVSNMQTMITSILQYGVHSSLNSSSIFDYNSNVQFLGAYPSFHIFGATPGLQL TSPSPRLNVPFVQYSGRDLIAGDSFFNFNFQYMQDRFGHCSEPNGCWICSNPRIIPWK TEVIDQMNFTEFCASNLTAIPNSRMIALKFYYGNFQEDIPAQISSGFQSCLKSVPDRW TPVGLNTTTFTFKAGEYHTNTMFIYNTLSNDTCCSPMNTYYYFQHYYTIVTDVYQPIQ LTQITPYTDPYAGGILPGIFMNRNFSYQFYMDVYPDNTWTESSQLKLRAWLMCPEIEN IDLIAMPEIVTLGDILSSGGKVGGSVKIPPFVLSKFYGSPTYCVLRAFIFVSEETEKN NIKSLKAVKLSEYEKLNPRIYRSDEGMHEYAQWIFVNNGFIAKSSNILEPNPGNTSIS DALYTPVNVTHHYNFTITPFLFSAVALNTPVFSPESQIFEMVLSGQHNRFGLRLPPTH SKWYVFTRTADKWDQGCFVNCEGDMSRSPYAEWCLPKLNEENPFAYNLCNYTIVNTSP DISESQIEDAIAVVTNPISYLSYVDTMSLVNALFSLPTTFELVWGKYFELIYETAVNS HTVSFNQDYPFAQPTTLAVINRILSKYYYGERLDIKKSLPFNSIQRIYTLVECFLVNG LDNLVLNSTDLIGVTNPLPELSPENLKVLLSVYQGVASRKSYTHGIHDQYSIITEKTG NVLFSSTFNQVDMSKGLKVGGISFPEISMKDIQPKNILFHDGVYYKINEKLYENNLAI EGFKSCSKSFNTITVIRTGGYLSNYLLMKNLKNIEEYPLAFSSFVLCTLEYEIWNLES NVELSFFVEYKEELDYSEVKCTAMKQDKSELSDELCTTERVKHFTNQTIEFKCSCSAI TYYGLKGKPMPYITYLSEKSLTPLFSKSRPNILQPYMIISNPKLSSYCNHFLPINIRL KNIPEFVPDESLSLNFKCAIPESAYSSLDFCTEFEKELQYMDFSFSRLGQDINITYYA KPDIIQRTTLPTSLSFWRPLDQQDELPQINGIVGVTVLLNITLELSNLDLGNDLPDLF GYAIFDIATSLETSTTQQASEMGELSRTYIPNKLINFPGALTKSKIPISSIIQQIIPY SIPYNSTNNCKTFLKSYFSNNYLKSIELEMGESLGIIKDNYFNPELLFSIGSCRISIS DEEYIKGGALDCIKSTSKINLDINILEQPISMINITKISSLGSFTSSKDKLILHNETI QPNINAYFPSIVSKNYPRITIETGTSKTIDQIVSQAGYCDSIKTGCSSCRASRSYQAD FQFQDQEIRYYCSATSDKNQVESISEGLMAACRTDGSYWGQACSFQQSNTTLSGNTTY TELGLIPQEYLTYMVLAVGIKSKTIDAVECQNFSLSLVNKELPLQIIPLKIPKVISKA SKTLMLTQVKFIGGNGWNNLKDLKFFSFAYFENTGYFRLKTTPIMYNLTDQIIKKEPI AVSIQFNITGIEVNPRDRRLTVILLVSKENSNKTINENELDLIVRRNNIDNLDSSGEY VSYVWKDFSFEHDFSLIKIKDKIGNRGVKQITPINIMISNLSGMSSWKYSLLATTNDE SSENEQNNKFKSNSILLSFLSSEIPQYLRLPTNHESWKVHLIIHNQDNELLCGIDCSD INNNDIDKHLRNMFCLEDSGSKCRFLIFEKSKEINMEQAVQLEDEFKKDYSDLLEYFL NNRTIGNLNDRLMIASVLSTKLDFLSLEQLKNFEQGLIEINPDSRDTTGGNSVMILYI FWRLLEFNYGKLDRLSLPNSPFESEKLFRIALKAECGYNQGFKNLIIDILDFLVELRV LVDPEIDANLFGAFSVNQWAMNNDFGSNVEIKGKKSKLTIQSSIVSELDLYTGINLGN ELFIPALDLSNGKLDNIDILLVRNIYFNLHQSNIDFQGSWGRNLFVKCQDPRYGFTII KPPLEDYLIELTNKIQLDTSYNGVFQKLYDVFISRCGYEYRLYGISNKINFIVSPEYS PNFVLGKYLSYKCASKGEDDSWSQNGCETEFKQGKIICSCDSIGEYGLVTTYQSSLTE VVVKLSHNPGSIQEVNINGIIYKVNNGKFYYKDQVFDIISNEMIIIYPDKVTIGKHQF LLKNYSKYDVVNKLTLRQEGALGTISLRSKEYNVEIEGSDIIHDGFKQGNYSQNSTLI II cubi_00641 MKHFFSINRILPNISIELKFGLNNIFDLIQDQHTQYRILNAIYI LCIDLKNDIILESGSVINDLIKLIGRLLELEKYEENNNLDLNIIAVETFLDVFFLLKF PKNCQLINIINSKISELKLKNFWVLGQLINELYQNSRESNYKLHESILIENRHIENRT FEQKDRNIESDTLNVKFDEHFEDFQDISQIFEYKNSIKIGVPTYLDFEYEPYNSKRIN FFYLDEDEMDVVYRNVKFSFSLTNQILENLFNTLKNFQNKYQYNPVSILIYRLIIYRY TEFGNNTINKLNQLIENSKSEALVTSLFECSLLIKDEELYNIFDFYIQLENSHDFLDV VITINNLIRLHLENYSSSLNKFSSWIKTKKNDRILKLDRKLINANFPNTFEMVKYYLD HFKTELCLISKKFQILASTLKENNHEYCYNHIELALNEIKTLIFSTPIFNRNDLLEII RNLFSINLDEYINLYFYMYSCDSANGEPARKINNYLDTKHLINSTLHNAKYFQKNYLS KSESCDLRFRSIKLLREIQNYDFLKELLFVLQTISKRQQLIFWWYINSNEIPFISEFV SKLFAERRRIGTLQCYLSCMEIEFTNLLNNNLQAHNNGK cubi_00642 MFLGVFTLLCSGFSFSSLFAVPGVCSRSFEGLIKQSNGNISLIL ASAVLLIGIYLFFSGVGLINLSGCLIRLGMPSDYPNAVARAFMYSQFRTDSNCLKTEL RDANVFFGINSGFGSKNVNKNTDLNYVIDSHYNTHILTLDGEHKKRFKMAMRTTKART VLAIVSIMVIICASYASFVIFEGSQIFLESNSIILSEKVSGLLGSKSEFGNILLLLLI TAISTVILNFFTGGAFVLCIFSWLNFFGLLFFCIISIYLINSPYKSTLSFSEFESKNI QFDWDVHIWGFFGGILEIGTFVISAMAFHIILPGAFKTFSDYEVKSSRIWGITISLIL FIFLCETSVILSIVPSFPILPYGFEPSSEGIGMAWRILESKMNSNKFFVSNFSKRIFL LGNFFTWTLFVSIVVKCIDNIIRSISNQVECDFDSFSPNKNSLIRRYFLRSAKQQICG EEAHSKGNKREYLSNDQLEANKTFFQCFVKDLKTFTSRNNLLDLARYILNSTSAIGII FILYYKLLSEPQLIPIFRSVSASIFSIVVLILPCAIFWFVFYSEMVPRSTSNFQVMNL IFFGRISSRYDRNCEKHRDRLALNNENCDISCNPLTFGFFSITLPVTLGCTILVYEIS KIFSMFLSLRFNTLL cubi_00643 MYGSRNKCTKKEVKLSITPETNLGGKKTSEEIQTENIGELQTDW STKCCCTSRLKFIDTDFQEDVANEDEGSSGTQIIDEISNAISSYRRDSVLNTISEFEK KLLTIGMAEEFNPEDSDSERSEEGELYVRRHSELTSKPLILNAVENRRATAPDNIQKR IIDQLNIQINEDNTEELRLNPKPISE cubi_00644 MNVRKLLFILILTCMEYFNVFCLDEYSELIKEPVLNPLSENNKS LKEGLKSRKIYPLVSLEIKTQRLKLEGEIIPSKIVSRRILVAKGVVESRILANDVLYF NTNLKANQKVKVKQLVGVVTYEKANKEEELYSSCDGITKEILPQGFYGFEAIFFVIYC DLKKSIMSTYFGYSIVPSTEKDAIFGRFENIPVKKEINATRNRFNNCNAKFASNEKYK KSAFKQNISCKSNENLQYQDKQSEHYIDQSQLSSICVNSKDSVPSNNQENPNTAIKRV EFLYKKCIPNKNKIVDSSLTMKLKSYRKSLEALKQSVANITDFSRAENVRMEDKQLDF DEQKTIVSKMVTLSFKYWIWILLTLTILILMLLVLSRIFRYSSMQSI cubi_00645 MVSKNSLTKGKGLESTSKDEGILFHEKRSLFKIRDNGSAVPRLE LFYGNLNIPLRYISLLLLALKAVCVVLCTRLSFRFPAKDGHNYIPSVAVVCSEFIKLT VSLIMIFFTTGKKDIKAFPKALYLEFTSDKFGNLVVLIPGVLFLFQNNLLYISLKRLP AALYQVMYQLKILTTTYFSVLILKRKLSLTRWFACFLLIFGVVMIPKKSKHSSVEPNS GLSEFIIGLFAAFTSSFTSGLGAVVLEKVLKDTDERIRVGNGGFQTTVWGRNVILALV GIIGGVPLAYFSSKELIREHGIFQGFSPFVLLVICLNAGTGFVVVAVLKYADGILKCF CNALSIVLITLISWLFLGDTKMTPRFAFAATVVVCAVTIYSLDKAIPQKFFNVSELFR SKDLSGNPNTLGSIEKGEKSENVAKDLEDSPLINANSKI cubi_00646 MKVEQHIMPDEAIHLIENKVLREMKMFEIYYANDLYYLALFKGK YHLQMRYLTLVLMAIQCICVVLCMKLSVTYPASDGKQYLTPVAVVMGEILKLFTSLFM IFQISGGNSIKEFICALKCEFLYDIKGNLLIIIPGILFLFQNNLTYIALEHLPASVYQ VTAQLKVLTTAIFSVVLLKRRLGSTRWFACFLLFVGVLLVQKSSNVRNKGNIDSFRFM IGFLASVTCSITSGLGSVIIEKVVKGSENIKSSSSATDIENTAKLDNLIEKVPNSEFK YKSTVWGRNVILSLIGIFGGSPIAWISCKEKILRDGIFQGFSWLTILVIFLNAYGGFI VIGVLKYSDSIMKCFFNALTIVLITILSWAFLGDSTPSIKFFIASAIVIVAVNIYTLN KVLPDSSCTELLRIFGFKKRNADFC cubi_00647 MGSIQIFGLKKSFYGESLKQIETFFLIIYPFTFHISVVSITFYN SYTETFDSPSNWHESVKIYARSLLTIIFCIVFLKSLKIVHGSFEQAFLIPSDLSECKY VSICFPGSCRFSPNNFWYKLFGKYYSCILEKFPITQLCHITERSEPIDFFGTKVNRYF EHYREKYWWYKDEFVWSREILSRKATENFTGENSEFLKLSGQVIESFGNHEKDKILEL VGSNSLGSSPISLLKLIFSEFASLINIIRIMTLLDAIFYSFIVWPFCWSIITFYTIFW SILKYRRNYIETEKLLTRHDWDIFRYLTGPYKPYSYSGACSSFVFSRELSPGTVIFID KAMRIPADLLLLNGNVIVDESCLTGEATPQCKTGEIKLVPSEFSSDCERLSNSKHLFA GSQVLEILSTGITLAMVTKTGSATLGGAFILSNSNTHPVYGLEEGCSLSLTSKSRNGS VTKPISMLGRNHNLSKNICRNWNFFPEPLWILCLLYGAFIALADSYILSFEIGSIFFI VSTIIYVIPFWSTSSMSLYFNNAMEYLNRKHIFTTNPKKLNHLRLVDTICFDKTGTLT LPTFSINNIYIYPFRNNTREYLMQLAMASCNNLVFEKAVPFHLVGRNSNYPSGSSLEK CLYNYSGFCGYKVFTSNSERLFIIPKFNIKTFLDSVIELESNCILEHEKNFDFHQLNY AYSRNSLEYISAVCDAIEITKRYPFDETLRCQSVSVKKYSIESEIFPNLYCIHSKSMV LMKGAVEKIVELTKNAEGCNDETNFGDWSNDILKSQVAGSYILGYCYKVVNEQVSSKN KTSFNCHLSSSFIPLGLAQIHSPIRPEAEFIIKLLRNGNFHCPIITGDNVNSAIVVAK ELGIISNHHVSCYVDSDQNLVWEIANSKREIKFSLNKKKKISLFDIVPTEIIQDKFQI ALSSNAFKLFIEILNLQTIDNCKPKTSDEFNNLNIFSKIINNTLIFARFTPELKSKAI ELLESLGMTVLMVGDGPNDVIALQKANSGLLLTDSIKLNGLIAPFISKIFPDGLHSVC RLIIESRGVIFTLVSMYQHIILLGIFFVTCKTFLLWQSQAMIPAMAWLFIDIFCTLIP LLLISFSRPKEYQIDNLNTTGNYLSSDIFTDNSLPDTSNSTCINLETKSLNVNCINTN SDKYIPLIENESSFVPNNKQIYNITSYIGNHVFYTTSFSSLIISLFGFIVVSNRLVHF VLPKYGIEHCFKYNLTIPVHLWHIRQDNIEAASSWCYIALQLVNQVWLIWLRSASLVP MKTNILLVLWNITMNLFIFSCIWMEPSQIGCILRINCDDQTSRSLPNSWINLSSPFYG QYNNNIFPKSWRTELTFWCFFFFILNLVVTLVLKNVIFKFGGYKQVEVSNPSKTTRTA SPSQRKC cubi_00648 MIESYSREYNEVLSQLRELQDSEAFLEPVNWKKLGLDDYPDIVK NPMDLKTVGKKVKANFYSKAEQFWADIDLIWHNCQLYNHESSDVYQQSIRMQEAANNL KDMLFPSIIKKNQKRKYESDSHTESEDEDTMNIFKKTLLCQRISRLSPELLALVVRHI YSQDQQIIHHSGEHRFLIDIDLMSEKLFSTTSALTKRLLRLQLS cubi_00649 MVAVVSSDSHKERQSNRPRFITPVSAAEKSIPVVPAPDEKAYKE TTEAIRQVLNEWNIKIRGLTKMINDRSVGREAFDRKKSEFQAKLDEYQKCIENLEEER KVCMAKINEKSKEGREMKANLQNLKKSVGYKSEEEIEARIKEIEYQLVTSTLSLKDEK KLLSQISQLKQSRPIVGRFAHMDASASSFEENSIIPLRAKIGSIIEDLNKFRKQKKEV FDKLRALTVDRQKALEPLRSLYDERSAMQSKVEEQHTKLRQLREEYDREMRLFMEYQS KLRALRSERVKEEKTLRDLYRQRDDLKLALEQEDDPPISTEMQLVQQSLSYIQKLMES HGITDKTSESTKEKANLNDESKGFSASAIKGCDKDESVLLPKNQRNEEYLIPPKGKKN KRKQNSSSNSSSSTHKPLVVDFTIISTFEKVDLDVPMTTDDLPKAYSLLMEKHLKLKS ESDEKFANREKRKEEILKKLGDIELKITDAGGVLEDTPSSSPKIEESKE cubi_00650 MGYPNKKKVKTDNYRGNASRSNLECLRKKVTPLHFETYNNQLEF KSKLVKSNLGLLSNKILKHAISRGLRPPQWCKIEKKEEVVNNVNPINFETPIPVFPTI SIKDEENGKIPYSYRNKYEFTIGYTSGEILDTDSDVSVGFVSYIDRFEPIIISVLNNS NDVNNSNKNQDTETIEIINPCIIPIVKKMEKIVKVSSIKNNFKVYSRRNRNGIWRLLL IRLSETNKEIMVTVQTTDLERIGIKNELINLLLEEFIEKNTEMNLQGYDIKSLYLHQS NSIVDTFDIGKLDLLYGNPQISFKIRNTELFIGPLSFFQTNTRGCETLYKEIRRIIEI EILDNLKKNGKFETELVILDVCCGVGAIGLTLLDILRDLEKNFKKVELIGIDCSAEAI ESAKKNATIAGFENAKYYVGTAESILPNLLKNLPINKLVIAIVDPPRSGLHSSVTRSL RQIKKIDSLIYVSCNVESLVKNCLDLCSVYDPHTDQKEYIPVFVPKFAIPVDMFPYTK HVETILYLKRNDETIGLPAVSRENLREKISSNPLLAR cubi_00651 MSNILTCKALKIICGEDVRYFELAEVVYLTRMKKLYICLGKHSM YFLKRNLRKTISGGKLRYSQIEGIYEDTSKDTRFLILLGNEKAERWVDDKLVISCLNR YMFCNYLEMAWKADHAYRTGKYYDFPRFGMNFTEIIKGVNISKGKNSATNKIKSIAVW GVNSKEKSNKERYKTGKYAGILDEETLLAIQKQLTSVEESYMSTIFPLVKVEEFLDYK KYIFDGYFFFAKQGFENKATQSYSSQTGSYVSYNGVEININVHPQIFLNQKKANTNNT QTRESKGAETKGYSNIGSSLYNVAQEYVRLLSNMSTDKTYSVYLNRQYNKKMNLSDDI STWSSWEIFIKTTRMSIACIIMRRCYIPPTIDSYQDISVTYTCSYKDMKYFNLSDRDL LRECRLSADSISPISQHHTLYTEFIQSQLDTLLFDEFSYSWISTNIKLHPVFKIGARS FLKSILKLLDKANILADSDLIEEIDLLEKSGSSRYDTENETKVEVFDDPMACIHEMLS QISGIDKFSKSTIERQKLHFFELRLARYLSYCIDGGLLGAKFIIEDLVSSVGMANRNI DNKLRQVLDYLLHVRSKDMSVDYCQLKLVNLLQDPRFVRDYCFVPSVMARFVNSGYCA RLIQAGKETLYIEFLMNLLTLPLKNNFGQRSQLRISILQQVLHATGDSKKRDYYIQMI PLLVEIFSGNDIDDDNTGPKYAGAALLNLCFSNDVLKSELVKAGVSAAIIKKLRKRDD LQLTKICLSLVVNISKDPSHRQALIAEGILPIIADILHEILEDFRPSNQDSISQKNIS QGQYNIHSMQNSDWDILPIILGAIGQLSNENDVRNIFISNWCVLDYILYLFHNLEVYV GSNNDIICKIIFCIKQLCNSNWIVQLRVGKHCIPTLIEIISTPINKKERKEASNMKFV QYYSYWVPFHTISNSKDSSIFLSGINGDVIFHSLLLLETLSYYHPNCLEMIACGIENA LDLCLESYCIDTIVIKLNYLKDVIKKVSLRI cubi_00652 MTITGKRNAETGQRRRSVLVSVDRVLDPTSEKKDASGKSGRRSA HSNKRINPNLVKNTQTDNNKGGLGGTEISSSQSSNKLGLSSLNSNNAQNRKKKPEKSN GGEGRCTSQSINAASSLPSPSVPCSPISASAVTSTPKSTSIPSSDCLGVSKVSSNRNI KGRTSIINENITSPMNIASNSLSEPSSQHNRSGFSQGIVHNNAIPESQQCGQIHVPLI APIPHQFWYNQLIPQDNIGHIVPPPPDYFPDSKTSSTIQKQDLLLSGGISSAYPQFNL SSIGKIGNSLMGATPSIFNGQIPYFQPYSLRSYEISTLNVINYLIPNKEFILKVSSVV NDLRNWLEQSKIPLLVFPYGSTSTGFADQFSDVDIALIPKQDLSDRVKYGDSPISGIF TKPPGLILQELLNLLQNNSLDVLDAISKNKSSKSCECVNSLKCNEDNIKMKNSTSLSQ SEKMQKLRNPFTCIQDITSAHIPIIRMLHTHTDIVLDISIALPSNNNQDKVEKDDQTS SSLHKILPIQKANSLPIIQSRLGILTWYARMDPRVVHVVVLTKVWTRFRGLRNTLNGF PGGYAWTICVIYFFQKIGILPVIDANEFFIKSHNASKALFKNILEDLNTESVQSKDKI NERNPAFSSIRDNYKEGGKDIYLQSGIEKTEGIPEESYVDGSFPSLVSDLDQEETVGY KDDIEEVSNGNYDTETASGSVGIAEQAISSDSSFDSEPDKGSIEKDSAGSKMLYLDVV KGSKFQYKTDLEGVGARHGARSSANNNRYSISEDSHFEGSLLNVRNLNLDKPLEEHET FGESSRSSIGSTACTYSPSTGILSSDNICNNCTDPRYSCVCSQPNTVNISNIEYSLEG ICCRNGMKQIETSINKDGSFSSTDIKDCCINSNREIIKKEKNEFNSNSVKNIFDIDEL FSNPPTLISNVKTFEESKFLKFSQSHTLFYRFLKFIETHLWTTVIDISSPEIVNTTIP GICCDIRNPFPGPPACRPIFDENNKKHLRNEIQRAIQIIQSPFGDFSSICGGYLNISN DYSRGRSGGSAGVGSSILGQGLGLPLSPSNVNTVKQKISSHQHFGAFEAPPLHIVGYN QGGACDQNNGNILFPSQVPVANLYSDSPQHFIFPSISVPLHIPPPPPPPPPKINKQSS SVLGVARRNSFESIKHAN cubi_00653 MQKIARFNPSKFFDLENTISVFKYGSTIRSTSAFNTRIHDFIVV IDEGLEKAVRWHQKMMMNYPWHYSKISLLGPKYISKFQRYSKLCPIFYNSCIMTNENK ASLI cubi_00654 MQTIRLSLLGLCKDTLFDKTMHKHDLNNLSNNLNIQENRVSLLE FFREIVNSSYKGDIRFLFNDSSKKLSERELYDSLPFLTARYLPLIIDYFGNNKSELEI EGTKLSTLNKLCNLARSINDKMASKLMENPMSGMNSEFCASEDWNSFFRLFFNEKIIL KINPSREWKAHEFFKLPNQFKSRASKIAAKKRFLRLLKINILSNKYINSICPCALPWS SNIIDETIRRYNFKCSLVGILNNTLSNLGKIVSKNYHSKIFDFLISNI cubi_00655 MQLLTDNNTDSMIQIEDLTENNKKEKNLKCFIKELIIENFKSYK GKHIIGPFSKGLTCIVGPNGSGKSNLMDALSFALGLSSNDMRSTNLKDLIYRPEQEGG PVDISQSVNAVFLPQKGSKQNFSNNAEVSLIFISYFDNQEIKFSRKILSSGASRYIID KDVVSQEAYVKRLADYNILVKARNFLVFQGDVEDVAQRAPKELTKLFEQISGSDEFIE EYDRLSNEQSLNQIVSHNSFNRRKLLEAEKRELQKQIEEVNEYEKLTEQMANTKLELT LLQLYCNEVMGGKYFEERQNIDNEITLMNQNIESAKKKIKEDESKLANDNLKWNNQCS DLEILEQDESSKKNEQIKYESSIKHNKLELKKLLKQKETIQIYEKKNEKLIEEYKTKM ELINNELRSLELPESSEFMDVISSDLQEYIECKKKADMESSELREQLLQVEREMANKL GLIEILEKENEEIQTQLTTNKGMLDSISIKVNEQKERLNHSKENEAELNSQIFELETN FNGLTDKIAEFTEQRDMYNERIKNIDAKKGEIQKEMESRKLIEDMKSFINTREITGME ENLVFGRLSDMCHSNNKQYNSVIDSALGKYGEYIVVSTWETAKNCIFWLKQQRKQPLN FLPLNSVKFNKGGNSSVKEANFRAICNSNKSIRSLAKDNLHTSDERIQPVLEFCLFGV IFTESLEDAKKIFYMEAPRLGVIPKVMTFDGEKILKNGNISADSSRSQASSKNFAREY TNLSSKIEALNEQIFKLEETESDGQRKLYRLKENLKRLKIDRNSTELKIDIYGKNKSE KSKAISLLEKKLNEREEEILKHRSEYELLHRKLENLRNEIHESDQKHFIKLSKKLNIE DISLLEQNSRKKHEEQLQKRKKLLSKLNILKEEYESIKKKNDSLKEKFKEKETNLLRI ENLVSEECKHLEKLNEEISKLESKQINIKNTIKSFLSLKKETQKELEAKKKSLLELQE LCATKELERSSKNEELELLNEELISLLKNIVFENIKIPLISGEYEDIRKYWEFFEISG KNKNEPHIEDLEPPIIQIDYSTLTEKQKCSSNSRKQIENEILRLKKNMSDISDKLESL NPNMKSKGKLKEIDAQLDALLEDQKDMRKKSMEIDKSYKLIRKKRTESFMKCFETVKE AVGDFYSRLTCNNSNVGGQAFLDLDDTNLEEPFACGVIFHAMPPSKRFRDIQQLSGGE KTMAALALLFAMQSYHPSPFFVLDEVDAALDPCNVQSIAKFLRKASFQSIVISLKDRL FSQADTLIGVYKNRELQTSSTMTLDLRKYSQSTVTSPNLQKENLTYNSAFLRSVEYDS HDNESATSASFLEKKSNLNIDISQNKSIC cubi_00656 MKVHGNDLELITSILSENPLIHRPDIVFGKLSDGLDYCIFNSES QKNSFHLNLVLNVGSIHEEESEKGIANFVQQLILTELNRELLKIRTEHVTNITSSTDF HCTIFNIYNEIENSQLNDSELRATFFDALEIFLLTIYKFREKLSSSSSLFTEKIEEIK NKVFDAIEESNNSIANYIEKQIFSQFHRNTLLPKRWPIGEKSSIENITVSGLLKFIDR WYLPNNMCMFVVGDIPASNELLVTHLSSFVAGINISSLDERISGLKSINETSSFHNIF CVRDRIGHKTIHDKLNIMDELRDSDFRREVIVQHPNIDQISISIGLKLDICPLIDEGE IFMNAVDTIISNTIHTKLLNALSKLECEESTSISWDFYNSSRENCGWNTFSIVANEKD WKTAFRLGIQQILSICNTKMPIEEFEEIVLITISDYKKSAEEESSDDPKLVLDGLVDD WLCGSIPLSKKQEYQLFCKVVDRINPLIIQYRCRALFGHILNYFEKQYKFNEGLSFKG CIFVSKPLDNFSQNSDFDQENSINMSNNMQKNQETESNFIKSLLEEYKSCIDEKRESS VELVHDYSQDQAIFEKNGFEIERMSENFEFGILDALKASTYISIDKIFDSMRTNFSLF IGNLMNPNESIENLNGNYSIKELDSLAERIKLNPNNIQNHSNEQKNLDLPNDICDLGP cubi_00657 MVVKNDEICFFYPHISVVWEELNRVSNQLSLESSTEETNGNYEE IKINIMADLLMILSGPQSTLEESINSFMIETMDLFGDHGIFLASTILENLENLRLEVS ELLINNNNRIKFPKEEKISKEEYINLVDIMFRTETVSTPYSLTKGKVKLEYDETLNNG QNDTILFKSSNNNSENQARLSLESPKIISTRTEIFEKVFISPQSISESVLKEIEPQLI LTERLNKKLRLAFRGIKRFNFVQSKVFSSIYLSNRNVLVAAPTGSGKTNIALLAILKS ISDFVGINALDSGEISDNYQAPDPNKFKIVFIAPMKSLVSEITRKYSVALQELGIKVV EITSDVTVLKEAIDRNHIIVTVPEKFDIMTRNYTYDNQSEQGNLLNSLQCVILDEIHM LGDERGPSVEAIVSRILFNVEVTQRPIRLVGLSATLPNWEDFATFLNVNKNDAFFFSQ ALRPTPLEKTIIGVNEKRVEVEKKKAIQKKNYKPSDQDSSKVKEKKREERQENKVSKQ NNTEEEISSISDLYNSIAFKIVLDCLEKNEQVLVFVHSRNETLSTALYFKRMLNLYSR KNCFIRGNFCSNNIGQNISNGPIKNLTIKKDQRNTSSINESKKIDNKEDLNKNYFLKT LRDCENPSIKDLFNYGLGIHHAGLISNQRKLSEALFSHGLIRVLITTATLAWGVNLPA RHVIIKGTNVYDSKKGSFRDLGILDILQIFGRAGRPQFDSLGSAYMITSSEKLQSYVN KLTFQAPIESQLSNESNLCNLLNSEIARGSILSAKDASRWLKYTFLVTRAKKSPILYG LKTEELLEDPSLTQFCYNHISKCLDLLYQSKLIRYNVINDEVSSTHYGRLTSRYYIDF NTSNIFRKLILENEQNSDENTCLSDFDILEIVGEAKEFSSMNTREEEIEELESLVLDR QVAGIVKKSVDVTKVSSKVALLLIAYSLRTNITTPTLIMDSIYVSQNGTRILRFIFEL IQLSTYGVSERAQRVLEWSKMLEMRIFYTQSILRHFVYFSSLYKTLNPNEVFVSENSN RNPKFKGPLKIGSVKKLEDYASWEMIKDLMISELKDIVYSDAEKVSEYIKYVPNIDFK EAFVSPVTQRIVKLGIKLNPNWKWNQRWHGIREKFHLWVTNPNDGAILHTLQVQVTQK NINNTLSISELIPIPDYDPPFFLNIKIISDKWVSLDFETEINLRPALESFNQYFHIQK EFSQTNSNRSNSISLYSISDITELLNVSPIPIYSLRCPEIINYYNNKNIFFLNPIQSQ LFHILFHSDENVFLGAPTGSGKTMIAEIAIFRALIADLENQISISKLKTEPKKKSKVV YIAPLKSLANERFNDWKKLFSNVLGLKVVLITGSSRTSIIELERASIIISTPEKWESL TRRWWAKSRSFVLDVRLIIFDEIHLIGQDPRGSVVENLVCKTKFISKFILMCGINKKI RTISLSTSLSNAKELSSWLEVGALGYFNFPPAIRPVPCTVYISGFQEKNYCPRMATMN RPIYNKILAHSPKKPVIIFVASRRQTRITAMSLSHMCYCDGQPSRFINTDSKGILGLD LASSIFLVKDRSLKQTLESGIGIHHAGLSESDRNLVERLFLNGIIQIVVATSTLAWGV NFPAHFAIIKGTEYFDAKLGQYIDYPITDVLQMIGRAGRPQYDSHSVASIMTLESKKS FFKRFLYDSLPLESCFGVTSLIEIFNAEVSSLSIKSISDAICFLSNSFFLKRVIINPA YYDPNVFQIEIGQTEGQTSLLGIPRVRIIVYILEKLINDVLRALIEFECIQISFGKKD NSGVNLKFSQTLNSSFNNNLKDIREFDEPDPGIGKSKSGNSMYLENQNFTWSKLFPNI NEVVEIFSSKGPPISLYPTLIGQISSFFYVKCATICKLNRFLHCKVLKNRSVSWVEIL SLVSQAQEFEIHPVRHNEDKICTKMLKYLPFGKLPLEPMSSPHQKVFILLQANIFSIP VEVVDFINDINSILDQVPRILHAFIQLNKLGNYLLSSAFNSTLLLLECIRQKCHPFAS PLYQIPLMRKSVKFDVLESKFKAKSLYDIAFRTLVSKEIDMKKELSEVNINAEQILNF LYEIPLFQLKSKIIDRQTHHSTLLVEICIRDYGKVFPPDWFNLSWVYIENKTKKLVFI ERLARSRFKFMEDNKFTLHYNFNVLLNHKDSSVNRDYKVCIASEKYIGIQVSYLLE cubi_00658 MIVVGVIGVDKVVTEHIFMFFMKNFGFKGIKLVNCEKKCNLDEK TVDKLESTTQFENDLLTSANNNNLVFKEVCFCGSETGESQEEWIKFRATKAFMIATSN LNSNYVISNLTKESELDIFSETLFVSLAVDMPLIYKFPMLLENQNIESNIKNEKTIDI LNNNPEKLKELVSLLVQDLDEKKNGISKLLRRSDYYISYFKDFSDLETKLFNLGLSKI DSRPNWDEYFMKIAKIASQRSNCITRKVGSVIVKNKKIISTGYNGTPKNMKNCFEGGC TRCIDPNRVEGKSLEACSCMHAEANAMFFAGIEKCIGATIYVTLMPCLSCTKSIIQCE FERVVFIKDYAIPGNISTIKLLRNSNIKVDRFLEKQSFL cubi_00659 MPPKNQSKQEKGQQRALEKQKQKIIEDKTFGLKNKNKSKSVQKY IKSVTSQINNSKGGQVNQELKAAQAKEEKKKQAQQQALLAALFKGTENIKKVSAEDTR KVDPSTIKAEQKIDLYIDQRDQKQNSGLKKTPLTQEPTSFSTDIICKHFLSAVEKKQY GWFWVCPEGGDNCKYRHCLPAGYVIKEQESDDGGDIEGEEETLEERIERQRLELPGPG TPVTFETFMEWKKRKEKEEEEKTKADAAKQGKQMSGRDLFVYDPSLFVDDEDAAGGDT YEIDEDAFLSDDELLEPSTYNESSKGEEENEGVSTENDQKDKNSSEVKINHSIFQNEI DLPEE cubi_00660 MSKKRKYFAIANSKDSKSKIPDLRVLNKGILMSVTVNNKSLTAY REISRILLEDSECDKQETPNCSYTDLTVEESIELESKKLRKDGSRFKLIENISRCLVL IQFNSPNDIPSVMVENLMKKAYDHKTIGKNKNDTECLLSSRYVSRLIPLDVICSVKLD EIRKNIKILILSNFNYAYCSGSNYEQEIIPLSWACYYNSRYNSSDIKKQEIYDLASEL IWGPEDNPKYQEYKKLYPVNLENPNKSILIEITRSFCGISIVKSYHKYCKFNLNKISD S cubi_00661 MFIIMNAEKKASILRHSLEFLIQNESELSNQEKKILQSLNMKIQ SAIILNMEIFRSERKKELNKLHKSLNEEYIENFALKIAKNDSKAEYTHDIRFDSTELW KIQKYPQKFENESPSRIKTKNRKREGLISSMNKIIQFDKEKYEQQMNELKANPEKLEF FRTSHVPSTHDKDLLINQYLKNQRRTYMSEPLDIKSDIYHINEENKKYNEKLDRAYHE YTTEIKQNLERGTAL cubi_00662 MNEKDILFAVPTVRDSKTNKSTTKENYVLRLRACLSCRIILSDQ QFYEGGCPNCTRLAMEFDRQKVNSCTSMSFKGMISMLKPNESWVARYNKLLPNIVPGC YAVSVSGDMVSDDEGNYNGEY cubi_00663 MDIGIEDEIELPRSENSEQSKLLEQKISRLEKLVKSRDDALNEL YEVEKNKNDEITSLKERIKQDGFETSKLQNIIKTLNDEIFTLNEKISDLQQQNINNLK QQQIIEASSPRIVEDLLTDKESLYKEIADLRLQISQLESNLQDKEEDYATLRTKFSEF EIKKENEIQLLLSESKDTKNLFSEKEQAQKNTYNSRINSLESEIELLKSDCLELLKLI ALLQIKTTKIEIVNSESIKEASDLIVSSLSLSDKTKDLIKDEQNYNVNFSEKIISFSQ VLIDRVVNFSNSSINSKEVNLEINNQTINFMQNWVINHFSGIIINRPPLCSVSHTESL YKEKEYILNCIEEEYFVVEEFLNKILIDSEDSIKESSLNIFKILLDILFLDINNSYNQ PSKVGFQNKLNTIDRESKEYLKTELLFKLIIKSVAKNDSEPLITNFNEIMSEVDSDLP NPKKIELEEPNVCLKIFSIKKFIHSLLKLSFGCGWNLLHVLSYLEQIETLQLLLEQFS FEEQINIVNRKSSSGFIPISLSILKDNLLITELFLTCGSDVQTQDNRRNTLIHFAVNP DIQEILIKRRILLNVKNSSGQLANVIQSNSKNSKFHLDEFDQNESSDTEYEKPQLQTS NARSVNNYEYDFPEPSKRLSSFCCQTFDSQETENKVMLSAWISGNEPVSSCRDDFCIF STPESENQDSDMVDHSVWNMLGFSSSRNISSMYKSKNGDHAAMGQNLDRLEEMGLTSS ERKKRIWSDIVINYTARGLEKSCFPPPLDCPTNLFRQILVLTSERFALFQYSPLKLLQ AAPIIDFEEIIIPKNSNVLLLLKVDGWDDILLEVNRRSEFLDELTTLYRTLTTPSEIL LSQATETSTNNSENKPEKSSSFWLGFMGNKNLSSSNSDPKQDVLSPERASAFQNLISV YGENPPIVAEPDNLIGLFNSENQYSLVLAIINKSSFMLLPHRESSLLVSIPTYHFGFL GICINPPLALENPLSQKKKELSDSILSSENDSSENRLWQERFFILRSDGALIWCHHPN DNVYCETIPIRFVRQIRVFNLATSKENEFVPCFALDFTKNSVPSSLILHSDSSEMRDK WVEKIHNVRTVLSESSES cubi_00664 MSNISFLFFSFILIGVILNSVFTCSKRELVASETPSKKSSDGID NISASKAYFFVDPIYFWSLNSTTQVETKAPPSESEFLVINAPSIGIEELVFIRNMKEN GEIYYSHKSNSFLTYTLECTNIGKTEGWVLRQPASDIAYGFAINDQNGNSNDCKRTEM PYKLKKWYNSLNQQIKDMFVTQVWIS cubi_00665 MSAIFRFSPTSSIAIGTISKISELSKEGIYSANLPQVNDETHIN TKINEKNEIEKREKKDKSPNSAIIKLLEDKKNDLKKNIETLSIQLRRHIHQVEYIDSR ICELKGEKAELYSSRLTPNLRLDSELYQTSFSRLSTTINTGINTPNSELANSNEVGVQ SAFFSYKMEKDWIIVPEFKEIVSRLVNFPHLKN cubi_00666 MDSAPKLAKVEKILGRTGSRGGVIQVRVQFMSGETELAGRSLIR NVRGPVREGDILALLETEREARRLR cubi_00667 MKENVNEDDNIFFNGTWVPVMKISCKYNNGIYSESSIVRGVITV NGQVVACGTDRGEIYVHSLETGKRILSLEKVGIKRGELCRGINDIKSDVSGNLLAACF SPGIIRIYDLRIRTEKSGSLLGSTVFELENTHKGACTSISVPNNWLYQPIYTGGYDGY IRSWDFRKKGCISQVLSHEAPVISLEKSNDERILSSTSFDNKIRIWRSSNLKLLKTLS GPQGSSYSLHSIFSFNDEYLLCTGNSSSCIWRFGQERINKTNISWANSDKIQKDYLNG CTSDTHENNLLPMFTGFSIIWRDQVFVPRANPTVTAIGDASVYCLHTAKYLYSLESVS PPSSIITSISKHPSLNNNLIVTTSSLPESSIVLWKFSYDGNSEYE cubi_00668 MSDQIDKIYRHKEIPLNVCHFTTLPSTQTWAYDNIDVLVSSEKL SPKVWTVITADNMTSGIGSYDHKTLKSRVWCTSHGKNLNATYITLRRRNFSEESDKHI LNLSLYTLGIALTVCKILGEFGIKEPKIKWVNDIYVNGKKLGGILSKQLEKRYVFEHA EYEPVVFGIGLNVLHDECDLPKNVETPATSVKLESALDSSFIQVNNILERLHLEVIKS VTNINSSVYVGFQDTILTEINKRLLYKGNVVRILDYEEEGNEMEMGIFQGVDKNGFAI IKSSKVNGCELKLSHGRIKFDG cubi_00669 MKIVKFFALFLAFICYQKEQLNVQAANAIKKLAKKGGKSQNSEA ETESSGVTLPKNERCKFIGNHIAEGKNTSKYLHKFMKCLLENKNIQSRSIHEKYTKIS EKCARYGHKASELTKISSLDCIFCYKEIVKSMEEKFSDIKKMLKPGEDESIMYIYTIV AYLEKYLRAKLSVITRYVTITDWFMAYSSSRDFCGTLVIVYGYWNRVVRDVFWTYLIV VSDNYLSRFPVEFQCPYSRIDISGVNINEDKDLTAIMENPLSFV cubi_00670 MSSYKFNNLLGVPYNGGDILFFSESSNLISNVDNRICVHDIKFN RTHVLGCEARSNISKMCLSPDESILICVDEDNYGSIINFQKGLILNRMQFPGSVGFIS YSHNGHYVAAAVDSGIYIWYAPCISKGWQLILKRKHIIHNSKVNSLDWSKCDSFLLTA SNDMTVRLISIEKMCNFDTVAFVAHKNPVVGAYFTKSMQSIFSVSSEGVIIFWRPSSK KESQGKKVVTPKKILIRQIKKPKINDYSTKDMDSDLEPELCDEIRSKYWIEALRAYVN QSKGAIITGCTFNYEKNLVAISTSNGVFTLYGISVGLDADSKDPKSILIHSIHTFSLS NSPLTSLKFGAGGEWLAVGAAKMGQLIIWEWQSESYILKQQGHSYGIQCSSFSPAGLT QGKWSGKTSHDNYLGIGSRTIVATGGVDGKVKLWDINSGYNFATFSDHIAPVSKIIFN PQGNAVLSASLDGSIRAYDIMRYRNFRTLTVDEDTGVQFTCIAIDRAGDLVVAGTQGE GCKIYVWSFQTGKLVDKLSGHTSNIVDIAFCPSLSSPGILASASWDGTVRIWDLYARI GKGATGETLLHSSSVLSIAFDPRGNNMLATSSLSGSITFWDINKGTVEGSIDGLRDIH SGRSSSDAFSANNSRNGGGKLGANTSQNINRNQHFSSICYSSNGRFLLASSRNSARVC LYDTLTFTLVSNVQLTNSRFFSGIRMELNSNSNASKKKRKILDSENPDEIWNPSNKLS EKEKIISEHNSLPGALVGEFSNPSRQTQFTVYEVAFSQNSSHWIASTNHGAFLFAIDT LGNSYSGSSNHLNMLDTFKKQIMTKEVNLRNINNFIKEGDFLRGLILALAMNNFNILA RAYIQIPVNSIDYIVQNIVSFLLPNVLNFLRVVTNPNNKHFFRLERHLIWLESIIRVH GNTFLNVNESLSDSLQNSKNLIEDNVKNNDSEECEKLKQNKLALLSTNLSDCDTRSIF LAILMNISQIYSFSRQIYDSNCSILHYISLRLISKKL cubi_00671 MMMYSGHISSKLENDKLSYSCYQGKEKLGYNESWFNKIKNRSEA GVSYQSQLEILFWIAFCLVLMVGYKYLSDGSFSAILTLSSAFQCFAFLLLASKVNTQC SLSGISLRSQILYSIALISKLSSTLFFNGYLPVDRSGDWVYQVADVVSLAISLLLIYW GNTKLKYQYKFDKDSVNIIIPIVFSAILAAIIHPDLNSYFPADFAWTFSLYLETTAML PQLVMMTKIGGEVETLTSHYLASLATSKILSFVFWLFSYRELAPEHGKNIPGWTVMAS FAIQIILFTDFLYAYIKSVRLGKALIIPTSIV cubi_00672 MEDYDSQSTVEDVSEWAEHIEDVIEELQRNYRIIQTEMSCLVEK LQVVNRPRNHISKRVVLSRRNHNFKTGNENSKNSRNCCNNCHGNSACKNNKGQLLESV AENILGIANEQYINNMVQKSVSTLEKMLVDKLDKNCVHHHLELQRNITDLKVQQSIME STVKDIALQIQEIALELRDMKISIENKAAENNMLALSQKHQKDDKNLDSTLNSNSLSS SDSSSCSCLCSSTEKSLSNGNCSCYERNRNEKLEVSKMLIYEILRDVFVEDEDLVGMG SNLEGLELENLCLIRQLFKDFVRIITQGRIEALEKGIGEIRNKNLKDEKNTEKRFDDI NILIKDELKNRSAENDMISKLEHRIQGVKNEVNNLQDNYMNFKTEELFNREQIEKLEK ELNNRSELIQSAIKELNNECFALRKKQEEESGFLFGEELSKTITDKISSIKDLVSYIS EDLFKNEEKLQRQIKRNSQTIEELKNGFIEFENYSDENFIEIVNSLKNFRMQTITILS SMYKVINENLSDGVNILSFQQILEENFHESSGELQGMAGISPNIFSTQGNLSPAKKQN TDIKQSNRAERKSNSINQKIPPSAYHIN cubi_00673 MWHPNITGHAEGLETISSTNPMAKVGPTEKKQKGKLRSGPTNSN NQRRAGTRFSNNPNMQAQPHVSTQSSPVPGVAVGSLSIPTSGSSSLGIAGLNSPSVNS MGVPGNILAAPPINQPSQGQTMSYRNTKKIPIDRSKFHPSISNLAGAIIGVSGSNQKW MEQESGAQVQILGTTGNDPEGLHILVRYNEPCELEIVEAIIEEIGRATFEGGGGFISH ILPNRKPVSPTLNGMQGNMVVSGTLQDHTSGIKKQMMGNNMVIGGVPPLPPNYSSPQQ PIYNTMSASIGMGFIPRGGIVAPVQIEWPKTPPASPVEFEWLLHLVIHSALSQLGPMV SHGVPMIHIPMLYDTFTSFRFEHDATSFFQSYIIQNGLLGLIQALPHIFIEGPHTIID SSNIPKLSPIFKVNLSSGVTPLLLPAQSVTVSFADFKLAAEAFWKMGALPPTFSGFGP QLPQNINGTGGSSGVTGTGPTGTISDNIYNTSSIVSANQHPRQHQSKDLPGSSTGENE PTINSTLGGNNKIKKDQGANGNLNANSNQHTKGSSLISDDDDTYGIDKSNSRNGMNNN GISSVALSSHSSTTTTSSATTSLSSFGKDNIPQTSLMIVLQGVHFLLRRWISKRLAPH YIPKSSLDFLPLDILESEFSQFYEVPLNIEILGWTNLLHFVEAFPDVWTVENVGPDEF TLIPLPYPDFSMIAKTRGITKLNHGKLYDSKPESKTFALSVQGSSLANIHKSGGSLDN IQTIVKQTQDFVTEAIASGYPANSLEINSINEIINGVTIGLYSLDNNTSSSLYELVTK VTNLLPKYSSNPVSNVSTNLTNKPIGGSISKSRFDNAYKGSSSMGSMNGLPKGIKSSV LQQQPHSLQLPPAYTSGHISAVNEKSILGGPGHHNSSAVAPVATSRFNSVNLKNEIRY DVGALQPISTHNPHNINQHAPHQTNAQVPNSNLSTGRSVNGGQSKRVFSNQCAGAGNN NSNVGAGKGPKQLTAGQEQRSYPLFDQQGNNPSSEFVNLQNTSSHIHSGQNTFGMQET DGNNIHNYYHHPIDGNSHFSSYNGGVSGISNGISGSCHYDYHSFGVQPANSTFIQPSQ NHTINNAHFSKSVGIPPNQTINNNGNNGNNILLSLSNSTGVVSNRSSWDRKNKSKNN cubi_00674 MSKGKFKSSINSSKAISLLGESRAGVATSLWGIFSEFNISPDDP DTLHSKEQLNISSLNSSFVPIFTNISKKDCLTRMKGLVALKEQLYHLIENPDPDMNWE PVLSNFTYIYIRVGVYDSDVKIRRLSNECLSLLHKIVGGKKLEKFCTLFFPALWLSFN DPKPEVSKSALDCLEGLVGKDNRAKIVRLIKFCSSSMFDLYGRLLSCNLKNIKSELNA NVSSLPEEEELFDRMISTSIASVRKFMETMQSESSCLISFQIALHLIFTSFPPEINQF IENSDGSFSWNTLKMGTLWTFISNNYSSIIRIDAIQLLTYSMSIILNQLKLNHPEALD RLSNYIPSASKTFVDALKCLGDTANSNVQAVSPKLISTFLKLFPEIWLQGPNKLFGSP IKYFTKTLLICLSNPNQISCHSLFSELPYITTNIPFKILLDEFLHFNNEIGLINEIIN KTDFYFKTFNELMVFLYESIQENSLPLLCLIPLGIILHLVKFSTDSEDDSTTISLGTP NSTLLNCSLESYYTILLHFLHKKFTTIDESQTQLKEFLINYYSKIIWSPIIFILTTNK TVSGSINSIAHSKAQFKINEQFSNMMINSLQYHSWSIEQCRERNLNNCISNLNDFLTG YWTRFYMDQSNKHQNLKFGPLLNQKSYRIVIFLELCSILNKQDSEFVDHVLLWLKDTS HETFVNINILDNKIEENEYEIITSRLKLINKAIIEVIFKPINSKDNEKTQQIINLFLQ IFTDFIQILSKRPLETNHKRIYAIYQILENIFTTFIFALFQKELKYKSILNEMVSIIV SNTNSLESITLESFLYKQLSDKETSLSQFFLEAVCKDFPNVNSDVLDDINKIFTSAHK YETQTDQIYNKNKTSNFTSEKSYNLDFKECYMNFFVALSKTSLLNISRSFSDFIMVLI ISQLNSSFKKKSVSGSNLKEVSQLGDLVTNLMNNASIISENFITELLSSLIYSDNDFW FENSKSRNALIPIIDSIFSKSHEIINSKFRAEVSKLERILLFDVFNIGDKITGRKNIS IYKLAVLVDRNSNKALEMTNSYSKESYENEILFKKLARIMECLNNSITNQSVCLQSDL FETGFRIRRFLRIFKEIADFSTSELNSNNFGDVKETKISYWLIIYTIKHLFISEESIK LLINSSELSLDKELILTLFNFSEFIHEAEEVSSLIINFSRYLVSKHIHQMPDFQQISN SAQFILGEYNEPESKLILNLGTDFFVHLFELMNDDNNMRNGYLSIMIDYLDSNILESN STSLFLALIKIKQQGVELPEIYFELSSRILDLFYTEMKELSDFKEKSYTKISRLIHCT KAIIIEEGVQNDKLEFGIYSTMKLIDVLTEELINLDISKFLNTKELFRFCDLMAVFIE LLQEYLTMYIQGREIQDNIEVEFQYNNLELSKLMIKLSSTIHYLVGKIFEISTSEINN DPKIAKRLDDYSMAIQYLVLNSLKFSCSIYEKLKLSSEDETILDELRFTSVTIQLETN ILSTFDKFLGLISTVLMSKKNYAVGIGLRIFIEQMVVLKNSENVGKMWILAVLKSKLG KIKENAMEISKLLTTNSLKLQSLVLMILKEHNWYDTQYEYPDLNKAVTSIEKLNNCIK EYLISKNKDGDFNEIDGQEQDQDEEDQYDFTKEPIHSGINHFECFIRPESKPLISESD SENFERGIEVIASHWRRLIGPSLAQQLLETYFSTINVNYFEQMESDSSTNISGHSSYL NSIYMDVSQRLGCWNFILPKLISDDFVICTDKENPNIKTIEKNLTPCIESLLELNPVV IGEAIMEKQINLRSQTNNDFLPSNLEDEDTSICQALQYFVKGRDYSEFILDEIVLKNT TFFGLMDLLDAREGLEITQTIRMSLIHVLVELAFQTLVILEDHIKYEEISHSIEKSSE KTINPRTNWLDLFKHSSNTERRRLLTANLEEITELNPNSNSGSIIWDSSNIFFTWLLA TRMILSLTTYFPRILREIWLCNSNNKTQAILQKLVINYFSPIIIPIEFNHIPLIVETM SNPDRKITFDHNISSRTIKINYSERGFTATLSFTFSKHHPLVIPKVNIPNVIGVSKKQ NSNWLISVIKAVRYKNVVHAILTWINNLSLYLEGIEDCLICYSIVHPQYRTLPRKRCN TCNNIFHSECIYKWFRTSNKTTCPLCISIMH cubi_00675 MADENTNSVNESVSEQYKIKGNESFKSGKYNEAIEYYTLAIKTS QASNEAQDKNLHIYYSNRALCHIRLENFGSAIEDAGESIKCYPSFSKAYYRRGIAYFN LLKYSLARKDFMMVLNLTENDRDAQSKIQICTKLIKQEKFMNAISTDRSKLVHETLDF SAPGFVVPDEYSGPHYISLADNSKNTQSGNENNFRSREFVIPKTLDSSLIRESFVTEL IDFLKNPENRLHRRYAYMIVYDLIQVLKEVAPKPLVRINIGKQEHITVCGDIHGQFFD LLNIFDINGLPSVNNGYLFNGDFVDRGSFSVEVILVLFTLKIMYPYHVHLARGNHETK NLNKLYGFEGEVLAKYDSGLYDLISEAFCFLPLAHVINDKVFVVHGGLCSEDNVKLND IEQLYTRCEPADSGFMSSLLWSDPQQKEGRSPSPRGVGCNFGPDVTLNFLKTNNLDYI IRSHEVKQEGYSVDHDGKCITVFSAPNYCDSMGNKGAFIKIHEYDLKPNFVQFYAVPH PPVPAMKYANSMLSYGM cubi_00676 MFFFVELWRNISVKPSQLGPRYNEYIDDILRSQRAPPYGYVVCV IKIILKQPGRVQDSTGLIIVPVKYQAIVYRPIKGEVVDGVVESVNELGVIVDCGPLKR VFVSQSALPENMVYKSGIDGQNSRVYIDTKTQTQIKQNTQVRLRLRGVNNETLSAVAE MSSDFLGPIDDSIQ cubi_00677 MVKALALELLEFLYNELMKRPEEGVYSGEVLEQHACSVLANLHA SKDPFGIYRQELVSQDDRTRRSNNPLSHVIRRLNEEIFAITTTFSYPILLTPKLYIIC ISLLSELSLGRLSPLFLETYDGHVDKFEKVFRPLMLELFIRKAPWWILAKNIKYLIEN ISSGVVAFMCDNKISAEFQELNTVLGLEVRNLELLNFLPLFFTSFESLKKGILEGRYS FNLNLVKEKNRINTKRTSNLVNLGAIIDSSKIVESLITSKKNEKATPAEKENRVLDTF ALTRVSIAAFFRFLSKFGIESGKKEFAKFELDFNYVINAFEVAAATLLSGTEMFNLSV KEGNSQELDVEYKPNQRSISQEIFELDGSKSNDIFTLVNKANKYLPFHFLHIQIVSGL RNLLINLNKLKGSNQVFGNFSGLNDLIIAYIMRMLNQIEKIIEVQNLKSIIEDQENSK FSNSKFNRYLELIPSETIFLIEEMTNYYEPCKSVFKKKLLDVHISQLKNSKKKVSSFF MITFTQVLKDGISNNLDYDLLTESWDRIFGEIVFPSLLDTYTIDLFISMISNTDILNS LSRINMESFLGKYLGVFTRILAYHPDLSAKYIITFIMNCIKNLKDQMPNFQWLSSWTK IVLSVICMPLMTFFPQKVNCDVNDFKVAIGSIKPNNKIFKYLSILVYPKIYAENRKKG KTDLMQIISDFSTNLLLVSIYNSNYTGNKRIHTTAVLNVCNKMLDLLINDLIDSLEDY KSCIENKCLNFDEPVISIEKSNIAKLISALIKVFPYLFHQGAKYSQEIYENIVKIITF IITKFNELLFHNEVFEVVLNLLNFDFHSNIISPICWIIGEVFISQINNNLLDEHEKKI LRLIDSLKLLIEGCSLKCTEVYNELNKRNSNLKAETESNNGVNDEANSECSNSSYSSF LSDSSVEIDHVVSEDELKESVDHFISTSNGFTGEEISTGYGGYFENNKYNSENMCKTV AEFLEEDDMKDVNKYLDNIYLVEAIISTLCKIGLSCKQSKSNITILLEMYLNKFQIGS SEKDNFENENLLCQEDQLIQYSRFIVSNKINLCIKSLNQSSVFSTALNKPTEPLSMLF CNRSLSSILF cubi_00678 MRHNNVIPNVHYHKNFKRWIRTWYNQPGRKQSRRIARQKAVAEA GFRPIGMLRPIVHPPTQRYNMKTRLGRGFTLEELSACGINKKAAMSIGIAVDHRRTDL SEETFQTNVDRLKKYINGIVLQPRKGKKTKKGFAGIPNDSAREEFKALKNVSHEKAFP VKTQPLAVKTHVITSEEKKFRAFSTLRKQLIEAKNFGKKSTSKAKSSA cubi_00679 MTMESRMVQTFGRKKNAVAVALCREGKGLVHFNGKPLDLIQPEQ LKVKAFEPILLLGKQIFSGVDIRIRVSGGGYTSQVFAIRQALAKAIVAYTQKFVDEAT KQEIRDILVSYDRSLVIADPRRCEPKKFGGPGARLKYQKFLQELLAGTPNSEKKKVYI NSVSCPITKCYYMYLLIHGLYATRVSYYFLPFKLIYKFLFLALILIEYRIPRHLRESR PGFIPSI cubi_00680 MTVSLVSCNLPAQELAFTNFAYVNSSTYLTLKNLSSRQNVAEQL RILVKNLVLNVEVDERVAHGEIALNRLHRQFAKIESRERIEVELAVGFGTTGSEAAKN IAGTLLLEVELFVGSSQQLLLDGDKIIPGMLQNIRNQVVTNGQKISYFYKEENLLLLL TVKDVLSLDNLLSDGNKRDRSEPKSSHFLIIDSTSLELTGSKTGTVRFQNDHRNAPTL FRHHFSFKDIGIGGLDDEFSVIFRRAFASRVIPPKLLKELGIQHVKGLILHGPPGTGK TLIARQIAKVLKAREPKIVNGPEILNKYVGQSEENIRNLFKEAEDEYRQKGDFSALHI IILDELDAICKSRGAGSGGSTGVGDSVVNQLLSKIDGVNSINNILLIGMTNRLDLLDE ALLRPGRFEVQIEIGLPDSQGRLEILEIHTKQMRESSRLANDVNLSTLAEESANFSGA ELEGLVRSATSFAFQRHIDMGDMTKPLDAEHIRVCKSDFDSALEEVHPAFGTDEDELQ SLVPRGIIGLGSEPQRNLELLKRLSEQIKTDEHLSTLAVLLYGEKGTGKSALAAHVAI TGGFPFTKLQSPLQFVGMSETARSQELRKAFSDAYKSDVSCIILDDIERLMDFTAIGP RFSNIVLQTIMILIKNRAPKGRKLLILATTSEYEFVHSSGLADIFNLSIRIPSVESQD VPRILDYYQNGLFTPDTLAKISDSLRFPVPIKKLLFALELVQHKFKNSQTISHQDFLN SLHDVLN cubi_00681 METFDIKRSSATTPETLLDENEYIKENYKNCDVSVLDFEGKEKL AFLNHDILLTDYRIILVKDAKYSAQNSKIPNRFLCGGYIDISSIIVNAISSCEKAEDI PYLYIQIGSLDFNQEGDEDSEQSQHFSEINIHCSDHNAVYTLFNSISETAAYMEQLDS LSDSQTNNELTDN cubi_00682 MKIEINFSLFFSFLILISGLSTSLGNIHDQLDVKFKTFNSDHDI LESPANSVPKTRRTTEEISSDLESSFDVSDSLDEYHKVRNTCTGIREDGKARNNKKAK LRKVNGLVGNMNYRKQKRTSSESGTPSKYFSFRGAEEHSVDDLDLEGLFDLDYGGRER ITEIHKITPKDIYEDEFRPRKYEYAIGATSRDKKYDIHRKEKDDEIVLVITPKNFFAD KNGKLSFLPSLALKINKNSRLSTLVKMIRKLISSAYPDINIERIRHLGTQIILNEAPQ TVKLSSLKIRNGNEISVTFKKIPKDEKSDNKYYEVQELFGEELPMTPEGKSFLELPDT PAQKNLHYNQGSETKDVLEDKVLISERDDSYNNNFIAEPPMVEIFDSSLPKKDDMNAG DISGELKSDYLGSIQFDHVPFENLESESYDQKNLQGYKFLIGSDEMDNIYEERAVEAE KEASNQNMNDPSSNIELNNCNYKVNFKQITVPASNFTSEIGNSFSKNILVRNVTFKEL EPCKLETLITEINKILKSINQNYSIVGLEHIPSKRELLSLSKGKETHHLLDLEIIKGD EFNAFSILNSKPEYGSMKNISDEDDFILVTTDPIEKKLLADKCQLYMTIFVDNTLFYG EEGQPNSEESFNINQNLVKGGKKYLKAVNVCTQSYISIKKVLEKIKSIMKIDPSSKGI FICGDKIVDSLSNLPVSFIESETCVLRYDS cubi_00683 MNHRNTTHHGSHKRNDPGSRVKDLLISSTLKFIEKRCEELKIPE TTLKPSPNCCQSLVALLELGMLCSKTEALKQEKPDVFNLAYNDDICSTAKNLKSLDDR DSELVVSSCVMLTEYLLIHKQKRNLKFNLGFLPAPLSLISSDSTLGNYEFNSSGQCAL TLSMYFIKTCGRACNVNRPPVAGVSSIPVSCMTQCSQYSKDFCMVGCKRYGCSVEYYD CMNLLCGTSP cubi_00684 MMYLIFFWLFFLFSYIGNAEILDLTLDLNRTNEQFVTNGTTNNE TISDFSTLNSLKFNKILSAESTCSRKLQNKIKYPNCCSSIVTIFETEKICNYKMDLDK PLKENNIEIERELSEIKQYYDYHCNNSEYQFLVSKNITVDDIYNCVEYNGLVTRAPNN VTQDLDDIKLEGNESAIDTQRALQLNGWMNSFGLPRISSNTLLSQSRFTEGSFMGSNS GQNCSPLLSLIFVRVCNSICNANNDSSSGRSSIPIFCLRDCQPFAKFACLRGCRTFGC NVDIYTCMELMCQ cubi_00685 MNTTAHLGEIKNEGEFISFSNKCETILNDSDSGDSWKNQENFEY CKEMNFTDRKLQIRSGFGSYIRLPQTYSSLRGPQSESSGNIFRYQTQKICISVLSLHY IKVCEKLCDAKTASAVEEEVFPRSCFPICVDFANHVCIRGCSLFGCDIPFDACSYQMC NFQFHNNN cubi_00686 MSNQINLPGGLPGLSVPQPPGSMSSGVGSSANSDAIIVDILCEF ADFFVDLASNVAARTGKMWKPRILLQQKSKRSIRAPEDPNKPKRPHTAYTLWCEHIRQ KVRENDPNRALQMKDLAEMWNSLPEQEKGPWERKAQEFKQKYLAEMAAYRASGPTSVG GGPSPSAQQQMQPFSSTSMTGW cubi_00687 MIKHIEIVKYLILLYCAFGVSLNTVHCQVITPILNPEGGQGYSC GYNNSVYNCDGYTVTPWSSWGTCSSCNGIISRQRSASGTVPQGGPGLSENVKCLDNQS CEACSYTSWSSWSACSDSCETGTKYRTRSISSNNDCSASEDEIKLFELANCGNNPCNC DETIRGPNGLYYRGCQKYSRSGMKCLNWTSLKIDAFDYLATLENSGISNHSFCRNPIS NNTLIPTIWCYVSMSPLTPQLCDPIPTDCVVTEWGAWSSCSSTCEEGKVTRTRTIVQE SLHGGAECPEDLEQVQDCSVDVICPVDCVLGSWSYWSSCSVDCGKGNSTRSRSIITRP KGKGAVCQEYSQTKSCDGESCLSFWEKNKYSIISFAVLGLVLISSIIYILIPNNRAL cubi_00688 MKNLAKIILVLLLGLVSVQSLSLRAGNQELAIGEEVSDIFNGQQ LRKIEVRSPVSGVLSKINISQTGYHSSGTAFVVTAETTQYYKSTINTGGKNVSVKRVI ESGRNVYIQIFRDSFVTFYTNKVVGDKVSQYDLLAILYVVRTKQGDAPVVKKLPRSVP TLPISNPSASSTTVDLETANSSLESSTGQVSEPAEDTEAGLDKESKLQLPEESSPSVE AERITENSKTDEPSNTIETQPSSGEIAEKADSTLDDLASITEISNLETGVSDEDTNKE GDYSELSDFASDSEGSKGASSSQKSESGLDSASLVNSESGSQVSQFNPTIGSAGSEVS EEIAVSSEKQNTTDLTGLEGEAVESETKLVEISEFKSTPEDAAVSNISTNEPSAEENI PNMDVTKTDEDKLETESPANSPVESPAESLGELPAEFPTESPVESPAESPVESPAESP AESPAESPVESPIESPAESPIESPVDITENEPVEEQETKEEEIMQGLPSQPITGKTVE TPEKLEDLENEPSESMEESGDGGEASLDSAGRMIGSDIENSGSLVENN cubi_00689 MPNIIDERLENHRCYFTDWLRSVACILVLTVHGMVVVQRILNLN SIEKDISDNYLLVLLHHGMPVFFYASGRAAFYSTRKTVPSIVGYLTVVAAAAYLGSEW RPCAPVPPYKSIFDFYSRFFAEFKCSGLEWLWTLPMIFVISVLNRPYTLYLRSVMDNR ILIKFGVNNKKENKSESIMSFFKTNSDMFMSILFLLTLMFSLHILLSFSVKWILVPVI ICYMAIPIMTNIVSRAEVTNRSDSKITSFLAYLPLYLSSAYIGLKLDDSVNNTFSSNY NPNNVFIYGLRLAGDERALRLCISLLFYNIYYLAGFLDLLFQVDDETERNIYLNNFAP FKILILVALNALSFPGNKSLVSYIWAYPYYTGGLTTCIFVIGTWVWLELFRVSVTQLF KNVKISENLQRHFSQSGIVIYITHSVWLELVTRYFLIYFINTESPYSLYDGKSIGVFG FVFPGFGMLSSWLILNISGLALSILTYIFIINFKICRLSFGISD cubi_00690 MNYLILLTLLSYLAAVAYSFDCRAPSSLESYLNNKGGSFNSAKC VSVVILDTASPSILASSTCDALVVDSTNTSNSGLLAAAGVTCPALSNVIPTVFDLNNN SRYRDRIGSIYCVEPTFPVSQIYKNVLDRAASNGLSNIILPVVPSDSGNFSSRINSVA FEVRSWIMRSFGNNNMKCPFDIIIPASDTQSYNLILDGFSRAFSRNQAAYSQSIQTTS THSQTPPTIPIPAPAPTPTPTPLPRTQLLRNFNQYDGIACPTMKNFFTLLSELNDPYI NSYTSVTTQISLTFSDFSLGIHSCDAIVMESGTRKFVEIMREMGLPYDSSTQRSGITI RSPEYVRSSSLWYSSLQKVLYMNFREAIDKSDTFDSLVSLIKKAYIDIFDFSTKNRLS ELLILPLGFWKSPYSNSVQTVTAAIEALALALNDYLLTNNQLHVTIVAETREQLDVLV SQIKPYFMSVRASEELLGDQQTVTIPTPRPLRPPPPVRPPPPPPPVRPPRPPPPSIRP TTRDNYFFESDEECMSGTPISELIQSMFNGRIPQPTSSDHFSLILASSNPGVIGCGCL VVDASEKSQLDLAIKLGISRKDCTSVGSSEIKVFSVRPPNPYTIEPWMVGITRVYCID STRFLYSSRVQAVRNLYKKIISSAKFKCTTVLSPLLATSLSTSESRNKDYIIQAVKSM DEFYSSSPQRNFIHVTFYEQNIELFFLALELAATYYLEYNSSGGLNATLKTINQNWMS LNNIVGGYIVPEFRPPTGRPKKSRGFLGTVKKEITRIVTRTTTTTPQPTRVPPPRPPL PKFVGNKDASRPAPSNAAITVSGNYYPTLQDFIKLHSKPNPDQLYSFSYWVQQLSSFK NTSYKEVSRSFYLTNSDVLGLENCKVVVLDALSSGVNEVLRSIGQQYPQLVGGVTVVP NRKYGDATQPLTKELNRLYLVNTSSGAITSYYDEIITKAIASSEKHITMPIFTLREEY QISRNRAESLILRVIRTILNKLKSYNNHSLKILFYEKDPVLALLLFETLIDVFSGRIR V cubi_00691 MRYRKEHKAEEQRTFKYEGRKENLEAEDENRKTDRRLSRIILKF IGLLLHYFIDILKFAFHYIFDARLNRKGELYPYHKSGKYEPTSKYGYRFFLISNKVQN IGFGFEYRGVYYFSNAHFSVNTKNLMIDGKEIRLYQQGFTVLCSRRIHNMKKPKDGED LYLLNPYMQTIKGKCIYKDPFYYSFFPIDSVCREFTGLPITNNKGELVSIYDNFRKMD NLVYNNIGDAELWREEQNISSLNYKVERIPTEYGGLKVYRIISELGNREGKNDDDDAI IGYFFSYNSITYVSGAFIDSGKLNSSLSLENDGEIERIVEYSNLYRELWISSPDSKHN LSLPEQDEEVFVLSITGDKQKEIKGTVKMDTNQQFWVEFDGVPNRECLGLPILNKNSQ LIGVYNEFNIKNGFRKLRYSVYLGGPNTFKSHFLKMNFKNPNIQILEANYDMEILEQI VSLCSIDIENDDKYCGKLVNRVIFGVGSEDILQKIYDDINLVLKKYSNLPLDNVVSIV NNSSIKYTEVQDKKFILANIGWIGYSHLNSNNSFPFNSPKSLLIVFSHSSSMLTEELL ESYYKSANKAKRGFYLRLISNN cubi_00692 MEVLNEIDMSVVTTTASNSEYVDYSASNNAKTSGGEKSYLDSYL ESEKENLEVEANNDGQDNSLLYEKGFISNNNRESFSEYNSSDAEIGGCEELVMMVPDL DSTLKFKHDTERFFMTLSIAEAELDLAKSASRTSKLIMGDDLDINNQGETNCLKEIRS YVEELLDTVNDVRDSNFGIQRIVEECSEILQQNISQNDLSQIDTTDMNTISDQNLVSI ERLKFLCEAISEENSRLKKEINILNRENEFLTDKIDFEEPDSQEGPYSYFRKNPLMRF FFFLFILAIFSPANISNSLQKNQVLEQPSNLEIVPELLEEDYEFSKNSAIHLLQRSLK GLKFEDISSQNQPDTISICSILSELNVLEKCFTRIRLS cubi_00693 MEFITKDILELESILELYNWVQNYDNSKVPTKRSIFLEVKSKLN LKIVNKNNLLTPKTTGLLKNGSSRACAKHGENLSEKRYYPQRIQNYMGIGSDLCGKSI ERRSRPSTLCSIYPISAFSRIHETLLDASYRNSRICDYNNSSSDGGESSEEYRSYMGE ETGVDYNLNSKCQEIKRKSLKKESEKSIIDNKIVFDETECGKAKYNFKFSREIDIKSL SNLIIYLKNIIRSRSGSSCMNDDDYLRSGNNFCFLTLWKKIEESTQQKVGELNEEITV WQQLRDVLLLTGMSLKYPTWSGEQLTNIITRICPKKILNLIIENNRSKLQSNGDKNEI CYQGLSELYYTFIENSKNVFDLFSVSKLIEESLTKYINLIKTLKYDQILSGVSKEIEN LKIENNQLSSEEKDFLEVLSTYSTCGEYGFDEDGYASVLETDYNEFSGNNIMVDSNKR ESKLIDSLGLFVNLSDVKRPLIDDYASNKVNSSISFSNGSNIENSNTTMITSTTTTAN NNERNNTAKLNSVADLNDDNNDEFEFNIGNSVQQINENIINLGISRKLFQDLINETLI KNSSSSSLVYIEKGTLIDACRIIDDCIYHSNSILEYCHKNKVVKNKNSSRIALIWFFI SIIIILFIIFVGKHNPAIELIEKTAFKSNDQYFKSGGIPIRSLNSKENLELHGKDNSH SKTHNNLIKASDCILSKDTNQVGVNSDICNVIGDITAECSMIQVICISIVDRSNQPLL FRTLENESLDSLHFAVYSALDIIERKTSGDAMEGSLDPYLGYLGPAISLSYEYEIYGF LSFSHVKIIVVLQDHPENEIELRNFFHNIYKAYVDSICNPFLLRTIETPKLIAKIDRL ISNAREVNIQIDKSHS cubi_00694 MKLFYNRKISKFSLLLLYLLFGWGILGSLQENSVKFSENILKVC RDYRRLKQEQQMLLLTWINEILENKSIAFNIRYNLKKCKKSLRDSLNKKDSIRDRLVK FFFERRIKDYEEECRQLTKAKDPLKIGKEELEELQRFTEEANTDSESKQNNYYPQRFE NGIYNNFLSNSNTIENFIFDLLNNQPQSSEPNETSEIINYILPYDSYSNYLNDDGIPI IMETENFILKHDSLLLLVPLKVPMHMNYVRMPLPELEPQPEDIQIDYSITLMKDPIIA INRIYKDESEHSTKSLNSAAENEIVDEKLDILDFSESSDIISESEFTDFGSLNLHSVV NEKNKKRRRKTESILDGLNRSDERDGINFGSQESALNELAINVGLRPTSDQDTVSSDN SEYTGPESESSGTEEYGSQSESASTDRDYEDDQDSFQESANDSSISESQDSSIQYDRD YESE cubi_00695 MKFTNFFVPIFIFVALFSTIESRKAKKITPITFYTTKELDSNHL VRSVLVFSGVAFSETRFKKDSVSQKELFAEISKSGFLAPSIPMISDTAKGVKYISTTE AAINYIVLSYNKELFSSNLLLHSISIQLSSIVKNYIKKTIKILNVSKTLDCSMLLDIA NIRNTLKVLNDSFKSSKFTYFTGEKVSYIDIVIYTLVLFIENVSPGCVISNYDGLRDL AYNISFIPQIYRFESSSYFHSLLVPGTQVFAKRINFALGSSKFLSLAS cubi_00696 MADQQDAVIFQNDHDMSNTQNKGLLRKDTNIEERSKIDEYCACV LEESRNNSVTCDIIDQSGVSEFCSQVRKMKMWPSFDHIFKAARRDNKWYLRSQPLKGV NKILFEEQKLINISKAMYKGLVITPIPKGVIETAVQTIINSNDVLPEISECVSLTQQI NLI cubi_00697 MYLHNKESVSKKIRINFTDELGLEAANLFKRAFVSVLKKYDKFH NGIVTAEKFCNSIKETDEYLRQEDNIWGITVDSEDRCNVTSPIITSIMSLVQITEDKY VNCKPLYLDVDRIESTILVKNPYNESTIMVTQIQRSSLEKDHVKYSVRCPKMFLEDLY PPALESASKYSNKNRQSSIFEINKKDFSEEKDSEKQEEKLPYLRALPSDKLDDFRKLY AMWNRCMLSDEDFIMHMKKDIGIEQIPREFLLQVANKGPSRTLSFRDAICSLFINDVD SLRKYRPDYLVPQPSRIPIENIYNPITHENTNEKNTQKIIECCKKGYGKILSSIDSLN DDVLNDFKSFGRKHKPNSSESAILKSSLEISNQIDNSNGTRKCSSIISTESTNHSEKP KFDFVINDMDSDEERAKIRGKQLFNYHRTTVTMPSALQFQLKRCAAGETSGNAIRQYL KYYGIPISVSMDTLLRRSDEDGSVSFTSLMKEAYHSIRNMQSSL cubi_00698 MKGLNILESKVLRGENEENHMAMEIDDSGEKSAFDRVIVEASTK STEIFGRCLETSLRFQELKNHGIEIVNKLEIPEISVLKFRFKILIQCFRMLSRGLIPG EKLLGELFGMMDDLIIRKGFNLFQFQEKENAIETESQMFLVLKDYFGYSKKLFRDKIE KTGSLIEERNRKENKLVTGELTQVFSEVNSIRINPLGFAGPFEIESNLFDRWNRYTSQ EKQFLRSLKDIDIETRLRKYLAFPPDISYRASLNEKLMRLSKIQNRVRNMVKDQGMYY QTSEVNRSHNQDQHSNIELNMSPVQIQLQEIDNSQKQDTDSNMNQSKVLKDHQEKSTK EEPNLLQELILEGISSSGIFVPSRIKDFKTRGHSILEGISRKISDFWSSKSPTIHQYR FQIIIDGISAERERMIREKKRKKAQLGRLAISATKQVVSIQSQKQINLERKERERLRL LRENDLEAYLELVKETKNRRLQELINQTDRFLLDIGLRVQDQKMVGSEIGSGQINTGE RVSDDPTVNSGITVDEISEFANIPKTTSVASYYTMAHSVTENISDKPMKLLKGGNLLP YQVIGVEWMLSLYNNKLHGILADEMGLGKTVQTIALLTYLYEHKDNQGPHLVVVPLST LPNWQKEFEIWSPELKILCFKGSRYERRSLIYEMRQAKFNVCLTTFDFIIRESGALQS MQWRHIIVDEGHRLKNSKSKFHVVLADFRSENRLLLTGTPLQNSITELWSLLNFLLPQ VFHSVEDFQVWFSKPFSDLPSNEANLELSEEERLFVISRLHSILRPFLLRRVKSDVLQ DLPEKKEYIVRMELTPWQKIVYDQIKQKAVHSMDLSSGKIQYRSVSNTIMQLRKIVNH PYLFVEEYLIENDDIFRVSCKFEVLDRMLPKLIRFRHKVLIFCQMTQLMDILGDFLDY RGIEHHRLDGTMTIQERKEKMDEFNSPDSEKFVFVLSTRAGGLGLNLQAADTVIIFDS DWNPHQDLQAQSRAHRMGQKNEVRVLRFVSISGVEELVLKRAQKKLEIDHKIIQAGMF NSTQIEEDEREGRLKELFGKEEYKSDSRVTTPSEINRFLARNDEELKVFEEMDKKTFG RNIYQKIQDWSRNIIKKSKLSKNAEERGKDSEENDQGEEKQLSRKDVDSTLIKYGQNI SRSPPKPKKPGRKQKIIQEELETHEESLEEATFESSKPQEVLSLEDSKVYIDCLEKSG RIIKMQEVPDWIVRPPNEVNAELGIEDNNLNEIRDLERSERKSRWKSNKDYLCVEGLS ERAFLRVMEKYESGEITDITKELLKETNKRRRSLPTLSNSNTSESVSKGNSLSSVSSL KGKRSSLRNISTFKRQDRQERRKSSAYFSSLSTNSPLQNLNGSFSDRSLSKKRKIISF SEDEEREDDKDFENFSITRRNLRRDVSKGRKLRNNNWEEDEEDEEDEEDDEDELDEDG NGNEDDEDYLYSNPNEDSKNNKRDPTALQSIKKKYESDSVHQKTTKITSNRKNQQKNK SNDGNQDNNHTIKSEKESKINSKRNEEGISSDNNFITSTNYMESLPDTPEAIVIEK cubi_00699 MIKRRIKYTEIEVSDLSDSDSCGSDDEAILDKYCPIASKNKPVY VQYVDILSYSTLLFFASILLYVSLNSF cubi_00700 MRDCIEESGVNGVKNLIKKFVKEDPPSVILQSIQGRDNLELTEG RLLVSHNTKLMANLTTEGQISVFLDTSGGEIESFDTRQKDIALKEIEIYCLKGRTESK TVISEAIWCKSIIREDNLREFEITPTLVLLEKSTESIGENNKCLYCKKHSENQFLTIL QFSRIGDFEDEGYEVVELDVKVDSENGINFNKPVSIDLEASRSQKTHSTNHTKTKIRY SRIPIKYIFETFFNKKVEFSECNKACKGCFSFNNVQIFVDNTMESGFVMIMANMVLFS LDFIEGRSLVLNRRFLIQDFRNPQIRFSGFVLKSGWLVSISFDTFELLIWNYYQGIAF RMIKLNKDCFDFSASDKERVDILVSLSFGGDLSKLFLVFDYLNGDAFKHPKQVGIFMI DLNVVFSQILCSYCILKFLFDIGEASEKRIPDPGIELREKLQISSGSIPHVQLYGEYS SLTVYPEAFHLGEELKALDRIIKSLSEESSEYLDSTDLTKSSNPRLDLTRYEFGWPLL FNRSWFSGDLFCNYKMNKIIVSTIENLIENNNSEDLIDHFEELSNNMYLDIVYSPLKK SLEGFSDLKFSEPVNNRQKIKNYSDLMQISETFCSFEDKPLLQKSSFIYGHLISISTD EPPNNGESQFEIGFIDIISSSNTVLIQFSITGFFKKHISFCISEDNKQLFIHQLSFKS EYSMMIMSLSRVIDCHYFVLKDAETGNSYIGLFSKTMSLLGLIYSNVMCQDICHLQRI CEYNKVDPCIIPLIQLEIGFKHEDLKLISLSLENTPMILNIVIVKLAQSMLQDTKLHL SLDFTKESSKLFLNFCLEKIKYYSENELTVSEEEIRQGESKVDEFLQEISYYLSVFRR HLNINSDLMTHENVKNQNKQEDDPDHESIDEAIERSNVGDCHYNSVILCKNETFIRDQ VIMGHYSSLIDWYLKNSKEKNPKLFRIEVLHEIYKLICTLSTNSLTLSIHMLRQIGEN TTQYLKSILYHTSRREIRRRLINHLRHFGVLDDDDIALIQFNTELESYYPNNCYSVER NRVWTSLFTYSIPYNILSDCNLEGEFKANTDNECTMQEDIDDEKLGNYCLYLNDNLDN YYFYGGIDQGSHVNNPIFSAMTYPFGGLQALKTGFRINKEFNLQYFEDLKKIIGVTSI SAKKLSKNKDDRYRIENKESIEISNRDLFYSNFSTEAISAWENLKCCEIEDYDLELNS LYVQGGSKEFDTENDRNSSNNMNNMISNIPEQEGNDFIRLNNGRLVSIKNSSCKYCLD FNEDEELEVEEELLNYENEFGQLFASRNNSNESFSLSSQKELMSSSKSLMLTQSQISN SHEKIHTNALIFGDHNSKRGDLTWLKLRRQRREMMNDNFNNIGYLSHSLKFLSEWSFD VSIRIVIEKTHLQICFVWNRLLRGFQTPPKRNEALVYSLLNLMIKKTARQLSNNLDSG IYLEVAKKITTSIYIAVFGFIFSHFEWKNIPASIKYLDNTLFLLLKYFDLEDSYKYDL LNEIISLNFRYSPRFILEIFLNSLDYSGIIPIHHLKTDLLQQKINQIEIDRYKNIKFV IDKCTRYGNNGVYLFKYYLMRSNDFTTKDSLHTFLSVIENSKQSNNREEDNSNQVDYT DYLNIIYIYLDRKQDIILLSLKQTFKSLKSNIDQEIYKSLLYGCSNNEIESQNEEFDE VELEKSISSGFILNILIKYFNNYYESISEQKGDLDQKSMDQSDFRGKDKRINISPYYI LSILFSLDIPRKEIYNQEFFKFLSKFFPSLLQVLEKTFFKEKLSMDQIQNNHVNENLD SDSSPSFNITQIIKMTDKDSDSLIKMMELYPELRKKLKENPNNTEEFFEIFYHNLNKL AEEEELGKSMGISEDKMTLPILHYVSMGRPFFAFNLLCMRHASFHNNLDYKEFKKEDL KFPELSMKEKVEIYKSVYTLAIRNFTRDTVVSSSIIFISMLELSTELLCTDIRVARCI YIHQIHKKDGISTSKVNYDTQEMDIKIDSQNTGKINEIWKMFLKFGPPKISSYLENND DYHEQDIHKEFQDNQKYSSSLFLVLKMLEEAAWATGDIITGGNNEENDSFLDQYETDQ DGKSIENVDLLPHTPIWHLVATFCRIHGLPRSLTLLHELARRGEWVAFLQECDSQKCP LETVGNIINEYMSENPVLKLHLKIALNINDNNEDERNNEFTYESNKESDYIIKLVKWD RLFYTLRLDDKESELDEMKILEFYHWSVKEVFKDTIQEMSVNRIFLYYSLFEEHYLNL EKKFPNFKGKMKELINSSINSYLLIELVNTLCYISINDNIENIQNNETWKSIFDFGSI QGFENTNNSLYEMESLQNLVEQFLYTIFQDNKLVDTSNSCENKNNQITLDQKTDTDNI NENIDTDDDMDTDDDDDDDDILTESKRDLFLNMFVEETKDTILKMNDLKLIVTSNSLD ILFETNFGVKNDQEENDLNKNNQTEALIYKDETTIILWRLLSNLNENGLLSRASALFY GEYTQVTLIFNSIESLCSYDVEEATENLNKILIYNETGLEKDRQIIGFLPRKDLVRDY FEHEFLRLYGKITVEDHRVLWKSLSEINLDNRYPEDETLFFEIEKHGYRKHIIDLLYL NLEDYQLTFEQFENWLCIPEKMLLRDFYNLKKYGLLLRYLEESSSVSCKELLNDDEIL IWLEGSFLSEVASELDLFSTNLNFKSSLIRIEFIRSYFWVELKKLSASIQKISMDLTP FFLVKISIYCWYLIEKLERWLSAFEQVVLISISIHFIFEILRIMNIRKSEVQKSMSMV KEESDGESRKEIGSEKNRIFEFIPKYFDKKYVQECLKYANYKLFLLLLSNIGLKELRQ NKAKEIIQGLSKLISQGTKNKLEVADFEFEFNFEYFDLIEEIFLSHKENPVIFTPSGY FNFKNVTTEIPFSIQIPSKISFDDHPVDSKNVNISVQNIVNYMFDIGLAFNIGMRFIP NVRNKLEVSKQRLDRKFKIFTQKLYNSDSKSLESHNSNSKTNNETTNLENSSASSPIN LSRNLQAWDSITDYLIEMYYISSIFTKVILIWVFKGFNTTKLNDSVPQEFENNRSSER NDFNEFLIKSIKCSECSSSSKQSLKEGNTIVWRSLMLAFNENEELSLNNVTYRMKLQS VLTLLQFSNEIFQISKSKYSYYIDNIRERVVKMIQDSRLSENHFSGDLSSLDSYDEST LFKISLFVIRLFSSNEVIPLFKEILELSIQFEYGNTDSENNDGNNATCIIKFKTIQKC LKVILINNAYIEKEQVDNMLVELIKEIIKRFHNKPFITSILYQICQLIMNPDTIIESL SKDMELNQITDRNRIATIGESIYLHLVRYYLVMIDFQDEGVSKNEKYSQIIIHDAISK INELLAQHSKQQFDDVLFTNILLEIPEIPSLNELNSTQIDKILMNLELDTNDGTLKNS SSRILPSNWIRVLISQRVLSIYNEREIEKQNNIFELNNKPNINYINLKLNGPDLLAEF LVRKCLSKQWKTCTNFLYSKYGQYDLIVALQALIQSCQLLKLTEYQQTFRVYQNLAGL FSLQLYFASSYSNFKNDLDPKSYNFKRIPDFPNNGIISEETKKSVYDKLSCVIKKDEI DQLFDDLYQANNVIGDKPSTQRDKYKFETEKIRILNLDLVELFEIVSDPQVCYNPPMV LVILNGYEAIYGPIVHSIWPRILFIHSIILAKFDFLNDYKDEFGALDEGILLSMIRIY NNSLKNNYNLEKINGMTFYDFIKSEDSTLEIDEDSEKIKIDDKNLTFDSDSDPDQDND QDLINIYDLALSWNEREISEKLSRMKREEILENWTKLIENYIDDIQLRISVCKMVDED GLSDVIFSNKKLFNDKSLYNFDLSSNIDS cubi_00701 MEYVDENLYISDEDDEINRVGAVPLHWYDEFDHQGYTIDGERLK KILNKSDSELQALLNSTDPDGWRTIYDQKNQTSVRLTDEDLEIIRRISSQNYANPDFD AESYHYENDSLEDKIFPISNRPVPKRGFIPSKWEAKKITHLVKLIRLKILHPIRKKEP EVYDIWEGAILDPIINETTSKGPPHIPAPRMELPVHEHSYNPPEEYLLDEDEISKLEK EGELESTFIPSKYDCLRKVPAYDKLISERFERCLDLYLCPRAMKMRMNVDPESILPPP IDTNDLKPYPTSIRIRYDFDQLIDSKNIQMSASPDGFWLAVGVGTLLSVFEISTSRLA FKLDISKVTQKLNILNESSSNNEVQQEISTNSITSLAFHPKMPILACGVEEYLFILVL KLPNICFINDSEASTDIEHEEGSDSEEPVNNSKNNEKDPKEEFKRSLELFANLQTFRE SSKLELLSWNNIDFDSNSEFTKEISSIVVIKHQSAIRNLAWHNKGGYLAAVSPRAISP SQRVVIHSINRCSSITAFKKLSGLVKSVQFHSSNPWLIVATQTCIRIVDLTSSKTGNK KLGNQNTNKALVKKLIGIEDPTTISLDSTGKHIFVGQSNGRIAWFDLDLGNTPYKLLR YSESAIKQVQFHQGKSMVFSASKDGTINVFHCRMPTDLMSDPLFVPLKVIKGEFSLIS SAIWHPMQPWIFSAGISKNGKPSLVLWG cubi_00702 MNSEEYTDWYSLENLDSGRLNFDELREESGWYNIETSKHDDLDF ADFGASESLTWSLSIHFRSITLQDPANVIFKAPNWYKIIKGTNEISPSKCPKTSPAYP CRRSTTIFSDHEIEISSKVVCSWKDIFERLSCEPLQIETYKVSTFGDQELHSKGYVNL KHIIIQTEKTNSKISGNYIFGSNTYRIWPTRILLYPVSKPEVSSDHKNTKNELDPSSE PELESDSGPLKDNNKKNSLTDDEKSAPIGLVEVDIVLQDCKKQTISDGSPGVRQKSLN TKTEKGSHSGSRPKSASRSRSRSRPRSGNTLSDSPRNERANNYLSSRSKTPPSERRVS FLPEKPVRNSRKHEMSINDEFISPEELEFLKIGNVYNDFDSDIRSPGTISDLNSKIGY FTVGDIDFSEKLIETIIKEIDNSVLHETGIENENDYASNMNFELWKKSEKERFVQHLH NLEEEFRKLTLIRQETIMKDFIKDINRKSSKLGRLESLVSNKILKLKNKENSIDNVND ENNRRMKLFQFEQKKLFESQKNEFQMKLEFEKKKTQIAEFEKNKWFKKYNEEEETNAR LHKEIQSLKTGRKSDLYFKNELLRKDELIQSYEDQISQLRESVQLLRASRDHYKAQFE HLTTLVHLSPEKETKPISTQINNNIYSHNIDSLVQSGLYSEDDQFIQLLKSNNNINGT ISNEHVNSVIPE cubi_00703 MRAKLLIIQIVVILIITVRSQNLIRIENGVELVSKKQESNLGNR LTVLIKKIKEVNEPQYEESNRIIFVYKDNNGSNELFSRLRLRFKNIIERAFNILKLNM EIIQTKDNDQMTKFLGVIKDQVSLFQDLIQGVYLDEFIGFEENNYRNTFEIPRINNSL RPTIASDNYSAIYQRQWFHNDSRFGIKSGKMWKRVSELKDQGMGNVVIAVIDSGIDFE HPDLRGKIWRNFGEFDCNDGIDDDMNGYVDDCYGWNFVDNNKIPLDNNGHGTHISGII SAIPNTEVGITGICWFCQIMVLKVLDSKIRGFLSGFVEAIDYALDKGVKISNHSYGSR SIELLRLAIKRSEDQGMLVIVASGNYESDRNNDIVPTFPSSFKSENIISVTSITSNGE LMERATYGRKTVHIGAPGVNICSTYLQGEYRCMDGSSFSAPIITGIAGVLLSVFPNIS IYSIKDCILKSATRIRGLPDNVRERLILRLGITRNDGERRMHTSRHNHSITHGSSCSS GDSADEVLEREDFSFKLLDLSQNDSSRVKYLGKLSYLKIWIPSAHRPPKHQTAIIFDW DDTLLCTTFLNEQVPKKQQMNISSNSQGSLNASAQGLLEKDIMVSQKESFNNSQFLLL PENIQNIMNQIQRCVKELLLKAMDMGNVFLITNASEGWVDYSAKMYMPEVVDVLSRLT IISARSKYEHKYPGAYHKWKFNAFLEIQKKLDSETITNLISIGDSIIEMEAVHILGRE FSESLVKTIKMKESPTPDELFKQLSLINAKFENICLNARNLKIVLEKRSL cubi_00704 MEIELGLSKEENGRTGVRGELFLNFEEVGRIQKYLMENSGIFGF ELSPEPVVDTNKKGSKRKSTSIGAKVNSIGVSTGEKKKSRSSRPSYSRELKALLEDGM SILESKHDSKGGSSQSSVGQRGNIEDSQRKLPDWAKRFHRILKVISQQEVFSPFVSTV NIKNFDLYVNGDSLFSRDEEDEGSCEGRFSHENKNKEIDRLFLNTEQFFNYIEKCSPI KPISLNCIISKLENNEYSSAAHVFCDIYSVWICGFRSVEPGKTLWTKAVDASLNFNLK LLNEPLQDDFLLTYPGGVPKGSGKNSKSMIDGSPSMEPPKKIQNTGKNASSTIFDDSG KDSTYHAFSRSKKSSSNNQLSQNSSGSKSSKATKKQSQASSYGNKGITEPERHEFQSL LSQLSQNDHVELFNSFFFTAHWKEVNSGEVELDDHQTPPNVFREMIKWCKTKLNIPII EASSSKKDTTSSNKSACKKTRQMKAPSGVQSSQKKKSQLSAKQFFSSGRAFSSPSSSS ASEDEDDVSLSGNLQISQQWASTSSSGESDSEPELTSFYPHFNSK cubi_00705 MEEVKYLRGGRGGGGGTCGRKAAGGYVGTFMGEGRGRGRGRGGR VYRGNRFIREGKMRFGSMYRDQSKLDFTRKLQSSAKTTRPDELEEINLAVDCSNKEIV DSSGLATSDFRILPDKKYDEKIGVISSGVSSTEASEYCKSQGSSQNPSPIGCCQEKYS TVSNLYNGSKHSPGYKHKKDHLQNTYKGRKVFDSTKTEKLPGIKRKSFERLNQANLDS DEVTHIQEVTSLIINQGTSELPASEEKNPGNEEGQGGLNQIPNKDLNCSEHPIKDQDE NNGSNSACEHSLNKLNPQIIGHVISWMIERQEEAIQWLKENGKYQFEGDTQISGKKPQ RFSQSGGYRGKKQAWNGANHHAKKENHDFPPKYKSQKKNSSSHFSKISVNSLTQDKSD NSALENLELPQHQKNSELTGNNIPGTNFDQKADFRLKQRFGYNRRSNRERNGNFLFQN KTKEGESYCSSGVRSGSKWGEKGGNRAGSFNSSKYRDHHYRKQSLQKEL cubi_00706 MTLSKVPVLLGMPKEIIMGVCYFLNPKDTISLSLTCNSAYLIIH NNPIIWRFYCHKFGFVQSKKGKKWCLEKIHEVSGNERKDLDYYWFEKERMGKISNINS KRNTNIPKEEVEAYSIEFGEDENYLKILEYNWWNEGDSGIIINHGKKPVPDLDNSELE NIRLDISLATELVNWRLVFYMNILNEDPNHIFWLRIGTSIDIMTPITSVKIDPKIYKD MDDAVISKIFDFSKKIKYLSTLHTCRHFIRLPCPIRIREILIGGRIGNIISSEPNSHG RYLLELPKELVHMVDINVKPNPKYDEEDYIGTKKLQLPINIHRKSFIGGSPKIGAQSK AEGSDISVLEGEEQEQGEEDSEVMYKITSSSIEFVNIANAFISYASNPNWTTSLTPRS ISAFRKMEFPTSHGNQFFSEVLSQIHKVEKGVKEGIKFGAINDYYSNTNLTHSPVNCT TPCSVINRTCNLEFQNIRQYFKMLCSNSIIFNMFATSVNLQDSAFEGDQSYSCWCEHP KSLLGCTWYYNPTKSSLNDIKNFNSGLFFSMENSSTINTKLPRNICSKANLELILTII QNSHSLERHLNRKFISLNREEMCLADLIYLIKSSLNGIINDCVKDELSYNIFILRGIG WRKLNDYENDEIEENTKTLLLEKEIEKRIYGESLTKNEKVLSKLKVYSGDLLGNLVKN FFFMSGEKIEVEIKQIEAEEIYEDLKEQYKIHKEFVIPVTWSSDGLTLSKISFLPIKM EGGEQGFVLNGSIGSSNNLGEYPYGIQKTFSGISNMSTMPSFSSFSSLEGHMLNSVIK FSKCKIITLHRESNRFREHRDHSNDISVHNSESKNSFCLKSLIKNTNEIYKDLIAGKF YVDHISRFPSFNSLPIHNTLYFNSSNSENVRGDKSFKEEHLIKNQYKFTLLESSKDTR QFEFHSDRSDLILIGTSLGKIKLIDRYNDVILGEKGISLSPIIGLSWFNYHPQTFVAG SCLLGTISILSYKENPFYQPIDCFQDQDNKHQGNRKADQDKQDDKFPYLINTENKSKS FPQLSSISVNATDDYYLASGFGKSVGLYNTHTGSQIKILPSMHMSHINIVRFANYHPH IFSTASFDSSCKLWDLRQKIYGNDPIIKFELPCMAIMSCFSPKNDLKMVVSGVDDYLK QLDLRFKETINGNGCRNFTIPTLRDSQSYRRSVYNSNGDFVLSINTKDKYVRIFDSEN LSSKFNFGYFHILNAHQEVSKRKSSNYSSQVIHTLNESAKFNPYLERETSEPINSGNS RRIANTEEGRVLEEEFTRIGQTTNSEQGNEPGSEKKDYSLLSVRGHPIYADIGGFLIS ESTGNSKLALLKFGKVLKG cubi_00707 MEEDLIEKIISNIIITLDPSKNTVVESINVGNCMVRERSQAEYF LDTICDQDNLRIDEVVFEVLKSAKYKSKNGSIGEDLQHGVEFISLSILDKFVKRKWVL LSNEDKSRVRNKLLEISVCGIDCINDDLILVSSSFAIRKLCCTFSRVIIQDYFVSWKV FMKAILDYRNKLVNFDNSGIVLVNENFLRNRNITNLTKLVLGITKEISETLINSNTNE INSKMRASSTNGLCNEIEPVLHIISNELRNACNFKRKEKMLESNISNYDISLIKQSLD CLKNLTNIIDSGKLLNLRLDDLLIILHNTGILDSNEEILDLLDSLVQNLTKQKKGAIF VLDYQDLNRFVLGVANLVKNTILNPKLFSEDPDYDTSLMHLNWIKLFKDLIEGCIPAI VRIPDNITLENSNNKMDVIILVWKITLAFCMHPYISVCDLAVSTLCQILKILVKELPS LYKDESKYFYLVKGFQIDILLVFLYIRSLRIGDPKINYLTDEWNPWNSMISNMIFNSL TKLNSETVNILFHFPNQPYNFSLIASKYFKLLDQYNIVDSSHIKFFGDVETSENMLIS KNSSNNLGSFNNSYSSLKTRIIQLVNSLVDFGQGILLEKLMETCLNIAVFIFSSHPFN SRCSFHSSENSSNNSSVQNLCQKCVFIDGMFLILETILNRISFNIHNLPKNDAFLDPS TKFELKTIPSFFDLLSQDVNYKEQKVWISSLFKLMNGILQLPLLELCGHFLESRRLIF ISQTVICVEWYQELYKDVSTMIKKDGILSIYMSYLTNSGGLIIPELRKTASYCLSRIL LSQPKLFEENLSMVIQVINESLNSSGTCFEEKMTLSSVLIAATNAEGNFNRISESCEM ASKVAFSLLSRNSFNFSNQEEVLDFLFRDLVEAVRMSREPSEQNWKNLVLLKNSLTLL FSVFGNVKLPDEFSSLKNGGFLKEERSHLVLRHPLEKLSRQIFDSICLITLVFLKICD NSNKSQLEELNIISLFNSISDQEWMARSGIKNQSDFKIIKLSQITSYRMIFYNSFLQI RRLTFSIRNLLIKLLVSTFTLGTCKDIFHDQAISMIPNPGLYFEEGNVNLLLKTLIDP IRSLPIHILNFIIKNGWYIIFSPQSLPRFQDGYPTDIFLEEVFSNRFVPSVLDKLRSE WQKLMISQAYILSSSISELRNLSLENVSSSIPFQDPFRVLLNLNIDFKEFPSNLKDNS LYNVIYNSHYNDLSETSFIILKIVNRFILSTNRQSNSSFKLKTEPKLSNHPVKLKSGS SNNEDFQMDYYEYHYNQNHYYEDYEFQDPMLMDYEETVNYSSQKSKTQPKHISLSQVF LLNQNLMKSSLEILIEFLNFPDPNILETSLHIIQKYLQQYINFTNQGNHSKYSDIHIS LIKNLLIQLLKVGPRALPFDPLNLSPSKSDNIKIPTPLNSYIKLSHPNLLKNAIIDCI QSIIRSDQDCLNFINNTKNELSKDLSKNITNKNFSSPNLLPYNDNLYNQQVPIPDEIL KKAYNCLEFLFSKYYEYSPSGSEKIFSQQDIILICKTFLEEHITDIVFSQTSVLGALI GNILHSLSQISSQNEQNPYINFTTFIKPSFQ cubi_00708 MLGSTIIKSLIGNLLEKLGSDLLGTWNLAENIEINSFSPLDIEL KNLPIPQIIFELADLPFIIVYSNIRLVKVFLRTDQMPSEENPLNIEAWDVDLQIRLAS LDEWDSKKWCKRLLKSKRKKILRWYKYVSPWSTNKVANQISQSLETSIVNSLNIKLHN IHCMLIDDHLGPNPFVIEIVSDSFFIDSVNDSKVLSQEELQGKKSNLLQFLWIRFYGF KVIFRKFDHLLLTSVPKNVVTKLVDIEGDTTTALGEDDETGFSNSNQHDSSSNNHNQS GFFSKIKSLLPVFFSSDKTEKQKINFMSNFLCNYARQDSSQFEIFRELTDISVGSKDY SQTEFPKHTQNGNSKFIKLPEDPCSCVQVTKDQGIELHILFKRWDIRALNAPHTMFGA LFKDEEQYNKYISSREWKASKLIFLPNSEKHLVRPPSVDDFPDETFELKCTEDIISVL YNFINYFNQWSSFLKASQYIYNNRKTHQQLEKYLSLIISYNKGSKGNAGPKNIPKNLL SEIETEISIRDVIALNMSANEFLKESYSSKGNEFWGSLNKNYNIDPKVLHDFVVEKVL LNKIQDHDQEKGTQDHKNWIPESKHVDSMKFSTFNKWFSSEGKLHVNIPKARISVIMS DVSSISSKITPVPDWMRPLLFTKTSNESLVGKISGLVMEFDLNMISDKISFYHRQTLI DIFRFEIFENEFGLVCGLIKKCKPGLENSNSNSISLMKIPSHTIKIFPITFLPSIRVL EIGVREKSFSKMSHNNSRIGNENDHSYGNSMETFANKRFKEVTKISKLKLVDLDYKEI SLNEKKNHEKMQVRAGLHIQIHQLFGCTVFKDNKKQDFTQIPGFSTDLDYYIIWDEYL PHFNLTTLKISDFNLHDLKSIWKIGNLIPNVTSNINSIISRSQKEEEITLVKSLMAMM NLSSKDNMIFSVELHQINISSRFPNTINSMSRYSTKNLCLDKHLHDTLSHPKCLGCNI SPKGRRKSTANNIKETPDLGLITFKVENDLTKLNGVEENVEKKVTRIISEILTSPEDF LQSLKTYRVMESSQSIFRGVTGLTLNSSFGNLASYDYEEEEINHISESLLYNEILRYL LQNEYFIEENVSFTGTKILVSGLSFQIWTSTRTLSLEIEGLFISMLANNIKVVTHDLR RDKIDDDEKLMMTIKQVDNIKEDQLKFRKEKEQIIEFFSLKNVSFNLFDNKMDLNLSK TSLNVFPAIVTLINGYELLIFPKKSPLSDMKKVFENILSFNKNKMKKTNNTKAESGFL ESLKSLNLKLGEISIQIYDTECLLYQFCLFNLRFKYISNLNNRNRAIIDFSLGEIFIQ SINNIILKDQNMGYQQIRKDFSGKHFFTPSVIFSKLSGHLIPTSQIHSKKNSKNHFPY VLVYPDAFKNNANRLGTELNASQDPVFHLEIRIRVKEVNLDDEEKENKDLSLGKIEYT GGEDKDGGANKYVIIMNSKICNGHFFFTDDEIIRLKSVLYLYKRIFNKFKEEKNILWN SDFVLERESPLEAYKLAKLNDTDCSNNININNSKQPDKQLVSESSDFCQKCGGIDSNL MGEPKNKDEYFVEFILSFENFYTHLLVNSDKLYKSRLLNNEILEIHREAVEFSNESLT LVRPLYCFNDQIFDSKRCNPRTAVLTAESIGLRLNFCIQTSVFTQCVSRDKLLESRSG FMIPQIEDQNSCVREWKIKTGFREMNIMVIRNGTWGMLDFSLKKMNLKLVIYLSNIFE YKNNKQSEDILRRLFECIKLILKKKATRIVKIKFKIRDICIWSILYERIKSNGSSKQS NGTITTSSTVDMYLTKTSSSLDYVCLSPLKNSLSEYSSSMLPEYITVDRKKHLSKESI EEELFPSWEYGLSLPLPVPFNHPDLLLNCKPKIFPVFGKRPIEGGDFELENRYKKCFK SGKEVYIIPIITLKSNEVDFGNSMNSPISIEITISPTFLSIVPLVFRQLIDISFMYSK FKTVNLDESLLGSKKSGRSYLSFKAIQDQKTLKSQSIFLNTQKTQEEPKNEDTVTNIL KSFKNIPFASLEIKSKFNTMVIIFPEFNDLEMSDSISSRYFESYSMKIGQFKEGMDFL LGSKAEESSEKKSENSIKSNSNIKNDDYYISVFSNSYHPAIAFSHNLNFLFALDTEEG EESLDHESLKISLEFSQLRVQLPFVKNIGGNYVMDGMSGRIRSKDYFKIGSEDNIEGR DPILDIFIPSVTSCFVLSRNIQIEKDTDFQPSDTYGMLFGMINIPIVFAIDGARIPVM DDTFILRKYALRKNGGENNLSLLVKDREQVQEEGMELKGDLNSEEDSCFANCMMNRPE LLGIIINALGDHYLQIQANMNNFRFIFSLTTFSVSAPYIQRIKSWWNDIWLIRMRYPR CKGSTMRYFFVDTRSDTINISSFGLTVKNNKLDYLQEDLDQESLDHENNVEDKEFKWR YIKGTNEIYNINRFDYSNYEITSPLFFPLDESIPIVLALPVTAWLEDNVRPWNSLEFR AQDNSVIQFDDRLKSFNLGILQEERKNLLSKSKSFVKGNSSISSVHDLVQDHLDLSQN ITNHISDTKFQSVLKLDPFLVCQCMQENNSSQMRSNHSESNMVHNDLAEFNSDEDNLA RLDYRFSVNMMEVVGAGGRRRRSMNSEDIQLLHHDGSFEYFNSAYPNKISKSQRKGGG LFNGWNTFKQRLSSNSSVGLLPKEDLNFDQFEFNNNYENSRAIRGRQSTSLNSHLSHS SKRRSFSLFKRRSSNEVRNNSQNGYHPNLSPINENNPHHNNSKNDLRSGKDLKQNIGI EEEKIFKFQISLSNLEIWFHRDNTKENMSVISRENLTKDEIEQLTLEEVAVDWMSKAV YCVPASKSKREKKQNKDVFKIQILDHHEREVGNADPTFNSHDYKPEGEEEEEEEEEEE EEEEEEEEEEEEEFIENEQNFDKVYDEFEDVYEAGEESLVVKVKRPGENENNQVKSDL PIKNKEVHFFDLEEEGDEIKSKKKRRFRLNGKDHGESLRSRKLFTNSYEIFAFRKSIS TYDQADYDYIIKSNRYGKRQELAVHRYLKLSYSNYYIPSIGIDNKLIKAVESEPRQEF GSGSEPKRRQEALRYKIRRQDMGIRGSGKKESQFMSGTAFSILLSFEINTEMMNETFQ LSGECNEMRILPGFPVKIKEETLVQFGVLPDISGDKKDLKKNCLCNYCRITSLRRYLY LHTLNGRNIYLGLSKTVPNYIKKDFLLFINHLKIFSSPNGIKKSNISKHWTSYQTEIE IVIDEVTISISMDILQEIKLFINYYNDIKDSILYYSLAYSKSGKSYITPEAILNPYLI QQLSSLNRIEDQVNTTYCRRSILNQVLPTITSINRLGSNLKSSYKDGENDISDEKDTY IESRDVSSDEFSVSSSQSSKSSRSSNSMQENQVILLYYLGFPLPHSNINFIPESVKRH LKQERLNYLNKSRRYGIYATKNDSRIPYSAGDFGRDSELIDPVIGEKVDSKDDSEQNK VLLSENIIYSIITNLIKYTSLSLEWIFKGLKDQSYDSIPNIFDRYQFLSLLKLPKSHF EKIRQIIFEYRLSSFNIVIHNDNIDILKLSIDNTRCQIKFPEQISCKLAGVVSAITHD PIMDCMITIVRPFPYTLEMSLSKQKENFEGNIVNYKNISLSEIDLANYYLSSRSLLDL SPILEVDFCTESISLELTSGFLQNLRLILSDLKNPHLLGLVHAYERRINSVRIINDIG QSFLIIQPVSVLVYNRESNDKELMEEESTRKGKIKKRNMREEIRGLRKFFLKSGDYCT VSIKLPVYMAVEKFTHRKGQKTAARIQANRNSDYNRMAFSLKNQYHNNNRLLHIDDHE QHLNGFLNITSATMNGGKIDYRKKVKDEKDNHVINFERLLDKRGRDLDSLETKNEKNL KNSSGVILSDIVNELCTLGIPKRKKKLGVKYLWLEDKKSELLYGSTGLAESELRQLAE QLELTTPALGMASEGVEMMIHRFLISQSTWSSIGKLKDDTLYRRAYRLGILGFLLVLE PESGTSPNEWIWTLGTCVQIENATNTVFRVTANWRHGIRHLCGAIFTEPSKRNKEKEY SSMDNQHNHRNDLIFSKNDNLNNGIGTDINTVGTNKQYGVKYKGNERKDHDSSSNRYF PYIDIPPYSRRSIPLSWFLLSDMEPSIIPILDYNDIDDYDDDNVDENDHHIHSNEENI KRDFSYKSFGEDEEIGGSVNNGGRLRSQNDHNDKKFFSSVNKQKHIHKNKNKNKDTSE DCGAGTGAVTGAGAGAGTGAGARKKIPVDKKSVKRIQSRLHSIPFTILKMLIHEIMAT QPGNVTKAKLVNEVSSLTFESLMAFNCQVECMDIPTSDKYVTSYSYSIKLTPFLKLTN SLPFPIQIRLKMAGGLNLPALVGAVHDKVEFDIPNMADFTENRLRSLGLLNSPSLPSV AIPNGSLTTGEFGSKFCSGDWKSHSVNKNSDSNYSRLRRVYQREFAALIQFLSGKSVD YIIQSQQELELPICRQKINLVIYVNGSPLEYCSYPLFTNTHESTDYIQSCNAQISEFI YRSEHISISFPFGSTISHNVSLRRVSGNPFNAWNPFYSQLLQEFFSNIGDSGREKLAD AISNFTISVSTSTKRILFSALSRVENTTDSIICLFFNDITNTNNSNNINSNNGIIRKE GVIKIKNANHNNKLMEEQEKQEQEILDLEKGYEEGLKGQVEVEKDDKNDIYSKMDRSE IVSLLSTNYKIVPLPPMYRFFTSLETLKNMRIGSFTLDFFTKNLRITTRVRKGSISGW TEFNKMVSSNLLINYISKLSSKYDFSYLGTSYSIKLPLNPISESKKQNKEEEQQTVSI GVITHNNDLLSQLNCPLVSFYNKYEFVSQLPFPIVIHKFTNSKEMHQTIRTKHSKPLD LESDMRDASIIFFRNSKEAEDYDGNHYENDDHLNNGSNNLNQNQNTAFGGENLVKDQI TGNLDSSYISRKFESKPQKASKSKKKYHRFSSKDLKLPPKTSLSCDGVLLRPNERRPY HGNDPNVWICKPIIEGSSEPLQASKEFSLFSGHKLEYTPQLNKFQIILHPQQIYPNHQ KHDHHSTIGTNQTLSSGTHSTVGNSKSIHQTQTIGSGGTTITQINASNPLTTTSALNE LENKNPLLITIQIIPAILGNTTSGSTSLRDSAYFVIFSVAEAPFFEICNLSNYYIAYD TPEISKSHNYYYRSKKAVLEAVSVGTSQLEDFSRTMTNNNNDRNSANGVLNQIKSKKK TYVNHTYGTGYVYPDIFSAKSADISVIPPKTRIPYIPKNWDCEFVGIRPFNVRKSAWT THSVTSIKDQISVISFIKLNEKITKMNSNQINVKHLSGVNQSHNQSQLQDSKISNRFQ NPFTSMNIVSNTGLNSMQSKPKTGKLYVFLMVNSKGTRVLTIMDSRKYAEKLLNGNIL STSNSSFWDSNIENLLSLNSNQYGTNEENDKRIRKDVKDQIISKQIQSENGFEADFNP RRKYQKTNQLPSVRQSRNLSNINSTDTKSRDNLRENAYTNVISNSSGNKLISGRWRKI SCIGFKVSFFIPRISISWIHQNELVLVTHGSLFHVSANILPQNIYPMSVMNIVCDSLM NICYKLVGFSENKDYHKNPKIDSKIEKLRIISQDHLNSNKASISNQKKNIMALFTDRV NNLFNNTNKKKQIFGKTIQLDGDSDSQLLYNNSGEHNRIDYIWRKGHKIKKMLMNRLL NGENNEHINNFNTELDEENYEIQKKQSEGIGREGEEVGEVGRELMSIYTGSNIYSHDI RNTRNNMSFPSTSYNSYNKGMSSLNDISEERQFELLSENIKEIYQFLSRNLNSIGGSV SPKENPTLNNVLKLLRKVYKNCVSNEKYIKKKLSSSRFYGDEVNEGVDDGLVSLRSEF RTSIVGTLDQLYKDNDETDHLGNTYDQDLSLKYYEIYDGVLISLIHIVVLISNYMEET LLTSGKIILNLGLSSIHIDHFLPGDIPVILKTSTNNQISDRTESIRQIKDSEMKDYDG NENLNINLEYDKQEEKLGKSNKEKKNNIKGGNNKKLNSVNLRDLNEENIIENEINVIS DGGGDDDLGYEEFGSNSEDFMLKYSKHNGNFDDKIVNINNGKNLESKFFSLTISRSLM SPMYAPIFDEINITVSQICCNLERLVVQTLYFMISKEIENMNNITYSRQKTLWMHSSR QKMVKKKSHREMNYLGSLVCYVSGGYGLPMYITRTPWDQLKIGKPLYIRTLKISDIIV TITIRTSDDTIDIDTLTPEALLFMNILPLDTPHMILNVNSLNKNALVVDIAEFFHFLV SSYSRQLKRRVLPSLGVTHLVAIYSGIKRGFKALFIEIKRGVTDDDLTFVEGFIQGFR VGLIHFFRYFLGGAFQTASVIFNFGHKLLGGRRPRPKSILDAIWNGIVGMFMDTFITP WILLYRDPTESFRKTNKKSLFILTLIFSIIRIALSSLFGALNLLASITESLATTLIGD FEQFVHVKSRAELMSEIEKDRILSQGKEEKKFMEHNTEDQDFSDFESDKE cubi_00709 MIQLILARTVSSLCNCVTYFSGSSRSPGPNFHYRGFNKTRNDQT EYEIDSLLMEDDLVDELVATPLSAEEIAAKLSNSNAKHVPNNILGGRDININSNSGAR GADIWIAGGGSSMGIGGGGGGLRVERNENKISNDWWSDGEDDDDDGFSGTGGRGIMSG SNQTNEKIINTTFFNTTSGLANGNNLNNIGVIPNHGGNSIERDLYFDENIDELSFQGN NSNNNQLQKDNWDKEFLNDYRDDQSFDFVPIKENLDNFGISTDLRTEQVDKDLRKDDE IIFDSQRDLLDYKDSNAYNHNSNHDHLNWNYGYNDSNTINDKLDNNVPPMINNQNDKL LEKDFGDFGDFGDFTSGNSPNHFLTNNDDINNHQNETEDEYFESATKPNLISGYTFDQ ETDDISLKESSDYHNNQTTTDQNNILEVENAMDNFCDFTSPKRDEQQLIYNHRDSFDH ISFDANTEDTNNNIIITSNPIQLGQESGFGMESENPPESIRGHLLDDFDDIFNSSFPS NSNLTNNNKNTISTFDHMEKNFQTNNNTVSTYEDDEFGMFDFVSAKSPPSDDHLKATA PMFNSDQHHNTYHQPSVLLE cubi_00710 MENNQQLIMEPIHYLEHNHEREKQKQKYEQEYENKQKFNQKQNQ NKNDLSNSVISVAPMLDVTNTHFRMLCRIISKRTELWTEMVVDDTIIHCYNDETRRAT LEYVHFQKNESENPLVLQLGGNHPEKIEKAIEIAFRYGFRNFNLNVGCPSCKVASKGS FGASLFKDPLRVASIVDTCNKKFIDLGLVNTRISIKTRIGVDQYDTYQHLYNFISLVS GINVDNQNKSDYVSIFPDTQDERISLDYSPNNFIGADTFIIHTRKAWLNGINPSKNRT IPKLKYYWVYMLTLDFPSLTFILNGGVTSIEESISILTGDWYMKWYLDFKDIIQNIEI DSNKTKKIKTDNDIIDDHSENSTILNSSIALDDYYMEKNKAEDYERVYQAIRSGKWMN RIKGIMIGREVMNNPFVLSKVDSMIYGINETSGTRITRRIVLEKYCEYLSTIKPRDIQ DSGKFTIGELNMYLKPVFGLFHGFSGTKYWRRTLSEFIQRSKKDDTFLVRGPREILLQ SLDLFEKEHSDILDLVP cubi_00711 MTRNISLLQNNIGINQGNNDATQINNNHHTNIGEYVQEKENYPS ISFIILISLIFGILSLNHGLYYGVLLITVAISPGVLIIYQFKKQIIQGEISIKTITDL FTFGAIISVSTTLILEGLFFSWIFNIKNDHYNYINKYGCNIGFPLYIFIIILKYIISI GIVEEGCKLLGLLTIKPYIQDINYRESFTSHYVKSNIGYVLGGISTSLGFAIIENIAY LSNSMENIIIMFLVGIARAIISIPFHIFASGYTSIQLSKQTFRENHHHLNDDHISFKK VITKLLTLLPAGVLHGIYDSSLIIIVIISQDHSDDLGSEFNPIGEIKTCPKLFTKIYK LATLNPFSFKIRNLIGSIHRQTLVQNPLIKCFSPLSYNLITLGFFLISVFSYFACLFL FLHNWIHLERRTSSRRIMAEAGNRIRTGAGEVELVGEYGQV cubi_00712 MFGSNNNSNSLPSSSSSVGGGLFGSLGGTGTNTGAATGTNTGTG LFGNLGGTAGTGMGTGFGSNSGGGLFGNLGGSGNTTGSATNTGFGFGSGSVSGSSSSG TGGGLFGFGSGSASGSNTSPQNNIGLFGGVSSNSGSGTSNNTGLGLFGSTGNNTNLGT SPFGNTTNNTVSASSGLTSNNSSSLFGGNSTLTSSGGGFGLFGSQNQNSNSAGGIGIG SSTSTGGLFGSGAGPLGTTTNNNISSSQPTTSLFGTSGNASGVNTGSSSSSLFGSTGA STGLFGSSSGTTNATFSFGSASNPSLISSSTPTSATNMGLGIGLGLSSTGGASTVNTG SIFGSSGIGTGIGTGTGIGAGTGIGTGTGIGSGTSNSLFSFGSGTGSSSISSSTGTTS VLGNTLGGTSLFGASSPAITTTTNTSGIPSLTSLSTSPSLVTNNATTNTTTNTTNNTA TNNSSSLFGSSSSSLFGAGTGATTTTTATTATTTSPSIIGSSASGTSLFGGASTTAST NVATAPSTSSSLFGGLTTTTPTSTTTIGTNPNITINSSTTSSTTPTLSTSITGINSGL AGSTGLSTSSSLFGSSSSNKPENTTNLSLGTTSSSTNPSISTSAPAPSLETTISHQHE RVEDVLKSWESRLSKQVKLFNKASSDVLEVDKAIITYTTKLYSIREDQQEIRMRQENM DNRIDQIAQQQNSLSNTLKIIEESFSKKLEGSINSNSNIGVNTNIGTFNSSNNNSNNN NNNSNGLGTGTVGGNFSGNNDKPNQSMRISAARANALSSELQDIESQVETLMSQLNNL HERIYPSPLSEIVKILNMHQLTLQSIESEAQRLKEKITLAEDCLVRR cubi_00713 MLVESGKTTRGRNSTNRDMINGKSISSDDDNVFWMRIENEYFGK IDDRMRDILKFHIHSSEYILNIINDFLKVREEEDSNDFIYSSMTLMEGLRGWNRVPRE VHGEGFGLFENNGESQNTLDGYLQDSLKEEQNNKTLDFEEEDTENYNEKKIKSISLTL SIPPLSKPTDFPRELAHCKSLKEILHNLVKIQCAYKYTKLNSSRNLYSTLGIKEGDTQ DKSLSGTCNYVCLVPDVISGPNVEWYNILQESDKERLICKDFDCYNYNDISEEWIFNI NNKIENMELRKSLLDTSHLLPYNHYKKELEEESTQLNSVKDEQIMIDESISKNEGNNQ IKSILGTGEYLKKNEYFRMNPKDLVPVVELEGKISEEDTTVSKIKRAKSFGDFFQDNL HISPNKLLSTKNSNIIDNSENFNIEYTDRSGYLNLSSIMNSDKRIDFEMANTLNKCYI FQDTAQDNIHENYHGEFEQRYLNRQKDKELREISISLIKRLKDISSLKVEMFQRLLSR VEKESQPNLSYWYKKEYELNDYYRRKHFDIGYNYTLERQAMDLEKFRLGCIESKYLPS EWQETALCSICGNDTDWDEDPIYFCDGCYQPAHHSCVNPKQPFRYNSTRMLNVLEREK KCQQGDLDSSNPKKKDEVDEDDQWLCDVCLNIKSQFLDHQYLFFGIIRMISGPRDKET INQVIQSIRKNVNPFLSQLIAYNVISSSVRDQSFTDPIIFPWINDWIFPEITQQDSES SQEEENTKVVITERRKGRPRKTPLLSLTTLNKTSFRYFTFNFSTIKPRNIHENDQKER GDQDEGKEKQYHDNFNVSDSDYNFEHQNYHFEWTPTCQIPYNFANLEIFKRQIFNPVK WYNKQEVIRRANQTPPPAIISCLESMIDSDNESIEENRNRHICGNSSSSSNPLYDHTD NIIQKKDTGVLEIFKHDEVIIRQLEEKGVIIHDKENNFYKVKIKVPVCQLCGYDAYCR SGGIMKKTVDGFWAHIRCALGNSSVLSSDGNYLRIKVDLNRNKIKCEGCGKTDSSPIL CHNDQCCNSYHINCASSRKDCIVDWENGRPLLYCPEHSCNIAPTILLRKYQLSNFNKW YNYRFENQNYNDSIFGNIFTLPSIVRQTFLADLRDDTRTVIFLTRLLSKSENIHGLKN QEEIRQSNCNSETLSIQKIQTQHNNRGDHHFVSGESQIVLNGFAKFHLYMPELVYVQS IETVLSRLTTPWSGETVFWQKVIAENIFNSFGISDLFQINQYKYLDNSRIMTSSIFGI MEILLNRMINPYEIRLITLMIASKMGFGPWFSFFYYWKFIPLTFYYNIIKGCSDLSLY EPKSYIYSSDYNVIDDYFNNNELQMKNVNYHLGLFGPKNLSIEKLHPNEAHLKSINSC SSIISQNWNYCCQLCGYQILLNHSLKKNREQSLFGESNHIESYSEEAMINSNLSKIGF GYSKIPYLLKCQICNVVICSVCNNMYQYGLIIDYNDKLILQYGGNSILDDENHSQSIL IGMKCQRCIDFEQRKFENVITESCCCLCTRFDGILLPIRKGSQIDFTGVKIRRTLLVD GSISLDDQYRLTCDVWIHPVCLEWLVMAKVQVFSLNNVVLIEKKHFGNVCKYCGFSNG ATVGCALNTCNTYFHVSCGRSIGCKFDSSRIKTKHIDQYYSVLNNGGALNANSSGVLP SGVYRRAWCISHNHCNNSNGNNNNSNNNNNNHHHHHHNHTNGNNNNNNNNTIANSGIH ISRGSIMNSYGSNFVSTLPSSSNYSACGTIMVSSFSNSQDLAQLRLLYSNIIQSNNEV LNKAEQGLDINRNINVSYALNQNLDTSLKISLLGYYGLVLSVDQKNRAVLEKISSTSK DNYIVSDIKSNHLLIDLRNPLFLRKIEFNQETQSSSSSSSSSSSSSSDHDILYIDKWE DLIQILVYEVVSRFVYGIGIPKQKTINFSKSQRKRNLSSNDQKNLQSSFGLVGGMGIP GILGVSGGKIETKGITREIGALETTVITGTTGENGTTGATGTTGTTGATGTTGTTGET RTVGNRLEELTSEVQVANSVLMERPSSISIQNVCPACDKIYKRDWQQICLDWIYCDLC NNWYHWYCLGLYKENIPSENEPFHCMYCLERKQKKKRGRKRKNIQFDNGGDNSTTINN NTITISNDVAQEENLFNIEEGDTSSFFSNHKDFVPKSVEEGETEISGNLIVEKQNLVI EPLSPSSSLSSSSSISSLSLSSMYVESQSSSSSYNSSQIKRWVKKRANSKNKIAHKRR GRSRKGAKKKN cubi_00714 MKLLFLVFLIVLQFHSLLFSYKSSSGTFKLIKLVNNHQFLEVSI LKLQANVPKPNKKNNLSMGLQSQNLDSSSHSGSETGSLNSENSFSSSNSLITSKSSVV GNISGSKARGANRSRSLKRKPHKNNSSASEVGTSREIKPDDQSSETSTRNLELEGNYV SRGRRRSRGRDRNRNGSIRSRSVSRNVSRNKSRSRSRSRSASGSRNISRSNSKIRSKS RSKSRSKSRSKSRSKSRSKSRSKSRSKSRSKSRSRIRSYHSSNSDISCAKNKHEEEKR SMPEALPNFSSYPLSNEQKQEMIKTRLSLSEKFKESCSDIKASNLCLFSTFIKNCKLF LSESLSELLDTELLDVLQSLWLGLVSNKFISDEVVPQIMNVSEVLSKYVVVDSLEELE NKHAHCKRIVVMFLNNVATYIETKLKIKELKKEIKYLRR cubi_00715 MSLYVYILFLLLIGVFTRNPSSFTNVCKKESQYNLVLENVSILK VRASAPSGKFCPKCGSRILRIGCRCPPTNRPESSTPRGEESRSKRRNPKTGRATFSLM VFGPERSGPNQSLTEIKDPRVKSKLISEKQQQISSFKKSISEIKDSLDASLASFIANC NPLFTGYFSTLEDDELKEAIVEVLLNFVGIRMFEEKMPFLTDKKDLKQLMGSSGMSIE ELAILLESCSELSLTLMNNFASMFDKKEKVKELRQEIEMLKS cubi_00716 MVELTNVKETKEIDESEMEKRVEGLSEQMKNQQEYGIRNKKAEY KKLQNKLKKQRNKENRKRRRAGLEEENKQVVLNNKDMKEQLNQKEDRESTDEEVIYEI QENEELRKMFGEACKFLVGLDYQNTLEKDQSNDKSQNIQGERSKGKQEIESCEKVVEN NNETDKNKRAEIIDSINNYEGVSMKLIMEEEEEEEEEREEKERKRRREFLQEMKNRNN GVVGKMTVKELKERTNHPELVEIWDTTAEDPEFLVYLKGCQGSVKIPQHWNSKRRYLQ GKKGLERPPYQLPHFIEETKIAEIRALILEEESKMTMKQKQRRKIRPKLNRMDIDYQV LHDAFFIHSTKPHLTQFGDLYYEGKEFEFKFKNIRPGRLSQRLKDALGMQPNWPPPWL IRMQKYGPPPSYPYLRVPGVNSQIPNGCEFGFRPGEWGKPPLDDHGNPIWGLLPPIED DDINYSKNPKITNNSKSNLYSSFDYWGEIEYNHFDDLEDSEDQDHDEHKEKQNHTSNI ITNNTKNPNYTNSNNNDNVTICSSNLLTGGNNNNLYLVENPQDNKNSNQNNPSISVNT NPFLFNYNQISSNQDNKNKAGQQPLYTVLERKDLKMDDNSIFPSTYIYNHK cubi_00717 MIRMRLVALLFHSLVLVSISYYIITELNNHIESGKRMQQVLIDI GPSQKSNIIFPDSEKMTGKSVSESEDEDEEEGEDEGKYGGKDEGRDEDKDEVEAKGED KDEVEAKDEDEDEDEAKGEDKDEGEEENPNQNENEKTSSSNIFKSFEDLPGFIKIFQN HLESNFSKPCQNYWNESLKLSISKYKNTNYPRSFKLSPTLQTEEILNYLSGTTINEDN TMIRIRIKYIFDSQTKKIIQFEPIISCIKDSRIPKPKPSRLKIRDVNHTIKYMFKAIK EINKESKNRNLSQNNIRFLDVLIHYDDCPIIWNSMPFHIYNNDTLHLEKCILNSKFSK LIQFLISNSTEIHHIIPSKPINSKYNFSSIFNNSNNFNNKYPKVLNDSLKSLPSYYLS FNPPYETTNNLQGNNYYNYSRYSSSNNNHHLQSQNKDDGTPPLNNSNRIFSSLINGNL QNHIICNEINNFVEKIISKYSLPGIMCSGNIQCYIPCFFKNNLIFSSIFDKLKKRDTS KLFNHLHCICKFINPSSNPESDTNSSSGLILSISSHLNSWDFASIPYVNHLDNSEITA RSFIYNYSQNNTNLWNLKNNTLFFIGRYTDISRLDLSCDIYNLLHNKFENISNQVFIS DSNKISCENRANLLEKLTFCESSFICRKESISFNNWISKSIFSKFSLDLSGVGPWSNR LRILMLTGAMIFQNVRSYHSHQFYDLIFKKNNLFFKFNNPNDIFLNINSILENPQISS NISKIVSNFAINCLSEDGITNYYKTLLLELGYWDLDSYMFNNTHYSLKNFTEIQFFPK DSLETIRNKIETCIG cubi_00718 MQSSKYKLLETGFWNEIPSKMKMEPIKSQETNGIKQGSSETIHP IIGKDQWSSGMGSLINSEEKEGNSVDSNGLDGGGLVVGAVIESGKNEVIGGLSLESSV ISMNNGFGGNSNEPLKSSDDIGIGLSPKRSKCMLNDELELETITNNNGIAAGSGSVTG SSSLKWNTGETGGNGSVMGTPCSGQDSSHIVTPQPPINHSYRQFGSINSSTISTTPTR SIHHNADIDVDLDLDVEVDVEEEIVDDEVDLNQQDDPSVNLNGASGGSRANSERGGGG EGGSLFSGTQNGIFFIKKNNGASRSGGVSGQHHTQGVNGGQSKTNGLVSMNQTGDQYK SGYKGVSWNKRMQSWLAFWTEGQRRRSKTFNSKIYGFDVARSEAIAFLKAKQSELQSI GQLPSKKSMQYIRSNMDDLSSLKSMNGIYLSNMISGRSLGMGRHKFGGILKSQGGDIL CDYDGHEGTSLGGIGVPVGSSNTNEGLILGNLASANITNNGNANSSNCNGRGSNHSNN RSNNGVGGSENGSSSGLNIKKGSGGNNLMGMSSVKMGVVNSEVADIGSLGSMSHIHPL IGNNDFMSSIENKALINHKSLFSSYGGGDSSIVGGNLAEKLGLSRVGGNGGVGSGSGY VGMIYDDTVNGAGMGGFGIIGGTTAAAAVAAVAAASTGSSSLPTSLPTTSAPSQSSSS SSESPPSASSTSTSASISTSTSGSTLPSVSNSNSASNVASNTSSPGTGTNTSSSSNSN SNSNSSSSSLPIIGTGSNVTGQYYSLSDFKSVGPASSIGFYNSVTNGMGGNHGVGMGI NNRIMTGISPGVIHPKPTMTAGVQMETYEDAGGLNKIAQGLFVGGSNVNGNNNPVGVL GGGHIGSVPGGVSVGVSGLVHGAGGQGIMNLSGGGGLMGNVLMMNGGGTNGGAANGGA LSGGSANVNGIAGGLEASNVVSPSTAATSLLQMALNTINDNSIKMNSYLNNNGIQQVV GNSSSPIGGVRPEDQKLKAIGIDEAKQNGLNSSGNHGKDLAGYSGSELNAAASKGTLM LSSSPNQVFEDQSKTILVAGIPSLSSPTSNSLHSSVSVVPGTSGIPTPSTVATLVTPP SLTASQHHLNCQQPKQNIFHHQNQQQSSLTSSSVTSSSQSPSSSTSSSSSSSSSSSSS SSSSSSSSSSSSSSPSSPNVSTSIMGGSNNAVGSEGWSDIFQYNKLVNEAMNYTINNL NVGGIHVSNDKSMNNITRGMSGMSSEINNIGNSNLLNAVSIFDNNIRSEKGIPNNGGE N cubi_00719 MKFFKCSKRQKRVVFMIIILLISYYIFIGSYASNKNNSSKYVGR DKQTYGEYFLRYFGSNWQEKPRLASRLTNLFSKIKLVNIKKLLNWGDLSPVNDELYTK KDKNCKYLFMLLNPWDLPNECISEESDEQKFNNDSPSIIILEHDPDDNPWRHELYKQF LEKISGMKAFEDHISLARLGEGLGAELAGFFKVKNFPKEAGEDYLSRLLDISLDLEFS DNDCKRNVNIRKLWEFGRLYSRFEKNGNSSVTGGSKYYREFLAFVYYKCIYGIESSSS AYITGNIKEGAQSQYLLNHTEVGNETVVNQEDSFFEIIACYQLIPTLGVEDNELVSLG NLCEWEFERKDFYVQNEIDQEKIRLIRQHINQINEIYSKLDIIQLNYKYEQNLANTDE LEDLKTSYFNQLILIIKKISKQVMIQYTYIYDEIGSLVDSSRKIDKNNETKTPSDPGN YSIATTTVLNSISDQNKQNSDSLDWKQLMSSIIENTQNILVREHINTMLINFLFDAII RMLSPWISLFYQASFIINSYLSIISFLLVIVAILVCFNTIPCFRSEKDEEKSQKLWAK VLRIYKASVMVLLLNTIFILGGLLRYLYLPNEIELLNANITIIKAVNKVLNIILCPCV VNIITSFSTVFSIFQWQLIWISRKLSFSSLINL cubi_00720 MHAKLMSSQILFTALLLISIICEVGCIVNFLDFFKKFEEDLGQN KLITDQCSTTKQEEKLKCYWGILENELIHLLRAAKSDSYGIFGFNGTTKTLIFLRRLI DLTSKTPKLKTNKRFEAYQNHQYLNNSLIDAHDIRWYNYYELEDVERIVNRLANLDLV NYGIVNFRNENSPFGNNSKKLTIKLIILSILYKQYSMDKLNYKKTIKDNHSQKNYTRN LLKAFNTEMAILEQDIGSLIKKSEVYREKKKTMNSFIKKLTFLMKYIKSEIIIFLDKT SNLFDSIKKKLYSRILNYYLWLIILAKLFFIFSRKLISMILLFDHIIIFILDNFEDYL FMLISLQFTFEMAFTFIQEIYSALISLN cubi_00721 MEENSDSSYSSSSSSSSNSEIAKIAGDYCDYGSGKKKRPFSRGK FGKPGGRGNHKLGTTRPLAVPTSGKSRVPKSKQSGAPKSEPSGALKSEPSGALKSEPS GALKSKPSGALKSEPSGALKSKPSGTPKSEPSGALKSEPSGAPKSEPLKGVSASRPNR EPTSGKSELITAPGARSKGSKAGPRNNRNLSGQRNFCNYGNKENPTIDGSKVNERDRF VGKKNNQSNFVSRPSYSWRNEFSRLNKLYGANIIAEEFLCDDDNDKPTDEKNAKKDDD SFRKTSIKFSLTYHPSDPDFPFSKLSEYNIQESKAESSSPEKFPILMHITVPSGYPKE NIDQVILDTPEYQYVTSKFNEAFQECISKSGLTLYPIYEAIKAFDRNLSELVSSGLPS IESINEYILLNWTPGEQKLLEEAICYYKYTKDVNKKWSEIANHVGNGKTVKQCIERYK YCRSLVANRELEKKLTIGDKGQNQDINKFTIDDDLYSQKTVNDIPLEDFGRLNLSNFE NLLINGLEIFSIELIIIAILRLQIYCSRCNEINDYKPISIPGNQDLALNNQGETKELA FLDNLVLGNSQNCKKCGLKHSIHFSPLICHSNDIRIGKIEFSECSLRDILPSDILVSC SNCSNFLKIREFFVGKQYSVNCRNCFKELKIKAEGLIVGNEIFNVDKSTDFLLAELSN MKKFKKKVNKDSKLPTAVGTPLPSNGTCSHYKKSNRWNRFPCCNKAYPCHECHDKDNP DHKFEWAKQMICGFCSREQGFSENCKYCRANLTGKTGNASGRFWEGGKGCRNPLALSN RDSRKRKLISRQLKKTL cubi_00722 MGNSVPSSSSSLSSETVNTNKNGVESMSTPIGIKNFGNTCYMNA GLQFISAMGIFTDENFGNLKLNKSSSEEVCRSLISILTQLGKPGRSTDIISPYQLFKQ LRQQNPELFNQYQQDAHEFIMYILEIIHNGTVRPCNAPKLSNDDLEKLSKKSTRPGNV FWNNHMMRNNSILNNTICGQFRSRITCDNCGSNSDTYDPFWDITLALPEVSDDYEKIS IGSCFRKFFEQQHLFHENDEPNYNCSNCKKMVNATRCINISQFPNAMLVTLKRFNNSG EKCNGIVSFKTAGIILKSLTEIGHFKLVAVLQHNGSTLFQGHYISYVFRKEFNGWFKF DDDIVTLVDDILEEDIQAYCLLYILESKSTLNSNSDQAYSIGIKNPRN cubi_00723 MSVFKGALTVLMRGEVLTLGEYLEQKAEVRGGEEEEFLKNPGEE LKSLLEETLVLEDPFTPKSLKEGFLDLRKLRFNSLDNSLDINGVKKKLFGISMEKILE WSSVITQSYFSSFRSQCLCSEKRSGKAEFNETTLFSSKTEQIKNMILETDKDSPNYQL EDFEILLCKHFPKIKISNLRSFSGSKTSFEFLKRKKYAFCKATLYKFQNLDVWETLLS KIGPIEVLLLFVCCIIFKRIGNKSESFIQQTGRMLTNDFLEELARLRETESKRSCFPS SSSSESSLNPLSSIKEKPWNQPPRQILKETGETTVVNESRLSKIYQIDIPSHSGLLYC DHFSKKGGLPCLSILRLLPPNLLGARTLLRFVIQSDHLFKEHDRQSLIGLLGSYEMTK FSRVRCKMASFMLGEFQKLLLNIRDTSPMNFLNKVCPIEPIKDSDLQNLNKLPTLCFE TSSTKVVNFIRLYLIKVLPKNILGTFKNFKTFINKKVTIIVNLHIRETFKIKHAMNKI EVSNWVNRVLEEKNHQFIQKSKNSAFFNPRSKKVPNKTKSSTKKNLINLGKTYLARNM YFLMVYLVIPILRRHFYATEIEGSNKVRYFRHPVWIKIVRQADKWYLESILRGIHHKD FVNVENLYSIEEVSNLMEKNSEYSENIPKIRWVPKSKGLRPLLNLSKVGSGQILQQIL EKKHFCEEKKNIGFCDCNSVWTGGDLPTSWNNYNYYNYCNNSTHCISNNRGRNFSTFG NQNCKFLVSSVTGKMRRPSSNRIVDARRPSTNNMLLYPSKILRSFLLRKIGKNYLGAS IVQYGDIHKNIKSWWLKNEKDRLLVGKELDKEQQRNLSHKKIYIIKADLVNCFENINK SKIFEFLDAICLPNEISFLSLYSRTLSKTTIIPPFENISRDSFQDELGRVSLITSKGR LNTVPIFEEDHENNQVKSKVNKIQGFDVKKPILDIRDFCISKKIESVLGQKKAEIFTF LNSKRVINWKSVKDMVKIHLNTNFVRLRTLNRSSRLIKVKELEKSGKSGKRFLSLFKQ NFGIPQGSSISYILCCLYYGFLDLNPEIQSLLGYTTPSSSSSVPGPEELEQKSQMNQK YILSDRDHDHRDHDHDLETTLSHYQEFKENEINIYNNINKRRKIETSKYNNAKNILQT SERLDINDQNNQIRLELNQYKEKSYLQNNKQKNILLRWVDDFLFLTSDLESAKKFLKL LYIQKLWGSNISKDKINSNFLWIDHNNEIVILEADKYSSIEEYLQNLVEIENTNNQKK DQVDDEIINKAKIALKQFQKQVLWSGMKFSSDSIYLNCKISPWKNLEHVSVMDTITLT TNQQFINNNSISYKFKAIMASENFQKSNYMWSVLGIKLIRYFEFRIKNGLLYDCKINS IHTIYANIMIVMYIGTLKIISTFKRIKKIHQGFINPKFLVKVLEWISNAFCYNIYFYK KQLPNKYQWNLLIKCAVYDSIITCINSRHKVLGITDFFKSYKMKYNHHSSILKKKFNF CPMSSFSIIREHNLDLPKVYRIKKKS cubi_00724 MDSTLEVYSLPQLQDRIKRDPGAYLSDFELQLQHFYSTLEVFRL NPQNIPKEIFQLMIFIAQTSPYYFKYGVCNKFIEKLLDELKNNSSLMTSDMRVSMATS LILLSNQKIIDIVYLLPLWFDLMRLPDKILRSKLLRHIVSSIVNTNIKKTGKKINSKN RFKTILKSKQIGTSFSISDATFSCFGELGSDQLQGQAQQLLKNYNISIGYDLKKFNST IISFLRDRIADPKDILRSLAIIIEVHRQHVWNDAQTVNIVAKCCVGSTSPKVASTAAR FLLGRNCTMEELENDIEDEDERRELAAEAVKAMKSVLLGVSSNSKKKKIEKAKKAMKK LEKQKKSKTENNSLVRSNQSIDLIHCPQEFAEEVFQRVARHTDPFEIRMTLIGLISRL IERHRLILINYYTYLGRYLSPNNKNVTNVLAFLAQACHELIPPQELSSTIKLLMDNFV SECCRPEVIVVGLNTIREICQRVPLVMDKDKLLDLANFRKMNNKGVSIAAKSLINLYR EIMPSMLHRSLMSKEAAMNIKDGNNDDNQLSYGYRKIDNTISGADLLMKYNNRKNKSK QETESESSQYPKEYGYDLDKDDNHLENDINQEEIEDLSDIDFEELGSCDEDFEELDEL DSDFQIEAEDSMEVPRTSETEIGVSNQNIVFDKILDQDDFKMIKKLKTRVEAAKAVGV SKSLENEQLDFSTTSSDEGSVTGTSGEDDNSSESGSESGLDSDDSTDYDISRDIRDEI TRNLGKKKLSKQQRIQSIMKGREGRESFKEKRLRGKFLLIILVQVFFVLETITILFRI VNYNTSYKELIIKDKKMGFILFSPSPSIPNLPPPPPPNFPPTTSSFQLFQI cubi_00725 MNDILLGYLEKPGSHIVLDSRYFPSKFGGKPAWLNPQNLPKYRD LQCNSCGTRMRFLLQVYAPQDDREDLFHRSVFVFICTNCTCSVQAFRCQLPRKNDYYD YNPAPTSFLFENISPEKVLSELKELNFNDVCNICGMPLSVESKEQGSNVHDKCNKGDS GGSRAVLDEFSLDIEICSTDEEDEEDEGDEDDQEETDNDGGEDTPDEEMIPTIRENST SNEEIPGKSDNINHKAIKLEQEQRIENEKQHFENVNRQIIDPKSSEYKLFQDYKNKFS ENIDNVLDHSEMRAFGKISNSNAEKDAIFDKFINKSRKYPGHIIRYSHKGSPLWISDK NIPAEIPHSCPLCKSSRIFEFQIQPEAIVLGNLPSKIEFGVIAIFTCSNNCQIDNYAP EYVQIQNNPY cubi_00726 MRILMVGLDAAGKTTILYKLKLGEVVTTIPTIGFNVETVEYKNI SFTVWDVGGQDKIRPLWRHYYTNTDGIIFVVDSNDRERINDSRDELMRMLGEDELRDA TLLVLANKQDLPNAMSVTEVTEKLQLSSLRHRSWFIQSTCATAGDGLYEGLDWLARNL EKAAS cubi_00727 MESLIPVINELHDILTIIKEGSGSYKISNELGLDLPEIAVVGSQ SVGKSSLLEYIIGRHFLPRGQGIVTRRPLILQLQQIRQENRDDYAEFGHKKGLKFTDF EKVKEEILIETNRLIGENKNVSEVPILLRIFSKKAINLTLVDLPGLTKVPVEDQPSDI ESQIRKIVLSYIRRPSCLILAITAANTDIANSDSLNIAREVDPEGLRTIGVLSKLDTV ENYSTTIQVLSNQSYPLNRGYVAVMCRDSRQKAGGPRSLRASLNEEKSFFENNSKLKS FQSRCGTYNLVNILQKEFLDHILKLLPQIKNHSKKLIDLKQMELLNYGDFSQSDILDE MEGISALTNRALFIGGESLSFDGNYMIKNKGAVILNCFSKFSRKFQDMIDGQASYQTG LMKLSGGARLNYVFHNWFGNTLFSFDPLDGLSDTEIRTAIKNSTGTKSSLFVSEGAFE VLARIQIKKLLRPSLTCVEQVYEELKRLVEQCSLPELNRYSNLKNNMISVVNNVLEEC LGPTNRAVVDLINMELAYINTNHPDFIGGASALTSIFEKEKACLTEGLTRNNSNDMSN NPASNPILLETDSNTITPSNRNRIVLNDFFNDQHEPRKSYLISAEYNETENVATYHNY KLNETYYTSSASRSLKNIGGNSPVLPTNHSATSSIDIFSPARQIQGQITAKHAHAGLL GANLVENEKNYLGKYSGKMGLPIVPETIATTGDPSEREKIESDVIKFFIVSYFNIVRK NIADSVPKAVMYFMVNAAKEAIQRELVAKLYKEEIFDDLLQEEKGIVEKRQQCHRNIS KLSNITKQLETLISNLNL cubi_00728 MENSESSVKGNFFENQEMDTEIQLDKESLQVILEEGENFYPLLE SSIEDEMAGSKVSPCSFMDKFKQFHESKVKSMNLEQIEDYCKEFVEALQNGK cubi_00729 MYLEKEDLHLLNGSFFRVNFKAIPQGDLKNILKACGLKSSTSTS SNQQIALLEGIRRYVLTGDLSEISDLSRPNVKSIESKRYTFDYKNIINEETISLELIL KSLKKSPLTLEKIMLSNSVSVSEIADCCSKYLNTPKSIFSTKVILDKIQTVLASLSIE KIVI cubi_00730 MSRDQMPYREYYDMPRSVPYGVPEGYREDYYHMKMRRDFEGGYP PGYYYNMDGRHYQEEHYPYQGQRFEHHGGAMEGPKESKFSNGSDKWDRSNGERRNIGS DLIQNLHIERHKRDSGSVSRENDGRKQIRLEEGSGNAPQQGISSSQVGKLSVQEVESG VRINKEFKDGGGLSVFHTTGKDSIWTKIDILNKKKLCYDCQKEKWRDKITPLCKECYR KLSDSSGSKNSKKECTYCKIEFLQHRILKKAFKVFNKEICMDCCKNLCKYNTIPVRCH FCDCWSAWTSHLLCDRCSSSREQFGEPLPCDMCRKTCAFDRGEEARKKLDGRLFCFLC TFKYKKLKHEEVKKINSEYKRAEKALTKVDLPEISSTNGQNDDKKSAQNLVSTSDSNC KEAVDWKIVAQEKTLLYEKAKQHLAELQAKELSNKIETGSLITQLKEANSNLEKQNKL FEDKILQLNAELNDWQLKLNNICDEKNKQIKLLKDEKRQAIQEMEGLLEKLKSENREL KEKANSFTNL cubi_00731 MVDTSKILGLLQQAKVEIKKGHSVNLNNLSNLIKEMKIMLIELP SLSLSSKEIDLTELVIARDVLEISVLVSVRKEDLLGFERDFLNLQRYYIDYESILAKS TNQDMIKGLYLLYLLSCDRISDFHIALEIISPNDQENEFISFSKKLELYLLDGNYSKI MQMQSSLPTPDYQIFFKELIDTCREKVAKCIECSYDKISIDKLKSMMRFSTNEELISF INEMSPNSTKENLNNSNVQWKLIDNAVYFEKKSSSCSNNLDLISNTLGYAIELERII cubi_00732 MRKILHIIFLNLWFVFSLASGSDIDHEKKNLIKTEEDGVSKIEG GKNLPELGEVMTSNFTQTHTGSNYEFLNYMNLSSEVVKESADELIETSLLGIGALKMS LELTNQTQLQDLESVFNETYLKYKNEVAPVLEKTISGKKEWFSNIDLKIQEKLKELIV QNDETNSKKMIKNVPLNYINGIDVNKWYPLLDSINMFAISMLSGGFSDKKQTQNLNKK ELQELVEKINNILVLFSMITSSTFCKQIAWGLVVGEGQSVSILNGISRKLIKRSQSSV SLLKSRNESVIKQHNLFIKKRMELDSNLKGLLDIIIKARSDELNSLIIVEDISQDLQS LKSHYLELNKEKSQWITSNRKLVKAFADWLQISVGLIYRVILGLSKSFLAYHIRNLSD LVLTLKENKGDLEEVDQGIKKSLDVFEFTLDGLKTGISSLRHEKNSEIFSQLLKKLQG HFLTLKKGYLETWNGLYKLIKESDPKAQLENFFESSKDLIEYLWQRELKNPFKFDSNT EFHNRKIVGLFKKVGEFLRSENVSKNTEIQNGILGLRYYNEMLFPDLKLQIQVLDKEK ERFSMTGVFDLEKLEYLLNHTYLNELIEKCNTNFKNFPNNPTLRLGISNKMMTKTSMW EEESPLISGQEELKKLSTDFILEKRSKSLIKKFFETNLIGSDGSQENTLRNETIEKLL DLKEKLMKQNFSLQKELETLKEEGSELDSVTKPLLKKIQGFEMHIQVLESAISNIKSS DQENLDFLIEISQSFLNKEGTTNEILPNKERQTSIKKLKDETNRFKNELSGFKVILDK DLKVIKKISKYSNDISILDDNLKIMERFLSQIQARIDLFCGRIDKDGTSKTSRALSIL KYTFRRKKPDNECESLTVEFSRAKEEISAFLKNASETVSEFYEGFRMCNEVEAISSRF NSIVNEFQLAKKELQILQSSYLNKSLDQGIKLLEKSMQKLSQDSKAVTKELKCYNEII SNPEVITMYIKNVRKEMFKLQNTIERELNNYIYKN cubi_00733 MGNQLVKLRADRAQDAQDVREWAFATFPGLETNLEAIFAYHCVD GVGLLDYEIIEKISRHLIMNFGYTDLLLRFTTPNGALDNRHVSNGLAILNVDTRKPIT LDGFKNFVVCWLDKLIEVQDKDVENLNSALNNEQEKQKARILYAVAQWRERFKTIDDF HIFCEDVRDAKKVELDEVVADVYDMQERLNEQQLRLLQRQKEVEEVYANVQAEEAAIQ EALANAPAASQIISEEIARTFKNNIQGDVTQIAYSSELTPFGAPVSAGASTSFKRNIP KARKSAKVLGMC cubi_00734 MKEKTPAEAKRGDIETKSHSGLVSSFHADAPTFQPRSGFVVGMG AGAGTGTVTGVASSSGGDVGSGLTASAQPFIPSAIRHNTSTTIDHGEHGGFQMSSVGY SNSHIGNQSASGSKQNMVFTGSGSLLGGTPPQDSVGFGGMDLSGNASVSDGTMGVVGG ISGSEYEGLLIGGTTSGQKSSSSLQEESQSSLGGSIHGIQYNSGTGSGTGSSGTSGFQ SHNMNGLDSTNYYGDSGNHTVGHNQSITTASGAGTVSGGAVGGLGLGVGVGVGGSGSS AGMNQHHHSHPNILDLSEYCRRVRGWNLPFLRTIPQMNAITQIRHELQLQNISLLLTQ NDIISANQVHSGSSGEGGGDGGSGNGSGGIGQHYVPPIVQRIFYGLCLLDDSNVPSTT RFCGYQTYAYKAINVEDYSAYCLRRIDGFPLSEFDTLRPLLERWQKLAQHPCIVSYRQ SFASLDFSQGSLVAVYDYIPNASTMESVHFKEPIGEPLLWNYIIQIVLALVHIHSSQL AARVIDPTKLLISYRGRLRLNCVGILDLTRVDESKTILDYQKQDLVALGYIILALCCG SLTIINDLNHAVEQIFLKSSLYSNDLKKLVLILLSKPALNKNNLDVFILANMLAARMI PQIEHSLKLTDALENEFRKEIDNGRLFRLLTKINTIADRTQLNAIHKWNETGDRYICK LFREYLFQQTDSQGRPVIDMGHILDSLAKVDVGTSETITLMSSDGSSILLVSFADIKH SIEKSFCEIIAATTSSSNFHDL cubi_00735 MKPISLKLLSSFLFWLVSSSLLVNLARSSLIGIDIGNDNSKVAS IRPGRGIEIVLNSHSQRKTATAVSFSSSSPSIVRLFGEDALGSMVRNPIRTLLHIPSF LGMCGDEISETKLTEHNGKTSLPNGLRRDLFPYVIEHNNVQNGSVIRIDGHGMIPEEL TGHYLDFLRRMVESSSTKDGQNKKGGSSFNLNPGPVFGSETVGAVIAIPPVFTQRQRK SLVDSAEIAGLNLFGLVNSLGAAAVHQSTDLRNNENSTFIYYDMGAKHTSSCVVEFQP VNATHMGRTVQTHKINVLGCSTNFNSGGYLADQAISDLIIERIRTAPEKSPLAGIPLD NSRVLQKIAKQSVRTKLLLSTLKQADFFVESLYKDVDISQSISREEFDRLINENILSK ALEPINESLRVANRTMDDITDVEILGGGIRVPSVRALLDRYFGSFGKNVSQRLNGDEA MAFGAAFVAANQSATFRTKNIFYNEYSSNEYSLKIGDRVIPVINSTTHYHGVHRVEVR SGDDFNAILSENGRPVSRFNISGIPGFISEAQSGGSLEDSLLNVTLQIRVDTYGILSL ESAYGWKMVNQTIRVPVIVPVNSTKPEEEDSVLNSNSTVNSTESGSVLNGGKKNSTKT VYEEKVITRSQPFMLKFLEEPLDCPLPLTREIKQSISHHINELNKLDAKHRQLMYLKN SLEALIYDNRNKLYDEIYQKVTLEEERENITKLLSDTEDWLYEIGDSITLELVEEKIK NVSNMTDLVHIKAEEFKYRNELITNVDKKLNFTIQTFEAIQFTHTWVQNSTFTEVKGM LDEFQTWYNDTKTRQSELKPTDSPVLLRSETLEKLNNVVSNVQRVRNIPKPRPKIKSK PKKQDNETQSNNSTSNQFNSTISSNNMTSDSEVVPPMNTNSTLGSDSSDSSNNSTKIP SSEQNRDQSEL cubi_00736 MIEFTSSLVIRWQSKWSLIFWLFFILIKEISGDLPPNCIHGDVV GTWRIHVGTYKPCTSDPKFEDPTCGFSSPDRDFAHNMLIPTERGLLNNYFKLSFTFDV KFEDTELKVISVQNLDGVDTQNFNDFEKVGTVGNWTVILDQAFTFWTKSYRYTAFFKY INEYEDINASYCYCHTTLLGWWDSYPDSAASNENNEENKILSLEERGKHGNYPWLLMN EDLKLRRGCWYGMRILDGDGKMTDRSEWTLKLPRWRSSPLGLPPDHPVNAQNPTIAEY LNEISTKYSEFDSRDKLWNRSNRFEIGNKRDLDTLPKIRKALKISPHFAQRKKSNEEY ITEISSVREEEQISGSKKTIDGEPIWMRIRSFDWSNPQHVYGRLGKRVQLVPEVFNQG DCGDCFAVTAATIITSRLWIKYSKDPNILKKVYASSIQMGNCNVYNQGCGGGLITLAF KFAQDIGIRTQECINDYAKHIGVKKLYPSPVYTPDSSGIADDGHSFLQTKEGNGNEFE NQASKIEEIHQEDWEYQEQDQQEEQYNHESQPQDYQEGQTDDMEEYLDGNNVEHISNL HDYYAYSDHENSSDDKEFKQSSGLKYTNNQRFNVIQQLCWDLGGQMGAANTQCRTRIP ITKNIPKSCSKIIKVKEYSYVNNVYGKTTPRDIMESLWNEGPVAVSLEPTLEFSLYNS GVFKGFYDPVTRQYPWSNIPWYKVDHAMVITGWGWETYGSERIPYWIVQNSWGKRWGE KGFCRIIRGVNELSIEHAAVRASVTIYENGKKYKMADLENVHDESVFQYL cubi_00737 MGNERLLDKEDDFVGFKYNFLHKLHEISNDEIMELAMDSDNVMG LLEISLYPSESSLEQLKYSRLATELLCTNVFLDVIISGYIFGMDFDIDTNSDSANGSD LDSDLDTDSDLDMDSEKCSELESELGLRPDLHIEINSLPDINIQCNNGTYSISKKGFS QKDISYRRGCLEDNRLDRIYAMPICVLIAFILDNSNEYETELGFKSNIVGTSNFSKLV YSLMMYDFEWTWKYVLLARNGKVLLNLSRLVHNPSVFHLLKLIIGILFDHDHNHDHDH KLLIKGTETKIESILASLYQNLVLDEDLEGKGKKRKDGAQLVISTCEFLVDIFQGFLD LAQVFEHFSFEHIQVELTPLSLDTNLSRKIKYFKEKEDLNISFNYWSKRYRREKVLLS DEIIHELIFNLGRSIQELDFILKSESLFNLEDLNFYSGFASGLMFLVNTVLKADRIEY KEENDKDEESKKNKENKNKQEREKKSLFGTKALKWINEIGTKIWNFSDKVKNSESQGV LLNSIKLIKGIEQRVPDRKPRLSMVNFEMIKIMNNYIWEIVMKLCMREDFSEPGRISS VTKGYLVSLVVEILDYIENLINSEDLDLLRSLIKETEKEGWCEKGKGNQSGISSIVWE ILDVIVFRGKVSNSVLEEKVLKIFKSCLDHFGKDKTPTLGCKDNFSNSNTFCLDQITC TRKYFVLGYLKSSWFLSYMGKYKSRESWMDDRILRRTITKVGVTDPNLFKMVKTTRTA NIENICDSNIKLGISRIMRNRGRRSFSKTENVSDMNHQGVSEYLYNEVKSMQEDLPWV AHYLWQEK cubi_00738 MNVIQVEEYLKNKYGILSIIKEKKQEFLEELEKRRKNKKVNLGK NNIAGAKSIARNGENDDWKKDLDNFILDCDLRQYFIGESIKFRDGKVISDSDNLLVMV IRSYDCLKSKKSSVGFDHIELDNDLQDEDWDDFLDEEELLDDLGNEDDYDNKQLENKN QKFGTEEENKRVKGRKGGQGRLKKRMLYLTLTTGKMAISSLEHGNNQKNDTVIINALE YERINNINFQDNLIYPGTKLMLNIKQGGLGILQVQNSILLLKNSNVRCLGGNVESLVE SWRLNNILNGSRSKGFSGSKNLTKKPPKFIPFANKESEKKQLNEQVKDAQQNYQQSKE NQSKSKSVPSVSSTSSSTGSTNPGESKSDLNNLESTVKAYNDFIENIHKYKKLNLVSL EKFNFSKDVKNENDLKSKKKTATTSSSDKHKLHDDHDPGAPLRGRLLRSTEKIDSDAR KFLKSRHDNSSNVTLFDHLFSKLEIKDALSTGDNFKGEGIVEHSAVNSSNNTGGHSVG ENKNRRGRGRGEGEGGRGGRGGRGGRGGRGGKDSSRGGKDSSKGGHSGRGGGRRGHSV KGGSNKGRAH cubi_00739 MNNMKFMLYFGFLVYVIGSFVNAENLPLPLQKNKICEHCILKEE PIVDTKVTGAYLVVEKETTVSQPTEKHINPFNTVPSPPKVGIEDHGNEGFDLKELDLK VGEVPHVVPEPRYIPDVKVYDSQLEELSFPENTDSEMQSETEDEDSDQEELILTRIQL GGNLDLVSKRNENGQIEIQNIDKFDICCTEVGTESKLKSRSRLRGKESQIKKECDCIG SNSTSFLTYDLLKLESVKKPANCTEEPSPAKEELYQNNTSHAIRKLIASSESEKCELC TEERRCFYHNGKKTHKPIEGSPSTASSDVPNTSSTTTTTTTTTTTSTTTTTTTTTTTT TTTTTTTTTTTTTTTTTTTTPFPPSISDDERSPFVSDMSNDEVPLQENLGKPQTGDVN DDIDMHTLLDNMNTLVKIVKEGKEEQVKTQVELRKERELLTKVILDLRDSKDLTQLYI QLAKLEMEINLVRQNIEQLNRELEKAKSSSNLLTQYENQNNAELETAKHRVSRNGVPS AKLVKIISRLEKRKVTTQGLQIDVSRRIESLLQSISKNEARISELLEQKSNIEAKIEK VSSEERLSFEKSKEKH cubi_00740 MEEIQVTPYLDQKPGTSGLRKKTRVFMEGTYLANFIESYFQSFP QGHFEGATLLVAGDGRYFLPEAIQIISEIAAAHKVKRIWTGVHGLCSTPAGSAIIRER ESGVAVGGILLTASHNPGGIDDDFGVKFNGKNGGPAQDSVTNSIFEITKKLTSYKKIS LPKIDLSRVGMQELIPNQFTVEVIDTTEDWLALMKKIFDFEKIQNLLIRKDFKMVFDS MHGVAGPYARKVFIDEFGLPESSLLHLESKPDFGGLHPDPNLTYAKDLVEIMKATNPE KADENTPDFGAAGDGDCDRNMILGKGFFVTPSDSVAIIASYAKEAIPYFSKGLVGVSR SMPTSTSLNIVAEKLGIPCYEVPTGWKYFGNLMDASMIDICGEESFGTGSGHIREKDG LWAVLAWLSILAYRNPDPTKPLVSVEEITRDFWKKYGRNYYTRFDYESVETEKADQFF KHLNLLLEDNQKLREIIQPYGIDIKLTDNFTYHDPVDGSIAKNQGLRFIFQDNSRIVF RLSGTGSVGATIRVYIEKTVGDQAKVNSTTNEVLNDLIEIVEKKINLQELTGRDRPTV IT cubi_00741 MSNNHQDLIFGWEERLVDPSSKSYLRSKNLLSIENFERLLKSLE IAESYSCFKLINDPHFKPLISPLIKEYHSDLETKSLENISQNVLDYYLNYILREKDQV SLKIAQFEILCLSILLLNIYMQSNWTGPPFKVDDGISKDWKLEKKDNVCDLSEFTGII TESCLDNDKEFGNNYYKECTSCMEIDGEYLYQKCNSAPFLTWSIFLIEFLSNRPNDNN DEFNSESNILKLSKYIILGDEIPRLSFINFWKQRFYRIWQRSLEGGIKFAATPYLESV ILENYSNWLKDEWKIIPDNFPINDRFKDNLLRSFQFDDELNNKTFVSENLDKNVCSIF LLDLALSLSTFSRANPCAVLLKQISLMNGFRYSFTGALGQKRMNQRESTAQLVVQVYR NSDKLEDEINNNMKKNEEPVKDSLTEEIEEKIIDRQFPENVLLNTVDPNIDIYENVKL DDDNNNHLTGSLAIIEQCVLLIHGVAIYETSPTNDVIAYEQLNALTNRILKFDITKIK DENLRKKIHNWLCFSTALWYRCYAEHHRSRTADRACLQLQSLVDQFNDTEPGPEERLR LVFSVNYPNIWEAKKELGIRMMRIGSVLSAYNMFVEMCMWEDAVDCLIVADRKNEAIE LVKEQLKVRETPRLYCSLGDLTQDLSFYEKSWELSHHRFARAQRSLGNAYFKKSQFEL ALEAYTKASSVNSTNVNCWFSLGCVALRLEKWETAQQAFSRVVSLDPQQGEAWANLAA ALSKKELWDEAQSAINEGLKHSRDNWMMWDSSLKIAIKREDLNRIIECLSGIMKLSSH KERFPMWSLPNIINLLKSEKYINEKNNSDKPYSMINKSLNLLNQMSQYSSKPVVYFVL SEIQILKNDFVGAYSSKMKELRGSMEVIFNEKISSDDKEKYFKDIPNIYSDIKEIISK CNDSAKSNEERIDEVNMVITTIIKRLKITKPAWSSALEEELYNPLS cubi_00742 MDNKTQQFFKNIKEKDPLNNKCIDCGAAHPQWASVSHGCLMCLT CSGVHRGLGVHISFIRSITMDSWTPKQMKAMEIGGNTKLTEIFNEYGLNGCDIKKKYT SQIAAYYRGMLKDLCEGNTPGPKPSISIGCLEYVPESNNNKNNNYGSNSVSSSARTSK SFSSSSASFGNNPNDDKYANLFDRNIGSKSFGGILDTLSSFSMKVISNTKNYAESTIN HVNERGILESAIDSVKAGGSYIEEAGRAVVEKVQDEKFWSNTATTMQNSAQWVNNTIQ SGISGVNEVIQTAIDPNNFNDPFNNIFSDDINEISTEKTSQIHSQKSSESRNFDSYNS NNSNFDRTIGTKPTSTPDSLISNINSNSQISLNNKPSQSVISSSSSNTNIVQSDVKNI NLWESDLGDDWEPKDFKKGITKKT cubi_00743 MFYIFLVLKLIFLLVIPTIAGKDYYKILGIPRNANENQIKRAYR KLSLKYHPDKNPGSKEKFMEVANAYEVLVNPETRRKYDAFGEEGLKSDGFGGAGTEGG FDFGGFGGFGGFGGFGDFGGFKFSAGGNTFHFGGGGGPFNFGGGGRQQGGHSRSHFES QGSSFNNLYSDSKHVTELSTMSAGEIKEKIKSREWIMIVNFYRPGCGPCKQLVQAYSS IAKIMSQYDVEFGAVNCDTHFQLCQSYNVERYPHLAMFIKGKNSQIVYTPSPGSRSSY SESNIGKWITEKMPDHSMRLNSYNQAVQWLKLGKHIPKAVLFTNKDSSSPLLKKIAKD FQNRLNLAIVSINNDWLKKVFFASPHMEASKPATPPYILSVDEINESEVKGGRKGAKS PSLTASGEWISLNTISHDVITLTLSRIVGSFRARKQHDMNEAMKSKVQELTFQLVTSK GFCSETDSRFCVILIMEKNDFKIDKRFEDLSFKYRNDPINFFWISAFKDGKNSHFLHT FKCYSESSSPSSKMCITIYRAKRRKFQTFDNFEQLPKFIDGLFDGSHSLSFNIPKSTP IPIPEKDESDSYQDYEQDHEYSDSEDDVDVDQDGPNGSPRDEL cubi_00744 MVHEYIGSKITIISRNDKRYEGVLHSIDVDKSTITLKDVRYFEN GPNGTTGPASSTVFEMIVFRGSDITDLAVCQPASANNNNGLPNDPAILSVNGGSGVTS TQIHATDTGNINHQKQQKPLETSSSNSRRSPKMSYSSAVSGGKSSNSNQGNSSSTSNG HKDHPRNRQRGAGYSGRSYRGGAGGSSHNYSGGGHQRSFVVGELKPRINQALKAELDT EFDFSEQNKKFEKTFNEGLASAYTEQGIKLGSPVSEINNQSGIANIGLGGNGSSTTND DKADDQSKKLTVGGYNKVSGFFDSISCETLDPERSRKSQGSSDPQAKALREKQKLIDK ETFGTSAMKPRAGSYNRGSNNRRGGGGHRGGYHGNNRFNKREA cubi_00745 MITSRSILNVFLFSVIALPSAKDFFHYRCQNFFVRSQELKNNRY DGISFGIDLEADNQMMENILSNIEQDKSLNDDLESPNREGLVSGFSRIEDYHPNEKIQ YEADEDSFVKFDTRMMRHFSIEDITFNNTIRNYDNEKSKYHRVIKSGIKFAEYSLELS RRQRKRTFISTGARKHKILHLNQERSIASASIIASVTILKSLLYRELPTNTRWVYYSF YPLILSSYLQAYKDFKTESKPKGFFSKSMHKKKNLALFRLNKSLIRISRHINSLESDG SYNYSVKPRHFWRLVEPTIVSPAHGLITTDLIKKPKSLSGEYLKEVMSILKSNKYKLA IAQNKAREDFYYYINHDLEMVKD cubi_00746 MPELYELPSYLALREYANRQKCIHLRDLLKNEVRNSCLTVNFGD IFMDFTRQNLDEEGFELLIKLATESNLMEKIKLQLRGGIINTTEKRAVLHTALRSKSN IPITLASGQNVLNDVSEVNRRIFKFANAIRKGELLGSTGKILKDVICIGIGGSYLGPE FVYEALRTTQQGFEASMGRRLRFLANVDPIDIRRATEGLHPETTLVIIVSKTFTTAET ILNAKTIKEWLHKVLKSEVAVSKHLAAVSTNIKATSDFGIPVDHVFGFWDWVGGRFSV CSAVGLVPLSIHFGANILQEFLDGCWDMDQHYETAPISKNLPVLLGLVSVYNSTFMDK NCVAVLPYCQALCKFPAHVQQLLMESNGKSTSIDGDMLHEKIKTGAIFFGEPGTNAQH SFYQLLHQGRNTTNCEFIGFVKSQCDSQILGDPISNHDELMCNFFAQPDALAIGKTQR ELNHEDCPENLIPHKLFQGNRSSISLLLPICNAYYVGQLLALYEHRTAVEGFILNINS FDQYGVELGKVLAKDIRNIISSKKTNSSPEIIQNKEEKIPGPTRRLIDFYLKHSLDST S cubi_00747 MPENVKMTTNEDVNASEKRMTTTRIIRRNSPYLRAPRMYSISDL ALACPLHCPELSISDFDIGRRLGSGQFGSVYLARERRTKYIVALKALRKKNLVKSGME VQVRREIEIQAHLKHENILQLYAWFEDKSRIWLVIEIAPGGELYEKLCTDGPLKEYQA AKYMKMMIEAIQCCHRKHVIHRDIKPENILIGVDGQLKLADFGWSSHINNNKSRRRTF CGTYDYLPPEITRKQEYGPEVDIWSLGVLCYELIKGEPPFPSNQGHNVQYYLIQNKQP EYSPHWSPILVGFIHAALQKLPQNRITITDMLKHPFIVKYTSGETLTQEITLTENSNK TFNIENYGNNDENVYKNNKTNQPTN cubi_00748 MLWIDKYQPKYLKDLKCNKELNNLLEKITSNSNGNIPHLLFYGP SGGGKKVRILSVLHEIFGDSVDKVKADMIKPEGTNSEFVLCQSPHHMQISAPDLGTKD SVVTQYLIKQLSSQMGANSFFSKGPNYRVFTILEADVLSLKAQAGLRRTMEKYSNNSR LILHCEQLSSIIPPLRSRCLCIRVPLPSPEEVFQVLRYISNSENLQVSDNYLEQIVAE SECNLRRAILILETAYTQSFSSPPTALKLPWQKVCIDIATSIVKNPHPKTLLDAREPL YDLLCSCIPADLILVTLTKQLLSIVSASAHPIIINAAAHYAHTLKLGNKDIWHIEAFL AQAMNCHKYSK cubi_00749 MELKEEENIVTLLAVRKAYNYFLPRLNNVKEMQILNNKAEPEQE ESVKELDHTSSEVRKKTYDKKRLSFVRFLKLDRVEPHCDCEKDDQGRCTRMQNWLEVS KSNELGLKKIYRELINIVEYIVRMEGDDIIEIDLTDDGVVNRIFTNRVMNSIYMDVCR TYPSIPYFKLEGKSYLSKILLIYSLMDIDVGYVQGMNFLVGCILWHSSSEEQAFELLV SLMFNYGMRDMFVSGLPGLRVKCRILDQLMEKELYLIWDHIIKQGGTIDMLATDWFLT LFSYSIPLNIIGKFWDDFFQQGWVPLYKLILYRLQRIESNILHSNDIADIMNAIKYST PTTKNGIFGNAFLNFKDELGKSNVVRFFNHFNSLFSNNTISSNDQTGHEFGFSNSDII QNSENNGSNTETNVNSSSLTNDPNIISLPYVWSELITESQYEIDLDVDYIQEMELKYS SGPDKCLEFFKNNNYKAQATHTNSGELNMQSFEYFLDDDEIKEKEEQDEEQPISNNTI SDSQRDNFKSTNLLNSSKNSSESEQDQVSQSLETNCTQETDIKDQIISRYREYYRGIM ESIFQQSDQSYQPKYSTPATNHTKTISHPDNNTNHVDKSTKQSISKSIYEVKDKLESS LERLLSITEILLIKNINKQHLKELSIKCKEIQKNKFGPWSYHQ cubi_00750 MDDFSSSLSKLKKEQEKERKRILEKKQKEKKIQEKSKFEVEDYL SSIERAFIKQQKNIINEINLDKYNTNPNLNDGILQEENKYSWILKLNLKDDNKSKFPN NGETDQVILPADLLKILSNDESIYPLYFNIKCLNYIEKNDKQDKNIIETHCGVLDYSE ESGFISLPKKVIRCLNINLHDFDPKKSGSTIWIQITYKNLPKGSFASFEILNSQDIFK MHHIESFLESYLRNNFLTLTIGDTLIINQPNYLSNNYCISIIKVKHLEPENSISLINT DISLDIIYKDNNDFNIDPIKDQTISEDLVINTNNSTKQLNIGDILNIDDGLDVIHFKV DIPFNLKKVFLNESNTQSTAKLSISVFSNYYYDIFVSFPPIFEASSHLYIFRSFPEDQ ISEINIDNSSFGNKNNNTTTNKTTNITNNLFISSLDFINYLKTLESNAYNQDNSTLLA IFPSILFITIQKYESNLLDSNILSKKTLSSSSSIQVKINYSKNNDDLDRYIPDSGYSI CTNCKRKVPNVNLDLHYIQCEKIYKRCDKCDLVLKKIDLEKHTHCNKCFRFGLSLDQV DHHEKLYHQYTQCKLCNQDNIKPIQLRIHQTQECPKRIILCRYCNDFVQAGTNGHYVD YKDKYYYNLTSHESYCGSRTTNCHLCNKTVLIKELKSHIDLIHTK cubi_00751 MVFLPANLNNLLLEGSYNNLVNGSEIVKMSREEKKNGGDKIEIK VRNAGLIIDDNNSNKLLTSQKDELLKQYYNEYSFPDYLYIKDISNLKKSVKGNKSGPG EFMINQYKLGKNRIPNNSTISNSRINNNNNNDNNNNDNNNNNNNVKPSWKSIINSSTS TVGTNNTNNGVNQDEDNSDSNISSLTITKVDNPPFISIESSEKGSGIVKNIKENISLK HIFLASSTSTTTITTTTTTNNNNNNNNNNNNNNNKNNTNNINSSGIISNFTNNDINEF EREVLSEDHEEEQEMRISSFNSNIEDDNLSNNNNNNNNNINNHNNNINNNNGNNDSQV EINNKEKFNILTDLFSRNITKNQNNTKKYNILSDINKIYNILNTTSTSTSTTTTTSRP ESLSLFSRLTTKPPPKFLISSIKTSTNSTLEISKPDNTTSTITTFIGNNNNNNNNNSN DKTKLLIISTTTESPKTITTNTSTLKNKVEYLNSGLTGVLSAFKYNNNNTSKLITSKN ESNTTSTNTSKFTNSKSEPTTAFTSTSEFNNNTAEPTNTSTSTHKVTNTFTSTSEFTN NTAEPITASTSTPKATTSFANTSEFINNTTKPTNTSISTHKVTTTFTSTSELNNNTAE PITASTSTSKATTTFANTSEFTNNNIAEPTTTSTITNKPTNNFTSTPEFITGTAEPNT TLITKSKPTSTTTTFSSTAKLTTTTTASTSTTATTSASKAEPTTTPITKSKPTSTTAT FASTTTTATTSASKAEPTTTPITKSKPTSTTTTFASTTKLTTTTTTTTTTTSANTAEP DNTFTNTDKPSTSNPTSTSKFTTNKAEHTTTSTNKDKTSTSTTTTTTTTTTTTTTTTT TTTTTTTTTTTTTTTKTTTTTTTTTTTKTSFSTLFDESDEKSLNSKEFLTNDQDLLVK TRRPFILVNKEDEYKEKDQSSNSFKDLKNDIINGKEKVEFEITSDLNNNGINPNVYHV SETNPNQFFNPSVIVVSEGSNNNTRNINSKSGSTINLDNNQNTNTISRAAEPDTTTTS ASTTEPNTTPITKSXXXXXXXXXXXXXXXXXLLLLLLPPVQLNLTKPLIIQTSLLLPP LPVHPSQPPHLPVHSSPPYITAYHYFCKYIQV cubi_01265 MRRKKXXXXXXXXXXXXXXXXSPILKSKIEKEKDKKEKDEKEIL EAEKDTSKEESKVETKEEPKDEEKKDKEDLIAKLKAKVPVKPSPILKSKTGKEKDKDK DQEIEKEKDKEKDKEKEKDKEKDKEKDKDKEKKEEKDKTEIIKEKSKDSEDEDKSKED KDVTKKLKETKKEESKEESKDEEKKDKEDLIAKLKAKVPVKPSPILKSKTEKEKETEK DIEKDKDKEIEKEKKKEKDKEKKEEKGKTETLKEKSKDSEDEDILKEDKDVTKKLKET KKEESKEESKDEEKKDKEDLIAKLKAKVPVKPSPLLKSKTEKEKETEKDIEKDKDKEI EKEKKKEKDKEKKEEKDKTETIKEKSKDSEDEDKLKEDKDVTKKLKGTKKEESKEESK DEEKKDKEDPIAKLKAKVPVKPSPLLKSKTEKDIEKDKEKDKEKKEEKDKTETIKEKS KDSEDEDKSKEDKDLTKKLKETKKEESKEESKDEEKKDKEDPIAKLKAKVPVKPSPLL KSKTEKEKDKDKDKEIEKEKEKEKEKDKEKKEEKDKTETLKEKSKDSEDEDKSKEDKD VTKKLKETKKEESKEESKTEKKKKSEREDSKEFSDQEKSKNKEIEDDEKESEKDSLNQ SKTKEITKSKAAAQLKAAILARSSSKKSILTDSSEDSKLKKTESKKEIIEHETKDGGK TSESKSDLKKTLKEGEGSISKVKGKSKVKSKTKAKAKETPPPAEESSWFGGWFGTAAP AEPPKEEEKKEDEKPPEPPAEESSWFSGWFGTAAPVEPPKEDPAKKPTTKKSLVKKSS SVKKDIDDNKEEKEKNEENKERKEEKQEEKKEEKKEEKKEEKKEEEKTKEEVKKIDEK KKSEESSEKIVKAKPKSKVKAKAKEAPPPAEESSWFGGWFGTAAPAEPPKEEEKKEDE KPPEPPAEESSWFGGWFGTAAPVEPPKEDPAKKPTTKKNLVKKSSSVKKDIDDNKEKK EEKKDEKEEEAKEEKEEKEEKEEKKVAKAKTKAKAKAKAKVKAKEATPPPAEESSWFG GWFGTTAPAEPEPEPNKEEPKEQKSEEPAATSWWGW cubi_01266 MGDNRRMTLLRGLQVFTDELEEDKQVGIKLIGNKTDNFLGIIRG PVGTPYEGGVFQLDIIVPKEYPYEPPKVKFITKIWHPNISSQTGAICLDILKDAWSPA LTLRTVMLSIQALLSSPEPNDPQDALVASLYKNDYSKYIETAKNWTQMYAKPTSKEEK VKRFLDMGFNRDSIITALERNNWDENFALNELLSEN cubi_01267 MFSSFMAIKKILQIQSSHSVNNDYLDTIIFLRFNIALMENTLES KLDEMPEDAKIKLFEIKKRVLGNNYILDKYFYNKYNILNLEKKKLFKIFLRFFIYPAY LDLHNYGNIILSRLDQKLKNKVAYINKDINSLKKMFFNLFDTVSFI cubi_01268 MNLYHLENHLFQLTLILAIFSALVLSESINGNNKSIENYNNTAD DVIENNGGQIIMEEVENVIKRLKGDTNLTFNSDKLDNKTEVVREITEEDIKKVYEEEK MEKNKILKEMFETLLEHEFDTNLTRSNKFESLTPLKKGEELSNVIIDENFLSKLNDLD WQITMEKMHNTIWYYWNNEVHKEYVQRIPSALRQLRQISKKMGGSSLFDDGELGDTIG KLNNKPKSADRKIKKLFKKLFLNDFTSNTSEIKYKRSEEAMINMVIEFKGYIRILLKL IDIKASQVGLLLLNKVQSKDFKNLSINLNLFRNNIKSCPVHTAFSYRYQDENFDILMH HEKGFGIWNTGDLSNTDRKKSIEISREIDDMINFIFNEFIAPIALVGSSIEDIAFIHS SLVRNLWKNISAQNKVPLYTIFDSISKATFFFIKQINDKIYMVNNS cubi_01269 MSNVNAQLSRKQQLLERGCNEMVEEKTNLTNLNHNVTLSSGLST TATSSTNLETSSRKYSLGKTLGTGSFGIVCEVFDVETGKRLALKKVLQDPRYKNRELD IMKVLDHVNIIKLIDYFYTTGDEEPKPPQPPDDHNRLGGKNNGVNNHHKSIVGNLSQN KYLNVIMEYVPDTLHKVLKSFIRSGRSMPINLISIYIYQLFRAVGFIHSLGICHRDIK PQNLLVNSKDNTLKLCDFGSAKKLIPSEPSVAYICSRFYRAPELMLGATEYTPSIDLW SIGCVFGELILGKPLFSGETSIDQLVRIIQILGTPTKEQMIRMNPHYTEVRFPTLKAK DWRKILPDGTPSLAIDLLEQILRYEPDLRINPYEAMAHPFFDHLRNLYEVEVNNQSTF SHGINQHIPQLFNFSPYELSIIPGNVLNRILPKNFSPNYKH cubi_01270 MRSPYAEEVEYSEKFNMDTHEYRATRGNGQLISEEEWRHVLGLQ MSRGWVHFLDWKKEPWVLCFRRPQGTNPQTGKVDNKSTENVNQMNK cubi_01271 MSRRYDSRTTTFSPEGRLYQVEYALEAINNAAPTVGLLCKEGVI LGADKAVVSKLLDQGKSLEKIYTIDRHIIAAVAGLTADANILIAQARIDSQRYQYTYG EEQPVEQLVTQICDRKQSYTQFGGLRPFGVSFLFAGYDKNYGYQLYQSDPSGNFSGWK ATAIGQNNQTATSLLKQEWNEDLTLDQGLHLVAKVLTKTMDTTSPTADKFEFSILTYN QETNKCTQKVLSEKEIKELLEKVQKEIASENNARNNSEANHQS cubi_01272 MLLKEIASSAKISWCPLSTKNKLNLLALGGCGLNPCLSVGLIDI TNNGKDIQNIGTSPLNSRCTSIAWGSFNLEEDALGLICTGLEDGNMSLFKPILSTYEG NRKDEKILLERVCETAIHPSAVSCLEFNKTEHQLLASGGNDGKVYVIDLSDGVTGNLN YYEPGKENKHGDSDVTALKWNPKVSHIMASSSSNGTTAIWDLKMKKSAISFRDPAQRS RPSTLAWVPNQPTQIVVGYDDDRNPSLQLWDLRNVSYPFKEAVSAHQKGVMSIEFSPI DPNLLLSSGKDGKTICWTLLNNQQPEVFTEIHSQQWSVQNQWSPNIPRVFATASHNDK VSIYSLSSHSSTTTYIPSWYHRPCGVNFTFSGKLVSFSSKSVSGVPQFNLYRVPTNPE ITAHADMFDQLFNQGDFIGYCKRKCQESESSNEKLAWSLVENMFSEDSAERRLYIASL LGFDFNNASSAANQFLGRKCGVMSQQEKLQKELSTINQQNNISLIQDPASTTFTGMSY QNSPTNMNGNHLSGFPGLSGQITSNQSLDPEKFFQQLGESESPNNNKDKESELNQKGE DSIAFGQDYIGGGRFCSEDADNEMMNSSFSTALHGQGQQASQDLFNSNVFLGNNMDSN HGISVQGTELSHSNANSGKLHNLRQKEWTKQEEDVVNELIVTGNIDYAIDVCVQRGFF AEAFILAVKFGGVYLDYVQKQYLKRHEYQYTQKILSHVIMDDLEDFVKTSNLDRWNET LAIISIYTQPSGIRLGEYSCQSKYSMESLSELLARRLQEEKFDVRSALVCYLCARNFR NSIEIWGNMASCQSSQILGLQDFVEKVAILQAATRFNGNDENITKQVVQYAEILANSG RIVAAMKYLSSLASNDYSINSSTLRDRIYNAAPELMNSLGFNKPNFPFTIIDVVPYVN ANANIYQHQQLPNQQHTNQQQHAMFGVKNPFQSNLNMGMNSYPMMSNNSSNNSGGMGM VGQIMQPPPHSSHGIPPPGPPSILSNQLHPTPSPPPPPPSSLHSTINSGISGGIQTQS QAFRPGIPSSSGLPPPPPSSSSLLSSGGSIMSNQLPPPPPQNNPTLTRPSSPNVVYSQ NNIGLGAGGGLSSVNQGVKQFLPQSAQPPSINPPNSFMNINQNNFGVNNIPPSIPTPQ VSASVPPPGTVPVPPPMAPSPMPNSNNSSIIGGGMQGVNQIAPPSNRSSITTAPHSGA TPPIPGMPVPWPLPTATQQLNSITSTTANANKQIQEASKKNNSQIMGKPLSAQLLDMV TNVVNSNLNNIFANDPRKKMDAQKRFDELFEKLRVGNVSETVSSKVVNLCQALQTGDY STANKIHIDLSSTEWENNKNWLMAFKRIIPK cubi_01273 MEIFQETYEQLLRRLNDNREQLRKIDEKLLELKADDPNYDIFKE VRGDLIEVIQLVEELIENKKKNGDNSFEDVNIGRIVEVFYKGNKRFGRIKSRDPILTS DSNTNSYLISLFGKNSETISFQFQELKLLTQFKGLSVGEKVQVLYEEDGNWYNSVIIG LNQNGYIIKYLDYDQEEAVTYDKVRIINKSVINNANYGKNSQQLNSTSIITTPGGYKI PENLLIKSYDSEKTKLEKKKKVSVIKKQQKNEIIETQAKQKQLSWKNHISKFQKNSQM I cubi_01274 MDEELNDLFKELERVQNQEINKNIINERTCIEILYKLIKKKGLN LITSLDGETFYTHKYLYDEIHNLLEKQGRISINDISKTMKISVEIILKLTKGMENDNN YIFYKNDIMTRKYIDDIFYNLNQELQDLHIMGLMKFSQKTDLSIDFIKEEIKKRTNIK DSEIKGTIVFESNNNPLIISDTYYRIIEYIIKGTLLVAKKPLYLDQIIDIKIQNISVI IQTTKNLIEKNWIKGFIYENKTYIPEIFIQEEIFNLINYFNNNGFLEIEKIKVILRYS EKNLDIKNQKIIEWSKSHLNDNIIIFDDLFIINAHKLEIIRDNILNSCFELNPGYIYI NHLLPYILTSDINKNCHFDIIKLINKLRNNPIEIQTNNWLFVIFDRPDSFYISNLDYS FLKLRLEFEPKNSNNYFEDIQDLSNKCFKFDSNTNIFNNNYPNTLLFITNFNLVINSR IISQFQNFIFNKLENIINTMFFPSFQLLPIPISEFKSNKSKNEVETIKYVYNFINELV FKNNIKNLFFSEFKQEWDEIINDNPKNTETFSLSNASNDIQTIETNFQDLFWHLIICS IAPFIVHIYNERMKFIYDPSNISRTFHIEDD cubi_01275 MNRVFQSLRRRVSSSETSNSQNSTDNNNINDNDNNSNNSQGAKN KQDSKNVKNIKQSNTSNTSSSSTQNTGSNNTYDDLNLSKNDETQVKSSPTTDSHLITN CSSSNVNTFLNTDLDDHLKLKVSSNSKYNNSSRNFEINKSESVNFDVNISFPPIEFIK NEKFAFLQAFCIDHEDESNRSSDEESNTNKKFNQEVADINSDFGNHSDIESNYLSNSN LLLPTGLIETSHGISLTDDPFSALPLLQNIKSPDDILLLIKKKLIACCITFNFTNNSY SNLKEKKRETLLELVEYINNNDQIFQEEIIPDVLLMITSNIFRPFNQCHANLNTGNSF LQSNNASGNTSNISIHSSNNSTNNGSSLNGSVGNSISESKDDEQLLEPSWPHLLVIYE FFLRFVISPQFSTKVAKKYIDNTFILKLIDLFQSFDPRERDYLKTILHRIYGKIMPRR SCIRKSMKHIFLRVIIDGEPYNGIAELLEIFVSIVNGFTIPLKEEHKIFLETALIPLH KAKYISSFHQQLIYCLIQYIEKDTKLSVPIIEGVLKYWPITNSSKQILFLNELEELLE ITPTNYIEPILIPIFERLASCIQSPHFHVAERVLYLWNNDIIVNLINEYKYEIYPVLI KALSCNGKKLHWNPTVHGLSFVVNKVLSDTDPELFSQIIEQFNDDSANVEIQKKEREE YWKYIIELAESMD cubi_01276 MTQINITRVLKGKYTIMIVLIIGIILYNIMNDENLTFTENIIGR MIYTYSLFRNVVSLTNYEEIEKFRSNDCSIYKNLIFGFSNKNELGIIVEHSIGYGENQ EWSILDEYESLEIENIMGNRHWIEIDESGELTDGISMDMNRELHKDEVVVNFIVSKKL LENNNKLKQNLIRPVKCSTKKLCSNLYFDESKVKVVYENDNISTINKDDKAESPGIVI YVHGGGFVFGDMNTYEKVLQKHAIQLGKLNKPSIIVYIGYRKSPKWKYPIPLEDVIAS ISWIHSNAERLGLNPNKLVVLGDSAGGSLATSSIASCLSIKDQSKRRNNNVLSKRFHN YCKWVDHVKFLGLIYPALCQKCVTNSKLKNYKFGFLTLSSLLWFEKQYQSKYIESYFD WRSQPLLAPANILRKFPKTSIVLMKSDILYDEGRLMYETLLKLKVNAKLRIFSGFHGI YGSSWSSGGSNALEFINSEISEIMNQNN cubi_01277 MEYVAQTHSEMIEYNVDSMLSIQSELQNNEISAINQDYLNKDYI KGPKKSFSDISTLEFNGSSHLNQASLSSFALNSTSFIGPSSVSASSSCNSVVSSSSLV SNSSICSREGINIVSKNYITQEQQSNIPVFDKDELKILSRCLPHTKIKKIIKCSGAVN HMIGSEVPALLAIACELFVRDLTSFSWNFTKRAKRRTVQVQDIKSVSSKDFRLRRLLY ASKSQLRNILSENESLEQNKNPILDYYRKGEVQYQGMQMPIPNIQSAQIYPKYFKKPQ NNQENISKQGINRYVNHYHNVNQELQKSYMLSPNQHIQHSINQFNGNNINYYNSYNED KF cubi_01278 MTIYTKVSLNFRKISVKSLIPFNLLLSVVLIGDSGVGKSNLLSR DEFNLESKSTIGVEFATKSIITEGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVY DISKRSSFENVERWLKELRDHADPNIVVLLVGNKSDLRNLRTVTQEEACAFSEREGMA CMEASALNSSNVDEAFHRILSEIYTLRSERQLTANQHDLNRATLPLGTQGVRVDPIKV DLNSKGSKKRSCC cubi_01279 MQEQQEPEIKFPNHIVELALQEQEIKDRIWVLITGGDKSKTISF KEMKELSEILCLNLCEEEINSALFWGKYGNSENFKKIQNAWLQVQTNSSNSQEDDDDS KVESDSTNSYSKESLKNIELSYTDFCDIFERNERSCKRRLTKKIDLRNVK cubi_01280 MNFILLPNFEIVSQLYVGLEFSEAKFWDEEILFLYISLLREFIG SSRFLNYFQWRDFIFGQEYILGKKCLFRLDSSNVLLKKASNVSVAFWTLKKKLLGQFE VEKLYLFTSDSLKFYWMRLSSIVFLKDFELSMRMSEVLNGGEIDSICQWIDGDSFIDI YEKCQRGQFREYRMAENISICFEMISLFILTQFIFSNQSTQKVEMSSSLYSKESYITI AKFFQRNSATFIKILSLFTKEEKESKYNSVNVPASFEMFFDKVFTYDCDKEQDEHENM NFDFNAIKLEKNSAYKNHKSVDQKKMKGNSIAISEFTINAFNYHIVTDSSGEIQLCIL LNNTWTIINHGVLDDYYLVNNVHGGNDSERISYFLSNKVVHSVNFDQRELVHNLNEVK GEIFEIVSEKEEIIDFEARNIIISNSSNLEIYITRSVEYLFIRDCNQCNIYCLDLIAN IQIENCKNSFIHTDCMFATLINCDKISIFLHSQFSPILKSSDNIVIGPYNIHCLNRKT SYIISYENNLLTQNWRFPISFLSNFNIIKPEKLFMVELLDTKHDINTEGGELSKFPLP SDYYENFTNRLQILELVRNLDYSSQEEVLNQFVSWIDDNKP cubi_01281 MYSSVTRILTALLFPFTLEFWKTNGIMFTLSSIFLILFWYYLVN KLNTDQNKMRCLFQYISSAIISFICWPIVIDLWLKYFQFGMDMAKWLDYNSYGYYKLV LNIFLSSLIFDLIFGVLYFRKQMFILNGWFHHTAYIILTLYIMRWKSYNIFLIAAPEE IPTYFISIYVIFPQNNRKFSQKMFFITFFIFRIAYHISGYSLLFLPTNNRQVTQIWIP TSLTIFAHCYWYLLWLRKHWNSLFHSEEIPYSKENLNVAKNNTDKEYGCIDYFDHYSN IEQEID cubi_01282 MSDMDELSVQDYIDICKEAGNYKQAIFWCDLASAQESEDTRNGS SLNNNLMVDLIDCNGEEIKIPQLRGTKSVEYLLEMAALYMLDGKEVCVESVLKRINPE DLEKMDCSVNNENISGFSENKTRQSPIELSEGFNQTKLIDGVEEDISMEIETNSLLSR KLLLEAQYLYSVHRYEECLSLIESSIDLSSFDTFISSSIHTLAADSFSSIGNIEYATM LYETALYESHHAVEALDYLLQLPYSSDSTKQTLLTNIIGSPIGEIDKAWLRNYLHPFQ NVEKNNSENGTTVFRSASPSLSPNRRKEKRLLPTSPMNVASRLTVDNPDKIAFRNNYI ETSDNKMIRDDLRILDNIAIGAKTEFGLRLATIYIYRFICQSNLVCGYILGCNIWMQL FRKDEKGDEKHYYNYGDPLPTIFNDSYKSFNYSTPALCEFINMFSLCIVCKLYTEISI CQSSTPKELNDYLTLLNCFIVILDYYGGSSETIKKTSKDGSLTFSTKLFNSRLFGKNS DDICCGINFNGNNLGNDLNYGNESNAVTSTNSWYKKLFPNNILVSSFFFVKASFLFVS AIGTVHSRSESSIQTVRSLLRTSMYFLKRSINFNYIMLPSYYLWVNIYSILGQWDDAV VIFRRIIRLFPSTNLSVSSATSLLLQRVQLEKDLRISDLLNQCVGWATKGLQINKDTP FIHNSLGICAYYEKKYDIAIGYFQKAFNCLCSQNQDGSENIYTNNAPSTSIFDQILFK NPGTPNFNSSLFSFNPLPFIISVNLALSYLMGGHYQNAIDSLSVLFTSKKYFHHAFFD PTFLQYIYMIFGISYHLLDNAKEACNYYELFLSLPTNDEILDELEECPNTDAINSIKI PGNDVIQMIISGEQNKYFSKVFIKNISEFYHSLMQNTQ cubi_01283 MKEKKKLQEIIENKKIFKVRWTVSFDSDSEYPIKNSNSKSKYWK YEQDGFREEGWDKELGIPKVILSEVENLMQGRALYIRNASHYGQRRLDSVLNNSIIME KGKFDIDLMDTKRSQVSEAPQSFENTKNECSKTNLSQDLPHSSTPNFPNHPEESFYAL IDEVLQEGSTCTVVYPSEYSGKWEAEHFQVFHFSTIKKSLNRLLDLHKSERLFVSYFS DVKISQYCPTIFWNIVRIFHGEIDVGIIEIFSSIPIAMLKRKRSTVEIRFNEQNPSSS LNKRSDKNGPCEDKSGYKLKKSKDIFQELSQKLIQDLNTKIPYFEKNGIEYFKDSDYL DLKQKNKMSQENSDFENNLLFNREIDQSSIENQISRRSSRRVSFGVNDEISIIEATID KNYKIIRLPIYNYPEKWKKIIYKYCILNEIHSCTLIKKDSFKGRCVIAGSFIRKDDFV LEYKGNLITHLTEAKELEKKYALSNKGCYMYYFKYNDKNYCIDATEENLEFGPGRLIN HSKKNPNIITKVLIVGTTPRLFFVSKRNIMCGEELLFDYGDNNPISTLHNPWLLNS cubi_01284 MLGSFSRIASLLGGLSLNIALGMVYSMSNSSIYVASYMRWVAEP GSKPVTLADISWVYTLNIFFLGIMLPVGGYINKKLGVHKSLYLASILFSICTFAPYWF VSSYYWFLIIFGCIIGIADGIAFNLPQYCAYKHYPNNIGLASSVVISGLALSPILFSP LQTWIVNPENKMPNFKVGNALYFSDKEILMRVPKMFIAMGLFVASLCIISIFTMQEPK EESNLVLPSDEKSRILNFENSTSNTDREPLHNDSEKDVPARVLNLGSSYLGEFYNNFE QGKKYDDLYHPNANLESVSTTISPTEELCSFEFDLDYVKLEMELDLEKNEKGKEDNTS EGSEEKEKILNNECNQNLKLKGSFISSENNELDILSRLLKERQFWLVFWLLFLFSQYV HFIASWWKNIGIIYLDISDEVLATIGTLITSGNNVFGRFFFGSWIDRLGGRICFIFIS LSCLISVAVFQLSLILNSQLFYLICIGLIFFNMGAGFVLFPPIVAINFGVEYYTLIYG IVYIGRSLGVLFNSLLTWLLIGSFSVHFICFITGMFTFAFFLLSTRFRDNTPFSDQTL YKKLFPLK cubi_01285 MKEMSVESEKDPEKYEEYKYVQPMTSPLYNYVISSVCDKIVEFL PKSLSPNSLTIIGLISVSTSFIMLISIGENAKELFLVSAVLWFLYGIIDNLDGKQARR LGVSSNSGEFMDHAIDSVVTSFVGLAFQHMHNRSLELDLLVVLSYQVPFYFASWFHFQ YGKLIIGNSISKTPYFTVDELNLFFIPLFILFEYFFPGLWRLDIPLFGGYVLKNWGIT FNYCCFAYSIFSLIKCIHYCSSRSTKNMHLFFIPLTIHIISKEFTKLSMFDTIFPFSM LCITLIFFKISKILIKKPTSSFIILLAVSLIPNIMTKFMVSFFKTSQSLTIFIQFIIW ALAIYKFSDYLNLHDNIKNKKK cubi_01286 MIENTEFYSEVIQGIDSKLAVIAENEIILTEQANLLRKEYETRK REIDRIVSEICDEQVKKVYEKVGSMITTQRKLWSILVNTKISGKEEENILTEKKEINE LILKELNAVEKMYCENSEYINKIEEDWRDVKKASIEGVKLSKEIDWESIPEEELGKRL RGGEVLHRDEWIPSQDNTTGRKLTPSEFKSHLEFGEMILKEGKASFEKQDLELSYTRY TQGVELLCWVRGSDEESESLRIELYKKFLKNQALLALKLGKYNDSIQSCNKVLSIDEC DEKSLFRRGECYMMLGRFEEAKKDFRFICEFDYFSRDAKLQSRKKLVEMMKKSKFSNY VNKSIINNLDGLMQSNREVVNKTKHETENYYSHINNYSQIQSNFDYNHKESPIYSSRK EIQPHFDLETTKDILDELLLKYSSEEFEKQLFELRKLSDYDEKRFLRRLRNVLPEINI PIYQKYGLDLESMSYEKAKRTLEESVSYWRTKDDQVKTLSKEIYKYIMGDTIIE cubi_01287 MVVKNKITPKAMLPGCPVKPLDGGEFSPFNIFSKEKIRELLLSH DTDISSKIRCLFFGRFYGDEESAKMLSKSLDYSESVLFRHEVLYVLGQMGLKSPLPRL YEILADENEHPMVRHEAGEAIAAIGEDESLEIVEKYINDKSSAVSETCYLAAHSLRLK REKRFQESNQKNSDFNSDIVSNTSSINAFNTTDPTPPESSCEVNQIEVLVSDLLNENT KLERRYAVLFALRNILTGIIDSNQKRSLNDESVQQFDNDKVHFIAGGIAKAMETDQTS AVFRHECAFVLGQIQVISTADALSRVISNQSEESMVRHEAAFALGSVGSNDPRQSESL QKMDKLYTKDELNRIRKLSIETLLKYSKDQDIIVAESCIVGLQTIMDETGSLDILV cubi_01288 MANIIKSFWMSLTSIFLSELGDKTFFISAILSMNNSAWIIFAGS MFALAAMTLFACLIGFILPNLFTPKYTHYASCVLFFVFGLKSLYDGLFVIEGGNANNE FLEVKAELDKSKKKGSSITADDKIEALDMGNMLFKDVELCNNRSNEENSNFSSSEFRM DKCIKNNGILRIMKNKFFIQAFTLTALAEWGDRSQVATILLSAYNDPFSVFLGSMIGH SICTGLACYGGKYLSKFISPRMVTISGGILFLAFALAGLIMGP cubi_01289 MVGEKRKRTSKIETKDCENSENEFTENIRGFYSIESSKEDLGNI DANEELIQNSLKYYPEEIQPIIRSLCIKYGSSRFQEIFQLANTIGSNNNSIPYLQVLG MPGTGKYSLVKDMLKRNGSIFGYMNGAYTKWLCNSKGGCINKIAVENLFIRPIEQIRK KLIKKGIFNNKKGRSKISLFENELESSSTSANNIIEFIDELRLIKKEYSEYLQKHSSE ENNSLDDDLNSESSTNDGEVELAKVKSKSIFLIVKDVTTISKNKPDLLLTLMKLHEHL RDVIILPAKKEKCIVNINYCVIFIDNYGIPDDFFCTHLPFPVIWFSSYNDIQSFDIIA NLRLNTNIKEIDLDNEILKVYERKNSQSILVDTLLSSYIKGSIDFFPKTETNSSRIKL NLLKDDTNKQNIISIETLYSIWTEFIAEMITILHPYLKSDFKEIIFKINNLWPVFLLP LVSGELYFTRNKNNDDEIHSVTQKLLNRFKRHYDSLTRNVYSHFLPELFQGDLISTNE NASGILNYGFLKNISQINMPYFTKLLLLSAYVASKVPKKDDKTLFHNLVASKLKSKRG RKRTNKQNLGKVESRNKEAFSLIRWIAIADCIALHITGKQGIELSVPIFEQINDIVRL GLVIPVTGKWSQLVLSKGEASGPLFNISPLQDLSVGQNIGLEIAMYRQGNIGFNSSSG FNNLYNAVSTNNLKLTYLESPINIEDPRTLYIIQAPSEMIEAFSMEIGVILKEIIPEN cubi_01290 MGVKGLWDIVAPSGTRVKPETLEGQILAIDASIWLKQFLMGLKD KEGKIPQGAHLLGFFKRLCKLLYYGILPVIVFDGTPPDIKKRTLEIRRMQREMSEINM RRVANKLLLNTIRLKVARKVKDKVRKKDKQIRDEDKDDEYKQQIEGEEKEEEEKSEVI TLDDKNIIQYNNKRKASHSIEEKNDSKTPNLFSSEDENNSSDDEGVYGRQNHKFKKYG RYRIQHLTNEKDENSQGTTSYFPVMGFLSERRRLDEMPEIDTNLFSMPVSISTTHKLS SKKLTKIEDFNAFLKSNELGGDERRLMDLPLDTEIDPQVFEQLNSKLQYEILIQLRDA WINALRSNAVDTKDNMNQFSNSQIECYLRYLRINQEIEKLKVKMAKECEEEQIESSNE EIKVIEYGQVYDPDNDNYNNIQESSSSIFEDQGKEIINEESHTYHDQDNSTLTGKIIN FSKNIHTDKNDIDNHQDSSLNCNILSMKGYHNLSKITKKERIKFLQSTDYTKSENVVS NPLNTPLFDLEKLLNMETTQEIHIKNIAKDVFNDFNDVERLELLKENVDELFNISNQS IRNDEEKDDGFIVDIENPVSQEKEDDQTNQADEDEDWQDIEWEIPSTINRQEKSQESI KLVKNMILSNNLMSSIESKLDSILETEKKQEKNESKEETHLILIESEEEESPEETKEQ IEIDKQRIDTHFIQEKSLNEVVDEKEKEFEEEIEDSMKQKDLKEVEFSQIEVQEPIFD SEKVEKQDQLDDTYLEDIKEIIQKEDEQMLDVNLSDDHYTLYDKNLDDILFELEKEQE ELSLEFSKHDIILNSEVTKEMQYQICLLLKALGIPWIDSPGEAEAQASILTQLNICNG VLSDDSDCLIFGAKKVFRNFFSGNSIEMYDLNHVKKFLRIEKQEQFYILAILLGCDYT VGVNGIGPVNAVEVLKAYPHLEDMILFKNWSMNKFEDKSSDLVNDTFERAEFKRNHSN YRHSWIFPPDFPCFDAINAMRNPNIISDVRPVFGKIDKNSTIELMTRNTNLTYLNKQE LMDS cubi_01291 MFEKTTDCKDFFPGFIDDDVKRKETRAAVEEILELFKKDELFSI KMIQNLTRLIKQLNQFEDLVFIRFVRRSERVNIIQNIWNVIKNEKIPMNTKIRFANIL ASHINCYKKLYLRPWWHSEFTSQIDLEDNAERTGFKCSCKDEVLQEQGRVKDENCICG LIDFEYIVTKIEEEFTRDYLDGLTTNSQKVRNYVSSLSRVLNAYRPYIGESELENFLN KWLSLNTNSFSFFVYSKLFALICSPYCSYKLLKSGRIFEIWEILNGQFVSHWDSVIIT VISRGVRHSWKIGDCLNNLYAKIPYLFEVLYINLGIPILHNVVSNSINTGATSSTSSV SSGTSNSQVLQTKAPSIIKETISSELLSALNIKPINLFNKFAIIFIHLIKSLNSLCNI ENIMTEGHQQEILDQVQLVPNSLINLVNIIYPLNHPSNIGKWSHGISIFVQALTYQYC KRIYRERVFIKSEKMSQIEKECVQKVSLCRFDDEYLMTILFPLMEQGIYSKDIQVAGR YEDSLKRWTYILPDILLSFLLNNKIMPALEGDTETHQVYIAFRILATIVPLVIQFTPQ ELPKFLQISLQGIDISDPMKINQTLFFLTVLFYNLQNFHVETLEFDEYEAIEKLSLLM ENDSEIKNLKSYYSLYNYPKDIFPLSDKSLRNRKEVPKAILEQTDINGHSPLDFYLKN NAEEDYQIFFDEEMKKSFYFILNRKFDRETLMEKYEQRKILMDSIFGYWVIEFLERVL NILENVIKPSETGSYSSSVDLGISYALRALIISIFSKCKQFGYNQLLRDCYEMIGNWI STNFIPDNYKHLSKILSAMGSCDPELSFELILSKLLFKIKAGSTYSKMLSNEVSLSQN SKKIKFINNTNSFESEDESFILYYTNLVSSLIRYTQNHLLMKNNKIYYFGIYSLIVEL LSDSRKKIKRAGIKLEQRFIESLTHIQIVESRNSLLVPIAKSPELVAKGIILWGLPFY LQEKSIFNDVQKPEWFTPERICLIEVKSLIIFNYLFIIKLLKKIIHTNSNSSQFSSLL DNLFQEYLQLFKNSISNFSSDRVENQDNIENFQILLNIIKNDETNKPTHDFIGTVLFC LNNLRVLNKSISYILPIVKNDYSTFERRSGLENSHSIHDQAQQFFPLTLNPENFNEFE PFFSKYFTDTYCLILLLVQVFLGIQMLEVTNLGSKIKQELTGDSKNDNNSDNFQVSTT YYKLSDPLVKITNTEEIKFKSKLIKTINQCLNHYYSSSSNLSLSSLYNIEFSDSVLAW LSYTNGLYYQSSLLSSPKIFWLKNIQYYWNKRINMRRHEYGFFGYRKKLIYLMTLFSL GNYNLIRKTAQSALKESINSHVSSRNNVLQFILFEVYFSIFLFKKYDQVGIEPNHILF KNTQSSDCHDLTFKEVLRSNIYYTKLTFESYCDNKLNPMEEFLFNHLSGLSYIIVNNS LYHRFLWNNLDLLIDFITIISYSSSFKLSKENIPVRLLNGFNHIISNRDFNNLLFHYL DKKSDNTGYTTEKYRNKLELKLSKLISLFNKSNNITDNQGNNEDDFELISQINSNYLK SEKFDINYVINVIRILLYNLNKVKTELLISNSLLRRSLIILTFIQGLLVILISQRSLI KEEQFNNELISLRKMISDYWSYLWSIFLETDKSNHSNFYSVVFFNLTTLLKQLFENDK EFLIELIMKESGFIIHDFKINNLLENMVNITVYHHQISNNHPNSSQTTLITSRSERKV MNSTNTSMLINNLILNYTYVINSFPYHRFKCYSNSLSITSIIFFQLLLFMIEKKYQGK DLNDINIIDVILDKLQEWCNNSVINLEKEKHLLILEILSSFFSVSTISKDMDDFISNT NILNREKLIKVLYHEFQQSDQDFIFNYMDFIKYSLTPVIRQKLEYYYYEPEIEPNNNV KIKKSLRIEELEKYLLSYSSCNSNLMETNFTTKILLNFILNPFKYSKNEYNSFNNDNN ITNIDTGMIEDNDLSTFEIIKYLRIYQSGLMELIMNNNKKIDNIHDVQVSLEFQDYIK NGLKIFNYIIQKDNNYKQLREELSNMLHSLIIASNLIYSKSIIKLQGSLKMNLIEDYK LLMLKLQDEMNNYILKLKSDSKNISEDKMIDCEKNKLDSSIISNSEVVLYYCLLRDLY SSRKSELMVEEMVSFDDLFNMINKIQIMIGNNDDILGLSYGSLMSLLITQLNHDEIPI MTRLDNIINSVEKVDPNNYKNRLFKIRVNTIIGEFYSPLINGTNLQLDIINNIIYGLF EDQSEIKYISKLALCTLFRTLNNKICISYIKLFKFWLNRLERLSKNNINNVEDCEEMN IMKKMNFNTLDIFSLQEESQIKNNVSKNNERYCRAGVLGLISAIMSHPYDIPFWLPET ITFLANYSGSRNISQILKKQIQECIQEFFKTHQDGWNFIHKNKFNQDQLEVLDTYKGR PTYFT cubi_01292 MSFFSNLSTSGGQGLGFGGSSTNFNFGTPSTNTNLFDNKSSLFP TQSTLNNSNNALSSSFLNSGSTSTNLFGTSNSNQNQGTGLNFGVNSFSMSSTQLGGQN QSVTSNMMNLPHQQRQELERIEKRKSSLQKGLSLQMNPSMTALTYQLDEKAPEKQQEV DNYIYYNLNDEGIIKSLNDAKKLNPNPSKCYTLPIKGFGELVQREQQQRKELENLLCE MKSVKDENVKILQTLNTSTIKRVEECKKRHQSIIHQLVHISCMIEEYGEKNHLAQVNY QLDNQLNQVMYQIQENHMRLGAWQSSINQIETNIKYIDEQLNESDSKNCNDLKNLLES SPNKSTNNAFSEHFSPSDQKGSENNNSISELNRLQPTQQLSSLNGTYSDVSKNSREVS ISSPSNVEAIFETLDSQQQLLESLSDTVRNDSLLIQQFISKV cubi_01293 MGIDLKAGGRVKKTQSKRTRSTNPYRILLSKLYTFLDRRTNSSF NSTVLHRLKMARRFQAPISVSRLVSFMNGKEGKIAAVVGTVTDDTRVLKIPKLTVCAL RFTETARKHIEKYGGECLTFDQLALRAPKGSNVVLLRGKTKARIQEKYFGRAPGLPKS TSRPRVQSKGRKFEKARGRRASRAYKA cubi_01294 MEYEGVKTVNDVADVRFSQGILDENDPDSARFMVLIRNAMEDLQ RQVENERSLYHKSRDELSIAESQSRRFETELNLEKERNNQLMQELERLEQLISEQNDN NNTVNSEEADNYWKLYKDALSRIDELENSIQNDKRAEELELKKTQLENELDGYKDMIS EYKSNNQKLINEVNSLKQARELDINDLKNVRKEYDEKIQDLSEKLDIEKIEHESLLEQ YNRVNTNLAEMRETLKSNKYSSLEELINTVQIKSNEIESWKNKFNDLESTAGVTNEKE IELHEREISVVSKEGYLVGKEASLSHLEEKLRLQERSLDDKTKELDQRSLSISNLEKE ILEKQKEFESYVDDLNLREQSFNRMVEDYSINMEKVQFEHTSDYNKKLTEIENAKLEL ENGKAEYERLKKEFEKNRSEIEAEKRSVEQIKSELEQKLKELEAGKTETKKLKAELES QKNELDRQGIEFRSQKLELNEKQKSLDSLKDELVSLEKALMTKSKQMEEDEHQFYVKL EHAKNDNLQKMSMQYETQLRSLERELVEIRRELDDSRKALKEERESQDLRKTQVAYQE SRLRELEEREKSVKDLECSLNSQKVDLENKQKEFDVYINELESRQKEFEEFWFELDKR QKNISTRERELDNREVLLNNQRAALSESERRSLEEREGQLYEKESRLKDKEGKFIDRE SRLVEKENKLIDRENKLMEKEKGLLEREMNLNDFEREMNSLGQRTKIVEESLLAREVK VEERENTNRTKESALSRREALLIEKECSIDRRETDLKEKDQELKDRERDLEGRERQLQ LEKKPLLDELIRIEERDKQLFERELAIQRSEDLLQEMEGVIKSREKELYEGMNSSNKD KEICELRRMIEDLEMRNRDIERETQKTCQVLQQQIQEEMKQKEELLYTILHLHKQINN IGNSLGYGSSDGTGSNDIRDLRQSTRNGQLHGVSGEETNRDSLTTGNENEKETEISEV SKRNSDVISSLEKTMKKLYEKLRATESREKNLLKELRQFTEKSRNSRMRACSVSPLIK NIGESDIAGNNCDSSAPSGYPSEIQATLEAPKSQDIKAPTLLKRTHTLTSESSSQQIL PTLTNNSPIMYPESPMVLMPLLTDIKNELNELKKSNELNINRTTQTDEKFVGSDSKVG ERSSSVAGISFIQPTNNSGVTNANSSQIYGNNLDYCISNCYGTNLNSNTLPASSSINP PAPGSLMNNTSLHYPSISSSVMGNSIHEHSQLNAQASKIASILQSLRPNSGSIAGSSV ATNKDITRKLLEHELHSLRQWKKGMKQWDTEFSASSHNKQEEAIAWKQFLDQQMQVIA KKLERRISAALQK cubi_01295 MNGGNSILPTMSAYGREMDHHHYNGVPSSGNSNMLTGYPILYQN NHHNHPAGDLLTLQMQLQGNPSNNENENTLAALQRNWIALQQNNGNVGGTGTGANNGM LHSSIHHPSAMTLPNDDMGYMRNLIPIGGNNSSPLHSNQSTLLDSLKFGGGLEPPQVS QYGHHHHLSNGSLQPSILDNGILGNNVGIPGMGRNNQMNVGASPNGRLQMPMNPNNGL GVNVDMVSNNGMIPMNCNNPISGNVIVNTNTNNNIEWQGGIPIRNIPDLMTMLDLSDI PPHVQIPKYYEGIKISLGEAGREILRCYINQRGIRGKFMSSSNLQQLLRVAHQCGLWN AAVRLHLEFIGEIPMTASHAEMRKYKSLQTKRRLSKRISVLSKFGAPVVRRADGEETI EYRDGMKLSLGVDGRKLLLKRIRETRSSRENEINNLFSKYGLKYSQLRNATIHELCRM AYVCGLWEEAVKLHFQHIRKKAHESTSNQQESGSDLDSEMDLNKDRGDDEMISNNFLQ QLHNPNIDMLNVNGPGNPGGLTGGSTGGISQNPVMGTMGTDPVHVGGSSATQSAAHHV HQHPSIHPVSHIPTGLGRFGMSSVGGSVANSSGNKSGGDGGNTSSNVNTNGGLSGANS MIGIVDNGGGIHPSMVIPNNGGTTVNNTGAHTIPGDHLNYDDYRNYILDGGSNTGNID NTGLSSMRNGTMENLSSRIIRHDTRGNNMGASSNSINKVLGSSPNTVNNGAVSNNGGG NNVKNVGGNNPDVNDLNGNSILTGIENDGLIGMSTGALGAFVGSSSSGARNGLSNYDG IGVPSTGGTGYDDYSLLSASLRKRGKVSNTHMVSGLGAVDDSNSNDLSTPSIVSHPNT SSFVMETISGILGDEPLGNNNMSDKMNNYKGNNLSSVLGNDIGICQKNEVPQLSAVVV LAAAKAAEAAQGYDNNDKEAFKESENTLNQGLEVDSMLKGRKSASNSPVLSLDGDKNE LSSVTVSQPLENNQGINQDLSAATEGEGITSYQKDYSNNCNIKNNNGNRIEVPLESNM LLSGHQNDHLLLDTVNTKIMPSEICMDGKYELIGNGITISHTNNNDTDIPTEGVGGGV VSTSSAAVSSTSLEASDPFCFPPPIALPSTTGHIEKNDTTSENENNIINNNIDNSSNI GNNNSNPENGVSLVSRGLTTQSTTDTSIETPGN cubi_01296 MQETLNFAEKLRPHLKKCVSLFLLTILVLNEPINSENVPQLRRL GSHHFHHTHHHTPVQVQGSIVRTPTAQGIARELPVPTPLYSQVPEVRGSEETVTSIQE TVVETGNPKYSKRDVIVTRDPFYTCPMGFNFEDGTSASPQQKCFKMEVADFVLGCPNG WAFNGIKCTGVLTADAQQACDEGSDILMDENVGIQCIQNLVAPKLISCPENYLLQENE CVFPMHSKPDLICPESFVPLSNGNCQKDIRVPAALECPPEYILNNGECEKRVEVPVSQ FNKICPLGFTLDTNGNCTKQVNVNALFGCREGYEKSTMGNGENGCVRSVIIDARYRCS QEYELVNNQCIKKLTKPPMVSCQEGYSLENSIECVKEKKIPAKFECPKKKDYMYNPQT KLCHSMSHHHSQKTHQPLVICPVGSIVQDNNHCVSVEKSFPKVECLESGNGKCKVMET ESTDIECPPEFIYEGNGLCVSRIQADPQIKCPNGSSATPQGTCLKIELILPKPLCPEG LRPSITVMDGQIPLCIGRETVPKQETCPSNYELVTASDTGLRRCRTYTKSEPIIACAN GFVEDAQGCVQTIKSSPRLLCPEDYILQYSRCIKQIEDMPNKMCPAGFELIDDDQCSQ TVYSKPIPNCPEDYMFDNIVKRCYKVDVQYDFASVDTNVYKQNITNIVQTGENSNKEK NDDDETIEETFQVNNVPFQNQIPNYPGIRYPPYQRLLPPQTQSQSIRPPPVQTIYPPQ AYLQNGAYSNYGGIMPQTTQLNTGAAGYGYPFPGFQQYAPAPRFTNSPSYPVYMRSLS EEKNEL cubi_01297 MLNRLYMAEKALSILRSGNTIPYPEPQGSVKSQWDFLLQEMVWM SKDYYEERRWKINAARRLSQMVQNYWRKKMLNLERHVSSKCSALIQSFWLGIADNVDP ELVPSDLKPYTMIIQSESVGEDGKVNASRRRLYNYCLRQFEQSMYECRKLNELIKNTN ERNKEKSIENNSENDVPTSPMSIDIDHDNEGCQWVVDEELLLQLDPLVFVESVIPQSA SSTEIIESDNLSIKGSGNSQTLGSSAGGGANTNTNTNANTSNSGNPGGVSSKSSNNLA IAKYSDISDLFNDFSKKQEMVVDFNDYRKYLENWADTVQVLLQGPGIINTRAEFRPPN PHLDSKNYLVAPRSNFMEMEDISLILSLFVLAVDHLPAFLNRYVASSPSNVVYRSSGG MISGSSSQESMVNDFSVESKRKSRRRTTSNAKKISGVIPPHIQSSVSIPNSVSGGIGL PQSSAVSSGAGALGGVTGGAGVVVSGSGGISGPSTVPMVADQTTSFDILAYADTWDTS EDIILVYFVSQYSSTSSCGILSKKVVSTTNWSLIALSHNYFFGALYGRMKTPRQCQER WNFLNRDEAEGSSAESVEKNNNKDKAEKAFGEDVGNSNGDPNTNINNNNITSTVIKVE SGETEKDITKSDIDKLMKKEKRINMMPNLHKRYFISDSLLRHLKDFSESLGNPNSFEN KMEDVNPKVISVEACGELNDVIKSFPLEDSNVLENRGNGISEFSNDDNMFYTIKKIIP RMTISDLLKKTKEERNKTDNHYSETNLGSNDDIINNSDSNGIVSQTNLGSVGSQSETK SNNGVSNNDEDVGEAIGKNRRIKNIKTVDSYQSEQLELLSSIVSKFGANMRKRSLQNQ QFQDSFQITSYLQTIQQNYGSNILQDGVLPPHQSHANLVAHVNQMLNQHIESTMTNNN NTGGNIGVGGSSSNNAPNTTNSGLNTNPSNVGTSNTNTGGNNMNVNIGNNGNNIGGVG SNSNTVNNSGSVGPVTGNMMPNHTQGNLNNQIGGGVHSGSSNFTPLPSGIPAHLLAPG NLPPATSVEEYLSRLPGIDIQLKLIDYSLDRYRQSTMGGRYGGTGILISTSSTCRKPQ LPEFLLQRSVINSGANSGMAGGAQQGANVHGSGQHAGMMPPQQGMVHQGGGGGGGGEQ QVTLQQSSYTPSVVSGQPGQIVLPNNQMIKVKKREINQKQAVTQANNIKRRKTSMIIP NSQPNGMMGSNGAQGEIITSHGRTGIMQGITPHMGGAGQGIPQSQTHSHPHPLSQQQS ASSSQLHPPPQQYQSQQYHPQQQHQQQQQQNPQQHPQQHHHQQQIQQNQHSIPHVQHS QHQQYQQHQQHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPTTPTAPTPSSTPT TATNTGAVTSSECFISSFVEYFTNAPFNATDATTKIQPVSLTAVTPDSAFPSAAPSGP SISAEPEPGSVSFSVDWNDAKPDGQSMPNKRVVQSGGMIGVGGGGGMGHPSGQLLSTQ NSHGAVNSIGNGIGGVSSSSLGSGSGNNSGNVGIGSSGSGGNMSRQMSAYMGYSSMQG RGGGPSEVGGNLGESVMGVGLNSGMNASGVGQTSGVIPHSASTSGRLIPPSSSIESQR YSNSNSNTSSISGGGMGGGSVSGTTSGIPSNENSISGTHKMVVGGVQQPQQKVTVSNP GYIGGNTGTMGGKGSDMWVGTNNADLGIGGGLTSPQSHPIHHQSHPASVNGMAHGTGI IGGVGVGLHGDNNTHLGLSVKQNSVGQHYGTLSGELNSNIGGTGSLSGVQVVGMEEGV SITGTSSGTANTTNTNTAAISNSNLNVINNIADKTSKNINSSQ cubi_01298 MIRSKRLSYISTFEDEKNYMDDNLKLEFNDQAMVIDGNCDGLVV HDSTDNEKEDGEVEMVDLINESNQEKDIYGEIDDNEESDEGSDTVFPLISEPLKTYVT VNPATLEDEELWSLSSLKSLCEYVGLSIKGNRRCILNRLQAWNGAPLSGAGHPDSYDT RSARFHTVPMAFLEKNKIDQKSKDSYLGSGDKEKDGNYLGMNSSLNLSINTHESRELD ENEGCHINLKSFHSPCIIKKMESLTSTPVTSFKREIKSCLNTTSTPRKRLAHTSKSAG RIYFSPYNHVRVFVSNPGERELNMDPDSVLRLIDDYDYDDFEEDDRFLGEDNHANGNN RENNNQNEETNFLVNKDYNEENNSKSNFWNNSESVFS cubi_01299 MALEATVICLDNSNYSRNGDYGTSRMLQQQDATNFLSGVKTQQN PENLVGILSMAGERIELRVTPTSDLSKTMHAMDGIRLSGKIDLLRGIQIAQLALKHRL NKNLRQRIVCFVGSPLEDDLTEKQLEKLGKVLKKNNVSIDIISFGEILVNRERLQALV NAANNDNTSNFVEIAAPTNLTDALMSSPIVLGEGASSRVDADGFGETNGDMSGFEFGI DPNADPELYMALRMSMEEERNRQMRLEGNSARNSSSADAGSSTQGQSTTTNNFDSIPT INEINAMEVDDELRQALLLSIQDFSGNESNNNNDSNSNQPQDTSSSENTSNVTINSNH GNNADSGNSNNPNSIEGLIQGIPGVDINDPRIQDALRQLKNDQEDKKDKQ cubi_01300 MGSSDNNKELQNQQYLIVACALTENNKDSIKDELIRNSKNISSN VNILDFDVPFSLKFGAFDDLVKLVDDLAKHDTGVEVILRRVERLGLELDPTMELRIIW QRSSYTIQQYLKNFSWDHAKFPKERSMKENLAALLQSVSKLDLDLRTKSAQFNEVKNS VQNSFGKTAIPSSLNSGNNMENGVVNSNNGNLIVPGTLNTKDLTDVITPECIESGDIV DTEHILTVFVIVPKGNKENFLNSYENFDKYVVPKSAKFIPKITDKDGNEIVRVLIFKS SVENFKTSCKNHKFVLRDDFKYSQEKFNHLMSSRQKLLQEKDKQEKYLKRMCFAGFSD IFISWMHVKAMRCFVEAVLRYGVPPQFASFMISMEPNQSKIKKIQNSVEKVFTEMGRI GATFKSNEKDVDEEYTPYVFLQFSPYQQFP cubi_01301 MQNQRNYAKQELIYDLLFGNHQRRSPKGGVGNLGDSDECLICKR DKLVVAENLGVELSDNIVELLCIECKRGLFSFEGFRYQCKQVKNSVSNLESISVVLNE QMRKLSYLIRKEKNLVGNSTKIEQNKVPDWCNRDIPSKFGKGNIKFQEGIEQIKKDIT HDEESRLSDQLQIFHGDLLNLQETIFTFINVLEAYIDTPWISVLKEARSKQETQPCVT NFQEDKNINPVDSVKIEVSDDQNKEINTTAQRIERIRKQQEKVRQQIKALYDNDNTDL PDINGSNYFNSIDKETNKNKIGNYFLFTQDTLQSDEDLIKEDHKNVNVKEANIPNQLM LRNYDILWSPSSASASSDNDSS cubi_01302 MNTPSRKNTQNKTNDESLLGSYYRLKSYKKLANNTKKKTTITGD VCNQNSDENAIYTTPIRSSRRIDSRKNYERKESSFLDHSPDTKNEKILITPNPYIRDD IVEELLNDMLLKYKDKIQVISFNKQQPQILEDSIKGEIEDLDTEFNSKLQINDSFSKT MVHENENLANQGEIKFKDEDEEKSEAEDRKLETGSPKLTERKKYFICRNKPRKLIGGG TGAGSILQNTVGKYEVIAENEYWMVVEFPKNSSLYRKHKCSRVLTQKRIFGNPVNCPV IRSIDEYKTLHSEIKQLLELSNYCYSPDLF cubi_01303 MALKRIHKELSDLNRDPPTNCSAGPIGDDMFHWQATIMGPDDSS YAGGVFFLNIQFPSDYPFKPPKVNFTTKIYHCNINSNGAICLDILKEQWSPALTISKV LLSISSLLTDANPDDPLVPEIAHLYKTDRSKYEQTAREWTQKYAQ cubi_01304 MSTTLTRNARPKTLDPQKKLQVVFSINQLEKALEENNDKLEPDE IRNLIDEEKLRLQEVINQMKAKEKEKSGQKHSAGNESSTSTSASTSKDKDNSNLNSHA GPATSSGGNSGVGGLGGSSGSSSSGGANSSILVPPVEVCPLNAPKLIPFQRPEHYIRF PVHKEFVSGIRLEDGTVVHYNMVKADEEFLQNLSEHMKTGVSELDFIKMIDFMEKSTG RGSEISFDEAFQICRERGLSSIKNQQALLVYKYWRMRRHKLGKPLLRHFWPITSPHDS SPYACFRPRVREKMTLRRPRRNNKETLEKLEKLLDDFRKVEKSFRKLRQRDEKKLLLA ELDTCIFDQKRHEVTDPFYRCPIWDRLKNYKQQKRQQKKEMRGISQFNLGVGVGGCIA QLFTSGVSTRFGTSSPLFAQGMDFSPGLISGDLNMFIKKLSSDIGSLDMLLKYSWENE TSLLSYYYYGSLQPNSSIFNIFKTCVGKRDPVEAIKNKESTDMSDGGKDTTLAQTPGH SSSDIEFGKLDGEYQQQNQEQQTQSTLSPAFCRIALPNYIQSIYKNGVDLARLEPLQS LRTPCKPHRLVRRRGRGGRIWFDRHSLFQDNFEPQFTDPDKLDLASNCGGNNQFMISS YFRKTAFMCDIIDELCTEGNFDPFGNSNNGNNSQGISVSISSNSNSVSIHHSSISDLP KSTNRLPGSINNLNSNLVAGSIPINPAIGTNNNSLPMGVSTGNPNSNSRPIPRNMPYL DSEIAC cubi_01305 MDQSINLNPQFDQIGKQFVQHYYQTFQTNRPALGGLYGPQSMLT WEDTQFQGQANIVNKLNSLSFQRVQFEITRADCQPSPNNGSIVFVTGDVRIDDGQPLK FSQVFNLVPSGNGGFIIFNDLFRLNLG cubi_01306 MPSTGGTNFHPQSGHPGVTWCSERRTWRICYAPEPGKRVTKSFN PRHYGGLEQALQAAIEYLREQKAKLSLYRPNGNSNKGENSASINIKKMNEKLNDMHHS STSVLEMDINNKCGGKHNGSNWNNNIPYNGFEYGSGLGNSELSNINGELLPGFDGGKV ANVNQNLFGLENSSEQWNAAAAAVIAHAITTSKSNGVNQKRKANCEYSASMRAPSTKA SKSSNLYGEHTYFNSQSSQTNIEMSGMTGYCCECYYNNSNNGNNLPNNPSNGNSNSKV NDEQNSAISNQMDNNMNHVHCNNGQNHMIGHNSFHHYSCSQSSKSTVSTNNVSNSNNN SIHPFIYDYNDQSNVNDLMNIRTPKYVNYQRHSGNQNLDDDLLHPSIASSSPLGKVFQ STPYSNIDNYDAILTPDMRSGNSQNHSSGLNVNNSGRYYGNGNMFENDGGIVANSNKS GNNGINKSSYTNCNNNGNNSNNNGNNNNSGNNNTNLGCNHPNHVNSSYSSCNNCISNV SQNDFGDFNTPYSSWFEGLGSCYSEIEDTFLNKSSHLLPVGSLGPYSFQPYKEQPHSD VSGKSIVESILLPLSTTSCGTSAEPQLSNDLILDSCQNINLYQNNTTTVTNNSSNKSV CLNDTGEI cubi_01307 MKLVGLISGGKDSIFNLLCCKSFGLEISALANLSPPDNMVEIDS YMYQSIGKELIPLISECMEVPLVRRNISGKAINQEINYTTTQGDEVEDLFMLLKNVKE SFPDIQGVSCGAVMSNYQRNRLEEVCHRLKLQCYCFMWMIPEHALLNSIVEGGLRSMI VKVASFGLNESFLGRMVSECLGDFEYIQSKICSDFHCCGEGGEYESLTLDGPNHLFKN NYISISNFKSICLDSNPYAPVYVLKPTEYKLIRKEKCNDEEIKTVLPFFDPNYSLFYY LYDTGRYKLNYFGSIKRIEAEMKGDEIEIQNSNLNDSNESFEVKVFETEKTYMITIDL NFNSLNISSCSKKVSKFISENLSFKDWFISSKAVFFEALIRLFKTEDVLGIFELSQFW DMINLESFGVKWKPAVNISFTTSKMVNNSKFHIVIIKNSIDSEKCLIKESCSSSISSY GTSIPRSFSNSIIVSNKKPFNLLGDFQYKSGLIVDNPILLSSSVYGFIPHSGDTPNCD QIKSFLNMVNSNGFRDFHDQLCIELSLSLRSFRCNLNSSIYNSHLLSFKNEVDYRFDV FNITHIWIIELSIREVISLSEFVDYFKSLVNEYNYNKNYAPNKWSDIHKFIETSKYSS IDPIVIPIYVDYLPLNTKCKLVPVSLIMNDYKDYHVEISNSNSNFWNYELRSFSNSQT IAVLFELKETKIDSSGKWEEMVKNLRTSIDGILNKHNLSLNDKIICSKFFVVDEFYDI ICQSQDPLIRASFISSVKILLNYSILQYLLIVSY cubi_01308 MPSRSKFKTSFKFAKILLGAYLFQKYGFSICITDGPSMIPTIGP KRELLLYEKLTISFSRIFRLNGKIPIYRNDIVIANSVEDPEILVCKRVIGKEGDVVTV FPNYTLVSKLNDYNIQDLVPKKTTCFQMKIPPNYFWIQGDNFKNSRDSRNYGPIHESM IIGRVVFKLWPNPIFSRLSTIIRKKMEQLNVLENH cubi_01309 MSHSCRSCGCELGNNLSVAVEESLKSVGIYVCRRCFFQGKDDEY SKMTQTNAMKHYALTAQDLSGEGFAIVKKPTSYGRNSFMKLYYKFQVEEAAIKKYGSI EQALAESTNTEDRKFQKKINKRLGIASEISEKEQKKREQKKLRLENENKKRLLKQESF HEHDFDSKTKIIGESGFFKKTCKTCGFELTWEEI cubi_01310 MVFKILKYNITLILLIIFRAKSNLQNHLKNDHLITINTNLRNEI SVFEIIKIENQRFTPKYVNVDFYWDKYQVSDIYKEVCYFYKFQNTQKISENKLKNEYS CSMKRMNLFQAAFSKDPINLKEINGEMLKLSKRLLIFFDYEYDPSRPNHSSNNDIDIW RDTHYNKLKLTNLIVPGKGSSRLYIKTETDSAFVGNYFGHLKIKYEDKVELKRVKLSI GPPPLNVFITKETQTLESDDKIIIIDLKLRNHLIFRNLNIFVKPMLKGLEENLCSIRN KFLVKSQIIEIKPGELENIQVKCIMGRLRPESIKELLIIQINSFWKNSSRYTTTYTYN EMLKQEEKFNRGLFLSDFILDDPISEEYFNFNMILYQRDLMKHLKDNNKESISFEDSF KEDFHPFQYWITLDLLQISEEILSLKDIKILIKIDNSPSEFLRVTFYDKNIEKAYELD SVTQTNSLLFFKINLEFSPKTWVNNIFLSKENGKLQIPIKVLLFEKNKNIYEERNFLE KTWIFNLDIESKFEEYIIRNNIIKNKNGQFRLIVNNLDQNINMNMLSIKNSDKKSEKT EIRLLKSKNKSFILISKVLALKIRKYEVVNLMLNFKGLIDRKILELKQAKSAKSNSIE DLPYFLELGNNKRLQLATLANCGIVEMSKNEVDFGIVPVSLFGNPKLIQKIEVKIKRF KTKTKCSKKFSVGFRLSSNFNLKLIRKNNPYPSSNTVYTKIVGKDTKLVKEYIYDFLI EDSEVFLIFIEPNFDISINVEHKKSANISTLLEVYTPLTMRRELKYEQIDIWRDSMLE NEFLKRNLFFTEFIKIACIIRSLHISAGSPHIRSALHSEIMSQELVKNGKLPISLFLI EVKNEEEFPVQYSLQRDVSLWNKQEKSTKEYFTENYTFYISYDSDGKNKLKKRINEFE KQFSVFENKTFFENVSERTELFDNLAFNFPFKNLYLMNVIMVLRLGMVCIYNGEIFSH KNSFCVKLLPIEIAEKLENLKISMGHLRLNIWQVTIRFSLNIGADFVTGLKLYETGRI DWYFYGLDGSFVDNPEVFEKFIPILVSNMDLESAFVLREIVEFEKIKNLVRISTVPWL EVSNEVPRTGYLLPKENRLISILVHNQIITSSSIYSKIKELKCSYNLVFKGITDIGET LDGSDDSFSRMLLENDQELRQESIGMKSLFSLDWTIPNVINDLGYGGGFVKKITLINP PISKIGEDLSALEYVQFIVEIQNPIICTKKESGTYQDENENQSDFFRTDDTEQEFEEY GSDETNQLKDKFCTPFNNLEIVKGFRVIWYPYNNFSPTNYKSVEILIEDVPKYDFKTS SYSLKSSKEKESEESLEVSRIFTDYIKESGSEDSDYAYLLKINYNFVLNQQYTFKFAI THHKNIKNSVFIPPFGFNITAQNHSIRWLRSLSSIESDISQTLLIKNFAKKICKGDIN ILSDNSLVLKWDKEFLNIISTFSVSYFEVDIIDQMEEEWQVKELMSDLITEEKTTHST NKKLIFITNPQKTQEGISKFFSEDINNETRLFIKIPGLPSSLKLKIVVEIEDYNSEKF QCISKAFQTKDGVPTEPKDFQFIPLTINSVKLSWDIPKSEGGSQILDYLINLSPELVN SPNEYKIGNITIISDKLMVILDQIFPMVTYKATVQARNKFGLGRPSTINHVTPRSINS CINIDNYKLIINESSKRQISWKAENFEKIDLKTVLVYVYCNIRDGTKSQFFLIELLKA LNVCRMDGTKAFCTWIEEECMVNLRCDSYSIKMINKTDKSGNEDFLCINELGTQKPRR LKGDFNNDITLDHTVKVYHISSMDEINNIGVKREKIYYPKGYNPEWENGLIKTIMKIN LLGQNTSQIEIEVNYLYETENLEEIMLNGTVGNVWYKKYYYDLKQEKAIQSLGNMKNW SIFEEENYLAINNHKIGQLEQIELMVLNLIPEMTVMISVRELDRSNTEISVSRHVLRI PNKFKKIELTNRKLLSSGILKVELDNHFVTQKELSDKKNRIGSNWRYLNEREKGSKNE LKLNMNTDLESIGSDLSNSLFISSKLVIDGWPEDFERRLFEEVEITINSGYLRFNNNS KEISGPVKNYKPISELKIYNQSKSQEEISNLFDGNPETGIYFYNNNSSDLFTSGIENV INEEYFPHISLSFNPPICILYAKLYWEGNRSPVSTMVSVGLRSKNVSKPRIRNYNPIV HECFHSPIYGNKSYTQERIDTIQIIPPEEMVNTYLTNPSNRYSYTHNFTLSFAGSCAD SGIKETKDEVILSIKEIEIIPCISNDLVLSYSNPLSETNMSLVNSQYILRKSQLEEKY LEIQNIILDNLRKETKGDKTDLNLRNISIKYKKPINETKIYLDLNTILSEESKLRSTH IPSIRLLIQDNIKEEESLRSPKNHRRLAEHRNVSELSFIVGYILHLWFNLTIVFFWNL IYIFKKLN cubi_01311 MKTNSFHNEEGFFGSLEEICGNFVGELYMEEFTESEESVIGDED TIHSEKGLKQKGTETPRSKSILIKLPSDINQEEDDEKEELVCKEESEVKTETSQGFSK NITKGRGVSANSNGISLEATETDVEDESIKVVIPEKLLEPMSRISLDELQARTLFWGE MDLKEENLENLDSFDSRYSVLNSSRSFSNNLLKVTMEDGDSDNDSEQDLEYFQMSESE KLMFKVKSKIKVDHFLEKVSNKISVFFSNGNDYDESTEFFLHIDTKDPSFSKYAGGLS KELKERLGLDNSSKGNFGVDLLAGTDTLVKNQETATFGGGSKEHEQNRAESNQPSSGA GGNNNITTGGGSSKPLSKAQQERQRRQKMKKIDKQKRLELAILGMNPLDYEGGMRNPE SGLDENQDLIDMKDGDDGKGKESQGVSSSSSYNSGIAALASSILMSNKPQEDRIFGLK LREMSLGESSLFSIRNFLHLVPKLFEGKSYGEVDDFFEEVKAAVNSSGSKKGKLKQLS KNRNEWQNLLIKWLTYVIKFSERNGVSIYESWAMSNRQYHESRVLLNSLGGNYGGGEG VGGGDHAGGSSLLPISSIHTPLAWSFYLVSGNMTPIDGVRFHKSDFRMGIFESFSSRS SQIYTYSSGWFTSLVNSKTAKSELGFEPFGPWLITPLFLGAKGGGSHGHSSTPQLLHR YFQRQREFKDVPSSINSSICFVSPDDLSLIHQTPLALFEYLEQYPLLLNNLGMAARIH RYVRCEDDSNRKQIEDLLSECGPLGSVQKVQEENKTGSSSQPGTFPKFFGVNYPLEEN ESMAVLETGLFKAPIYHHPKKQRNSQANENTNIEDNESSFIKDDEDDDDRDRNGKSMF STYFLLIRKQIQQGSPKCGLYLRPLSGDSLTCLYSVGQEEPLIEVPCVDSKSFSNLRR DQLKALVLRYAKENGKDTFNEARKLSQKMFRNTFDQNTLQKILMSCKETKESSGSESI SVIGGNSGVGVNTISSNSSHSNLVGGIGGTGGGGGGSNSSQSSIQGGGSGGGGILIKQ LNESELRQIINPESLCALDSSVSGDMRLKQIGVRSLRHFSKIPIVVSELDQMEEIAKQ YAEQARRKTNSLLERSKKETQINYNLGGSCNYSSFLSLINEVSTGLINSNGRRLTPVA RYIEESLLLSPWNITQEYGQVMRHQNGQFSIAGIGDPSGGRGEGVNFIRRGLIDSAIE SRSQKSLAGKSEDLRKLSMDQLRQRLLQCGFDDVAIIPLPRWDRVALVRHFDTSGGNS NGKSGVGSSNSMLQNKALSSEEYQRAIVNVLINQKNALSPDDPVMTDDDEEGGGAGEE EGNLEEEMDLDLGFENTASKCEAEGSGGELKSSQDVDMVESMIIDSSNSRSDLGTSQG NELSPNNNSDASNSESDEDLDLEESFISSLVKFNDDKNEVNPKSTGKYEGDSNYDNSN ILGTDYSNNKVKQKKQSKLYDQLYGNSNEDTGRDEEDEKDLEEFRRMISKSTPQDGKD PDLDSNGAEWRTQNSQEDPSSSAQEYVPRIAWIRVRRNMNTWQYEDEKVVYIYGEENI RYFLYWRRMRMQLKREERRQLNPTGVPGVLGRASRTCRRCGQVGHIASNPMCPYYEGN KSSTGTSRYQNAISHSKKKNILLPKSLDIGGGISSCKLSATEEESTIANLLGMGYSQT NNHDIKQLVTLDNVVQSVRVGKRGRPPSNPASSSRGEAGISNLSMSNSQLSSSNLGNV EDSAEIQSNTQTGMGSGSSVQFSRLSARQRRKMMDNDDKSSVVSFTSTALNAGSQASN LNNNLNLLNGGVGLDIPNNLDGSHNVINAGPNINSYTEALDEFCIELQRIINSTKTLH HYSHVFWNRVSERIAPNYYNLVKRPMWLQLMINKCKKREYKSRKDFQDDLNLIVENCK IYNGINHPLVSVATLIQSNVVKKIDEIQGIEKIEAYLSLKP cubi_01312 MRPLTEEETKILFEKLSNYLGNNLLSLLEREDENYVFRLHKGNV FYMSETLAKAASLVPKKSLLSMGTCLGKFSKSNKFRLGITSLQYIARLTPNKVWVKPG GEQSYIYGNHVIKRHISRMTDGLNNNVGVVVYSMDELPLGFGVLAKSSADMKNADPET IAVYHQTDVGEYLREEADLL cubi_01313 MFFLNIVLYILLLGVWTNTNTLVTANGTLCNGIDCVVSPVPNCP HNGVFSEQENGCIVTEPLIKSCPEGFKSSVGATQCSKNVHTNKVLTCPENTRYDKHTQ ACYIENREVPKCEAGYIEDGNGDCYKLKEAEISCGVNEEERGDGCYIKKLVKKEYNCN EIPLSIRAIHEQDNSSYTSNMAFLEGEKEYNGDGSKSPVCKVKVFHKPICPEGTTEDD KGSCIQKSRPEIFCPEGYLLKDIKLDVSIQGAYPTLESRHYCQKQEFIEPISECPIGM VKEKDHSGMDICYLIKEEVPQQCQIGFTYVESIRKCIRKELATPRCNDGYIHRGGFCY LPERQVIEINPVSYCMNPDAVIVGQYCVRNQSMTPNVDCPVGYIFDQLDNKCKREIEI DPIVTCPPRFVLNSQTQVCERKIERDCSITQYKKKCTSYDSETGTDINREVVLETRNL NMMLNHGNHHHGGHHHHNYIVPRESTKIVHQCVEIPEYIPKMCVNVETAPVVYVCHNG VQSTDQKKCIKVSTVMPNYSCPTEYKLRNGRCEQQNIESIFYKCPEGYLLSESSTTRL PKCIPEEEGIPREKKMNALFECPENFELRSNEFNQFECIEAKNPVCKSENCRNIVKIS GPEWECPVGTEILLGDDILMTRTYNKRMLVSNHPHYGAHTTKRVSNITEKPKCIAEVT HTIEKRCLDDNSTLMGDFCLETIEKSCPTEGCESYLEFRPNVECPEKTESLGIHKADM CMETIRTPFKYHCSMGGKITQHNKCRYITKKSCKHPNCTELIPTEGKMQCPPGYSEVK NVSNYPNINSFSNMDQEGILSMNNYYQENNSLQMTKFVGTNVFGQRRRLFGSVRPLSN IGQCAALEFAPFILSCPQGFTQSEGKCIGAMDPSYQCPNGTYMRQDGLCGKSTQRDYS NNSISGDISNMQYHNQIQYTRKNKF cubi_01314 MENEAEENFSKMIKAVNPLSNDQKVFLDLGQEGKAIPIDATNTG IYEYNITKPITITKSGSYVEDDDSCLTTTITTNELCDSNSVLSVAGANNSEDIQTEDS KTDVLANKDSKEKLEEQSAFDIHPINIDTGAEELVQSAQSTSKSGRRSSANHEVGSRQ LKYNQIGVYWKDKESRVYARFTWATRKYSKSFYVGPNKPYATVRDAEKAAIRFLLINS PLHRRSHLKHFRFSESDEEGEEPYVGSQALKEARRTKGIMLHFPAPESDDEVWSHYNT SNINPATLYGEIIKKMDNSEGNAAGITSPITSAREYVTAKHKQNTNTNQNESKFSASL PVNSTIGENVSSDDLFLALMANQIESDRLVERQTTGTYMNPSASSTTVSSKRFKPNSK TETLDSSELNFFPTNSNQLGSAFMDQSSSTTSTNPFVGMDYNTLVALQHAQMANYYLQ QQVAYAAAANLAISSNTSGNYANAAIRTNPFIIPQYCLAPVTGLESNKSEESEVDKLQ GVTLNVGGLKGSTQSNASNSVKNIAESTLDQYGAIMPGQETKAMPSSNITICGTNIPS NYHTDYMAVMAAAYSGWYSPYGYTMPFMGSYTPMMPSIIPNPNVLGSQFHNNISLSTN TTNAGQVQSSSVNNAVRLQKQVNNNVNSIESLVNTRVEKELQSQGTSEQENKQVP cubi_01315 MGEKEIVDGCVAACHIAYACSEVAFTYPITPSSTLSEVADSWMS RGRRNIFDQVVSVVEMQSEMGSAGALHGSLSVGCSTTTFTASQGLLLMIPNMYKIAGE LWPCVFHVTARALATSSLSIFGDHNDIMAARQTGWAFLGAMTVQEVMDLALVSHVSTF ECSVPFVNFFDGFRTSHELQKIDMISYDTIKEIFPYQKLKEFRERALNPTHPILRGTA TSSDVYFQLAEARNKYYEATPDIVQSVMDRLAKLIGRSYHLFDYYGHPDADFLVVVMG SGGLTIEEMIDYLLEKGDEKIGMIKVRLFRPWSMDAFLKKIPKNVKRITVLERCKESG SLGEPLCLDVSTSIMRSESSNKNILVLGGRYGLASKEFTPGMALAVWENMISSNPINN FSIGIDDDVTFKSLFVRNPRLDLLTSETKQCLFWGLGSDGTVSANKNAIKIIGESTDL QVQGYFAYDAKKAGGATMSHLRFGPKPIKSAYLLQRCDYVAVHHPSYIHKFDVLENIK QGGCFVLNCPWSTLEELNHELPSKIKDQIASRDVKFYVIDAQKIAQESNLGRRINNIL MVVFFSLTNIIPLDLAIKLVKEAIKKTYGKKGDAVVNSNWKAVDLTLKSLIQIFYNKS EWLTKDKVGEKATLTPDLLNKDQVVTKSTILKQKSSHDINQFVKGILEPVNALKGDKL PVSMFDPTGTVPLGTTAYEKRGIAISIPIVDMNKCTQCNYCSIVCPHAAIRPFLLDET EFKNAPETMHIPKAKGGQEFSSYYYRIQVTPLDCTGCELCVHACPDDALHMESLQKKE AVEKSHWDYLIALPNKAEKFDRTTVKGSQFQQPLLEFSAACEGCGETPYVKLLTQLFG ERMVIANATGCSSIWGASYPSVPYTKNQKGYGPAWGNSLFEDNAEYGLGMVVGYRQRR DRFKDFVSNEILKEVEEEKEFLEHNNTSVKDRGEIITKYDHLKDYLRSWLKNIKNGQA CQSLFEEISKLLEENLINSNKFAQVLREDKIEILEKLYDSRDLIPKISHWIVGGDGWA YDIGYAGLDHVLSFGEDVNILILDTEVYSNTGGQASKSTPFGAIAKFAQGGNLRQKKD IGSIAMEYGSVYVASVALGASYSQTIKSLLEAEKYPGTSLIVAYSTCIEHGYTKYNLQ QESVKLAVESGYWPLYRYNPELVQTQIVDNLTTIVSSGFTLDSKKVKIDIESFLKREN RFLQLIRSNPELASIAKNKLKAHSDKRFQKMKDLSENVTVTSLKDQIKKLKNQLVSIQ NASKTGELAASGLINTELFIEQEMHVLYGTETGNSEEVAQYIQSQLVSRGYISSSLNL DDLDIEDFLNPDKFSTVIIVTSTSGQGEFPGSSKLLYEALLNKHLENKDDKFCSFMRF GIFGLGDSNYVFFNEAAKKWDKLLTDCGAMRIGTIGMGDDQSEEKYETELIEWLPDYL QLINAPEPKQDEKSEIPKETTFKVTILDFCRNDTLNEATGTLYEKLDENCKSGNSQYK PIVPPNSVLLPIVENKRITNQDYDKDVRHIVFKLNDGNGAPCLSYCLGDSLALYGQNP INEAIKAIEMFGYNPYSLLRISMNEDNEANSTSKVSQRYSLLFGQDITILQLFVECLD LWGKPNRKFFQEFYRYCSDPEEKILAKKWAQNEGKKLIEEFSSKTGTYLDMFKMFKSA RPTLAQLLDIIPFIKSRSYSIASSSQFVNGESIELCVGIVDWKLESGEIRFGQCTGFL NRLPMLDSSDKIPKEPLESKIDSITRIPSNIKASAFNLPFDYKSPIIMACMGTGIAPF RAFIQNKKYIRDVLNEEIGPVILYFGCRYYDMDYLYREELENYVKEGVISSLNIAFSR DPKGYKTPDCENIRYGQKMYVQHLMLENSQEIYENLIENCGYFYLCGTKQVPIDIRKA MIQIIIKQGSTSEYKVSEEYANNVLNNIQIMGRYNVEAWS cubi_01316 MSGPQKQYDLFIWGSTGFTGNLICDLLVRTYKPGTTNLKYCFGG RSVDKMEALRRRLIETLDKETSTSKGEEMISSVPMFVCNNNEEIGKCIANSKVCINAS GPYIECGEIIVSLCCDNYTHYIDLCGEIAWFKKMQRLYGASIASRGLKFATCCGFVAA VTDLGLLHLQNFAAQTSGLPCQEVLHYFQHEGYSQSATYGTVKSVLLSINDPIKKEIK QSDPYALCNGTLCLSNIAEITEKNKVASGPVYNSHVQAWTSPNMLSSIHSMFVHFANE EMGYPYGMDFVFSSRSIDTSYLSALSSCFKDKLGRFLSERLLISKMVHCGAPYFVGKG PDISKAKETVCKTTFVGTTMQGKNYKCVVQTRDLELYGISAILALACSFSIIFDYSNL PQTFGFSTPSTLFGASVIKQCQDLGIQFDVEYLEK cubi_01317 MSPEETTKELETNNEKSGILSAFENVINDEKNRGIIYISRIPPK MQPHNIREIMSRFGEVDRIFLRPEDKSKHEQRIKMKGGNYIRYIDGWVEFKDKKVAKM VASSLNNTNIGGKKRHNAWRDDIWCIKYLSNFKWHNLTEHKRYLKSVRKTKLQARITQ VQKENNFYLQQVEKANRIKKLEKSNTIHLSEQGNPNSNKSRTYEKPLIESNESKISKK SLTNLL cubi_01318 MNANNPVDKIEEIDELEQHLSDESRESLKKLKDIQTEFNTIEKE YLAEMRKLRSKYEAQYDQIYTKRDQILESGTVEESGTPGLPQFWITAMRNSRMLGSAI EEYDVPILSYLKNITTEWTSDQQSGFILNFNFVPNPFFEGTNIKKEYVMVFLEDDEPL LSNTVVSKIEWKQGKDPTQEVVVRRQRHKQSKEVRIVTETVHRESFFNFFKSLNVPSD EELAKMDRFDIMELESTVETDYEMGVFIRDKLIPYSLYWFTGEAVDEDDELEEDDEGD IVNVDDDDSESESESGSDSNIGTDSDSEDDRNNKNANRNNKKNLFGNMSTAKSFKKTN cubi_01319 MAQCSKNNNYIEDDVLKVLNNEQDSIENLKKESLIQIIDQVKEM EDQIMGYLKTQKVIQEKTRVEMKNKYDELFREKTNERRKMEEELNGIIDKIKEKAGEL SKMDIMIKDVSKDNDYMLKLTKSWDEKKNYWKTLIDEQERKSEQLSDLDSYLSERFKN LNEEYNQILQEKKGLMASKDNLEILIEKSKKQGEEKMRELYIVDKEIKDELETKINEN NSISVEITRLKEKNESIEKRKVSIKEEIIKLENDLASKVDKIKFCKEELLRTSDELDK KKLETSKFMQELIENKRYTNEINELNICLEEKRERLRQIDARSETSHNYDKIVELEHI NEANHLLEEEIMSLNSKIKHEERDLIDEISKLKESKVLISSENIDETLTELEKGLMGN KLDNMTLIENLGQEETTIDDIFNQITKIRQLLDINDSDNDNNEDEVSNKRRKGKLRRS KAKNTQPMEQVLIQSLRMIQKIDQSRGSINDSFNFKEIQQKSHNIANEDNKNPVTKSP DSPKSGVSGFGRRVIISNRRLLTPRKGLFAPIPQSSRHRGSRCGDDDTLFGSDL cubi_01320 MSTLVRKEAPNFTAEAVMADGSFKKISLSDYRGKYVVLFFYPLN FTFVCPSEILAFNKAQKDFEKLGVQLLAVSVDSQYSHAAWRRTPLEQGGIGPVSFPLV SDSSHAISRSYGVLLEDGIALRGLFIIDKEGIVRSEVIHDLPLGRSVEETLRVIDAIQ FTEAHGEVCPANWKKGQKGMSATHEGVSSYLKNSF cubi_01321 MNGIPKNFINADLLNTIINSSILNVFGNIGSTPIEYHILYLSDQ TNHAVLVTNFSNSCQLRFCLSMINSWDNYPLFRINTLKAGCTLSTVLSELQAF cubi_01322 MLFHLGALTWLSLFAHYAKLQMDSIDFSTDSDQDECRIQCNLNF FPYCATNGVTYINECFYLRARCLDPKIQLQKIPGIPCGILGSMDATKYTGCEKGCAPV EFPYCGTNGVTYINNCALDIATCEDSNIQLARLPGLACGSESKFDISSSECEGLCSEH YIPYCGSDGKTYINYCEFRKSKCRNPTLTIVGFPGLPCESQIYDEKVIIQQTKKIECL KKNKCSSILVPICGSDGVTYRNPCEFKRARCRDPTLRRANILLPCGSKISDGVENIID KMPNTTSTGSTRSCWFKCTKVWEYPLCGSDGITYSSYCEMRNALCLDPDLRLVKIPGV KCSSAFQFTNPVKIDKCRDDCPFGNTLSYLCGSDNKTYYSYCEFSNKMCRNPELYLAK PMGFPCKEEDRSKELESKAKEYLTLDEVYFGITNFKSNAFEERIEESRVTNNSIIVIN NANLKDSDRINVEKNTGLLFDNRIYDINNYGNIETNNTLNKNMTEMLGYEVHMLHHGL KSDSCEFDCSNSPRMAHCGSNMLTYPSGCAFHRDQCRNPNLQLLLEPGKPCSQLDNYS ISQEKIQDCLRDCTRSQKVYHCLNTGETIYSYCEFTYLQCLIPGYKIVGRVGVPCDDI ADYKYYKSSSSESGSEYHQDSERINTYEENNFGDDYSAKEREFQKKIREMLNEIPSHE YTGLYRMNHNY cubi_01323 MKVDNQIEEIHKYEDFLENVLQKDLEKLLKQKEEILVKIQEIQK LERNVSIFKEMNLSELNTRTNLGCDVYVDTLM cubi_01324 MSLVNVTSVKVFKNPTEITDPFEFEISFECLQNLEEDLEWKIVY ISCAESKDMDQELDCIALGPITRGALKFIFKAPSPDFTKIPPEDIHGMAVVLILGSYR NEEFIRIGYYVHNVYTDPLLEDNPPDIPILDKLQRIILSESPRLTRFNIAWDTKQDDQ NSSYHDEDQINSQESSNNDSHDSIDSQASPSSSSSSQPSQSHTSNLNSLKLVPPQSPK SRSFNTNNENLDVNLSAISSN cubi_01325 MMADLIMGVNFEDKEKYIKEYDMTRSEDIVELIRILKIQEDSRK ALEEEIKVIVSNNDSLRQELISSNEMVNYWKDQYEICNTSLRIVNEEFEQLKVHDENM NTFNKTFKSMECKKCGYEWKRVNVENDNRDLKSRLNDDELENFLDITNILVSSLYMNE VEGLGIDENDQGKDRKIDVDINKYQATIEKDQEIGKLKIENEELKILLEKKEKIIIDL QNELGQLKSKLMVEKSLPNVFKVVSKNLVDIVSEDDSPESSSKVISNDDELLEKFKKN YLEQVKSINKKRKIAFCSDNDLDRKELKVSESLDILNDLNNDDKSEEVGDINRISYFD NDDLKTDLRYYDNYSNNINTKTNDKLLSDSSEGILDDIIDEKKYDNESNMNLKIVEEL DWEDDNWGEDEEKEKNEDLNYMKKELENDTINEKDLDSFNKEKLIDNEKITNEVEGNK KNVTGLTSFLDDFVSHISGSINDDKIGKDDNKNESSFKDIFDSYSSNKVSNVLNSNNF NNTNSHNIPSFTGFVSNIKSFFNDDKNKSFVDWNLKKIQDNQLDSLKNMNKSVINSIS NNDHDKIDSDWDNDDWGFEDDEEEEDDDKEKEVKEVKEVKEEKEGEEEGDDWGDDFFS EESGDYDTSRKEERIHLWKNSDIGIKNSIEYSESSSEVKIQDQEKLAKGSYEGLNKFN DWDSMGDWSDDFEAFSKEEKKKRSLE cubi_01626 MNDIKVINSPARKVKRSRNEFAEDLENDTLYLNDYLKMNNRKKV KGNKISDVDEPMKIEETRESISNNGKILQNEIEKNDESDNNSPLLCTSTMRGQRKRYS IYYISGNNSEPKDIEGMKSLQDELVEAEFIDDILKKNNSEVIYSDELLESENGYINDE NEMSSNIKTGIKRPTVFIPNLISNIKQNNSLDHNIKTNTSSTNQESLEIIDSTSKKIS LKDVQENFRNNIIKQRKQQ cubi_01627 MSNIILEELEIEDLSQKLMLKLNPKILKEGFEEDTTNAMIIDDY GYINSGFTNLSNKKNSDYKERNDYLDSFEFVDQSLNQKLDKDKLKSNLKINNKDINNY NNHHNNHSYNNIFYQNQLNRSHSLPPPNNYCKGLNLFKKKEIKISNEDFKPEKYNCNS FNKLSRDLNQNSYINSFKRMNIEITQEFIRLIFNIIIVLITLYIIFGIIFVIKNDIES KIQISITNILDEMNICSKHYVDNKCQPEQRVPAMESKCTEWERCMSQNPTIIARKSIF TAQIIGEIINTFFDQISFKSASFIFGFIIALIIGNYFVISSALRFNRNLTHHRNSLES TSIIKKNN cubi_01628 MPLLNRYSKKTYNSVFLLITIILIIFTKESKCLRYKEKLKEGGL GNFLLGLAGTAVSTGIQSATGSPLVSNPAMNNFAASGRPAGTASVGLATTGATQMAGA QMGGAAAAVPGAAPTTQGAAASQVGGMAAPAAASGAAPNQGGGAGHGGGGAGHGGGAA GAAGAAGAAGAAGAAGAAGAAQQGGVQGILGGTGTPSALSFTTIAFGVVVTMMICMVM LMCRRCSKDDD cubi_01629 MSSQTKRKTQKMMLQPINQIFHLFTSKQKVQIWLYDHKDLVLEG VIQGFDEYMNIVLDQASEVYTKKEVRKETSVGKLLLRGENISLICECK cubi_01630 MTVHKVNSVDEYKNYVSRQGLVVVDYFATWCGPCNMIAPKLEEF SEQLKDVLFIKVDVDSLPSVADTESVRAMPTFKLFLNGKEIKSVVGANFEVVQQAVLS NRP cubi_01631 MNILINDNIHEIKNIYEHDSENDLINKNYNIVGINKRRKTACER EAIFNRLILNNPSIISIDKTLLPGTCVYCNICQVRIILNPAYYLNNWRTHMNGKLHKA LSKAVGSPQFLDEIDFCLEKEEDSYFDSLNILGYDSNNIDNFVGNSGNTNSINNIHYT HNHHNNPNENMNTANCMENYDLMIKNARMLSCISNSIKDNNENMINNGSDNNNIQCEM NHYDNKVMEIFEEENDSKSNVNGLNIEELNNRNLASNNIDTLESEFEMKNHAEENNSQ IQKQEYVIHPLITNLGMEKNKVIPEISFIMGDNLYNNVLYSQNCEIGNNNNENNNRIL DRDEILSDKEKLLNDNYLQVCQSDINNNSNINYNENNINLDKDDHLNSLVKYYNSNQN NNFYDKNRIGKLQDNLLIEQVNDSKFQNNIVDSQNNNNEANNSYLILGIPVISPLVRM NFEQIGTFYCPGINKVRYWAMCFIGSERSSEPESDLNNYNDTRRVFYKQSIITKVSPN HEPEHQGVVHHPNCLEIVSKPNEPCERCSLYINNRQLARVISKRATRLQEMWDRVVAG ESIINGGKIPQSIISAYNHRIDHWSRCNDPLEAITRALAGCRQRDYTNFFWKIRENLL KNSRLIRNQI cubi_01632 MNEIYKWLLFKRLFRGRKKILGEDSKNGEQTTIKNSFCNNAKKK YCSRKMEVEILGEPRIRKTCLSPRSTPILLEINFCSEINNDEKKLSKSIKVEWESTQD EIVTKKYFYLDSQEESNYEKLQNNENELSVAYLGRQNKRVYSERNELMEMKIRACNDE FDSTKVNLSKKSRIEMTNSRHLNLGKNTNDNENYYNNEYEDLVLVEEWLFEK cubi_01633 MTENSINTTNVKIVENKETSKLEKVNLLPSYYGDMNQVLTLEEA LKQYDQLKLKDTISPKRSIKEYADKLKLSSISEVSNKIYEISSKNDTDADNVIGIFAL SPSNVSHLPPTEKDGLAGLAILSNALSNLGFTVVIMTDSINQPVISQILSNSELFKHY VDINQEIGYAPKNLNLEKRKTYVIGVVPDPGIDSEWVEFLDNIQKKFSLLMVLGRPSK DLDTGIYRNSRGLRMNHYCSNLEGIYMKIVQQDNKIPIFAFSDSSENQLGVYQKDDKR SQYNANHIIVSHTVDWVCYYLSAGIALRFISNIKNLYANNNSNKENIQAVEEINAKML HDAFQSFFPGEDTFIYLNEKIKELEVWDTQYGNEIKKGLTIENDWEIRMKIRRIVSQF GVSVDFKHV cubi_01634 MEDNLEIYSDIKLLFDDYLSNRFSELSIQHNEMDKRPDDDSYTD FLSPLESSVGIPTFENISPSNSISPKSNNEEDFDGNEHQQQNEYEYGYEPNGEAEEQY YLDSDSDKETENTKKEYISTKYRLITKNCHISDVIINVINKKKKFESHDYIYYIIKLL GYGYYGTVFLAKMKAKEQTNQNYQLVAIKIINFEQMKTNNYNHSENHNTIVDIIDQLN DEINILITMKNHKNVVNYIESFYINPHYLAFVTDYISGYTLRDIYKSYGPFSENLICF ISEQILKVLSILNNYNYRYKRIHKDIKSNNIMIDYNTCQIKLLDFGVSQILDSILYHT PKISSGTLQWMSPELIQSKDYNHLTDIWSLGITLLELSTGHIPNIYEIFLSSDNLSSY TDFDNKSKKEGTLERYIKLLTQENESYIHSNLSPTSDKTQINQNQTYGQIIQRNNSII NKIKSFSNNYSDFLNNMLIINPNERPDSSKLLEHPFIASKRKSTNIFHNNFQNIEKEL YHYNNSFNITDFFKQKILSKIFPNISIHLHSNNLNHLNEEALYSNSSYKQNKSIETIN FNYIQNVNEYYDNENSSIQFSLSDSDTSNNNS cubi_01635 MDQENTKSLNTTTITPTRNGNLTLKDANSETPNKSSTRRSVGSA GGGSSSVRRSSRLLKKNDGKLGDSLTPQLGAPGGTPYLLRSKSRETLSSAEVNLRRNA MPMPPLNLKPMNDLVGSSEFYQTNRETSKENNNLVDDSSNIYSTPRKKMRGIENARNN NNNNNNSTEFYLDRDPGSESQQRSSSRRKGGNIKNTPVSKNRRNEEDSFIKDRKSILK QYDPDSMNTTTMNIKTPKSQKKVQFGTKNLIIIDENKQDINIDKLITESDNFENIKNQ DNNSMEDLTGSRMSILSELDDAGTAQIYNFPSLEELINTKKNQDNLVSEAYINNNVDN EISNKFGSIHNNNNYHRNIQTDKVSNIDRKFNNNEYSLEDELESQDERMIDLKDKENP YNQNIKENQNVVQDGNNMEKNIELTDQINISDYSINYRDSIAPNFPGAFLSVVMKNLS SVNFPEETKPESEHDIKIPKLELNDESLQDQVFNKELNSLKDFVNDENFLDKDDQVVG NQENVIEVQKQGNLEREGEQKEEREEEKEKEKEEKEEEEKEKEEQKEQEQKQEHEQEQ KQEQEQEQEQEEKEKEQEKEEQEEKEEQEEKEEQEQEQEQEQEQETKAQANQEEIQRN GQEKISRELFIKESNELSESDLITEKDFVLNKNHRENLIDKKEQNIEENLNYQNCNYE TQQIVLTETLTILKDSNNRLSNILITPKRSNGNISYINKLSPSSDSLLKSLNNTKSIL NITPQGYTWQEFQSILDLNYTMENYFSILDFDEIENNNIIKDRNIEEESIDSKLLLVK LQNILKEENDEDSEKIQEIAIKYSSEVIKSIRYQIWDEIEKSVLVKEFNGEIYHERYT ENLSKFSTSEIATVMAIVVNKSRYGSKNDERIKNELFERISRYFFGEGNNIFFKKAII DWKEKVVFPLKNLLINKYDEMIKSFMLKHEQIMNNKNRIQNSNVLLSTLESCKISEMH VYETYWNAMRIVHDNINNYKEEIERVKSYLNMMNEKKKNISRLLLKEEELYNSLIMEL NDWKMKKNSLRNHLNDLRIKEEFAGFTWDLFTMNKFQTIIVLNNNCHNQSNIVKVRVS IEWNMDDNNKLKMNKDELMDNHPPIILNIELLTDNMNLDDLEDTSLNNKKYNDLQLQL ALVNNQRLEDKIYQAYIDVIEKTLNLRLMELYLNYKKQNNMNNNILIELIRGIFQSCI VLLWRLESIVFEILNIIKVFNLTIIDIIDENKLILNIPILVGVHGRNNNEIDNQGINI MNANNNNIENQNSHLSNYLITNSSSSPLLIPGNSLSSPSPITPNSLTSNTLSPHILMA SRPVISITFNITNSLFISIGTLVNSIEDISVYNMNDEITKDMIRVFKDQINVIEGKDN NSNNIISIRKNSAVYVGYNCLLSVIQNTIQEGGRNQLIWKRPLEKFAPRLLNAVQISK LHQNF cubi_01636 MIPSEDHHNNSINTESLQNGDLSRANLSIQNFPTNTNKPETVSD LGEEYVSNGGESLNKKPRVESQTETGSDNKTTSNYFNLGQSNNSQCTSAVEVSTGSTP EALESTNQTDKINVEISSSAAVSSNSGVGSAPVQEAPPEPPKKRGRGRPRINREALAA AAAAAAAANNTAGGTVQNNNIIQREPSTRRKGAGLGSLIAAEVSSRRSFVDEEDEEDD EDFEDVDLRAEGENTENDEGEELPQRFVLTPIVEKFLKRRPQMRHKEKEEGGDHEKGR DGHSTGDAATGGIEERDLASPSAKRRILNTNTSQRRIPRGKIPYEYLVKYHHQSYSQC KWISEDDIDNDPDPKVRIKYLRYVQRLEQRGVEGIDATAYIEDVPELQYIDGDIERIV DCTDVFRSLYPMKAAEIGRNEWYIYCLKIIDALVCFDRKGVKYGIPFLRPVDPNMDGA SDYYSVISRPMDFTTVQTKLYLRIYSQPQEFWSDVQQIFTNCFHYNSVDSDIYVQGKL LKALFDKLYGEWALLSRQTQEDLVKQKSEESPKEWLDDQTVTIETAIQHVTFRDLLAL PKRPIMYFAKWKHLSFADNTWEHEVDITDSSKILQFHRFVRVPEYITTAHNGPAAIAY DLYKRMISETYTYIRHTFSWMNNQFGMKLIAPQAPAYITPNSGGGNNQDSDDSDDDNH VPYATKKKSKNRYSIRTYVPTTFSNLPQLTSAISIYGHHHSNYQPSQQNRSIYGQRQQ NYGGHQNLQRQFPSQNLGNSSSSSSNMAQINKIIDSSLLDEIKVGSDGTMNKNAIAAT QKLLQMITANNSNAANALSMAMNNPAVAGLVGKLLQNINQNNSQNQVKSDPESSQTSP ASVSGCSSSTQVIPNNSSIPINNAISVPGNVIGTGTVTSASASASASAIASNNATCNI SNNNGTHSGIPSDSSSGFNCNNMVITPNQGVEARGKHIVPGNYNSESNISMQTFSKHN HNQWGGSSINDSNSVNNSNGIITNLCEKPNNSFTEPKPRVEMNNFDYTIPIDQIRNNS ISNHQSNHASYVSNTLGGNPTQSGALTPDCVEENINRGEAYIIRDDRIAGSPGVENPG VGISGEGMLSFGQGGAIGQGKGMIIDQKENYNIVSSSIADHHDNNGCDTMAKTINETD LFFNVSGDNPDAIKGLTSADDSNASLCDGEVKLSKNSLELMVFGNVEIPSSMELYEKL ELEKFCGMVALRNDSQNKENEEILSYRVLDNFQDFCDGIVCKEDIEVTTKDNGTNACI QAKAEGISVLGDISSNNSQVKVKMDESEKSLNNMTELKNDTNSENVVTENTNNPMGLA SLLANNKIADLYCSQKRPPPPPPGVSRHYPVSPIFKNGYQLFDYQLAGLNWLLQLWSE GRNGILADEMGLGKTMQTMSFVWHLVYKEKLRGPFLVVAPLSTLDHWKRTFEDWTDLN VVSYYDEGGRNGRDLLRHYEFYHQCLQFDMRGRGRSSSYNSFGGNMKHYGYTNEPRLV QTQHYKFHVLLTSYEILLADADILCTMPWQFVIIDEAHRLKNRGAKTLQVFKSIACRH ILLLSGTPVQNNTEELWPLLNYIEPIKFASIEAFTQEFGELQTSSQVSALHELLRPHL LRRVKEDVMKEIPPLEETIIDVELTTAQKAYYRAIFERNRAFLCKNVGLSGKKSGSNA PIPSLMNVEVELRKCCNHPFQVVGVEEREVALCKTSEERYKKMIELSGKMVLMGKLLP KLKAEGHRVLIFSQFIQTLTLLEELVEHHGWGYERLDGSIRGTDRTAAISRFNAEDSD KFVFLLSTRAGGLGINLTSADTVIIFDSDWNPQNDVQACARAHRIGQTRDVKVYRLIT ARTYEAEMFERASRKLGLNTAVFHKGAFREENNSSSGNGIGTSGEPTKQEIEDLLKHG AYYLLEGSEASRQFQESDIDEILSKNSRLVRYQLSGKNSSFSKTSFRSDNALPDLDVN DPDFWKKVLGDSSVSSFLVQLQSGSAIRDDESREKFIQDLADAVDGAAALDSLDPLIE ALVQVTNMREFSELQRQKAEDLLANVQDIVKTLQPNNENVDENEEEDNLETDGRSKSR KKSRRTTRAKEFTIDNYVLDEYIDGDMGDSVGGSGNHIDGRGRKPKGKKGGGRKGNAS ASGKYNNFDVSDEDDDDLNDESFEPVKSTKSREKKGGGRGRVNSSKTSANIKVIGTGG NNSGGEQDADQSLTEALAEGVAIQSNGTRQSRKRKSTNSNNSNNGDEIHEEKEQTSNN SPANNTVAKRRNRRDSAAAMLALLTAEIAKDEDDIDDDEL cubi_01637 MGRRAKTGKDRLDRYYHLAKEQGYRARSAFKLIQLAQKFNIFKN CEILVDLCAAPGGWLQVAKRNMGVSSKIIGVDLVAIKGIPGVTTFKCDITTERCKKLI FDELNGIPVDVVLHDGAPNVGTSWDKDAYIQNELVLHSAKLACEILKPNGIFVTKVFR STDYNSVLWVLSQLFKTVKATKPQSSRNVSAEIFLVCLGYKAPKKIDSRFFDPKYVFQ SNKGENEGVDLDSFGNNLNKDHYGDDSDGDSDSDNMDDHENKLLTRKTRKNMKSSLSE LIKGIGKRNRDGYEKGDDFRVVSAYDFFHAENPALVLLKSNTINLNPKKADESNTLER EFIDLVLNHPKTTYEIKLLCEDLKVLGKKELMQLLKWRFLVFKDIKSSQSKKDSLQGK ENNITDSDDDETELDDNGDADNHENDNIIQESEEEDNCQGEIDQELLDMLKEQRRRNK ILEKKKRLQQRKREWRASLSKGGFDVEGNEQDLFKYTKEVAKVLDNEERDVSIDGYFP EGVHFNDNFLLGDDFKISSQEIQDGGNKVRDNSSDESNDSNDEELDHKDLLGIDLDIQ DYIKRNKIMQGGDSKLERQKSRLLGQKKETRREKVISDWVEEMNQFSNKIEEENQRVL AKKAFDQIYSSDDDTDFEDDNNNDNKKKNKVSETNKLKSMKDETQELFDYKNDIKKDT LSNRWFSNSVFNDFIGEEKTQNTEDGTIIRELSDSEIPQIPMNEKKLKKLKNKKSQDK NKENSKNNEIEFVPKSAGSININDGIEECFNQNNNDEYDQDQKDMEEEVNFDSVDSDD EDTQDSDSERFTKPENEEELKMIQGIGSLLVNKSTRMDLIDGSYNRYAFHDPAEDLEN NVLGLPSWFVEDENKHNKPELPITKDLMAQYKAKLREIKNRPIRKESEALARKKRRYE KIMEKARKKAQSIADSEEMNEASKSKTINNLIKKAQKVSSKKRVNVYTVTRRHGLSKQ VKNKDKNINSTNLKTKFVDKRLKKDKRAVKHINKKKKHMSKKRNKKR cubi_01638 MSESDHVFDKFEYGKIITFEDKHILEYKDDEDDCDQLNVKIYPN KTNLESENKDNYDSGKNKNQDKFKFKRTKIEVIKKNLRNETNYESIYNEEKSNDNHYN ELTKFNTNFLTNDDSIFDDTDIFYDRLKIQKKKKTSQNIKFEFESPSHSITSHSHLNN EENPSHKSNIYLSLETEFCRKIDNSNINHIKNDPINSNIITNIKNESTSSDFQDNIVN SSNRNNLLESQNNILKPRNSKDNTSNTLDESSATSELNNGTQQSNLSNSILYEEPLDF GISSALALLKKRGEISSSSKKGSAISNGNEKTKEYEGSSAEYDTNLESNNQVSIFHTD NNGNILNPKEAFKQLCWKFHGQKINRNKIEKMLRKHIRNKT cubi_01639 MSPLRGRKIRRIYINSEDNYNENSLSKINNGNYDDNYNRYNHYR KLSKLSGINLSEIGQVGGVGTGSGMCIEKASSNLGNLENNIADFNGVNLGESTHNNKQ VVNAIIGDYRINQGINIENICKDDASMLNNSQENMYIKNLNPIQLKYLQKTQKMLSYE LSNYKSGIKQLLSIPEYLPCDM cubi_01640 MEMTDQRASFYSSNNGSRNRYLKFDDERFGNRNVSGNINNSAQS ILEEQNDQYMIDLEAKVQDLKYISNSMRQQVKESNDILSSLSEEMGSFGILLKGTINK IKTIGGTSSWKHIWIMTFFIFLVFLFMYILFKRN cubi_01641 MGENINRAQVLWLIRNYLFGLEANKAVKYIDKYFPEINNLDKKS TKKLKKLPDLHEILRQFYKFNEEKIVEKKNTLNDLQLNQSKEQITIESSIQGNLNINN SATQVNTNKKSKKRKSSEIVQKKSISEETNPTTEDEIGNNENQLSTFNPDYSTCASIQ NRFKRIDENKYKDKLSDERLNDNSYWNMKKYSNNSDDFASKAAFELGQVRGKGFRQEK AKKKRCSWKGNGTISTGVSSIQFSDSD cubi_01642 MFAGTYAILTPNTYEYRMLKITIAILMMALVWLFPDLLKLKFAR PKDKGILFFGLLVCVWSTLNLDFSYFAPTIYADPIGAIVGNMIPQPKLYKSKTVCLPQ SLLFTFKLNNFN cubi_01643 MSSKVHQATAIDAQTERAFQKQETVFLGDKRLLSKKGISKIPRY IRDPGLGFSVPNEAKNGIYIDKKCPFTGNVSIRGRILKGMCISTKMKRTIVVRRNYLK YVAKYNRFEKRHKNVSVHCSPCFDVKEGDIITMGQCRPLSKTVRFNVLKVEKNQIFGT ARKQFRLF cubi_01644 MLDIQKYKISREMDNLYTDIIEDFINKYDHKMVPRIVSLIDMDA FFAQVYHVEYNIPRDKPLAVIHNHTVLAVNYPSREKGVSRKMTKEEILSVCPEIIIPQ NAFLDVRGQYLQLFDDDLNQSNQHHIKNQITYQKLSLDIFRKASRMIFSKLKSYLPTC NAQIASIDEIFFDLTDIIRKIFDTIKAYISNHDYHNFTYFDQLKSEICINEINTNLLL NDHIHFDNFIKVLFPELFDEQVLSNILNILYPENDHEINNYNDISKSYSIYCYKLPIK NLTFQEMCLILASILIYRARKRLKEETTYTCSSGIFLNKIYSKMVSSLKKPNGQTVLL HRWFEPYIKDTYILKLRFLGGKLGKSLIEKFPEIKTIKDLQKLTLKQLIHHFGERNGL YLFNSSRGIDDDPVGNNFETSNSLQSSKIFRIPLNNYNQIENWLKIFSCEIFYRNQSN YQISKRKPTKITVKIKIKNNIVKTRTGDLIYNSDIPNKNNIFESAKNIILNKFGLNIF PCKFLGISISGYIKCNKNDDNFKIEFKTCNINQLSNNKSIILKNSNFSNIENEYLKFD NVLMDAINNEIFKDNENENISSIPIKDDSIIESKYIYKDWPFVIGKDSNKRKGKKNSS SNNQVNIQLSSRSPCRSVRLVNKKNVISKLTQYKQTKLKFTLP cubi_01645 MNEIVNKHGIRQDGRKLTEIRRIKCIVNEGTQRGVDGNVYFEQG QNKLIVSIIGPIPISGNINNLNSNSGVQINCNFRVSPFSSQDRRKRGKNDRFCIESGL IISRTFSSVICDQYSKSQIIINIMILEGDGSIRSAAINATSIALAISGISMKDLIVSA TCGLYGEQILYDLTQSEMESLKGTLLMAINSTDNEVSPVTIDLNTKLKTEVIESLMTE AFSACKQFSFILRDFLKNYTCNKYQSIYSK cubi_01646 MSQTIYKTDTNTLTILKVENKCNIQQNTENESRHISENLLDRKE LSATEYNEEINKDYNINQNIDKTHRKSTNNVTWDESAIDNEMMNKKKSKKCCIFHKKR NFGESSSSDSDTDSDSDDNGDDQDTYNCKNKRNQCSCDT cubi_01647 MTQVMTDSVLSNGVGKLTSVSGYALLLDEDSIELREYALRELNT VVDGHWFEMAEYLASIENCFEDEMFPSREQAALLASKIYFHMEDYDEALKYLLSSYRL FDPLDSSSFSESMVVKCIDEFIKVCKAHYNNTNNDHSDSDIDIDHYLKNATVITVNGL DSRLEEIVSDLISRSNSNGRFLNAMGIALEARRSDLLVKILKQTLEGIHNDNLAQFCD QCFNLIRHLDSNQFRFKSYKILIKLIEEKVTYIEDNPSLKTYCECLCVIGDSEKLFTI LMNLSNDLTNFSKAYSIAFIIKDYENVTLMNNIISKIDFHLLGVSTKTEVEECKDSKS ENQGQSISNDRLNKIRSILTGEAVSELNLQFLYLNNQPDLGVLEHIKNNCDQRSAISH TAIVMSHGLMQAGTTCDVFLRNNLEWLGRATHWSRFSTASSLGIIHMGHIKEAFKVLS TCLPNGGNNNNTSSNNNSTNSNSGGNALGGQYSEGGSFFALGLINASYMDPKAKEYLL EKLRNPQRNEVLQHGASLGLGIMGFGSCDTELYDELRNVLYMDNAVAGEAAAYGLGLV MFGSGSKKAIGDLLSYAKDTQHEKIVRACSVALGFVMFSQSGEEANELFQQLNSDSEH FIRYGAMHVLGLAYCGTGNEFAMEKLLHASVSELSDDNKRAAVFALGLVMCRNTQQIP QVFSLLCDSYNPHVRYAAALTLGITCCGMESPKITAILQSMTTDSTDIVRQAAYIGLG LLLMQQNESSNDKFTCIRQQMIKTATDKHEHIATRFGAILGLGLMDAGGRNVKASLFS RNEESLRAQSVAGFSLFFQFWFWFPLVHCISLSYIPTYIVGLDDQLRVPKSFSMQCNS AKEKFDYPKSFSLDKNEDKKVVVTAVLSTASKKKSKKQKSIVGDTGNGAKEINDSSSP LSASKQVNDEERIKTELSKTLEDEVDTKMNIEEKTEKEEVEMIVEGGDSENSKGNDKK SNSFVLNNPFRILKSQEQFLEYIPDSRYLPVLPLQKSGFILLKDNKPSEQEEYLFTVG QDSNKDTISTFEENKETGKEGEESNEKKEKNDDEKMFDNDDGKFEEMVPPETFEWQG cubi_01648 MSKDCQDCDNYRGEINKLKNEIYMISKSNINSQRLLEEKYTIQV EQLQEENHQLRKTIQEHEEYKYKSEIYYEEVNKTLIVAKSKLKELHERIKHLEKSNSE LESKYNETKSLLSILVEHNNPKFPNNEIPIKENQPNFLCQLDSISI cubi_01649 MGETLLLLVLSFCFLILNLNLNLSFINGNNFGNGLKLVKCDSNF YMNKDINSESIDLGLELLKETIGTTKNDSKKVIGSESVNNHKELSVKSTLTESENIDE SEKDTHNSSGNKNCEKDDSFPSGESSSKEGFLSQSLGPTFGHMNDDSEIDSATADKVI KNKIKNIKNFDNFSRGTEEDDDDDDDDDNDDSDEYKFNQQRNNLSTNNNNAKTVNNGP KLIYNNLNEPNIKSNNLDNMNKNVNDRNNSPTFYYPGGNGISRPDVYNLPLGGVSVLS KNQNVNHPRPTMKINNIQQPVTTNRNIGFVNQGLSPTNQNQIRLQVVPKSQPQQQQQK QXXXXXXXXXXXXXXXXXXXXXNKNNKLDYKFDSRSNLEVRIPIDISNIKSLRRNEPF QLSNHPLSRYGPNVRRKISGRRIIPVYIQRRTPEKSSSMSLESDIIPKERFKIRIQNK DEEVRTKRTGSEEEEDDDDDGDDDDGDDDEDDDYIELVRINKDSKRNNKKENVKYTSR DVILEPKYIHPSQLKNGYEFSRMQGQYYPHGNNNINMVNRKPQYGIPMFPMAPNAGGL QNSQQMMMNHNKENGDMGEFQNNSINNFRDSQPWYLQSAFIFSFIGIIFLLIIGAIAI YYIAA cubi_01650 MKDRGENIRDELQAYYGNEILINENELINLNNAAGCNTIEDGRI LNIDFSQNDIDIDEVFNSILKTKTIKDLFLIKKELNKVIQECQIEMQKFIHSNYKKLQ SISNLLDKSIFSSENDNSNDHSCLNPNQMIKDIENNKNLVNDKFQEIKSHIFTIENNE NLEKYLTQYKLILVMSELKKFDLILEDYFSKQEYELLIHIYNKWKTSLEMLSNDDHFK MEFQEILKNSHKIFEKTINILKKQILVNINKDSILPSISNIIKSYILLNNGQIDFTND LILNVNNLFQDIVNEIVVKQLENLEDTQINDKLVIFSNTCKQSYQEIFIPLNLIISEL NKNKIDPLIPSSILENLFEVSFIKIIELLGHILNYEKKNPKFELNFKPILQGFEYLNQ SIKELVGTLSLTNFQKHPTFSSGNYKYIIVNTEQNFESEDGDMGDEYCNSDDNAIKRK CIEWKKSILSDFLKIENLDLNTKEIWNDLFDFVIALQNSDIEILFIEINKHIFNKLIN DELFNIIKNYKELIEIDFEVCENLIRKVIKDIFQLIISNSILHINTIFFKNINESDFG FNSIENFKQMMEKMHFELSYSLNGSNILDSNSQNWIIEKFSLVNYKQKAIYFLSIIIM IRYLRDNSLFSCISYFNDCFYNDNLDNNNKIKIKNLTDNFRLFDLFNSNKVKYESPKN LNNNNNNNFYEDDATIQIVKILYNRFEILFLNIFVIWNIYAIIQEVYDQIDFKNSEKI HISEFFDLMHFNILKLFKFFSVLFQPQFQYSNHIRKSTISEFQFPIKDFKKSQIFAKW SSNYCILKNFDLDNPKIIFNKPISFWFSQILHGILIEIIFIIKNNNFIIIDNPDSCEK SLSKFWNGIKHYFEYDIDFNITHSLFCQLYNTLLLLKS cubi_01651 MLNTADKIRARNSEFKSHRRSKTFPAISHAFHSNIYRGRNVVIT NTSTFKKSFTYLLLLSLIGIVTVDFIFRRFGALTLFDNIKSISLDKKPLEGEFTFKNV NNIFHSSDIMKVKRISLSQKNNGVSVISIKHILKNSTISELNSDLILYTRDEQKNTSL QRRIMKDIEKGVIDDVVGLMVLLLKHKRISSESPLYSIIRILPSVKWFKNNGIFSMNR KDFDVASYGTSMEGIYESVEKHCKLATSYIYSSIIKREFGIPQSVDYLNGPPITQYDI QWAYMIVRSYSIEIGGGLAFIPSLIFIRKTNKDSNFEIVFDKYTRNQNGNNSTEIFED ERFGNNTGIRQAIRLVSKKNILKGEEILYKDDKSLTDSEMFISRGQWLLNSHKMILPI VFPENILINNNQESFDNHQKLSIKHLYSNSTLSSDISINNNITNILLRKFDCSLDTIH NFYFEENNAINSKFTFCLKLLSYIKHCSEIHNLLNIDPYQILKPLERKIEIDAASIGI SIIQNKIDKLRSSAANIISHFGHKSIHQLPIIKVREAEMIILRNIIKNLHNWYYIVSD IDTYESYYYYLLQN cubi_01652 MSSSFEVRKATIDDYFELRNLICGVTRCTEILSKEQVEERFRYN SYHPYCLVDTESNLIVGYAGFYIIPHLGRKNDSRIEHVIISPDYRNRGLGRLLCKQII EDAKNKFDCGRIDLTVESPIAKKLYSSLEFENVNTEVMRNCLHELTPK cubi_01653 MESFRYFPFFEKKVVEGENYFSSTLDFEKLGLGSFITCSGNENS LWFGSGDGYIYEVGLRDGLLSITESWKAYEVTVFDIRYSQNTLITIGMDSNNMWKLKL YDCLDGNILSSRLDKYQELPIHRELGERGITVFEACCDNQFVGLGTVDDFGVFILCGD FFGVDTYSSHFIELSEPCTGIHFISSNTKEEYYIMISTKNSILSYEIGVNTKIPKLIY NDTMGGARLNCSSILSSWTEDASQVSDVLVVFREEGLFCYHPVNGNCSALPTNHGNPI ILSTFKNYLVLVSSPLNISSEYYSNNSREQIESLNTTLCDVTICNYFPELRCICYTGE FKNVSHILKGLNMLFVLCSGNNVFSTSASINTNRKNMLDNPIIERRQGIQNNVLSSSS SNNNHNSTTNSSANNSYITNTNITIPNLLFHLEELNLFERIQILISKNLYEWALILAK NENVSNSIYSQIQRAYGDWLCNIRKDYSRALNCYINCLDSFSGDTSHVIHNFLQANRL DEAITYLKECVLISRSKEYSKGVINITNNEESRFTIGKDHVTLLYRLFAQLDRLEDIM DFLQNRNLNEEIDNFEVETAIDMCRSYNKFEIAGKIAEMFQFHDKYMQIQLEDRRSPT EALEYLEDINLDEPQKLSLILKYGPVLINKIQKQTTKFIKNLVIEHNMPIDVFLPIFV DHDNLLLEMAWDVLTKEGNKSQIELEDIETISVELCIHILQVSLRQSPKSEIWAKLIL ERLIRVDNSENWHSILCICIYYEYISGVFYISQHCNLHQFAITELIKKGEIQDLIEFC KLFGNKDPFIWQEALCLIIKKLSESTNKKDNCELIEYLKVILENVHSLKLISPLSILE ILSKYLVNNNSSSVENYNSLTFQIIKPILALFSDYNNENLSENSRNSLNDISEINRMK KEIKDLKQMPKLLNTSRCNQCHLPLELPIIHFLCDHSFHRYCLLQQDQCPICSSDQQT KIRLLKQRENQQINKEQFFKFIKGDTDNSLGFDHISKSLSVLFK cubi_01654 MSERGGFGKGFGSRGGDRGGRGKGPRGRSRASPAEDELKSWVPV TKLGRLVRDGKINSIEEIYLHSLPIKEHQIVDYFFSGSSQLSEEVMKIMPVQKQTRAG QRTRYKAFVAVGDFNGHIGLGVKCAKEVATAIRGGIIAAKLSLVPVRRGYWGNKIGEP HTVPMKVSGKCGSVLVRLVPAPRGTAIVGAPTTKKMLQFAGVKDCFTSSRGCTKTRGN FMLAIFAALKSTYAYLTPDLWKEYSFTPSPYKQFSDFLAVTSNKVTV cubi_01655 MPVIRGLSDLNNNNNDEKITNSYTGGEKSGLAVENPGEYNSNGR GGIPENAIKVVLYKNGFIIDNEEFRDISLPENEAFVKDIKNSVAPEELRKRSKNNQTI NIALDDRSSEEYVPPKKPMKMFSGSGNSLGQTKSSAFEVNIDSKAQITVDNTKPTTNI QLRFHNGQKKVVTLNHDHTIADLHCIFMECAPVDGDYQLVSGFPPKEIKYDPNTTLID AGLLQETISQKLI cubi_01656 MPLGRKYKIEKRVSQHKKKMKKIARKSSFIKGKKGKTKELNIPN LWPFKEQMLNEMNDLKERMKQEKEEKKLLIREKKRTESMVDISEEQNSTVSKLPTNLS DFVENALSRQNAFEASKSELEELNMKTLGDPSSSDSSRKAFLRDLRKLIDESDVILEI LDARDPLGFRNIELERSIVAQGKKLVLVLSKIDLVPGDVVKEWLTYLRREHPTLAFKS ALNTSTEHGVNHSKNSGLKISNDFIRAGSVAFGVSALMSLIKNYSRCNKNAKKSITIG IMGYPNVGKSSLINSLKRGYCVKVGAVAGVTRHLQRVDLDSTTKLIDSPGVVFIGNSQ DPTQILRNTVQLTNVKDYFEPISLLLQKIDHEILLKLYKIPMFTNVSEFLTNVSISRG KLNKGGIPDINSAAMIVLTDWFNGKIPYYNFPPQSNSDQDLLEKDSITVVSNWSQEFN IDSIYDELDSQIKS cubi_01657 MMKIVESNNEYGSQLVARWMLLVCISLVTMGIMYSNDTLPAIAP FIFDAFEDLYSKEEFEYYYNTLCSLVSVPNLIFPLLAGVLIDTVSLYYLSMALISFLI LGQFILTLGFGMKSLTTMLIGRCIFGLGSESSLIAFNSLLIRYFPDWEVALALSICLA IGRIGSVLSDMVSPIIATLFPVYWTFLLGLVLMVVGGVSVIANIRKYYLEDLSNENVD NMFNETKTNSHTDLISHNEIMKTSKTSYISHLKFRIVLVGRKIRGLGRLYWYLSILGL LSYSVIMPFNYIAGPLIVETQYKDIPASTARQYAGIIMSLLYAVSVLVLPILGWIVDR VGERCKLLTISVGFLALAHALMLKLHPAISITILGFGYTLFATVYWPCISLSVPPTLL GTALGVVTCIQNFGFIITPIQVAFLHSTFKSYVAVEAFFLLICIMALIVCYIVSRVDI ELGGKLDSAPNSVSNTIQTTTDMVLNDLENLINGKNQNNVSKIRLEELICHKYLKYEN GRNLSSRKFISSSSVSTFDDSSDDSPSESSSFTKSSTFLKNDTLPLADYSVQNLDDSM LLSTRSFSFQDDYCKNNPKNGNKFSPNNLKGIII cubi_01658 MTEEKAFDVIPELIDDAFVLTRCEYMIQLSYYTPDLHVTRGWSL ITKEQRERFNKYASNLGGHVIQCIYELSELPKNQNFDTIFGENKIIVNSKSMVFKSGA LPPPKGFDSNPMGVYRFGIFTIALGPILMVDSIDGIRSSAPFPPGYMCRSWQCNDSIN VPSSRNSFTANYQVKFCDQILPTMLIEIEFKPLRIEISQPICEMCDINISTLYCESDK AHLCASCDEFHHSSSRLLSKHQRVPVSQSPYQFGFCPHHSTERIDSVCMNCYISLCPH CILIGQHSSGDAAEHILISTTDAIRMAYSGVSQSDLELQKRKKQLADYLSGRHNHIND IRSNYDEIQERIEIASKSLLQQLASLRERKISFIMSIKRQLLTELLLIEWMEAFFAHL RLALLPSDFLVYSHRHDLVCSYFFGGKSNIVVSNEVLPSWILEKFFVDGGFNVISRSG EADDMLSILKENQNGLEDGFNDSNDENLDSLNALKKKFEYMDDIDEGESTNLDESNKL NKNAVAKWDEFSVGEVSNKSICDLSGKNLPIEQKLLTSEEYNACKLPSLGTEKHNKDE NNSQNSFARYPELRTTKLMPQMRDLVEKLNPKSRNLHEYYYSKYDVDDLVENVVSRVQ EKLNGISTTENDEEVIWLNINNTKENDINNTIDNILLSRNNKASKNISIWDPSVDRPS ELAKEYWRFLVGYNYELLIALLHSAPSLKRKNLIQDVFNLSIYMQSFDHLFYSLFHFE INFLSNIPIDTMLLSSSVINDFFHIMLKANSLTKVDRLFLVQYIQIVIINILNIREGP YVNHIGKTLNIKNSNKNELLLEQHELDKGLIEFEEKILETTENFIRQITSLDINAIPD TLRGILYGIIDIIDSISSEAHLKKSKENNLNHLPSRSQKVIAYCTNGSISFCCQILLN HYIIPRISNVIASLNYTLKDNNNQSGTVTKKTDIIARIQCFRRALSAISIYVWEPSTK NTPEKNLNNLEQKYRELGLQVFSWLQKIFKKPRLVSPIIFNIVGMPERGINSIDNIKN YMIWLDNALRNDQLKSDGGMGQKEILNCQYFEKLLSLAIKGLKN cubi_01659 MDEKYDVLICGTGLTECIISGLLSTSGKKVLHIDRNSYYGGEAA SLNLTTLYQKFRPGKSPPDNYGANRDWNVDLIPKFVMASGDLVKILLKTKVTRYLEWQ VIEGTYVYQFQKGGLLFNPKFIHKVPATEIEALKSPLLGLMEKNRCRSFFSFVANWSD DDVSKQMGFNRNTNTMKDIYDHFGLSSTTIDFVGHALALYTNDDYINKPCGETLDKIR LYMMSLSRYGKSPFIYPVYGLGGLPEGFSRLCAIHGGTFMLNTNVKQFLYDEQGKVSG VITSQGKAECKMVICDPSYVLNENDNKPKVKCTGKVLRCICILNSPINDTNDVSSCQI IIPQNELGRKNDIYVMMVSCTHGVALKGKYIAIISTTVETDNPLLEINPAIKLLGNSI EEQFFYTSDLYEPTDSGKDDNVFVSKSCDASSHFESLTQDVLRLWKNITGEDLDLTNI PTEEGDEEDEANFY cubi_01660 MVKEDIIVKCELKNNTMKEFSDSSSQEKIEVGDLNEIELVLEKQ NLSINNTSGYPEIFDQLSNTPLNWDLKIYEQFFNLSKNCIKEVYNYKDIQIPNETVEN CFKAMLSPLRLLRFLIGFNFNINNAFHAFNKHIKWRKEFNMDNVVRPYVITNMVPNNN IEMAPLHNIITRYYPCNLLLRESTHEKTPLKDFYGNIICIERFGLLDETRLLGAVKVE ELLLWYSYHMEYRSILLDNLSYEVKSLVRATCIIDLFGLSISQVHSSHMITILRRMIQ LASDNYPEGMSYVIFVNSPKFFSIVWNSFKSLLAARTVEKILVLDEDYKTKLINIVPI SNLPQFLGGLTTDQFSTVPNTGTLLLDCFGLGDDRQTLHIKRMKKEKVSISISKPNTK VFWTWGVLDGEISFSAKYFTDKIFTSLSNEKTRNDFCHSGDIDKQFSSNNNFLSENYD NHPEFKINISSTNDLNNTYNFNTSKRSSKNSKRLSSNNITSPVTSMQNLMNQEITLVP MSKFDSTKAYGGSYFSESPGYLVLQWDNSWSLFSAKTVHFVIKTSNTALPEDHNDNNS NTNNNDNDNDNNSGNNNDDINVNNIDSNINDLSDISNLVSHNVALTNITKKRPSKSHK SVEKKKINSKRNSKVSNKSIALVKMDDNISMPNMDKSNNDYLMSKPIKKGKQKHKSRE GNTHNISDYKKNNVFNENDKMAITSILNDKDQILDSSLNYDIDSNSECQTNYSFMTAY SGVDEILDLFEYNKNSLMNLNTYKKKINQTDENNSKDKELFDKPLKTSVNYKLTELNS NIIESRISVKDLNRDDDNDDNGEDDDVNDEEEDKNEVDNDDEEEEEEEEEEEEEEEEE EEEDDDEKQAEEESNSEFTSSQLSVDETGECDLIVDSLNSSNCSNSSKESFNREFNLG NKCLNVRNRNLLSKNQISLETSINIFGFENFGFESSQEYKRRYDELLDYINSTLMLES TKNQEFYSPQSSFIFTDKPKKASSPIFECKFGHLHHKFANIFIRSRKNNNRVNNSSTS VKNNNKNIGSLLKNTLFTRLRNKFKREK cubi_01661 MSLYSEEINEIENCLNSGYYDKVLMLIKEAEYIRNKDFDVDTLL ELTQFRCKIHLNQIEKDSIGSYYSESISNQKFGIAAVCLFTIFNLPETTDERKYELIN EMRQLYVHNNNKIAIIDVILLLMNLYMNDFKSALSITESAPPSLKIIQVFVFCIMNKY DLAQLLFDDLIENYDTYTCEMENYYSISNFKESSLVRIALAWLQCLKGEYNSSFITYA NMQTDFGENSSFRLPSKARESQSIIILNGISVMHMQRQHWNDAYEVLLNAYKIDPKCQ VTLSNLITCSYFLNLKEEPEKYLNELNSINCNHHKIASLEAIEKSFKSFN cubi_01662 MEDIDFHSDGNLKNRHFKSSIRVTRKRADIQTSIRELEQEIKEP AGMVWACCFANEMDFPMILEAWKQGDLSTKQIHIEMKFNEVVCLRWLQNRICYVFKFG CVVGWDLTRAERLAIVNILKTFIKQPLHIRTDEPQDDDMTYVWGERPSIKQDNIHLVS DSLLERLSYSYALSQSVKLSVFESVVDQNIDSTRSLPESLAKSGTIKDNREDISKRIG ELFINRFYINLQTDILDTPDIFWDLQDYEPHYITCRNYLDIPKRVEILNQRLDIIKDL YDMLNNELSLQHGYKLEWIVIYLICAEIVIEVVWNILIKDIFNLV cubi_01663 MNGHIPKKKAVIIGINYIETEGISLRGCANDAKLMALTLMSHFD FNASDIIFLTDSEPDRGYDTLVDFQEPVSFYDNWPRDEIPPVKHHDSRIYPNKRNILT AINWLTRDAEAGDILVFYFAGHGVQVDVLTSYEGEGYDEALLPVDSTLYLASNGSDLD EYNVLLCSELKELLLCVPSETQLNVILDCNGGQTILDPAGNLNGMWYVKGIVTKGIWP FLSATNKVKRAHYNSSVFKEEQMVHRLVRPRYVPCVQVANTHNLKDPSLQSTQYVSLS CKGYCFSAAPWSQIAAEASLPLLSVTRKTQVTDSCIGNGLSTTSTPPSNSLSFNNIGS LSSTTESKLGVIEIPISRGGAYNIIHGVFTWSFCKAISDIATGILQQGRPRNELSFKT ILARVREYISCLKSSILPYLDQNPELTIHSGGAGTISEYFCSPFGGDKSIDFNFDYYF KITDNCIRHLKKNQNFLIPQEAYNSMLQANKNENLFFHSVNTNALNKSINSFESQISK GDSPPTFRENSDQEGENKKDEANQFEEKKINTNKNVILNSVSATISALSPVLAPVSGS GIDQFPPSNQIQRFDQGFIQNSSSGFNEDYSNLPLSSVNLVPPTASLFNPIRFNNRNV NEGFPNYDLNNYEMENNFSDTNHFKMDTNSFFNTQNKAVNGEIPQRFDGNNSIGFNTF NPYSMFVGTELSSRNKNKKHDSHNFKAPLDQNHDFKPVHGVMLSNPIKNITPRNISRL NQITPNQRLIHSKNQFQNFHVSTGMKQFPVSISSSSHGVTNTPPTPPIFSYRSSDNSN YINQTSNLITYPPITAMQSINKHLVAPVKSLPNSNNFYQQLPPTRHITGSVNQFVNSE YHSNYNTTVNQVNFGTNEQFFQTPNGFNINPFPPSNQSNSKFYPSSFITYPPPTQPIN QFGANM cubi_01664 MRRGISSDFADFIESYPLKRTTIPYLDGIWTWIDCNPKNVEKFC RIDSQESITDHEDIIDDYYSRKTVKQLSNKNLDKLNKLNSVSQHNKTIIKSENHESSD FDSIVILHGISGTAGEYFMFMKNMLKCGYRIISVQYPIYDDINDWIRSFSLFLDQLEL SSIHLYGSDLGGYLSIMFSQEYPNRVKSLILCNAFLSTLNLPIPSIASTFLYFCPQFI LIKLISEIFKNREILYSSIEDMDFNSTISNDNNCNSNNIYDKLYNQSLNFVIGQLYSL SNNDLASRLSFVLSGDETSSILNLSKFSSLLDNKRITFIYSPDNSFDDHNFINSITKF ENVKLAQLKYGGDFPHLSNPDDISLFCQVHLRNCNAKKFDSSSFINNDALNNKQNSTT NLSNSNSISSPTSSNFYDMPYPGNIIHHESSFDYNIIN cubi_01665 MEFYNNLKYTKISRVKLKRKYIVLFTLLIFIYIIEFNSLANSFQ ETNTLLNETVNKTKELSENIDSTKNGDNLDTSNGLLNQRDFDDESDDEEYDHLKSQKF VNNGTHNIINKNGNKTNNIKTLYSKDFKGNHIQNQEERTSKSNQKVKKVSESNITTIE EEIEIIKSKLMNSTFKLDDFYARTVFDKLFEIFEPASDKILDFLEVNKNLTRIIDTSK SITNIADSYGVLSYLWFYGIPNKRGRLEFPYGWPRNIDIALRYALKGATKFNCGFCYT MMGLLFAWGYPPLVNNTHGWIGIDNSTSKVDLLKYSASKNTYFLYQNPHRYTNNMDSE KINFPLEKNGFDLVSMNYALASKNKDIFGQLANSYYLRYSLSDISYQYNTLENSSSFS LHRVPRNLTSVVGLSSSSRCIVALEPLIFVASKTLGDSRDLFLPEISTLLRENKAKEH ETKHYAEWVKSLAADRDPEGMTSLGELYYYGHEAGGIARDVNRAAQLWEESARRGDPQ GALARAFLNLDGTIGTERDSSPYLRQVARNGEPSAAALANYYIYKLGLEVDKNSTIAG QYLKLAADLGDGNAQLILAHAYAGGKMGVIPPGGQNDTLALKYYKLSAEGGRTVAYFN SAVLTLKGSDKKYKTEESRCTASIDYLTRVVRKNNHVKFLSLLSRKSYENGDIVGSLL REMTLSEMGFIEGHINSQDLWKEKSILFYNNAIKKMINNINYDTYKMIEPVCKVSDLE SVDILKDNYLNFLNIMNKIQSKLDICEVTVLDESNEKFSTLYPNKFDLEMSGISSKSF CLVKANRREVFFNVPINFDSSIIHLNSTYFKYYDMFNSQNATLRGKLDLNYSRNMSEK EKNRVSEFLNCWMRPKSYYDNLGKNKKPLLNRMIGDRIIDEIDDEESDQEEMNIFDRI LELWQRPKSGSYRRYPKPWYKAFNKIFKVFKYVLNSAFFKSMKNLNSYFGTNINKNYI QKKEKGGLFSSIFLDLDEDFEIDEKKVPEIIQLQRNSSLWCSHYYTRRSSSNGDISST QNLVQQFLEGSFGVNKDPVFAFKFIMQGVNSRDPKSILDYAIALNKGIGVKMDKPKSY RLLWHLMTRGPFNIEDILPKVPSKPKFTPSIFDTIREALEPLIKNNTNLNNTRIINLN NNNYNNNSNVYLKESKEINNFDFEDHYQGEDDNEEEQDQDQDQDQSSLNSDSKYKKGR RARMNDTINMNLKTQQDLATRISSFNILMILTLDWIYFNIVLRCYYSSLALKNQIWSS LFNLYYKNMSNEDLLLENKVVNSNINKSMHDKVLENENFNMNFSSYELIKNSIKDPSR ILDTCNNNICNINKKIKSEIYCSLFRQDLCEYSETSENLKRNNKLSNNNEKNNELLEE DLILMIDEDPFPLLSKFRYISDEEYGRASNIWKASISIRLYYFILRIVIIIGTISVLI PLNIAITEKLSH cubi_01666 MSQLKVYLVSGKCNKKKSEEFLKILNGKIDIEVVDIDCSPEEIT IHKCKSAYERIKKPVFVEDTSLCFNAYNGLPGPYVKWFLKSVGAQGLYNMLEAYQNKS AYAVTLIGYYDETKMSEPIIFEGKIDGEIVKPRGEKGFSWDPIFKPNGYTSTFSEMDI AVKNQISHRYQCLMKVLVSYNLFEQIQIDL cubi_01667 MVTISYTLFFVLILVLSILGGYNGMVYGFEMKDFESYLRSLIGF SKNNDSTNEKLKYKYKSYMQHFNLLQELELKCSNIIKIYNYTSKKNLYCGESKCQYLH IKLGLSNLNYDVPNILLVSGIHGDEKLGVEIATEFVSSICDQYITNQNAGVKYLLSTR NIWIIPIANPWGFYHNKRTEEEIDVNRDFPSESGENCLQSESSRMIYDLFNLKSFVFV AALHGGLKSISYGNGYSDISDDNNKIFEFIAKDLQASAGKILNNNNHVNKLSYFYDKI GSIAKIVYPVKGGFEDWSFYGYNVNHISCSNYLQKTHSANQGGSMTFLIETDYQKTPS QDSLGYKPDLFSLIDLDKLSIQPSHITRNLRMLLKLVEYTYPDIIFFNKPPDTVYFGQ TFVLYIAVIGCYSFSNLKLKLESNNSSQNQPEQQPIYLNFLVNEGLNGFQNTIYYMRC SSIFLNNQEIKDILSNNSLYSSKDKCNDSNLLTKIVNRSFRVKNKCKSIYQSNKFKPI KILVKVPTETKILNSINGEIEKFNFKVELEFDLELTQNISNSNKNLFEKFTRLRSSQN GNRIDRPNVVPIIQEYPHNQIQGSDPDLSHQLSGFQIKIVKPQEVLQIEFSSCSESFI QSNGKWVKSCLAFQEFTKKVLNMEEPSSKDSKELSNIMQYIHSNEIGTSGNQKIFLDN MNLIKENSHNELQGNLYVIPSEPRTSIISRNTKGGNEKMETIKPIPSLLENESEILTT LPYFNNITLELTVSISSEPKNNGEYVILKFPEFLNLLNLNIFRYHNIEKVLINSDKKL NSVGVISISDFHGDENEEIPFQSIKAISRQRIFEMVTDIQTKEDFNQFTQDDNIELLG KHYVILRYLKNNLISMALGKVYIDNYIKNSLGTNIQEFQTLKNTNINLIEDINNNIKH YNGIQEDNNNNSEPITALKQKLSLWWYNNSKDYVDFRLVLVFCGLFMVMFAFVLISIR ILRYYKWVPFKSLKFELKKRFRTNSKIFTIDISSDEDSEKSEDKEKNFSGKRKKRRVK SRKIYDPQDNCYTPRSDYVSNKNYTLSQRFNTNNSEPNERAKETPSNSPSSKDYKLSN LNHTLSNSSLSSFDHFKSRTNTMKELEIIEMERNLDIGMLNPNQNNVLPKNTYTELST SISKNNAKNT cubi_01668 MGGVASKQSMETKPSQVEILNLKEIQSLPYKELYKQFEKYKKLN YVEYVLLLGTRLLLDHNRKLGERRWEVMESIAISTFHFQVKYEEDGDKNKISKWQKYC MDELNKKYGKTFRYKKLVGMLLESRGETEKALDVYKQLLKFDPEDLEIRRRVISVLFE NNKSLLDEHLKECIMDVNAWKMKAYYLLTHTIDYKSALFCMEEILLHEPQNIETINII ADLHLALGDYTRSRQYFCLALNIQKSNLRALWGILQCNLLKSDYSNQNKGNKSKIESS MISDIDHNLTQLTVKQIINLYSENDSDSDSNIINNKKDINIDTVTCNNNNKGTDNHQM EIKTSMIVSELLDYYTNRIKGL cubi_01669 MAELSSSEIDTYKLDDIWNYDLISNNPPKGKLKSISSILDSMSL EQRGISGTKISKFEEKKAENTKEEGKSQKPKITVEKSTDIEKIPELEDIIVKKESSQG KIEIEKKEINGRSYFPINIQKLNDLPSPIPLEKSDIVSLLSKDKNLDSYTNIILSPKY DFLENIVCINNSTLEMSGMNLFQGFVSDIDSICRLSVKWFESYPKFVKVLRTTEDKIS GKYRPNIEEMNFNSSQKVRLSRRFTNDWINFLNLLKTIEIDSKTYRHNRRMLRKYKEI NHKDLKQYHRNIYNELQLFNNLLLSILLKLSFYIQYIVYFPNTGKLFDQKEDYSYLSQ FKEKYRKRLSNEDEILKLNKIDIYVNAIPKDILKKVRTTISSCSGVVKILHEYGVHSD STPSNIISLYLQYKRITRILLNIYNNETNRQSEKFNTNGNNYEYSDNIFDQKFIPNKI GFKPFQTQVEGPKIQGKIKQEKLKHKEDYSGVTKNHIELKFNYLSKESVDKFSNENER NLFYLISQDLTNNKLNKGMNFHIVKKRPSGASGLVIFSQKYNLESEYDCGARILPNGK IEIDFNKNLLAGFSDKITIWFKNNYLKYFYNKYQENHSNFKQTSIPLQFKLMIACPYV TSNNYMQSQYGGMNDVYMLIYLVGKLKGYNDDWKIVTEFNSITNDTNLQWKIVSILIP DIQSHVGKMCPVQASLLKSKVLKTSRHVVFKSPMEFDHFSEEFKYENSNIKRSIIRNC LNYIEEETMIEFLTNNGKDSGMAICRNSNLYVG cubi_01670 MITDYGKNRILVAPAPNWFCKSALSLNEEKGFYVYSTKNSLILG TILENRILGSVYCGIKSKPIGVTIFISSHLSEEATLIASTHFDCKIRFWEIVEQSNGI KEVSERDDLYSDEKLKPWQGKEKSINMLVDSLKINLISDSIKCSSLANVVYYYNGQVF VGDVQGVILKISVSDFRNKDKPETFTNKFHPIKEEITLIDKTADNEKLAVGYKNGQVI IISILNGDVLLILEDESMLKEKCILSIIDLSSQMIVSTSKGNNNLFSYCKKSEKLEKI ELVKTLKQSISSQKIEKVKRKNQYKTQKTQGCFWTLLLSYQKGAKINGDKFYIIMDHE IAEFELLKNKEIDIKRKFSIKNNEKERNKDSINSEIIFSVLSYEFNTKYYLLGITKSK RVFLFNTTEWKLEWIMNTLGGWIDELISPNGFSHIIYILSGEGKLMGMDLLESHKDFT QRINSSYIYENLSGFNKDEQISKISINPINSEYIFYSTNFGNLGILYINPDNISHYSN IKIRIEISNHECSKQKDICNKRICDQKNSEFKDFLSNSDLDWLVLLRSPSSCLEDLKV PLSDFQQNIHFKSNNSSDGKFFNSQVKLFNSLIYNLYRFPIILIFNYKKNKCVYADLS PGFENGELIINAIPRSFEIQSRSEIETKEDHIIRLKTSNKGIGAEYYNTAYFVTVNGK AQIEYLGKNLLQSIQTNKLNIDKVDDHQNELISEKKTSIYIYKLVFSLVKDDQINLDC FEKMEIENALKTNINTLELGIENRKINLERVINGLVVFNKFKDFEEIYVLITNYGNLI ILSSDKLVLRLENIFKDKNKMDYDYVSISMIEVGNIDQLNGQDNSTLIFNLAISNEFN QTSIVEVKIINSHIFNKENFSAEILLIRPNTDHKGSITNKYHFKSKSIWYRDVRDFYN TFESNLRLLIGGQEQMLQLFDVSKELMIKSKHKVINKNSLLFLTNKNIYQQNNQTSIK ALTLLLEVRLIYKLNNMKKFNSNESFCILKDIQSNINKVLSESGDNEVIFDLILFLNH KNSASDLIELHLSTYFNNQVTNIDNGCEITKFFSVNSNYKNIKESSQNLSLLIEYLYL INGQIDIDSCLFKNFLKKYSNNATPYIEYSQNIDINPSENNDEKFKRFVKIFQNVEND CLLIEWLRYLSQFNIKDSKCLEFLDIETDCIIRSLILLKLDNLQLKLKSINSSNTPIS HKNLSVFIGENPNILHEYCILNILMGRCHKAIEMYCYYNLFQCAWLISNLYLGNDHEL TLNVLRDWNKNLKSNNLMMQSFKCLIASSDFEELYQVLLERIYYISDSHYKIRLNTGG NNDEDSFSQVDKLFQNFKLTGYYLLISSSENNSKDNNKFQLLKEFSADLVDYIFLIFN RYRINIVLKNKLYSKFCFREIISIKNNQIISDLHKLIINEEKNCLMDEIFDELEMKIM RNIHLYEIVIQFSLMVFSCGNKILISELEKFLKKNNIRKKEDLFLLLKKLNYLPFEDE MKLNMELKVMKDLLILVLSFILESNLNIWISKVDETLKILYNDLFFNDLKYQKKSIFD ITFEALFDIFLLKKDLENIESLCFSKELNNTLLEWMNSEFLLEFRDSIQNNFSNQKEL HSKRLMATKYDLYILSVIKRINKTLVLDPVVNQNNLSLSNNNDSILTKSLNESDLENL TRFLNKEETGEFLKKNSISYHFIFKTNNSNSIVVWLYNKITNLASQSINQDLGSKAKK LAFLIKKQYLNKKNS cubi_01671 MSRADMLPIYQHKEELLSLIRENDVSVIVGETGSGKSTLLPAFL LEGGFVQEEKKMIAVTQPRRIAAISLAEYVAKLLKTKVGNKVGYSVRFKTEVSKYTKI KYLTDGMLIRECVTIKGDRSPFESYSVIIVDEAHERSIRTDFLLGLLKMELFNGSKLK VVIMSATFQSSCFENFFASTGSHSHSLSPSKLKIGSYSVPGRQFPVQLNYLSEPELDY LEAVMITILTIHFTKPKGGDILVFLPGQEDIHHLYLNLTTISKKIETLFEQEGEITFY LGKQRFENIERIRLFIQCLYASMPSEQQSKVFDVLPENYRKIILSTNIAETSVTLPNI VYVVDSGLEKLKFFQSNNNIDALVMREISKASSIQRAGRAGRLQPGEVYRIYTKQAYS DFLNSQTPEILRTSLSETLLELIYVLDNYNISRKKEVNPEFETLTNSSNEDHILLNDQ QQVSVKKILNFPFLSYPSKESILSTLKFLYRLEALNKSGNITELGIKLTMLPVPPFLG KLIYHSIEFGCTSEAITLVSMLSAECLLDYCNSSNQKDQTFGSLNENSDHVGPSKKKL RFTHNSSSKSDQSYKNYLKSIMTKFGDHVGLIETYNTWISLQKDSGKIYHGQNNISFS NNYEQINFCNSVGISHTSLLRARSIREQLISITRKIFNLKTINSCTMFSTLDSDSSSF STDNNQEQQQEQQKEDNKWTPFYKCLTKSFWQNVAKLDPSSNKQYLTEVNRQLVNIHP TSSVSHLKDKPKWIIFTDIIQTKKTYIRIISAINHLWLNDYCSKWFITTETLSKH cubi_01672 MILDNVDPTNLVRKFTLPNKLEVLIISGSDKLSSSVSFGLNVGS FFDPKEYLGFSKILGCIFQYIQVDGKYFTKLVDSIRKDNLTCITYYWIPQSSETTIEF SGVFSSECLDEYLGRIRNSLEVMDYSDEIITKCLGKLRKEYEHEINSGTTQRKALLKS IFESGLKGSLKKDKDYVISELVAEIKKFKRNYFSSNIMKLIIESSLSFDYLVKLVTKH FSTIPNLETDIRKMSYNLALSNLHTEGLSGNLVEYKGNNNKISFMFVFDSEKGALLGS NRMPLFEHLVKYYFCGDFSGSLRNAVSPLTLTCKVEYFAERLAFVLLEVESEGINIVF EETLELVYSSVVLLRKTKIESDYLEFLMNHCIANYMNYNLFDYKLNIRDRISSWIDYN VWNKLERFCKDKRLTLEVFNQLLTFLDIDNLLIFLNSKQFSYSLNSHYEDYEDQIKYN DDYLEYGTDLSENIGKNTSIYFSSLGNDENLGKYNKNKTTDQDERYFYNCEGIFFKVK YNFLKIPRRLVNRLKNTKESFGLINGLSRPFINPYTPKDFKLVDFVWEDFPNTRMAVK NMGIEVISNPFAETNVEIYPSKYLLSGVRGIFTNQITQEHHLAISKYHDTQISLLDNI NIWYKARNYKRQPYFRGILRLSSPYKSFKIINLILTFILAISMKIMLNFSLLKDAKLS LSPKNTPEYSSVFPSLDFDMSGYSSSLYPLLKTISTSLNSEEIISETFSYDSMRAYER KLINKKKSMTSELKAKELSLRITIPNYPTLARQFFGLSQTNRKQLFDEFNKFKENLCI DGLFVGNLDRIELEESLKDFSSMFGSKATNVQCLKLFKRRSFPIKDVRGTIYKKMIYH YVRPDSSIFYDTYINSNNENKEIKKYNSTVSIENLFKFLNEECGHIDITNSTIESGYL GFETSSNEFGPNVALLDIIIYKNTQNLDSDDIGILYLNDAYYNIIWFKESLKITKASL SLKTSIQKFDSYYKWSIQLDSWEYSANDLGLYISELTNVYQNKLIWTFKEDYDMIKNL TISKLKNGLIKRNIDEEFNSHKFNIGYSDSIIKELDKLNFFDFTNKMSNILRDNTLFL LVQVQSTSLNNKFFNIKSRNLKSNNKNHNRLEMTKNGMISIPSGYKYIKHIDELVLDD RIPTTPLDFDDDGLL cubi_01673 MGCCATKDVSALKNTKSKKDNHSSKLFQNIINSNIFENRLLFFR DLINVEQSNYKNKDHASSIEFIHPQMKELHAIWIISMLKKLRSEIDTIFLLSRLIEIM ELLDPLDGDSIIRNHMYESGAVEILSNIIKYHKRSPEIVLICIFLLTHLSFNDGISEK MVLLIIPEILELFTHLEKNFWSCSANNTILMAIFRLIFISSSDSLSVSEIWVDSNIGK KIVLYLKDENVDERTQVWGFAALKFLIRSSLKACNEFLKLGVFELITAKLGKNQDLFL IENIFSIYVSILSTSQDFKFNNPNILKEILEIIDINVNNAQIVQQGLIILIISCQRTE VYSHIVAAHGAKKIIQSIINVYRKNFEFTQITKLSKQLLKCLCSFCSKTTSIKLVNNQ LVFSRQFDPGRFNIT cubi_01674 MKPINLFSFICLVLSFCDFTEKKNILFRISLIKTRPFSRGDLNN GKIDPDIYDTDISEKLLYDIDESIDSELSEENEEEDQLIFIDDMERSNLDLPISESGN ISSGDEMEGNKEDTQNNVQTEGELMIDAEGETLGFNPKLYFNSHKCFLMIGFEIEFLE NPVLNNSGIIRYIKETEINIEEEVNDQVENSEDEKYKLIELETKNKKQEEITSLMRQI RNSCWYITSESTLQEFKDEVLSIIEIIESKICQINAKLEYYIMVTDQKSLEFDSTKYE RNLEKKSRLLGRKSSYELALSSFRRIEEYWSHLENLPYND cubi_01675 MIHDILLSLTGFIGDKIELEPIEFTSNSISLFSYKYRLRLKSSV EDYLSETEKLLVNKILHISSDFYSINEFVKRVQGSVYNRHNNYNSNLNSNSASCSEVT HEKNHQLQIKADLKKRLLRINPVGLYIHAIAKCMQEYIIRFLQKINQLEREIFDNPSL PLTFVFTSIAKPSRVLDKFMKFIDEWYNLALNSRVDSTCKFSFSNMFITMEKFENVCE IYISVPCGYILDYFYLGTSSGDLLQESVSRNFLLVCSRVFCYQMINWIILGKLVDPFE EFIVGRFSISIPIDSSNSKKDDSLSVSFSFPSNDSCIEALDLEENLCKLYCEEEAIHC GVFEWEGLFYTRYESFTKKFFTVKFLYKIFGIGKIVRLLNRYGSNDIRDRMNKTGFQS YLEEVSSILNESFPHIQSHMEGFLEKFRRDVSSLMYDSIIVRNQPDFTQKLAFENIFS QNIKLIRNLYFLGTSDAFLQLDNSIFYRNITYDDSHTRNTNYSVQSEFISNAWKDLLT LIKLGNNTSNSHHDFSNSKVNLCCDTFDLFNFNLNEFELIDVFNCSFTNDNILHLGGK FGMHAGQYLNSQNETLENATDRDNEGCISEDYGTEDGYFGTAYDDVDVISMCKIKWPI RIIDGFNHILECASSVNLVSSLLLTASSKIPFSVGGLNSSQEDGSEHDGEFLRLTWSF GFKDKKKQVMEFCIKLYWHTKHLKSLLNTENDYSMLKTNLIEIPNPFINLMEGDLYTY RLRILFKQSNISIYMEPLKNGLAGSNSLFGRPTQVLSIQHINIDICLPLQTSSCYIHL IRHNDYEKVQKEKEFKVIKWCHISSSNNSDVISQLANSCSIGTISSTSYNYNLLNNVS LNSYITGISFDHHIFRLRIVQKFDWPLPLLFTKKNMEHYYNLFDFYWLFFRTYNGLER VWRETFNLRYGNRRGTFSSFFMEHPVNHFWNYIFYCRWVLQVTIGEYYSFLQHFVVDQ NFTEFLDKVKEERDFENIIQKHNDLVQTLILKSGFLLPSILNPLTSILILTSEWSHSV FREVSRWNNLSEFIEANYSFSNVEEINFPWESDEWQSLIKNTISFMNNFILLWDNLCS EVSIVSNNIQYQHFSFLLSIFNNHKWNIDIPDLNHLQYNNTLPSNSASPYSEYIFEEE EYGKENITYSIEGHSEDDESENYSDFKEDNSDNYFDDQDDFEGNYKDELDTFRSTLNE DYDNNYESNDENNDENNDENNDENNVENKQNQYPLSMVDGLNNNSYIDLLSSKLSATS LKTNKSYYGNY cubi_01676 MNDRRYFQGSKRGELHELKEELHSSSKEKKKEAVKKVIAAMTVG KDVSSLFPDVLNCMQTGCIELKKLVYLYIINYAKVQPELAILAVNTFFKDSMDSNPLI RALAIRTMGYIRLEQITEYLVEPLRRSCSDPDPYVRKTAAICIAKLYDISPTLMEEQG FFSLLKGMLKDQSAMVVANTVASLLEIYETSINKGHQLESLQLIKEENQDKTINDDQR FYRLAFSEVEKHQILQALNECTEWGQIYILNVVAEWKVASEKDSEQIIDRLTSRLSHA NPAVVLSTVRAILNLLKNFKNDELITGTLRKLRPPLVTLLTTSPPEIQYVVLRNVQLI VQSNPGFFESEMKLFYCKYNDPVYIKIEKLNLLYRMVSVDTANNLLKELKEYSTDTNI EFSRNSIKIIALISIKFKETASKCFQILTELITTSHQDHIIQEGIISLRDILRSYPQL SPNVIPLLMEVSECIVEPESRSAFVWIIGEVYEFVQGSKPSTKNETLSDFLQYFVDVF IEESVSVQLQILTTIVKCFLKAPIHNQQLVTDIFRLATTNAENPDVRDRALIYWRLLS TNPEETRKVVLSQKTVISSKNFDIEPKLLEKLIGELGMISSVYQKPSEYFVNINNNNT IQHHSQALSESHNHSVLISSSESNIDALPYNIDSNFSVSCSNTNELINIDDIISYSTP ISNINSNNIISNSNDMSSNKFQSSELLDFGLPVSNVSVLKDNKGDTSHTGSINLQSNN NSVTSMDLLSL cubi_01677 MSNQIRDFCDIAILKASYGVNIGQTIYVRWIIGTEYYDGIDLRE CTEKEEIVWWPGKILMTEKEERDSKGRRIYMLKYEERDEFKSDNARVVFENNERISHL DYNGISLRYCKTKDEIENIKESYESMEHTHEGIEDSEDEKTISIQEILEGDLFGFSGS DESESGEAGTLTGTSESGGFASIFNSLPHEKKINLAQGYREFADKFLSFLKSRMNDDQ NKNTITIDDVRDFIAFLGLDTNNGENANNTGNNK cubi_01678 MGPNIYPSRQRGDEANHILVREFQTCLSGYPDIRHYGSFENFSR PYTPLRDITGSIFGGLANESRVRMVNEPNHFNRDRNYVVERDHSSSVRNPQSRFFGVE VSNGNTVYNRRINDIRALNRSMSMSSPNIDIGITSGPRISSRETIENNSSFMNRSRSF ADRIMEQFDLVEREHNRLTERIHRSQSIYEQIQRNGVEIEQLFDNLSHRIQRRHTTQR ENSSGHNVQFRNTRSGGSTIECGRNINVNHRLSNRPRFLSMEDVHNHFHDHLIVNEEG EDNDEQERDNEDNDVIELIQVDDDEISDIMSRRNNDIRSRSLIIGQEQIIIEENDSDE SGNSEDEETLYNENEHEHNQEYDDHEYEEVYGNGEAGNITIVLNRLRDRISQNQEDIL GLPVDIQNALPVSKFNLSRSQNLDDDKKMCLICLDEFKDQQEILWLPCTHCFCRKCIT SWFERGTVCPICKDDLLAHFKY cubi_01679 MAIVDRRITSFELRQSTESKLKSSKLVESKSILKRGRKKYEKRY FLNIEYLNISCQSSVAVNSSKDSNSKKRKADKYLETTGVKTRKGCKGKNESFKYFENS NGIDQFEGNTGMIKSPIVTSQGYHLYTHLIIESKEERDVFTVGDHVMVCLSDGGERPA QITAFLYDPEENLQGVELRWFYSEHDLLDLGKIIDRSKLPDNLFTFEEEFLESDKCEV LETSVIKRMIRIWNSKKDYLKWTKDCLEIYSGPQKCDPKTITGKEESFVICNYHDERL MEYIKEFNDDLPSFSVEVSDNYLALYLVLTDTGTIVPISERRNLSYLLSRCSNYYGYY TNYLALKLSMSFGPLRSHVNENNLVLKNSSGNQIEEYPLNQASADLHWSKRPKKVLPC REKEHEEITHVLKTSILNEGGGVLFIAGLPGTGKTATVLNTLDMLEIEMNLSNKNESK ISVCYINALHLSSPDHFYRTFLQKLNGANTWAPSKEACYSSLDKYLKAKGSPVTILVI DEIDWLQKNASSNSNLEGSNNSLLYTLFDWPFQKNTKLIIIAIANTMDLPEKLIPRCT SRCGYARINFTPFSVEDMITILNDRVKYFSVNLCEKAMETSNKVEEVRRTSPRIRNKS KNIVSENDFESIFCSKAIEFCARRIAQQSSDVRRALQVLHRAWEICKQEFEQKISSKG DRNSKNNRKFQVQIPHVQAACKEVLLNNVSINLIETLPLVYKVFLASLILELELKHRM KLKEKEDENEDFYEDDGFLNLDNDRVYENSVSLFKIEKRMFTILSLSGYVYMEYLDTS FIKIIIQKLVSSRIIQTFKGALISSSSSSEFEQENEIYYETLNIFGSDVWVSLLIDAL VLKNYLVKNIPFKIQGFE cubi_01680 MKAKIKNPQNTLKCCFEWFKTFKIMENGDVTCNDVFDVLIPSNL LLFIQELSPMFFADYVLTDEHSAEMAKGLHFLMDGINDYMDGRFRDEIDTLDYDLIAS AIEKKGKVQNEILCEICRVCGFVIVFAVESEYNEDVISNMMILSEDAQIELQHMIQIT GEFAEGEEESDEVEEDRSGVGGGQVGISLAHADELERRIDALEKQLKSEQKARQTAED ALKKVSAKPKSAIEETEKLENEVWNLQNEKTQLIKEKKELETKLKGDIKDLQSEIDQY KSEAGKVEGLEQQIKKLKEKVDSLLELKRQNEKLIKQLEELENGGGSGGGGDGGDSEG NKKSTQLLKDRISDLEEEIEKNENEKDELNEQLNKVKQQQEKFQKELKIKQDQVKVLV KLLDDNGISIAGKVDINLEEVESFPKPGTESFYITQLSILTEQLNMQKQLLLSEQKRI QLLESQGNKAAPGDSTSHSEKSSDSDFATISTLKQQIMIREQEVELHLSAKKDIEKRT QMELKLLSTCLHDMALKYHQCKSIIKQLDEELSSLKQKCQISINSIDQESGIYNKDGI HSLNEVSYSENIN cubi_01681 MSLMDLSPGSSFKSGLSITYTIFLFVNPTSGGNKASVFTKSGMD MFKISNPVPASVHIYDIRQGETGKKEGFLRVKEITNSMNDNSIPLYIIAAGGDGTVMW CVDELEKTNVDFDKLVIGVIPFGTGNDFSRALNWMTVLDNPFDNGLYRFRQLISEWVN ADIIEHDIWSVKAVVDSYGCFYKVDSYSRKKKVINKQGRGSIRQSNHEFSKGVDRGSN TIATELTFRMSNYFSVGVESRIGIGFDRYRTKNAFLNKMRYALEGFKNTFRHTTPVYD IIDKCTLNTAFTEEDSDLLISSEKVLFVTDQSAKFKNNSCTCSKGGNDLVDNQNSISE YNHSHAVGHYQNSVENPSPTLMPCASLIFINIPSFASGNDIWRYCKGNCGIRFKSRRE SIEHMLFEQQKMGDEVIECVSFPSAVAIGLEIAIKGMGRRVFQNKGPVRLHFKQKNSL ATGKKVYFQVDGEFLIAYHPLYFDVSHSRKIKVLQAKTDRALHSTTLFNNFKSN cubi_01682 MKPFRKVFDFFFYLDNSKRKFGGKYDKNDSFGENLIKRIESDSE RSNILSDCIEATNYRNNENRMHEISQNPQIEEIILKTNEKQINRINDLDLKTFKIVVS NFENNPIVSKMVENFNLDSTLITTSCNSPYSLKSYNQSKKDFEMKLNTTNNTPITTSL DFQSDENSKSLSNVYTTEEEMTRENKDEEIDFLELLLEEVLNTFMNNLPYLAYCKFLE LSEFLFRIIEKRVGIGKSIVLEMNLILNNKTSSNNVISNNYQNSSNNTTQSTSSFHNT LKSLKFGSNRNNTVNNNNNIDFNKSKFLIEPVHLNRNGVPIKFSNGNSSNSHSSSGTG SSRKWRYHISSSCSNGGNNVSNNSKSSKSDSTSQMNLGYKKAKLFLESISLLPKRSEE NKEAGQNQKSIFIEDMENFKPNLNSKFVRLEDFPCIIFSQNCFPVSNSKFSSIIDRNL ALEFLKFPTEIKCLGLEQESRLSEFITKLVTNMHIHRLRFTLYKCSTSYTDLVLALKY KKKHLNNKSEEQFRRNLDEQLQNEKSNKPEISNSEWNSLGDSNLGMWWTMGTESEFLI LCDYIVNTNPINVVSIVNECDLHLKWAPFVTSSECLAYIGLYNQLVRHYSNMPWPIGL CQCSLYCVAIDINRQEEDDSEPCIIITAVSLPEKADSVCGIKVKEAQSNASKLDILSL CFVIQSVPNCPSQTRISFLSKSNMPIPSFVPKAVPSFIAKQIGKSFFNNIISIIERFN STPFYQRIKSDTEFYEFVKKRMNILDDSKFDFIQDPSPIKKKTQKEIKQRKELNESYS KEDLRDYLHKSGINSSQTQNTNHFSNSYHIKEANPFTNLGNYSVVKTMMRMFPSF cubi_01683 MNENDNILLDDEYINRISKNSIKKVLKKNHINHSSLNNGFIGFI NNSNNCNTSISCSANSTSNSSSSNANIIGEGEGRGRYRSNSSSTIIIDGNNYLGIHSG ELCHSKDFYETGNEKSNVKILSNNSYALTDLRENSDEKNDNCGNKKTRKKDGNEQINL EEVKKLKIPDCSICREYLTRNLTVITVCGHVFHKQCIDAWLSKCDSNKNLNMAQGRLN YLLNDNGEPTCPLCRVPCSLFTLCDLVNITIDETLILDDSEDKFQCFQKEEVVSPKNT GECIQINCRLKLKSATDESEAKSKEIEELRTQLNEEKTKALVKTDLNESLERKNEVLR EDLNRITHELGEMNQKYSDLHRKYTFIQSNNAINNFMGEKLQDDLTDPNIQVDETNQI SNLIGFNPFEGEDSLEKREDTFQALKVLSNAFIKLSSRYDQLKEKSSKWKAKCYQLKD SHTTSINECNFLKEKLRNLNKIINTSEIVKEPSTIVSNVSNVENSAKTPSNMENDEES FSYISKLIQDKKTTKNFIESNSRSQTNQENKIFSNSSQISYLKSNKILKSSSSIKKNE FGGINTEGKSEINTIKSKTHESERLTSRNEESPNPNYSTLIKSRRNHVIKRNIPIDSQ SISSFFYTRKPKLKLID cubi_01684 MTYIRGIWIFGNALKGGSLELILSKRFKSVENRLQKLLEEDYVQ VPNDKDILEWFKFRVLYENVSKFKAAKLSNIFKKSSLGVFSIDNLKAPASSEKDELNK EILLSISENNLLHYMKVSEGKYLWPFMYSYNKGYFMLILISLETSISEDHKLLNIYKT RSKDFIQNLESLSIKLVEVRVGMQLLEDLLELLEINQEGRILKVSQILEVWRIFIPFG SPIMDKKEVRDLILLSRNKGIPNYLKNLLNQNFIDSSRILEKLKSTQDEQSMDTKKKE TLLHGSFYLESINNLSQEEEQQLIQEMDASMNLNDEFIPIIPNNDPKNQIIFGGMINL GIGKLSSKTRTSTNQSSINYSMKFSLVELICCFAKKHPMITRIENRNPITEREDSMDN FIKKIGDPYASFISGALEFSGKLPNSVLISCNVILPWQKVTINSKDEVNQENIYIQPY ISVQDNISCTKLVDELFDSTQKTFIPIRHNSEIYSSSENSFTPVSRFKLSWNSSQSNS SKIFNYWYPYELNIPIKGYYTISPESKEPIKQITQSQGHFRPILTHSFQIEYCLCFDP NIVKGMQVCQVSIPLLPGTLENINCNGNNSFNKHNIPSVMIFSHNLKSSMGQIVISND KKHIIWTINNPKEVLGKSGSNEKFSFIQVRMYGSIKLRIIYKENKNNKTGNYSLQSQV QSFNSPAINSYMLNVGIESGSPSCSQKFLENIHSNKYNEFLYSAPDPFGAGIQPFLNC PIKDVNPIWLMELHPKIAKFSENSKKLTQKEIYSNLIELIFPYSLLNFNVCSTEATYK GVSIPPNSISINPKALQFDQPEIYSNSGKYIIWRNS cubi_01685 MKRSIGIISSLCSIFLLIGIECLQFNELSFKRKQKADNKLESIQ NKSSLLECTDALNSWSYFAKMSVKKLLEDEFKKKEYIFFLETLTPQIYTIDESFNQCK MELLKYNISTKNETGQFKLELKSKGEKEMVFNTKESVKEALNRIEELSQRNDLINEAK KLILDFDSNNICTECKLFSSQMALISVLKRLRLIYNSYIIELESNIDLNILKEIEKSE NKNLRQN cubi_01686 MEIGNNLRLTCKGLLRESLSKKKNIPSLVNICVNKLRTIRNTIT CLEEKNISKNLIYLIFKGSTCHELLAAEKNNSGIHNMFGSDTGQIWDALWETAVKVRY SNNEHILSKKENNENYREFFIRNQEDAEKKFKTHILKRSKSIGVSKVKRTPNIESNKG NRRTLSLHNGSNSGKNSTSSKRSINILENTPIFDLHNPLFKPRNSKTTALNNRSNKSS NPILREYFEQKSKYKSINRLSSSVNSRISSLSSSSANTTTTSVKFNRKPEVTFKLD cubi_01687 MNSPGKETNDWYSLQERALKLSDQAFKNLVGEYEEQIRNLKYEI NSRDKKIQDLKSKLDITNKQVNTLKTEKNELCNLLDALETQNRSRDSKIEELCLEISE IKGEHELSLAKLERCLNKYRDVSFINQEFDRRRNDQLNLDKDDNRSLLSEQCENIEIK EIPNLLVSEAIHHPSNFITMNNGACLENCTEDVEGLLCSPQKIGSGNSPRNDISQYSV SKSGVWNRISQVLPKDSYFSLLNCVRQYHLGLMNEEELQTEIQNALLSNNEAVRNAFT KDILHLSSK cubi_01688 MDEFDEIDDVLLNSNEIESLFEYDHNENIEGNSINGYENENETK NINISQSMLMSPENISGKTHSSLRRVVYNCRQNSALRSENYGLETPKSISSRKVSINI ENKETSGETKSEEVQESNLSDNTIECAICLMSLQDLLNCKNKENEQGNTNGLNKNIGD DNSINNEEKLEINMNSVAKIEAVVDIPSMEKKEELLIGGLSVCKHIFCFVCIKQWSDV ATQCPLCKRDFDHINAFNVIPGEFSIPIMVIPVEMKKLNCNEMDDPFADFACEVCRLN DHEEVLLLCDRCDRGYHTYCLDPPLDSVPSGEWFCPRCIRGRRRRANNRRNNTSRANN SNLDADFIIDDDHDFVVELGSEVNVRNRITTRSSRTGRSTRTSGVTTRSTTNSRSLRS NRERRLSSHNDEQSSTTNNISVNTTTIRVVSIDSDSSTSSVNDNTLLSERRSMLTSNR NINQRRGRSNLNVIFEGVETVEENIQDRSGDRNVLDSQSDRPNRSGRNRRTRPERRTR SGISRRANSRSGRSASGTSILEMLEGAIHISSAFSSEYVDLTRYTYNEESSSNTVFGA PRSRSSSEIMRTNINNNYQRRENNSSLNENSRSNHTNRQSIQTSLNISNYRVPKESKV QRNNSNSNIQQSSMFSGSQYSKSSDDENSIIELLENEEQSFPNNFDSSTININNSKNV NSINANKESDLVTQSSILFDSDENLSSSNFPKSSQNVSNTGKLHIYGDAKNAVESISN KIPYSSSSSSSSSNVNRANSHSSSDSKSQLEIRSANINISSNSSANIIGRNNDGESNN KNESNKSSGPVISLRAYYPERFASSKPKYIPGNDSLKFRDENKLIKSFSTSSSSSSSS SSSSSSSSSSSSSSLANIITLVRNQIGTPTQICSSESNGTKRIHILGQENEGKNEEES TKGRSQEFSPNKNLNQKKAKRL cubi_01689 MDSDSQEGKPSRRKILQRQNQEIKALKEEWKKALASCNKKGSKK ELDKEYNSKIESLQLSHKMELLKLSSENNTEQEEELIKTLEKKNEVTVHNNTNKKPSL LEKLETLNEIPSLYSNASFQMTKSEKRKKKKQKELEQRYMKNLEECALNNDRKGEIEL EVINKKLKEMNLKIFNISADGNCLFGSIKHQLEVKNKGLYSIKELRSIAVDYIESNKQ TMEPFVLASVENTDITFENYCDNIRSTNEWGGEVELVALSNSLKVPITVIRALNHRNE SYGEQFYQEDESNNFNGNTLYIVYHIHLFASGPHYNSTVSI cubi_01690 MKQKFNRLFEYVSFSWVNQYINNIKEYGTVPNVNDSTFNINSYI PFLGNLYLRDKDLEFQNNLLFQYQYKLIENEKSFKIGKKLIEMFWREFMFCVVLKVLT VLLSTRLNITLKSFMEIVSPSSKLLQCFILLSLQIFKIFMDSHTNFLIAKMSLKMQTF IIRAAYEDLTRIHFTLADKTENELNNTPNIHNVIFGDLNSLEAFIQAFIELIISPIKI LGVWILLKSHIGLSANLSVLTYILLHFMGLTLQIVGSLYKRNFMKLRDIRILKCYEYF SSLPTIRQLFWEEIVYEDLMSVRKLEVKANLTRFLYLMSGTFLEYNALSIAKYILFSS FIYKSYTQISNSNSYEITITSRISTLHALNMLTNPTRNIASSIVEGFISFKRMMDFFE RNSSNTLANKMVGENQVNLIEEKQSIYKLNLKGGYQRDSELFNFEYMNPSDAENSETS YLINMKCTDNSKIELNISNLKLKINELYILSGKSGSGKTLLINRILDEFYSIEDQSIN IFHSSQNFWFPKGTIKSAILFGREFDQVNYEKVIKACSLDVDISMWKDGDSRQLDESD QTLSNGQKSRINLARTLYYLLYTQKTKKKENKTLIILDDIFSTLDIQTSIEIFENLFK ENGGLISNISCIVTIGIEYISMLTSKVQFLKGKSVKVIIMDKGSISYNDLLQNYLEEF SSLKKDDYIDLNSGLNLINVFNQYEKKHNMIESKDEIIVDNEMLINTKNEETSTFQII DDCFYSKYIYCFEYIGKVYFFIFLFICILKIGITKSMDIYLANFTFTKSRKLFITYYK ILLIIKLLLDCFAFISEAVLSAKSSSKIHDYYLISLLKAPLSFYSFTPISKILNRFNL DLLVLDDVLVRKIVGVMIRLFEPFIHLILVFSTNLIIIPFSIIHIILIIILYGFPLFH IYKATQMMILSISSNLNNTFSETLIGWRIIRDFKNHGLYREILCKNLLGIIKMRMVQI SVVKWASFRIQLFSGPLVIFLYLFYILFSILFQGKNSESVNLMAIKLAYVIYFYITFT ETLNLIFIKISSLEKDMCSLERMMEYSNNPLNVNTKFEDFKLEDAILDEQINNYGNLK LKFNNVELSYQVSDFTGNKNHLAFKAIKNFNLELSPNEHVGIIGRTGCGKSTLIKGVL GTVIPSCGSILFNEVEICSLSRRKRREIIGIVPQTPLKINNWSIRKYLDPFSEHDQDK ILQVLKLTGFYNIISNKIQITNNLDLVEISDSKDTNCLLLTDFHLKYLNFIRLLLNKK HYKIILLDEPTIEPQIKLENEALTSFYEYQKLVPIENLIRDYFQHCCVVIVSHNIDAL KYCNRIINLNNYRNMFEEQTGNN cubi_01691 MSIEFKSRNSRNQGDLESNTNETKESSSIIESLKYGSNKSSSLN SALASSNHTNTLASNVRVVEVPLKESAIWVQMLIGFVTVVKATVGTGILFAPYAIVKS GYSVSIVLILVYWLLNITCTLLMFQCADEVNDTYSSIAFAAMGKTGKILADISIIFTQ LSFCAVFVTFVTKAIQNVISGIYDCAPAYIEYGTALITFIQLIVYIPMSCFGRIQSLG PAMIMANIALFVGLVTVFTYSALELATNISNNNMAEISYFTNLESIAGLVGTAAFLWV SGPVVISYYVSIADYNARKRFTWLYFIAITFVFILTVSFTFVSAFGYGKHTFSAITLN LPITIGAMSGQIFFAISILLSFPLMIFPVKEIVTKYIDNYWKKHNKNKIVEFIVPAEQ IRQLSIQKTPSKLNTPIISQKNSPGKENLSPNSVNSKLGSKILLRQPSGSISFKIENS KKNYSYYLVKAAPSLIVIILSVVCCMTAFFLINSLGNFVNLVGGIFCVPISIILPALF HLMLFRKRISLPIFILDILLIVSGVITSIIVIWYTFSSWSVSNESICNIKH cubi_01692 MEKKDISIVVAASVMNRGIGINGQLPWAISEDLKFFSKITSNHC DENKKNVLIMGRKTWDSIGRKPLKNRIIAVISSSLKLDGDDPNVCIFRSLKDSIDVFM NDNKIENIFICGGESIYKEALSENYVNKIYLTRVALDDIEFDTYFPEIPETFLPIYMS QTFCSKNISYDFMIFENKGGKSLSYGDPIRGQVKSIDDTVDLLTEIFGVRKMGNRHRF PKEEIYNTPSIRFGREHYEFQYLDLLSRVLENGVYRENRTGISTYSIFGEMMRFDLRE SFPLLTTKKVAIKSIFEELIWFIKGDTNGNHLIEKKVYIWSGNGSKEYLERIGLGHRE ENDLGPIYGFQWRHFNGEYKTMHDDYTGVGVDQLAKLIDSLKNKPKDRRHILTAWNPS ALSQMALPPCHVLSQFYVSNDNCLSCNLYQRSCDLGLGSPFNIASYAILTMMLAHVCD YKPGELAIFIGDAHIYENHLTQLKEQLSRLPRPFPQLRFKRKVENIEEFVWEDIQLIG YCPYPPIKMEMAV cubi_01693 MRENISQKLLIIYSITILLFFNKIQKNFILGKELEKYKEKSSDN KLDLYNQDEVDRMSVTEQEPHLVSSIDSKFKFTDAPNIGEDPSKNSDSSSDNKNTKSS DSSSSSDETSTDSDSSSEDDNSSSSEDDSSSGSSSSSENDTDSNNETSSDSSLDEEPS TSKQVGKKRKKSYAKKSVRGIKKLKREKELSETEKSKLRKFILKHHLTLLIAEIEIRL FNSKNLVTTDYWLEKNQLKLEKEFVFDIVNAIERQETHFRGFKSLNLGYYFEKINRIK RNLVPSCIGNEKSVFNQMNIFKQNTKKIKCSEIKLSFVIINLIFKHNLFFNYNMFLLY LLLCSYTELEDMEFHESLIMVLKNQHYLGAKISVIGGESFSEFLNYTKNFFDEYSNFK IQGMEKYQQLRFPLNFKLINVEEFGLNLCLVLLELHILSKNELSDRQVFLFFGSRFKS SPLVLLANDIYKLAKQNTYITTDVVASILFDNNTFIRIQKRILELHNLDLKNLKNQFN YCFEIKEGFNELLGIRRNTDIQEKSIIKTINRHKVKLNLKYPFLN cubi_01694 MKTKKLHFVVFLTTFLLFFFELIKSEEQKEFLEFYGKPNYNNEE ISIQEEASIKLLSEELVIIEIDFFLVGLNNLIGTRFWFDLHEMNSHEQIVLAIITYLN CIHNPNHMIHKNRIVRHYINNQVSIKREVLSDYNGYRELLEAMVTKFVRNTSKFNCYR MKTVLAMIYLIFNGDYKHTISGFLLFLLICSYKELVFSSFSLSFIKVMKNEHNLGSIL IYLGGNDISELLNKIKAVSYQYSNVKYPVSPETQNIKNPIYTEMISVEELGFKFCLSI LELAANGAGISDYKLLTLFFGSRMDTAAEVLLSIDILKVAGRFGSSITECISLLINDP NVFYSVKSKIMTLYGLDISNFKSKFNDCVLLKDKYTVLNGIVNYTDYQRKAKTRSKSR SRETKISLRSKYSFL cubi_01695 MKVFLNLVTCIFEIAILFQTWNGYLSNFSNDLPSNGYYIFPSQI RPSLLFTKAVYRERGNDGSDDERPNRRCCISPVSSIDTTGSDSSGDSESESERPNMGA LRKAVLSKLSQSYLNYLGNSSPERGGKSNNQESCERNMNQQANQEKNTRPSHENPADL SDYSDSFDSDSDSFDSVSSDENRNCSPEHEYDTVYTESSSDFDSFDSDSDSDSFDSIS SDDNRNCSPEHEYDTVYTESSSDFDSFDSDSDSDSFDSVSSDENRNCSPEHEYDTVYT ESSSDSDSFSRKSTLKSHKLAFSSSMATFESRLRALRANLEKIENSRLNRVKTSACSG GISGSNLRLGTPSSLKPPSSSDINLQQPCNFMLYKQPFNFPANNHSVSKQTENSGPNN CIETGINCDQREVDSSESTKVKEESSRLIKGKEV